PMID- 29784870
TI - Rethinking assumptions about delivery of healthcare: implications for universal
health coverage.
PMID- 29784871
TI - Effect of maternal hypothyroidism during pregnancy on insulin resistance, lipid
accumulation, and mitochondrial dysfunction in skeletal muscle of fetal rats.
AB - The present study aimed to investigate the effect of maternal hypothyroidism
during pregnancy on thyroid function of the fetal rat. Female Sprague-Dawley rats
were randomized into two groups. Propylthiouracil (PTU) group received PTU in
drinking water for 6 weeks (n=90), normal group received normal drinking water
(n=50). The pregnant rats were obtained and had a cesarean-section to get at
gestational ages of 8.5, 13, and 21 days, following blood samples and skeletal
muscle were obtained from fetal rats. Levels of thyroid hormone, insulin,
mitochondrial protein, and adipokines were detected using ELISA. Western blotting
was performed to analyze mitochondria and insulin signal transduction-related
protein in fetal rat skeletal muscle. Immunostaining of Periodic Acid-Schiff
(PAS) and Oil Red O was used to observe the accumulation of muscle glycogen and
lipid in the fetal rat. The results showed that the levels of thyroid hormone,
insulin, insulin signal transduction-related protein, mitochondrial, and
adipokines increased with the fetus developed, but had no statistical differences
in the PTU group compared with the normal group. In conclusion, pregnant rats
with hypothyroidism had no influence on insulin resistance (IR), lipid
accumulation, and mitochondrial dysfunction in skeletal muscle of the fetal rats.
PMID- 29784872
TI - SIRT1 inhibits rheumatoid arthritis fibroblast-like synoviocyte aggressiveness
and inflammatory response via suppressing NF-kappaB pathway.
AB - Rheumatoid arthritis (RA) is an autoimmune disease of the joints characterized by
synovial hyperplasia and chronic inflammation. Fibroblast-like synoviocytes (FLS)
play a central role in RA initiation, progression, and perpetuation. Prior
studies showed that sirtuin 1 (SIRT1), a deacetylase participating in a broad
range of transcriptional and metabolic regulations, may impact cell proliferation
and inflammatory responses. However, the role of SIRT1 in RA-FLS was unclear.
Here, we explored the effects of SIRT1 on the aggressiveness and inflammatory
responses of cultured RA-FLS. SIRT1 expression was significantly lower in
synovial tissues and FLS from RA patients than from healthy controls.
Overexpression of SIRT1 significantly inhibited RA-FLS proliferation, migration,
and invasion. SIRT1 overexpression also significantly increased RA-FLS apoptosis
and caspase-3 and -8 activity. Focusing on inflammatory phenotypes, we found
SIRT1 significantly reduced RA-FLS secretion of TNF-alpha, IL-6, IL-8, and IL
1beta. Mechanistic studies further revealed SIRT1 suppressed NF-kappaB pathway by
reducing p65 protein expression, phosphorylation, and acetylation in RA-FLS. Our
results suggest SIRT1 is a key regulator in RA pathogenesis by suppressing
aggressive phenotypes and inflammatory response of FLS. Enhancing SIRT1
expression or function in FLS could be therapeutic beneficial for RA by
inhibiting synovial hyperplasia and inflammation.
PMID- 29784873
TI - Chemokine (C-X-C motif) ligand 1 is associated with tumor progression and poor
prognosis in patients with colorectal cancer.
AB - Chemokine (C-X-C motif) ligand 1 (CXCL1) is a chemotactic cytokine known to
regulate cancer progression and invasion. However, the prognostic significance of
CXCL1 expression in colorectal cancer (CRC) has not been fully characterized. The
present study explored the clinicopathological significance and potential role of
CXCL1 in the carcinogenesis and progression of CRC. The protein expression of
CXCL1 was measured immunohistochemically in tissue microarrays constructed from
276 CRC patients. CXCL1 expression levels and their associations with
clinicopathological characteristics and patient survival were evaluated. The
effect of CXCL1 on glycolysis was also examined. High CXCL1 expression was
detected in 165 (59.8%) cases. CXCL1 expression was correlated with tumor
diameter (P=0.002), T stage (P=0.044), N stage (P=0.005), M stage (P=0.001),
lymphovascular invasion (P=0.010), and carcinoembryonic antigen status (P=0.019).
High CXCL1 expression was validated as an independent prognostic factor for
overall survival (OS) and disease-free survival (DFS) by both univariate and
multivariate Cox regression analyses (both P<0.05). Experimentally, expression of
CXCL1 was knocked down by stable transfected short hairpin RNA, resulting in a
significantly decreased rate of glycolysis both in in vitro assays and in
patients' samples (P<0.05). Silencing the expression of CXCL1 decreased the
levels of the glycolytic enzymes GLUT1, HK2, and LDHA. In conclusion, by inducing
glycolysis, CXCL1 plays a crucial role in both cancer progression and metastasis
in CRC patients. The CXCL1 expression level is an independent prognostic factor
for both OS and DFS. Moreover, CXCL1 may serve as a new biomarker and potential
therapeutic target for CRC treatment.
PMID- 29784874
TI - Select alpha-arrestins control cell-surface abundance of the mammalian Kir2.1
potassium channel in a yeast model.
AB - Protein composition at the plasma membrane is tightly regulated, with rapid
protein internalization and selective targeting to the cell surface occurring in
response to environmental changes. For example, ion channels are dynamically
relocalized to or from the plasma membrane in response to physiological
alterations, allowing cells and organisms to maintain osmotic and salt
homeostasis. To identify additional factors that regulate the selective
trafficking of a specific ion channel, we used a yeast model for a mammalian
potassium channel, the K+ inward rectifying channel Kir2.1. Kir2.1 maintains
potassium homeostasis in heart muscle cells, and Kir2.1 defects lead to human
disease. By examining the ability of Kir2.1 to rescue the growth of yeast cells
lacking endogenous potassium channels, we discovered that specific alpha
arrestins regulate Kir2.1 localization. Specifically, we found that the
Ldb19/Art1, Aly1/Art6, and Aly2/Art3 alpha-arrestin adaptor proteins promote
Kir2.1 trafficking to the cell surface, increase Kir2.1 activity at the plasma
membrane, and raise intracellular potassium levels. To better quantify the
intracellular and cell-surface populations of Kir2.1, we created fluorogen
activating protein fusions and for the first time used this technique to measure
the cell-surface residency of a plasma membrane protein in yeast. Our experiments
revealed that two alpha-arrestin effectors also control Kir2.1 localization. In
particular, both the Rsp5 ubiquitin ligase and the protein phosphatase
calcineurin facilitated the alpha-arrestin-mediated trafficking of Kir2.1.
Together, our findings implicate alpha-arrestins in regulating an additional
class of plasma membrane proteins and establish a new tool for dissecting the
trafficking itinerary of any membrane protein in yeast.
PMID- 29784875
TI - A human-specific, truncated alpha7 nicotinic receptor subunit assembles with full
length alpha7 and forms functional receptors with different stoichiometries.
AB - The cholinergic alpha7 nicotinic receptor gene, CHRNA7, encodes a subunit that
forms the homopentameric alpha7 receptor, involved in learning and memory. In
humans, exons 5-10 in CHRNA7 are duplicated and fused to the FAM7A genetic
element, giving rise to the hybrid gene CHRFAM7A Its product, dupalpha7, is a
truncated subunit lacking part of the N-terminal extracellular ligand-binding
domain and is associated with neurological disorders, including schizophrenia,
and immunomodulation. We combined dupalpha7 expression on mammalian cells with
patch clamp recordings to understand its functional role. Transfected cells
expressed dupalpha7 protein, but they exhibited neither surface binding of the
alpha7 antagonist alpha-bungarotoxin nor responses to acetylcholine (ACh) or to
an allosteric agonist that binds to the conserved transmembrane region. To
determine whether dupalpha7 assembles with alpha7, we generated receptors
comprising alpha7 and dupalpha7 subunits, one of which was tagged with
conductance substitutions that report subunit stoichiometry and monitored ACh
elicited channel openings in the presence of a positive allosteric alpha7
modulator. We found that alpha7 and dupalpha7 subunits co-assemble into
functional heteromeric receptors, which require at least two alpha7 subunits for
channel opening, and that dupalpha7's presence in the pentameric arrangement does
not affect the duration of the potentiated events compared with that of alpha7.
Using an alpha7 subunit mutant, we found that activation of (alpha7)2(dupalpha7)3
receptors occurs through ACh binding at the alpha7/alpha7 interfacial binding
site. Our study contributes to the understanding of the modulation of alpha7
function by the human specific, duplicated subunit, associated with human
disorders.
PMID- 29784876
TI - CHCA-1 is a copper-regulated CTR1 homolog required for normal development, copper
accumulation, and copper-sensing behavior in Caenorhabditis elegans.
AB - Copper plays key roles in catalytic and regulatory biochemical reactions
essential for normal growth, development, and health. Dietary copper deficiencies
or mutations in copper homeostasis genes can lead to abnormal musculoskeletal
development, cognitive disorders, and poor growth. In yeast and mammals, copper
is acquired through the activities of the CTR1 family of high-affinity copper
transporters. However, the mechanisms of systemic responses to dietary or tissue
specific copper deficiency remain unclear. Here, taking advantage of the animal
model Caenorhabditis elegans for studying whole-body copper homeostasis, we
investigated the role of a C. elegans CTR1 homolog, CHCA-1, in copper acquisition
and in worm growth, development, and behavior. Using sequence homology searches,
we identified 10 potential orthologs to mammalian CTR1 Among these genes, we
found that chca-1, which is transcriptionally up-regulated in the intestine and
hypodermis of C. elegans during copper deficiency, is required for normal growth,
reproduction, and maintenance of systemic copper balance under copper
deprivation. The intestinal copper transporter CUA-1 normally traffics to
endosomes to sequester excess copper, and we found here that loss of chca-1
caused CUA-1 to mislocalize to the basolateral membrane under copper overload
conditions. Moreover, animals lacking chca-1 exhibited significantly reduced
copper avoidance behavior in response to toxic copper conditions compared with WT
worms. These results establish that CHCA-1-mediated copper acquisition in C.
elegans is crucial for normal growth, development, and copper-sensing behavior.
PMID- 29784877
TI - Adapting to oxygen: 3-Hydroxyanthrinilate 3,4-dioxygenase employs loop dynamics
to accommodate two substrates with disparate polarities.
AB - 3-Hydroxyanthranilate 3,4-dioxygenase (HAO) is an iron-dependent protein that
activates O2 and inserts both oxygen atoms into 3-hydroxyanthranilate (3-HAA). An
intriguing question is how HAO can rapidly bind O2, even though local O2
concentrations and diffusion rates are relatively low. Here, a close inspection
of the HAO structures revealed that substrate- and inhibitor-bound structures
exhibit a closed conformation with three hydrophobic loop regions moving toward
the catalytic iron center, whereas the ligand-free structure is open. We
hypothesized that these loop movements enhance O2 binding to the binary complex
of HAO and 3-HAA. We found that the carboxyl end of 3-HAA triggers changes in two
loop regions and that the third loop movement appears to be driven by an H-bond
interaction between Asn27 and Ile142 Mutational analyses revealed that N27A,
I142A, and I142P variants cannot form a closed conformation, and steady-state
kinetic assays indicated that these variants have a substantially higher Km for
O2 than WT HAO. This observation suggested enhanced hydrophobicity at the iron
center resulting from the concerted loop movements after the binding of the
primary substrate, which is hydrophilic. Given that O2 is nonpolar, the increased
hydrophobicity at the iron center of the binary complex appears to be essential
for rapid O2 binding and activation, explaining the reason for the 3-HAA-induced
loop movements. Because substrate binding-induced open-to-closed conformational
changes are common, the results reported here may help further our understanding
of how oxygen is enriched in nonheme iron-dependent dioxygenases.
PMID- 29784878
TI - Oxidative decarboxylation of pyruvate by 1-deoxy-d-xyulose 5-phosphate synthase,
a central metabolic enzyme in bacteria.
AB - The underexploited antibacterial target 1-deoxy-d-xyluose 5-phosphate (DXP)
synthase catalyzes the thiamin diphosphate (ThDP)-dependent formation of DXP from
pyruvate and d-glyceraldehyde 3-phosphate (d-GAP). DXP is an essential
intermediate in the biosynthesis of ThDP, pyridoxal phosphate, and isoprenoids in
many pathogenic bacteria. DXP synthase catalyzes a distinct mechanism in ThDP
decarboxylative enzymology in which the first enzyme-bound pre-decarboxylation
intermediate, C2alpha-lactyl-ThDP (LThDP), is stabilized by DXP synthase in the
absence of d-GAP, and d-GAP then induces efficient LThDP decarboxylation. Despite
the observed LThDP accumulation and lack of evidence for C2alpha-carbanion
formation in the absence of d-GAP, CO2 is released at appreciable levels under
these conditions. Here, seeking to resolve these conflicting observations, we
show that DXP synthase catalyzes the oxidative decarboxylation of pyruvate under
conditions in which LThDP accumulates. O2-dependent LThDP decarboxylation led to
one-electron transfer from the C2alpha-carbanion/enamine to O2, with intermediate
ThDP-enamine radical formation, followed by peracetic acid formation en route to
acetate. Thus, LThDP formation and decarboxylation and DXP formation were studied
under anaerobic conditions. Our results support a model in which O2-dependent
LThDP decarboxylation and peracetic acid formation occur in the absence of d-GAP,
decreasing the levels of pyruvate and O2 in solution. The relative pyruvate and
O2 concentrations then dictate the extent of LThDP accumulation, and its buildup
can be observed when [pyruvate] > [O2]. The finding that O2 acts as a
structurally distinct trigger of LThDP decarboxylation supports the hypothesis
that a mechanism involving small molecule-dependent LThDP decarboxylation equips
DXP synthase for diverse, yet uncharacterized cellular functions.
PMID- 29784879
TI - ER-resident protein 46 (ERp46) triggers the mannose-trimming activity of ER
degradation-enhancing alpha-mannosidase-like protein 3 (EDEM3).
AB - Protein folding in the cell is regulated by several quality-control mechanisms.
Correct folding of glycoproteins in the endoplasmic reticulum (ER) is tightly
monitored by the recognition of glycan signals by lectins in the ER-associated
degradation (ERAD) pathway. In mammals, mannose trimming from N-glycans is
crucial for disposal of misfolded glycoproteins. The mannosidases responsible for
this process are ER mannosidase I and ER degradation-enhancing alpha-mannosidase
like proteins (EDEMs). However, the molecular mechanism of mannose removal by
EDEMs remains unclear, partly owing to the difficulty of reconstituting
mannosidase activity in vitro Here, our analysis of EDEM3-mediated mannose
trimming activity on a misfolded glycoprotein revealed that ERp46, an ER-resident
oxidoreductase, associates stably with EDEM3. This interaction, which depended on
the redox activity of ERp46, involved formation of a disulfide bond between the
cysteine residues of the ERp46 redox-active sites and the EDEM3 alpha-mannosidase
domain. In a defined in vitro system consisting of recombinant proteins purified
from HEK293 cells, the mannose-trimming activity of EDEM3 toward the model
misfolded substrate, the glycoprotein T-cell receptor alpha locus (TCRalpha), was
reconstituted only when ERp46 had established a covalent interaction with EDEM3.
On the basis of these findings, we propose that disposal of misfolded
glycoproteins through mannose trimming is tightly connected to redox-mediated
regulation in the ER.
PMID- 29784880
TI - Arginine methylation of translocated in liposarcoma (TLS) inhibits its binding to
long noncoding RNA, abrogating TLS-mediated repression of CBP/p300 activity.
AB - Translocated in liposarcoma (TLS) is an RNA-binding protein and a transcription
regulatory sensor of DNA damage. TLS binds promoter-associated noncoding RNA
(pncRNA) and inhibits histone acetyltransferase (HAT) activity of CREB-binding
protein (CBP)/E1A-binding protein P300 (p300) on the cyclin D1 (CCND1) gene.
Although post-translational modifications of TLS, such as arginine methylation,
are known to regulate TLS's nucleocytoplasmic shuttling and assembly in stress
granules, its interactions with RNAs remain poorly characterized. Herein, using
various biochemical assays, we confirmed the earlier observations that TLS is
methylated by protein arginine methyltransferase 1 (PRMT1) in vitro The arginine
methylation of TLS disrupted binding to pncRNA and also prevented binding of TLS
to and inhibition of CBP/p300. This result indicated that arginine methylation of
TLS abrogates both binding to pncRNA and TLS-mediated inhibition of CBP/p300 HAT
activities. We also report that an arginine residue within the Arg-Gly-Gly domain
of TLS, Arg-476, serves as the major determinant for binding to pncRNA. Either
methylation or mutation of Arg-476 of TLS significantly decreased pncRNA binding
and thereby prevented a pncRNA-induced allosteric alteration in TLS that is
required for its interaction with CBP/p300. Moreover, unlike WT TLS, an R476A TLS
mutant did not inhibit CCND1 promoter activity in luciferase reporter assays.
Taken together, we propose the hypothesis that arginine methylation of TLS
regulates both TLS-nucleic acid and TLS-protein interactions and thereby
participates in transcriptional regulation.
PMID- 29784881
TI - Structural basis for ADP-dependent glucokinase inhibition by 8-bromo-substituted
adenosine nucleotide.
AB - In higher eukaryotes, several ATP-utilizing enzymes known as hexokinases activate
glucose in the glycolysis pathway by phosphorylation to glucose 6-phosphate. In
contrast to canonical hexokinases, which use ATP, ADP-dependent glucokinase
(ADPGK) catalyzes noncanonical phosphorylation of glucose to glucose 6-phosphate
using ADP as a phosphate donor. Initially discovered in Archaea, the human
homolog of ADPGK was described only recently. ADPGK's involvement in modified
bioenergetics of activated T cells has been postulated, and elevated ADPGK
expression has been reported in various cancer tissues. However, the
physiological role of ADPGK is still poorly understood, and effective ADPGK
inhibitors still await discovery. Here, we show that 8-bromo-substituted
adenosine nucleotide inhibits human ADPGK. By solving the crystal structure of
archaeal ADPGK in complex with 8-bromoadenosine phosphate (8-Br-AMP) at 1.81 A
resolution, we identified the mechanism of inhibition. We observed that 8-Br-AMP
is a competitive inhibitor of ADPGK and that the bromine substitution induces
marked structural changes within the protein's active site by engaging crucial
catalytic residues. The results obtained using the Jurkat model of activated
human T cells suggest its moderate activity in a cellular setting. We propose
that our structural insights provide a critical basis for rational development of
novel ADPGK inhibitors.
PMID- 29784882
TI - Phenotypic Assessment Suggests Multiple Start Codons for HetN, an Inhibitor of
Heterocyst Differentiation, in Anabaena sp. Strain PCC 7120.
AB - Multicellular organisms must carefully regulate the timing, number, and location
of specialized cellular development. In the filamentous cyanobacterium Anabaena
sp. strain PCC 7120, nitrogen-fixing heterocysts are interspersed between
vegetative cells in a periodic pattern to achieve an optimal exchange of
bioavailable nitrogen and reduced carbon. The spacing between heterocysts is
regulated by the activity of two developmental inhibitors, PatS and HetN. PatS
functions to create a de novo pattern from a homogenous field of undifferentiated
cells, while HetN maintains the pattern throughout subsequent growth. Both PatS
and HetN harbor the peptide motif ERGSGR, which is sufficient to inhibit
development. While the small size of PatS makes the interpretation of inhibitory
domains relatively simple, HetN is a 287-amino-acid protein with multiple
functional regions. Previous work suggested the possibility of a truncated form
of HetN containing the ERGSGR motif as the source of the HetN-derived inhibitory
signal. In this work, we present evidence that the glutamate of the ERGSGR motif
is required for proper HetN inhibition of heterocysts. Mutational analysis and
subcellular localization indicate that the gene encoding HetN uses two methionine
start codons (M1 and M119) to encode two protein forms: M1 is required for
protein localization, while M119 is primarily responsible for inhibitory
function. Finally, we demonstrate that patS and hetN are not functionally
equivalent when expressed from the other gene's regulatory sequences. Taken
together, these results help clarify the functional forms of HetN and will help
refine future work defining a HetN-derived inhibitory signal in this model of one
dimensional periodic patterning.IMPORTANCE The proper placement of different cell
types during a developmental program requires the creation and maintenance of a
biological pattern to define the cells that will differentiate. Here we show that
the HetN inhibitor, responsible for pattern maintenance of specialized nitrogen
fixing heterocyst cells in the filamentous cyanobacterium Anabaena, may be
produced from two different start methionine codons. This work demonstrates that
the two start sites are individually involved in a different HetN function,
either membrane localization or inhibition of cellular differentiation.
PMID- 29784883
TI - Cytochrome bd Oxidase Has an Important Role in Sustaining Growth and Development
of Streptomyces coelicolor A3(2) under Oxygen-Limiting Conditions.
AB - Streptomyces coelicolor A3(2) is a filamentously growing, spore-forming,
obligately aerobic actinobacterium that uses both a copper aa3 -type cytochrome c
oxidase and a cytochrome bd oxidase to respire oxygen. Using defined knockout
mutants, we demonstrated that either of these terminal oxidases was capable of
allowing the bacterium to grow and complete its developmental cycle. The genes
encoding the bcc complex and the aa3 oxidase are clustered at a single locus.
Using Western blot analyses, we showed that the bcc-aa3 oxidase branch is more
prevalent in spores than the bd oxidase. The level of the catalytic subunit,
CydA, of the bd oxidase was low in spore extracts derived from the wild type, but
it was upregulated in a mutant lacking the bcc-aa3 supercomplex. This indicates
that cytochrome bd oxidase can compensate for the lack of the other respiratory
branch. Components of both oxidases were abundant in growing mycelium. Growth
studies in liquid medium revealed that a mutant lacking the bcc-aa3 oxidase
branch grew approximately half as fast as the wild type, while the oxygen
reduction rate of the mutant remained close to that of the wild type, indicating
that the bd oxidase was mainly functioning in controlling electron flux.
Developmental defects were observed for a mutant lacking the cytochrome bd
oxidase during growth on buffered rich medium plates with glucose as the energy
substrate. Evidence based on using the redox-cycling dye methylene blue suggested
that cytochrome bd oxidase is essential for the bacterium to grow and complete
its developmental cycle under oxygen limitation.IMPORTANCE Respiring with oxygen
is an efficient means of conserving energy in biological systems. The spore
forming, filamentous actinobacterium Streptomyces coelicolor grows only
aerobically, synthesizing two enzyme complexes for O2 reduction, the cytochrome
bcc-aa3 cytochrome oxidase supercomplex and the cytochrome bd oxidase. We show in
this study that the bacterium can survive with either of these respiratory
pathways to oxygen. Immunological studies indicate that the bcc-aa3 oxidase is
the main oxidase present in spores, but the bd oxidase compensates if the bcc-aa3
oxidase is inactivated. Both oxidases are active in mycelia. Growth conditions
were identified, revealing that cytochrome bd oxidase is essential for aerial
hypha formation and sporulation, and this was linked to an important role of the
enzyme under oxygen-limiting conditions.
PMID- 29784884
TI - Effector Gene xopAE of Xanthomonas euvesicatoria 85-10 Is Part of an Operon and
Encodes an E3 Ubiquitin Ligase.
AB - The type III effector XopAE from the Xanthomonas euvesicatoria strain 85-10 was
previously shown to inhibit plant immunity and enhance pathogen-induced disease
symptoms. Evolutionary analysis of 60 xopAE alleles (AEal) revealed that the
xopAE locus is conserved in multiple Xanthomonas species. The majority of xopAE
alleles (55 out of 60) comprise a single open reading frame (ORF) (xopAE), while
in 5 alleles, including AEal 37 of the X. euvesicatoria 85-10 strain, a
frameshift splits the locus into two ORFs (hpaF and a truncated xopAE). To test
whether the second ORF of AEal 37 (xopAE85-10 ) is translated, we examined
expression of yellow fluorescent protein (YFP) fused downstream to truncated or
mutant forms of the locus in Xanthomonas bacteria. YFP fluorescence was detected
at maximal levels when the reporter was in proximity to an internal ribosome
binding site upstream of a rare ATT start codon in the xopAE85-10 ORF but was
severely reduced when these elements were abolished. In agreement with the notion
that xopAE85-10 is a functional gene, its protein product was translocated into
plant cells by the type III secretion system, and translocation was dependent on
its upstream ORF, hpaF Homology modeling predicted that XopAE85-10 contains an E3
ligase XL box domain at the C terminus, and in vitro assays demonstrated that
this domain displays monoubiquitination activity. Remarkably, the XL box was
essential for XopAE85-10 to inhibit pathogen-associated molecular pattern (PAMP)
induced gene expression in Arabidopsis protoplasts. Together, these results
indicate that the xopAE85-10 gene resides in a functional operon, which utilizes
the alternative start codon ATT and encodes a novel XL box E3
ligase.IMPORTANCEXanthomonas bacteria utilize a type III secretion system to
cause disease in many crops. This study provides insights into the evolution,
translocation, and biochemical function of the XopAE type III secreted effector,
contributing to the understanding of Xanthomonas-host interactions. We establish
XopAE as a core effector of seven Xanthomonas species and elucidate the evolution
of the Xanthomonas euvesicatoriaxopAE locus, which contains an operon encoding a
truncated effector. Our findings indicate that this operon evolved from the split
of a multidomain gene into two ORFs that conserved the original domain function.
Analysis of xopAE85-10 translation provides the first evidence for translation
initiation from an ATT codon in Xanthomonas Our data demonstrate that XopAE85-10
is an XL box E3 ubiquitin ligase and provide insights into the structure and
function of this effector family.
PMID- 29784885
TI - Pseudomonas aeruginosa Regulated Intramembrane Proteolysis: Protease MucP Can
Overcome Mutations in the AlgO Periplasmic Protease To Restore Alginate
Production in Nonmucoid Revertants.
AB - The progression of cystic fibrosis (CF) from an acute to a chronic disease is
often associated with the conversion of the opportunistic pathogen Pseudomonas
aeruginosa from a nonmucoid form to a mucoid form in the lung. This conversion
involves the constitutive synthesis of the exopolysaccharide alginate, whose
production is under the control of the AlgT/U sigma factor. This factor is
regulated posttranslationally by an extremely unstable process and has been
commonly attributed to mutations in the algT (algU) gene. By exploiting this
unstable phenotype, we isolated 34 spontaneous nonmucoid variants arising from
the mucoid strain PDO300, a PAO1 derivative containing the mucA22 allele commonly
found in mucoid CF isolates. Complementation analysis using a minimal tiling path
cosmid library revealed that most of these mutants mapped to two protease
encoding genes, algO, also known as prc or PA3257, and mucP Interestingly, our
algO mutations were complemented by both mucP and algO, leading us to delete,
clone, and overexpress mucP, algO, mucE, and mucD in both wild-type PAO1 and
PDO300 backgrounds to better understand the regulation of this complex regulatory
mechanism. Our findings suggest that the regulatory proteases follow two pathways
for regulated intramembrane proteolysis (RIP), where both the AlgO/MucP pathway
and MucE/AlgW pathway are required in the wild-type strain but where the
AlgO/MucP pathway can bypass the MucE/AlgW pathway in mucoid strains with
membrane-associated forms of MucA with shortened C termini, such as the MucA22
variant. This work gives us a better understanding of how alginate production is
regulated in the clinically important mucoid variants of Pseudomonas
aeruginosaIMPORTANCE Infection by the opportunistic pathogen Pseudomonas
aeruginosa is the leading cause of morbidity and mortality seen in CF patients.
Poor patient prognosis correlates with the genotypic and phenotypic change of the
bacteria from a typical nonmucoid to a mucoid form in the CF lung, characterized
by the overproduction of alginate. The expression of this exopolysaccharide is
under the control an alternate sigma factor, AlgT/U, that is regulated
posttranslationally by a series of proteases. A better understanding of this
regulatory phenomenon will help in the development of therapies targeting
alginate production, ultimately leading to an increase in the length and quality
of life for those suffering from CF.
PMID- 29784886
TI - Legionella pneumophila Is Directly Sensitive to 2-Deoxyglucose-Phosphate via Its
UhpC Transporter but Is Indifferent to Shifts in Host Cell Glycolytic Metabolism.
AB - Toll-like receptor (TLR) stimulation induces a pronounced shift to increased
glycolytic metabolism in mammalian macrophages. We observed that bone marrow
derived macrophages (BMMs) increase glycolysis in response to infection with
Legionella pneumophila, but the role of host macrophage glycolysis in terms of
intracellular L. pneumophila replication is not currently understood. Treatment
with 2-deoxyglucose (2DG) blocks L. pneumophila replication in mammalian
macrophages but has no effect on bacteria grown in broth. In addition, we found
that 2DG had no effect on bacteria grown in amoebae. We used a serial enrichment
strategy to reveal that the effect of 2DG on L. pneumophila in macrophages
requires the L. pneumophila hexose-phosphate transporter UhpC. Experiments with
UhpC-deficient L. pneumophila revealed that mutant bacteria are also resistant to
growth inhibition following treatment with phosphorylated 2DG in broth,
suggesting that the inhibitory effect of 2DG on L. pneumophila in mammalian cells
requires 2DG phosphorylation. UhpC-deficient L. pneumophila replicates without a
growth defect in BMMs and protozoan host cells and also replicates without a
growth defect in BMMs treated with 2DG. Our data indicate that neither TLR
signaling-dependent increased macrophage glycolysis nor inhibition of macrophage
glycolysis has a substantial effect on intracellular L. pneumophila replication.
These results are consistent with the view that L. pneumophila can employ diverse
metabolic strategies to exploit its host cells.IMPORTANCE We explored the
relationship between macrophage glycolysis and replication of an intracellular
bacterial pathogen, Legionella pneumophila Previous studies demonstrated that a
glycolysis inhibitor, 2-deoxyglucose (2DG), blocks replication of L. pneumophila
during infection of macrophages, leading to speculation that L. pneumophila may
exploit macrophage glycolysis. We isolated L. pneumophila mutants resistant to
the inhibitory effect of 2DG in macrophages, identifying a L. pneumophila hexose
phosphate transporter, UhpC, that is required for bacterial sensitivity to 2DG
during infection. Our results reveal how a bacterial transporter mediates the
direct antimicrobial effect of a toxic metabolite. Moreover, our results indicate
that neither induction nor impairment of host glycolysis inhibits intracellular
replication of L. pneumophila, which is consistent with a view of L. pneumophila
as a metabolic generalist.
PMID- 29784887
TI - Identification of the Thioredoxin Partner of Vitamin K Epoxide Reductase in
Mycobacterial Disulfide Bond Formation.
AB - Disulfide bonds influence the stability and activity of many proteins. In
Escherichia coli, the DsbA and DsbB enzymes promote disulfide bond formation.
Other bacteria, including the Actinobacteria, use instead of DsbB the enzyme
vitamin K epoxide reductase (VKOR), whose gene is found either fused to or in the
same operon as a dsbA-like gene. Mycobacterium tuberculosis and other Gram
positive actinobacteria secrete many proteins with even numbers of cysteines to
the cell envelope. These organisms have predicted oxidoreductases and VKOR
orthologs. These findings indicate that such bacteria likely form disulfide bonds
in the cell envelope. The M. tuberculosisvkor gene complements an E. colidsbB
deletion strain, restoring the oxidation of E. coli DsbA. While we have suggested
that the dsbA gene linked to the vkor gene may express VKOR's partner in
mycobacteria, others have suggested that two other extracytoplasmic
oxidoreductases (DsbE or DsbF) may be catalysts of protein disulfide bond
formation. However, there is no direct evidence for interactions of VKOR with
either DsbA, DsbE, or DsbF. To identify the actual substrate of VKOR, we
identified two additional predicted extracytoplasmic DsbA-like proteins using
bioinformatics analysis of the M. tuberculosis genome. Using the five potential
DsbAs, we attempted to reconstitute disulfide bond pathways in E. coli and in
Mycobacterium smegmatis, a close relative of M. tuberculosis Our results show
that only M. tuberculosis DsbA is oxidized by VKOR. Comparison of the properties
of dsbA- and vkor-null mutants in M. smegmatis shows parallels to the properties
of dsb mutations in E. coliIMPORTANCE Disulfide bond formation has a great impact
on bacterial pathogenicity. Thus, disulfide-bond-forming proteins represent new
targets for the development of antibacterials, since the inhibition of disulfide
bond formation would result in the simultaneous loss of the activity of several
classes of virulence factors. Here, we identified five candidate proteins encoded
by the M. tuberculosis genome as possible substrates of the M. tuberculosis VKOR
protein involved in disulfide bond formation. We then reconstituted the
mycobacterial disulfide bond formation pathway in E. coli and showed that of the
five candidates, only M. tuberculosis DsbA is efficiently oxidized by VKOR in E.
coli We also present evidence for the involvement of VKOR in DsbA oxidation in M.
smegmatis.
PMID- 29784888
TI - Identification of brain metastasis genes and therapeutic evaluation of histone
deacetylase inhibitors in a clinically relevant model of breast cancer brain
metastasis.
AB - Breast cancer brain metastases remain largely incurable. Although several mouse
models have been developed to investigate the genes and mechanisms regulating
breast cancer brain metastasis, these models often lack clinical relevance since
they require the use of immunocompromised mice and/or are poorly metastatic to
brain from the mammary gland. We describe the development and characterisation of
an aggressive brain metastatic variant of the 4T1 syngeneic model (4T1Br4) that
spontaneously metastasises to multiple organs, but is selectively more metastatic
to the brain from the mammary gland than parental 4T1 tumours. As seen by
immunohistochemistry, 4T1Br4 tumours and brain metastases display a triple
negative phenotype, consistent with the high propensity of this breast cancer
subtype to spread to brain. In vitro assays indicate that 4T1Br4 cells have an
enhanced ability to adhere to or migrate across a brain-derived endothelial
monolayer and greater invasive response to brain-derived soluble factors compared
to 4T1 cells. These properties are likely to contribute to the brain selectivity
of 4T1Br4 tumours. Expression profiling and gene set enrichment analyses
demonstrate the clinical relevance of the 4T1Br4 model at the transcriptomic
level. Pathway analyses implicate tumour-intrinsic immune regulation and vascular
interactions in successful brain colonisation, revealing potential therapeutic
targets. Evaluation of two histone deacetylase inhibitors, SB939 and 1179.4b,
shows partial efficacy against 4T1Br4 metastasis to brain and other sites in
vivo, and potent radio-sensitising properties in vitro The 4T1Br4 model provides
a clinically relevant tool for mechanistic studies and to evaluate novel
therapies against brain metastasis.This article has an associated First Person
interview with Soo-Hyun Kim, joint first author of the paper.
PMID- 29784890
TI - Assessment of the Efficacy of Physical Activity Level and Lifestyle Behavior
Interventions Applying Social Cognitive Theory for Overweight and Obese Girl
Adolescents.
AB - BACKGROUND: Childhood obesity has become a global epidemic and physical
inactivity and considered as one of the most important contributing factors. We
aimed to evaluate a school-based physical activity intervention using social
cognitive theory (SCT) to increase physical activity behavior in order to prevent
obesity among overweight and obese adolescent girls. STUDY DESIGN: Randomized
controlled trial study. METHODS: A seven-month randomized controlled trial based
on SCT was implemented with 172 overweight and obese girl students (87 in
intervention and 85 in control group), with the presence of their parents and
teachers. Activities of the trial included: Sports workshops, physical-activity
consulting private sessions, free practical and competitive sports sessions,
family exercise sessions, text messages, and newsletters. Body Mass Index (BMI)
and Waist Circumference (WC) were measured and questionnaires about duration of
physical activity, duration of screen time (watching television and playing
computer games) and psychological variables regarding the SCT constructs were
obtained. RESULTS: Duration of physical activity (in minutes) and most of
psychological variables (self-efficacy, social support, and intention)
significantly increased at post-intervention, while hours of watching television
and playing computer were significantly decreased (P<0.001). The subjects' mean
BMI and WC reduced in the intervention group from 29.47 (4.05) kg/m2 to 28.5
(4.35) kg/m2 and 89.65 (8.15) cm to 86.54 (9.76) cm, although they were not
statistically significant compared with the control group (P=0.127 and P=0.504,
respectively). CONCLUSIONS: School-based intervention using SCT led to an
increase in the duration of physical activity and reduction in the duration of
screen time in overweight and obese adolescent girls.
PMID- 29784889
TI - SINHCAF/FAM60A and SIN3A specifically repress HIF-2alpha expression.
AB - The SIN3A-HDAC (histone deacetylase) complex is a master transcriptional
repressor, required for development but often deregulated in disease. Here, we
report that the recently identified new component of this complex, SINHCAF (SIN3A
and HDAC-associated factor)/FAM60A (family of homology 60A), links the SIN3A-HDAC
co-repressor complex function to the hypoxia response. We show that SINHCAF
specifically represses HIF-2alpha mRNA and protein expression, via its
interaction with the transcription factor SP1 (specificity protein 1) and
recruitment of HDAC1 to the HIF-2alpha promoter. SINHCAF control over HIF-2alpha
results in functional cellular changes in in vitro angiogenesis and viability.
Our analysis reveals an unexpected link between SINHCAF and the regulation of the
hypoxia response.
PMID- 29784891
TI - Predicting Physical Activity Behavior in African American Females: Using Multi
Theory Model.
AB - BACKGROUND: Despite physical activity having several benefits, a considerable
number of Americans do not engage in sufficient exercise. Among the high-risk
groups are African American women. A recent theory, multi theory model (MTM) for
health behavior change can be used to develop effective interventions. The
objective of this research was to test MTM in its ability to predict physical
activity behavior in African American women. STUDY DESIGN: A cross-sectional
study. METHODS: African American women aged 18 yr and older were recruited at
various locations (primarily churches) of Jackson, a large city in southern
Mississippi to participate in this cross-sectional study in 2016. The valid and
reliable survey was administered to a G*Power calculated quota sample of 156
women either in person or via a Qualtrics link sent through an e-mail. RESULTS:
The regression analysis revealed that 32.7% of the variance in initiating
physical activity behavior was predicted by participatory dialogue, behavioral
confidence, and changes in physical environment. Sustenance of physical activity
behavior was predicted up to 38.8% by emotional transformation and changes in
social environment. CONCLUSIONS: MTM appears to be a robust theory in its
application for changing physical activity behavior in African American women.
This theory must be reified and empirically tested with this population.
PMID- 29784892
TI - Food Insecurity and Primary School Girl Students' Intelligence Quotients: A Case
Control Study.
AB - BACKGROUND: Food insecurity (FI) refers to the lack of sufficient and safe
availability of the food. Accumulating studies have suggested associations
between dietary intake and Intelligence quotient (IQ). Accordingly, we aimed to
examine the association between FI and IQ. STUDY DESIGN: Case-control study.
METHODS: In this case-control study, 222 girl students aged 9 to 11 years old
were randomly chosen from Bandar-Anzali, Iran in 2013. Students with low and
moderate IQ were considered as case (n=111) and control (n=111) group,
respectively. General and demographic characteristics were collected using
interview. United States Department of Agriculture (USDA) household FI
questionnaires were also completed. To determine IQ, Wechsler's revised
intelligence test was used. RESULTS: FI was observed in 51% of study
participants. The prevalence of FI in case and control group was 58.6% and 22.5%,
respectively. The mean IQ was 77.97 +/-5.56 in case and 94.6 +/-5.47 for control
group. It was found that there was an inverse association between FI and low IQ.
The results of the multiple variable logistic regression analysis (odds ratio and
its 95% confidence interval) showed that, FI 3.46 (1.85, 6.50; P>0.001), natural
type of delivery 2.45 (1.30, 4.62; P=0.006), and father's low education level
2.97 (1.43, 6.19; P=0.004) were the risky factors leading to low IQ. CONCLUSIONS:
There was an inverse link between FI and IQ. Therefore, it is necessary to pay
more attention to FI and its consequences, particularly in mental health of
children.
PMID- 29784893
TI - A Comparison between Decision Tree and Random Forest in Determining the Risk
Factors Associated with Type 2 Diabetes.
AB - BACKGROUND: We aimed to identify the associated risk factors of type 2 diabetes
mellitus (T2DM) using data mining approach, decision tree and random forest
techniques using the Mashhad Stroke and Heart Atherosclerotic Disorders (MASHAD)
Study program. STUDY DESIGN: A cross-sectional study. METHODS: The MASHAD study
started in 2010 and will continue until 2020. Two data mining tools, namely
decision trees, and random forests, are used for predicting T2DM when some other
characteristics are observed on 9528 subjects recruited from MASHAD database.
This paper makes a comparison between these two models in terms of accuracy,
sensitivity, specificity and the area under ROC curve. RESULTS: The prevalence
rate of T2DM was 14% among these subjects. The decision tree model has 64.9%
accuracy, 64.5% sensitivity, 66.8% specificity, and area under the ROC curve
measuring 68.6%, while the random forest model has 71.1% accuracy, 71.3%
sensitivity, 69.9% specificity, and area under the ROC curve measuring 77.3%
respectively. CONCLUSIONS: The random forest model, when used with demographic,
clinical, and anthropometric and biochemical measurements, can provide a simple
tool to identify associated risk factors for type 2 diabetes. Such identification
can substantially use for managing the health policy to reduce the number of
subjects with T2DM .
PMID- 29784894
TI - Self-Reported and Network Scale-Up Estimates of Substance Use Prevalence among
University Students in Kerman, Iran.
AB - BACKGROUND: This study aimed to estimate the prevalence of substance use among
university students measured by direct and indirect methods, and to calculate the
visibility factor (VF) defined as ratio of indirect to direct estimates of
substance use prevalence. STUDY DESIGN: A cross-sectional study. METHODS: Using a
multistage non-random sampling approach, we recruited 2157 students from three
universities in Kerman, Iran, in 2016. We collected data on substance use by
individual face-to-face interview using direct (i.e. self-report of their own
behaviors) and indirect (NSU: Network scale up) methods. All estimates from
direct and indirect methods were weighted based on inverse probability weight of
sampling university. RESULTS: The response rate was 83.6%. The last year
prevalence of water pipe, alcohol, and cigarettes indirect method was 44.6%,
18.1%, and 13.2% respectively. Corresponding figures in NSU analysis were 36.4%,
18.2%, and 16.5% respectively. In the female population, VF for all types of
substance was less than male. CONCLUSIONS: Considerable numbers of university
students used substances like a water pipe, alcohol, and cigarettes. NSU seems a
promising method, especially among male students. Among female students, direct
method provided more reliable results mainly due to transmission and prestige
biases.
PMID- 29784895
TI - Bullying Among Tunisian Middle School Students: the Prevalence, Psychosocial
Associated Factors and Perceived Involvement of Parents, Teachers and Classmates.
AB - BACKGROUND: Bullying is a serious public health concern remarkably common among
youth. Involvement in bullying can lead to deleterious effect on the emotional
well-being of pupils. The aim of this study was to assess the prevalence of
bullying, its psychosocial associated factors and the perceived involvement of
parents, teachers, and classmates to counteract this behavior. STUDY DESIGN: A
cross-sectional study. METHODS: We conducted this study in 2015 among a
representative multistage sample of 1584 students enrolled in middle schools in
the Region of Sousse using the revised Olweus Bully/Victim Questionnaire. It
assesses the prevalence of bullying and covers qualitative details of bullying
including psychosocial factors and perceived efforts of others to counteract
bullying. RESULTS: 11.7% of respondents were classified as pure victims, 7.8% as
pure bullies, 3.2% as bully-victims and 75.5% as bystanders. Compared to other
groups, the bully-victims were less likely to report a feeling of empathy and
liking school. They were more likely to be afraid of being bullied, aggressive
and to have fewer friends in the class. Only 30.3% of the victims indicated that
they told someone about being bullied. The majority of the middle school students
perceived that classmates (54.1%) and teachers (39.5%) did nothing to counteract
bullying. CONCLUSIONS: Information about bullying is critical and must be
gathered before effective intervention is planned. Parents, teachers and students
should learn effective ways to handle the bullying problem since the most
effective programs are comprehensive targeting students, schools, families and
the community.
PMID- 29784896
TI - Measuring and Explaining Socioeconomic Inequalities in Public Healthcare
Utilization in Western Iran: Evidence from a Cross-sectional Survey.
AB - BACKGROUND: Equity in healthcare utilization is a major health policy goal in all
healthcare systems. This study aimed to examine socioeconomic inequalities in
public healthcare utilization in Kermanshah City, western Iran. STUDY DESIGN: A
cross-sectional study. METHODS: Using convenience sampling method, 2040 adult
aged 18-65 yr were enrolled from Kermanshah City in 2017. A self-administrated
questionnaire was used to collect data on socio-demographic characteristics,
socioeconomic status, behavioral factors, and utilization of public healthcare
services (inpatient and outpatient care) over the period between from May to Aug
2017. The concentration index (C) was used to measure and decompose socioeconomic
inequalities in the utilization inpatient and outpatient care in public sector.
The indirect standardization method was used to estimate the horizontal inequity
(HI) indices in inpatient and outpatient care use. RESULTS: The utilization
outpatient (C=-0.121, 95% CI: -0.171, -0.071) and inpatient care in public sector
(C=-0.165, 95% CI: -0.229, -0.101) were concentrated among the poor in
Kermanshah, Iran. Socioeconomic status, health-related quality of life, marital
status and having a chronic health condition were the main determinants of
socioeconomic-related inequalities in the utilization of inpatient and outpatient
care in public sector among adults. The distributions of outpatient (HI=-0.045,
CI: -0.093 to 0.003) and inpatient care (HI= -0.044 95% CI: -0.102, 0.014) in
Kermanshah were pro-poor. These results were not statistically significant
(P<0.05). CONCLUSIONS: The utilization of public healthcare services in Iran are
pro-poor. The pro-poor distribution of inpatient and outpatient care in public
facilities calls for initiatives to increase the allocation of resources to
public facilities in Iran that may greatly benefit the health outcomes of the
poor.
PMID- 29784897
TI - Effect of Alcohol Use on Injection and Sexual Behavior among People Who Inject
Drugs in Tehran, Iran: A Coarsened Exact Matching Approach.
AB - BACKGROUND: Many studies have recognized the importance of sexual and injection
risk behaviors in HIV transmission among people who inject drugs (PWID). We aimed
to examine effect of alcohol use on sexual and injection risky behavior using
coarsened exact matching (CEM) approach among PWID in Tehran, Iran. STUDY DESIGN:
A cross-sectional study. METHODS: This study was conducted from Mar to Aug 2016
in Tehran, Iran. PWID were recruited by a convenience and snowball sampling from
five of Drop-in Centers (DICs) in Tehran. We assessed three outcomes of interest,
all treated as binary variables, including distributive and receptive sharing and
inconsistent condom use with any type of sexual partner. We applied coarsened
exact matching (CEM) to estimate the independent effect of alcohol use on
injection and sexual risk behaviours. All data analysis was performed using Stata
v.11. RESULTS: Overall, 550 PWID (all male) were enrolled. The prevalence of
receptive sharing, distributive sharing, and inconsistent condom use was 32%, 15%
and 55%, respectively. In the matched samples, last month drinkers were more
likely to report receptive sharing (OR=2.12 95% CI: 1.31, 3.81; P=0.022), as
compared to abstainer participants. Distributive sharing in last 30 d, was also
significantly higher among last month drinkers group (OR=2.72 95% CI 1.72, 4.21;
P=0.011), compared last month abstainers group. Finally, there was a
statistically significant relationship between alcohol use and inconsistent
condom use (OR=4.21 95% CI: 2.71, 7.52; P=0.013). CONCLUSIONS: The findings
emphasize importance of addressing alcohol use in risk reduction interventions
for Iranian PWID with alcohol use.
PMID- 29784898
TI - Pretreatment with simvastatin upregulates expression of BK-2R and CD11b in the
ischemic penumbra of rats.
AB - Inhibitors of 3-hydroxy-3-methylglutaryl coenzyme A reductases, collectively
known as statins, have been shown to minimize cerebral ischemic events in
patients. We assessed the mechanisms of simvastatin pretreatment in preventing
cerebral ischemia/reperfusion injury in rats using a model of middle cerebral
artery occlusion (MCAO). Rats were pretreated with simvastatin 14 days prior to
MCAO induction. At 3, 24, and 48 hours after reperfusion, bradykinin levels in
the ischemic penumbra were assayed by ELISA, mRNA levels of bradykinin B2
receptors (BK-2Rs) and CD11b were measured by fluorescent quantitative real-time
PCR (RT-PCR), and co-expression of microglia and BK-2Rs was determined by
immunofluorescence. Simvastatin had no effect on bradykinin expression in the
ischemic penumbra at any time point. However, the levels of BK-2R and CD11b mRNA
in the ischemic penumbra, which were significantly decreased 3 hours after
ischemia-reperfusion, were increased in simvastatin-pretreated rats. Moreover,
the co-expression of BK-2Rs and microglia was confirmed by immunofluorescence
analysis. These results suggest that the beneficial effects of simvastatin
pretreatment before cerebral ischemia/reperfusion injury in rats may be partially
due to increased expression of BK-2R and CD11b in the ischemic penumbra.
PMID- 29784899
TI - Pathway-based analysis of genome-wide association study of circadian phenotypes.
AB - Sleepiness affects normal social life, which attracts more and more attention.
Circadian phenotypes contribute to obvious individual differences in
susceptibility to sleepiness. We aimed to identify candidate single nucleotide
polymorphisms (SNPs) which may cause circadian phenotypes, elucidate the
potential mechanisms, and generate corresponding SNP-gene-pathways. A genome-wide
association studies (GWAS) dataset of circadian phenotypes was utilized in the
study. Then, the Identify Candidate Causal SNPs and Pathways analysis was
employed to the GWAS dataset after quality control filters. Furthermore, genotype
phenotype association analysis was performed with HapMap database. Four SNPs in
three different genes were determined to correlate with usual weekday bedtime,
totally providing seven hypothetical mechanisms. Eleven SNPs in six genes were
identified to correlate with usual weekday sleep duration, which provided six
hypothetical pathways. Our results demonstrated that fifteen candidate SNPs in
eight genes played vital roles in six hypothetical pathways implicated in usual
weekday bedtime and six potential pathways involved in usual weekday sleep
duration.
PMID- 29784900
TI - IRAK Inhibitor Protects the Intestinal Tract of Necrotizing Enterocolitis by
Inhibiting the Toll-Like Receptor (TLR) Inflammatory Signaling Pathway in Rats.
AB - BACKGROUND The aim of this study was to assess the effects of interleukin-1 (IL
1) receptor associated kinase (IRAK) inhibitors on intestinal injury induced by
necrotizing enterocolitis (NEC) in neonatal rats and its regulation on the
intestinal Toll-like receptor (TLR) inflammatory signaling pathway. MATERIAL AND
METHODS The neonatal rat models of NEC were established though hypoxia-cold
stimulation. All rats were divided into 3 groups: an NEC model group (NEC group),
an IRAK inhibitor group (IRAKI group), and a normal control group (NC group). At
72 h after the models were established, intestinal tissues were collected for
histopathological examination, enzyme-linked immunosorbent assay (ELISA), Western
blotting, and immunohistochemistry. RESULTS After IRAK inhibitor intervention,
the symptoms of NEC in neonatal rats were alleviated, and the degree of weight
loss was reduced. In the IRAK group, the intestinal pathology of neonatal rats
was improved, pathological score was decreased, and the incidence rate of NEC was
significantly reduced. The levels of tumor necrosis factor-alpha (TNF-alpha), IL
1beta, and IL-6 in the IRAK group were significantly decreased compared with
those in the NEC group. There were no significant differences in IRAK1 and IRAK4
protein expression levels between the IRAK group and the NEC group. The
phosphorylated IRAK1 and IRAK4 in the IRAK group were significantly decreased.
Nuclear factor-kappa B (NF-kappaB) level of intestinal tissues in the IRAK group
was reduced compared with that in the NEC group. CONCLUSIONS IRAK inhibitors can
inhibit the inflammatory response of the NEC model, reduce the release of pro
inflammatory cytokines, and alleviate the damage to intestinal tissues by
inhibiting conduction of the TLR signaling pathway.
PMID- 29784901
TI - Symptomatic Total Aortic Occlusion.
AB - BACKGROUND Aortic occlusion, whether acute or subacute, is a rare but very
serious entity with disastrous consequences if not treated in a timely fashion.
Rapid diagnosis is crucial in this setting. In surgically treated patients there
is a high degree of mortality and morbidity; therefore, percutaneous
revascularization, whenever possible and independent of the available techniques,
is much more desirable. CASE REPORT A 62-year-old woman with a history of
diabetes mellitus, dyslipidemia, hypertension, and peripheral vascular disease,
with previous femoral-femoral bypass for right common iliac artery occlusion, and
with recent conventional angiography showing near occlusion of the ostial left
common iliac artery (the donor vessel for the previous bypass), was referred to
our hospital for conventional angioplasty. We faced were surprised to find a
total infrarenal aortic occlusion and decided to perform emergency and rescue
recanalization. We successfully recanalized the aorta and left iliac artery by
stent implantation and stabilized the patient, considering that surgical
intervention has very high risk for morbidity and mortality in this particular
setting. CONCLUSIONS Although revascularization is rarely performed in this life
threating condition, endovascular recanalization of a subacute aortic occlusion
in a patient with femoral-femoral bypass is feasible and can be life-saving.
PMID- 29784902
TI - Physician Practice Patterns and Barriers to Counselling on Physical Activity in
Solid Organ Transplant Recipients.
AB - BACKGROUND Many solid organ transplant (SOT) recipients fail to meet the
recommended physical activity (PA) levels. "Physician recommendation" has
previously been reported by SOT recipients as a key facilitator to being more
physically active. The purpose of this study was to determine the proportion of
Canadian SOT physicians providing PA counselling and identify barriers to
including such counselling as part of the SOT recipients' routine care. MATERIAL
AND METHODS We conducted a cross-sectional web-based survey study to evaluate
physicians' PA counselling practices, including the prevalence and barriers to
such practice. A survey link was sent to a convenience sample of transplant
physicians who are members of the Canadian Society of Transplantation. RESULTS
Thirty-four physicians (13.6%) participated in the survey. While 97% (n=33) of
the participants reported providing PA counselling to their transplant patients,
only 18% (n=6) responded they were very confident in PA counselling. Lack of time
(n=19; 56%) and a lack of exercise guidelines (n=18; 53%) were identified as the
main barriers to PA counselling. CONCLUSIONS Incorporating sufficient PA
knowledge into physicians' educational curricula system, developing specific PA
guidelines as well as establishing an easier referral system to exercise
specialists might improve the frequency and quality of PA counselling post
transplant.
PMID- 29784903
TI - Exercise ameliorates endoplasmic reticulum stress-mediated vascular dysfunction
in mesenteric arteries in atherosclerosis.
AB - Endoplasmic reticulum (ER) stress is closely associated with atherosclerosis, but
the effects of exercise on ER stress-mediated endothelial dysfunction in
atherosclerosis is not yet fully understood. We assessed endothelium-dependent
vasodilation in isolated mesenteric arteries from wild type (WT), WT with
exercise (WT-EX), ApoE knockout (ApoE KO), and ApoE KO mice with exercise (ApoE
KO-EX). Vasodilation to acetylcholine (ACh) was elicited in the presence of
inhibitors of ER stress, eNOS, caspase-1, and UCP-2 (Tudca, L-NAME, AC-YVARD-cmk,
and Genipin, respectively) and the ER stress inducer (Tunicamycin).
Immunofluorescence was used to visualize the expression of CHOP, as an indicator
of ER stress, in superior mesenteric arteries (SMA). Dilation to ACh was
attenuated in ApoE KO but was improved in ApoE KO-EX. Incubation of Tudca and AC
YVARD-cmk improved ACh-induced vasodilation in ApoE KO. L-NAME, tunicamycin, and
Genipin attenuated vasodilation in WT, WT-EX and ApoE KO-EX, but not in ApoE KO.
Exercise training reversed the increase in CHOP expression in the endothelium of
SMA of ApoE KO mice. We conclude that ER stress plays a significant role in
endothelial dysfunction of resistance arteries in atherosclerosis and that
exercise attenuates ER stress and regulates its critical downstream signaling
pathways including eNOS, UCP-2 and caspase-1.
PMID- 29784904
TI - Differential protein profiling as a potential multi-marker approach for obese
patients with heart failure: A retrospective study.
AB - Identification of novel circulating biomarkers predicting death and major cardio
metabolic events in obese patients with heart failure (HF) remains a research
priority. In this study, we compared multi-marker profile of non-obese (NOB) and
obese (OB) HF patients in relation to mortality outcome. The new multiplex
proximity extension assay technology was used to analyze the levels of 92
proteins in plasma samples from HF patients according to body mass index (BMI)
categories. At 2-year follow-up, all-cause mortality rates were significantly
greater in NOB patients (BMI < 30 kg/m2) compared to the OB patients (BMI > 30
kg/m2) with HF (odds ratio 26; 95% CI: 1.14-624, p < 0,04). Quantitative
proteomic analysis revealed thirteen distinct proteins expression profiles of OB
and NOB HF patients. Among these proteins, RAGE, CXCL6, CXCL1, CD40, NEMO, VEGF
A, KLK6, PECAM1, PAR1, MMP1, BNP and NTproBNP were down-regulated, whereas leptin
was up-regulated in OB HF patients. In addition, an inverse correlation between
plasma BNP levels and leptin in OB HF patients was observed (r = -0.58 p = 0.02).
This study identifies specific plasma protein signature in OB and NOB patients
with HF in relation to mortality outcome.
PMID- 29784905
TI - Northward shift of the agricultural climate zone under 21st-century global
climate change.
AB - As agricultural regions are threatened by climate change, warming of high
latitude regions and increasing food demands may lead to northward expansion of
global agriculture. While socio-economic demands and edaphic conditions may
govern the expansion, climate is a key limiting factor. Extant literature on
future crop projections considers established agricultural regions and is mainly
temperature based. We employed growing degree days (GDD), as the physiological
link between temperature and crop growth, to assess the global northward shift of
agricultural climate zones under 21st-century climate change. Using ClimGen
scenarios for seven global climate models (GCMs), based on greenhouse gas (GHG)
emissions and transient GHGs, we delineated the future extent of GDD areas,
feasible for small cereals, and assessed the projected changes in rainfall and
potential evapotranspiration. By 2099, roughly 76% (55% to 89%) of the boreal
region might reach crop feasible GDD conditions, compared to the current 32%. The
leading edge of the feasible GDD will shift northwards up to 1200 km by 2099
while the altitudinal shift remains marginal. However, most of the newly gained
areas are associated with highly seasonal and monthly variations in climatic
water balances, a critical component of any future land-use and management
decisions.
PMID- 29784906
TI - The anti-cancer activity of an andrographolide analogue functions through a GSK
3beta-independent Wnt/beta-catenin signaling pathway in colorectal cancer cells.
AB - The Wnt/beta-catenin signaling pathway plays a key role in the progression of
human colorectal cancers (CRCs) and is one of the leading targets of chemotherapy
agents developed for CRC. The present study aimed to investigate the anti-cancer
effects and molecular mechanisms of 19-O-triphenylmethyl andrographolide (RS-PP
050), an andrographolide analogue and determine its activity in the Wnt/beta
catenin pathway. RS-PP-050 was found to potently inhibit the proliferation and
survival of HT-29 CRC cells. It induces cell cycle arrest and promotes apoptotic
cell death which was associated with the activation of PARP-1 and p53.
Furthermore, RS-PP-050 exerts inhibitory effects on beta-catenin transcription by
suppressing T-cell factor/lymphocyte enhancer factor (TCF/LEF) activity in cells
overexpressing beta-catenin and by down-regulating the endogenous expression of
Wnt target genes. RS-PP-050 also decreased the protein expression of the active
form of beta-catenin but functions independently of GSK-3beta, a negative
regulator of Wnt. Interestingly, RS-PP-050 extensively blocks phosphorylation at
Ser675 of beta-catenin which links to interference of the nuclear translocation
of beta-catenin and might contribute to Wnt inactivation. Collectively, our
findings reveal the underlying anti-cancer mechanism of an andrographolide
analogue and provide useful insight for exploiting a newly chemotherapeutic agent
in Wnt/beta-catenin-overexpressing CRC cells.
PMID- 29784907
TI - A predictive model for risk of early grade >= 3 infection in patients with
multiple myeloma not eligible for transplant: analysis of the FIRST trial.
AB - Infections are a major cause of death in patients with multiple myeloma. A post
hoc analysis of the phase 3 FIRST trial was conducted to characterize treatment
emergent (TE) infections and study risk factors for TE grade >= 3 infection. The
number of TE infections/month was highest during the first 4 months of treatment
(defined as early infection). Of 1613 treated patients, 340 (21.1%) experienced
TE grade >= 3 infections in the first 18 months and 56.2% of these patients
experienced their first grade >= 3 infection in the first 4 months. Risk of early
infection was similar regardless of treatment. Based on the analyses of data in
1378 patients through multivariate logistic regression, a predictive model of
first TE grade >= 3 infection in the first 4 months retained Eastern Cooperative
Oncology Group performance status and serum beta2-microglobulin, lactate
dehydrogenase, and hemoglobin levels to define high- and low-risk groups showing
significantly different rates of infection (24.0% vs. 7.0%, respectively; P <
0.0001). The predictive model was validated with data from three clinical trials.
This predictive model of early TE grade >= 3 infection may be applied in the
clinical setting to guide infection monitoring and strategies for infection
prevention.
PMID- 29784910
TI - Consent for governance in the ethical use of organoids.
PMID- 29784908
TI - Integration of multi-omics data and deep phenotyping enables prediction of
cytokine responses.
AB - The immune response to pathogens varies substantially among people. Whereas both
genetic and nongenetic factors contribute to interperson variation, their
relative contributions and potential predictive power have remained largely
unknown. By systematically correlating host factors in 534 healthy volunteers,
including baseline immunological parameters and molecular profiles (genome,
metabolome and gut microbiome), with cytokine production after stimulation with
20 pathogens, we identified distinct patterns of co-regulation. Among the 91
different cytokine-stimulus pairs, 11 categories of host factors together
explained up to 67% of interindividual variation in cytokine production induced
by stimulation. A computational model based on genetic data predicted the genetic
component of stimulus-induced cytokine production (correlation 0.28-0.89), and
nongenetic factors influenced cytokine production as well.
PMID- 29784909
TI - Large quantum-spin-Hall gap in single-layer 1T' WSe2.
AB - Two-dimensional (2D) topological insulators (TIs) are promising platforms for low
dissipation spintronic devices based on the quantum-spin-Hall (QSH) effect, but
experimental realization of such systems with a large band gap suitable for room
temperature applications has proven difficult. Here, we report the successful
growth on bilayer graphene of a quasi-freestanding WSe2 single layer with the 1T'
structure that does not exist in the bulk form of WSe2. Using angle-resolved
photoemission spectroscopy (ARPES) and scanning tunneling microscopy/spectroscopy
(STM/STS), we observe a gap of 129 meV in the 1T' layer and an in-gap edge state
located near the layer boundary. The system's 2D TI characters are confirmed by
first-principles calculations. The observed gap diminishes with doping by Rb
adsorption, ultimately leading to an insulator-semimetal transition. The
discovery of this large-gap 2D TI with a tunable band gap opens up opportunities
for developing advanced nanoscale systems and quantum devices.
PMID- 29784911
TI - Organoids test drug response.
PMID- 29784912
TI - An update on organoid research.
PMID- 29784913
TI - Modelling PDAC-niche adaption.
PMID- 29784914
TI - A biobank for bladder cancer.
PMID- 29784915
TI - Gene corrections in sight.
PMID- 29784916
TI - Mammary lineage restriction in development.
PMID- 29784917
TI - Clonal analysis of Notch1-expressing cells reveals the existence of unipotent
stem cells that retain long-term plasticity in the embryonic mammary gland.
AB - Recent lineage tracing studies have revealed that mammary gland homeostasis
relies on unipotent stem cells. However, whether and when lineage restriction
occurs during embryonic mammary development, and which signals orchestrate cell
fate specification, remain unknown. Using a combination of in vivo clonal
analysis with whole mount immunofluorescence and mathematical modelling of clonal
dynamics, we found that embryonic multipotent mammary cells become lineage
restricted surprisingly early in development, with evidence for unipotency as
early as E12.5 and no statistically discernable bipotency after E15.5. To gain
insights into the mechanisms governing the switch from multipotency to
unipotency, we used gain-of-function Notch1 mice and demonstrated that Notch
activation cell autonomously dictates luminal cell fate specification to both
embryonic and basally committed mammary cells. These functional studies have
important implications for understanding the signals underlying cell plasticity
and serve to clarify how reactivation of embryonic programs in adult cells can
lead to cancer.
PMID- 29784919
TI - Author Correction: Altered Cerebellar Biochemical Profiles in Infants Born
Prematurely.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29784918
TI - Early lineage segregation of multipotent embryonic mammary gland progenitors.
AB - The mammary gland is composed of basal cells and luminal cells. It is generally
believed that the mammary gland arises from embryonic multipotent progenitors,
but it remains unclear when lineage restriction occurs and what mechanisms are
responsible for the switch from multipotency to unipotency during its
morphogenesis. Here, we perform multicolour lineage tracing and assess the fate
of single progenitors, and demonstrate the existence of a developmental switch
from multipotency to unipotency during embryonic mammary gland development.
Molecular profiling and single cell RNA-seq revealed that embryonic multipotent
progenitors express a unique hybrid basal and luminal signature and the factors
associated with the different lineages. Sustained p63 expression in embryonic
multipotent progenitors promotes unipotent basal cell fate and was sufficient to
reprogram adult luminal cells into basal cells by promoting an intermediate
hybrid multipotent-like state. Altogether, this study identifies the timing and
the mechanisms mediating early lineage segregation of multipotent progenitors
during mammary gland development.
PMID- 29784920
TI - Video-rate volumetric neuronal imaging using 3D targeted illumination.
AB - Fast volumetric microscopy is required to monitor large-scale neural ensembles
with high spatio-temporal resolution. Widefield fluorescence microscopy can image
large 2D fields of view at high resolution and speed while remaining simple and
costeffective. A focal sweep add-on can further extend the capacity of widefield
microscopy by enabling extended-depth-of-field (EDOF) imaging, but suffers from
an inability to reject out-of-focus fluorescence background. Here, by using a
digital micromirror device to target only in-focus sample features, we perform
EDOF imaging with greatly enhanced contrast and signal-to-noise ratio, while
reducing the light dosage delivered to the sample. Image quality is further
improved by the application of a robust deconvolution algorithm. We demonstrate
the advantages of our technique for in vivo calcium imaging in the mouse brain.
PMID- 29784921
TI - The significance of deformation mechanisms on the fracture behavior of phase
reversion-induced nanostructured austenitic stainless steel.
AB - We describe here the relationship between grain structure, deformation mechanism
and fracture characteristics in an austenitic stainless steel. This was
accomplished using the novel concept of phase reversion that enabled a wide range
of grain size from nanograined/ultrafine grained (NG/UFG) to coarse-grained (CG)
regime to be obtained in a single material through change in temperature-time
annealing sequence. In the NG/UFG structure, a marked increase in abundance of
stacking faults (SFs) and twin density with strain was observed that led to a
decrease in the average spacing between adjacent SFs, thus converting stacking
faults into twins. Twinning in NG/UFG structure involved partial dislocations and
their interaction with the grain boundaries, including SF overlapping and the
coordinated nucleation of partial dislocations from the grain boundaries. The
plastic zone in the NG/UFG structure resembled a network knitted by the
intersecting twins and SFs. With SFE ~30 mJ/m2, the minimum stress for twin
nucleation was ~250 MPa for the experiment steel and the corresponding optimal
grain size (dop) wa ~120 nm. In contrast, in the CG structure, strain induced
martensite formation was the deformation mechanism. The difference in the
deformation mechanism led to a clear distinction in the fracture behavior from
striated fracture in high strength-high ductility NG/UFG alloy to microvoid
coalescence in the low strength-high ductility CG counterpart. The underlying
reason for the change in fracture behavior was consistent with change in
deformation mechanism from nanoscale twinning in NG/UFG alloy to strain-induced
martensite in the CG alloy, which is related to change in the stability of
austenite with grain size. An analysis of critical shear stress required to
initiate twinning partial dislocations in comparison to that required to nucleate
shear bands is presented. The appearance of striated fracture in the NG/UFG alloy
suggests a quasi-static step wise crack growth process.
PMID- 29784922
TI - Special temperatures in frustrated ferromagnets.
AB - The description and detection of unconventional magnetic states, such as spin
liquids, is a recurring topic in condensed matter physics. While much of the
efforts have traditionally been directed at geometrically frustrated
antiferromagnets, recent studies reveal that systems featuring competing
antiferromagnetic and ferromagnetic interactions are also promising candidate
materials. We find that this competition leads to the notion of special
temperatures, analogous to those of gases, at which the competing interactions
balance, and the system is quasi-ideal. Although induced by weak perturbing
interactions, these special temperatures are surprisingly high and constitute an
accessible experimental diagnostic of eventual order or spin-liquid properties.
The well characterised Hamiltonian and extended low-temperature susceptibility
measurement of the canonical frustrated ferromagnet Dy2Ti2O7 enables us to
formulate both a phenomenological and microscopic theory of special temperatures
for magnets. Other members of this class of magnets include kapellasite
Cu3Zn(OH)6Cl2 and the spinel GeCo2O4.
PMID- 29784923
TI - Author Correction: Long-term effects of mild traumatic brain injuries to
oculomotor tracking performances and reaction times to simple environmental
stimuli.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29784926
TI - Clinical utility of circulating non-coding RNAs - an update.
AB - Over the past decade, the amount of research and the number of publications on
associations between circulating small and long non-coding RNAs (ncRNAs) and
cancer have grown exponentially. Particular focus has been placed on the
development of diagnostic and prognostic biomarkers to enable efficient patient
management - from early detection of cancer to monitoring for disease recurrence
or progression after treatment. Owing to their high abundance and stability,
circulating ncRNAs have potential utility as non-invasive, blood-based biomarkers
that can provide information on tumour biology and the effects of treatments,
such as targeted therapies and immunotherapies. Increasing evidence highlights
the roles of ncRNAs in cell-to-cell communication, with a number of ncRNAs having
the capacity to regulate gene expression outside of the cell of origin through
extracellular vesicle-mediated transfer to recipient cells, with implications for
cancer progression and therapy resistance. Moreover, 'foreign' microRNAs (miRNAs)
encoded by non-human genomes (so-called xeno-miRNAs), such as viral miRNAs, have
been shown to be present in human body fluids and can be used as biomarkers.
Herein, we review the latest developments in the use of circulating ncRNAs as
diagnostic and prognostic biomarkers and discuss their roles in cell-to-cell
communication in the context of cancer. We provide a compendium of miRNAs and
long ncRNAs that have been reported in the literature to be present in human body
fluids and that have the potential to be used as diagnostic and prognostic cancer
biomarkers.
PMID- 29784924
TI - Allergy immunotherapy restores airway epithelial barrier dysfunction through
suppressing IL-25 -induced endoplasmic reticulum stress in asthma.
AB - Constant exposure to allergen triggers destructive type 2 cell-mediated
inflammation. The effect of allergen specific immunotherapy (SIT) in maintaining
airway epithelial barrier function in asthma remains unknown. In the current
study, we showed that SIT maintained airway epithelial homeostasis in mice
exposed to dermatophagoides farinae (Der f), which induced increased expression
of IL-25, endoplasmic reticulum (ER) stress and airway epithelial apoptosis.
Meanwhile, SIT treatment ameliorated airway inflammatory infiltration and hyper
responsiveness in allergic mice. SIT treatment restored the airway epithelial
integrity, attenuated Der f -induced airway epithelial ER stress and epithelial
apoptosis. We also found that 4-PBA, an inhibitor of ER stress, suppressed airway
epithelial ER stress and apoptosis in vitro. The pathological changes were
partially induced by IL-25-induced ER stress, epithelial tight junction damage,
and cell apoptosis in airways following allergen exposure. Furthermore, IL-25
induced ER stress in airway epithelial cells in vitro. The IL-25-induced airway
epithelial apoptosis dependent on PERK activity was inhibited by 4-PBA. Taken
together, we demonstrate that SIT is effective in allergic asthma and dependent
on its depressive effect on the expression of IL-25, epithelial integrity damage,
and epithelial ER stress.
PMID- 29784927
TI - CTCs and benefit from radiotherapy.
PMID- 29784925
TI - Acrylamide acute neurotoxicity in adult zebrafish.
AB - Acute exposure to acrylamide (ACR), a type-2 alkene, may lead to a ataxia,
skeletal muscles weakness and numbness of the extremities in human and laboratory
animals. In the present manuscript, ACR acute neurotoxicity has been
characterized in adult zebrafish, a vertebrate model increasingly used in human
neuropharmacology and toxicology research. At behavioral level, ACR-treated
animals exhibited "depression-like" phenotype comorbid with anxiety behavior. At
transcriptional level, ACR induced down-regulation of regeneration-associated
genes and up-regulation of oligodendrocytes and reactive astrocytes markers,
altering also the expression of genes involved in the presynaptic vesicle
cycling. ACR induced also significant changes in zebrafish brain proteome and
formed adducts with selected cysteine residues of specific proteins, some of them
essential for the presynaptic function. Finally, the metabolomics analysis shows
a depletion in the monoamine neurotransmitters, consistent with the comorbid
depression and anxiety disorder, in the brain of the exposed fish.
PMID- 29784929
TI - On-wafer fabrication of cavity mirrors for InGaN-based laser diode grown on Si.
AB - Direct bandgap III-V semiconductor lasers grown on silicon (Si) are highly
desired for monolithic integration with Si photonics. Fabrication of
semiconductor lasers with a Fabry-Perot cavity usually includes facet cleavage,
however, that is not compatible with on-chip photonic integration. Etching as an
alternative approach holds a great advantage in preparing cavity mirrors with no
need of breaking wafer into bars. However, gallium nitride (GaN) sidewalls
prepared by dry etching often have a large roughness and etching damages, which
would cause mirror loss due to optical scattering and carrier injection loss
because of surface non-radiative recombination. A wet chemical polishing process
of GaN sidewall facets formed by dry etching was studied in detail to remove the
etching damages and smooth the vertical sidewalls. The wet chemical polishing
technique combined with dry etching was successfully applied to the on-wafer
fabrication of cavity mirrors, which enabled the realization of room temperature
electrically injected InGaN-based laser diodes grown on Si.
PMID- 29784928
TI - Statistical machine learning of sleep and physical activity phenotypes from
sensor data in 96,220 UK Biobank participants.
AB - Current public health guidelines on physical activity and sleep duration are
limited by a reliance on subjective self-reported evidence. Using data from
simple wrist-worn activity monitors, we developed a tailored machine learning
model, using balanced random forests with Hidden Markov Models, to reliably
detect a number of activity modes. We show that physical activity and sleep
behaviours can be classified with 87% accuracy in 159,504 minutes of recorded
free-living behaviours from 132 adults. These trained models can be used to infer
fine resolution activity patterns at the population scale in 96,220 participants.
For example, we find that men spend more time in both low- and high- intensity
behaviours, while women spend more time in mixed behaviours. Walking time is
highest in spring and sleep time lowest during the summer. This work opens the
possibility of future public health guidelines informed by the health
consequences associated with specific, objectively measured, physical activity
and sleep behaviours.
PMID- 29784930
TI - Comparative mRNA and miRNA expression in European mouflon (Ovis musimon) and
sheep (Ovis aries) provides novel insights into the genetic mechanisms for female
reproductive success.
AB - Prolific breeds of domestic sheep (Ovis aries) are important genetic resources
due to their reproductive performance, which is characterized by multiple lambs
per birth and out-of-season breeding. However, the lack of a comprehensive
understanding of the genetic mechanisms underlying the important reproductive
traits, particularly from the evolutionary genomics perspective, has impeded the
efficient advancement of sheep breeding. Here, for the first time, by performing
RNA-sequencing we built a de novo transcriptome assembly of ovarian and
endometrial tissues in European mouflon (Ovis musimon) and performed an mRNA
miRNA integrated expression profiling analysis of the wild species and a highly
prolific domestic sheep breed, the Finnsheep. We identified several novel genes
with differentially expressed mRNAs (e.g., EREG, INHBA, SPP1, AMH, TDRD5, and
ZP2) between the wild and domestic sheep, which are functionally involved in
oocyte and follicle development and fertilization, and are significantly
(adjusted P-value < 0.05) enriched in the Gene Ontology (GO) terms of various
reproductive process, including the regulation of fertilization, oogenesis,
ovarian follicle development, and sperm-egg recognition. Additionally, we
characterized 58 differentially expressed miRNAs and 210 associated target genes
that are essential for the regulation of female reproduction cycles through
specific regulatory networks [e.g., (miR-136, miR-374a, miR-9-5p)-(EREG, INHBA)].
Furthermore, our integrated mRNA and miRNA expression profiling analysis
elucidated novel direct and indirect miRNA/mRNA causal regulatory relationships
related to the reproductive traits of the Ovis species. This study provides in
depth insights into the genomic evolution underlying the reproductive traits of
the Ovis species and valuable resources for ovine genomics.
PMID- 29784932
TI - Molecular Size Modulates Pharmacokinetics, Biodistribution, and Renal Deposition
of the Drug Delivery Biopolymer Elastin-like Polypeptide.
AB - Elastin-like polypeptides (ELP) are engineered proteins that consist of
repetitions of a five amino acid motif, and their composition is easily modified
to adjust their physical properties and attach therapeutics. Because of the
repetitive nature of the ELP sequence, polymer size is particularly amenable to
manipulation. ELP fusion proteins are being actively developed as therapeutics
for many disease applications, and how the ELP size and shape affects its
pharmacokinetics and biodistribution is a critical question for the general field
of ELP drug delivery. To address this, we generated a library of ELPs ranging in
size from 25 kDa to 110 kDa. Terminal plasma half-life was directly proportional
to polymer size, and organ biodistribution was also size dependent. The kidneys
accumulated the highest levels of ELP of all sizes, followed by the liver. Within
the kidney, most ELP was found in the proximal tubule, but intra-renal
localization shifted from exclusively cortical to a mixture of cortical and
medullary as ELP size increased.
PMID- 29784931
TI - Reconstruction of the diapsid ancestral genome permits chromosome evolution
tracing in avian and non-avian dinosaurs.
AB - Genomic organisation of extinct lineages can be inferred from extant chromosome
level genome assemblies. Here, we apply bioinformatic and molecular cytogenetic
approaches to determine the genomic structure of the diapsid common ancestor. We
then infer the events that likely occurred along this lineage from theropod
dinosaurs through to modern birds. Our results suggest that most elements of a
typical 'avian-like' karyotype (40 chromosome pairs, including 30
microchromosomes) were in place before the divergence of turtles from birds ~255
mya. This genome organisation therefore predates the emergence of early dinosaurs
and pterosaurs and the evolution of flight. Remaining largely unchanged
interchromosomally through the dinosaur-theropod route that led to modern birds,
intrachromosomal changes nonetheless reveal evolutionary breakpoint regions
enriched for genes with ontology terms related to chromatin organisation and
transcription. This genomic structure therefore appears highly stable yet
contributes to a large degree of phenotypic diversity, as well as underpinning
adaptive responses to major environmental disruptions via intrachromosomal
repatterning.
PMID- 29784933
TI - Well-protected quantum state transfer in a dissipative spin chain.
AB - In this work, a mechanism is investigated for improving the quantum state
transfer efficiency in a spin chain, which is in contact with a dissipative
structured reservoir. The efficiency of the method is based on the addition of
similar non-interacting auxiliary chains into the reservoir. In this way, we
obtain the exact solution for the master equation of the spin chain in the
presence of dissipation. It is found out that entering more auxiliary chains into
the reservoir causes, in general, the better improvement of the fidelity of state
transfer along the mentioned chain. Furthermore, it is reveal that the protocol
has better efficiency for a chain with longer length. Therefore, by this method,
quantum state transfer along a linear chain with an arbitrary number of qubits,
can be well-protected against the dissipative noises.
PMID- 29784934
TI - Investigation on the structures and magnetic properties of carbon or nitrogen
doped cobalt ferrite nanoparticles.
AB - Carbon or nitrogen doped cobalt ferrite nanoparticles were synthesized in the air
by a facile calcination process. X-ray diffraction, mapping, X-ray photoelectron
spectroscopy, and mossbauer spectra results indicate that the nonmetal elements
as the interstitial one are doped into cobalt ferrite nanoparticles. The
morphologies of doped cobalt ferrite nanoparticles change from near-spherical to
irregular cubelike shapes gradually with the increased carbon or nitrogen
concentration, and their particles sizes also increase more than 200 nm.
Furthermore, the saturation magnetization of carbon doped cobalt ferrite is
improved. Although the saturation magnetization of N-doped cobalt ferrite is not
enhanced obviously due to the involved hematite, they also do not drop
drastically. The results reveal an approach to synthesize large scale ferrite
nanoparticles, and improve the magnetic properties of ferrite nanoparticles, and
also provide the potential candidates to synthesis co-doped functional magnetic
materials.
PMID- 29784935
TI - A causal mechanism for childhood acute lymphoblastic leukaemia.
AB - In this Review, I present evidence supporting a multifactorial causation of
childhood acute lymphoblastic leukaemia (ALL), a major subtype of paediatric
cancer. ALL evolves in two discrete steps. First, in utero initiation by fusion
gene formation or hyperdiploidy generates a covert, pre-leukaemic clone. Second,
in a small fraction of these cases, the postnatal acquisition of secondary
genetic changes (primarily V(D)J recombination-activating protein (RAG) and
activation-induced cytidine deaminase (AID)-driven copy number alterations in the
case of ETS translocation variant 6 (ETV6)-runt-related transcription factor 1
(RUNX1)+ ALL) drives conversion to overt leukaemia. Epidemiological and modelling
studies endorse a dual role for common infections. Microbial exposures earlier in
life are protective but, in their absence, later infections trigger the critical
secondary mutations. Risk is further modified by inherited genetics, chance and,
probably, diet. Childhood ALL can be viewed as a paradoxical consequence of
progress in modern societies, where behavioural changes have restrained early
microbial exposure. This engenders an evolutionary mismatch between historical
adaptations of the immune system and contemporary lifestyles. Childhood ALL may
be a preventable cancer.
PMID- 29784937
TI - An Oil-Free Picodrop Bioassay Platform for Synthetic Biology.
AB - Droplet microfluidics enables massively-parallel analysis of single cells,
biomolecules, and chemicals, making it valuable for high-throughput screens.
However, many hydrophobic analytes are soluble in carrier oils, preventing their
quantitative analysis with the method. We apply Printed Droplet Microfluidics to
construct defined reactions with chemicals and cells incubated under air on an
open array. The method interfaces with most bioanalytical tools and retains
hydrophobic compounds in compartmentalized reactors, allowing their quantitation.
PMID- 29784936
TI - Multiple convergent supergene evolution events in mating-type chromosomes.
AB - Convergent adaptation provides unique insights into the predictability of
evolution and ultimately into processes of biological diversification. Supergenes
(beneficial gene linkage) are striking examples of adaptation, but little is
known about their prevalence or evolution. A recent study on anther-smut fungi
documented supergene formation by rearrangements linking two key mating-type
loci, controlling pre- and post-mating compatibility. Here further high-quality
genome assemblies reveal four additional independent cases of chromosomal
rearrangements leading to regions of suppressed recombination linking these
mating-type loci in closely related species. Such convergent transitions in
genomic architecture of mating-type determination indicate strong selection
favoring linkage of mating-type loci into cosegregating supergenes. We find
independent evolutionary strata (stepwise recombination suppression) in several
species, with extensive rearrangements, gene losses, and transposable element
accumulation. We thus show remarkable convergence in mating-type chromosome
evolution, recurrent supergene formation, and repeated evolution of similar
phenotypes through different genomic changes.
PMID- 29784939
TI - Automatic Cone Photoreceptor Localisation in Healthy and Stargardt Afflicted
Retinas Using Deep Learning.
AB - We present a robust deep learning framework for the automatic localisation of
cone photoreceptor cells in Adaptive Optics Scanning Light Ophthalmoscope (AOSLO)
split-detection images. Monitoring cone photoreceptors with AOSLO imaging grants
an excellent view into retinal structure and health, provides new perspectives
into well known pathologies, and allows clinicians to monitor the effectiveness
of experimental treatments. The MultiDimensional Recurrent Neural Network (MDRNN)
approach developed in this paper is the first method capable of reliably and
automatically identifying cones in both healthy retinas and retinas afflicted
with Stargardt disease. Therefore, it represents a leap forward in the
computational image processing of AOSLO images, and can provide clinical support
in on-going longitudinal studies of disease progression and therapy. We validate
our method using images from healthy subjects and subjects with the inherited
retinal pathology Stargardt disease, which significantly alters image quality and
cone density. We conduct a thorough comparison of our method with current state
of-the-art methods, and demonstrate that the proposed approach is both more
accurate and appreciably faster in localizing cones. As further validation to the
method's robustness, we demonstrate it can be successfully applied to images of
retinas with pathologies not present in the training data: achromatopsia, and
retinitis pigmentosa.
PMID- 29784940
TI - Starvation suppresses T cell appetite.
PMID- 29784938
TI - Characterization of the rat cerebrospinal fluid proteome following acute cerebral
ischemia using an aptamer-based proteomic technology.
AB - The limited accessibility to the brain has turned the cerebrospinal fluid (CSF)
into a valuable source that may contribute to the complete understanding of the
stroke pathophysiology. Here we have described the CSF proteome in the hyper
acute phase of cerebral ischemia by performing an aptamer-based proteomic assay
(SOMAscan) in CSF samples collected before and 30 min after male Wistar rats had
undergone a 90 min Middle Cerebral Artery Occlusion (MCAO) or sham-surgery.
Proteomic results indicated that cerebral ischemia acutely increased the CSF
levels of 716 proteins, mostly overrepresented in leukocyte chemotaxis and
neuronal death processes. Seven promising candidates were further evaluated in
rat plasma and brain (CKB, CaMK2A, CaMK2B, CaMK2D, PDXP, AREG, CMPK). The 3 CaMK2
family-members and CMPK early decreased in the infarcted brain area and, together
with AREG, co-localized with neurons. Conversely, CKB levels remained consistent
after the insult and specifically matched with astrocytes. Further exploration of
these candidates in human plasma revealed the potential of CKB and CMPK to
diagnose stroke, while CaMK2B and CMPK resulted feasible biomarkers of functional
stroke outcome. Our findings provided insights into the CSF proteome following
cerebral ischemia and identified new outstanding proteins that might be further
considered as potential biomarkers of stroke.
PMID- 29784941
TI - High-fidelity entanglement between a trapped ion and a telecom photon via quantum
frequency conversion.
AB - Entanglement between a stationary quantum system and a flying qubit is an
essential ingredient of a quantum-repeater network. It has been demonstrated for
trapped ions, trapped atoms, color centers in diamond, or quantum dots. These
systems have transition wavelengths in the blue, red or near-infrared spectral
regions, whereas long-range fiber-communication requires wavelengths in the low
loss, low-dispersion telecom regime. A proven tool to interconnect flying qubits
at visible/NIR wavelengths to the telecom bands is quantum frequency conversion.
Here we use an efficient polarization-preserving frequency converter connecting
854 nm to the telecom O-band at 1310 nm to demonstrate entanglement between a
trapped 40Ca+ ion and the polarization state of a telecom photon with a high
fidelity of 98.2 +/- 0.2%. The unique combination of 99.75 +/- 0.18% process
fidelity in the polarization-state conversion, 26.5% external frequency
conversion efficiency and only 11.4 photons/s conversion-induced unconditional
background makes the converter a powerful ion-telecom quantum interface.
PMID- 29784943
TI - Thomson scattering in inhomogeneous plasmas: The Role of the Fluctuation
Dissipation Theorem.
AB - A self-consistent kinetic theory of Thomson scattering of an electromagnetic
field by a non-uniform plasma is derived. We draw the readers' attention to the
inconsistency in recent results on the Thomson scattering in inhomogeneous
plasma, which leads to violation of the Fluctuation-Dissipation Theorem. We show,
that not only the imaginary part, but also the derivatives of the real part of
the dielectric susceptibility determine the amplitude and the width of the
Thomson scattering spectral lines. As a result of inhomogeneity, these properties
become asymmetric with respect to inversion of the sign of the frequency. A
method is proposed for measuring local gradients of the electron density with the
aid of Thomson scattering.Arising from: P. Kozlowski, et al. Sci. Rep. 6, 24283
(2016); https://doi.org/10.1038/srep24283 .
PMID- 29784942
TI - Continuous addition of progenitors forms the cardiac ventricle in zebrafish.
AB - The vertebrate heart develops from several progenitor lineages. After early
differentiating first heart field (FHF) progenitors form the linear heart tube,
late-differentiating second heart field (SHF) progenitors extend the atrium and
ventricle, and form inflow and outflow tracts (IFT/OFT). However, the position
and migration of late-differentiating progenitors during heart formation remains
unclear. Here, we track zebrafish heart development using transgenics based on
the cardiopharyngeal gene tbx1. Live imaging uncovers a tbx1 reporter-expressing
cell sheath that continuously disseminates from the lateral plate mesoderm
towards the forming heart tube. High-speed imaging and optogenetic lineage
tracing corroborates that the zebrafish ventricle forms through continuous
addition from the undifferentiated progenitor sheath followed by late-phase
accrual of the bulbus arteriosus (BA). FGF inhibition during sheath migration
reduces ventricle size and abolishes BA formation, refining the window of FGF
action during OFT formation. Our findings consolidate previous end-point analyses
and establish zebrafish ventricle formation as a continuous process.
PMID- 29784944
TI - Value of urinary KIM-1 and NGAL combined with serum Cys C for predicting acute
kidney injury secondary to decompensated cirrhosis.
AB - Urinary kidney injury molecule-1 (KIM-1), neutrophil gelatinase-associated
lipocalin (NGAL), and serum cystatin C (Cys C) are biomarkers of acute kidney
injury (AKI). However, the efficacy of combining these indices to diagnose
decompensated cirrhosis is unknown. This study involved 150 patients divided into
AKI and non-AKI, and healthy individuals. Urinary KIM-1 and NGAL, serum Cys and
creatine, and glomerular filtration rate (GFR) were compared based on Child-Pugh
liver function class. Urinary KIM-1 and NGAL concentrations and serum Cys C
levels were significantly higher in patients with AKI secondary to decompensated
cirrhosis than in those with AKI not secondary to decompensated cirrhosis (p <
0.01). These were significantly associated with higher kidney injury index stages
(p < 0.01) and negatively correlated with GFR in secondary AKI patients. Urinary
KIM-1 and NGAL and serum Cys C increased significantly and GFR decreased as Child
Pugh class of decompensated cirrhosis significantly increased (p < 0.05). SCr
levels were significantly increased in Child-Pugh class C patients (p < 0.05).
Urinary KIM-1, urinary NGAL, serum Cys C, and the combined detection factor, as
screening indices, could aid in the early diagnosis of AKI secondary to
decompensated cirrhosis.
PMID- 29784945
TI - Author Correction: Enabling Controlling Complex Networks with Local Topological
Information.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29784946
TI - Interpretable dimensionality reduction of single cell transcriptome data with
deep generative models.
AB - Single-cell RNA-sequencing has great potential to discover cell types, identify
cell states, trace development lineages, and reconstruct the spatial organization
of cells. However, dimension reduction to interpret structure in single-cell
sequencing data remains a challenge. Existing algorithms are either not able to
uncover the clustering structures in the data or lose global information such as
groups of clusters that are close to each other. We present a robust statistical
model, scvis, to capture and visualize the low-dimensional structures in single
cell gene expression data. Simulation results demonstrate that low-dimensional
representations learned by scvis preserve both the local and global neighbor
structures in the data. In addition, scvis is robust to the number of data points
and learns a probabilistic parametric mapping function to add new data points to
an existing embedding. We then use scvis to analyze four single-cell RNA
sequencing datasets, exemplifying interpretable two-dimensional representations
of the high-dimensional single-cell RNA-sequencing data.
PMID- 29784947
TI - A unified formulation of dichroic signals using the Borrmann effect and twisted
photon beams.
AB - Dichroic X-ray signals derived from the Borrmann effect and a twisted photon beam
with topological charge l = 1 are formulated with an effective wavevector. The
unification applies for non-magnetic and magnetic materials. Electronic degrees
of freedom associated with an ion are encapsulated in multipoles previously used
to interpret conventional dichroism and Bragg diffraction enhanced by an atomic
resonance. A dichroic signal exploiting the Borrmann effect with a linearly
polarized beam presents charge-like multipoles that include a hexadecapole. A
difference between dichroic signals obtained with a twisted beam carrying spin
polarization (circular polarization) and opposite winding numbers presents charge
like atomic multipoles, whereas a twisted beam carrying linear polarization alone
presents magnetic (time-odd) multipoles. Charge-like multipoles include a
quadrupole, and magnetic multipoles include a dipole and an octupole. We discuss
the practicalities and relative merits of spectroscopy exploiting the two
remarkably closely-related processes. Signals using beams with topological
charges l >= 2 present additional atomic multipoles.
PMID- 29784948
TI - Simultaneous cell disruption and semi-quantitative activity assays for high
throughput screening of thermostable L-asparaginases.
AB - L-asparaginase, which catalyses the hydrolysis of L-asparagine to L-aspartate,
has attracted the attention of researchers due to its expanded applications in
medicine and the food industry. In this study, a novel thermostable L
asparaginase from Pyrococcus yayanosii CH1 was cloned and over-expressed in
Bacillus subtilis 168. To obtain thermostable L-asparaginase mutants with higher
activity, a robust high-throughput screening process was developed specifically
for thermophilic enzymes. In this process, cell disruption and enzyme activity
assays are simultaneously performed in 96-deep well plates. By combining error
prone PCR and screening, six brilliant positive variants and four key amino acid
residue mutations were identified. Combined mutation of the four residues showed
relatively high specific activity (3108 U/mg) that was 2.1 times greater than
that of the wild-type enzyme. Fermentation with the mutant strain in a 5-L
fermenter yielded L-asparaginase activity of 2168 U/mL.
PMID- 29784949
TI - Neuronal activity regulates DROSHA via autophagy in spinal muscular atrophy.
AB - Dysregulated miRNA expression and mutation of genes involved in miRNA biogenesis
have been reported in motor neuron diseases including spinal muscular atrophy
(SMA) and amyotrophic lateral sclerosis (ALS). Therefore, identifying molecular
mechanisms governing miRNA expression is important to understand these diseases.
Here, we report that expression of DROSHA, which is a critical enzyme in the
microprocessor complex and essential for miRNA biogenesis, is reduced in motor
neurons from an SMA mouse model. We show that DROSHA is degraded by neuronal
activity induced autophagy machinery, which is also dysregulated in SMA. Blocking
neuronal activity or the autophagy-lysosome pathway restores DROSHA levels in SMA
motor neurons. Moreover, reducing DROSHA levels enhances axonal growth. As
impaired axonal growth is a well described phenotype of SMA motor neurons, these
data suggest that DROSHA reduction by autophagy may mitigate the phenotype of
SMA. In summary, these findings suggest that autophagy regulates RNA metabolism
and neuronal growth via the DROSHA/miRNA pathway and this pathway is dysregulated
in SMA.
PMID- 29784951
TI - Tomographic and multimodal scattering-type scanning near-field optical microscopy
with peak force tapping mode.
AB - Scattering-type scanning near-field optical microscopy (s-SNOM) enables nanoscale
spectroscopic imaging and has been instrumental for many nano-photonic
discoveries and in situ studies. However, conventional s-SNOM techniques with
atomic force microscopy tapping mode operation and lock-in detections do not
provide direct tomographic information with explicit tip-sample distance. Here,
we present a non-traditional s-SNOM technique, named peak force scattering-type
scanning near-field optical microscopy (PF-SNOM), by combination of peak force
tapping mode and time-gated light detection. PF-SNOM enables direct sectioning of
vertical near-field signals from a sample surface for both three-dimensional near
field imaging and spectroscopic analysis. Tip-induced relaxation of surface
phonon polaritons are revealed and modeled by considering tip damping. PF-SNOM
also delivers a spatial resolution of 5 nm and can simultaneously measure
mechanical and electrical properties together with optical near-field signals. PF
SNOM is expected to facilitate three-dimensional nanoscale near-field
characterizations and correlative in situ investigations on light-induced
mechanical and electrical effects.
PMID- 29784952
TI - Vanishing river ice cover in the lower part of the Danube basin - signs of a
changing climate.
AB - Many of the world's largest rivers in the extra tropics are covered with ice
during the cold season, and in the Northern Hemisphere approximately 60% of the
rivers experience significant seasonal effects of river ice. Here we present an
observational data set of the ice cover regime for the lower part of the Danube
River which spans over the period 1837-2016, and its the longest one on record
over this area. The results in this study emphasize the strong impact of climate
change on the occurrence of ice regime especially in the second part of the 20th
century. The number of ice cover days has decreased considerably
(~28days/century) mainly due to an increase in the winter mean temperature. In a
long-term context, based on documentary evidences, we show that the ice cover
occurrence rate was relatively small throughout the Medieval Warm Period (MWP),
while the highest occurrence rates were found during the Maunder Minimum and
Dalton Minimum periods. We conclude that the river ice regime can be used as a
proxy for the winter temperature over the analyzed region and as an indicator of
climate-change related impacts.
PMID- 29784950
TI - Genome-wide association study identified new susceptible genetic variants in HLA
class I region for hepatitis B virus-related hepatocellular carcinoma.
AB - We have performed a genome-wide association study (GWAS) including 473 Japanese
HBV (hepatitis B virus)-positive HCC (hepatocellular carcinoma) patients and 516
HBV carriers including chronic hepatitis and asymptomatic carrier individuals to
identify new host genetic factors associated with HBV-derived HCC in Japanese and
other East Asian populations. We identified 65 SNPs with P values < 10-4 located
within the HLA class I region and three SNPs were genotyped in three independent
population-based replication sets. Meta-analysis confirmed the association of the
three SNPs (rs2523961: OR = 1.73, P = 7.50 * 10-12; rs1110446: OR = 1.79, P =
1.66 * 10-13; and rs3094137: OR = 1.73, P = 7.09 * 10-9). We then performed two
field HLA genotype imputation for six HLA loci using genotyping data to
investigate the association between HLA alleles and HCC. HLA allele association
testing revealed that HLA-A * 33:03 (OR = 1.97, P = 4.58 * 10-4) was
significantly associated with disease progression to HCC. Conditioning analysis
of each of the three SNPs on the HLA class I region abolished the association of
HLA-A*33:03 with disease progression to HCC. However, conditioning the HLA allele
could not eliminate the association of the three SNPs, suggesting that additional
genetic factors may exist in the HLA class I region.
PMID- 29784953
TI - Reply to 'Thomson scattering in inhomogeneous plasmas: The Role of the
Fluctuation-Dissipation Theorem'.
AB - In a comment on our article "Theory of Thomson scattering in inhomogeneous
media", V. V. Belyi asserts that there is an inconsistency in our method of
applying gradient effects via the dielectric superposition principle, in
violation of the fluctuation-dissipation theorem; and that his Klimontovich
Langevin formulation would be more appropriate to our application. While we agree
that a generalization, along the lines of Belyi's work, would be required for
strongly coupled systems, for the weakly coupled systems which we considered,
these corrections are not necessary and our approach is still
appropriate.Replying to: V. Belyi, Sci. Rep. 8 (2018);
https://doi.org/10.1038/s41598-018-25319-6 .
PMID- 29784954
TI - The structural basis of nanobody unfolding reversibility and thermoresistance.
AB - Nanobodies represent the variable binding domain of camelid heavy-chain
antibodies and are employed in a rapidly growing range of applications in
biotechnology and biomedicine. Their success is based on unique properties
including their reported ability to reversibly refold after heat-induced
denaturation. This view, however, is contrasted by studies which involve
irreversibly aggregating nanobodies, asking for a quantitative analysis that
clearly defines nanobody thermoresistance and reveals the determinants of
unfolding reversibility and aggregation propensity. By characterizing nearly 70
nanobodies, we show that irreversible aggregation does occur upon heat
denaturation for the large majority of binders, potentially affecting application
relevant parameters like stability and immunogenicity. However, by deriving
aggregation propensities from apparent melting temperatures, we show that an
optional disulfide bond suppresses nanobody aggregation. This effect is further
enhanced by increasing the length of a complementarity determining loop which,
although expected to destabilize, contributes to nanobody stability. The effect
of such variations depends on environmental conditions, however. Nanobodies with
two disulfide bonds, for example, are prone to lose their functionality in the
cytosol. Our study suggests strategies to engineer nanobodies that exhibit
optimal performance parameters and gives insights into general mechanisms which
evolved to prevent protein aggregation.
PMID- 29784955
TI - Integration of large-scale data for extraction of integrated Arabidopsis root
cell-type specific models.
AB - Plant organs consist of multiple cell types that do not operate in isolation, but
communicate with each other to maintain proper functions. Here, we extract models
specific to three developmental stages of eight root cell types or tissue layers
in Arabidopsis thaliana based on a state-of-the-art constraint-based modeling
approach with all publicly available transcriptomics and metabolomics data from
this system to date. We integrate these models into a multi-cell root model which
we investigate with respect to network structure, distribution of fluxes, and
concordance to transcriptomics and proteomics data. From a methodological point,
we show that the coupling of tissue-specific models in a multi-tissue model
yields a higher specificity of the interconnected models with respect to network
structure and flux distributions. We use the extracted models to predict and
investigate the flux of the growth hormone indole-3-actetate and its antagonist,
trans-Zeatin, through the root. While some of predictions are in line with
experimental evidence, constraints other than those coming from the metabolic
level may be necessary to replicate the flow of indole-3-actetate from other
simulation studies. Therefore, our work provides the means for data-driven multi
tissue metabolic model extraction of other Arabidopsis organs in the constraint
based modeling framework.
PMID- 29784956
TI - Dynamics and function of DNA methylation in plants.
AB - DNA methylation is a conserved epigenetic modification that is important for gene
regulation and genome stability. Aberrant patterns of DNA methylation can lead to
plant developmental abnormalities. A specific DNA methylation state is an outcome
of dynamic regulation by de novo methylation, maintenance of methylation and
active demethylation, which are catalysed by various enzymes that are targeted by
distinct regulatory pathways. In this Review, we discuss DNA methylation in
plants, including methylating and demethylating enzymes and regulatory factors,
and the coordination of methylation and demethylation activities by a so-called
methylstat mechanism; the functions of DNA methylation in regulating transposon
silencing, gene expression and chromosome interactions; the roles of DNA
methylation in plant development; and the involvement of DNA methylation in plant
responses to biotic and abiotic stress conditions.
PMID- 29784957
TI - Metabolic Reprogramming in Leaf Lettuce Grown Under Different Light Quality and
Intensity Conditions Using Narrow-Band LEDs.
AB - Light-emitting diodes (LEDs) are an artificial light source used in closed-type
plant factories and provide a promising solution for a year-round supply of green
leafy vegetables, such as lettuce (Lactuca sativa L.). Obtaining high-quality
seedlings using controlled irradiation from LEDs is critical, as the seedling
health affects the growth and yield of leaf lettuce after transplantation.
Because key molecular pathways underlying plant responses to a specific light
quality and intensity remain poorly characterised, we used a multi-omics-based
approach to evaluate the metabolic and transcriptional reprogramming of leaf
lettuce seedlings grown under narrow-band LED lighting. Four types of
monochromatic LEDs (one blue, two green and one red) and white fluorescent light
(control) were used at low and high intensities (100 and 300 MUmol.m-2.s-1,
respectively). Multi-platform mass spectrometry-based metabolomics and RNA-Seq
were used to determine changes in the metabolome and transcriptome of lettuce
plants in response to different light qualities and intensities. Metabolic
pathway analysis revealed distinct regulatory mechanisms involved in flavonoid
and phenylpropanoid biosynthetic pathways under blue and green wavelengths. Taken
together, these data suggest that the energy transmitted by green light is
effective in creating a balance between biomass production and the production of
secondary metabolites involved in plant defence.
PMID- 29784960
TI - Some like it hot - sex determination in turtles.
PMID- 29784958
TI - Polycomb Repressive Complex 2 attenuates the very high expression of the
Arabidopsis gene NRT2.1.
AB - PRC2 is a major regulator of gene expression in eukaryotes. It catalyzes the
repressive chromatin mark H3K27me3, which leads to very low expression of target
genes. NRT2.1, which encodes a key root nitrate transporter in Arabidopsis, is
targeted by H3K27me3, but the function of PRC2 on NRT2.1 remains unclear. Here,
we demonstrate that PRC2 directly targets and down-regulates NRT2.1, but in a
context of very high transcription, in nutritional conditions where this gene is
one of the most highly expressed genes in the transcriptome. Indeed, the mutation
of CLF, which encodes a PRC2 subunit, leads to a loss of H3K27me3 at NRT2.1 and
results, exclusively under permissive conditions for NRT2.1, in a further
increase in NRT2.1 expression, and specifically in tissues where NRT2.1 is
normally expressed. Therefore, our data indicates that PRC2 tempers the
hyperactivity of NRT2.1 in a context of very strong transcription. This reveals
an original function of PRC2 in the control of the expression of a highly
expressed gene in Arabidopsis.
PMID- 29784959
TI - Commercially Available Natural Benzyl Esters and Their Synthetic Analogs Exhibit
Different Toxicities against Insect Pests.
AB - Benzyl methyl ester, also known as methyl benzoate (MB), is a volatile organic
compound that exists naturally as a floral fragrance in many plants. Our
behavioral bioassays show that MB and some of its naturally occurring and
synthetic analogs kill insects at different life stages. Compared to commercial
pesticides containing pyriproxyfen and acetamiprid, MB and some analogs are 1.3
to 3.4 times more toxic to gypsy moth larvae and brown marmorated stinkbug
nymphs. The arthropod repellent DEET is also a benzyl ester, and shares the same
chemical skeleton with MB. They differ by the diethylamide ester and a methyl
group on the benzene ring in DEET. However, unlike MB, DEET does not kill
insects; instead, it deters or repels them. Exactly how DEET causes the repellent
effect in target organisms is still a mystery. Due to the MB's structural
similarity to DEET, exploring the structure - activity relationship (SAR) of the
MB analogs will provide useful information for the discovery of the mode and
mechanistic actions of DEET as an insect repellent. In addition, the SAR will
allow researchers to modify the chemical structure of the MB molecule, leading to
the development of more efficient, safe, and environmentally - friendly green
pesticides.
PMID- 29784962
TI - Carrier thermometry of cold ytterbium atoms in an optical lattice clock.
AB - The ultracold atomic gas serving as the quantum reference is a key part of an
optical lattice clock, and the temperature of atoms in the optical lattice
affects the uncertainty and instability of the optical lattice clocks. Since the
carrier spectrum of the clock transition in the lattices reflects the thermal
dynamics of cold atoms, the temperature of atoms can be extracted from the
carrier spectrum in a non-magic wavelength lattice of ytterbium optical clocks.
Furthermore, the temperatures obtained from the carrier spectra are in good
agreement with the results obtained by the time-of-flight method and thermometry
based on the sideband spectrum. In addition, the heating effects caused by the
lattice laser are studied on the basis of the sample temperatures.
PMID- 29784963
TI - Single entity resolution valving of nanoscopic species in liquids.
AB - Investigating biological and synthetic nanoscopic species in liquids, at the
ultimate resolution of single entity, is important in diverse fields1-5. Progress
has been made6-10, but significant barriers need to be overcome such as the need
for intense fields, the lack of versatility in operating conditions and the
limited functionality in solutions of high ionic strength for biological
applications. Here, we demonstrate switchable electrokinetic nanovalving able to
confine and guide single nano-objects, including macromolecules, with sizes down
to around 10 nanometres, in a lab-on-chip environment. The nanovalves are based
on spatiotemporal tailoring of the potential energy landscape of nano-objects
using an electric field, modulated collaboratively by wall nanotopography and by
embedded electrodes in a nanochannel system. We combine nanovalves to isolate
single entities from an ensemble, and demonstrate their guiding, confining,
releasing and sorting. We show on-demand motion control of single immunoglobulin
G molecules, quantum dots, adenoviruses, lipid vesicles, dielectric and metallic
particles, suspended in electrolytes with a broad range of ionic strengths, up to
biological levels. Such systems can enable nanofluidic, large-scale integration
and individual handling of multiple entities in applications ranging from single
species characterization and screening to in situ chemical or biochemical
synthesis in continuous on-chip processes.
PMID- 29784964
TI - High-speed photothermal off-resonance atomic force microscopy reveals assembly
routes of centriolar scaffold protein SAS-6.
AB - The self-assembly of protein complexes is at the core of many fundamental
biological processes1, ranging from the polymerization of cytoskeletal elements,
such as microtubules2, to viral capsid formation and organelle assembly3. To
reach a comprehensive understanding of the underlying mechanisms of self
assembly, high spatial and temporal resolutions must be attained. This is
complicated by the need to not interfere with the reaction during the
measurement. As self-assemblies are often governed by weak interactions, they are
especially difficult to monitor with high-speed atomic force microscopy (HS-AFM)
due to the non-negligible tip-sample interaction forces involved in current
methods. We have developed a HS-AFM technique, photothermal off-resonance tapping
(PORT), which is gentle enough to monitor self-assembly reactions driven by weak
interactions. We apply PORT to dissect the self-assembly reaction of SAS-6
proteins, which form a nine-fold radially symmetric ring-containing structure
that seeds the formation of the centriole organelle. Our analysis reveals the
kinetics of SAS-6 ring formation and demonstrates that distinct biogenesis routes
can be followed to assemble a nine-fold symmetrical structure.
PMID- 29784961
TI - Sarcodon imbricatus polysaccharides improve mouse hematopoietic function after
cyclophosphamide-induced damage via G-CSF mediated JAK2/STAT3 pathway.
AB - Sarcodon imbricatus, a rare medicinal and edible fungus, has various
pharmacological bioactivities. We investigated the effects of S. imbricatus
polysaccharides (SIPS) on hematopoietic function and identified the underlying
mechanisms using in vitro experiments with CHRF, K562, and bone marrow
mononuclear cells (BMMNCs) and in vivo experiments with a mouse model of
cyclophosphamide-induced hematopoietic dysfunction. We found that SIPS induced
proliferation and differentiation of CHRF and K562 cells and upregulated the
expression of hematopoietic-related proteins, including p90 ribosomal S6 kinases
(RSK1p90), c-Myc, and ETS transcription factor, in the two cell lines. After 28
days of treatment, SIPS enhanced the bodyweight and thymus indices of the mice,
alleviated enlargement of the spleen and liver, and contributed to the recovery
of peripheral blood to normal levels. More importantly, the percentages of B
lymphocytes and hematopoietic stem cells or hematopoietic progenitor cells were
significantly elevated in bone marrow. Based on an antibody chip analysis and
enzyme-linked immunosorbent assay, SIPS were found to successfully regulate 12
cytokines to healthy levels in serum and spleen. The cytokines included the
following: interleukins 1Ra, 2, 3, 4, 5, and 6, tumor necrosis factor alpha,
interferon-gamma, granulocyte colony-stimulating factor (G-CSF) and macrophage
colony-stimulating factor (M-CSF), C-C motif chemokine1, and monocyte
chemoattractant protein-1. Moreover, SIPS upregulated the phosphorylation levels
of janus kinase 2 (JAK2) and the signal transducer and activator of transcription
3 (STAT3) in the spleen, and similar results were validated in CHRF cells, K562
cells, and BMMNCs. The data indicate that SIPS activated the JAK2/STAT3 pathway,
possibly by interactions among multiple cytokines, particularly G-CSF. We found
that SIPS was remarkably beneficial to the bone marrow hematopoietic system, and
we anticipate that it could improve myelosuppression induced by long-term
radiotherapy or chemotherapy.
PMID- 29784965
TI - Broadband, electrically tunable third-harmonic generation in graphene.
AB - Optical harmonic generation occurs when high intensity light (>1010 W m-2)
interacts with a nonlinear material. Electrical control of the nonlinear optical
response enables applications such as gate-tunable switches and frequency
converters. Graphene displays exceptionally strong light-matter interaction and
electrically and broadband tunable third-order nonlinear susceptibility. Here, we
show that the third-harmonic generation efficiency in graphene can be increased
by almost two orders of magnitude by controlling the Fermi energy and the
incident photon energy. This enhancement is due to logarithmic resonances in the
imaginary part of the nonlinear conductivity arising from resonant multiphoton
transitions. Thanks to the linear dispersion of the massless Dirac fermions, gate
controllable third-harmonic enhancement can be achieved over an ultrabroad
bandwidth, paving the way for electrically tunable broadband frequency converters
for applications in optical communications and signal processing.
PMID- 29784966
TI - Author Correction: Ultrahard carbon film from epitaxial two-layer graphene.
AB - In the version of this Article originally published, the second affiliation for
Walter A. de Heer had not been included; it should be 'TICNN, Tianjin University,
Tianjin, China'. This has now been added and the numbering of subsequent
affiliations amended accordingly in all versions of the Article.
PMID- 29784967
TI - The Cardiometabolic Burden of Self-Perceived Obesity: A Multilevel Analysis of a
Nationally Representative Sample of Korean Adults.
AB - Emerging evidence has shown that self-perception of overweight/obese status is
associated with unfavorable cardiometabolic outcomes, above and beyond actual
body weight. Given the lack of research among Asian populations, we examined the
association between weight perception and metabolic syndrome (MetS) and
cardiometabolic risks among Koreans. Data from the 2010-2015 Korea National
Health and Nutrition Examination Survey, including women (N = 12,181) and men (N
= 9,448) aged 19-65 years, were analyzed. Weight status perception was measured
by participants' self-evaluation of their body size ("very/slightly obese,"
"normal," and "very/slightly thin"). Overall, 23.2% of women and 28.7% of men had
MetS. Our cross-sectional multilevel logistic analyses showed a significant
positive association between self-perceived obesity (vs. perceived normal weight)
and MetS, independent of BMI and sociodemographic/behavioral/medical conditions,
with a stronger association detected among men (OR = 1.38, p < 0.05) than women
(OR = 1.22, p < 0.05), confirmed by a statistically significant interaction.
Additionally, perceived obesity was associated with high blood pressure (OR =
1.27, p < 0.05) and high triglycerides (OR = 1.38, p < 0.05) among men and low
high-density lipoprotein cholesterol (OR = 1.15, p < 0.05) among women. While
further prospective research is needed, our findings suggest that perception of
being obese may be an unfavorable indicator of cardiometabolic health among
Koreans regardless of actual body weight.
PMID- 29784968
TI - Ultranarrow-bandwidth filter based on a thermal EIT medium.
AB - We present high-contrast electromagnetically-induced-transparency (EIT) spectra
in a heated vapor cell of single isotope 87Rb atoms. The EIT spectrum has both
high resonant transmission up to 67% and narrow linewidth of 1.1 MHz. We get rid
of the possible amplification resulted from the effects of amplification without
population inversion and four-wave mixing. Therefore, this high transmitted light
is not artificial. The theoretical prediction of the probe transmission agrees
well with the data and the experimental parameters can be derived reasonably from
the model. Such narrow and high-contrast spectral profile can be employed as a
high precision bandpass filter, which provides a significant advantage in terms
of stability and tunability. The central frequency tuning range of the filter is
larger than 100 MHz with out-of-band blocking >=15 dB. This bandpass filter can
effectively produce light fields with subnatural linewidth. Nonlinearity
associating with the narrow-linewidth and high-contrast EIT profile can be very
useful in the applications utilizing the EIT effect.
PMID- 29784969
TI - North American domestic pigs are susceptible to experimental infection with
Japanese encephalitis virus.
AB - Japanese encephalitis virus (JEV) is a mosquito-borne flavivirus that is capable
of causing encephalitic diseases in children. While humans can succumb to severe
disease, the transmission cycle is maintained by viremic birds and pigs in
endemic regions. Although JEV is regarded as a significant threat to the United
States (U.S.), the susceptibility of domestic swine to JEV infection has not been
evaluated. In this study, domestic pigs from North America were intravenously
challenged with JEV to characterize the pathological outcomes. Systemic infection
followed by the development of neutralizing antibodies were observed in all
challenged animals. While most clinical signs were limited to nonspecific
symptoms, virus dissemination and neuroinvasion was observed at the acute phase
of infection. Detection of infectious viruses in nasal secretions suggest
infected animals are likely to promote the vector-free transmission of JEV. Viral
RNA present in tonsils at 28 days post infection demonstrates the likelihood of
persistent infection. In summary, our findings indicate that domestic pigs can
potentially become amplification hosts in the event of an introduction of JEV
into the U.S. Vector-free transmission to immunologically naive vertebrate hosts
is also likely through nasal shedding of infectious viruses.
PMID- 29784970
TI - Association of Blood Pressure with Fasting Blood Glucose Levels in Northeast
China: A Cross-Sectional Study.
AB - Hypertension and diabetes mellitus (DM) have become major public health issues,
and previous studies have shown that there is an association between hypertension
and DM. However, there is a lack of detailed information about this association.
This study aimed to explore how different blood pressure (BP) levels were
associated with fasting blood glucose (FBG) levels. A cross-sectional survey with
adults aged 18 to 79 years was conducted in Jilin Province, China in 2012. Lambda
mu-sigma (LMS) was used to preliminarily explore the associations of BP with FBG.
Quantile regression (QR) was performed to identify the specific associations by
adjusting for confounding factors. The distributions of systolic blood pressure
(SBP) (chi2 = 710.76, P < 0.001) and diastolic blood pressure (DBP) (chi2 =
460.20, P < 0.001) were different according to gender. LMS showed that the
associations of BP with FBG became stronger when the FBG levels were close to 5.6
mmol/L. QR showed that FBG was positively associated with SBP (P30 to P90) and
DBP (P20 to P90) in males. In females, FBG was positively associated with SBP
from only P85 to P90. In summary, FBG was positively associated with BP in a
gender-dependent manner.
PMID- 29784971
TI - Normalized spatial complexity analysis of neural signals.
AB - The spatial complexity of neural signals, which was traditionally quantified by
omega complexity, varies inversely with the global functional connectivity level
across distinct region-of-interests, thus provides a novel approach in functional
connectivity analysis. However, the measures in omega complexity are sensitive to
the number of neural time-series. Here, normalized spatial complexity was
suggested to overcome the above limitation, and was verified by the functional
near-infrared spectroscopy (fNIRS) data from a previous published autism spectrum
disorder (ASD) research. By this new method, several conclusions consistent with
traditional approaches on the pathological mechanisms of ASD were found, i.e.,
the prefrontal cortex made a major contribution to the hypo-connectivity of young
children with ASD. Moreover, some novel findings were also detected (e.g.,
significantly higher normalized regional spatial complexities of bilateral
prefrontal cortices and the variability of normalized local complexity
differential of right temporal lobe, and the regional differences of measures in
normalized regional spatial complexity), which could not be successfully detected
via traditional approaches. These results confirmed the value of this novel
approach, and extended the methodology system of functional connectivity. This
novel technique could be applied to the neural signal of other neuroimaging
techniques and other neurological and cognitive conditions.
PMID- 29784972
TI - Comprehensive analysis of the mouse cytochrome P450 family responsible for omega
3 epoxidation of eicosapentaenoic acid.
AB - Metabolites generated via oxygenation of the omega-3 double bond (omega-3
oxygenation) in eicosapentaenoic acid (EPA) have recently been identified as
novel anti-inflammatory lipid mediators. Therefore, oxygenase(s) responsible for
this metabolic pathway are of particular interest. We performed genome-wide
screening of mouse cytochrome P450 (CYP) isoforms to explore enzymes involved in
omega-3 oxygenation of EPA. As a result, 5 CYP isoforms (mouse Cyp1a2, 2c50,
4a12a, 4a12b, and 4f18) were selected and identified to confer omega-3
epoxidation of EPA to yield 17,18-epoxyeicosatetraenoic acid (17,18-EpETE).
Stereoselective production of 17,18-EpETE by each CYP isoform was confirmed, and
molecular modeling indicated that chiral differences stem from different EPA
binding conformations in the catalytic domains of respective CYP enzymes.
PMID- 29784974
TI - Longing for HIV protection.
PMID- 29784976
TI - Microbial metabolic gatekeeping in the jejunum.
PMID- 29784973
TI - Significance of prohibitin domain family in tumorigenesis and its implication in
cancer diagnosis and treatment.
AB - Prohibitin (PHB) was originally isolated and characterized as an anti
proliferative gene in rat liver. The evolutionarily conserved PHB gene encodes
two human protein isoforms with molecular weights of ~33 kDa, PHB1 and PHB2. PHB1
and PHB2 belong to the prohibitin domain family, and both are widely distributed
in different cellular compartments such as the mitochondria, nucleus, and cell
membrane. Most studies have confirmed differential expression of PHB1 and PHB2 in
cancers compared to corresponding normal tissues. Furthermore, studies verified
that PHB1 and PHB2 are involved in the biological processes of tumorigenesis,
including cancer cell proliferation, apoptosis, and metastasis. Two small
molecule inhibitors, Rocaglamide (RocA) and fluorizoline, derived from medicinal
plants, were demonstrated to interact directly with PHB1 and thus inhibit the
interaction of PHB with Raf-1, impeding Raf-1/ERK signaling cascades and
significantly suppressing cancer cell metastasis. In addition, a short peptide
ERAP and a natural product xanthohumol were shown to target PHB2 directly and
prohibit cancer progression in estrogen-dependent cancers. As more efficient
biomarkers and targets are urgently needed for cancer diagnosis and treatment,
here we summarize the functional role of prohibitin domain family proteins,
focusing on PHB1 and PHB2 in tumorigenesis and cancer development, with the
expectation that targeting the prohibitin domain family will offer more clues for
cancer therapy.
PMID- 29784975
TI - A unique cytoplasmic ATPase complex defines the Legionella pneumophila type IV
secretion channel.
AB - Type IV secretion systems (T4SSs) are complex machines used by bacteria to
deliver protein and DNA complexes into target host cells1-5. Conserved ATPases
are essential for T4SS function, but how they coordinate their activities to
promote substrate transfer remains poorly understood. Here, we show that the DotB
ATPase associates with the Dot-Icm T4SS at the Legionella cell pole through
interactions with the DotO ATPase. The structure of the Dot-Icm apparatus was
solved in situ by cryo-electron tomography at 3.5 nm resolution and the
cytoplasmic complex was solved at 3.0 nm resolution. These structures revealed a
cell envelope-spanning channel that connects to the cytoplasmic complex. Further
analysis revealed a hexameric assembly of DotO dimers associated with the inner
membrane complex, and a DotB hexamer associated with the base of this cytoplasmic
complex. The assembly of a DotB-DotO energy complex creates a cytoplasmic channel
that directs the translocation of substrates through the T4SS. These data define
distinct stages in Dot-Icm machine biogenesis, advance our understanding of
channel activation, and identify an envelope-spanning T4SS channel.
PMID- 29784977
TI - Cryptococcus neoformans sexual reproduction is controlled by a quorum sensing
peptide.
AB - Bacterial quorum sensing is a well-characterized communication system that
governs a large variety of collective behaviours. By comparison, quorum sensing
regulation in eukaryotic microbes remains poorly understood, especially its
functional role in eukaryote-specific behaviours, such as sexual reproduction.
Cryptococcus neoformans is a prevalent fungal pathogen that has two defined
sexual cycles (bisexual and unisexual) and is a model organism for studying
sexual reproduction in fungi. Here, we show that the quorum sensing peptide Qsp1
serves as an important signalling molecule for both forms of sexual reproduction.
Qsp1 orchestrates various differentiation and molecular processes, including
meiosis, the hallmark of sexual reproduction. It activates bisexual mating, at
least in part through the control of pheromone, a signal necessary for bisexual
activation. Notably, Qsp1 also plays a major role in the intercellular regulation
of unisexual initiation and coordination, in which pheromone is not strictly
required. Through a multi-layered genetic screening approach, we identified the
atypical zinc finger regulator Cqs2 as an important component of the Qsp1
signalling cascade during both bisexual and unisexual reproduction. The absence
of Cqs2 eliminates the Qsp1-stimulated mating response. Together, these findings
extend the range of behaviours governed by quorum sensing to sexual development
and meiosis.
PMID- 29784979
TI - Pervasive introgression facilitated domestication and adaptation in the Bos
species complex.
AB - Species of the Bos genus, including taurine cattle, zebu, gayal, gaur, banteng,
yak, wisent and bison, have been domesticated at least four times and have been
an important source of meat, milk and power for many human cultures. We sequence
the genomes of gayal, gaur, banteng, wisent and bison, and provide population
genomic sequencing of an additional 98 individuals. We use these data to
determine the phylogeny and evolutionary history of these species and show that
the threatened gayal is an independent species or subspecies. We show that there
has been pronounced introgression among different members of this genus, and that
it in many cases has involved genes of considerable adaptive importance. For
example, genes under domestication selection in cattle (for example, MITF) were
introgressed from domestic cattle to yak. Also, genes in the response-to-hypoxia
pathway (for example, EGLN1, EGLN2 and HIF3a) have been introgressed from yak to
Tibetan cattle, probably facilitating their adaptation to high altitude. We also
validate that there is an association between the introgressed EGLN1 allele and
haemoglobin and red blood cell concentration. Our results illustrate the
importance of introgression as a source of adaptive variation and during
domestication, and suggest that the Bos genus evolves as a complex of genetically
interconnected species with shared evolutionary trajectories.
PMID- 29784978
TI - Genomes of all known members of a Plasmodium subgenus reveal paths to virulent
human malaria.
AB - Plasmodium falciparum, the most virulent agent of human malaria, shares a recent
common ancestor with the gorilla parasite Plasmodium praefalciparum. Little is
known about the other gorilla- and chimpanzee-infecting species in the same
(Laverania) subgenus as P. falciparum, but none of them are capable of
establishing repeated infection and transmission in humans. To elucidate
underlying mechanisms and the evolutionary history of this subgenus, we have
generated multiple genomes from all known Laverania species. The completeness of
our dataset allows us to conclude that interspecific gene transfers, as well as
convergent evolution, were important in the evolution of these species. Striking
copy number and structural variations were observed within gene families and one,
stevor, shows a host-specific sequence pattern. The complete genome sequence of
the closest ancestor of P. falciparum enables us to estimate the timing of the
beginning of speciation to be 40,000-60,000 years ago followed by a population
bottleneck around 4,000-6,000 years ago. Our data allow us also to search in
detail for the features of P. falciparum that made it the only member of the
Laverania able to infect and spread in humans.
PMID- 29784980
TI - Global mismatch of policy and research on drivers of biodiversity loss.
AB - The United Nations 2030 Agenda for Sustainable Development calls for urgent
actions to reduce global biodiversity loss. Here, we synthesize >44,000 articles
published in the past decade to assess the research focus on global drivers of
loss. Relative research efforts on different drivers are not well aligned with
their assessed impact, and multiple driver interactions are hardly considered.
Research on drivers of biodiversity loss needs urgent realignment to match
predicted severity and inform policy goals.
PMID- 29784981
TI - Human activities might influence oncogenic processes in wild animal populations.
AB - Based on the abundant studies available on humans showing clear associations
between rapid environmental changes and the rate of neoplasia, we propose that
human activities might increase cancer rate in wild populations through numerous
processes. Most of the research on this topic has concentrated on wildlife cancer
prevalence in environments that are heavily contaminated with anthropogenic
chemicals. Here, we propose that human activities might also increase cancer rate
in wild populations through additional processes including light pollution,
accidental (for example, human waste) or intentional (for example, bird feeders)
wildlife feeding (and the associated change of diet), or reduction of genetic
diversity in human-impacted habitats. The human species can thus be defined as an
oncogenic species, moderating the environment in the way that it causes cancer in
other wild populations. As human impacts on wildlife are predicted to increase
rather than decrease (for example, in the context of urbanization), acknowledging
the possible links between human activity and cancer in wild populations is
crucial.
PMID- 29784982
TI - The palaeoecological context of the Oldowan-Acheulean in southern Africa.
AB - The influence of climatic and environmental change on human evolution in the
Pleistocene epoch is understood largely from extensive East African stable
isotope records. These records show increasing proportions of C4 plants in the
Early Pleistocene. We know far less about the expansion of C4 grasses at higher
latitudes, which were also occupied by early Homo but are more marginal for C4
plants. Here we show that both C3 and C4 grasses and prolonged wetlands remained
major components of Early Pleistocene environments in the central interior of
southern Africa, based on enamel stable carbon and oxygen isotope data and
associated faunal abundance and phytolith evidence from the site of Wonderwerk
Cave. Vegetation contexts associated with Oldowan and early Acheulean lithic
industries, in which climate is driven by an interplay of regional rainfall
seasonality together with global CO2 levels, develop along a regional distinct
trajectory compared to eastern South Africa and East Africa.
PMID- 29784983
TI - Thermodynamic and crystallographic model for anion uptake by hydrated calcium
aluminate (AFm): an example of molybdenum.
AB - Amongst all cement phases, hydrated calcium aluminate (AFm) plays a major role in
the retention of anionic species. Molybdenum (Mo), whose 93Mo isotope is
considered a major steel activation product, will be released mainly under the
form of MoO42- in a radioactive waste repository. Understanding its fate is of
primary importance in a safety analysis of such disposal. This necessitates
models that can both predict quantitatively the sorption of Mo by AFm and
determine the nature of the sorption process (i.e., reversible adsorption or
incorporation). This study investigated the Cl-/MoO42- exchange processes
occurring in an AFm initially containing interlayer Cl in alkaline conditions
using flow-through experiments. The evolution of the solid phase was
characterized using an electron probe microanalyzer and synchrotron high-energy X
ray scattering. All data, together with their quantitative modeling, coherently
indicated that Mo replaced Cl in the AFm interlayer. The structure of the
interlayer is described with unprecedented atomic-scale detail based on a
combination of real- and reciprocal-space analyses of total X-ray scattering
data. In addition, modeling of several independent chemical experiments
elucidated that Cl-/OH- exchange processes occur together with Cl-/MoO42-
exchange. This competitive effect must be considered when determining the Cl
/MoO42- selectivity constant.
PMID- 29784984
TI - Author Correction: Small-molecule TFEB pathway agonists that ameliorate metabolic
syndrome in mice and extend C. elegans lifespan.
AB - The originally published version of this Article contained an error in the
spelling of the author Nathaniel W. Oswald, which was incorrectly given as
Nathaniel W. Olswald. This has now been corrected in both the PDF and HTML
versions of the Article.
PMID- 29784987
TI - Communicating catalysts.
PMID- 29784985
TI - Chronic rhinosinusitis with nasal polyps is characterized by dysbacteriosis of
the nasal microbiota.
AB - Chronic rhinosinusitis with nasal polyp (CRSwNP) patients are often characterized
by asthma comorbidity and a type-2 inflammation of the sinonasal mucosa. The
mucosal microbiota has been suggested to be implicated in the persistence of
inflammation, but associations have not been well defined. To compare the
bacterial communities of healthy subjects with CRSwNP patients, we collected
nasal swabs from 17 healthy subjects, 21 CRSwNP patients without asthma (CRSwNP
A), and 20 CRSwNP patients with co-morbid asthma (CRSwNP+A). We analysed the
microbiota using high-throughput sequencing of the bacterial 16S rRNA. Bacterial
communities were different between the three groups. Haemophilus influenzae was
significantly enriched in CRSwNP patients, Propionibacterium acnes in the healthy
group; Staphylococcus aureus was abundant in the CRSwNP-A group, even though
present in 57% of patients. Escherichia coli was found in high amounts in
CRSwNP+A patients. Nasal tissues of CRSwNP+A patients expressed significantly
higher concentrations of IgE, SE-IgE, and IL-5 compared to those of CRSwNP-A
patients. Co-cultivation demonstrated that P. acnes growth was inhibited by H.
influenzae, E. coli and S. aureus. The nasal microbiota of healthy subjects are
different from those of CRSwNP-A and CRSwNP+A patients. However, the most
abundant species in healthy status could not inhibit those in CRSwNP disease.
PMID- 29784986
TI - A workflow for the integrative transcriptomic description of molecular pathology
and the suggestion of normalizing compounds, exemplified by Parkinson's disease.
AB - The volume of molecular observations on human diseases in public databases is
continuously increasing at accelerating rates. A bottleneck is their
computational integration into a coherent description, from which researchers may
derive new well-founded hypotheses. Also, the need to integrate data from
different technologies (genetics, coding and regulatory RNA, proteomics) emerged
in order to identify biomarkers for early diagnosis and prognosis of complex
diseases and therefore facilitating the development of novel treatment
approaches. We propose here a workflow for the integrative transcriptomic
description of the molecular pathology in Parkinsons's Disease (PD), including
suggestions of compounds normalizing disease-induced transcriptional changes as a
paradigmatic example. We integrated gene expression profiles, miRNA signatures,
and publicly available regulatory databases to specify a partial model of the
molecular pathophysiology of PD. Six genetic driver elements (2 genes and 4
miRNAs) and several functional network modules that are associated with PD were
identified. Functional modules were assessed for their statistical significance,
cellular functional homogeneity, literature evidence, and normalizing small
molecules. In summary, our workflow for the joint regulatory analysis of coding
and non-coding RNA, has the potential to yield clinically as well as biologically
relevant information, as demonstrated here on PD data.
PMID- 29784988
TI - Tritium trinkets.
PMID- 29784989
TI - Vibrations that live long and prosper.
PMID- 29784990
TI - Hitting the sweet spot.
PMID- 29784991
TI - A new fundamental type of conformational isomerism.
AB - Isomerism is a fundamental chemical concept, reflecting the fact that the
arrangement of atoms in a molecular entity has a profound influence on its
chemical and physical properties. Here we describe a previously unclassified
fundamental form of conformational isomerism through four resolved stereoisomers
of a transoid (BF)O(BF)-quinoxalinoporphyrin. These comprise two pairs of
enantiomers that manifest structural relationships not describable within
existing IUPAC nomenclature and terminology. They undergo thermal diastereomeric
interconversion over a barrier of 104 +/- 2 kJ mol-1, which we term
'akamptisomerization'. Feasible interconversion processes between conceivable
synthesis products and reaction intermediates were mapped out by density
functional theory calculations, identifying bond-angle inversion (BAI) at a
singly bonded atom as the reaction mechanism. We also introduce the necessary BAI
stereodescriptors parvo and amplo. Based on an extended polytope formalism of
molecular structure and stereoisomerization, BAI-driven akamptisomerization is
shown to be the final fundamental type of conformational isomerization.
PMID- 29784992
TI - Dependences of microstructure on electromagnetic interference shielding
properties of nano-layered Ti3AlC2 ceramics.
AB - The microstructure dependent electromagnetic interference (EMI) shielding
properties of nano-layered Ti3AlC2 ceramics were presented in this study by
comparing the shielding properties of various Ti3AlC2 ceramics with distinct
microstructures. Results indicate that Ti3AlC2 ceramics with dense microstructure
and coarse grains are more favourable for superior EMI shielding efficiency. High
EMI shielding effectiveness over 40 dB at the whole Ku-band frequency range was
achieved in Ti3AlC2 ceramics by microstructure optimization, and the high
shielding effectiveness were well maintained up to 600 degrees C. A further
investigation reveals that only the absorption loss displays variations upon
modifying microstructure by allowing more extensive multiple reflections in
coarse layered grains. Moreover, the absorption loss of Ti3AlC2 was found to be
much higher than those of highly conductive TiC ceramics without layered
structure. These results demonstrate that nano-layered MAX phase ceramics are
promising candidates of high-temperature structural EMI shielding materials and
provide insightful suggestions for achieving high EMI shielding efficiency in
other ceramic-based shielding materials.
PMID- 29784993
TI - Author Correction: Strong associations between chromosomal aberrations in blood
lymphocytes and the risk of urothelial and squamous cell carcinoma of the
bladder.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29784995
TI - An autonomously electrically self-healing liquid metal-elastomer composite for
robust soft-matter robotics and electronics.
AB - Large-area stretchable electronics are critical for progress in wearable
computing, soft robotics and inflatable structures. Recent efforts have focused
on engineering electronics from soft materials-elastomers, polyelectrolyte gels
and liquid metal. While these materials enable elastic compliance and
deformability, they are vulnerable to tearing, puncture and other mechanical
damage modes that cause electrical failure. Here, we introduce a material
architecture for soft and highly deformable circuit interconnects that are
electromechanically stable under typical loading conditions, while exhibiting
uncompromising resilience to mechanical damage. The material is composed of
liquid metal droplets suspended in a soft elastomer; when damaged, the droplets
rupture to form new connections with neighbours and re-route electrical signals
without interruption. Since self-healing occurs spontaneously, these materials do
not require manual repair or external heat. We demonstrate this unprecedented
electronic robustness in a self-repairing digital counter and self-healing soft
robotic quadruped that continue to function after significant damage.
PMID- 29784994
TI - Designing natural and synthetic immune tissues.
AB - Vaccines and immunotherapies have provided enormous improvements for public
health, but there are fundamental disconnects between where most studies are
performed-in cell culture and animal models-and the ultimate application in
humans. Engineering immune tissues and organs, such as bone marrow, thymus, lymph
nodes and spleen, could be instrumental in overcoming these hurdles.
Fundamentally, designed immune tissues could serve as in vitro tools to more
accurately study human immune function and disease, while immune tissues
engineered for implantation as next-generation vaccines or immunotherapies could
enable direct, on-demand control over generation and regulation of immune
function. In this Review, we discuss recent interdisciplinary strategies that are
merging materials science and immunology to create engineered immune tissues in
vitro and in vivo. We also highlight the hurdles facing these approaches and the
need for comparison to existing clinical options, relevant animal models, and
other emerging technologies.
PMID- 29784997
TI - Mechanics-guided embryonic patterning of neuroectoderm tissue from human
pluripotent stem cells.
AB - Classic embryological studies have successfully applied genetics and cell biology
principles to understand embryonic development. However, it remains unresolved
how mechanics, as an integral driver of development, is involved in controlling
tissue-scale cell fate patterning. Here we report a micropatterned human
pluripotent stem (hPS)-cell-based neuroectoderm developmental model, in which pre
patterned geometrical confinement induces emergent patterning of neuroepithelial
and neural plate border cells, mimicking neuroectoderm regionalization during
early neurulation in vivo. In this hPS-cell-based neuroectoderm patterning model,
two tissue-scale morphogenetic signals-cell shape and cytoskeletal contractile
force-instruct neuroepithelial/neural plate border patterning via BMP-SMAD
signalling. We further show that ectopic mechanical activation and exogenous BMP
signalling modulation are sufficient to perturb neuroepithelial/neural plate
border patterning. This study provides a useful microengineered, hPS-cell-based
model with which to understand the biomechanical principles that guide
neuroectoderm patterning and hence to study neural development and disease.
PMID- 29784996
TI - Dual-function injectable angiogenic biomaterial for the repair of brain tissue
following stroke.
AB - Stroke is the primary cause of disability due to the brain's limited ability to
regenerate damaged tissue. After stroke, an increased inflammatory and immune
response coupled with severely limited angiogenesis and neuronal growth results
in a stroke cavity devoid of normal brain tissue. In the adult, therapeutic
angiogenic materials have been used to repair ischaemic tissues through the
formation of vascular networks. However, whether a therapeutic angiogenic
material can regenerate brain tissue and promote neural repair is poorly
understood. Here we show that the delivery of an engineered immune-modulating
angiogenic biomaterial directly to the stroke cavity promotes tissue formation de
novo, and results in axonal networks along thee generated blood vessels. This
regenerated tissue produces functional recovery through the established axonal
networks. Thus, this biomaterials approach generates a vascularized network of
regenerated functional neuronal connections within previously dead tissue and
lays the groundwork for the use of angiogenic materials to repair other
neurologically diseased tissues.
PMID- 29784998
TI - Polarization insensitive frequency conversion for an atom-photon entanglement
distribution via a telecom network.
AB - Long-lifetime quantum storages accessible to the telecom photonic infrastructure
are essential to long-distance quantum communication. Atomic quantum storages
have achieved subsecond storage time corresponding to 1000 km transmission time
for a telecom photon through a quantum repeater algorithm. However, the telecom
photon cannot be directly interfaced to typical atomic storages. Solid-state
quantum frequency conversions fill this wavelength gap. Here we report on the
experimental demonstration of a polarization-insensitive solid-state quantum
frequency conversion to a telecom photon from a short-wavelength photon entangled
with an atomic ensemble. Atom-photon entanglement has been generated with a Rb
atomic ensemble and the photon has been translated to telecom range while
retaining the entanglement by our nonlinear-crystal-based frequency converter in
a Sagnac interferometer.
PMID- 29784999
TI - Publisher Correction: Muscle and adipose tissue morphology, insulin sensitivity
and beta-cell function in diabetic and nondiabetic obese patients: effects of
bariatric surgery.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29785000
TI - Publisher Correction: Aerodynamic generation of electric fields in turbulence
laden with charged inertial particles.
AB - The original version of this Article contained an error in the last sentence of
the second paragraph of the 'Atmospheric rarefaction effects' section of the
Results, which incorrectly read 'The other one emulates the rarefied, CO2-rich
Martian atmosphere (MU? = 1.3 * 10-5 N s m-2) at 6.9 mbar and 210 K, which gives
rho? = 1.6 * 10-12 kg m-3.' The correct version states 'rho? = 1.6 * 10-2 kg m-3'
in place of 'rho? = 1.6 * 10-12 kg m-3'. This has been corrected in both the PDF
and HTML versions of the Article.
PMID- 29785001
TI - Evaluation of weed control efficacy and crop safety of the new HPPD-inhibiting
herbicide-QYR301.
AB - QYR301, 1,3-Dimethyl-1H-pyrazole-4-carboxylic acid 4-[2-chloro-3-(3,5-dimethyl
pyrazol-1-ylmethyl)-4-methanesulfonyl-benzoyl]-2,5-dimethyl-2H-pyrazol-3-yl
ester, is a novel HPPD-inhibiting herbicide and was evaluated to provide a
reference for post-emergence (POST) application under greenhouse and field
conditions. The crop safety (180 and 360 g active ingredient (a.i.) ha-1
treatments) experiment revealed that wheat, paddy, garlic and corn were the only
four crops without injury at both examined herbicide rates. The weed control
efficacy (60 and 120 g a.i. ha-1) experiment showed that QYR301 exhibited high
efficacy against many weeds, especially weeds infesting paddy fields.
Furthermore, it is interesting that both susceptible and multiple herbicide
resistant Echinochloa crus-galli (L.) Beauv. and Echinochloa phyllopogon (Stapf)
Koss, two notorious weed species in paddy field, remained susceptible to QYR301.
Further crop tolerance results indicated that 20 tested paddy hybrids displayed
different levels of tolerance to QYR301, with the japonica paddy hybrids having
more tolerance than indica paddy hybrids under greenhouse conditions. Results
obtained from field experiments showed that QYR301 POST at 135 to 180 g a.i. ha-1
was recommended to provide satisfactory full-season control of E. crus-galli and
Leptochloa chinensis (L.) Nees and to maximize rice yields. These findings
indicate that QYR301 possesses great potential for the management of weeds in
paddy fields.
PMID- 29785002
TI - Using Single Molecule mRNA Fluorescent in Situ Hybridization (RNA-FISH) to
Quantify mRNAs in Individual Murine Oocytes and Embryos.
AB - Changes in abundance of mRNAs during oocyte growth and maturation and during pre
implantation embryo development have been documented using quantitative real-time
RT-PCR (qPCR), microarray analyses, and whole genome sequencing. However, these
techniques require amplification of mRNAs, normalization using housekeeping
genes, can be biased for abundant transcripts, and/or require large numbers of
oocytes and embryos which can be difficult to acquire from mammalian species. We
optimized a single molecule RNA fluorescence in situ hybridization (RNA-FISH)
protocol, which amplifies fluorescence signal to detect candidate transcripts,
for use with individual oocytes and embryos. Quantification using the software
Localize showed patterns of Gdf9 and Pou5f1 mRNA expression in oocytes and
embryos that were consistent with previously published data. Interestingly, low
levels of Nanog mRNA were also accurately and reproducibly measured in oocytes
and one- and two-cell embryos suggesting that RNA-FISH could be used to detect
and quantify low abundance transcripts. Unlike other techniques, RNA-FISH is also
able to detect changes in the localization patterns of mRNAs which may be used to
monitor post-transcriptional regulation of a transcript. Thus, RNA-FISH
represents an important technique to investigate potential mechanisms associated
with the synthesis and stability of candidate mRNAs in mammalian oocytes and
embryos.
PMID- 29785003
TI - Publisher Correction: The gut-liver axis and the intersection with the
microbiome.
AB - In the original version of Table 1 published online, upward arrows to indicate
increased translocation of PAMPs were missing from the row entitled
'Translocation' for both the column on alcoholic liver disease and nonalcoholic
fatty liver disease. This error has now been updated in the PDF and HTML version
of the article.
PMID- 29785005
TI - Nanopore sequencing technology: a new route for the fast detection of
unauthorized GMO.
AB - In order to strengthen the current genetically modified organism (GMO) detection
system for unauthorized GMO, we have recently developed a new workflow based on
DNA walking to amplify unknown sequences surrounding a known DNA region. This DNA
walking is performed on transgenic elements, commonly found in GMO, that were
earlier detected by real-time PCR (qPCR) screening. Previously, we have
demonstrated the ability of this approach to detect unauthorized GMO via the
identification of unique transgene flanking regions and the unnatural
associations of elements from the transgenic cassette. In the present study, we
investigate the feasibility to integrate the described workflow with the MinION
Next-Generation-Sequencing (NGS). The MinION sequencing platform can provide long
read-lengths and deal with heterogenic DNA libraries, allowing for rapid and
efficient delivery of sequences of interest. In addition, the ability of this NGS
platform to characterize unauthorized and unknown GMO without any a priori
knowledge has been assessed.
PMID- 29785004
TI - A direct tissue-grafting approach to increasing endogenous brown fat.
AB - There is widespread evidence that increasing functional mass of brown adipose
tissue (BAT) via browning of white adipose tissue (WAT) could potentially counter
obesity and diabetes. However, most current approaches focus on administration of
pharmacological compounds which expose patients to highly undesirable side
effects. Here, we describe a simple and direct tissue-grafting approach to
increase BAT mass through ex vivo browning of subcutaneous WAT, followed by re
implantation into the host; this cell-therapy approach could potentially act
synergistically with existing pharmacological approaches. With this process,
entitled "exBAT", we identified conditions, in both mouse and human tissue, that
convert whole fragments of WAT to BAT via a single step and without unwanted off
target pharmacological effects. We show that ex vivo, exBAT exhibited UCP1
immunostaining, lipid droplet formation, and mitochondrial metabolic activity
consistent with native BAT. In mice, exBAT exhibited a highly durable phenotype
for at least 8 weeks. Overall, these results enable a simple and scalable tissue
grafting strategy, rather than pharmacological approaches, for increasing
endogenous BAT and studying its effect on host weight and metabolism.
PMID- 29785006
TI - The era of immunogenomics/immunopharmacogenomics.
AB - Although germline alterations and somatic mutations in disease cells have been
extensively analyzed, molecular changes in immune cells associated with disease
conditions have not been characterized in depth. It is clear that our immune
system has a critical role in various biological and pathological conditions,
such as infectious diseases, autoimmune diseases, drug-induced skin and liver
toxicity, food allergy, and rejection of transplanted organs. The recent
development of cancer immunotherapies, particularly drugs modulating the immune
checkpoint molecules, has clearly demonstrated the importance of host immune
cells in cancer treatments. However, the molecular mechanisms by which these new
therapies kill tumor cells are still not fully understood. In this regard, we
have begun to explore the role of newly developed tools such as next-generation
sequencing in the genetic characterization of both cancer cells and host immune
cells, a field that is called immunogenomics/ immunopharmacogenomics. This new
field has enormous potential to help us better understand changes in our immune
system during the course of various disease conditions. Here we report the
potential of deep sequencing of T-cell and B-cell receptors in capturing the
molecular contribution of the immune system, which we believe plays critical
roles in the pathogenesis of various human diseases.
PMID- 29785008
TI - Massively Parallel Coincidence Counting of High-Dimensional Entangled States.
AB - Entangled states of light are essential for quantum technologies and fundamental
tests of physics. Current systems rely on entanglement in 2D degrees of freedom,
e.g., polarization states. Increasing the dimensionality provides exponential
speed-up of quantum computation, enhances the channel capacity and security of
quantum communication protocols, and enables quantum imaging; unfortunately,
characterizing high-dimensional entanglement of even bipartite quantum states
remains prohibitively time-consuming. Here, we develop and experimentally
demonstrate a new theory of camera detection that leverages the massive
parallelization inherent in an array of pixels. We show that a megapixel array,
for example, can measure a joint Hilbert space of 1012 dimensions, with a speed
up of nearly four orders-of-magnitude over traditional methods. The technique
uses standard geometry with existing technology, thus removing barriers of entry
to quantum imaging experiments, generalizes readily to arbitrary numbers of
entangled photons, and opens previously inaccessible regimes of high-dimensional
quantum optics.
PMID- 29785007
TI - Characterization and prevalence of two novel CHEK2 large deletions in Greek
breast cancer patients.
AB - Germline CHEK2 mutations confer increased cancer risk, for breast and other
types, which is variable depending on the specific mutation. Of these, Large
Genomic Rearrangements (LGRs) have been rarely reported; to date only eight LGRs
have been published with just the Czech founder mutation, the deletion of exons 9
and 10, being molecularly characterized and studied extensively. The present
study aimed to molecularly define and determine the contribution of two rare,
apparently novel CHEK2 LGRs, among Greek breast cancer patients. These
specifically involve a ~6 kb in-frame deletion of exons 2 & 3 that removes
CHEK2's FHA domain and a ~7.5 kb in-frame deletion of exon 6, which removes an
alpha-helix of CHEK2's kinase domain. The latter was identified in 5 out of 2355
(0.22%) patients tested, while haplotype analysis revealed a common disease
associated haplotype, suggesting a single common ancestor and a Greek founder.
Although in-frame, this LGR is predicted to be damaging by a yeast-based
functional assay and structure-function predictions. The present study highlights
the existence of rare, population-specific, genomic events in a known breast
cancer predisposing gene, which can explain a proportion of hereditary breast
cancer. Identification of such mutation carriers is rather important since
appropriate clinical actionability will be inferred.
PMID- 29785009
TI - Physical activity, sleep, and fatigue in community dwelling Stroke Survivors.
AB - Stroke can lead to physiological and psychological impairments and impact
individuals' physical activity (PA), fatigue and sleep patterns. We analysed
wrist-worn accelerometry data and the Fatigue Assessment Scale from 41 stroke
survivors following a physical rehabilitation programme, to examine relationships
between PA levels, fatigue and sleep. Validated acceleration thresholds were used
to quantify time spent in each PA intensity/sleep category. Stroke survivors
performed less moderate to vigorous PA (MVPA) in 10 minute bouts than the
National Stroke guidelines recommend. Regression analysis revealed associations
at baseline between light PA and fatigue (p = 0.02) and MVPA and sleep efficiency
(p = 0.04). Light PA was positively associated with fatigue at 6 months (p =
0.03), whilst sleep efficiency and fatigue were associated at 9 months (p =
0.02). No other effects were shown at baseline, 6 or 9 months. The magnitude of
these associations were small and are unlikely to be clinically meaningful.
Larger trials need to examine the efficacy and utility of accelerometry to assess
PA and sleep in stroke survivors.
PMID- 29785011
TI - A genome-wide cross-trait analysis from UK Biobank highlights the shared genetic
architecture of asthma and allergic diseases.
AB - Clinical and epidemiological data suggest that asthma and allergic diseases are
associated and may share a common genetic etiology. We analyzed genome-wide SNP
data for asthma and allergic diseases in 33,593 cases and 76,768 controls of
European ancestry from UK Biobank. Two publicly available independent genome-wide
association studies were used for replication. We have found a strong genome-wide
genetic correlation between asthma and allergic diseases (rg = 0.75, P = 6.84 *
10-62). Cross-trait analysis identified 38 genome-wide significant loci,
including 7 novel shared loci. Computational analysis showed that shared genetic
loci are enriched in immune/inflammatory systems and tissues with epithelium
cells. Our work identifies common genetic architectures shared between asthma and
allergy and will help to advance understanding of the molecular mechanisms
underlying co-morbid asthma and allergic diseases.
PMID- 29785013
TI - Genetic identification of brain cell types underlying schizophrenia.
AB - With few exceptions, the marked advances in knowledge about the genetic basis of
schizophrenia have not converged on findings that can be confidently used for
precise experimental modeling. By applying knowledge of the cellular taxonomy of
the brain from single-cell RNA sequencing, we evaluated whether the genomic loci
implicated in schizophrenia map onto specific brain cell types. We found that the
common-variant genomic results consistently mapped to pyramidal cells, medium
spiny neurons (MSNs) and certain interneurons, but far less consistently to
embryonic, progenitor or glial cells. These enrichments were due to sets of genes
that were specifically expressed in each of these cell types. We also found that
many of the diverse gene sets previously associated with schizophrenia (genes
involved in synaptic function, those encoding mRNAs that interact with FMRP,
antipsychotic targets, etc.) generally implicated the same brain cell types. Our
results suggest a parsimonious explanation: the common-variant genetic results
for schizophrenia point at a limited set of neurons, and the gene sets point to
the same cells. The genetic risk associated with MSNs did not overlap with that
of glutamatergic pyramidal cells and interneurons, suggesting that different cell
types have biologically distinct roles in schizophrenia.
PMID- 29785012
TI - Multiplex assessment of protein variant abundance by massively parallel
sequencing.
AB - Determining the pathogenicity of genetic variants is a critical challenge, and
functional assessment is often the only option. Experimentally characterizing
millions of possible missense variants in thousands of clinically important genes
requires generalizable, scalable assays. We describe variant abundance by
massively parallel sequencing (VAMP-seq), which measures the effects of thousands
of missense variants of a protein on intracellular abundance simultaneously. We
apply VAMP-seq to quantify the abundance of 7,801 single-amino-acid variants of
PTEN and TPMT, proteins in which functional variants are clinically actionable.
We identify 1,138 PTEN and 777 TPMT variants that result in low protein
abundance, and may be pathogenic or alter drug metabolism, respectively. We
observe selection for low-abundance PTEN variants in cancer, and show that
p.Pro38Ser, which accounts for ~10% of PTEN missense variants in melanoma,
functions via a dominant-negative mechanism. Finally, we demonstrate that VAMP
seq is applicable to other genes, highlighting its generalizability.
PMID- 29785014
TI - 3' UTR shortening represses tumor-suppressor genes in trans by disrupting ceRNA
crosstalk.
AB - Widespread mRNA 3' UTR shortening through alternative polyadenylation 1 promotes
tumor growth in vivo 2 . A prevailing hypothesis is that it induces proto
oncogene expression in cis through escaping microRNA-mediated repression. Here we
report a surprising enrichment of 3'UTR shortening among transcripts that are
predicted to act as competing-endogenous RNAs (ceRNAs) for tumor-suppressor
genes. Our model-based analysis of the trans effect of 3' UTR shortening
(MAT3UTR) reveals a significant role in altering ceRNA expression. MAT3UTR
predicts many trans-targets of 3' UTR shortening, including PTEN, a crucial tumor
suppressor gene 3 involved in ceRNA crosstalk 4 with nine 3'UTR-shortening genes,
including EPS15 and NFIA. Knockdown of NUDT21, a master 3' UTR-shortening
regulator 2 , represses tumor-suppressor genes such as PHF6 and LARP1 in trans in
a miRNA-dependent manner. Together, the results of our analysis suggest a major
role of 3' UTR shortening in repressing tumor-suppressor genes in trans by
disrupting ceRNA crosstalk, rather than inducing proto-oncogenes in cis.
PMID- 29785010
TI - Genome-wide analyses identify 68 new loci associated with intraocular pressure
and improve risk prediction for primary open-angle glaucoma.
AB - Glaucoma is the leading cause of irreversible blindness globally 1 . Despite its
gravity, the disease is frequently undiagnosed in the community 2 . Raised
intraocular pressure (IOP) is the most important risk factor for primary open
angle glaucoma (POAG)3,4. Here we present a meta-analysis of 139,555 European
participants, which identified 112 genomic loci associated with IOP, 68 of which
are novel. These loci suggest a strong role for angiopoietin-receptor tyrosine
kinase signaling, lipid metabolism, mitochondrial function and developmental
processes underlying risk for elevated IOP. In addition, 48 of these loci were
nominally associated with glaucoma in an independent cohort, 14 of which were
significant at a Bonferroni-corrected threshold. Regression-based glaucoma
prediction models had an area under the receiver operating characteristic curve
(AUROC) of 0.76 in US NEIGHBORHOOD study participants and 0.74 in independent
glaucoma cases from the UK Biobank. Genetic-prediction models for POAG offer an
opportunity to target screening and timely therapy to individuals most at risk.
PMID- 29785016
TI - Solid papillary carcinoma with reverse polarity of the breast harbors specific
morphologic, immunohistochemical and molecular profile in comparison with other
benign or malignant papillary lesions of the breast: a comparative study of 9
additional cases.
AB - Solid papillary carcinoma with reverse polarity is a rare breast cancer of
favorable prognosis that can be difficult to diagnose. We report here nine
additional cases of this tumor, and we describe its morphologic,
immunohistochemical and molecular profile in comparison to other types of
papillary and micropapillary lesions of the breast that are intraductal papilloma
with usual ductal hyperplasia, encapsulated papillary carcinoma, solid papillary
carcinoma and invasive micropapillary carcinoma. We studied nine cases of this
special papillary tumor and six of each other types mentioned above. We found
that solid papillary carcinoma with reverse polarity harbor specific morphologic
features as cuboid or tall cells with abundant eosinophilic cytoplasms located at
the basal pole giving the impression of reverse nuclear polarity. Nuclei were
sometimes grooved. Immunohistochemistry demonstrated the lack of myoepithelial
cells, as in encapsulated papillary carcinoma and solid papillary carcinoma,
questioning their invasive nature. Seven of nine solid papillary carcinoma with
reverse polarity showed a low Ki67 proliferative index (Ki67 <5%). They showed
expression of CK5/6 as in intraductal papilloma with usual ductal hyperplasia.
They showed expression of calretinin and a low or lack of hormonal receptor (HR)
expression that were not observed in other breast tumors studied. By whole-exome
analysis, seven of nine solid papillary carcinomas with reverse polarity (78%)
harbored a hotspot mutation in IDH2 (R172) that was totally absent in other
groups. Six of nine tumors (67%) also harbored PRUNE2 mutation, including the two
IDH2 wild-type cases. We also demonstrated for the first time in this breast
tumor, immunostaining with a specific antibody IDH1/2 mutant R132/R172 (7/9) that
can highlight IDH2 mutation. Moreover, transcriptomic analysis showed that
proteoglycan pathway was significantly enriched. Our findings support the fact
that solid papillary carcinoma with reverse polarity is a singular breast
neoplasm that can be distinguished from other papillary breast tumors.
PMID- 29785017
TI - MYC/BCL2/BCL6 triple hit lymphoma: a study of 40 patients with a comparison to
MYC/BCL2 and MYC/BCL6 double hit lymphomas.
AB - High-grade B-cell lymphomas with MYC, BCL2, and BCL6 rearrangements (triple hit
lymphoma) are uncommon. We studied the clinicopathologic features of 40 patients
with triple hit lymphoma and compared them to 157 patients with MYC/BCL2 double
hit lymphoma and 13 patients with MYC/BCL6 double hit lymphoma. The triple hit
lymphoma group included 25 men and 15 women with a median age of 61 years (range,
34-85). Nine patients had a history of B-cell lymphoma. Histologically, 23 (58%)
cases were diffuse large B-cell lymphoma and 17 cases had features of B-cell
lymphoma, unclassifiable, with features intermediate between diffuse large B-cell
lymphoma and Burkitt lymphoma. Most cases of triple hit lymphoma were positive
for CD10 (100%), BCL2 (95%), BCL6 (82%), MYC (74%), and 71% with MYC and BCL2
coexpression. P53 was overexpressed in 29% of triple hit lymphoma cases. The
clinicopathological features of triple hit lymphoma patients were similar to
patients with MYC/BCL2 and MYC/BCL6 double hit lymphoma, except that triple hit
lymphoma cases were more often CD10 positive compared with MYC/BCL6 double hit
lymphoma (p < 0.05). Induction chemotherapy used was similar for patients with
triple hit lymphoma and double hit lymphoma and overall survival in triple hit
lymphoma patients was 17.6 months, similar to the overall survival of patients
with double hit lymphoma (p = 0.67). Patients with triple hit lymphoma showing
P53 overexpression had significantly worse overall survival compared with those
without P53 overexpression (p = 0.04). On the other hand, double expressor status
and prior history of B-cell lymphoma did not correlate with overall survival. In
conclusion, most patients with triple hit lymphoma have an aggressive clinical
course and poor prognosis and these tumors have a germinal center B-cell
immunophenotype, similar to patients with double hit lymphomas. P53 expression is
a poor prognostic factor in patients with triple hit lymphoma.
PMID- 29785015
TI - Frequent transmission of the Mycobacterium tuberculosis Beijing lineage and
positive selection for the EsxW Beijing variant in Vietnam.
AB - To examine the transmission dynamics of Mycobacterium tuberculosis (Mtb) isolated
from tuberculosis patients in Ho Chi Minh City, Vietnam, we sequenced the whole
genomes of 1,635 isolates and compared these with 3,144 isolates from elsewhere.
The data identify an underlying burden of disease caused by the endemic Mtb
lineage 1 associated with the activation of long-term latent infection, and a
threefold higher burden associated with the more recently introduced Beijing
lineage and lineage 4 Mtb strains. We find that Beijing lineage Mtb is frequently
transferred between Vietnam and other countries, and detect higher levels of
transmission of Beijing lineage strains within this host population than the
endemic lineage 1 Mtb. Screening for parallel evolution of Beijing lineage
associated SNPs in other Mtb lineages as a signal of positive selection, we
identify an alteration in the ESX-5 type VII-secreted protein EsxW, which could
potentially contribute to the enhanced transmission of Beijing lineage Mtb in
Vietnamese and other host populations.
PMID- 29785018
TI - Pulmonary adenocarcinoma with high-grade fetal adenocarcinoma component has a
poor prognosis, comparable to that of micropapillary adenocarcinoma.
AB - Fetal adenocarcinoma is a rare variant of lung adenocarcinoma, which is
subcategorized into low-grade and high-grade forms. High-grade fetal
adenocarcinoma confers worse prognosis than low-grade fetal adenocarcinoma, but
the prognostic differences between high-grade fetal adenocarcinoma and
conventional lung adenocarcinoma are unknown. We reviewed tissue sections of 3719
cases of surgically resected primary lung cancers and found 53 lung cancers with
a high-grade fetal adenocarcinoma component. We analyzed their
clinicopathological and immunohistochemical features, and performed a prognostic
analysis of adenocarcinomas with the fetal-type component. We further analyzed
the prognostic differences between adenocarcinomas with the fetal-type component
and conventional adenocarcinomas without the fetal-type component. Lung cancers
with the fetal-type component predominantly occurred in elderly men with a
smoking history. Twenty-nine patients had stage I disease, 13 patients had stage
II, and 11 patients had stage III. The fetal-type histology was combined with
conventional-type adenocarcinoma (41 cases), squamous cell carcinoma (5 cases),
large cell neuroendocrine carcinoma (5 cases), enteric adenocarcinoma (2 cases),
and small cell carcinoma (1 case). The fetal-type component showed
immunopositivity for alpha-fetoprotein (39%), glypican-3 (37%), and SALL4 (17%).
The 5-year overall survivals of fetal-type-predominant and fetal-type
nonpredominant patients were 44 and 56%, respectively (P = 0.962). The 5-year
overall survivals of lepidic-, acinar-, papillary-, solid-, and micropapillary
predominant adenocarcinomas, invasive mucinous adenocarcinomas, and
adenocarcinomas with the fetal-type component were 94, 82, 77, 69, 57, 83, and
41%, respectively (P < 0.001). Univariate and multivariate analyses showed that
adenocarcinomas with the fetal-type component had a significantly lower overall
survival rate than the other histological subtypes, except for the micropapillary
predominant subtype. Our study demonstrated that adenocarcinomas with the fetal
type component had a poor prognosis that was comparable to that of micropapillary
adenocarcinoma. The presence of the high-grade fetal adenocarcinoma component in
lung adenocarcinomas is an important prognostic marker.
PMID- 29785019
TI - Cribriform-morular variant of thyroid carcinoma: a neoplasm with distinctive
phenotype associated with the activation of the WNT/beta-catenin pathway.
AB - Cribriform-morular variant of thyroid carcinoma is classically associated with
familial adenomatous polyposis but, it can also occur as a sporadic neoplasm.
This neoplasm is much more frequently observed in women than in men (ratio of
61:1). In familial adenomatous polyposis patients, tumors are generally
multifocal and/or bilateral (multinodular appearance), whereas in the sporadic
cases tumors tend to occur as single nodules. The tumors are well delimited, and
characteristically show a blending of follicular, cribriform, papillary,
trabecular, solid, and morular patterns. Neoplastic cells are tall or cuboidal
with the occasional nuclear features of classic papillary thyroid carcinoma. The
morules include cells with peculiar nuclear clearing and show positivity for CDX2
and CD10. Angioinvasion and capsular invasion have been described in about 30 and
40% of cases, respectively, with lymph node metastases in less than 10% of
patients and distant metastases in 6%. Although this tumor has good prognosis,
neuroendocrine and/or poor differentiation have been associated with aggressive
behavior. Tumor cells can be focally positive or negative for thyroglobulin, but
are always positive for TTF-1, estrogen and progesterone receptors, and negative
for calcitonin and cytokeratin 20. Nuclear and cytoplasmic staining for beta
catenin is the hallmark of this tumor type; this feature plays a role in fine
needle aspiration biopsy. Cribriform-morular variant of thyroid carcinoma has a
peculiar endodermal (intestinal-like) type phenotype, activation of the WNT/beta
catenin signaling pathway, and belongs to the non-BRAF-non-RAS subtype of the
molecular classification of thyroid tumors. Elevated expression of estrogen and
progesterone receptors and activation of the WNT/beta-catenin pathway may prove
useful as putative therapeutic targets in cases that do not respond to
conventional therapy. Clinicians should be alerted to the possibility of familial
adenomatous polyposis when a diagnosis of cribriform-morular variant of thyroid
carcinoma is made. Instead of being considered as a variant of papillary thyroid
carcinoma its designation as cribriform-morular thyroid carcinoma seems more
appropriate.
PMID- 29785020
TI - Glypican-1 immunohistochemistry does not separate mesothelioma from pulmonary
adenocarcinoma.
AB - Immunohistochemistry (IHC) is used to help differentiate pleural mesothelioma
from pulmonary adenocarcinoma in pleural biopsies and cytology specimens of
pleural effusions due to overlapping morphologic features between these two
malignancies. The aim of this study is to evaluate IHC glypican-1, a recently
proposed marker for epithelioid mesothelioma, in our cohort of mesotheliomas and
pulmonary adenocarcinoma. Tissue microarrays with duplicate cores from 33 cases
of mesotheliomas (28 epithelioid type and five sarcomatoid type) and 21 cases of
pulmonary adenocarcinoma were stained with glypican-1 antibody. The proportion of
cases by tumor type showing staining with glypican-1 and the H-score for each
tumor type were evaluated. All 33 cases of mesothelioma and all 20 cases of
pulmonary adenocarcinoma with interpretable cores showed positive cytoplasmic
staining. All but one case of mesothelioma and all pulmonary adenocarcinomas
showed staining in at least 80% of the tumor cells. The mean H-score for glypican
1 of mesothelioma (134 +/- 59, mean +/- SD) was not significantly different from
that for pulmonary adenocarcinoma (156 +/- 60; P = 0.21). Neither epithelioid
type (mean H-score 135 +/- 57) nor sarcomatoid type (mean H-score 130 +/- 78) of
mesothelioma showed different H-scores when compared to pulmonary adenocarcinoma
(P = 0.23 and 0.42, respectively). In conclusion, glypican-1 IHC does not
differentiate mesothelioma from pulmonary adenocarcinoma.
PMID- 29785021
TI - Advanced septa size quantitation determines the evaluation of histological
fibrosis outcome in chronic hepatitis B patients.
AB - Hepatitis B (HBV)-related fibrosis can be reversed after effective antiviral
therapy. However, detailed changes of collagen characteristics during fibrosis
regression remain unclear. Paired biopsy samples obtained from chronic hepatitis
B patients were imaged with second harmonic generation/two photon excitation
fluorescence (SHG/TPEF)-based microscopy to identify and quantify collagen
features in portal, septal, and fibrillar areas. According to the changes of
Ishak stage and qFibrosis score, a total of 117 patients with paired liver biopsy
appeared to have four different outcomes after 78-week antiviral therapy: fast
reverse (9%), reverse (63%), stable (15%), or progress (13%) on fibrosis. Among
71 collagen features identified by SHG/TPEF analysis, the most prominent fibrosis
reversion occurred in the "septal" area, followed by the "fibrillar" area, but
not in the "portal" area (P < 0.001). Further analysis of 1060 individual septa
identified four parameters that correlated with fibrosis reversion: average
width, maximum width, number of fibers, and number of cross-link fibers (P <
0.001). Average septal width was independently associated with regressive septa
(odds ratio (OR) = 5.22, 95% confidence interval (CI): 4.17-6.53; P < 0.001),
with an AUROC of 0.96 (95% CI: 0.95-0.97). The threshold used to discriminate
reversal of fibrosis was 30 MUm. In conclusion, septal collagen was determined to
be the most useful histological feature for evaluation of dynamic changes in
liver fibrosis. Septal width was the most predictive indicator of prognosis in
liver fibrosis.
PMID- 29785022
TI - Novel peptide GX1 inhibits angiogenesis by specifically binding to
transglutaminase-2 in the tumorous endothelial cells of gastric cancer.
AB - The clinical application of GX1, an optimal gastric cancer (GC) targeting
peptide, is greatly limited because its receptor in the GC vasculature is
unknown. In this study, we screened the candidate receptor of GX1,
transglutaminase-2(TGM2), by co-immunoprecipitation (co-IP) combined with mass
spectrometry. We found that TGM2 was up-regulated in GC vascular endothelial
cells and that GX1 receptor expression was suppressed correspondingly after TGM2
downregulation. A highly consistent co-localization of GX1 receptor and TGM2 was
detected at both the cellular and tissue levels. High TGM2 expression was evident
in GC tissues from patients with poor prognosis. After TGM2 downregulation, the
GX1-mediated inhibition of proliferation and migration and the induction of the
apoptosis of GC vascular endothelial cells were weakened or even reversed.
Finally, we observed that GX1 could inhibit the GTP-binding activity of TGM2 by
reducing its intracellular distribution and downregulating its downstream
molecular targets (nuclear factor-kappa B, NF-kappaB; hypoxia-inducible factor 1
alpha, HIF1alpha) in GC vascular endothelial cells. Our study confirms that
peptide GX1 can inhibit angiogenesis by directly binding to TGM2, subsequently
reducing the GTP-binding activity of TGM2 and thereby suppressing its downstream
pathway(NF-kappaB/HIF1alpha). Our conclusions suggest that GX1/TGM2 may provide a
new target for the diagnosis and treatment of GC.
PMID- 29785023
TI - HIV vaccine candidate activation of hypoxia and the inflammasome in CD14+
monocytes is associated with a decreased risk of SIVmac251 acquisition.
AB - Qualitative differences in the innate and adaptive responses elicited by
different HIV vaccine candidates have not been thoroughly investigated. We tested
the ability of the Aventis Pasteur live recombinant canarypox vector (ALVAC)-SIV,
DNA-SIV and Ad26-SIV vaccine prime modalities together with two ALVAC-SIV + gp120
protein boosts to reduce the risk of SIVmac251 acquisition in rhesus macaques. We
found that the DNA and ALVAC prime regimens were effective, but the Ad26 prime
was not. The activation of hypoxia and the inflammasome in CD14+CD16- monocytes,
gut-homing CCR5-negative CD4+ T helper 2 (TH2) cells and antibodies to variable
region 2 correlated with a decreased risk of SIVmac251 acquisition. By contrast,
signal transducer and activator of transcription 3 activation in CD16+ monocytes
was associated with an increased risk of virus acquisition. The Ad26 prime
regimen induced the accumulation of CX3CR1+CD163+ macrophages in lymph nodes and
of long-lasting CD4+ TH17 cells in the gut and lungs. Our data indicate that the
selective engagement of monocyte subsets following a vaccine prime influences
long-term immunity, uncovering an unexpected association of CD14+ innate
monocytes with a reduced risk of SIVmac251 acquisition.
PMID- 29785024
TI - Targeting skeletal endothelium to ameliorate bone loss.
AB - Recent studies have identified a specialized subset of CD31hiendomucinhi
(CD31hiEMCNhi) vascular endothelium that positively regulates bone formation.
However, it remains unclear how CD31hiEMCNhi endothelium levels are coupled to
anabolic bone formation. Mice with an osteoblast-specific deletion of Shn3, which
have markedly elevated bone formation, demonstrated an increase in CD31hiEMCNhi
endothelium. Transcriptomic analysis identified SLIT3 as an osteoblast-derived,
SHN3-regulated proangiogenic factor. Genetic deletion of Slit3 reduced skeletal
CD31hiEMCNhi endothelium, resulted in low bone mass because of impaired bone
formation and partially reversed the high bone mass phenotype of Shn3-/- mice.
This coupling between osteoblasts and CD31hiEMCNhi endothelium is essential for
bone healing, as shown by defective fracture repair in SLIT3-mutant mice and
enhanced fracture repair in SHN3-mutant mice. Finally, administration of
recombinant SLIT3 both enhanced bone fracture healing and counteracted bone loss
in a mouse model of postmenopausal osteoporosis. Thus, drugs that target the
SLIT3 pathway may represent a new approach for vascular-targeted osteoanabolic
therapy to treat bone loss.
PMID- 29785025
TI - An immune-beige adipocyte communication via nicotinic acetylcholine receptor
signaling.
AB - Beige adipocytes have recently been shown to regulate energy dissipation when
activated and help organisms defend against hypothermia and obesity. Prior
reports indicate that beige-like adipocytes exist in adult humans and that they
may present novel opportunities to curb the global epidemic in obesity and
metabolic illnesses. In an effort to identify unique features of activated beige
adipocytes, we found that expression of the cholinergic receptor nicotinic alpha
2 subunit (Chrna2) was induced in subcutaneous fat during the activation of these
cells and that acetylcholine-producing immune cells within this tissue regulated
this signaling pathway via paracrine mechanisms. CHRNA2 functioned selectively in
uncoupling protein 1 (Ucp1)-positive beige adipocytes, increasing thermogenesis
through a cAMP- and protein kinase A-dependent pathway. Furthermore, this
signaling via CHRNA2 was conserved and present in human subcutaneous adipocytes.
Inactivation of Chrna2 in mice compromised the cold-induced thermogenic response
selectively in subcutaneous fat and exacerbated high-fat diet-induced obesity and
associated metabolic disorders, indicating that even partial loss of beige fat
regulation in vivo had detrimental consequences. Our results reveal a beige
selective immune-adipose interaction mediated through CHRNA2 and identify a novel
function of nicotinic acetylcholine receptors in energy metabolism. These
findings may lead to identification of therapeutic targets to counteract human
obesity.
PMID- 29785027
TI - Targeting G-quadruplex DNA as cognitive function therapy for ATR-X syndrome.
AB - Alpha-thalassemia X-linked intellectual disability (ATR-X) syndrome is caused by
mutations in ATRX, which encodes a chromatin-remodeling protein. Genome-wide
analyses in mouse and human cells indicate that ATRX tends to bind to G-rich
sequences with a high potential to form G-quadruplexes. Here, we report that Atrx
mutation induces aberrant upregulation of Xlr3b expression in the mouse brain, an
outcome associated with neuronal pathogenesis displayed by ATR-X model mice. We
show that ATRX normally binds to G-quadruplexes in CpG islands of the imprinted
Xlr3b gene, regulating its expression by recruiting DNA methyltransferases. Xlr3b
binds to dendritic mRNAs, and its overexpression inhibits dendritic transport of
the mRNA encoding CaMKII-alpha, promoting synaptic dysfunction. Notably,
treatment with 5-ALA, which is converted into G-quadruplex-binding metabolites,
reduces RNA polymerase II recruitment and represses Xlr3b transcription in ATR-X
model mice. 5-ALA treatment also rescues decreased synaptic plasticity and
cognitive deficits seen in ATR-X model mice. Our findings suggest a potential
therapeutic strategy to target G-quadruplexes and decrease cognitive impairment
associated with ATR-X syndrome.
PMID- 29785026
TI - Resetting the epigenetic balance of Polycomb and COMPASS function at enhancers
for cancer therapy.
AB - The lysine methyltransferase KMT2C (also known as MLL3), a subunit of the COMPASS
complex, implements monomethylation of Lys4 on histone H3 (H3K4) at gene
enhancers. KMT2C (hereafter referred to as MLL3) frequently incurs point
mutations across a range of human tumor types, but precisely how these lesions
alter MLL3 function and contribute to oncogenesis is unclear. Here we report a
cancer mutational hotspot in MLL3 within the region encoding its plant
homeodomain (PHD) repeats and demonstrate that this domain mediates association
of MLL3 with the histone H2A deubiquitinase and tumor suppressor BAP1. Cancer
associated mutations in the sequence encoding the MLL3 PHD repeats disrupt the
interaction between MLL3 and BAP1 and correlate with poor patient survival.
Cancer cells that had PHD-associated MLL3 mutations or lacked BAP1 showed reduced
recruitment of MLL3 and the H3K27 demethylase KDM6A (also known as UTX) to gene
enhancers. As a result, inhibition of the H3K27 methyltransferase activity of the
Polycomb repressive complex 2 (PRC2) in tumor cells harboring BAP1 or MLL3
mutations restored normal gene expression patterns and impaired cell
proliferation in vivo. This study provides mechanistic insight into the oncogenic
effects of PHD-associated mutations in MLL3 and suggests that restoration of a
balanced state of Polycomb-COMPASS activity may have therapeutic efficacy in
tumors that bear mutations in the genes encoding these epigenetic factors.
PMID- 29785029
TI - Highly Bactericidal Macroporous Antimicrobial Polymeric Gel for Point-of-Use
Water Disinfection.
AB - Access to clean and safe water supply remains inadequate in many developing
countries. One of the key challenges is to remove pathogenic bacteria from the
water supply via effective water disinfection technologies to prevent the spread
of diseases and to ensure the safety of consumers. Herein, a highly effective
point-of-use (on-demand) water disinfection technology, in the form of a
polymeric scaffold called macroporous antimicrobial polymeric gel (MAPG), is
demonstrated. MAPG is easy to fabricate, completely organic and possess inherent
antimicrobial property which makes it non-reliant on inorganic compounds such as
silver where the long-term toxicity remains unknown. MAPG is highly bactericidal
and can disinfect bacteria-contaminated water (ca. 108 CFU mL-1) at a capacity of
about >50 times the mass of the organic material used, inactivating >99% of both
Gram-negative and Gram-positive bacteria including Escherichia coli, Vibrio
cholerae and Staphylococcus aureus within 20 minutes of treatment. When
fabricated in a syringe, MAPG eliminates E. coli from contaminated water source
by >8.0 log10 reduction in bacteria counts (i.e., no viable bacteria were
detected after treatment), and the syringe can be reused multiple times without
losing potency. The MAPG technology is not only restricted to water disinfection
but may also be applicable in other bacteria inactivation applications.
PMID- 29785028
TI - The reference epigenome and regulatory chromatin landscape of chronic lymphocytic
leukemia.
AB - Chronic lymphocytic leukemia (CLL) is a frequent hematological neoplasm in which
underlying epigenetic alterations are only partially understood. Here, we analyze
the reference epigenome of seven primary CLLs and the regulatory chromatin
landscape of 107 primary cases in the context of normal B cell differentiation.
We identify that the CLL chromatin landscape is largely influenced by distinct
dynamics during normal B cell maturation. Beyond this, we define extensive
catalogues of regulatory elements de novo reprogrammed in CLL as a whole and in
its major clinico-biological subtypes classified by IGHV somatic hypermutation
levels. We uncover that IGHV-unmutated CLLs harbor more active and open chromatin
than IGHV-mutated cases. Furthermore, we show that de novo active regions in CLL
are enriched for NFAT, FOX and TCF/LEF transcription factor family binding sites.
Although most genetic alterations are not associated with consistent epigenetic
profiles, CLLs with MYD88 mutations and trisomy 12 show distinct chromatin
configurations. Furthermore, we observe that non-coding mutations in IGHV-mutated
CLLs are enriched in H3K27ac-associated regulatory elements outside accessible
chromatin. Overall, this study provides an integrative portrait of the CLL
epigenome, identifies extensive networks of altered regulatory elements and sheds
light on the relationship between the genetic and epigenetic architecture of the
disease.
PMID- 29785030
TI - Landau-Zener-Stuckelberg Interferometry for Majorana Qubit.
AB - Stimulated by a recent experiment observing successfully two superconducting
states with even- and odd-number of electrons in a nanowire topological
superconductor as expected from the existence of two end Majorana quasiparticles
(MQs) [Albrecht et al., Nature 531, 206 (2016)], we propose a way to manipulate
Majorana qubit exploiting quantum tunneling effects. The prototype setup consists
of two one-dimensional (1D) topological superconductors coupled by a tunneling
junction which can be controlled by gate voltage. We show that the time evolution
of superconducting phase difference at the junction under a voltage bias induces
an oscillation in energy levels of the Majorana parity states, whereas the level
crossing is avoided by a small coupling energy of MQs in the individual 1D
superconductors. This results in a Landau-Zener-Stuckelberg (LZS) interference
between the Majorana parity states. Adjusting pulses of bias voltage and gate
voltage, one can construct a LZS interferometry which provides an arbitrary
manipulation of the Majorana qubit.
PMID- 29785031
TI - Nanoparticle-templated nanofiltration membranes for ultrahigh performance
desalination.
AB - Nanofiltration (NF) membranes with ultrahigh permeance and high rejection are
highly beneficial for efficient desalination and wastewater treatment. Improving
water permeance while maintaining the high rejection of state-of-the-art thin
film composite (TFC) NF membranes remains a great challenge. Herein, we report
the fabrication of a TFC NF membrane with a crumpled polyamide (PA) layer via
interfacial polymerization on a single-walled carbon nanotubes/polyether sulfone
composite support loaded with nanoparticles as a sacrificial templating material,
using metal-organic framework nanoparticles (ZIF-8) as an example. The
nanoparticles, which can be removed by water dissolution after interfacial
polymerization, facilitate the formation of a rough PA active layer with crumpled
nanostructure. The NF membrane obtained thereby exhibits high permeance up to
53.5 l m-2h-1 bar-1 with a rejection above 95% for Na2SO4, yielding an overall
desalination performance superior to state-of-the-art NF membranes reported so
far. Our work provides a simple avenue to fabricate advanced PA NF membranes with
outstanding performance.
PMID- 29785032
TI - Solvents can control solute molecular identity.
AB - For solution-phase chemical reactions, the solvent is often considered simply as
a medium to allow the reactants to encounter each other by diffusion. Although
examples of direct solvent effects on molecular solutes exist, such as the
compression of solute bonding electrons due to Pauli repulsion interactions, the
solvent is not usually considered a part of the chemical species of interest. We
show, using quantum simulations of Na2, that when there are local specific
interactions between a solute and solvent that are energetically on the same
order as a hydrogen bond, the solvent controls not only the bond dynamics but
also the chemical identity of the solute. In tetrahydrofuran, dative bonding
interactions between the solvent and Na atoms lead to unique coordination states
that must cross a free energy barrier of ~8 kBT-undergoing a chemical reaction-to
interconvert. Each coordination state has its own dynamics and spectroscopic
signatures, highlighting the importance of considering the solvent in the
identity of condensed-phase chemical systems.
PMID- 29785033
TI - Identification and characterization of diverse coherences in the Fenna-Matthews
Olson complex.
AB - The idea that excitonic (electronic) coherences are of fundamental importance to
natural photosynthesis gained popularity when slowly dephasing quantum beats
(QBs) were observed in the two-dimensional electronic spectra of the Fenna
Matthews-Olson (FMO) complex at 77 K. These were assigned to superpositions of
excitonic states, a controversial interpretation, as the strong chromophore
environment interactions in the complex suggest fast dephasing. Although it has
been pointed out that vibrational motion produces similar spectral signatures, a
concrete assignment of these oscillatory signals to distinct physical processes
is still lacking. Here we revisit the coherence dynamics of the FMO complex using
polarization-controlled two-dimensional electronic spectroscopy, supported by
theoretical modelling. We show that the long-lived QBs are exclusively
vibrational in origin, whereas the dephasing of the electronic coherences is
completed within 240 fs even at 77 K. We further find that specific vibrational
coherences are produced via vibronically coupled excited states. The presence of
such states suggests that vibronic coupling is relevant for photosynthetic energy
transfer.
PMID- 29785034
TI - Trophic overlap between expanding and contracting fish predators in a range
margin undergoing change.
AB - Climate change is predicted to cause a freshening of the Baltic Sea, facilitating
range expansions of freshwater species and contractions of marine. Resident
marine flounders (Platichthys flesus) and expansive freshwater roach (Rutilus
rutilus) are dominant consumers in the Baltic Sea sublittoral where they occur in
partial sympatry. By comparing patterns of resource use by flounders and roach
along a declining resource gradient of blue mussels (Mytilus trossulus) our aim
was to explore predator functional responses and the degree of trophic overlap.
Understanding the nature of density-dependent prey acquisition has important
implications for predicting population dynamics of both predators and their
shared prey. Results showed a highly specialized diet for both species, high
reliance on blue mussels throughout the range, similar prey size preference and
high trophic overlap. Highest overlap occurred where blue mussels were abundant
but overlap was also high where they were scarce. Our results highlight the
importance of a single food item - the blue mussel - for both species, likely
promoting high population size and range expansion of roach. Findings also
suggest that range expansion of roach may have a top-down structuring force on
mussels that differ in severity and location from that originating from resident
flounders.
PMID- 29785035
TI - Optimized delivery of siRNA into 3D tumor spheroid cultures in situ.
AB - 3D tissue culture provides a physiologically relevant and genetically tractable
system for studying normal and malignant human tissues. Despite this, gene
silencing studies using siRNA has proved difficult. In this study, we have
identified a cause for why traditional siRNA transfection techniques are
ineffective in eliciting gene silencing in situ within 3D cultures and proposed a
simple method for significantly enhancing siRNA entry into spheroids/organoids.
In 2D cell culture, the efficiency of gene silencing is significantly reduced
when siRNA complexes are prepared in the presence of serum. Surprisingly, in both
3D tumour spheroids and primary murine organoids, the presence of serum during
siRNA preparation rapidly promotes entry and internalization of Cy3-labelled
siRNA in under 2 hours. Conversely, siRNA prepared in traditional low-serum
transfection media fails to gain matrigel or spheroid/organoid entry. Direct
measurement of CTNNB1 mRNA (encoding beta-catenin) from transfected tumour
spheroids confirmed a transient but significant knockdown of beta-catenin when
siRNA:liposome complexes were formed with serum, but not when prepared in the
presence of reduced-serum media (Opti-MEM). Our studies suggest a simple
modification to standard lipid-based transfection protocols facilitates rapid
siRNA entry and transient gene repression, providing a platform for researchers
to improve siRNA efficiency in established 3D cultures.
PMID- 29785036
TI - A novel microRNA signature predicts survival in liver hepatocellular carcinoma
after hepatectomy.
AB - Liver hepatocellular carcinoma (LIHC) is the most common type of primary liver
cancer. In the current study, genome-wide miRNA-Seq and mRNA profiles in 318 LIHC
patients derived from The Cancer Genome Atlas (TCGA) were analysed to identify
miRNA-based signatures for LIHC prognosis with survival analysis and a semi
supervised principal components (SPC) method. A seven-miRNA signature was
confirmed for overall survival (OS) prediction by comparing miRNA profiles in
paired primary tumour and solid tumour normal tissues. Thereafter, a linear
prognostic model that consisted of seven miRNAs was established and used to
divide patients into high- and low-risk groups according to prognostic scores.
Subsequent Kaplan-Meier analysis revealed that the seven-miRNA signature
correlated with a good predictive clinical outcome for 5-year survival in LIHC
patients. Additionally, this miRNA-based prognostic model could also be used for
OS prognosis of LIHC patients in early stages, which could guide the future
therapy of those patients and promote the OS rate. Moreover, the seven-miRNA
signature was an independent prognostic factor. In conclusion, this signature may
serve as a prognostic biomarker and guide LIHC therapy, and it could even be used
as an LIHC therapeutic target in the future.
PMID- 29785038
TI - Reduced peak stimulated growth hormone is associated with hyperuricemia in obese
children and adolescents.
AB - The purpose of the study is to investigate whether reduced peak GH response to
arginine-levodopa test is associated with hyperuricemia in obese children and
adolescents. The study population consisted of a total sample of 78 obese and 30
normal-weight children and adolescents without known hypopituitarism. All
participants underwent clinical examination and GH stimulation testing. IGF-1,
lipid profile and other metabolic markers were assessed. The obese subjects were
then divided into two groups according to the serum levels of uric acid. Results
show that obese subjects had significantly lower peak GH, lower IGF-1 and similar
height SDS than those in the control group. Children with hyperuricemia had
significantly lower peak stimulated GH compared with non-hyperuricemia obese
subjects. Results from logistic regression model showed that peak GH were
negatively associated with hyperuricemia after controlling for age, gender,
tanner stage, BMI SDS, IGF-1, blood pressure, HOMA-IR, lipids status. These data
indicate that lower peak GH is positively associated with hyperuricemia in obese
children and adolescents without known hypothalamic/pituitary disease after
controlling BMI and insulin resistance, as well as other cardiometabolic risk
factors.
PMID- 29785039
TI - Extremely Low Frequency Electromagnetic Fields impair the Cognitive and Motor
Abilities of Honey Bees.
AB - Extremely low frequency electromagnetic field (ELF EMF) pollution from overhead
powerlines is known to cause biological effects across many phyla, but these
effects are poorly understood. Honey bees are important pollinators across the
globe and due to their foraging flights are exposed to relatively high levels of
ELF EMF in proximity to powerlines. Here we ask how acute exposure to 50 Hz ELF
EMFs at levels ranging from 20-100 uT, found at ground level below powerline
conductors, to 1000-7000 uT, found within 1 m of the conductors, affects honey
bee olfactory learning, flight, foraging activity and feeding. ELF EMF exposure
was found to reduce learning, alter flight dynamics, reduce the success of
foraging flights towards food sources, and feeding. The results suggest that 50
Hz ELF EMFs emitted from powerlines may represent a prominent environmental
stressor for honey bees, with the potential to impact on their cognitive and
motor abilities, which could in turn reduce their ability to pollinate crops.
PMID- 29785037
TI - Assessing the utility of frequency tagging for tracking memory-based reactivation
of word representations.
AB - Reinstatement of memory-related neural activity measured with high temporal
precision potentially provides a useful index for real-time monitoring of the
timing of activation of memory content during cognitive processing. The utility
of such an index extends to any situation where one is interested in the
(relative) timing of activation of different sources of information in memory, a
paradigm case of which is tracking lexical activation during language processing.
Essential for this approach is that memory reinstatement effects are robust, so
that their absence (in the average) definitively indicates that no lexical
activation is present. We used electroencephalography to test the robustness of a
reported subsequent memory finding involving reinstatement of frequency-specific
entrained oscillatory brain activity during subsequent recognition. Participants
learned lists of words presented on a background flickering at either 6 or 15 Hz
to entrain a steady-state brain response. Target words subsequently presented on
a non-flickering background that were correctly identified as previously seen
exhibited reinstatement effects at both entrainment frequencies. Reliability of
these statistical inferences was however critically dependent on the approach
used for multiple comparisons correction. We conclude that effects are not robust
enough to be used as a reliable index of lexical activation during language
processing.
PMID- 29785041
TI - Dark septate endophytes isolated from a xerophyte plant promote the growth of
Ammopiptanthus mongolicus under drought condition.
AB - Dark septate endophytes (DSE) may facilitate plant growth and stress tolerance in
stressful ecosystems. However, little is known about the response of plants to
non-host DSE fungi isolated from other plants, especially under drought
condition. This study aimed to seek and apply non-host DSE to evaluate their
growth promoting effects in a desert species, Ammopiptanthus mongolicus, under
drought condition. Nine DSE strains isolated from a super-xerophytic shrub,
Gymnocarpos przewalskii, were identified and used as the non-host DSE. And DSE
colonization rate (30-35%) and species composition in the roots of G. przewalskii
were first reported. The inoculation results showed that all DSE strains were
effective colonizers and formed a strain-dependent symbiosis with A. mongolicus.
Specifically, one Darksidea strain, Knufia sp., and Leptosphaeria sp. increased
the total biomass of A. mongolicus compared to non-inoculated plants. Two
Paraconiothyrium strains, Phialophora sp., and Embellisia chlamydospora exhibited
significantly positive effects on plant branch number, potassium and calcium
content. Two Paraconiothyrium and Darksidea strains particularly decreased plant
biomass or element content. As A. mongolicus plays important roles in fixing
moving sand and delay desertification, the ability of certain DSE strains to
promote desert plant growth indicates their potential use for vegetation recovery
in arid environments.
PMID- 29785040
TI - Archetypal transcriptional blocks underpin yeast gene regulation in response to
changes in growth conditions.
AB - The transcriptional responses of yeast cells to diverse stresses typically
include gene activation and repression. Specific stress defense, citric acid
cycle and oxidative phosphorylation genes are activated, whereas protein
synthesis genes are coordinately repressed. This view was achieved from
comparative transcriptomic experiments delineating sets of genes whose expression
greatly changed with specific stresses. Less attention has been paid to the
biological significance of 1) consistent, albeit modest, changes in RNA levels
across multiple conditions, and 2) the global gene expression correlations
observed when comparing numerous genome-wide studies. To address this, we
performed a meta-analysis of 1379 microarray-based experiments in yeast, and
identified 1388 blocks of RNAs whose expression changes correlate across multiple
and diverse conditions. Many of these blocks represent sets of functionally
related RNAs that act in a coordinated fashion under normal and stress
conditions, and map to global cell defense and growth responses. Subsequently, we
used the blocks to analyze novel RNA-seq experiments, demonstrating their utility
and confirming the conclusions drawn from the meta-analysis. Our results provide
a new framework for understanding the biological significance of changes in gene
expression: 'archetypal' transcriptional blocks that are regulated in a concerted
fashion in response to external stimuli.
PMID- 29785042
TI - Inducible high-efficiency CRISPR-Cas9-targeted gene editing and precision base
editing in African trypanosomes.
AB - The Cas9 endonuclease can be programmed by guide RNA to introduce sequence
specific breaks in genomic DNA. Thus, Cas9-based approaches present a range of
novel options for genome manipulation and precision editing. African trypanosomes
are parasites that cause lethal human and animal diseases. They also serve as
models for studies on eukaryotic biology, including 'divergent' biology. Genome
modification, exploiting the native homologous recombination machinery, has been
important for studies on trypanosomes but often requires multiple rounds of
transfection using selectable markers that integrate at low efficiency. We report
a system for delivering tetracycline inducible Cas9 and guide RNA to Trypanosoma
brucei. In these cells, targeted DNA cleavage and gene disruption can be achieved
at close to 100% efficiency without further selection. Disruption of
aquaglyceroporin (AQP2) or amino acid transporter genes confers resistance to the
clinical drugs pentamidine or eflornithine, respectively, providing simple and
robust assays for editing efficiency. We also use the new system for homology
directed, precision base editing; a single-stranded oligodeoxyribonucleotide
repair template was delivered to introduce a single AQP2 - T791G/L264R mutation
in this case. The technology we describe now enables a range of novel programmed
genome-editing approaches in T. brucei that would benefit from temporal control,
high-efficiency and precision.
PMID- 29785043
TI - Effect of Plant Density, Boron Nutrition and Growth Regulation on Seed Mass,
Emergence and Offspring Growth Plasticity in Cotton.
AB - Seed nutrients reserves have direct relationship with seed functional traits and
influence offspring performance. Effects of plant density, foliage boron (B)
nutrition and mepiquat chloride (MC) growth regulation on seed nutrients
reserves, seed mass and production, and emergence and offspring growth traits of
cotton were studied in two years field experiment. Seed nutrients reserves and
seed mass were decreased at higher maternal plant density relative to lower plant
density with concomitant decrease in emergence and offspring seedling growth.
However, maternal foliage B nutrition and MC growth regulation enhanced seed
nutrients reserves, seed mass, emergence and offspring seedling growth
performance. There was a significant positive relationship between seed mass and
seed nutrients reserves indicating that changes in nutrient availability/uptake
in response to maternal ecological factors determine variation in seed functional
traits. Nonetheless, seed mass was positively correlated with emergence
percentage and negatively with emergence timing. Furthermore, variation in
offspring seedling growth traits with seed mass indicated the significance of
initial seed nutrients reserves for early seedling vigour and establishment. In
conclusion, lower maternal plant density, B nutrition and MC growth regulation
ensued in higher emergence and offspring seedling growth of cotton because of
higher seed nutrient reserves and seed mass.
PMID- 29785045
TI - An initial study on the effect of functional electrical stimulation in erectile
dysfunction: a randomized controlled trial.
AB - Erectile dysfunction (ED) affects approximately 150 million men worldwide.
Functional electrical stimulation (FES) therapy has shown a high regenerative
capacity for smooth muscle cells and, therefore, is being increasingly adopted.
FES can be a beneficial treatment option when the cause of ED is related to
degeneration of cavernous smooth muscle. To evaluate the impact of FES on
erectile function in men with erectile dysfunction. Twenty-two patients with ED
participated in this randomized clinical trial. Participants were randomly
assigned to two groups: intervention (IG) or control (CG). IG participants
underwent FES therapy (50 Hz/500 us) for a total of 4 weeks, divided into two
weekly sessions lasting 15 min each, with intensity lower than the motor
threshold. CG participants were treated with placebo FES and followed the same
routine as the IG. Erectile function was assessed by the validated International
Index of Erectile Function (IIEF-5) and Erection Hardness Score (EHS), applied
before and after treatment, and quality of life, by the WHOQOL questionnaire.
Statistically significant differences in IIEF-5 and EHS were found between the IG
and CG after treatment (p < 0.05), as well as a within-group difference in the
IG when comparing the post-treatment periods (p < 0.0001) The WHOQOL revealed a
significant difference between CG and IG after treatment (p < 0.05), as well as a
within-group difference in the IG after treatment (p < 0.0001), except in the
Environment domain, in which there was no difference between the pre- and post
treatment periods (50.9 +/- 2.8 pre vs. 52.3 +/- 3.1 post). This trial showed
that FES therapy may improve erectile function and quality of life in men with
ED.
PMID- 29785044
TI - The live cell DNA stain SiR-Hoechst induces DNA damage responses and impairs cell
cycle progression.
AB - SiR-Hoechst (SiR-DNA) is a far-red fluorescent DNA probe being used widely for
time-lapse imaging of living cells that is reported to be minimally toxic at
concentrations as high as 10-25 uM. However, measuring nuclear import of Cyclin
B1, inhibition of mitotic entry, and the induction of gammaH2AX foci in cultured
human cells reveals that SiR-Hoechst induces DNA damage responses and G2 arrest
at concentrations well below 1 uM. SiR-Hoechst is useful for live cell imaging,
but it should be used with caution and at the lowest practicable concentration.
PMID- 29785046
TI - Relation between hypertension and erectile dysfunction: a meta-analysisof cross
section studies.
AB - Erectile dysfunction (ED) is a wide spread and troublesome problem in aging men.
Many analyses of hypertensive patients suggest that the prevalence of ED in
hypertensive populations is even higher. The purpose of this meta-analysis was to
evaluate the relation between hypertension and ED. A literature review was
performed to identify all cross-section studies about hypertension and erectile
dysfunction. Sources included MEDLINE and EMBASE from 1966 to 2015. The reference
lists of the retrieved studies were also investigated, and a meta-analysis were
conducted. Eighteen cross-section studies involving a total of 41,943
participants and 10,151 cases of ED were used in this analysis. We found that
risk of ED was increased with hypertension (summary OR = 1.84, 95% CI: 1.58-2.14,
p < 0.000001). Adjusting for obesity, unfavorable lipid levels, alcohol abuse,
physical activity, cigarette smoking, educational level and other lifestyle
factors, hypertension was also associated with increased risk of ED (summary OR =
1.58, 95% CI: 1.35-1.86, p < 0.00001). The results of this meta-analysis support
that hypertension is associated with an increased risk of ED. Further high
quality prospective studies are needed to confirm this observation.
PMID- 29785048
TI - Rubber versus forest on contested Asian land.
PMID- 29785047
TI - Rationally designed AAV2 and AAVrh8R capsids provide improved transduction in the
retina and brain.
AB - The successful application of adeno-associated virus (AAV) gene delivery vectors
as a therapeutic paradigm will require efficient gene delivery to the appropriate
cells in affected organs. In this study, we utilized a rational design approach
to introduce modifications to the AAV2 and AAVrh8R capsids and the resulting
variants were evaluated for transduction activity in the retina and brain. The
modifications disrupted either capsid/receptor binding or altered capsid surface
charge. Specifically, we mutated AAV2 amino acids R585A and R588A, which are
required for binding to its receptor, heparan sulfate proteoglycans, to generate
a variant referred to as AAV2-HBKO. In contrast to parental AAV2, the AAV2-HBKO
vector displayed low-transduction activity following intravitreal delivery to the
mouse eye; however, following its subretinal delivery, AAV2-HBKO resulted in
significantly greater photoreceptor transduction. Intrastriatal delivery of AAV2
HBKO to mice facilitated widespread striatal and cortical expression, in contrast
to the restricted transduction pattern of the parental AAV2 vector. Furthermore,
we found that altering the surface charge on the AAVrh8R capsid by modifying the
number of arginine residues on the capsid surface had a profound impact on
subretinal transduction. The data further validate the potential of capsid
engineering to improve AAV gene therapy vectors for clinical applications.
PMID- 29785049
TI - Involvement of inhibitor kappa B kinase 2 (IKK2) in the regulation of vascular
tone.
AB - Inhibitor kappa B kinase 2 (IKK2) plays an essential role in the activation of
nuclear factor kappa B (NF-kB). Recently, it has been suggested that IKK2 acts as
a myosin light chain kinase (MLCK) and contributes to vasoconstriction in mouse
aorta. However, the underlying mechanisms are still unknown. Therefore, we
investigated whether IKK2 acts as a MLCK or regulates the activity of myosin
light chain phosphatase (MLCP). Pressure myograph was used to measure vascular
tone in rat mesenteric arteries. Immunofluorescence staining was performed to
identify phosphorylation levels of MLC (ser19), MYPT1 (thr853 and thr696) and CPI
17 (thr38). SC-514 (IKK2 inhibitor, 50 MUM) induced relaxation in the mesenteric
arteries pre-contracted with 70 mM high K+ solution or U-46619 (thromboxane
analog, 5 MUM). The relaxation induced by SC-514 was increased in the arteries
pre-contracted with U-46619 compared to arteries pre-contracted with 70 mM high
K+ solution. U-46619-induced contraction was decreased by treatment of SC-514 in
the presence of MLCK inhibitor, ML-7 (10 MUM). In the absence of intracellular
Ca2+, U-46619 still induced contraction, which was decreased by treatment of SC
514. Furthermore, phosphorylation levels of MLC (ser19) and MYPT1 (thr853) were
decreased by treatment of SC-514. IKK2 is involved in the vascular contraction
through regulation of MLCP activity by phosphorylating MYPT1 at thr853 in rat
mesenteric arteries. These findings suggest IKK2 could be a new pharmacological
target for specific therapies of various vascular diseases.
PMID- 29785050
TI - The tumor suppressor DLC1 inhibits cancer progression and oncogenic autophagy in
hepatocellular carcinoma.
AB - Downregulation of deleted in liver cancer 1 (DLC1) is associated with poor
prognosis of various cancers, but its functional mechanisms in hepatocellular
carcinoma (HCC) remains unclear. In the present study, we investigated the roles
of DLC1 in tumor progression and autophagy of HCC. We found that DLC1 was
frequently downregulated in HCC tissues. Underexpression of DLC1 correlated with
AFP level, vascular invasion, poor differentiation, and poor prognosis. In vitro
assays revealed that DLC1 not only suppressed the proliferation, migration, and
invasion of HCC cells, but also inhibited autophagy of HCC cells. Mechanistic
investigation revealed that DLC1 decreased TCF4 expression and the interaction
between beta-catenin and TCF4, then inactivated Wnt/beta-catenin signaling.
Additionally, DLC1 suppressed the ROCK1 activity and the dissociation of the
Beclin1-Bcl2 complex, thereby inhibiting autophagy of HCC cells. In conclusion,
our findings imply that loss of DLC1 contributes to the progression and oncogenic
autophagy of HCC.
PMID- 29785051
TI - Hyaluronan negatively regulates vascular calcification involving BMP2 signaling.
AB - Vascular calcification is a highly regulated biological process similar to bone
formation involving osteogenic differentiation of vascular smooth muscle cells
(VSMCs). Hyaluronan (HA), a major structural component of the extracellular
matrix in cartilage, has been shown to inhibit osteoblast differentiation.
However, whether HA affects osteogenic differentiation and calcification of VSMCs
remains unclear. In the present study, we used in vitro and ex vivo models of
vascular calcification to investigate the role of HA in vascular calcification.
Both high and low molecular weight HA treatment significantly reduced
calcification of rat VSMCs in a dose-dependent manner, as detected by alizarin
red staining and calcium content assay. Ex vivo study further confirmed the
inhibitory effect of HA on vascular calcification. Similarly, HA treatment
decreased ALP activity and expression of bone-related molecules including Runx2,
BMP2 and Msx2. By contrast, inhibition of HA synthesis by 4-methylumbelliferone
(4MU) promoted calcification of rat VSMCs. In addition, adenovirus-mediated
overexpression of HA synthase 2 (HAS2), a major HA synthase in VSMCs, also
inhibited calcification of VSMCs, whereas CRISPR/Cas9-mediated HAS2 knockout
promoted calcification of rat A10 cells. Furthermore, we found that BMP2
signaling was inhibited in VSMCs after HA treatment. Recombinant BMP2 enhanced
high calcium and phosphate-induced VSMC calcification, which can be blocked by HA
treatment. Taken together, these findings suggest that HA inhibits vascular
calcification involving BMP2 signaling.
PMID- 29785052
TI - Observation of anisotropic magneto-Peltier effect in nickel.
AB - The Peltier effect, discovered in 1834, converts a charge current into a heat
current in a conductor, and its performance is described by the Peltier
coefficient, which is defined as the ratio of the generated heat current to the
applied charge current1,2. To exploit the Peltier effect for thermoelectric
cooling or heating, junctions of two conductors with different Peltier
coefficients have been believed to be indispensable. Here we challenge this
conventional wisdom by demonstrating Peltier cooling and heating in a single
material without junctions. This is realized through an anisotropic magneto
Peltier effect in which the Peltier coefficient depends on the angle between the
directions of a charge current and magnetization in a ferromagnet. By using
active thermography techniques3-10, we observe the temperature change induced by
this effect in a plain nickel slab. We find that the thermoelectric properties of
the ferromagnet can be redesigned simply by changing the configurations of the
charge current and magnetization, for instance, by shaping the ferromagnet so
that the current must flow around a curve. Our experimental results demonstrate
the suitability of nickel for the anisotropic magneto-Peltier effect and the
importance of spin-orbit interaction in its mechanism. The anisotropic magneto
Peltier effect observed here is the missing thermoelectric phenomenon in
ferromagnetic materials-the Onsager reciprocal of the anisotropic magneto-Seebeck
effect previously observed in ferromagnets-and its simplicity might prove useful
in developing thermal management technologies for electronic and spintronic
devices.
PMID- 29785053
TI - Management of children and young people (CYP) with asthma: a clinical audit
report.
AB - An asthma attack or exacerbation signals treatment failure. Most attacks are
preventable and failure to recognize risk of asthma attacks are well recognized
as risk factors for future attacks and even death. Of the 19 recommendations made
by the United Kingdom National Review of Asthma Deaths (NRAD) (1) only one has
been partially implemented-a National Asthma Audit; however, this hasn't reported
yet. The Harrow Clinical Commissioning Group (CCG) in London implemented a
clinical asthma audit on 291 children and young people aged under 19 years (CYP)
who had been treated for asthma attacks in 2016. This was funded as a Local
Incentive Scheme (LIS) aimed at improving quality health care delivery. Two years
after the publication of the NRAD report it is surprising that risks for future
attacks were not recognized, that few patients were assessed objectively during
attacks and only 10% of attacks were followed up within 2 days. However, it is
encouraging that CYP hospital admissions following the audit were reduced by 16%,
with clear benefit for patients, their families and the local health economy.
This audit has provided an example of how clinicians can focus learning on
patients who have had asthma attacks and utilize these events as a catalyst for
active reflection in particular on modifiable risk factors. Through
identification of these risks and active optimization of management, preventable
asthma attacks could become 'never events'.
PMID- 29785054
TI - Correlating the nanostructure of Al-oxide with deposition conditions and
dielectric contributions of two-level systems in perspective of superconducting
quantum circuits.
AB - This work is concerned with Al/Al-oxide(AlOx)/Al-layer systems which are
important for Josephson-junction-based superconducting devices such as quantum
bits. The device performance is limited by noise, which has been to a large
degree assigned to the presence and properties of two-level tunneling systems in
the amorphous AlOx tunnel barrier. The study is focused on the correlation of the
fabrication conditions, nanostructural and nanochemical properties and the
occurrence of two-level tunneling systems with particular emphasis on the AlOx
layer. Electron-beam evaporation with two different processes and sputter
deposition were used for structure fabrication, and the effect of illumination by
ultraviolet light during Al-oxide formation is elucidated. Characterization was
performed by analytical transmission electron microscopy and low-temperature
dielectric measurements. We show that the fabrication conditions have a strong
impact on the nanostructural and nanochemical properties of the layer systems and
the properties of two-level tunneling systems. Based on the understanding of the
observed structural characteristics, routes are suggested towards the fabrication
of Al/AlOx/Al-layers systems with improved properties.
PMID- 29785056
TI - Genome-wide mapping reveals that deoxyuridine is enriched in the human
centromeric DNA.
AB - Uracil in DNA can be generated by cytosine deamination or dUMP misincorporation;
however, its distribution in the human genome is poorly understood. Here we
present a selective labeling and pull-down technology for genome-wide uracil
profiling and identify thousands of uracil peaks in three different human cell
lines. Surprisingly, uracil is highly enriched at the centromere of the human
genome. Using mass spectrometry, we demonstrate that human centromeric DNA
contains a higher level of uracil. We also directly verify the presence of uracil
within two centromeric uracil peaks on chromosomes 6 and 11. Moreover,
centromeric uracil is preferentially localized within the binding regions of the
centromere-specific histone CENP-A and can be excised by human uracil-DNA
glycosylase UNG. Collectively, our approaches allow comprehensive analysis of
uracil in the human genome and provide robust tools for mapping and future
functional studies of uracil in DNA.
PMID- 29785055
TI - Mindfulness training induces structural connectome changes in insula networks.
AB - Although mindfulness meditation is known to provide a wealth of psychological
benefits, the neural mechanisms involved in these effects remain to be well
characterized. A central question is whether the observed benefits of mindfulness
training derive from specific changes in the structural brain connectome that do
not result from alternative forms of experimental intervention. Measures of whole
brain and node-level structural connectome changes induced by mindfulness
training were compared with those induced by cognitive and physical fitness
training within a large, multi-group intervention protocol (n = 86). Whole-brain
analyses examined global graph-theoretical changes in structural network
topology. A hypothesis-driven approach was taken to investigate connectivity
changes within the insula, which was predicted here to mediate interoceptive
awareness skills that have been shown to improve through mindfulness training. No
global changes were observed in whole-brain network topology. However, node-level
results confirmed a priori hypotheses, demonstrating significant increases in
mean connection strength in right insula across all of its connections. Present
findings suggest that mindfulness strengthens interoception, operationalized here
as the mean insula connection strength within the overall connectome. This
finding further elucidates the neural mechanisms of mindfulness meditation and
motivates new perspectives about the unique benefits of mindfulness training
compared to contemporary cognitive and physical fitness interventions.
PMID- 29785057
TI - Computational redesign of enzymes for regio- and enantioselective hydroamination.
AB - Introduction of innovative biocatalytic processes offers great promise for
applications in green chemistry. However, owing to limited catalytic performance,
the enzymes harvested from nature's biodiversity often need to be improved for
their desired functions by time-consuming iterative rounds of laboratory
evolution. Here we describe the use of structure-based computational enzyme
design to convert Bacillus sp. YM55-1 aspartase, an enzyme with a very narrow
substrate scope, to a set of complementary hydroamination biocatalysts. The
redesigned enzymes catalyze asymmetric addition of ammonia to substituted
acrylates, affording enantiopure aliphatic, polar and aromatic beta-amino acids
that are valuable building blocks for the synthesis of pharmaceuticals and
bioactive compounds. Without a requirement for further optimization by laboratory
evolution, the redesigned enzymes exhibit substrate tolerance up to a
concentration of 300 g/L, conversion up to 99%, beta-regioselectivity >99% and
product enantiomeric excess >99%. The results highlight the use of computational
design to rapidly adapt an enzyme to industrially viable reactions.
PMID- 29785058
TI - Effects of maternal obstructive sleep apnea on fetal growth: a case-control
study.
AB - OBJECTIVE: To investigate whether maternal obstructive sleep apnea (OSA) is
associated with changes in fetal growth trajectory. STUDY DESIGN: Retrospective
review of pregnant women who underwent overnight polysomnography. Fetal growth
was estimated using sonographic biometric measurements obtained during routine
prenatal care. Customized estimated fetal weight and birth weight centiles were
calculated and impaired fetal growth was defined as birth weight <10th centile or
a slowing of fetal growth by >33% during the last trimester. Logistic regression
models were used to determine the relationship between maternal OSA and altered
fetal growth after adjusting for potential covariates. RESULTS: There were 48
women without and 31 women with OSA. There were no differences in the proportion
of infants with birth weight <10th centile between women with and without OSA (23
vs. 25%, p = 1.0), However, the presence of maternal OSA was predictive of
impaired fetal growth (aOR 3.9, 95% CI 1.2-12.6). Logistic regression models were
repeated using only a slowing of fetal growth in the 3rd trimester (excluding
birth weight <10th centile) and OSA predicted a slowing in fetal growth across
the 3rd trimester (aOR 3.6, 95% CI 1.4-9.4). Fourteen additional women were
treated with positive airway pressure during pregnancy; fetal growth was not
significantly different in these women compared to controls. CONCLUSION:
Obstructive sleep apnea is independently associated with altered fetal growth,
which appears to be ameliorated with use of positive airway pressure.
PMID- 29785059
TI - Early NT-proBNP levels as a screening tool for the detection of hemodynamically
significant patent ductus arteriosus during the first week of life in very low
birth weight infants.
AB - OBJECTIVE: To assess whether early NT-ProBNP can identify the need for
echocardiographic assessment of hemodynamically significant patent ductus
arteriosus (HsPDA) in preterm infants. STUDY DESIGN: Prospective observational
study of infants with a gestational age <=32 weeks. Echocardiographic assessment
and NT-proBNP measurement were performed at 48-96 h. ROC curves were generated to
assess optimal cutoffs to detect HsPDA and predict the need for treatment.
RESULTS: Eighty-five patients were included. HsPDA was present in 28 infants
(37.6%), and 22 (25.8%) received treatment. The optimal NT-proBNP cutoff for the
detection of HsPDA was 5099 pg/mL (sensitivity 94%, specificity 82%, area under
the curve 0.941, P < 0.001). Only 1 child with NT-proBNP levels <5099 pg/mL was
ultimately treated for PDA. NT-proBNP screening could have avoided 45 of 85
routine echocardiograms (53%). CONCLUSION: NT-proBNP screening at 48-96 h of life
may identify preterm infants at low risk for HsPDA, improving PDA management.
PMID- 29785060
TI - Cost effectiveness of vitamin c supplementation for pregnant smokers to improve
offspring lung function at birth and reduce childhood wheeze/asthma.
AB - OBJECTIVE: To determine the implications of supplemental vitamin C for pregnant
tobacco smokers and its effects on the prevalence of pediatric asthma, asthma
related mortality, and associated costs. STUDY DESIGN: A decision-analytic model
built via TreeAge compared the outcome of asthma in a theoretical annual cohort
of 480,000 children born to pregnant smokers through 18 years of life. Vitamin C
supplementation (500 mg/day) with a standard prenatal vitamin was compared to a
prenatal vitamin (60 mg/day). Model inputs were derived from the literature.
Deterministic and probabilistic sensitivity analyses assessed the impact of
assumptions. RESULT: Additional vitamin C during pregnancy would prevent 1637
cases of asthma at the age of 18 per birth cohort of pregnant smokers. Vitamin C
would reduce asthma-related childhood deaths and save $31,420,800 in societal
costs over 18 years per birth cohort. CONCLUSION: Vitamin C supplementation in
pregnant smokers is a safe and inexpensive intervention that may reduce the
economic burden of pediatric asthma.
PMID- 29785061
TI - Targeted neonatal echocardiography (TNE) consult service in a large tertiary
perinatal center in Canada.
AB - OBJECTIVE: To describe the utilization and study the factors associated with the
impact on clinical management of a new TNE consultation service in a perinatal
center. METHODS: This retrospective cohort study included all neonates who
underwent TNE consultation at the neonatal unit of Mount Sinai Hospital in
Toronto, Canada (November 2011 and July 2015). The consults that had "impact"
were defined as those that led to a TNE suggested change in the clinical
management within 6 h of its recommendation. Logistic regression analysis was
performed to identify factors associated with a change in clinical management
following the consultation. RESULTS: A total of 553 consults were performed for
268 infants (gestational age: 27 +/- 4 weeks and age at initial consult: 16 (5,
34) days). Patent ductus arteriosus (PDA, 61%), suspected pulmonary hypertension
(PH, 27%), and systemic hypotension (SH, 9%) were the common indications. The
average consultations increased from 9 in 2012-2013 to 20 per month in 2014-2015.
Forty eight percent of consults had an impact on clinical management (PDA scans:
38%, PH: 58%, and SH: 81%, p < 0.01 between all). Male gender (adjusted odds
ratio (95% confidence interval): 1.9 (1.0, 3.5); p = 0.04), mechanical
ventilation (2.43 (1.2, 4.9); p = 0.01), and scans for PH (7.1 (2.2, 23.2); p <
0.01) and SH (2.6 (1.1, 6.5); p = 0.03) were independently associated with the
impact on clinical management. TNE consults identified all incidental cases of
major structural defects (n = 4), and six out of ten minor diagnoses.
CONCLUSIONS: TNE consult service demonstrated an increasing utilization and a
significant impact on clinical management over time especially for non-PDA
indications and in situations of high-illness severity. Although, all major
cardiac defects were identified, some minor congenital defects were missed by
TNEs.
PMID- 29785062
TI - Outcomes of hypoxic respiratory failure at birth associated with previable
rupture of membranes.
AB - OBJECTIVE: To characterize clinical outcomes of infants born after previable
rupture of membranes (pROM, < 23 weeks gestation and latency period >= 2 weeks)
in relation to refractory hypoxic respiratory failure (rHRF). STUDY DESIGN: pROM
neonates categorized as rHRF (FiO2 > 0.6 for >= 2 h) and treated (high frequency
ventilation + inhaled nitric oxide) were compared with no rHRF group. Primary
outcome was survival until discharge. Factors associated with rHRF and mortality
were identified. RESULT: Overall, mortality and disability rates were 28% and
22%, respectively. Treated rHRF group (n = 32) had longer period of ROM,
mortality was (31% vs. 14%; p = 0.20), with similar survival-without-disability
(54% vs. 47%; p = 0.67). Higher gestational age at birth [1.57 (1.03,2.39)] and
cesarean delivery [12.6 (1.22,125)] were associated with increased survival.
CONCLUSION: Birth after pROM is associated with high rates of adverse outcomes,
independent of latency period. Following treatment, rHRF infants may have similar
long-term outcomes as those without rHRF.
PMID- 29785063
TI - The Comprehension Problems of Children with Poor Reading Comprehension despite
Adequate Decoding: A Meta-Analysis.
AB - The purpose of this meta-analysis was to examine the comprehension problems of
children who have a specific reading comprehension deficit (SCD), which is
characterized by poor reading comprehension despite adequate decoding. The meta
analysis included 86 studies of children with SCD who were assessed in reading
comprehension and oral language (vocabulary, listening comprehension,
storytelling ability, and semantic and syntactic knowledge). Results indicated
that children with SCD had deficits in oral language (d = -0.78, 95% CI [-0.89,
0.68], but these deficits were not as severe as their deficit in reading
comprehension (d = -2.78, 95% CI [-3.01, -2.54]). When compared to reading
comprehension age-matched normal readers, the oral language skills of the two
groups were comparable (d = 0.32, 95% CI [-0.49, 1.14]), which suggests that the
oral language weaknesses of children with SCD represent a developmental delay
rather than developmental deviance. Theoretical and practical implications of
these findings are discussed.
PMID- 29785064
TI - Identification of relevant subtypes via preweighted sparse clustering.
AB - Cluster analysis methods are used to identify homogeneous subgroups in a data
set. In biomedical applications, one frequently applies cluster analysis in order
to identify biologically interesting subgroups. In particular, one may wish to
identify subgroups that are associated with a particular outcome of interest.
Conventional clustering methods generally do not identify such subgroups,
particularly when there are a large number of high-variance features in the data
set. Conventional methods may identify clusters associated with these high
variance features when one wishes to obtain secondary clusters that are more
interesting biologically or more strongly associated with a particular outcome of
interest. A modification of sparse clustering can be used to identify such
secondary clusters or clusters associated with an outcome of interest. This
method correctly identifies such clusters of interest in several simulation
scenarios. The method is also applied to a large prospective cohort study of
temporomandibular disorders and a leukemia microarray data set.
PMID- 29785066
TI - Low Temperature CMUT Fabrication Process with Dielectric Lift-off Membrane
Support for Improved Reliability.
AB - This paper reports an improved CMOS compatible low temperature sacrificial layer
fabrication process for Capacitive Micromachined Ultrasonic Transducers (CMUTs).
The process adds the fabrication step of silicon oxide evaporation which is
followed by a lift-off step to define the membrane support area without a need
for an extra mask. This simple addition improves reliability by reducing the
electric field between the top and bottom electrodes everywhere except the moving
membrane without affecting the vacuum gap thickness. Furthermore, the parasitic
capacitance which degrades the CMUT receive performance is reduced. A 1-D CMUT
array suitable for Intracardiac Echocardiography (ICE) imaging with 9MHz center
frequency is fabricated using this method. Detailed electrical and acoustic
testing indicates adequate performance of the devices for ICE in agreement with
simulations. Long term output pressure testing with more than 2*1011 pulsing
cycles and environmental testing demonstrate the efficacy of the approach for
improved reliability as compared to devices without the additional membrane
support layer.
PMID- 29785065
TI - Relation between coping and posttrauma cognitions on PTSD in a combat-trauma
population.
AB - Individual differences in cognitive processes and coping behaviors play a role in
the development and maintenance of posttraumatic stress disorder (PTSD). Given
the large numbers of combat-exposed service members returning from the Operation
Enduring Freedom (OEF), Operation Iraqi Freedom (OIF), and Operation New Dawn
(OND) conflicts, exploring individual differences in cognitive-affective
processes is important for informing our understanding of PTSD etiology and early
intervention in military samples. The present study examined the unique main and
interactive effects of negative posttrauma cognitions (i.e., negative beliefs
about self [NS], the world [NW], and self-blame [SB]) and coping strategies
(i.e., positive behavioral, positive cognitive, avoidant coping, and social and
emotional coping) on PTSD diagnosis within 155 (Mage = 30.7, SD = 4.48)
OEF/OIF/OND combat trauma-exposed veterans recruited from an ongoing study
examining the effects of combat trauma and stress reactivity. In the final,
stepwise logistic regression analysis, avoidant coping, but no other coping
strategy, was significantly positively related to PTSD diagnosis in the initial
step. Higher levels of NS, but not NW, were significantly associated with having
a PTSD diagnosis, while SB was associated with decreased likelihood of PTSD,
above and beyond coping strategies. A significant interaction effect was found
between NS and positive cognitive coping, such that greater positive cognitive
coping weakened the relationship between NS and PTSD. Examining and addressing
coping behaviors and negative thoughts of self jointly may benefit assessment and
intervention approaches in a combat-trauma population.
PMID- 29785067
TI - In silico physicochemical characterization and topology analysis of Respiratory
burst oxidase homolog (Rboh) proteins from Arabidopsis and rice.
AB - NADPH oxidase (NOX) is a key enzyme involved in the production of apoplastic
superoxide (O2-), a type of reactive oxygen species (ROS). Plant Noxes are the
homologs of mammalian NADPH oxidase's catalytic subunit and are documented as
respiratory burst oxidase homologs (Rbohs). A number of studies have reported
their diverse functions in combating various stresses and in plant growth and
development. In the present study, a total of 19 Rboh proteins (10 from
Arabidopsis thaliana and 9 from Oryza sativa Japonica) were analyzed. We employed
in silico approaches to compute the physiochemical properties (molecular weight,
isoelectric point, total number of negatively and positively charged residues,
extinction coefficient, half-life, instability and aliphatic index, grand average
of hydropathicity, amino acid percentage). We observed a lot of variability in
these parameters among the Rbohs accounting for their functional diversification.
Their topological analysis, subcellular localization and signal peptide detection
are also performed. To the best of our knowledge, the present study report on in
silico physiochemical characterization, topology analysis, subcellular
localization and signal peptide detection of Rboh proteins within two model
plants. The study elucidates the variations in the key properties among Rbohs
proteins, which may be responsible for their functional multiplicity.
PMID- 29785068
TI - Molecular dynamics simulation (MDS) analysis of Vibrio cholerae ToxT virulence
factor complexed with docked potential inhibitors.
AB - The ToxT transcription factor mediates the transcription of the two major
virulence factors in Vibrio cholerae. It has a DNA binding domain made of alpha4,
alpha5, alpha6, alpha7, alpha8, alpha9 and alpha10 helices that is responsible
for the transcription of virulence genes. Therefore, it is of interest to screen
ToxT against the ZINC ligand database containing data for a million compounds.
The QSAR model identified 40 top hits for ToxT. Two target protein complexes with
ligands Lig N1 and Lig N2 with high score were selected for molecular dynamics
simulation. Simulation data shows that ligands are stable in the DNA binding
domain of ToxT. Moreover, Lig N1 and Lig N2 passed pharmacological as well as
ADME filters along with g-mmpbsa analysis with binding affinity of -199.831
kJ/mol for Lig N1 and - 286.951 kJ/mol for Lig N2. Moreover, no Lipinski and
PhysChem violations were identified. It is further observed that these compounds
were not inhibitors of P-glycoprotein, CYP450 and renal organic cation
transporters. The LD50 of 2.5804 mol/kg for Lig N1 and 2.7788 mol/kg for Lig N2
was noted with acceptable toxicity index.
PMID- 29785069
TI - Genetic characterization of Chikungunya virus 2009 isolates from South India.
AB - Chikungunya Virus (CHIKV) is a single stranded positive sense enveloped RNA
virus. Re-emergence of CHIKV caused a massive outbreak with severe clinical
manifestation affecting multiple organs. The genetic diversity of CHIKV, which
caused recurring outbreaks in India, was studied. Blood samples were collected
from suspected human cases of CHIKV infection in Chennai, Tamil Nadu and three
Northern districts of Kerala in Southern India during the CHIKV outbreak in 2009.
A partial E2 gene segment was amplified by RT-PCR. Among 119 samples 37 samples
were positive for CHIKV by RT-PCR. Phylogenetic analysis revealed that the
isolated sequences belonged to Indian Ocean Lineage (IOL) of ECSA genotype. The
mutational analysis revealed the presence of substitutions such as S299N, T312M,
A344T, S375T, V386G, W339R and S375P in the current study. In addition, a novel
mutation V386G was observed in all the sequences. Two isolates found with unique
substitutions W339R and S375P are reported. The structural analysis of the wild
type and mutant proteins revealed that the structural changes are accompanied by
modification in the intraprotein interactions.
PMID- 29785070
TI - Analysis of antibiotics resistant genes in different strains of Staphylococcus
aureus.
AB - The control of Staphylococcus aureus infection is being hampered by methicillin
and other resistant strains. The identification of the unique antibiotic
resistant genes from the genomes of various strains of S. aureus is of interest.
We analyzed 11 S. aureus genomes sequences for Antibiotics Resistance Genes
(ARGs) using CARD 2017 platform. We identified 32 ARGs across 11 S. aureus
strains. Tet(38), norB, lmrB, mepA and mepR were present across genomes except
for S. aureus strain UTSW MRSA 55. The mepA and mepR were found across 11
different genomes. However, FosB3, vgaALC, mphC and SAT-4 were found in UTSW MRSA
55, S.a. strain ISU935 and S.a. strain FDAARGOS_159. The prevalent mode of
mechanism of antibiotics resistant was efflux pump complex or subunit conferring
antibiotic resistance as well as protein(s). Analysis of norB, ImrB, norA, ImrB,
tet (38), sav1866 and mecA have 12 to 14 TMHs. The results help in the
understanding of Staphylococcus aureus pathogenesis in the context of antibiotic
resistance.
PMID- 29785071
TI - Identification of cis-regulatory elements associated with salinity and drought
stress tolerance in rice from co-expressed gene interaction networks.
AB - Rice, a staple food crop, is often subjected to drought and salinity stresses
thereby limiting its yield potential. Since there is a cross talk between these
abiotic stresses, identification of common and/or overlapping regulatory elements
is pivotal for generating rice cultivars that showed tolerance towards them.
Analysis of the gene interaction network (GIN) facilitates identifying the role
of individual genes and their interactions with others that constitute important
molecular determinants in sensing and signaling cascade governing drought and/or
salinity stresses. Identification of the various cis-regulatory elements of the
genes constituting GIN is equally important. Here, in this study graphical
Gaussian model (GGM) was used for generating GIN for an array of genes that were
differentially regulated during salinity and/or drought stresses to contrasting
rice cultivars (salt-tolerant [CSR11], salt-sensitive [VSR156], drought-tolerant
[Vandana], drought-sensitive [IR64]). Whole genome transcriptom profiling by
using microarray were employed in this study. Markov Chain completed co
expression analyses of differentially expressed genes using Dynamic Bayesian
Network, Probabilistic Boolean Network and Steady State Analysis. A compact GIN
was identified for commonly co-expressed genes during salinity and drought
stresses with three major hubs constituted by Myb2 transcription factor (TF),
phosphoglycerate kinase and heat shock protein (Hsp). The analysis suggested a
pivotal role of these genes in salinity and/or drought stress responses. Further,
analysis of cis-regulatory elements (CREs) of commonly differentially expressed
genes during salinity and drought stresses revealed the presence of 20 different
motifs.
PMID- 29785072
TI - Insights from the Molecular Modelling and Docking Analysis of AIF-NLS complex to
infer Nuclear Translocation of the Protein.
AB - Apoptosis Inducing Factor protein has a dual role depending on its localization
in mitochondrion (energy production) and nucleus (induces apoptosis). Cell damage
transports this protein to nucleus which otherwise favors mitochondrion. The
alteration of Nuclear Localisation Signal tags could aid nuclear translocation.
In this study, apoptosis inducing factor protein (AIF) was conjugated with strong
NLS tags and its binding affinity with Importin was studied using in silico
approaches such as molecular modeling and docking. This aims to improve the
docking affinity of the AIF-Importin complex thus allowing for nuclear
translocation, in order to induce caspase-independent apoptosis of the cell.
PMID- 29785073
TI - Scale-free networks in metabolomics.
AB - Metabolomics is an expanding discipline in biology. It is the process of
portraying the phenotype of a cell, tissue or species organism using a
comprehensive set of metabolites. Therefore, it is of interest to understand
complex systems such as metabolomics using a scale-free topology. Genetic
networks and the World Wide Web (WWW) are described as networks with complex
topology. Several large networks have vertex connectivity that goes beyond a
scale-free power-law distribution. It is observed that (a) networks expand
constantly by the addition of recent vertices, and (b) recent vertices attach
preferentially to sites that are already well connected. Scalefree networks are
determined with precision using vital features such as a structure, a disease and
a patient. This is pertinent to the understanding of complex systems such as
metabolomics. Hence, we describe the relevance of scale-free networks in the
understanding of metabolomics in this article.
PMID- 29785074
TI - Challenges in diagnosis of pancreatic cancer.
AB - Pancreatic cancer is a growing source of cancer related death, yet has poor
survival rates which have not improved in the last few decades. Its high
mortality rate is attributed to pancreatic cancer biology, difficulty in early
diagnosis and the lack of standardised international guidelines in assessing
suspicious pancreatic masses. This review aims to provide an update in the
current state of play in pancreatic cancer diagnosis and to evaluate the benefits
and limitations of available diagnostic technology. The main modalities discussed
are imaging with computed tomography, magnetic resonance imaging, endoscopic
ultrasound and positron emission tomography and tissue acquisition with fine
needle aspiration. We also review the improvements in the techniques used for
tissue acquisition and the opportunity for personalised cancer medicine.
Screening of high risk individuals, promising biomarkers and common mimickers of
pancreatic cancer are also explored, as well as suggestions for future research
directions to allow for earlier detection of pancreatic cancer. Timely and
accurate diagnosis of pancreatic cancer can lead to improvements in the current
poor outcome of this disease.
PMID- 29785075
TI - Biliary strictures complicating living donor liver transplantation: Problems,
novel insights and solutions.
AB - Biliary stricture complicating living donor liver transplantation (LDLT) is a
relatively common complication, occurring in most transplant centres across the
world. Cases of biliary strictures are more common in LDLT than in deceased donor
liver transplantation. Endoscopic management is the mainstay for biliary
strictures complicating LDLT and includes endoscopic retrograde cholangiography,
sphincterotomy and stent placement (with or without balloon dilatation). The
efficacy and safety profiles as well as outcomes of endoscopic management of
biliary strictures complicating LDLT is an area that needs to be viewed in
isolation, owing to its unique set of problems and attending complications; as
such, it merits a tailored approach, which is yet to be well established. The
diagnostic criteria applied to these strictures are not uniform and are over
reliant on imaging studies showing an anastomotic narrowing. It has to be kept in
mind that in the setting of LDLT, a subjective anastomotic narrowing is present
in most cases due to a mismatch in ductal diameters. However, whether this
narrowing results in a functionally significant narrowing is a question that
needs further study. In addition, wide variation in the endotherapy protocols
practised in most centres makes it difficult to interpret the results and hampers
our understanding of this topic. The outcome definition for endotherapy is also
heterogenous and needs to be standardised to allow for comparison of data in this
regard and establish a clinical practice guideline. There have been multiple
studies in this area in the last 2 years, with novel findings that have provided
solutions to some of these issues. This review endeavours to incorporate these
new findings into the wider understanding of endotherapy for biliary strictures
complicating LDLT, with specific emphasis on diagnosis of strictures in the LDLT
setting, endotherapy protocols and outcome definitions. An attempt is made to
present the best management options currently available as well as directions for
future research in the area.
PMID- 29785076
TI - Role of osteoprotegerin/receptor activator of nuclear factor kappa B/receptor
activator of nuclear factor kappa B ligand axis in nonalcoholic fatty liver
disease.
AB - Concomitantly with the increase in the prevalences of overweight/obesity,
nonalcoholic fatty liver disease (NAFLD) has worldwide become the main cause of
chronic liver disease in both adults and children. Patients with fatty liver
display features of metabolic syndrome (MetS), like insulin resistance (IR),
glucose intolerance, hypertension and dyslipidemia. Recently, epidemiological
studies have linked obesity, MetS, and NAFLD to decreased bone mineral density
and osteoporosis, highlighting an intricate interplay among bone, adipose tissue,
and liver. Osteoprotegerin (OPG), an important symbol of the receptor activator
of nuclear factor-B ligand/receptor activator of nuclear factor kappa B/OPG
system activation, typically considered for its role in bone metabolism, may also
play critical roles in the initiation and perpetuation of obesity-related
comorbidities. Clinical data have indicated that OPG concentrations are
associated with hypertension, left ventricular hypertrophy, vascular
calcification, endothelial dysfunction, and severity of liver damage in chronic
hepatitis C. Nonetheless, the relationship between circulating OPG and IR as a
key feature of MetS as well as between OPG and NAFLD remains uncertain. Thus, the
aims of the present review are to provide the existent knowledge on these
associations and to discuss briefly the underlying mechanisms linking OPG and
NAFLD.
PMID- 29785077
TI - Mediterranean diet and nonalcoholic fatty liver disease.
AB - Nonalcoholic fatty liver disease (NAFLD) is emerging as the most common chronic
liver disease, and is characterized by a wide spectrum of fat-liver disorders
that can result in severe liver disease and cirrhosis. Inflammation and oxidative
stress are the major risk factors involved in the pathogenesis of NAFLD.
Currently, there is no consensus concerning the pharmacological treatment of
NAFLD. However, lifestyle interventions based on exercise and a balanced diet for
quality and quantity, are considered the cornerstone of NAFLD management.
Mediterranean diet (MD), rich in polyunsaturated fats, polyphenols, vitamins and
carotenoids, with their anti-inflammatory and anti-oxidant effects, has been
suggested to be effective in preventing cardiovascular risk factors. In adults,
MD has also been demonstrated to be efficacious in reducing the risk of metabolic
syndrome. However, few studies are available on the effects of the MD in both
adult and pediatric subjects with NAFLD. Thus, the aims of the present narrative
review are to analyze the current clinical evidence on the impact of MD in
patients with NAFLD, and to summarize the main mechanisms of action of MD
components on this condition.
PMID- 29785079
TI - Decreasing recurrent bowel obstructions, improving quality of life with
physiotherapy: Controlled study.
AB - AIM: To compare (1) quality of life and (2) rate of recurrent small bowel
obstructions (SBO) for patients treated with novel manual physiotherapy vs no
treatment. METHODS: One hundred and three subjects (age 19-89) with a history of
recurrent adhesive SBO were treated with a manual physiotherapy called the Clear
Passage Approach (CPA) which focused on decreasing adhesive crosslinking in
abdominopelvic viscera. Pre- and post-therapy data measured recurring
obstructions and quality of life, using a validated test sent 90 d after therapy.
Results were compared to 136 untreated control subjects who underwent the same
measurements for subjects who did not receive any therapy, which is the normal
course for patients with recurring SBO. Comparison of the groups allowed us to
assess changes when the physiotherapy was added as an adjunct treatment for
patients with recurring SBO. RESULTS: Despite histories of more prior
hospitalizations, obstructions, surgeries, and years impacted by bowel issues,
the 103 CPA-treated subjects reported a significantly lower rate of repeat SBO
than 136 untreated controls (total obstructions P = 0.0003; partial obstructions
P = 0.0076). Subjects treated with the therapy demonstrated significant
improvements in five of six total domains in the validated Small Bowel
Obstruction Questionnaire (SBO-Q). Domains of diet, pain, gastrointestinal
symptoms, quality of life (QOL) and pain severity when compared to post CPA
treatment were significantly improved (P < 0.0001). The medication domain was not
changed in the CPA treated group (P = 0.176). CONCLUSION: CPA physical therapy
was effective for patients with adhesive SBO with significantly lower recurrence
rate, improvement in reported symptoms and overall quality of life of subjects.
PMID- 29785078
TI - Detection of hyper-conserved regions in hepatitis B virus X gene potentially
useful for gene therapy.
AB - AIM: To detect hyper-conserved regions in the hepatitis B virus (HBV) X gene
(HBX) 5' region that could be candidates for gene therapy. METHODS: The study
included 27 chronic hepatitis B treatment-naive patients in various clinical
stages (from chronic infection to cirrhosis and hepatocellular carcinoma, both
HBeAg-negative and HBeAg-positive), and infected with HBV genotypes A-F and H. In
a serum sample from each patient with viremia > 3.5 log IU/mL, the HBX 5' end
region [nucleotide (nt) 1255-1611] was PCR-amplified and submitted to next
generation sequencing (NGS). We assessed genotype variants by phylogenetic
analysis, and evaluated conservation of this region by calculating the
information content of each nucleotide position in a multiple alignment of all
unique sequences (haplotypes) obtained by NGS. Conservation at the HBx protein
amino acid (aa) level was also analyzed. RESULTS: NGS yielded 1333069 sequences
from the 27 samples, with a median of 4578 sequences/sample (2487-9279, IQR
2817). In 14/27 patients (51.8%), phylogenetic analysis of viral nucleotide
haplotypes showed a complex mixture of genotypic variants. Analysis of the
information content in the haplotype multiple alignments detected 2 hyper
conserved nucleotide regions, one in the HBX upstream non-coding region (nt 1255
1286) and the other in the 5' end coding region (nt 1519-1603). This last region
coded for a conserved amino acid region (aa 63-76) that partially overlaps a
Kunitz-like domain. CONCLUSION: Two hyper-conserved regions detected in the HBX
5' end may be of value for targeted gene therapy, regardless of the patients'
clinical stage or HBV genotype.
PMID- 29785080
TI - Prognostic impact of the red cell distribution width in esophageal cancer
patients: A systematic review and meta-analysis.
AB - AIM: To clarify the previous discrepant conclusions, we performed a meta-analysis
to evaluate the prognostic value of red cell distribution width (RDW) in
esophageal cancer (EC). METHODS: We searched the PubMed, EMBASE, Web of Science
and Cochrane Library databases to identify clinical studies, followed by using
STATA version 12.0 for statistical analysis. Studies that met the following
criteria were considered eligible: (1) Studies including EC patients who
underwent radical esophagectomy; (2) studies including patients with localized
disease without distant metastasis; (3) studies including patients without
preoperative neoadjuvant therapy; (4) studies including patients without previous
antiinflammatory therapies and with available preoperative laboratory outcomes;
(5) studies reporting association between the preoperative RDW and overall
survival (OS)/disease-free survival (DFS)/cancer-specific survival (CSS); and (6)
studies published in English. RESULTS: A total of six articles, published between
2015 and 2017, fulfilled the selection criteria in the end. Statistical analysis
showed that RDW was not associated with the prognosis of EC patients,
irrespective of OS/CSS [hazard ratio (HR) = 1.27, 95% confidence interval (CI):
0.97-1.57, P = 0.000] or DFS (HR = 1.42, 95%CI: 0.96-1.88, P = 0.000). Subgroup
analysis indicated that elevated RDW was significantly associated with worse
OS/CSS of EC patients when RDW > 13% (HR = 1.45, 95%CI: 1.13-1.76, P = 0.000),
when the patient number <= 400 (HR = 1.45, 95%CI: 1.13-1.76, P = 0.000) and when
the study type was retrospective (HR = 1.42, 95%CI : 1.16-1.69, P = 0.000).
CONCLUSION: Contrary to our general understanding, this meta-analysis revealed
that RDW cannot serve as an indicator of poor prognosis in patients with EC.
However, it may still be a useful predictor of unfavorable prognosis using an
appropriate cut-off value.
PMID- 29785081
TI - Pressurized intraperitoneal aerosol chemotheprapy after misdiagnosed gastric
cancer: Case report and review of the literature.
AB - We report the first application of pressurized intraperitoneal aerosol
chemotherapy (PIPAC) as a rescue therapy before palliative D2 gastrectomy
combined with liver metastasectomy performed in a 49-year-old woman with
peritoneal carcinomatosis who was primarily diagnosed with and underwent surgery
for a Krukenberg tumor. The PIPAC procedure was performed with the use of
cisplatin at 7.5 mg/m2 and doxorubicin at 1.5 mg/m2 for 30 min at 37 degrees C.
Eight weeks after the PIPAC procedure, the patient underwent open classic D2
gastrectomy with the creation of a Roux-en-Y anastomosis (RNY) combined with
liver metastasectomy. The patient underwent the classic protocol for chemotherapy
combined with Xeloda. The patient felt better and returned to her daily
activities. Multicenter data should be gathered to confirm the usefulness of
PIPAC as a rescue or neoadjuvant supportive therapy in a very select group of
patients who have been recently qualified to undergo classic chemotherapy or
standard oncologic surgical procedures.
PMID- 29785083
TI - Treatment of high myopia/myopic astigmatism with a combination of WaveLight
Contoura with LYRA protocol and wavefront-optimized treatment.
AB - Purpose: The aim of this article was to demonstrate how WaveLight Contoura and
wavefront optimization (WFO) can be used together to treat high myopia/myopic
astigmatism corrections. Materials and methods: A retrospective analysis was
conducted on 24 consecutive myopic/myopic astigmatism eyes that exceeded the
Contoura labeling of -8.00 with -3.00 D of astigmatism. Residual correction after
Contoura with Layer Yolked Reduction of Astigmatism protocol was treated with WFO
Contoura LASIK correction. All patients had 3 months of follow-up. Accuracy to
the desired refractive goal was assessed by postoperative refraction, regression,
postoperative vision, and anecdotal subjective night vision quality. Results: No
eyes lost best-corrected visual acuity (BCVA), and 54% of eyes gained BCVA. Out
of 22 distance eyes, five achieved 20/15 or better, 18 achieved 20/20 vision, two
achieved 20/25, and three achieved 20/30 vision. Preoperatively, only 14 eyes
could achieve 20/20 vision. No night vision issues were observed in anecdotal
reporting by patients except for those who needed enhancements that had not yet
been performed. Conclusion: Treatment of high myopia/astigmatism with this
combination of Contoura with LYRA protocol and WFO results in excellent visual
outcomes, large ablation zones on topography, and few subjective reported night
vision issues.
PMID- 29785082
TI - Control-matched comparison of refractive and visual outcomes between small
incision lenticule extraction and femtosecond laser-assisted LASIK.
AB - Purpose: This retrospective case-matched study aimed to compare visual and
refractive outcomes between small incision lenticule extraction (SMILE) and
LASIK. Patients and methods: Patients who underwent SMILE (34 eyes of 23
patients) or LASIK (34 eyes of 24 patients) were enrolled and matched according
to preoperative manifest refractive spherical equivalents. The mean preoperative
manifest refractive spherical equivalent was -4.69+/-0.6 and -4.67+/-0.64 D in
the SMILE and LASIK groups, respectively. The safety, efficacy, and
predictability were compared 3 months after surgery. Changes in corneal
refractive power from the center to peripheral points and their maintenance
ratios were analyzed and compared between the two groups. Results: In the SMILE
and LASIK groups, 82.4% and 85.3% of patients, respectively, achieved 20/13 or
better uncorrected distance visual acuity (p=1.00). There were no eyes that lost
two or more lines of corrected distance visual acuity in either group. The
maintenance ratios of corneal refractive power changes at the peripheral points
in the SMILE group were significantly higher than those in the LASIK group
(p<0.05). Conclusion: Both groups achieved similar high efficacy and safety.
SMILE surgery resulted in higher refractive power correction in the peripheral
cornea than LASIK surgery.
PMID- 29785084
TI - Impact of virtual reality simulation on learning barriers of phacoemulsification
perceived by residents.
AB - Objective: To identify residents' perceived barriers to learning
phacoemulsification surgical procedures and to evaluate whether virtual reality
simulation training changed these perceptions. Design: The ophthalmology
residents undertook a simulation phacoemulsification course and proficiency
assessment on the Eyesi system using the previously validated training modules of
intracapsular navigation, anti-tremor, capsulorrhexis, and cracking/chopping. A
cross-sectional, multicenter survey on the perceived difficulties in performing
phacoemulsification tasks on patients, based on the validated International
Council of Ophthalmology's Ophthalmology Surgical Competency Assessment Rubric
(ICO-OSCAR), using a 5-point Likert scale (1 = least and 5 = most difficulty),
was conducted among residents with or without prior simulation training. Mann
Whitney U tests were carried out to compare the mean scores, and multivariate
regression analyses were performed to evaluate the association of lower scores
with the following potential predictors: 1) higher level trainee, 2) can complete
phacoemulsification most of the time (>90%) without supervisor's intervention,
and 3) prior simulation training. Setting: The study was conducted in
ophthalmology residency training programs in five regional hospitals in Hong
Kong. Results: Of the 22 residents, 19 responded (86.3%), of which 13 (68.4%) had
completed simulation training. Nucleus cracking/chopping was ranked highest in
difficulty by all respondents followed by capsulorrhexis completion and nucleus
rotation/manipulation. Respondents with prior simulation training had
significantly lower difficulty scores on these three tasks (nucleus
cracking/chopping 3.85 vs 4.75, P = 0.03; capsulorrhexis completion 3.31 vs 4.40,
P = 0.02; and nucleus rotation/manipulation 3.00 vs 4.75, P = 0.01). In
multivariate analyses, simulation training was significantly associated with
lower difficulty scores on these three tasks. Conclusion: Residents who had
completed Eyesi simulation training had higher confidence in performing the most
difficult tasks perceived during phacoemulsification.
PMID- 29785085
TI - "Wet" transepithelial phototherapeutic keratectomy in the management of
persistent epithelial defects in the graft.
AB - Purpose: This study aimed to evaluate the efficacy of "wet" transepithelial
phototherapeutic keratectomy (TE-PTK) for treating persistent epithelial defects
(PEDs) in the corneal graft following penetrating keratoplasty (PKP). Methods:
This study describes a noncomparative, prospective interventional case series.
Patients with post-PKP graft epithelial defects lasting >3 months despite
previous treatments with extensive wear soft contact lenses, amniotic membrane
transplantation, and tarsorrhaphy were treated with wet TE-PTK. A wet TE-PTK
procedure including a "wet ablation" step was performed using the EC-5000 excimer
laser. Follow-up visits were at post-PTK days 3, 5, 10, and 30, and at each month
thereafter. Results: Eight patients (8 eyes; 5 men and 3 women; mean age, 51.3+/
14.3 years; mean follow-up period, 9.1+/-3.0 months) were included in this study.
The mean best-corrected visual acuity was 1.76+/-0.28 log minimum angle of
resolution (logMAR) at baseline and improved to 1.1+/-0.22 logMAR at 10 days
postoperatively (p=0.0156; the improvement was significant). This effect remained
stable throughout the remainder of the follow-up period. The mean time from wet
TE-PTK to complete reepithelization was 4.3+/-1.3 days. Conclusion: Wet TE-PTK
appears to be effective for patients with post-PKP PEDs in the corneal graft who
have failed conservative measures or previous surgical interventions.
PMID- 29785086
TI - The utilization of an ocular wound chamber on corneal epithelial wounds.
AB - Purpose: Currently available ocular moisture chambers are not adequate to manage
the treatment of periocular burns, corneal injuries, and infection. The purpose
of these studies was to demonstrate that a flexible, semi-transparent ocular
wound chamber device adapted from technology currently used on dermal wounds is
safe for use on corneal epithelial injuries. Materials and methods: A depilatory
cream (NairTM, 30 seconds) was utilized to remove the excess hair surrounding the
left eyes of anesthetized Institute Armand Frappier (IAF) hairless, female guinea
pigs (Crl:HA-Hrhr). A 4 mm corneal epithelium defect was created using a corneal
rust ring remover (Algerbrush(r)II). Epithelial defects were either left
untreated or the eyes were fitted with an ocular wound chamber and 0.5 mL of
hydroxypropyl methylcellulose (HPMC) gel (GenTeal(r)) or HPMC liquid (GenTeal(r))
was injected into each chamber (N=5 per group). At 0, 24, 48, and 72 hours
fluorescein and optical coherence tomography imaging was collected and the
intraocular pressure (IOP) was measured. H&E staining was performed on corneal
and eyelid skin samples and evaluated by a veterinary pathologist. Results:
Corneal epithelial wounds demonstrated 100% closure rates when left untreated or
treated with an ocular wound chamber containing HPMC gel at 72 hours while wounds
treated with an ocular wound chamber containing HPMC liquid were 98% healed. No
significant differences were found in corneal thickness and wound healing, IOP,
or eyelid skin pathology in any treatment group when compared to controls.
Conclusions: This study indicates that adapted wound chamber technology can be
safely used on sterile, corneal epithelial wounds without adverse effects on
periocular or ocular tissue when filled with a liquid or gel.
PMID- 29785087
TI - Transcriptomic Impacts of Rumen Epithelium Induced by Butyrate Infusion in Dairy
Cattle in Dry Period.
AB - The purpose of this study was to evaluate the effects of butyrate infusion on
rumen epithelial transcriptome. Next-generation sequencing (NGS) and
bioinformatics are used to accelerate our understanding of regulation in rumen
epithelial transcriptome of cattle in the dry period induced by butyrate infusion
at the level of the whole transcriptome. Butyrate, as an essential element of
nutrients, is a histone deacetylase (HDAC) inhibitor that can alter histone
acetylation and methylation, and plays a prominent role in regulating genomic
activities influencing rumen nutrition utilization and function. Ruminal infusion
of butyrate was following 0-hour sampling (baseline controls) and continued for
168 hours at a rate of 5.0 L/day of a 2.5 M solution as a continuous infusion.
Following the 168-hour infusion, the infusion was stopped, and cows were
maintained on the basal lactation ration for an additional 168 hours for
sampling. Rumen epithelial samples were serially collected via biopsy through
rumen fistulae at 0-, 24-, 72-, and 168-hour (D1, D3, D7) and 168-hour post
infusion (D14). In comparison with pre-infusion at 0 hours, a total of 3513 genes
were identified to be impacted in the rumen epithelium by butyrate infusion at
least once at different sampling time points at a stringent cutoff of false
discovery rate (FDR) < 0.01. The maximal effect of butyrate was observed at day
7. Among these impacted genes, 117 genes were responsive consistently from day 1
to day 14, and another 42 genes were lasting through day 7. Temporal effects
induced by butyrate infusion indicate that the transcriptomic alterations are
very dynamic. Gene ontology (GO) enrichment analysis revealed that in the early
stage of rumen butyrate infusion (on day 1 and day 3 of butyrate infusion), the
transcriptomic effects in the rumen epithelium were involved with mitotic cell
cycle process, cell cycle process, and regulation of cell cycle. Bioinformatic
analysis of cellular functions, canonical pathways, and upstream regulator of
impacted genes underlie the potential mechanisms of butyrate-induced gene
expression regulation in rumen epithelium. The introduction of transcriptomic and
bioinformatic technologies to study nutrigenomics in the farm animal presented a
new prospect to study multiple levels of biological information to better
apprehend the whole animal response to nutrition, physiological state, and their
interactions. The nutrigenomics approach may eventually lead to more precise
management of utilization of feed resources in a more effective approach.
PMID- 29785088
TI - Insight into resistance mechanism of anaplastic lymphoma kinase to alectinib and
JH-VIII-157-02 caused by G1202R solvent front mutation.
AB - Background: Mutated anaplastic lymphoma kinase (ALK) drives the development of
advanced non-small cell lung cancer (NSCLC). Most reported small-molecule
inhibitors targeting the ALK domain do not display good inhibition of the G1202R
solvent front mutation. The solvent front mutation was assumed to hinder drug
binding. However, a different fact could be uncovered by the simulations reported
in this study through a structural analog of alectinib (JH-VIII-157-02), which
demonstrated potent effects against the G1202R mutation. Methods: Molecular
docking, conventional molecular dynamics (MD) simulations, free energy
calculations, and umbrella sampling (US) simulations were carried out to make
clear the principles of the binding preferences of alectinib and JH-VIII-157-02
toward ALKWT and the ALK G1202R (ALKG1202R) mutation. Results: JH-VIII-157-02 has
similar binding affinities to both ALKWT and ALKG1202R whereas it has has a much
lower binding affinity for alectinib to ALKG1202R. Analysis of individual energy
terms indicate the major variation involves the van der Waals and entropy terms.
Structural analysis reveals that the conformational change of the ATP-binding
glycine-rich loop was primarily responsible for the alectinib resistance, not JH
VIII-157-02. In addition, US simulations prove JH-VIII-157-02 has similar
dissociative processes from both ALKWT and ALKG1202R, while alectinib is more
easily dissociated from ALKG1202R than from ALKWT, thus indicating lesser
residence time. Conclusion: Both the binding affinity and the drug residence time
should be emphasized in rational drug design to overcome the G1202R solvent front
mutation in ALK resistance.
PMID- 29785090
TI - Semen Brassicae ameliorates hepatic fibrosis by regulating transforming growth
factor-beta1/Smad, nuclear factor-kappaB, and AKT signaling pathways in rats.
AB - Purpose: There is no effective treatment for liver fibrosis, which is a common
phase during the progression of many chronic liver diseases to cirrhosis.
Previous studies found that Semen Brassicae therapy can effectively improve the
clinical symptoms of patients with asthma, allergic rhinitis, and chronic lung
diseases; however, its effects on liver fibrosis in rats and its possible
mechanisms of action remain unclear. Methods: Rats were injected
intraperitoneally with 4% thioacetamide aqueous solution (5 mL.kg-1) at a dose of
200 mg.kg-1 twice a week for 8 consecutive weeks to establish the liver fibrosis
model and were then treated with different concentrations of Semen Brassicae
extract. After Semen Brassicae treatment, the morphology of the liver tissue was
analyzed using hematoxylin and eosin and Masson's trichrome staining, and liver
index and liver fibrosis grade were calculated. Thereafter, the levels of
collagen-I, collagen-III, alpha-SMA, transforming growth factor (TGF)-beta1, p
Smad 2/3, Smad 2/3, Smad4, NF-kappaB-p65, p-NF-kappaB-p65, IL-1beta, IL-6, AKT,
and p-AKT were determined using Western blotting. Results: Compared with the
untreated model group, the Semen Brassicae-treated group showed significantly
decreased liver function indices; expression levels of collagen-I, collagen-III,
and alpha-SMA; and hepatic fibrosis. Further studies also showed that the
expression of TGF-beta1, Smad4, p-Smad 2/3/Smad 2/3, p-NF-kappaB-p65/NF-kappaB
p65, IL-1beta, IL-6, and p-AKT/AKT significantly decreased after the treatment.
Conclusion: These results indicate that Semen Brassicae exhibits an anti-hepatic
fibrosis effect, and the underlying mechanism of action may be related to the
regulation of TGF-beta1/Smad, NF-kappaB, and AKT signaling pathways and the
reduction of extracellular matrix deposition.
PMID- 29785089
TI - Schisandrin B ameliorated chondrocytes inflammation and osteoarthritis via
suppression of NF-kappaB and MAPK signal pathways.
AB - Introduction: Osteoarthritis (OA) is the most prevalent joint disorder in the
elderly population, and inflammatory mediators like IL-1beta were thought to play
central roles in its development. Schisandrin B, the main active component
derived from Schisandra chinensis, exhibited anti-oxidative and antiinflammatory
properties. Methods: In the present study, the protective effect and the
underlying mechanism of Schisan-drin B on OA was investigated in vivo and in
vitro. Results: The results showed that Schisandrin B decreased IL-1beta-induced
upregulation of matrix metalloproteinase 3 (MMP3), MMP13, IL-6, and inducible
nitric oxide synthase (iNOS) and increased IL-1beta-induced downregulation of
collagen II, aggrecan, and sox9 as well. Schisandrin B significantly decreased IL
1beta-induced p65 phosphorylation and nuclear translocation of p65 in rat
chondrocytes. Mitogen-activated protein kinase (MAPK) activation was also
inhibited by Schisandrin B, as evidenced by the reduction of p38, extracellular
signal-regulated kinase (Erk), and c-Jun amino-terminal kinase (Jnk)
phosphorylation. In addition, Schisandrin B prevented cartilage degeneration in
rat OA model with significantly lower Mankin's score than the control group.
Conclusion: Our study demonstrated that Schisandrin B ameliorated chondrocytes
inflammation and OA via suppression of nuclear factor-kappaB (NF-kappaB) and MAPK
signal pathways, indicating a therapeutic potential in OA treatment.
PMID- 29785092
TI - Patient satisfaction with care in an urban tertiary referral academic glaucoma
clinic in the US.
AB - Background: The purpose of this study was to determine the factors associated
with glaucoma patients' satisfaction with their medical care by fellowship
trained glaucoma specialists in an urban tertiary referral clinic in the US.
Methods: A total of 110 established patients aged >=60 years with a diagnosis of
either primary open angle glaucoma, glaucoma suspect, or ocular hypertension
monitored by an ophthalmologist with fellowship training in glaucoma were
enrolled at an academic, urban, tertiary referral eye clinic. Enrolled patients
were administered a general demographics questionnaire along with a Patient
Satisfaction Questionnaire-18 (PSQ-18), a Likert scale validated tool. The seven
dimensions of patient satisfaction from the PSQ-18 were summarized for the sample
overall and by the patients' age, race, employment status, education level,
distance travelled from home address to clinic, and glaucoma therapy type. Two
sample t-tests were used to compare group means. Spearman correlation
coefficients were used to correlate satisfaction scores with peripheral vision
and visual acuity function. Results: Overall, the general satisfaction scores
were high (mean 4.62). Patients >=70 years of age had lower general satisfaction
with their care (mean 4.5 vs 4.8, p=0.03), the interpersonal manner of their
appointment (mean 4.7 vs 4.9, p=0.009), and with their time spent with their
doctor (mean 4.4 vs 4.7, p=0.03) than patients aged 60-69 years. Non-European
descent patients (47% African descent and 1% other of sample) were more satisfied
with the time they spent with the doctor (mean 4.7 vs 4.4, p=0.04) and with the
communication during the appointment (mean 4.8 vs 4.6, p=0.04) than European
descent patients (52% of sample). Patients with a higher level of education
(>high school degree) were less satisfied with the accessibility and convenience
of the appointment (mean 4.3 vs <= high school, 4.6, p=0.02). There were no
statistically significant differences in patient satisfaction based upon
employment status, distance travelled to clinic, prior glaucoma therapy, or
visual function. Conclusion: Overall, across all dimensions of the PSQ-18,
patients were highly satisfied with the care they received at the urban tertiary
care glaucoma clinic.
PMID- 29785091
TI - Health-related quality of life in type 2 diabetes mellitus patients with
different risk for obstructive sleep apnea.
AB - Purpose: Our study primarily aimed to investigate health-related quality of life
(HRQoL) in type 2 diabetes mellitus (T2DM) patients with different risk for
obstructive sleep apnea (OSA). Patients and methods: This cross-sectional,
questionnaire-based study included 466 adult patients with T2DM on regular visit
to Center for Diabetes of University Hospital of Split from April to September
2017. All subjects underwent detailed anamnestical evaluation and physical
examination with anthropometric measurements. Additionally, all subjects
completed STOP (Snoring, Tiredness, Observed apnea, and high blood Pressure)
questionnaire to assess risk for OSA, Epworth Sleepiness Scale to assess daytime
sleepiness, and Medical Outcomes Study Short Form-36 (SF-36) instrument to
evaluate HRQoL. Results: Most subjects (N=312, 67.0%) represented high-risk OSA
group based on STOP questionnaire (STOP score >=2). Statistically significantly
lower HRQoL scores in all SF-36 dimensions were found in T2DM patients with high
risk for OSA compared to low-risk group (P<0.001). STOP score showed
statistically significant negative correlation with all SF-36 dimensions
(P<0.001). In multiple linear regression analysis, STOP score was confirmed as
statistically significant independent predictor for all SF-36 components,
adjusted for body mass index, age, glycated hemoglobin, and T2DM duration
(P<0.001). Conclusion: Our study found that high proportion of patients with T2DM
are at high risk for OSA. Furthermore, we showed that group of T2DM patients with
high risk for OSA has lower HRQoL in all SF-36 dimensions compared to low-risk
patients.
PMID- 29785093
TI - Assessment of patients' warfarin knowledge and anticoagulation control at a joint
physician- and pharmacist-managed clinic in China.
AB - Purpose: Warfarin is a widely used anticoagulant with a narrow therapeutic index,
and it requires close monitoring and adequate patient education. We aimed to
assess the knowledge level regarding warfarin therapy among its users and to
identify the factors that significantly influence anticoagulation control.
Patients and methods: Patients attending the Warfarin Clinic at the Beijing
Tiantan Hospital were enrolled in this study. Patients' knowledge on warfarin was
assessed using a validated Anticoagulation Knowledge Assessment (AKA)
questionnaire. Patients' responses to each question were analyzed to identify
areas of improvement in current warfarin education. International normalized
ratio (INR) control was defined by the time in therapeutic range (TTR) calculated
using the Rosendaal method. Spearman correlation analysis was used to investigate
the association between TTR and the independent variables. Results: A total of 65
patients were enrolled in this study. Eleven questions were answered correctly by
<50% of the patients. A total of 858 INR results were recorded; 432 INR values
(50.3%) reached the predefined goals, and the mean TTR was 49.8%+/-24.8%. There
were significant associations between TTR and patients' AKA scores (R=0.356,
P=0.004) and between TTR and patients' educational levels (R=0.339, P=0.006). No
significant association was observed between other factors (age and duration of
anticoagulation) and TTR. The INR outcome measure was positively associated with
patients' knowledge on warfarin and their educational levels. Conclusion: Areas
for improvement in patient education have been identified, and processes for
educational modification are currently in development.
PMID- 29785095
TI - Factors affecting medication adherence in community-managed patients with
hypertension based on the principal component analysis: evidence from Xinjiang,
China.
AB - Purpose: The analysis of factors affecting the nonadherence to antihypertensive
medications is important in the control of blood pressure among patients with
hypertension. The purpose of this study was to assess the relationship between
factors and medication adherence in Xinjiang community-managed patients with
hypertension based on the principal component analysis. Patients and methods: A
total of 1,916 community-managed patients with hypertension, selected randomly
through a multi-stage sampling, participated in the survey. Self-designed
questionnaires were used to classify the participants as either adherent or
nonadherent to their medication regimen. A principal component analysis was used
in order to eliminate the correlation between factors. Factors related to
nonadherence were analyzed by using a chi2-test and a binary logistic regression
model. Results: This study extracted nine common factors, with a cumulative
variance contribution rate of 63.6%. Further analysis revealed that the following
variables were significantly related to nonadherence: severity of disease,
community management, diabetes, and taking traditional medications. Conclusion:
Community management plays an important role in improving the patients'
medication-taking behavior. Regular medication regimen instruction and better
community management services through community-level have the potential to
reduce nonadherence. Mild hypertensive patients should be monitored by community
health care providers.
PMID- 29785094
TI - Association of health literacy and medication self-efficacy with medication
adherence and diabetes control.
AB - Introduction: The exact pathway linking health literacy, self-efficacy,
medication adherence, and glycemic control for type 2 diabetes remains unclear.
Understanding the relationship between patient factors, medication adherence, and
lower glycated hemoglobin (HbA1c) may help patients better manage their disease.
This study examined the association of health literacy and medication self
efficacy with self-reported diabetes medication adherence, and the association of
health literacy, medication self-efficacy, and self-reported diabetes medication
adherence with HbA1c of patients with type 2 diabetes. Methods: This cross
sectional study utilized a face-to-face questionnaire at two family medicine
clinics in a Midwestern state among 174 patients; subjects enrolled were at least
20 years old with diagnosed type 2 diabetes, prescribed at least one oral
diabetes medicine, and understood English. Questionnaires were administered to
assess the participants': health literacy, using the Newest Vital Sign six-item
questionnaire (NVS); self-efficacy for medication use, using the 13-item Self
Efficacy for Appropriate Medication Use Scale; and self-report medication
adherence, using the eight-item Morisky Medication Adherence Scale. HbA1c values
were obtained from participants' electronic medical records. Multiple linear
regressions were used to explore the association of health literacy and
medication self-efficacy with both medication adherence and HbA1c level after
controlling for all other covariates. Results: Self-reported health status (beta
= 0.17, p = 0.015) and medication self-efficacy (beta = 0.53, p < 0.001) were
positively associated with diabetes medication adherence. Health literacy was
neither associated with diabetes medication adherence (beta = -0.04, p = 0.586)
nor HbA1c (beta = -0.06, p = 0.542). Lower diabetes medication adherence (beta =
0.26, p = 0.008) and higher number of prescribed medications (beta = 0.28, p =
0.009) were correlated with higher HbA1c. Conclusion: Health literacy, as
measured by the NVS, does not correlate with medication adherence or glycemic
control among patients with type 2 diabetes. Interventions to improve patients'
self-efficacy of medication use may improve diabetes medication adherence.
PMID- 29785097
TI - Inter-gender differences of balance indicators in persons 60-90 years of age.
AB - Introduction: Precision of movements responsible for maintaining balance
deteriorates with age due to natural involutionary processes, thus prompting a
research question whether the values of gender-related stability indicators might
differ significantly among the study subjects over 60 years of age. Methods: The
study group comprised 136 seniors (89 women, 47 men; aged 60-90 years). The CQ
Stab 2P 2-platform posturograph was used as the main research device, whereas the
Mann-Whitney U-test was used to evaluate the gender-related differences in the
average level of variables. Results: In the open-eye test, significant gender
related differences were observed with regard to the statokinesiogram's path
length in the mediolateral (ML) direction in the subjects aged 60-69 years
(p=0.004), mean frequency of center of pressure (COP) displacement and number of
COP displacements in the ML direction in the subjects aged 70-79 years (p=0.028,
p=0.019), and mean COP displacement in the anteroposterior (AP) direction in the
subjects aged 80-90 years (p=0.026). When the subjects were deprived of visual
control, gender-related differences were observed with regard to the mean
frequency of COP displacement, number of COP displacements in the ML direction in
the subjects aged 60-69 years (p=0.045, p=0.049), and the statokinesiogram's path
length in the AP direction in the subjects aged 70-79 years (p=0.015). In the
oldest age group, the differences were noted in the statokinesiogram's path
length in the AP direction (p=0.001), a sway area delimited by the COP point
(p=0.003), range of AP stability (p<0.001), and range of ML stability (p=0.048).
Conclusion: Gender-related differences affecting postural stability were found in
the elderly. Men were characterized by a lower level of postural stability when
compared with women. This highlights the need to have the gender-related
differences taken into account, when developing various preventive and
therapeutic programs specifically aimed at compensating certain involution
dependent deficits.
PMID- 29785096
TI - Smartphone apps for improving medication adherence in hypertension: patients'
perspectives.
AB - Purpose: Digital interventions, such as smartphone applications (apps), are
becoming an increasingly common way to support medication adherence and self
management in chronic conditions. It is important to investigate how patients
feel about and engage with these technologies. The aim of this study was to
explore patients' perspectives on smartphone apps to improve medication adherence
in hypertension. Methods: This was a qualitative study based in the West of
Ireland. Twenty-four patients with hypertension were purposively sampled and
engaged in focus groups. Thematic analysis on the data was carried out. Results:
Participants ranged in age from 50 to 83 years (M=65 years) with an equal split
between men and women. Three major themes were identified in relation to
patients' perspectives on smartphone apps to improve medication adherence in
hypertension: "development of digital competence," "rules of engagement," and
"sustainability" of these technologies. Conclusion: These data showed that
patients can identify the benefits of a medication reminder and recognize that
self-monitoring their blood pressure could be empowering in terms of their
understanding of the condition and interactions with their general practitioners.
However, the data also revealed that there are concerns about increasing health
related anxiety and doubts about the sustainability of this technology over time.
This suggests that the current patient perspective of smartphone apps might be
best characterized by "ambivalence."
PMID- 29785099
TI - Comparison of the effectiveness of complex decongestive therapy and compression
bandaging as a method of treatment of lymphedema in the elderly.
AB - Background: Lymphedema is a chronic condition which significantly lowers the
quality of patient life, particularly among elderly populations, whose mobility
and physical function are often reduced. Objectives: The aim of the study was to
compare the effectiveness of multi-layer compression bandaging (MCB) and complex
decongestive therapy (CDT), and to show that MCB is a cheaper, more accessible
and less labor intensive method of treating lymphedema in elderly patients.
Patients and methods: The study included 103 patients (85 women and 18 men) aged
>=60 years, with unilateral lower limb lymphedema. The subjects were divided into
two groups: 50 treated with CDT and 53 with MCB. Pre- and post-treatment BMI, and
average and maximum circumference of the edematous extremities were analyzed.
Results: Reduction in swelling in both groups was achieved after 15
interventions. Both therapies demonstrated similar efficacy in reducing limb
volume and circumference, but MCB showed greater efficacy in reducing the maximum
circumference. Conclusion: Compression bandaging is a vital component of CDT.
Maximum lymphedema reduction during therapy and maintaining its effect cannot be
achieved without it. It also demonstrates its effectiveness as an independent
method, which can reduce therapy cost and accessibility.
PMID- 29785098
TI - Sarcopenia: assessment of disease burden and strategies to improve outcomes.
AB - Life expectancy is increasing worldwide, with a resultant increase in the elderly
population. Aging is characterized by the progressive loss of skeletal muscle
mass and strength - a phenomenon called sarcopenia. Sarcopenia has a complex
multifactorial pathogenesis, which involves not only age-related changes in
neuromuscular function, muscle protein turnover, and hormone levels and
sensitivity, but also a chronic pro-inflammatory state, oxidative stress, and
behavioral factors - in particular, nutritional status and degree of physical
activity. According to the operational definition by the European Working Group
on Sarcopenia in Older People (EWGSOP), the diagnosis of sarcopenia requires the
presence of both low muscle mass and low muscle function, which can be defined by
low muscle strength or low physical performance. Moreover, biomarkers of
sarcopenia have been identified for its early detection and for a detailed
identification of the main pathophysiological mechanisms involved in its
development. Because sarcopenia is associated with important adverse health
outcomes, such as frailty, hospitalization, and mortality, several therapeutic
strategies have been identified that involve exercise training, nutritional
supplementation, hormonal therapies, and novel strategies and are still under
investigation. At the present time, only physical exercise has showed a positive
effect in managing and preventing sarcopenia and its adverse health outcomes.
Thus, further well-designed and well-conducted studies on sarcopenia are needed.
PMID- 29785100
TI - Gender-specific estimates of COPD prevalence: a systematic review and meta
analysis.
AB - Rationale: COPD has been perceived as being a disease of older men. However, >7
million women are estimated to live with COPD in the USA alone. Despite a growing
body of literature suggesting an increasing burden of COPD in women, the evidence
is limited. Objectives: To assess and synthesize the available evidence among
population-based epidemiologic studies and calculate the global prevalence of
COPD in men and women. Materials and methods: A systematic review and meta
analysis reporting gender-specific prevalence of COPD was undertaken. Gender
specific prevalence estimates were abstracted from relevant studies. Associated
patient characteristics as well as custom variables pertaining to the diagnostic
method and other important epidemiologic covariates were also collected. A
Bayesian random-effects meta-analysis was performed investigating gender-specific
prevalence of COPD stratified by age, geography, calendar time, study setting,
diagnostic method, and disease severity. Measurements and main results: Among 194
eligible studies, summary prevalence was 9.23% (95% credible interval [CrI]:
8.16%-10.36%) in men and 6.16% (95% CrI: 5.41%-6.95%) in women. Gender
prevalences varied widely by the World Health Organization Global Burden of
Disease subregions, with the highest female prevalence found in North America
(8.07% vs 7.30%) and in participants in urban settings (13.03% vs 8.34%). Meta
regression indicated that age >=40 and bronchodilator testing contributed most
significantly to heterogeneity of prevalence estimates across studies.
Conclusion: We conducted the largest ever systematic review and meta-analysis of
global prevalence of COPD and the first large gender-specific review. These
results will increase awareness of COPD as a critical woman's health issue.
PMID- 29785101
TI - Exacerbation recovery patterns in newly diagnosed or maintenance treatment-naive
patients with COPD: secondary analyses of TICARI 1 trial data.
AB - Background: Little is known about the recovery patterns from acute exacerbations
of chronic obstructive pulmonary disease (AECOPD) in newly diagnosed or
maintenance treatment-naive patients with COPD. This study describes the course
of AECOPD in these patients at the time of treatment for the symptoms of acute
respiratory tract infection (RTI). Methods: This study was a secondary analysis
of data from a 12-week, randomized clinical trial (TICARI 1) testing the efficacy
and safety of once-daily tiotropium 18 ug maintenance therapy versus placebo in
newly diagnosed or maintenance treatment-naive COPD patients with acute RTI
symptoms for <=7 days. Patients received standard care for AECOPD and RTI. Due to
under-recruitment, the trial ended early and hence was underpowered to detect
treatment differences. Data were pooled and exacerbation recovery patterns
examined by using the EXAcerbation of Chronic Pulmonary Disease Tool (EXACT),
forced expiratory volume in 1 second, rescue medication use, COPD Assessment
TestTM, Functional Assessment of Chronic Illness Therapy-Short Form, and Work
Productivity and Activity Impairment Questionnaire: Respiratory Symptoms.
Results: Of 140 patients, 73.6% had a prior COPD diagnosis without maintenance
therapy; 80.0% had moderate-to-severe airflow obstruction. In addition to study
drug, 40.0% were prescribed pharmacologic therapy (corticosteroids [34.3%],
antibiotics [16.4%], and short-acting beta2-adrenergic agonists [5.0%]) within +/
7 days of randomization. Over 12 weeks, 78.6% exhibited symptomatic recovery
(EXACT score) in a median of 5.0 days. Across all patients, 49.3% recovered
without relapse, 29.3% recovered and then relapsed, and 21.4% had persistent
symptoms (recovery criteria unmet). Conclusion: A substantial portion of newly
diagnosed or maintenance treatment-naive patients with COPD experience relapse or
persistent symptoms following a clinic visit for AECOPD with symptoms of RTI.
Whether initiating maintenance therapy could improve outcomes and reduce
exacerbation risk requires further study.
PMID- 29785102
TI - Experiences of a health coaching self-management program in patients with COPD: a
qualitative content analysis.
AB - Purpose: To describe the experiences of patients with COPD participating in a
health coaching self-management program. Patients and methods: Twenty patients
who had participated in a 6-month health coaching self-management program
intervention were purposefully selected for a qualitative evaluation of the
program using semi-structured interviews. The interviews were analyzed using
inductive qualitative content analysis. Results: Four categories and 13
subcategories emerged describing the participants' experiences of the program.
Their experiences were expressed as gaining insight into the importance of
knowledge and personal responsibilities in the management of COPD, taking action
to maintain a healthy lifestyle, feeling supported by the program, and being
hindered by individual and program limitations. Conclusion: Iterative
interactions between patients and health care professionals together with the
content of the program are described as important to develop skills to manage
COPD. However, in future self-management programs more awareness of individual
prerequisites should be considered.
PMID- 29785103
TI - Chinese version of the severe respiratory insufficiency questionnaire for
patients with COPD receiving long-term oxygen therapy.
AB - Purpose: Patients with advanced-stage COPD often experience severe hypoxemia.
Treatment with long-term oxygen therapy (LTOT) may relieve patients' symptoms and
increase survival. As COPD is incurable, improving patients' health-related
quality of life is important. The Chinese version of the Severe Respiratory
Insufficiency Questionnaire (SRI) is valid for patients with hypercapnic COPD
undergoing noninvasive positive airway pressure ventilation at home. However, the
reliability and validity of the Chinese SRI for patients with COPD undergoing
LTOT have not been investigated. Patients and methods: We analyzed reliability
using Cronbach's alpha coefficient. Construct validity was assessed with
principal, exploratory, and confirmatory factor analysis. Concurrent validity was
evaluated through the correlation between SRI domains and Chronic Respiratory
Disease Questionnaire (CRQ) domains. Content validity was assessed by calculating
the correlation between each SRI item score and the total score for the relevant
domain. Results: In total, 161 patients participated in this study. The
Cronbach's alpha coefficient for all SRI domains was >0.7, except for the
attendant symptoms and sleep domain. Exploratory and confirmatory factor analysis
showed a good model fit for each domain, but the factors extracted from each
domain were correlated. SRI and CRQ domains correlated well with respect to
similar aspects of health-related quality of life, indicating good concurrent
validity. Content validity was indirectly shown by a good correlation between
each item score and the total score of the relevant domain. Conclusion: The
Chinese version of the SRI has a good reliability and validity for patients with
COPD undergoing LTOT in China.
PMID- 29785104
TI - Respiratory medication used in COPD patients from seven Latin American countries:
the LASSYC study.
AB - Background: Limited information is available regarding medication use in COPD
patients from Latin America. This study evaluated the type of medication used and
the adherence to different inhaled treatments in stable COPD patients from the
Latin American region. Methods: This was an observational, cross-sectional,
multinational, and multicenter study in COPD patients attended by specialist
doctors from seven Latin American countries. Adherence to inhaled therapy was
assessed using the Test of Adherence to Inhalers (TAI) questionnaire. The type of
medication was assessed as: short-acting beta-agonist (SABA) or short-acting
muscarinic antagonist (SAMA) only, long-acting muscarinic antagonist (LAMA), long
acting beta-agonist (LABA), LABA/LAMA, inhaled corticosteroid (ICS), ICS/LABA,
ICS/LAMA/LABA, or other. Results: In total, 795 patients were included (59.6%
male), with a mean age of 69.5+/-8.7 years and post-bronchodilator FEV1 of
50.0%+/-18.6%. The ICS/LAMA/LABA (32.9%) and ICS/LABA (27.7%) combinations were
the most common medications used, followed by LABA/LAMA (11.3%), SABA or SAMA
(7.9%), LABA (6.4%), LAMA (5.8%), and ICS (4.3%). The types of medication most
commonly used in each Global Initiative for Chronic Obstructive Lung Disease
(GOLD) 2013 category were ICS/LABA (A: 32.7%; B: 19.8%; C: 25.7%; D: 28.2%) and
ICS/LAMA/LABA (A: 17.3%; B: 30.2%; C: 33%; D: 41.1%). The use of long-acting
bronchodilators showed the highest adherence (good or high adherence >50%)
according to the TAI questionnaire. Conclusion: COPD management in specialist
practice in Latin America does not follow the current guideline recommendations
and there is an overuse of ICSs in patients with COPD from this region. Treatment
regimens including the use of long-acting bronchodilators are associated with the
highest adherence.
PMID- 29785105
TI - Development and blood compatibility assessment of electrospun polyvinyl alcohol
blended with metallocene polyethylene and plectranthus amboinicus (PVA/mPE/PA)
for bone tissue engineering.
AB - Introduction: Currently, the design of extracellular matrix (ECM) with nanoscale
properties in bone tissue engineering is challenging. For bone tissue
engineering, the ECM must have certain properties such as being nontoxic, highly
porous, and should not cause foreign body reactions. Materials and methods: In
this study, the hybrid scaffold based on polyvinyl alcohol (PVA) blended with
metallocene polyethylene (mPE) and plectranthus amboinicus (PA) was fabricated
for bone tissue engineering via electrospinning. The fabricated hybrid
nanocomposites were characterized by scanning electron microscopy (SEM), Fourier
transform and infrared spectroscopy (FTIR), thermogravimetric analysis (TGA),
contact angle measurement, and atomic force microscopy (AFM). Furthermore,
activated partial thromboplastin time (APTT), prothrombin time (PT), and
hemolytic assays were used to investigate the blood compatibility of the prepared
hybrid nanocomposites. Results: The prepared hybrid nanocomposites showed reduced
fiber diameter (238+/-45 nm) and also increased porosity (87%) with decreased
pore diameter (340+/-86 nm) compared with pure PVA. The interactions between PVA,
mPE, and PA were identified by the formation of the additional peaks as revealed
in FTIR. Furthermore, the prepared hybrid nanocomposites showed a decreased
contact angle of 51 degrees +/-1.32 degrees indicating a hydrophilic nature and
exhibited lower thermal stability compared to pristine PVA. Moreover, the
mechanical results revealed that the electrospun scaffold showed an improved
tensile strength of 3.55+/-0.29 MPa compared with the pristine PVA (1.8+/-0.52
MPa). The prepared hybrid nanocomposites showed delayed blood clotting as noted
in APTT and PT assays indicating better blood compatibility. Moreover, the
hemolysis assay revealed that the hybrid nanocomposites exhibited a low hemolytic
index of 0.6% compared with pure PVA, which was 1.6% suggesting the safety of the
developed nanocomposite to red blood cells (RBCs). Conclusion: The prepared
nanocomposites exhibited better physico-chemical properties, sufficient porosity,
mechanical strength, and blood compatibility, which favors it as a valuable
candidate in bone tissue engineering for repairing the bone defects.
PMID- 29785106
TI - SN38-loaded <100 nm targeted liposomes for improving poor solubility and
minimizing burst release and toxicity: in vitro and in vivo study.
AB - Background: SN38 (7-ethyl-10-hydroxycamptothecin) is a camptothecin derivative
acts against various tumors. However, SN38 is hydrolyzed in the physiological
environment (pH 7.4), and this instability interferes with its potential
therapeutic effect. Our objective was to investigate SN38-loaded liposomes to
overcome the poor solubility of SN38 and its biodistribution, which further
diminish its toxicity. Materials and methods: The sub-100 nm targeted liposomes
was employed to deliver SN-38 and evaluate the characterization, release
behaviors, cytotoxicity, in vivo pharmacokinetics and biochemical assay. Results:
The SN38-loaded targeted liposomes consisted of small (100.49 nm) spherical
nanoparticles with negative charge (-37.93 mV) and high entrapment efficiency
(92.47%). The release behavior of the SN38-loaded targeted liposomes was fitted
with Higuchi kinetics (R2=0.9860). Free SN38 presented initial burst release. The
IC50 for the SN38-loaded targeted liposomes (0.11 MUM) was significantly lower
than for the SN38 solution (0.37 MUM) in the MCF7 cell line (P<0.01). Confocal
laser scanning microscopy also confirmed highly efficient accumulation in the
MCF7 cells. Pharmacokinetics demonstrated that the SN38-loaded targeted liposomes
had a slightly increased half-life and mean residence time and decreased area
under the concentration-time curve and maximum concentration. The results
suggested that retention was achieved while the exposure of SN38 was
significantly decreased. A noninvasive in vivo imaging system also showed that
the targeted liposomes selectively targeted MCF7 tumors. In vivo toxicity data
demonstrated that the decrease in platelets was significantly improved by SN38
loaded targeted liposomes, and diarrhea was not observed in BALB/c mice.
Conclusion: In summary, SN38-loaded targeted liposomes could be a good candidate
for application in human breast cancer.
PMID- 29785107
TI - Near-infrared light-mediated photodynamic/photothermal therapy nanoplatform by
the assembly of Fe3O4 carbon dots with graphitic black phosphorus quantum dots.
AB - Background: Recently, combined photodynamic therapy (PDT) and photothermal
therapy (PTT) has become a desired treatment for cancer. However, the development
of economic, high-efficiency, and safe photosensitizers/photothermal agents
remains a significant challenge. Methods: A novel nanocomposite has been
developed via the assembly of iron oxide carbon dot (Fe3O4-CDs) nanoparticles and
black phosphorus quantum dots (genipin [GP]-polyglutamic acid [PGA]-Fe3O4
CDs@BPQDs), and this nanocomposite shows a broad light-absorption band and a
photodegradable character. Results: In vitro and in vivo assays indicated that GP
PGA-Fe3O4-CDs@BPQDs were highly biocompatible and exhibited excellent tumor
inhibition efficacy, due to the synergistic PTT and PDT via a near-infrared
laser. Importantly, in vivo tumor magnetic resonance imaging (MRI) results
illustrated that GP-PGA-Fe3O4-CDs@BPQDs can be specifically applied for enhanced
T2 MRI of tumors. This work presents the first combined application of a PDT and
PTT effect deriving from BPQDs and MRI from Fe3O4-CDs, which may promote
utilization of black BPQDs in biomedicine. Conclusion: As expected, GP-PGA-Fe3O4
CDs@BPQDs displayed a dramatically enhanced ability to destroy tumor cells, due
to the synergistic combination of PTT and PDT.
PMID- 29785108
TI - The protective role of quercetin and arginine on gold nanoparticles induced
hepatotoxicity in rats.
AB - Background: The aim of the study was to confirm the hepatotoxicity induced by
small-sized gold nanoparticles (GNPs) and evaluate the role of quercetin (Qur)
and arginine (Arg) against hepatotoxicity caused by GNPs. Methods: Twenty-five
healthy male Wistar-Kyoto rats were used. GNPs were administered
intraperitoneally to these rats at the dose of 50 MUL for seven consecutive days.
The role of Qur and Arg antioxidants against toxicity induced by GNPs was
detected through the measurement of serum liver function and oxidative stress
biomarkers in the liver tissues. Results: Coadministration of Qur and Arg along
with GNPs significantly induced dramatic alterations in the biochemical
parameters. Levels of malondialdehyde, gamma-glutamyl transferase, alanine
aminotransferase, alkaline phosphatase, and total protein increased significantly
in the GNPs injected group than in the control group, while reduced glutathione
was greatly reduced in the GNPs group than in the control group. It also
significantly decreased liver enzymes and the oxidative stress, therefore
improving the liver damage and hepatotoxicity induced by GNPs. Conclusion: This
study demonstrated that Qur and Arg antioxidants effectively improved the hepatic
oxidative damage induced by GNPs. It also substantiates the application of Qur
and Arg as protecting stand-in against GNPs' hepatotoxicity.
PMID- 29785109
TI - Development and characterization of a nanoemulsion containing propranolol for
topical delivery.
AB - Background: Propranolol (PPN) is a therapeutic option for the treatment of
infantile hemangiomas. This study aimed at the development of nanoemulsion (NE)
containing 1% PPN, characterization of the system, and safety studies based on ex
vivo permeation, cytotoxicity, and biodistribution in vivo. Methods: The
formulation was developed and characterized in relation to the droplet size,
polydispersity index (PDI), pH, zeta potential, and electronic microscopy. Ex
vivo permeation studies were used to evaluate the cutaneous retention of PPN in
the epidermis and dermis. Cytotoxicity studies were performed in fibroblasts,
macrophages, and keratinocytes. In vivo biodistribution assay of the formulations
was performed by means of labeling with technetium-99m. Results: NE1 exhibited
droplet size of 26 nm, PDI <0.4, pH compatible with the skin, and zeta potential
of -20 mV, which possibly contributes to the stability. Electron microscopy
showed that the NE presented droplets of nanometric size and spherical shape. NE1
provided excellent stability for PPN. In the ex vivo cutaneous permeation assay,
the NE provided satisfactory PPN retention particularly in the dermis, which is
the site of drug action. In addition, NE1 promoted cutaneous permeation of the
PPN in small amount. In vivo biodistribution showed that the radiolabeled
formulation remained in the skin and a small amount reached the bloodstream. NE1
presented low cytotoxicity to fibroblasts, macrophages, and keratinocytes in the
concentrations evaluated in the cytotoxicity assay. Conclusion: We concluded that
the formulation is safe for skin administration; however, cutaneous irritation
studies should be performed to confirm the safety of the formulation before
clinical studies in patients with infantile hemangiomas.
PMID- 29785110
TI - Prefrontoparietal dysfunction during emotion regulation in anxiety disorder: a
meta-analysis of functional magnetic resonance imaging studies.
AB - Objective: Impairments in emotion regulation, and more specifically in cognitive
reappraisal, are thought to play a key role in the pathogenesis of anxiety
disorders. However, the available evidence on such deficits is inconsistent. To
further illustrate the neurobiological underpinnings of anxiety disorder, the
present meta-analysis summarizes functional magnetic resonance imaging (fMRI)
findings for cognitive reappraisal tasks and investigates related brain areas.
Methods: We performed a comprehensive series of meta-analyses of cognitive
reappraisal fMRI studies contrasting patients with anxiety disorder with healthy
control (HC) subjects, employing an anisotropic effect-size signed differential
mapping approach. We also conducted a subgroup analysis of medication status,
anxiety disorder subtype, data-processing software, and MRI field strengths. Meta
regression was used to explore the effects of demographics and clinical
characteristics. Eight studies, with 11 datasets including 219 patients with
anxiety disorder and 227 HC, were identified. Results: Compared with HC, patients
with anxiety disorder showed relatively decreased activation of the bilateral
dorsomedial prefrontal cortex (dmPFC), bilateral dorsal anterior cingulate cortex
(dACC), bilateral supplementary motor area (SMA), left ventromedial prefrontal
cortex (vmPFC), bilateral parietal cortex, and left fusiform gyrus during
cognitive reappraisal. The subgroup analysis, jackknife sensitivity analysis,
heterogeneity analysis, and Egger's tests further confirmed these findings.
Conclusions: Impaired cognitive reappraisal in anxiety disorder may be the
consequence of hypo-activation of the prefrontoparietal network, consistent with
insufficient top-down control. Our findings provide robust evidence that
functional impairment in prefrontoparietal neuronal circuits may have a
significant role in the pathogenesis of anxiety disorder.
PMID- 29785112
TI - Treatment of behavioral and psychological symptoms of dementias with
psychopharmaceuticals: a review.
AB - Behavioral and psychological symptoms represent common complications in patients
with different types of dementia. Predominantly, they comprise psychosis,
agitation and mood disorders, disinhibited behavior, impairment of the sleep and
wakefulness rhythm, wandering, perseveration, pathological collecting, or
shouting. Their appearance is related to more rapid progression of the disease,
earlier institutionalization, use of physical restraints, and higher risk of
mortality. Consequently, appearance of behavioral and psychological symptoms of
dementia leads to higher costs of care provided and greater distress for
caregivers. Clinical guidelines recommend nonpharmacological approaches as the
first choice in the treatment of behavioral and psychological symptoms.
Pharmacological therapy should be initiated only if the symptoms were not the
result of somatic causes, did not respond to nonpharmacological interventions, or
were not caused by the prior medication. Acetylcholinesterase inhibitors,
memantine, antipsychotic drugs, antidepressants, mood stabilizers, and
benzodiazepines are used. This review summarizes the current findings about the
efficacy and safety of the treatment of the neuropsychiatric symptoms in
dementias with psychopharmaceuticals. Recommendations for treatment with
antipsychotics for this indication are described in detail as this drug group is
prescribed most often and, at the same time, is related to the highest risk of
adverse effects and increased mortality.
PMID- 29785111
TI - Association of serotonin receptor 2a haplotypes with obsessive-compulsive
disorder and its treatment response in Iranian patients: a genetic and
pharmacogenetic study.
AB - Introduction: Obsessive-compulsive disorder (OCD) is a debilitating psychiatric
disorder causing intrusive thoughts or repetitive behaviors. Serotonin reuptake
inhibitors are used for OCD treatment, but 40%-60% of patients do not respond to
them adequately. In this study, the associations of serotonin receptor 2a
polymorphisms rs6311 and rs6313 with OCD, its familial form and fluvoxamine
treatment response in Iranian population were investigated. Patients and methods:
Association analyses were conducted in 293 OCD cases fulfilling the Diagnostic
and Statistical Manual of Mental Disorders (DSM)-IV-TR and 245 controls.
Pharmacotherapy was defined as 12 weeks of treatment with fluvoxamine (150-300
mg). Treatment response was considered as >25% reduction in Yale-Brown Obsessive
Compulsive Scale score. Genotyping was performed by means of PCR-RFLP. Results:
The results showed no association of rs6311 or rs6313 with OCD, but their
haplotypes had different distribution patterns in cases and controls. Moreover,
rs6313 was associated with the familial form of OCD in females significantly
(P=0.005) under the recessive genetic model. Moreover, rs6311-rs6313 haplotypes
were associated with fluvoxamine treatment response in OCD patients with more AC
and less AT in responders. Conclusion: HTR2A haplotypes are associated with OCD
and its treatment response with a fluvoxamine in Iranian patients. Furthermore,
the observed association of rs6313 with the familial form of OCD in females
suggests different genetic background of OCD familial and non-familial forms,
which needs further investigation.
PMID- 29785114
TI - Convenient food made of extruded adzuki bean attenuates inflammation and improves
glycemic control in patients with type 2 diabetes: a randomized controlled trial.
AB - Objective: Extrusion is a widely used food processing technology. The aim of this
study was to investigate the effects of extruded adzuki bean convenient food
(EABCF) on glycemic and inflammation control in type 2 diabetes mellitus (T2DM)
patients. Patients and methods: In a randomized controlled trial, 120 T2DM
patients were randomly assigned to a control diet group (the low glycemic index
[LGI] group, assigned the traditional diabetic low glycemic index diet) or an
intervention group (the EABCF group, assigned daily consumption of EABCF). Diet
information and blood samples were collected at baseline and after a 4-week
intervention. After excluding exogenous insulin users, a subgroup analysis based
on baseline fasting insulin (FINS) levels was conducted, and Homeostasis Model
Assessment (HOMA) was the target indicator. Results: A total of 106 patients
completed the trial, and 89 participants were included in the subgroup analysis.
After the intervention, glycemic control improved in both groups compared to
baseline, but the difference was not statistically significant (p>0.05). However,
the EABCF group showed decreased inflammation with significantly lower tumor
necrosis factor alpha (TNF-alpha) level compared to the control group (adjusted
p<0.01). There was also a slight increase in the interleukin-6 (IL-6) level in
the EABCF group (adjusted p=0.004). Moreover, the subgroup analysis found that,
after 4 weeks, a diet consisting of EABCF increased insulin secretion to normal
levels in the group with hypoinsulinism (baseline FINS<5.2 mU/L). However, the
difference only showed a trend toward statistical significance
(0.05
A, rs3790844 T>C, rs12029406
C>T) are associated with the risk of pancreatic cancer. However, the results of
epidemiological investigations are still controversial. In order to explore its
potential attributing factors, we pooled the updated literatures to evaluate the
association between NR5A2 polymorphism and the risk of pancreatic cancer in this
meta-analysis. Materials and methods: Databases such as PubMed, Google Scholar
and China National Knowledge Infrastructure were searched for eligible articles
following strict inclusion and exclusion criteria (updated to November 18, 2017).
Odds ratios (ORs) and 95% CIs were computed to assess the intensity of
association. In addition, heterogeneity, sensitivity analysis and publication
bias were explored. All statistical analyses were conducted by STATA 14.0.
Results: Our results showed that the rs3790843 (GA vs GG: OR=0.86, CI=0.76-0.98,
P=0.992; GA+AA vs GG: OR=0.83, CI=0.73-0.94, P=0.950; A vs G: OR=0.85, CI=0.78
0.93, P=0.802), rs3790844 (CC vs TT: OR=0.65, CI=0.54-0.78, P=0.617; CC vs TT+CT:
OR=0.73, CI=0.62-0.85, P=0.742; C vs T: OR=0.78, CI=0.73-0.84, P=0.555) and
rs12029406 (TT vs CC: OR=0.73, CI=0.61-0.89, P=0.483; TT vs CC+CT: OR=0.78,
CI=0.66-0.92, P=0.648; T vs C: OR=0.87, CI=0.79-0.95, P=0.837) polymorphisms were
associated statistically with the risk of pancreatic cancer. Furthermore, the
results of subgroup analysis showed that rs3790843 and rs3790844 polymorphisms
were especially related to the risk of pancreatic cancer in Caucasian population.
Conclusion: Our results revealed that NR5A2 may have a protective effect on
pancreatic cancer. However, more well-designed researches are needed to verify
the relationship between NR5A2 polymorphisms and the risk of pancreatic cancer.
PMID- 29785121
TI - Association between angiogenesis and cytotoxic signatures in the tumor
microenvironment of gastric cancer.
AB - Background: A suppressive immune microenvironment and pathological angiogenesis
are hallmarks of gastric cancer. Theoretically, immune checkpoint inhibitors
(ICIs) stimulate pre-primed neoantigen-specific T cells, and antiangiogenic
agents then facilitate their infiltration into the tumor niche by promoting
vascular normalization. Currently, the interconnections of these two phenotypes
and their relevance to the tumor microenvironment (TME) have not been fully
characterized in gastric cancer. Materials and methods: Transcriptome profiling
data retrieved from The Cancer Genome Atlas (TCGA) database were used to
deconvolute the feature of TME for gastric cancer (N = 375). Machine learning,
correlation, and prognosis analysis were applied to elucidate the correlations
between angiogenesis, cytotoxic T lymphocyte infiltration, and patient survival.
Results: Substantial heterogeneous infiltration of immune cell populations among
cases was observed. Furthermore, among targetable pathways, angiogenesis was
identified as the dominant factor in discriminating different infiltration
statuses. Most importantly, the angiogenesis pathway was negatively correlated
with the amount of activated CD8+ T cells only for patients with a higher
infiltration, and the concomitance of low angiogenesis signaling and highly
activated CD8+ T-cell infiltration was associated with a significant survival
benefit. Conclusion: Our findings demonstrated a negative correlation between
angiogenesis signaling and cytotoxic function in gastric cancer patients with a
highly infiltrated immune niche. These data provided a rationale for potential
combination strategy and further clinical investigations of ICIs plus
antiangiogenesis agents for patients with gastric cancer with an inflamed TME.
PMID- 29785122
TI - Aloperine executes antitumor effects through the induction of apoptosis and cell
cycle arrest in prostate cancer in vitro and in vivo.
AB - Background: Prostate cancer (PCa) is one of the most common malignant diseases
among male patients. Although androgen deprivation therapy remains the main
treatment for PCa, most patients would inevitably progress to castration
resistant PCa, which is the main cause of cancer-related deaths. Thus, novel
antitumor agents are urgently needed. Recent studies demonstrated that aloperine
(ALO) as a natural alkaloid showed antitumor effects in other cancer types.
However, the biological function and underlying mechanisms of ALO in PCa have not
been investigated. Methods: PCa cell lines including LNCaP, PC3 and DU145 were
cultured and treated with ALO. Cell Counting Kit-8 assay, colony formation assay,
apoptosis assay and cell cycle assay were conducted to assess the biological role
of ALO. In addition, a PCa subcutaneous xenograft mouse model was established to
evaluate the role of ALO in terms of proliferation and apoptosis in vivo. We
further measured the protein expression levels of p-Akt/Akt, p-ERK/ERK, c-Myc,
cleaved caspase 3, p21, p53, Bcl-2 and Bax using the Western blot 48 h after ALO
treatment of PCa cells. Results: ALO effectively inhibited the cell viability of
PCa by inducing cell cycle arrest via the activation of the p53/p21 pathway and
triggering apoptosis in vitro and in vivo. ALO also inhibited phosphorylation of
Akt and ERK protein kinases and activated cleaved caspase 3 while exerting
antiproliferation function through inducing apoptosis and cell cycle arrest in
PCa cells. Conclusion: Based on our findings, we conclude that ALO could suppress
the tumor growth and promote cell apoptosis and cell cycle arrest in PCa cells,
which indicated that ALO could act as a novel therapeutic agent in treatment of
human PCa.
PMID- 29785123
TI - Leucine-rich alpha-2-glycoprotein-1 is up-regulated in colorectal cancer and is a
tumor promoter.
AB - Background: Leucine-rich alpha-2-glycoprotein-1 (LRG1) is differentially
expressed in many kinds of diseases including cancer, however, it has not been
thoroughly studied yet. Purpose: The objective of this study was to detect the
expression and potential mechanism of LRG1 in colorectal cancer (CRC). In our
study, we examined LRG1 levels in CRC tissue and plasma with quantitative real
time polymerase chain reaction and enzyme-linked immunosorbent assay,
respectively. The effect of LRG1 on cancer cells was detected with transwell and
MTT assays. Results: The average plasma LRG1 level in CRC was significantly
higher than in polyp group (P=0.002) and healthy controls (P<0.001). Second,
plasma LRG1 was positively associated with CA19-9 (r=0.133, P=0.039) and
neutrophil ratio (r=0.403, P<0.001). Third, plasma LRG1 of stage IV patients was
dramatically different from that of stage I, stage II or stage III patients
(P<0.001). LRG1 mRNA expression levels were about 2-fold higher in CRCs compared
to normal tissues (P<0.001). And levels of plasma LRG1 were found to be a risk
factor in CRC in univariate survival analysis of colorectal prognosis (P=0.013,
hazard ratio [HR]=1.803, 95% CI: 1.521-2.137), and multivariate analysis showed
that LRG1 was an independent risk factor (P<0.001, HR=1.492, 95% CI: 1.223
1.820). The patients with higher plasma LRG1 value presented with poorer outcome
(P=0.013). Functional experiments showed that LRG1 could promote the invasion and
growth ability of cells. LRG1 was increased in plasma and tissue compared with
that of controls and LRG1 may predict prognosis of CRC patients and LRG1 maybe a
tumor promoter. Conclusion: LRG1 is increased in CRC patients and might serve as
a tumor promoter.
PMID- 29785124
TI - Upregulation of circ_0066444 promotes the proliferation, invasion, and migration
of gastric cancer cells.
AB - Background: Circular RNAs (circRNAs), which have closed-loop structure, are
involved in the pathogenesis of human diseases including various types of
carcinomas. The present study aimed to investigate the relationship between a new
circular RNA named circ_0066444 and gastric cancer (GC) carcinogenesis. Materials
and methods: The circ_0066444 levels in 106 paired gastric carcinoma tissues and
related adjacent normal tissues were detected by real-time quantitative reverse
transcription polymerase chain reaction. The correlation between the expression
of circ_0066444 and clinicopathological features was analyzed. The impact of
circ_0066444 expression on cell proliferation, invasion, as well as migration was
evaluated in vitro using knockdown expression strategies. Finally, a network of
circ_0066444-targeted miRNA interactions and their corresponding mRNAs was
constructed. Results: circ_0066444 was found to be significantly upregulated in
106 GC tissues as compared with paired adjacent nontumorous tissues (P=0.025),
showing a high positive correlation with lymphatic metastasis (P=0.023).
Furthermore, in vitro assays of the GC cell lines BGC-823 and AGS demonstrated
that knockdown of circ_0066444 reduced cell proliferation, invasion, and
migration significantly. Prediction and annotation revealed circ_0066444 was able
to sponge to 5 miRNAs and 15 corresponding target mRNAs. Conclusion: Our study
indicated upregulation of circ_0066444 promotes gastric cell proliferation,
invasion, and migration ability and might serve as a novel biomarker for GC.
PMID- 29785125
TI - ZNF418 overexpression protects against gastric carcinoma and prompts a good
prognosis.
AB - Background: This study aimed to investigate the prognostic power of zinc-finger
protein 418 (ZNF418) in gastric cancer (GC) and its potential role in GC
development and progression. Patients and methods: A total of 10 GC patients'
individual plasmas were collected and screened for dysregulated mRNA using human
microarray. Among these dysregulated mRNAs, ZNF418 was found to be significantly
downregulated in IIIA-IV stage GC patients compared to IA-IIA stage GC patients.
Subsequently, the ZNF418 levels were detected by quantitative reverse
transcription-polymerase chain reaction in both GC plasmas and tissues in a
larger sample, and the association between ZNF418 expression level and
clinicopathological features as well as overall survival (OS) of GC patients was
further analyzed. Finally, a network of ZNF418 interactions with other molecules
was predicated in STRING and GEPIA databases. Results: Human mRNA microarray was
performed to screen for abnormally expressed mRNAs between five IIIA-IV stage GC
patients' plasma and five IA-IIA stage GC patients' plasma. A total of 662 mRNAs
were differentially expressed in the IIIA-IV stage GC plasma vs IA-IIA stage GC
plasma among all the candidate mRNAs according to the Student's t-test. Results
showed that a decrease in the ZNF418 expression level was associated with the
presence of GC and also with higher tumor-node-metastasis stage and lower OS
rates compared with that in adjacent noncancerous tissues. Cox regression
analysis results demonstrated that the OS was independently correlated with
ZNF418 expression. Finally, the prediction results showed that a total of eight
mRNAs might have an interaction with ZNF418 in both STRING and GEPIA databases.
Conclusion: ZNF418 was first identified to be significantly downregulated in GC.
Our study indicated that ZNF418 might serve as a novel biomarker for GC and was
involved in GC development.
PMID- 29785126
TI - Impact of targeting transforming growth factor beta-2 with antisense OT-101 on
the cytokine and chemokine profile in patients with advanced pancreatic cancer.
AB - Background: Overexpression of the cytokine - transforming growth factor-beta 2
(TGF-beta2) - has been implicated in the malignant progression of pancreatic
cancer (PAC). OT-101 (trabedersen) is an antisense oligodeoxynucleotide designed
to target the human TGF-beta2 mRNA. In a Phase I/II study, OT-101 treatment with
subsequent chemotherapy was characterized by outstanding overall survival (OS) in
patients with PAC. Objective: This study sought to identify 1) co-regulated sets
of cyto-/chemokines; 2) potential mechanisms that link TGF-beta receptor type 2
receptor inhibition that may result in the induction of a cytokine storm; and 3)
predictive biomarkers for OS outcome in OT-101-treated patients with PAC.
Materials and methods: Plasma levels of 31 cyto-/chemokines were tracked over
three cycles of OT-101 therapy (140 mg/m2/day) in 12 PAC patients. Samples were
acquired before onset of OT-101 therapy and at eight selected time points during
therapy. A mixed ANCOVA model was developed for 19 cyto-/chemokines with median
expression >1 following OT-101 therapy. Regression and hierarchical clustering
analyses were performed to identify correlated expressions in each patient across
cyto-/chemokines or in each cyto-/chemokine across patients. Plasma cyto
/chemokine levels were compared with OS with and without subsequent chemotherapy.
Results: Three highly correlated subsets of cyto-/chemokines (Cluster 1: EGF, MIP
1alpha, MIP-1beta; Cluster 2: FGF-2, MIG, IP-10, IL-15, IFN-alpha, IL-12; and
Cluster 3: HGF, IL-6, IL-8) were identified following OT-101 therapy. Suppression
of TGF-beta signaling by OT-101 led to upregulation of IL-8, IL-15, IP-10, and
HGF. Protein-protein interaction networks constructed using STRING10 algorithm
identified a relationship between IL-8, IL-15, and TGF-beta receptor type 2
inhibition. The mixed analysis of covariance model that examined the levels of 19
cyto-/chemokines with OS as the covariate at each of the time points resulted in
IL-8 and IL-15 exhibiting a significant association with OS during Cycle 1 of
therapy. In the whole-blood culture model, the cytokines with the most pronounced
increase after OT-101 treatment were IL-1beta, IL-8, and MCP-1. Conclusion: No
consistent responses in cyto-/chemokine levels were observed due to OT-101
treatment. Levels of IL-8 and IL-15 during Cycle 1 were positively associated
with OS across 12 patients with PAC and served as potential biomarkers for
treatment outcome following OT-101 therapy.
PMID- 29785127
TI - Long noncoding RNA GAPLINC promotes gastric cancer cell proliferation by acting
as a molecular sponge of miR-378 to modulate MAPK1 expression.
AB - Background: Dysregulated long noncoding RNAs (lncRNAs) and microRNAs (miRNAs)
play key roles in the development of human cancers. LncRNA GAPLINC has been
reported to be increased in gastric cancer (GC) tissues. Methods: Real-time PCR
assays were used to measure expressions of GAPLINC, miR-378, and MAPK1 mRNA.
Western blot assays were employed to examine MAPK1 protein expression. Cell
proliferation and cell cycle were measured by CCK-8 and propidium iodide
detection assays, respectively. The interaction between GAPLINC and miR-378 was
confirmed by site-directed mutagenesis and luciferase assays. Luciferase assays
were also used to study whether GAPLINC was able to act as a molecular sponge of
miR-378 to modulate MAPK1 expression. Results: The lncRNA GAPLINC expression was
upregulated and positively correlated with MAPK1 expression in gastric cancer
tissues and cells. Additionally, lncRNA GAPLINC promoted the expression of MAPK1
and the enhancement of GC cell proliferation and cell cycle progression by LncRNA
GAPLINC was dependent on MAPK1 in vitro and in vivo. Consequently, we found that
miR-378 expression was inversely correlated with GAPLINC expression in GC tissues
and cells. miR-378 could directly bind to GAPLINC and decreased GAPLINC
expression, thus reducing MAPK1 expression. Furthermore, overexpression of miR
378 inhibited MAPK1 expression, cell proliferation, and cell cycle progression of
gastric cancer cells, while these effects were abrogated by upregulating lncRNA
GAPLINC expression. Conclusion: Taken together, lncRNA GAPLINC promotes gastric
cancer cell proliferation by acting as a molecular sponge of miR-378 to modulate
MAPK1 expression.
PMID- 29785128
TI - Methacholine challenge testing: comparative pharmacology.
AB - Standardization of the methacholine inhalation challenge, the most common direct
bronchoprovocation test, is important. One aspect of standardization is the
appropriate washout period for pharmacologic agents which affect the response.
This review summarizes the available data on pharmacologic inhibition of the
methacholine response. Specific (anti-muscarinic) agents demonstrate marked
bronchoprotection (up to 7 days for the long-acting drugs) which lasts longer
than the duration of bronchodilation. The functional antagonist (beta 2 agonist
class of medications) shows marked, but less, bronchoprotection which is
relatively short lived and is similar to the duration of bronchodilator efficacy.
Tolerance develops quickly, especially to the long-acting agents. Single doses of
controller medications, such as inhaled corticosteroids (ICS) and leukotriene
receptor antagonists, have no effect on the methacholine test, while regular use,
at least for ICS, has a modest protective effect whose duration is uncertain and
likely variable. Theophylline has a small effect and H1 blockers (all
generations) have a negligible effect.
PMID- 29785129
TI - Performance of the Abbott RealTime MTB RIF/INH resistance assay when used to test
Mycobacterium tuberculosis specimens from Bangladesh.
AB - Introduction: The Abbott RealTime MTB RIF/INH Resistance Assay (RT MTB RIF/INH)
is an assay for the detection of rifampicin (RIF)- and/or isoniazid (INH)
resistant Mycobacterium tuberculosis (MTB). The assay can be used to test sputum,
bronchial alveolar lavage, and N-Acetyl-L-Cysteine (NALC)/NaOH pellets prepared
from these samples. The assay can be used in direct testing mode, or in reflex
mode following a MTB positive result produced by its companion assay, Abbott RT
MTB. Methods: In this study, the direct testing mode was used to test paired
sputum and NALC/NaOH pellets prepared from sputum collected from Bangladesh TB
patients. One hundred and thirty two paired samples were tested. Results: The RT
MTB RIF/INH inhibition rate was 0%. One hundred and twenty-two paired samples had
results above the assay limit of detection and were analyzed by comparing with
results from phenotypic drug sensitivity testing, GeneXpert MTB/RIF (Xpert), and
MTBDR plus (Hain). RT MTB RIF/INH results were in good agreement with those of
GeneXpert and Hain. Conclusion: The ability of this assay to detect RIF and INH
resistance may contribute to the global control of multidrug resistant
tuberculosis.
PMID- 29785130
TI - Human herpesvirus-6 pneumonitis in a patient with follicular lymphoma following
immunochemotherapy with rituximab.
AB - Primary infection with human herpesvirus-6 (HHV-6) commonly occurs at an early
age in children, most often at 3 years of age, and is associated with childhood
diseases, such as exanthema subitum, hepatitis, febrile convulsions, or
encephalitis. However, the virus occasionally reactivates from its latent state
in immunosuppressed adults, especially post-transplant, resulting in serious
disseminated, sometimes life-threatening end-organ complications. Herein, we
report a case of a 68-year-old man with relapsed follicular lymphoma who
developed HHV-6 pneumonitis. Eighteen months after achieving second complete
remission by salvage immunochemotherapy with rituximab, the patient was
complicated by pneumonia, with chest computed tomography finding showing
disseminated nodular shadows with ground-glass opacity in both lungs. While
empiric antibiotic and antifungal therapies did not improve the pneumonia,
polymerase chain reaction-based viral screening tests on his bronchoalveolar
lavage fluid detected the presence of HHV-6 DNA, and ganciclovir treatment
quickly resolved the pneumonia, indicating that he suffered from HHV-6
pneumonitis. He had no other HHV-6-related end-organ damage, such as
encephalitis. This case suggests that, although extremely rare, HHV-6
reactivation should be considered as one of the candidate pathogens for pulmonary
complications of uncertain etiology in patients who have been treated with
intensive immunosuppressive chemotherapy, even without hematopoietic stem cell
transplantation. Furthermore, polymerase chain reaction-based viral screening
testing on bronchoalveolar lavage fluid is a powerful diagnostic tool for
pneumonitis due to viral reactivation, including HHV-6 reactivation.
PMID- 29785131
TI - Epidemiology, susceptibility, and risk factors for acquisition of MDR/XDR Gram
negative bacteria among kidney transplant recipients with urinary tract
infections.
AB - Background: Multiple drug resistant/extensively drug resistant (MDR/XDR) Gram
negative urinary tract infections (UTIs) represent a growing threat to kidney
transplant recipients. This retrospective study aimed to assess the incidence and
microbiological profile of MDR/XDR Gram-negative UTIs, to identify drug
susceptibility of MDR/XDR bacteria, and to determine the potential risk factors
for MDR/XDR UTIs in kidney recipients. Materials and methods: During the study
period, 1569 patients underwent consecutive kidney transplantation in two
transplantation centers. We studied the demographics, clinical characteristics,
and urine culture data from kidney recipients with MDR/XDR Gram-negative UTIs,
and verified the risk factors associated with MDR/XDR infections. Results: Eighty
one kidney recipients yielded 88 episodes of MDR/XDR Gram-negative UTIs with five
patients (6.2%) succumbing to all-cause in-hospital mortality. The most
frequently isolated bacterium was Escherichia coli (62.5%). Almost all MDR/XDR
Gram-negative bacteria were resistant to first- and second-generation
cephalosporin, and monocyclic beta-lactam. They were relatively sensitive to
meropenem, amikacin, and tigecycline. As for the 12 XDR bacteria, all of them
were resistant to meropenem and 25% of them were resistant to tigecycline. All
XDR Acinetobacter baumannii and E. coli were susceptible to tigecycline.
Nosocomial infection (odds ratio [OR] = 11.429, 95% CI = 1.311-99.625, P = 0.027)
was the only independent predictor of MDR/XDR Gram-negative UTIs. Non-fermenting
bacterial infection (OR = 20.161, 95% CI = 3.409-119.240, P = 0.001), polycystic
kidney disease (OR = 39.871, 95% CI = 1.979-803.384, P = 0.016), and serum
creatinine level > 1.5 mg/dL (OR = 8.688, 95% CI = 1.354-55.747, P = 0.023) were
significantly different between XDR and MDR Gram-negative UTIs. Conclusion:
Meropenem, amikacin, and/or tigecycline can be prescribed for MDR/XDR Gram
negative infections. Tigecycline can also be prescribed for XDR A. baumannii and
E. coli. Nosocomial infection was a risk factor for MDR/XDR Gram-negative UTIs,
while XDR UTIs were associated with non-fermenting bacterial infection,
polycystic kidney disease, and impaired renal function.
PMID- 29785132
TI - Influence of FTO rs9939609 polymorphism on appetite, ghrelin, leptin, IL6,
TNFalpha levels, and food intake of women with morbid obesity.
AB - Background: The fat mass and obesity-related (FTO) gene has a strong relationship
with obesity, extreme obesity and inflammatory state, and may also be associated
with food intake regulation. Objective: The aim of the present study was to
evaluate the influence of the rs9939609 single-nucleotide polymorphism of the FTO
gene on appetite, ghrelin, leptin, interleukin 6 (IL6), tumor necrosis factor
alpha (TNFalpha) levels and food intake of morbidly obese women. Materials and
methods: The study comprised 70 women, aged between 20 and 48 years, from Rio de
Janeiro, Brazil. The participants were selected according to the body mass index
between 40 and 60 kg/m2. Anthropometric and biochemical data were measured during
fasting. Hormones and inflammatory data were measured before and after the
participants ate an isocaloric meal. Dietary records were calculated and analyzed
using a nutritional assessment program. Visual analog scales were used for
behaviors of the sensations of appetite and food preferences. The FTO rs9939609
variant was genotyped using real-time polymerase chain reaction. Results:
Participants with the AA genotype had lower values of ghrelin and IL6 and higher
values of leptin than those with TT and TA in the postprandial period. Comparing
the plasma concentrations of ghrelin, insulin, IL6 and TNFalpha intragenotypes,
it was observed that those with TT had decreased leptin and increased IL6 at the
postprandial period. Subjects with TA showed increased postprandial IL6, and
those with AA had decreased postprandial ghrelin. There was no difference in
TNFalpha intra- and intergenotypes. The postprandial sensations of hunger were
lower in AA than those with TT. There were differences between genotypes
regarding ingested grams of protein by weight, cholesterol, B3, B5, B6 and B12
vitamins, and selenium potassium and sodium minerals. Conclusion: These findings
suggest that genetics may exert an influence on physiologic factors and might
alter eating behavior.
PMID- 29785133
TI - Are quantitative histopathologic criteria capable of differentiating psoriasis
from chronic dermatitis?
AB - Background: There are overlapping features in histopathologic characteristics of
psoriasis and chronic dermatitis, which sometimes make the correct diagnosis
difficult. Objective: The aim of this study was to compare the histopathologic
diagnostic features of psoriasis with chronic dermatitis quantitatively. Patients
and methods: In this study, 30 patients with psoriasis and 30 patients with
chronic dermatitis were included. Diagnosis of psoriasis or chronic dermatitis
was based on clinicopathologic correlation. Photos of histopathologic slides were
provided by LABOMED Digital Camera and LABOMED Microscope. Width and length of
rete ridges, minimal thickness of suprapapillary plates, thickness of epidermis,
thickness of hyperkeratotic layer, and minimal thickness of granular layer were
determined using Pixel Pro software on micrometer scale. Results: Suprapapillary
plates and granular layer were significantly thicker in patients with chronic
dermatitis compared with patients with psoriasis. The rete ridges were longer and
wider, the epidermis was thicker, and the parakeratotic layer was also thicker in
patients with psoriasis compared with patients with chronic dermatitis, and the
difference between these corresponding parameters in the two groups was
statistically significant except for thickness of parakeratotic layer. Moreover,
the mean ratio of minimal suprapapillary plate's thickness to epidermal thickness
and the mean ratio of minimal granular layer's thickness to parakeratotic layer's
thickness were significantly higher in patients with chronic dermatitis compared
with those with psoriasis. Conclusion: Despite overlapping histopathologic
features in psoriasis and chronic dermatitis, quantitative histopathologic
criteria might be valuable for differentiation of these two conditions. Ratios of
minimal suprapapillary plate's thickness to epidermal thickness and minimal
granular layer's thickness to parakeratotic layer's thickness can be particularly
helpful in this regard.
PMID- 29785134
TI - The impact of acne and facial post-inflammatory hyperpigmentation on quality of
life and self-esteem of newly admitted Nigerian undergraduates.
AB - Background: Acne and facial post-inflammatory hyperpigmentation are relatively
common clinical conditions among adolescents and young adults, and inflict
psychosocial injuries on sufferers. Objective: To document the psychosocial and
self-esteem implications of acne and facial hyperpigmentation on newly admitted
undergraduates. Materials and methods: A cross-sectional survey was conducted
among 200 undergraduates. Demographics and clinical characteristics were obtained
and acne was graded using the US Food and Drug Administration 5-category global
system of acne classification. Participants completed the Cardiff Acne Disability
Index (CADI) and the Rosenberg self-esteem scale (RSES), and data were analyzed
using SPSS 20. Results: Mean age of acne onset was 16.24 +/- 3.32 years. There
were 168 (84.0%) cases categorized as almost clear, 24 (12.0%) as mild acne, 4
(2.0%) as moderate acne and 4 (2.0%) as severe acne. Acne with facial
hyperpigmentation, compared to acne without hyperpigmentation, was associated
with significant level of anxiety in 30 participants (26.5% vs 10.3%, p=0.004)
and emotional distress in 40 (35.4% vs 10.3%, p<0.001). Acne severity correlated
with total CADI score but not with total RSES score. Quality of life (QoL) was
significantly reduced among acne patients with facial hyperpigmentation (1.77+/
1.62, vs 1.07+/-1.02, p<0.001) compared to those without hyperpigmentation. Acne
and facial hyperpigmentation was associated with social life interference,
avoidance of public facilities, poor body image and self-esteem and perception of
worse disease. There was no association between gender and QoL but acne was
related to a reduction of self-worth. Low self-esteem was present in 1.5%, and
severe acne was associated with an occasional feeling of uselessness in the male
gender. Conclusion: Acne with facial hyperpigmentation induces poorer QoL and
self-esteem is impaired only in severe acne. Beyond the medical treatment of
acne, dermatologists should routinely assess the QoL and give attention to
treatment of facial post-inflammatory hyperpigmentation among people of color.
PMID- 29785135
TI - Mutation analysis of BRCA1/2 mutations with special reference to polymorphic SNPs
in Indian breast cancer patients.
AB - Background: Germline mutations BRCA1 and BRCA2 contribute almost equally in the
causation of breast cancer (BC). The type of mutations in the Indian population
that cause this condition is largely unknown. Purpose: In this cohort, 79
randomized BC patients were screened for various types of BRCA1 and BRCA2
mutations including frameshift, nonsense, missense, in-frame and splice site
types. Materials and methods: The purified extracted DNA of each referral patient
was subjected to Sanger gene sequencing using Codon Code Analyzer and Mutation
Surveyor and next-generation sequencing (NGS) methods with Ion torrent software,
after appropriate care. Results: The data revealed that 35 cases were positive
for BRCA1 or BRCA2 (35/79: 44.3%). BRCA2 mutations were higher (52.4%) than BRCA1
mutations (47.6%). Five novel mutations detected in this study were p.pro163
frameshift, p.asn997 frameshift, p.ser148 frameshift and two splice site single
nucleotide polymorphisms (SNPs). Additionally, four nonsense and one in-frame
deletion were identified, which all seemed to be pathogenic. Polymorphic SNPs
contributed the highest percentage of mutations (72/82: 87.8%) and contributed to
pathogenic, likely pathogenic, likely benign, benign and variant of unknown
significance (VUS). Young age groups (20-60 years) had a high frequency of
germline mutations (62/82;75.6%) in the Indian population. Conclusion: This study
suggested that polymorphic SNPs contributed a high percentage of mutations along
with five novel types. Younger age groups are prone to having BC with a higher
mutational rate. Furthermore, the SNPs detected in exons 10, 11 and 16 of BRCA1
and BRCA2 were higher than those in other exons 2, 3 and 9 polymorphic sites in
two germline genes. These may be contributory for BC although missense types are
known to be susceptible for cancer depending on the type of amino acid replaced
in the protein and associated with pathologic events. Accordingly, appropriate
counseling and treatment may be suggested.
PMID- 29785136
TI - Psychological factors as predictors of early postoperative pain after open
nephrectomy.
AB - Purpose: There is an increasing interest in the identification of predictors for
individual responses to analgesics and surgical pain. In this study, we aimed to
determine psychological factors that might contribute to this response. We hence
investigated patients undergoing a standardized surgical intervention (open
nephrectomy). Patients and methods: Between May 2014 and April 2015, we conducted
a prospective observational cohort study. The following psychological tests were
administered preoperatively: Mini-Mental State Examination, Amsterdam
Preoperative Anxiety and Information Scale (APAIS), Hamilton Anxiety Rating
Scale, Hamilton Depression Rating Scale, and Pain Catastrophizing Scale. The
primary outcome, postoperative pain intensity (11-point numerical rating scale,
[NRS]), was assessed in the "immediate early" (first 8 hours), "early" (12 and 24
hours), and "late early" periods (48 and 72 hours). Results: A total of 196
patients were assessed, and 150 were finally included in the study. NRS scores
improved from 4.9 (95% confidence interval [CI]: 4.7-5.1) in the "immediate
early" to 3.1 (95% CI: 2.9-3.3) in the "early" and 2.3 (95% CI: 2.1-2.5) in the
"late early" postoperative period. Most (87%) patients received intravenous
opioids, while 13% received analgesics epidurally. Repeated measures analysis of
variance indicated better pain management with epidural analgesia in the first
two postoperative periods (F=15.01, p<0.00). Postoperative pain correlated
strongly with analgesic strategy and preoperative psychological assessment.
Multiple linear regression analysis showed "expected pain" was the only predictor
in the "immediate early" phase, and "anxiety" was most important in the "early"
postoperative period. In the "late early" phase, catastrophizing was the
predominant predictor, alongside "preoperative analgesic usage" and "APAIS
anxiety". Conclusion: After open nephrectomy, epidural analgesia conveys a clear
advantage for pain management only within the first 24 hours. Moreover, as the
psychological phenotype of patients changes distinctively in the first 72
postoperative hours, psychological variables increasingly determine pain
intensity, even surpassing employed analgesic strategy as its main predictor.
PMID- 29785137
TI - Andrographolide potentiates the antitumor effect of topotecan in acute myeloid
leukemia cells through an intrinsic apoptotic pathway.
AB - Background: Topotecan (TP) is an anticancer drug acting as topoisomerase I
inhibitor that is used in the treatment of many types of cancers including
leukemia, but it has significant side effects. Andrographolide, a compound
extracted from Andrographis paniculata, was recently proven to inhibit the growth
of cancer cells and can induce apoptosis. The aim of this study is to investigate
the possible synergism between TP and andrographolide in acute myeloid cells in
vitro. Materials and methods: U937 acute myeloid leukemic cells were cultured
using Roswell Park Memorial Institute (RPMI) medium and then treated for 24 h
with TP and andrographolide prepared through the dilution of dimethyl sulfoxide
(DMSO) stocks with RPMI on the day of treatment. Cell proliferation was assessed
using cell proliferation assay upon treatment with both compounds separately and
in combination. Cell-cycle study and apoptosis detection were performed by
staining the cells with propidium iodide (PI) stain and Annexin V/PI stain,
respectively, followed by flow cytometry analysis. Western blotting was used to
assess the expression of various proteins involved in apoptotic pathways.
Results: Both TP and andrographolide showed an antiproliferative effect in a dose
dependent manner when applied on U937 cells separately; however, pretreating the
cells with andrographolide before applying TP exhibited a synergistic effect with
lower inhibitory concentrations (half-maximal inhibitory concentration). Treating
the cells with TP alone led to specific cell-cycle arrest at S phase that was
more prominent upon pretreatment combination with andrographolide. Using Annexin
V/PI staining to assess the proapoptotic effect following the pretreatment
combination showed an increase in the number of apoptotic cells, which was
supported by the Western blot results that manifested an upregulation of several
proapoptotic proteins expression. Conclusion: The pretreatment of U937 with
andrographolide followed by low doses of TP showed an enhancement in inducing
apoptosis when compared to the application of each compound separately.
PMID- 29785139
TI - Blockage of store-operated Ca2+ entry antagonizes Epstein-Barr virus-promoted
angiogenesis by inhibiting Ca2+ signaling-regulated VEGF production in
nasopharyngeal carcinoma.
AB - Background: Epstein-Barr virus (EBV) actively contributes to the pathological
process of nasopharyngeal carcinoma (NPC) by enabling NPC cells to acquire
various capacities required for their malignant biological actions. Our earlier
works demonstrated that EBV-encoded latent membrane protein 1 (LMP1) enhanced
vascular endothelial growth factor (VEGF)-mediated angiogenesis by boosting store
operated Ca2+ entry (SOCE) upon extracellular epidermal growth factor (EGF)
stimulation. However, the antagonistic effects of SOCE blockage on EBV-promoted
angiogenesis must be appropriately evaluated in vivo, and the global effect of
EBV infection on the EGF-elicited cytosolic Ca2+ signaling, which regulates VEGF
mediated angiogenesis remains to be further clarified. Materials and methods: Two
EBV-infected NPC cell lines, CNE2-EBV and HK1-EBV, along with their parental cell
lines were employed in the present study. Dynamic cytosolic Ca2+ changes were
measured in individual fluorescent Ca2+ indicator-loaded cells. Amounts of VEGF
production were determined by enzyme-linked immunosorbent assay (ELISA). Human
umbilical vein endothelial cells (HUVECs)-formed tube networks were
quantitatively evaluated as an in vitro angiogenesis assay. A mouse model
concurrently bearing EBV-positive/negative xenografts was utilized to evaluate
the tumor growth and angiogenesis in vivo. Results: EBV infection reliably
promoted transplanted tumor growth while enhancing angiogenesis. Introduction of
EBV into EBV-negative NPC cells increased the EGF-stimulated VEGF production
while amplifying the EGF-evoked Ca2+ responses. Inhibition of the EBV-boosted
Ca2+ signaling using 2-aminoethyl diphenylborinate (2-APB), a specific SOCE
inhibitor, effectively antagonized the EBV-promoted VEGF production and
endothelial tube formation in vitro. Pharmacological blockage of SOCE exhibited
anti-angiogenic effect in the EBV-positive xenografts. Conclusion: SOCE can serve
as a candidate pharmacological target for treating NPC, as blockage of the Ca2+
signaling via SOCE is a feasible strategy to suppress the EBV-driven malignant
profiles in NPC cells.
PMID- 29785140
TI - Do additional cores from cancer-suspicious lesions on transrectal ultrasound
improve prostate cancer detection including index tumors over 12-core systematic
biopsy?
AB - Objective: The efficacy of additional cores from cancer-suspicious lesions by
transrectal ultrasound (TRUS) for the detection of prostate cancer (PCa) was
assessed. Materials and methods: Data were collected from 4144 men who underwent
systematic 12-core biopsy with no cancer-suspicious lesions (Group A: 3256 cases)
or 13- or more-core (systematic 12 core + additional cores) biopsy with cancer
suspicious lesions (Group B: 888 cases) on TRUS-guided biopsy. The effect of
additional biopsy cores on the cancer detection rate was investigated. Results:
PCa was detected in 1006 (30.9%) cases in Group A and 485 (54.6%) cases in Group
B (p < 0.001). In 370/485 (76.3%) patients in Group B, cancer was detected from
the additional cores from TRUS suspicious lesions. Logistic regression analysis
showed that the number of biopsy cores was the most significant factor for cancer
detection (hazards ratio: 2.6 [2.221-3.043], p < 0.001]. Additional core biopsies
did not increase the detection rate of index tumors (p < 0.001). However, the
Gleason score of index tumors was higher than that of systematic cores (p <
0.001). Kaplan-Meier analysis showed no significant differences in survival
according to biopsy number and location of index tumors (log-rank test: p =
0.583, p = 0.165, respectively). Conclusion: Although additional core biopsies
can increase the cancer detection rate, they do not increase the detection rate
of index tumors. Biopsy core number and the location of index tumors had no
effect on biochemical outcomes.
PMID- 29785138
TI - A review of soft-tissue sarcomas: translation of biological advances into
treatment measures.
AB - Soft-tissue sarcomas are rare malignant tumors arising from connective tissues
and have an overall incidence of about five per 100,000 per year. While this
diverse family of malignancies comprises over 100 histological subtypes and many
molecular aberrations are prevalent within specific sarcomas, very few are
therapeutically targeted. Instead of utilizing molecular signatures, first-line
sarcoma treatment options are still limited to traditional surgery and
chemotherapy, and many of the latter remain largely ineffective and are plagued
by disease resistance. Currently, the mechanism of sarcoma oncogenesis remains
largely unknown, thus necessitating a better understanding of pathogenesis.
Although substantial progress has not occurred with molecularly targeted
therapies over the past 30 years, increased knowledge about sarcoma biology could
lead to new and more effective treatment strategies to move the field forward.
Here, we discuss biological advances in the core molecular determinants in some
of the most common soft-tissue sarcomas - liposarcoma, angiosarcoma,
leiomyosarcoma, rhabdomyosarcoma, Ewing's sarcoma, and synovial sarcoma - with an
emphasis on emerging genomic and molecular pathway targets and immunotherapeutic
treatment strategies to combat this confounding disease.
PMID- 29785142
TI - Misoprostol for the prevention of postpartum hemorrhage during home births in
rural Lao PDR: establishing a pilot program for community distribution.
AB - Purpose: The purpose of this study was to gather the necessary data to support
the design and implementation of a pilot program for women who are unable to
deliver in a healthcare facility in the Lao People's Democratic Republic (PDR),
by using community distribution of misoprostol to prevent postpartum hemorrhage
(PPH). The study builds on an earlier research that demonstrated both support and
need for community-based distribution of misoprostol in Lao PDR. Methods: This
qualitative study identified acceptability of misoprostol and healthcare system
needs at varying levels to effectively distribute misoprostol to women with
limited access to facility-based birthing. Interviews (n=25) were undertaken with
stakeholders at the central, provincial, and district levels and with community
members in five rural communities in Oudomxay, a province with high rates of
maternal mortality. Focus group discussions (n=5) were undertaken in each
community. Results: Respondents agreed that PPH was the major cause of
preventable maternal mortality with community distribution of misoprostol an
acceptable and feasible interim preventative solution. Strong leadership,
training, and community mobilization were identified as critical success factors.
While several participants preferred midwives to distribute misoprostol, given
the limited availability of midwives, there was a general agreement that village
health workers or other lower level workers could safely administer misoprostol.
Many key stakeholders, including women themselves, considered that these
community-level staff may be able to provide misoprostol to women for self
administration, as long as appropriate education on its use was included. The
collected data also helped identify appropriate educational messages and key
indicators for monitoring and evaluation for a pilot program. Conclusion: The
findings strengthen the case for a pilot program of community distribution of
misoprostol to prevent PPH in remote communities where women have limited access
to a health facility and highlight the key areas of consideration in developing
such a program.
PMID- 29785141
TI - Validity of a method for the self-screening of cardiovascular risk.
AB - Background: The validity of a cardiovascular risk self-screening method was
assessed. The results obtained for self-measurement of blood pressure, a point-of
care system's assessment of lipid profile and glycated hemoglobin, and a self
administered questionnaire (sex, age, diabetes, tobacco consumption) were
compared with the standard screening (gold standard) conducted by a health
professional. Methods: Crossover clinical trial on a population-based sample from
Girona (north-eastern Spain), aged 35-74, with no cardiovascular disease at
recruitment. Participants were randomized to one of the two risk assessment
sequences (standard screening followed by self-screening or vice versa).
Cardiovascular risk was estimated with the Framingham-REGICOR function.
Concordance between methods was estimated with the intraclass correlation
coefficient (ICC). Sensitivity, specificity, and positive and negative predictive
values were estimated, considering 5% cardiovascular risk as the cutoff point.
ClinicalTrials.gov Registration #NCT02373319. Clinical Research Ethic Committee
of the Parc de Salut Mar Registration #2014/5815/I. Results: The median
cardiovascular risk in men was 2.56 (interquartile range: 1.42-4.35) estimated by
standard methods and 2.25 (1.28-4.07) by self-screening with ICC=0.92 (95% CI:
0.90-0.93). In women, the cardiovascular risk was 1.14 (0.61-2.10) by standard
methods and 1.10 (0.56-2.00) by self-screening, with ICC=0.89 (0.87-0.90). The
sensitivity, specificity, and positive and negative predictive values for the
self-screening method were 0.74 (0.63-0.82), 0.97 (0.95-0.99), 0.86 (0.77-0.93),
and 0.94 (0.91-0.96), respectively, in men. In women, these values were 0.50
(0.30-0.70), 0.99 (0.98-1), 0.81 (0.54-0.96), and 0.97 (0.95-0.99), respectively.
Conclusion: The self-screening method for assessing cardiovascular risk provided
similar results to the standard method. Self-screening had high clinical
performance to rule out intermediate or high cardiovascular risk.
PMID- 29785143
TI - Pilot study of the antifibrotic effects of the multikinase inhibitor pacritinib
in a mouse model of liver fibrosis.
AB - Background: Fibrotic diseases result from an exuberant response to chronic
inflammation. Myelofibrosis is the end result of inflammation in bone, caused by
an inflammatory process triggered by production of abnormal myeloid cells driven
by mutations affecting the JAK-STAT pathway. Inflammatory cytokine overproduction
leads to increased mesenchymal cell proliferation, culminating in fibrosis.
Although JAK2 inhibitors, such as the JAK1/2 inhibitor ruxolitinib and the
JAK2/FLT3/CSF1R/IRAK1 inhibitor pacritinib suppress abnormal clone expansion in
myelofibrosis, ruxolitinib does not appear to prevent or reverse bone-marrow
fibrosis in most patients. In two Phase III clinical trials, pacritinib, however,
demonstrated improvements in platelet counts and hemoglobin and reductions in
transfusion burden in some patients with baseline cytopenias, suggesting it may
improve bone-marrow function. Unlike ruxolitinib, pacritinib suppresses signaling
through IRAK1, a key control point for inflammatory and fibrotic signaling.
Purpose: To investigate potential antifibrotic effects of pacritinib in an animal
model of liver fibrosis relevant to the observed course of human disease.
Methods: Pacritinib, negative control (vehicle), and positive control (the
angiotensin 2-receptor antagonist and PPARgamma partial agonist telmisartan) were
assessed in the murine Stelic animal model, which mimics the clinically observed
progression from hepatic steatosis to nonalcoholic steatohepatitis, liver
fibrosis, and hepatocellular carcinoma. Histopathological analysis used
hematoxylin and eosin staining. Body and liver weight changes, nonalcoholic fatty
liver disease activity scores, and plasma cytokeratin 18 fragment levels (a
biomarker of hepatic necrosis) were measured. Results: Pacritinib-treated mice
had significantly (P<0.01) reduced fibrotic areas in liver compared to vehicle
control and significantly (P<0.05) lower levels of CK18. The antifibrotic effect
of pacritinib was comparable to that of telmisartan, but without significant
effects on fat accumulation. Conclusion: These results, the first to demonstrate
hepatic antifibrotic effects for pacritinib in an animal model of liver disease,
provide preliminary support for potential clinical applications of pacritinib in
fibrotic diseases other than myelofibrosis.
PMID- 29785145
TI - Linking product design to consumer behavior: the moderating role of consumption
experience.
AB - Background: Previous investigations of product design broadly link aesthetic,
functional, and symbolic designs to sales growth, high turnover, and market
share. However, the effect of product design dimensions on consumer willingness
to-buy (WTB) and word-of-mouth (WOM) is virtually ignored by consumer
researchers. Similarly, whether the consumption experience can differentiate the
effect of the three product design dimensions on WTB and WOM is completely
unknown. Using categorization theory as a lens, our study aims to explore the
effect of product design dimensions on consumer WTB and WOM directly and
indirectly through the moderation of the consumption experience. Methods: A
convenience sample of (n=357) Chinese and (n=277) Korean shoppers was utilized to
test the hypotheses in the fashion apparel industry. Results: Our results showed
that the aesthetic design was more prominent in capturing consumer WTB for both
Chinese and Koreans. Similarly, the aesthetic design was more salient in
enhancing WOM for Chinese, whereas the symbolic design was more promising in
terms of improving WOM for Koreans. Further, our moderation results demonstrated
that the consumption experience could differentiate the effects of the three
product design dimensions on consumer WTB and WOM for Chinese. By contrast, the
consumption experience could only interact with the aesthetic design to improve
WOM for South Koreans. Conclusion: To the best of authors' knowledge, the present
study is one of the initial attempts to link three product design dimensions with
consumer WTB and WOM in the fashion apparel context and explored whether
consumption experience competes or complement with three product design
dimensions to shape consumer WTB and WOM for Chinese and Koreans.
PMID- 29785144
TI - The neuropsychology of emerging psychosis and the role of working memory in
episodic memory encoding.
AB - Background: Episodic memory encoding and working memory (WM) deficits are among
the first cognitive signs and symptoms in the course of schizophrenia spectrum
disorders. However, it is not clear whether the deficit pattern is generalized or
specific in nature. We hypothesized that encoding deficits at an early stage of
the disease might be due to the more fundamental WM deficits. Methods: We
examined episodic memory encoding and WM by administering the California Verbal
Learning Test, a 2-back task, and the Wisconsin Card Sorting Test in 90 first
episode psychosis (FE) patients and 116 individuals with an at-risk mental state
for psychosis (ARMS) compared to 57 healthy subjects. Results: Learning progress,
but not span of apprehension, was diminished to a similar extent in both the ARMS
and the FE. We showed that this was due to WM impairment by applying a structural
equation approach. Conclusion: Thus, we conclude that verbal memory encoding
deficits are secondary to primary WM impairment in emerging psychosis.
PMID- 29785146
TI - Provider use of a participatory decision-making style with youth and caregivers
and satisfaction with pediatric asthma visits.
AB - Background: We conducted a randomized controlled trial to test the effectiveness
of an asthma question prompt list with video intervention to engage the youth
during clinic visits. We examined whether the intervention was associated with 1)
providers including youth and caregiver inputs more into asthma treatment
regimens, 2) youth and caregivers rating providers as using more of a
participatory decision-making style, and 3) youth and caregivers being more
satisfied with visits. Methods: English- or Spanish-speaking youth aged 11-17
years with persistent asthma and their caregivers were recruited from four
pediatric clinics and randomized to the intervention or usual care groups. The
youth in the intervention group watched the video with their caregivers on an
iPad and completed a one-page asthma question prompt list before their clinic
visits. All visits were audiotaped. Generalized estimating equations were used to
analyze the data. Results: Forty providers and their patients (n=359)
participated in this study. Providers included youth input into the asthma
management treatment regimens during 2.5% of visits and caregiver input during
3.3% of visits. The youth in the intervention group were significantly more
likely to rate their providers as using more of a participatory decision-making
style (odds ratio=1.7, 95% confidence interval=1.1, 2.5). White caregivers were
significantly more likely to rate the providers as more participatory (odds
ratio=2.3, 95% confidence interval=1.2, 4.4). Youth (beta=4.9, 95% confidence
interval=3.3, 6.5) and caregivers (beta=7.5, 95% confidence interval=3.1, 12.0)
who rated their providers as being more participatory were significantly more
satisfied with their visits. Youth (beta=-1.9, 95% confidence interval=-3.4,
0.4) and caregivers (beta=-8.8, 95% confidence interval=-16.2, -1.3) who spoke
Spanish at home were less satisfied with visits. Conclusion: The intervention did
not increase the inclusion of youth and caregiver inputs into asthma treatment
regimens. However, it did increase the youth's perception of participatory
decision-making style of the providers, and this in turn was associated with
greater satisfaction.
PMID- 29785147
TI - Selection as a learning experience: an exploratory study.
AB - Introduction: Research on selection for medical school does not explore selection
as a learning experience, despite growing attention for the learning effects of
assessment in general. Insight in the learning effects allows us to take
advantage of selection as an inclusive part of medical students' learning process
to become competent professionals. The aims of this study at Radboud University
Medical Center, the Netherlands, were 1) to determine whether students have
learning experiences in the selection process, and, if so, what experiences; and
2) to understand what students need in order to utilize the learning effects of
the selection process at the start of the formal curriculum. Materials and
methods: We used focus groups to interview 30 students admitted in 2016 about
their learning experiences in the selection process. Thematic analysis was used
to explore the outcomes of the interviews and to define relevant themes. Results:
In the selection process, students learned about the curriculum, themselves,
their relation to others, and the profession they had been selected to enter,
although this was not explicitly perceived as learning. Students needed a
connection between selection and the curriculum as well as feedback to be able to
really use their learning experiences for their further development. Discussion:
Medical school selection qualifies as a learning experience, and students as well
as medical schools can take advantage of this. We recommend a careful design of
the selection procedure, integrating relevant selection learning experiences into
the formal curriculum, providing feedback and explicitly approaching the
selection and the formal curriculum as interconnected contributors to students'
development.
PMID- 29785148
TI - The use of augmented reality glasses in central line simulation: "see one,
simulate many, do one competently, and teach everyone".
AB - Objective: The aim of this study was to investigate the feasibility of using
augmented reality (AR) glasses in central line simulation by novice operators and
compare its efficacy to standard central line simulation/teaching. Design: This
was a prospective randomized controlled study enrolling 32 novice operators.
Subjects were randomized on a 1:1 basis to either simulation using the augmented
virtual reality glasses or simulation using conventional instruction. Setting:
The study was conducted in tertiary-care urban teaching hospital. Subjects: A
total of 32 adult novice central line operators with no visual or auditory
impairments were enrolled. Medical doctors, respiratory therapists, and sleep
technicians were recruited from the medical field. Measurements and main results:
The mean time for AR placement in the AR group was 71+/-43 s, and the time to
internal jugular (IJ) cannulation was 316+/-112 s. There was no significant
difference in median (minimum, maximum) time (seconds) to IJ cannulation for
those who were in the AR group and those who were not (339 [130, 550] vs 287 [35,
475], p=0.09), respectively. There was also no significant difference between the
two groups in median total procedure time (524 [329, 792] vs 469 [198, 781],
p=0.29), respectively. There was a significant difference in the adherence level
between the two groups favoring the AR group (p=0.003). Conclusion: AR simulation
of central venous catheters in manikins is feasible and efficacious in novice
operators as an educational tool. Future studies are recommended in this area as
it is a promising area of medical education.
PMID- 29785149
TI - The pregnant female surgical resident.
AB - Background: Surgery continues to be an intense, time-consuming residency. Many
medical students decide against surgery as a profession due to the long work
hours and family strain. The pregnant female surgical resident has an added
stress factor compared to her male counterpart. Methods: We distributed an
electronic, online 26-question survey to 32 general surgery programs in the
southwestern region of the United States. Each program distributed our survey to
the female surgical residents who had been pregnant during residency in the last
5 years. Each program was re-contacted 6 weeks after the initial contact. Most
questions were in a 5-point Likert scale format. The responses were collected and
analyzed using the Survey Monkey website. Results: An unvalidated survey was sent
to 32 general surgery programs and 26 programs responded (81%). Each program was
asked for the total number of possible responses from female residents that met
our criteria (60 female residents). Seven of the programs (27%) stated that they
have had zero residents pregnant. We had 22 residents respond (37%). Over half of
the residents (55%) were pregnant during their 2nd or 3rd year of residency, with
only 18% pregnant during a research year. Thirty-one percent had a lower American
Board of Surgery In-Training Exam (ABSITE) score. Ninety percent of the residents
were able to take 4 weeks or more for maternity leave. Most of the residents
(95%) stated that they would do this again during residency given the
opportunity, but many of the residents felt that returning back to work with a
child at home was the most difficult part. Conclusion: Our preliminary study
shows that the programs surveyed were accommodating to the female surgical
resident. Nevertheless, despite adequate support from their program and an
overall positive experience, many residents indicated that they had a decline in
their education and performance.
PMID- 29785150
TI - "Flipped classroom" for academic and career advising: an innovative technique for
medical student advising.
AB - Introduction: Career advising for medical students can be challenging for both
the student and the adviser. Our objective was to design, implement, and evaluate
a "flipped classroom" style advising session. Methods: We performed a single
center cross-sectional study at an academic medical center, where a novel flipped
classroom style student advising model was implemented and evaluated. In this
model, students were provided a document to review and fill out prior to their
one-on-one advising session. Results: Ninety-four percent (95% CI, 88%-100%) of
the medical students surveyed felt that the advising session was more effective
as a result of the outline provided and completed before the session and that the
pre-advising document helped them gain a better understanding of the content to
be discussed at the session. Conclusion: Utilization of the flipped classroom
style advising document was an engaging advising technique that was well received
by students at our institution.
PMID- 29785151
TI - Non-alcoholic fatty liver disease: an update on diagnosis.
AB - Background and aim: The non-alcoholic fatty liver disease (NAFLD) and its sub
entity, the non-alcoholic steatohepatitis (NASH) represent a field of a
tremendous progress in recent years. Clinicians need to remain updated with new
data on pathogenesis and therapy. The present mini review aims to present some
new scientific reports on the diagnosis of NAFLD and NASH for clinical
practitioners. Methods: A systematic literature search of the main international
databases was performed. We looked for seminal and innovative papers published in
main international languages. A narrative review of the topic was consequently
written. Results: This review describes new data on the diagnosis of NAFLD
including NASH. Liver punction biopsy remains the gold standard. However many
patients and clinicians prefer to use noninvasive methods. We present the
serological tests and the imaging methods used to diagnose inflammation and
fibrosis occurring in NAFLD and NASH. Conclusions: NAFLD-NASH are multifaceted
entities that have to be diagnosed and treated by skilled and informed
practitioners.
PMID- 29785152
TI - Association of midline discrepancy with tempromandibular joint disorder. A
systematic review.
AB - Aim: The aim is to evaluate the association between midline discrepancies and
tempromandibular disorders (TMDs). Methods: Literature search was performed by
using various search engines to include human studies in English. TMDs include a
wide variety of signs and symptoms such as pain in and around TMJ, jaw muscles,
clicking and locking of jaws, pain during mandibular movement and restricted
mandibular movements. The etiology is multifactorial, including one or several of
the following factors like severe malocclusions (increased overjet,
retroclination of incisors, cross bite, CR CO discrepancies etc), stress and
psychological factors, structural abnormalities as possible etiology. There are
controversies concerning the association between different traits of malocclusion
and TMDs. The aim of the present study was to find out any association between
signs and symptoms of TMDs with midline discrepancies, which represent an
important trait of malocclusion. Result: Of the seven studies evaluated in this
systematic review for investigating the association between midline discrepancy
and TMD, six had moderate grade (B) of evidence. Four studies of moderate grade
evidence (B) showed a significant association between the presence of midline
shift and TMDs, and the remaining studies (two) had non-significant association.
Only one study had a strong grade of evidence (A) and interestingly it denies the
presence of midline shift to be a causative factor for TMDs. So, it can be
concluded that the results are inconclusive regarding the association of midline
discrepancies with TMDs. Nonetheless, this requires concrete evidence which
necessitates further long term research into this aspect.
PMID- 29785153
TI - Prevalence of deleterious mutations among patients with breast cancer referred
for multigene panel testing in a Romanian population.
AB - Aim: Multigene panel testing for Hereditary Breast and Ovarian Cancer (HBOC)
using next generation sequencing is becoming more common in medical care.We
report our experience regarding deleterious mutations of high and moderate-risk
breast cancer genes (BRCA1/2, TP53, STK11, CDH1, PTEN, PALB2, CHEK2, ATM), as
well as more recently identified cancer genes, many of which have increased risk
but less well-defined penetrance. Methods: Genetic testing was performed in 130
consecutive cases with breast cancer referred to our clinic for surgical
evaluation and who met the 2016 National Comprehensive Cancer Network (NCCN)
criteria for genetic testing. Results: 82 patients had pathogenic/likely
pathogenic mutations and VUS mutations, and 48 were negative; 36 of the
pathogenic mutations were in the high-risk genes and 16 were in the moderate risk
genes and only 5 cases in the intermediary risk group.From the VUS mutation group
21 cases were in the intermediary risk group, 9 cases were in the moderate risk
group and only 7 cases in high risk group.The most frequent BRCA1 variant was
c.3607C>T (7 cases) followed by c.5266dupC and c.4035delA (each in 4 cases).
Regarding BRCA-2 mutations we identified c.9371A>T and c.8755-1G>A in 6 cases and
we diagnosed VUS mutations in 3 cases. Conclusion: Our study identified 2
mutations in the BRCA1 gene that are less common in the Romanian population,
c.3607C>T and c.4035delA. Both variants had particular molecular phenotypes,
c.3607C>T variant respecting the triple negative pattern of BRCA1 breast cancer
while c.4035delA were Luminal B HER positive.
PMID- 29785154
TI - Detection of coronary artery disease by reduced features and extreme learning
machine.
AB - Objective: Cardiovascular diseases generate the highest mortality in the globe
population, mainly due to coronary artery disease (CAD) like arrhythmia,
myocardial infarction and heart failure. Therefore, an early identification of
CAD and diagnosis is essential. For this, we have proposed a new approach to
detect the CAD patients using heart rate variability (HRV) signals. This approach
is based on subspaces decomposition of HRV signals using multiscale wavelet
packet (MSWP) transform and entropy features extracted from decomposed HRV
signals. The detection performance was analyzed using Fisher ranking method,
generalized discriminant analysis (GDA) and binary classifier as extreme learning
machine (ELM). The ranking strategies designate rank to the available features
extracted by entropy methods from decomposed heart rate variability (HRV) signals
and organize them according to their clinical importance. The GDA diminishes the
dimension of ranked features. In addition, it can enhance the classification
accuracy by picking the best discerning of ranked features. The main advantage of
ELM is that the hidden layer does not require tuning and it also has a fast rate
of detection. Methodology: For the detection of CAD patients, the HRV data of
healthy normal sinus rhythm (NSR) and CAD patients were obtained from a standard
database. Self recorded data as normal sinus rhythm (Self_NSR) of healthy
subjects were also used in this work. Initially, the HRV time-series was
decomposed to 4 levels using MSWP transform. Sixty two features were extracted
from decomposed HRV signals by non-linear methods for HRV analysis, fuzzy entropy
(FZE) and Kraskov nearest neighbour entropy (K-NNE). Out of sixty-two features,
31 entropy features were extracted by FZE and 31 entropy features were extracted
by K-NNE method. These features were selected since every feature has a different
physical premise and in this manner concentrates and uses HRV signals information
in an assorted technique. Out of 62 features, top ten features were selected,
ranked by a ranking method called as Fisher score. The top ten features were
applied to the proposed model, GDA with Gaussian or RBF kernal + ELM having
hidden node as sigmoid or multiquadric. The GDA method transforms top ten
features to only one feature and ELM has been used for classification. Results:
Numerical experimentations were performed on the combination of datasets as NSR
CAD and Self_NSR- CAD subjects. The proposed approach has shown better
performance using top ten ranked entropy features. The GDA with RBF kernel + ELM
having hidden node as multiquadric method and GDA with Gaussian kernel + ELM
having hidden node as sigmoid or multiquadric method achieved an approximate
detection accuracy of 100% compared to ELM and linear discriminant analysis
(LDA)+ELM for both datasets. The subspaces level-4 and level-3 decomposition of
HRV signals by MSWP transform can be used for detection and analysis of CAD
patients.
PMID- 29785155
TI - The volume of the cerebellum in the second semester of gestation.
AB - Background and aims: The cerebellum ("little brain"), the largest part of hind
brain, lies in the posterior cranial fossa, beneath the occipital lobe and dorsal
to the brainstem. It develops over a long period: it is one of the first
structures in the brain to begin to differentiate, but one of the last to mature.
The use of ultrasonography has significantly improved the evaluation of fetal
growth and development and has permitted prenatal diagnosis of a variety of
congenital malformations.The aim of our study was to evaluate the cerebellar
growth and development using 2 different measuring techniques: microMRI and
ultrasound technique. The cerebellum measurements were related to gestational
age. Methods: We used 14 human fetuses corresponding to 15-28 gestational weeks,
immersed in a 9% formalin solution. Magnetic Resonance Imaging (MRI) was
performed by employing a Bruker BioSpec 70/16USR scanner (Bruker BioSpin MRI
GmbH, Ettlingen, Germany), operated at 7.04 Tesla for cerebellar volume
measurement. Ultrasonographic measurements of the cerebellum diameter were
performed on 14 pregnant women, 15 - 28 gestational weeks. Ultrasound scan used 5
10 MHZ for transvaginal approach. Taking into consideration the values of the
cerebellum dimensions and considering the general shape of the cerebellum as a
transverse ellipsoid, the volume of the cerebellum was calculated by a
mathematical formula for ellipsoid volume. Results: The study correlates the
measurements from the microMRI study with the ultrasounds data and the results
are superposable. Both established the exponential volume growth after the 22-23
GW. We used the ellipsoid volume formula for the cerebellar volume using the half
of the three diameters of the cerebellum determined by ultrasound
measurements:Cerebellar Volume = Ellipsoid volume = 3/4 pi r1 r2 r3. Conclusion:
There is a linear correlation between the microMRI measurements and ultrasound
determinations. Based on all collected data we could apply an easy formula to
calculate the volume of cerebellum, a useful criterion in the evaluation of the
cerebellar development and the appreciation of the gestational age.
PMID- 29785156
TI - Correlations of aldehyde dehydrogenase-1 (ALDH1) expression with traditional
prognostic parameters and different molecular subtypes of breast carcinoma.
AB - Background and aim: Breast cancer, a heterogeneous disease, is the most common
cause of cancer-related death in women worldwide. Despite considerable
developments in treatment modalities, a subset of patients with advanced-stage
breast carcinoma display poor prognosis. Breast cancer heterogeneity and risk of
recurrence could be explained with the help of cancer stem cell hypothesis. Stem
cells have the capacity to self-renew and differentiate into multiple cell types.
Aldehyde dehydrogenase-1 (ALDH1), an enzyme responsible for the oxidation of
intracellular aldehydes, contributes to normal and tumor stem cell
differentiation. Invasion and metastasis in breast cancer are found to be
mediated by a subpopulation of tumor cells which exhibit stem cell-like features
and express ALDH1.The aim was to document ALDH1 expression in breast carcinoma
and find its association with other clinico-pathologic prognostic parameters.
Study design: This was a cross-sectional observational study. Methods: A total of
62 patients with breast carcinoma undergoing mastectomy were included in this
study. The tumors were classified into molecular subtypes by assessing
immunohistochemical (IHC) expression of ER, PgR, HER2 and Ki-67 according to St.
Gallen Consensus Conference 2013. ALDH1 expression was studied by IHC and
correlated with clinicoathological parameters. Statistical analysis: Statistical
analysis was done using Graph Pad software (Prism 5 version) for Windows 7. A p
value <0.05 was considered statistically significant. Results and analysis: Out
of 62 tumors, 35 tumors (56.4%) showed ALDH1 positivity. ALDH1 expression was
significantly associated with larger size, lymph node involvement, higher grade,
higher stage and HER2+ or triple negative tumors. Conclusion: This study suggests
that ALDH1 expression is associated with poor prognostic parameters and
aggressive tumor behavior. Larger population-based prospective trials on Indian
patients are required to validate these results.
PMID- 29785157
TI - Cancer risk associated with living at high altitude in Ecuadorian population from
2005 to 2014.
AB - Background and aims: Cancer is a leading cause of death in Ecuador with high
social and economic impact. This study aims to determinate the influence of
living at a high altitude on the risk of developing or dying from cancer among
the Ecuadorian population. Methods: This is an ecological and epidemiological
analysis of cancer mortality and prevalence rates, based on national data from
the Ecuadorian National Statistics and Census Institute, corresponding to the
period between 2005 and 2014. This study includes the analysis of various types
of cancer: gastric, colorectal, hepatic/bile duct, breast, uterine/cervix, and
lymphatic/hematopoietic, using rates of mortality and prevalence. Additionally,
the association between the risk of getting or dying from cancer and living at
high altitude was investigated. This comparison was made between the population
living in Highlands, over 2000 meters above sea level, and low-lying regions.
Results: Living at high altitude was associated with a higher prevalence of
cancer and also with a high mortality rate due to cancer. Risk of getting cancer
was related to living at a higher altitude, as well as an increased risk of death
by cancer: gastric (OR:1.204; p<0.001), colorectal (OR:1.421; p<0.001),
hepatic/bile duct (OR:1.184; p<0.001), breast (OR:1.067; p=0.030), or
lymphatic/hematopoietic neoplasms (OR:1.135; p<0.001). Conclusions: Through an
epidemiologic analysis, the association between developing or dying from cancer
and living at high altitude was obtained. However, further researche is needed to
clarify these findings, something that could have a substantial impact on cancer
prevention.
PMID- 29785158
TI - Obtaining adequate surgical margin status in breast-conservation therapy:
intraoperative ultrasound-guided resection versus specimen mammography.
AB - Background and aim: The purpose of breast-conserving surgery (BCS) for women with
cancer is to perform an oncological radical procedure with disease-free margins
at the final histological assessment and with the best aesthetic result possible.
Intraoperative resected specimen ultrasound and intraoperative resected specimen
mammography may reduce the rates of positive margins and reexcision among
patients undergoing conserving therapy. Our objective is to compare the two
methods with the histopathological results for a preset cut off and asses which
parameters can influence the positive margin status. Method: A prospective study
was performed on 83 patients who underwent breast conservation surgery for early
breast cancer (pT1-3a pN0-1 M0) between 2014 and 2016. After excision the
specimen was oriented in the operating room by the surgeon. Metallic clips and
threads were placed on margins: one clip and the long thread at 12 o'clock, two
clips and the short threads at 9 o'clock. The next step was intraoperative
ultrasound assessment of the specimen. For the margins under 2 mm we performed
selective margin shaving, followed by mammography to identify and document the
lesion and finally histopathological examination of the specimen with reporting
the gross and microscopic margins. The positive margins required re-excision or
boost of radiation at the posterior or anterior margins, depending on the case.
Results: We set a cut-off at 2 mm. The sensitivity and specificity of the
intraoperative margin assessment via the ultrasound method were 90.91% (95% CI
70.84-98.88%) and 67.21% (95% CI 54-78.69%) respectively. The sensitivity and
specificity of the intraoperative margin assessment via the mammographic
procedure were 45.45% (95% CI 24.39-67.79%) and 85.25% (95% CI 73.83-93.02%)
respectively. There was positive correlation between the histopathological and
intraoperative ultrasound exam (p=0.018) and negative correlation between the
histopathological exam and the post-operative mammographic exam (p=0.68). We
found a positive correlation between the positive margin status and age (<40),
preoperative chemotherapy, intraductal carcinoma, inflammatory process around the
tumor, and the immunohistochemical triple negative profile. Conclusions:
According to our results, the intraoperative ultrasound of the breast specimen
for a cutt-off at 2 mm can decrease the rates of margin positivity compared to
the mammographic procedure and has the potential to diminish the number of
subsequent undesired re-excisions.
PMID- 29785159
TI - Assessment of the quality of life and performance status in patients with oral
submucous fibrosis in central India.
AB - Background and aims: Measuring quality of life in patients with oral submucous
fibrosis is of utmost importance in assessing treatment outcomes. This study
examined the impact of oral submucous fibrosis and its treatment on the quality
of life and performance status of persons with oral submucous fibrosis. Methods:
Quality of life was measured in patients with oral submucous fibrosis using the
European Organization for Research and Treatment of Cancer Quality of Life head
and neck 35 Questionnaire (EORTC QLQ-C35); performance status was measured by
Performance Status Scale for Head and Neck Cancer Patients (PSS-HN), at four
points in time: baseline, one month, two months and three months after start of
treatment. Results: At the end of third month Group A and Group B showed 78% and
85% increase in mouth opening respectively. While the burning sensation of oral
mucosa was decreased by 72% and 88% in group A and group B respectively (Z>1.96,
P<0.05), there were significant differences in patients' quality of life and
functioning at three points in time (P < 0.05). Conclusion: The European
Organization for Research and Treatment of Cancer Quality of Life head and neck
35 Questionnaire and Performance Status Scale for Head and Neck Cancer Patients
can be used successfully in potentially malignant disorders like oral submucous
fibrosis. The results in this study will surely be a good guide forother studies
on oral submucous fibrosis.
PMID- 29785161
TI - Prevalence and psychological stress in recurrent aphthous stomatitis among female
dental students in Saudi Arabia.
AB - Introduction: Recurrent Aphthous Stomatitis (RAS) is a common oral mucosal
disorder which is characterized by recurrent ulcerations mainly confined to non
keratinized oral mucosa. Though the exact etiology is imprecise, stress and
anxiety were found to be linked with the onset of RAS. The present study was
directed to know the prevalence of RAS among female dental students in King
Khalid University, to find out the association between RAS and psychological
stress and the effectiveness of Hospital Anxiety and Depression scale (HADS) in
finding out the psychological profile of RAS patients. Method: 122 female dental
students of age group 17 to 25 years were selected for the study. Subjects with
relevant medical problems and deleterious habits were excluded. A questionnaire
comprising general stress related questions and HAD scale were used to assess
stress. Those who were giving history of ulcer were diagnosed as RAS based on the
clinical features. The questionnaire results were used for statistical analysis
and processed. Result: 14% of the total students were having RAS. 70% could
correlate the onset of ulcer with certain specific factors; stress being the
major causative agent (91%). In HAD scale, 88% of students were having anxiety
and 65% had depression; all patients with depression had anxiety. Conclusion: The
prevalence of RAS in female dental students in KKU was around 14%. There is a
strong relationship between psychological stress and RAS, as in most of the other
studies. HAD scale alone can be used for detecting the psychological factor in
RAS.
PMID- 29785160
TI - Observational study of the bisphosphonate-related osteonecrosis of jaws.
AB - Introduction: The bisphosphonate-related osteonecrosis of the jaw was first
referred to in 2003. Bisphosphonates action is focused on the osteoclasts. The
drastic inhibition of the osteoclastic function is harmful for the jaws which are
the only bones of the human skeleton in relative contact with the external
environment. The adverse effects of the bisphosphonate-related therapy include
the pathology for which they are prescribed, the atypical fractures in
pathological bone. Method: The aim of this research was to analyze the risk
factors and the treatment methods in case of osteonecrosis of the jaws. To
achieve these goals, the author analyzed the observation sheets of the patients
admitted to the Oral and Maxillofacial Surgery Clinic during the period 2010
2015. The inclusion criteria were as follows: treatment with bisphosphonates,
current or previous; the lesions of the mucous gingiva of the maxillaries
followed by exposed necrotic bone, older than 8 weeks, with no tendency of
healing; specific radiological image showing extended osteolysis with diffuse
outline or radiopacity surrounded by radio-transparence, representing the
necrotic bone sequestered; no metastasis in the necrotic maxillary bone; patient
with no medical background of cervical-facial radiations. The patients who met
these criteria were admitted in the study after signing the informed consent.
Afterwards, the information found in the notes of the observational sheet
(anamnesis, general examination and the imagistic investigation, treatment,
postoperative recovery, prescription, postoperative recommendations) were
gathered and submitted for statistic analysis. Results: Of the 20 patients in
total, 13 were women and 7 men, of ages ranging from 43 to 83. The most numerous
cases were registered in the seventh age decade. All patients included in the
study had lesions of the gingival maxillary mucosal areas with exposure of the
subjacent necrotic bone. 60% of them were under intravenous treatment with
zoledronic acid (Zometa(r)). A single patient was under oral treatment with
bisphosphonates. 19 of these 20 patients developed osteonecrosis following a
dental extraction while one case was due to the instability of the mandibular
mobile prosthesis. 61% of the patients included in the study developed a necrotic
process in the mandibular bone, 80% of the localizations were in the posterior
area. As first intention, the choice of treatment was represented by local
lavages with antiseptic solutions, general antibiotics and sequestrectomy. Of
these patients, a third had relapsed and needed radical surgery treatment.
Conclusions: Prevention of the bisphosphonate-related osteonecrosis of the jaw
represents the best method of treatment. The development of bone sequesters
damages the volume of the maxillary bone as such, reducing the chances for
prosthetic functional rehabilitation of the dento-maxillary system. An increase
in the quality of life by oral restoration of these patients may represent a
challenge.
PMID- 29785162
TI - Evaluation of chromatic changes of a nanocomposite resin using the new whitness
index.
AB - Background and aims: To evaluate the staining effects of two brands of coffee and
the bleaching efficiency of two in-office bleaching methods, upon different
opacities of a commercial nanocomposite. Methods: Twenty four specimens of each
opacity, A3 Dentin, A3 Body and A3 Enamel, were fabricated from Filtek Supreme
(3MEspe). The specimens were further divided into two groups (n=12) and were
immersed in two coffee solutions (Bio Organic Coffee Bellarom, 100% Arabica, and
Iulius Meinl Coffee), for 24 hours. Between the staining sessions, the specimens
were stored in sterile water, at 37 degrees C. Each group was further divided
into three (n=4), in order to be bleached, as follows: Group 1 - Beyond 35% in
office, for 4 applications of 15 minutes each, Group 2 - Zoom Day White 6% in
office, for 4 applications of 15 minutes each, Group 3 - Control Group, stored in
sterile water. Color values were measured with a dental spectrophotometer Vita
EasyShade 4.0 and five measurements were recorded for each sample at a time.
Lightness L*, color coordinates a* and b* were recorded, at baseline, after
staining in coffee and after bleaching. Whiteness index (WID) of the three
composite resins (A3D, A3B, A3E) in the three moments were calculated, as well as
the color difference Delta E* correspondent to the staining and bleaching
process. Data were analyzed using one-way repeated measures ANOVA and the WID
index was calculated WID (p<0.05). Univariate analysis of variance was performed
for assessing the influence of staining solution upon composite resins, as well
as for testing the effect of bleaching agents. The significance level was set at
alpha=0.05 and pairwise comparisons were adjusted by the Least Significant
Difference method. Results: The pairwise comparisons showed no significant
difference between the effects of the two bleaching agents upon the WID, meaning
that they induce almost similar color changes. The results of the univariate
ANOVA test indicated a significant effect of the composite resin and the staining
solution upon the WID (p<0.05). However, no significant interaction effect was
found between the composite resin and the staining solution (p=0.095). There was
a significant difference in the staining effect of the two coffee solutions only
for A3B and A3E composite resins (p<0.05). Conclusions: The chromatic changes of
the nanocomposite resin could be evaluated by the variation of the whiteness
index. The staining effect induced by the two types of coffee was similar. The
most effective protocol was the in-office bleaching method based on Beyond 35%.
PMID- 29785163
TI - Management of intrinsic discoloration using walking bleach technique in maxillary
central incisors.
AB - Introduction: Non-vital bleaching is a non-invasive technique to treat the
intrinsic discoloration of teeth of several etiologies. Hydrogen peroxide and
sodium perborate are commonly used bleaching agents. Aim: The aim of this case
report is to demonstrate the non-vital bleaching technique in maxillary anterior
teeth. Method: Maxillary central incisors were isolated with rubber dam and root
canal treatment was performed. Barrier space preparation was done using a heated
instrument. Glass ionomer cement was used a barrier material. Mixture of hydrogen
peroxide and sodium perborate was placed in the canal and sealed with
intermediate restorative material. After 1 week, the procedure was repeated to
achieve the desired results. Conclusion: Non-vital bleaching is a minimally
invasive procedure to restore the esthetics of a discolored non-vital tooth.
However, care should be taken to prevent any post-operative complications.
PMID- 29785164
TI - Endodontic management of mandibular second premolar with three canals.
AB - Mandibular premolar can present a complex pulp anatomy. Apart from the usual
single root and single canal a many other variations may be seen. Hence not only
a thorough knowledge of the root canal anatomy but also it's variations are
indispensable pre requisites for the success of any endodontic treatment. Good
magnification and CBCT scans will definitely be beneficial for successful
endodontic treatment. The present case provides evidence that mandibular
premolars may have more than one canal.
PMID- 29785165
TI - Thymic carcinoma - a rare case report.
AB - Introduction: Thymic carcinoma is a rare, invasive mediastinal neoplasm with a
tendency to metastasize. They constitute a heterogeneous group of tumors that
present differently in terms of both behavior and prognosis. Case report: We
present a case of thymic carcinoma in a 55-year-old male patient known to suffer
from Myasthenia gravis, whose chief complaints were fatigability, ptosis and
coughing. All electromyography studies were done along with excision biopsy to
reach the definitive diagnosis. Results and conclusion: The histopathological
diagnosis of Thymic carcinoma-Lymphoepithelial variant was established. Though no
definite staging system exists for the thymic carcinoma, prognosis of the patient
was ascertained by using Weissferdt-Moran system, Masaoka and Tsuchiya TNM
staging systems. Involvement of the pleural and the pericardial structures leads
to poor prognosis, though no distant metastases or lymph nodal metastasis were
evident.
PMID- 29785166
TI - Takotsubo syndrome induced by malignant pheochromocytoma in a patient with type 2
papillary renal cell carcinoma - a case report.
AB - The clinical presentation of the Takotsubo syndrome mimics an acute coronary
syndrome with chest pain, ischemia-like ECG changes, mild to moderate myocardial
enzyme elevation, and apical ballooning on echocardiography and ventriculography.
On coronary angiography, epicardial coronary arteries are either normal or
exhibit minimal atherosclerotic changes. Primary Takotsubo syndrome usually
occurs in postmenopausal women in whom symptoms are triggered by emotional or
physical stress, associated with catecholamine surges. Secondary Takotsubo
syndrome may have multiple causes, including an increased catecholamine release
due to pheochromocytoma. We present the case of a 56-years-old woman with
confirmed Takotsubo syndrome who was later diagnosed with pheochromocytoma and
type 2 papillary renal cell carcinoma.
PMID- 29785168
TI - Elimination of lymphatic filariasis as a public health problem from the Cook
Islands.
AB - Background: The Cook Islands has a long history of high-endemicity lymphatic
filariasis (LF) transmitted by Aedes vector mosquitoes. Though the infection
prevalence had declined between 1975 and 1999 following episodic treatment
activities, still infection was widespread with pockets of persistent infection.
Beginning in 1999, the Cook Islands embarked on a national program, in
partnership with Pacific Programme to Eliminate LF (PacELF), to eliminate LF as a
public health problem. Methods: All 12 inhabited islands were identified as
endemic, and six rounds of mass drug administration (MDA) with once-yearly,
single-dose albendazole plus diethylcarbamazine (DEC) were implemented during
2000-2006 to interrupt transmission of LF. Surveys carried out at the baseline,
mid-term, stop-MDA, and post-MDA periods assessed LF antigen (Ag) prevalence in
children and adults. Historical data, health workers' observations, and hospital
records were used to assess the trend and burden of chronic disease. Results: The
baseline Ag prevalence (1999) ranged from 2.0% in Manihiki to > 18.0% in
Aitutaki, Mitiaro, and Pukapuka, and the national average Ag prevalence was 8.6%.
MDA, carried out with a national treatment coverage over six annual rounds of MDA
ranging from 63.5 to 96.7% in different years, was stopped in 2007. By then, the
national Ag prevalence had declined to 0.27%. The post-MDA surveillance survey
results (2013-2014) showed that Ag prevalence had fallen to 0% in 11/12 islands,
and the national prevalence was only 0.03%. Chronic filarial disease had almost
entirely disappeared. Conclusion: The Cook Islands met all the criteria required
for the World Health Organization (WHO) to acknowledge elimination of LF as a
public health problem, as it did officially in 2016. This success also confirms
that LF, even when transmitted by Aedes mosquitoes that are recognized to be more
efficient than other vector species, can be eliminated as a public health problem
by six rounds of MDA.
PMID- 29785167
TI - The 3D laparoscopic approach for radical prostatectomy overcomes the hurdles of
metabolic syndrome.
PMID- 29785170
TI - Cost-Effectiveness of Olaratumab in Combination with Doxorubicin for Patients
with Soft Tissue Sarcoma in the United States.
AB - Background: Standard first-line treatments for advanced soft tissue sarcoma (STS)
have changed little for 40 years, and outcomes have been poor. Recently, the
United States (US) Food and Drug Administration conditionally approved olaratumab
in combination with doxorubicin (Olara + Dox) based on a randomized phase II
trial that reported a significant 11.8-month improvement in median survival
versus single-agent doxorubicin (Dox). The present study investigated the cost
effectiveness of Olara + Dox compared with Dox and five other standard-of-care
regimens from the US payer perspective. Methods: An economic model was
constructed to estimate costs and outcomes over patients' lifetimes from start of
therapy. Progression-free and overall survival were based on survival analysis of
patient-level data and a meta-analysis. Adverse-event rates were based on trials.
Costs were from published sources. Results: Olara + Dox resulted in an estimated
additional 1.27 life-years (LYs) compared with Dox, with an increase in total
expected lifetime costs of $133,653. The incremental cost-effectiveness ratio
(ICER) was estimated at $105,408 per LY gained; in a fully incremental analysis,
all other regimens were dominated (higher costs and lower LYs or a higher ICER).
Conclusion: Olara + Dox is cost-effective for STS treatment compared with Dox and
other standard-of-care regimens at willingness-to-pay thresholds of $150,000 per
LY and above.
PMID- 29785169
TI - Self-reported functional, communicative, and critical health literacy on
foodborne diseases in Accra, Ghana.
AB - Background: Although substantial progress has been made in reducing total
mortality resulting from foodborne diseases, diarrheal illness are still the
second most common illnesses among children. In Ghana, foodborne diseases have
consistently been among the top 20 causes of outpatient illness over the last
couple of decades. This study, therefore, examines health literacy on foodborne
diseases and the relative effects of health literacy on self-rated health.
Methods: Foodborne diseases are major causes of morbidity and mortality globally.
A mixed-method approach was used for this study. A survey questionnaire and an in
depth interview guideline were administered to samples of 401 and 30 individuals,
respectively. We undertook reliability and validity analyses. ANOVA and chi
square tests were undertaken to assess bivariate association between health
literacy and demographic variables as well as health status. Ordinal logistic
regression models were used to examine the relative effects of health literacy on
self-rated health status controlling for individual characteristics. Results: The
instrument was internally consistent (Cronbach alpha = 0.744) and valid. On
health literacy, 40% of the respondents reported not to require help when they
are given information on foodborne diseases to read by a doctor, nurse, or
pharmacist. Approximately 60% of respondents need help with completing or filling
out hospital documents. Educational level was found to be positively related to
functional health literacy. Ordinal logit regression models showed that health
literacy is a predictor of self-rated health after controlling for demographic
variables. Conclusion: Functional literacy is relatively low in the community.
There is a positive association between educational level and functional health
literacy. The study has also demonstrated the direct positive relationship
between health literacy and health status controlling for covariates. Subsequent
studies will need to examine multiple level dimensions of health literacy with
direct link between specific foodborne diseases and health literacy.
PMID- 29785171
TI - Atherosclerosis and Autoimmunity.
PMID- 29785172
TI - Graft versus Host Disease: From Basic Pathogenic Principles to DNA Damage
Response and Cellular Senescence.
AB - Graft versus host disease (GVHD), a severe immunogenic complication of allogeneic
hematopoietic stem cell transplantation (HSCT), represents the most frequent
cause of transplant-related mortality (TRM). Despite a huge progress in HSCT
techniques and posttransplant care, GVHD remains a significant obstacle in
successful HSCT outcome. This review presents a complex summary of GVHD
pathogenesis with focus on references considering basic biological processes such
as DNA damage response and cellular senescence.
PMID- 29785174
TI - Communication in mental health nursing - Bachelor Students' appraisal of a
blended learning training programme - an exploratory study.
AB - Background: It is important that mental health nursing students at Bachelor level
obtain effective communication skills. Many students dread the fact that in the
mental health field they will encounter patients and relatives with various
backgrounds and personalities. Large classes and limited teaching resources in
nursing education are challenging. To prepare students for mental health nursing
practice, a communication skills course based on the blended learning method was
developed and carried out at two different campuses.The aim of the study is to
explore Bachelor nursing students' appraisal of blended learning methods for
enhancing communication skills in mental health nursing. Methods: This study
employed an exploratory design. Teaching and information materials were available
on the learning management system (LMS). Videotaped role play training was
carried out in the Simulation Department. Data were collected after the course by
means of a questionnaire with closed and open-ended questions. The response rate
was 59.2%. Quantitative data were analysed using the Statistical package for the
Social Sciences (SPSS) and the Kruskal Wallis test, while qualitative data were
analysed by content analysis based on Graneheim and Lundman's approach. Results:
No impact of background variables was observed. Students appreciated teachers'
participation in role play and immediate feedback was considered especially
important for learning outcomes. The students perceived that their communication
skills and knowledge had improved after completing the blended learning
programme. Conclusions: According to the nursing students, blended learning is an
appropriate method for improving communication skills in preparation for mental
health nursing. Blended learning makes it possible to build flexible courses with
limited resources.
PMID- 29785176
TI - Thrombin generation in low plasma volumes.
AB - Accurate thrombin generation determination by calibrated automated
thrombinography can be sustained when reducing the plasma and reagent volumes up
to half, but not for higher reductions or plasma dilutions.
PMID- 29785173
TI - Phytochemicals That Influence Gut Microbiota as Prophylactics and for the
Treatment of Obesity and Inflammatory Diseases.
AB - Gut microbiota (GM) plays several crucial roles in host physiology and influences
several relevant functions. In more than one respect, it can be said that you
"feed your microbiota and are fed by it." GM diversity is affected by diet and
influences metabolic and immune functions of the host's physiology. Consequently,
an imbalance of GM, or dysbiosis, may be the cause or at least may lead to the
progression of various pathologies such as infectious diseases, gastrointestinal
cancers, inflammatory bowel disease, and even obesity and diabetes. Therefore, GM
is an appropriate target for nutritional interventions to improve health. For
this reason, phytochemicals that can influence GM have recently been studied as
adjuvants for the treatment of obesity and inflammatory diseases. Phytochemicals
include prebiotics and probiotics, as well as several chemical compounds such as
polyphenols and derivatives, carotenoids, and thiosulfates. The largest group of
these comprises polyphenols, which can be subclassified into four main groups:
flavonoids (including eight subgroups), phenolic acids (such as curcumin),
stilbenoids (such as resveratrol), and lignans. Consequently, in this review, we
will present, organize, and discuss the most recent evidence indicating a
relationship between the effects of different phytochemicals on GM that affect
obesity and/or inflammation, focusing on the effect of approximately 40 different
phytochemical compounds that have been chemically identified and that constitute
some natural reservoir, such as potential prophylactics, as candidates for the
treatment of obesity and inflammatory diseases.
PMID- 29785175
TI - How to fit allergen immunotherapy in the elderly.
AB - Asthma, allergic rhinitis (AR) and atopic dermatitis are very common in young
people, but in the latest decades it was increasingly recognized that also
individuals of higher ages, including the population over 65 years, are
concerned. Actually, it is now acknowledged the aging does not considerably alter
the immune response to allergens. Allergen immunotherapy (AIT) is the only
treatment that works on the causes of allergy, but elderly people are commonly
excluded from AIT, except the cases of insect sting allergy. A number of recent
studies showed that aged individuals also successfully respond to AIT for
respiratory allergy. Therefore, there is no reason to exclude elder patients from
AIT. Anyhow, clinical conditions that are considered absolute or relative
contraindications are quite frequent in this aged population, thus the
risk/benefit ratio must be carefully evaluated for each patient, taking into
account that the more frequent occurrence of co-morbidities and the consequent
need of daily-based multidrug regimen can favor adverse effects. An important
issue concern the ability of AIT, and particularly of sublingual immunotherapy,
to significantly improve the quality of life, that often is particularly impaired
in the elderly, reducing symptoms and drugs consumption.
PMID- 29785177
TI - Characterization of new microsatellite markers based on the transcriptome
sequencing of Clematis finetiana.
AB - Background: Clematis is the biggest genus in the family Ranunculaceae with about
300 species. Clematis is also a globally important commercial group of flowers,
especially in the United States and European countries. Their petals with
different colors and shapes make the genus the "Queen of the Vines". However, the
genomic information and phylogeny of Clematis based on existing molecular studies
are limited. In this paper, new microsatellites (SSR) markers were identified
from the transcriptome data of C. finetiana obtained using the Illumina paired
end sequencing technology. Results: Sequences on a total of 71,900 high-quality
unigenes with the mean length of 865 bp were produced in this study. There were
6192unigenes annotated and classified into 49 functional sub-groups in three main
ontology categories in GO (Gen Ontology) database,14,022 unigenes mapped to COGs
(Clusters of Orthologous Groups) database and classified into 25 functional
categories, and 21,494 unigenes obtained and divided into 128 pathways of KEGG
(Kyoto Encyclopedia of Genes) Database. A total of 7532 SSRs were discovered from
6337 unigenes. We randomly tested 210 primer pairs, of which 52 primer pairs were
able to generate specific products, and 19 possessed polymorphism in the 13 wild
populations of six species from Clematis, which were used as a test material.
Conclusions: The dataset of C. finetiana transcriptome and the identified new SSR
markers will promote genetic research and breeding effort in Clematis.
PMID- 29785179
TI - Nanoformulation of Brain-Derived Neurotrophic Factor with Target Receptor
Triggered-Release in the Central Nervous System.
AB - Brain-derived neurotrophic factor (BDNF) is identified as a potent
neuroprotective and neuroregenerative agent for many neurological diseases.
Regrettably, its delivery to the brain is hampered by poor serum stability and
rapid brain clearance. Here, a novel nanoformulation is reported composed of a
bio-compatible polymer, poly(ethylene glycol)-b-poly(L-glutamic acid) (PEG-PLE),
that hosts the BDNF molecule in a nanoscale complex, termed here Nano-BDNF. Upon
simple mixture, Nano-BDNF spontaneously forms uniform spherical particles with a
core-shell structure. Molecular dynamics simulations suggest that binding between
BDNF and PEG-PLE is mediated through electrostatic coupling as well as transient
hydrogen bonding. The formation of Nano-BDNF complex stabilizes BDNF and protects
it from nonspecific binding with common proteins in the body fluid, while
allowing it to associate with its receptors. Following intranasal administration,
the nanoformulation improves BDNF delivery throughout the brain and displays a
more preferable regional distribution pattern than the native protein.
Furthermore, intranasally delivered Nano-BDNF results in superior neuroprotective
effects in the mouse brain with lipopolysaccharides-induced inflammation,
indicating promise for further evaluation of this agent for the therapy of
neurologic diseases.
PMID- 29785178
TI - Functionally Graded, Bone- and Tendon-Like Polyurethane for Rotator Cuff Repair.
AB - Critical considerations in engineering biomaterials for rotator cuff repair
include bone-tendon-like mechanical properties to support physiological loading
and biophysicochemical attributes that stabilize the repair site over the long
term. In this study, UV-crosslinkable polyurethane based on quadrol (Q),
hexamethylene diisocyante (H), and methacrylic anhydride (M; QHM polymers), which
are free of solvent, catalyst, and photoinitiator, is developed. Mechanical
characterization studies demonstrate that QHM polymers possesses phototunable
bone- and tendon-like tensile and compressive properties (12-74 MPa tensile
strength, 0.6-2.7 GPa tensile modulus, 58-121 MPa compressive strength, and 1.5
3.0 GPa compressive modulus), including the capability to withstand 10 000 cycles
of physiological tensile loading and reduce stress concentrations via stiffness
gradients. Biophysicochemical studies demonstrate that QHM polymers have
clinically favorable attributes vital to rotator cuff repair stability, including
slow degradation profiles (5-30% mass loss after 8 weeks) with little-to-no
cytotoxicity in vitro, exceptional suture retention ex vivo (2.79-3.56-fold less
suture migration relative to a clinically available graft), and competent tensile
properties (similar ultimate load but higher normalized tensile stiffness
relative to a clinically available graft) as well as good biocompatibility for
augmenting rat supraspinatus tendon repair in vivo. This work demonstrates
functionally graded, bone-tendon-like biomaterials for interfacial tissue
engineering.
PMID- 29785180
TI - Career Choices and Career Progression of Junior Doctors in Dermatology: Surveys
of UK Medical Graduates.
AB - Objective: To report UK-trained doctors' career choices for dermatology, career
destinations, and factors influencing career pathways. Methods: Multicohort
multipurpose longitudinal surveys of UK-trained doctors who graduated between
1974 and 2015. Results: In all, 40,412 doctors (58% of graduates) responded in
year 1, 31,466 (64%) in year 3, and 24,970 (67%) in year 5. One year after
graduation, 1.7% of women and 0.6% of men made dermatology their first choice but
by five years after graduation the respective figures were 1.0% and 0.7%.
Compared to their predecessors, its popularity fell more substantially from years
1 to 5 among recent graduates (2005-15), particularly for women (from 2.1% in
year 1 to 0.8% in year 5) compared with a fall from 0.8% to 0.5% among men. The
most important factor influencing dermatology choice was "hours/working
conditions": in year one, 69% regarded this as important compared with 31% of
those choosing other hospital physician specialties. Only 18% of respondents who
chose dermatology at year 1 eventually worked in it; however, almost all
practising dermatologists (94%), 10 years after qualifying, had made their future
career decision by year 5. Conclusion: Dermatology is popular among female UK
graduates. Most dermatologists made their career decision late but decisively.
PMID- 29785181
TI - On Using a Mobile Application to Support Teledermatology: A Case Study in an
Underprivileged Area in Colombia.
AB - Background: The use of mobile applications in dermatology to support remote
diagnosis is gaining acceptance, particularly in rural areas, where dermatology
services are commonly managed by healthcare personnel with no specialty training.
Moreover, ontologies-sets of concepts that represent knowledge in a given domain
are increasingly being used to support medical diagnosis. A specific case is
ONTODerm: an ontology to aid dermatological diagnosis. However, there is little
information on the combined use of mobile applications and ontologies as support
solutions in dermatology. Objective: Assessing the reliability of ONTODerm as a
tool to support remote dermatological diagnosis when used together with a mobile
dermatological application in underprivileged areas. Methods: A mobile
application that allows characterization of skin lesions was developed, and the
information about the lesions was sent to ONTODerm. An exploratory study was
conducted in a remote area without access to a dermatologist. A total of 64
dermatological queries were recorded in the application and consulted with
ONTODerm. Later, an experienced dermatologist evaluated the characterization and
diagnosis of each query to determine the accuracy of the system. Results: The
results showed that the probability of obtaining a correct diagnosis was between
64.4% and 85.6% with a confidence interval of 95%. A higher accuracy rate was
obtained when the skin lesion occurred on the face or when its border was
categorized as poorly demarcated. Conclusions: This study demonstrates the
implementation of a teledermatology strategy based on mobile applications and
domain ontology-driven knowledge base to provide timely assistance to healthcare
professionals. This approach was found to be pertinent in the Colombian rural
context, particularly in forest regions, where dermatology specialists are not
available. The results of this article do not represent a final validation of the
proposed approach; they suggest how the ontology can be improved to effectively
support medical staff in marginalized regions.
PMID- 29785182
TI - Reactive Oxygen Species Generation in Human Cells by a Novel Magnetic Resonance
Imaging Contrast Agent.
AB - The novel positive-contrast magnetic resonance imaging (MRI) marker C4 consists
of an aqueous solution of cobalt chloride (CoCl2) complexed with the chelator N
acetylcysteine (NAC). We evaluated whether the presence of C4 or its components
would produce reactive oxygen species (ROS, including hydroxyl, peroxyl, or other
reactive oxygen species) in cultured cells. Human cancer or normal cells were
incubated with 1% (w/v) CoCl2.6H2O or 2% NAC or a combination of both (1%
CoCl2.6H2O : 2% NAC in an aqueous solution, abbreviated as Co : NAC) in the
presence or absence of H2O2. Intracellular ROS levels were measured and
quantified by change in relative fluorescence units. Student's t-tests were used.
In all cell lines exposed to 1000 MUM H2O2, the Co : NAC led to >=94.7%
suppression of ROS at 5 minutes and completely suppressed ROS at 60 and 90
minutes; NAC suppressed ROS by >=76.6% at 5 minutes and by >=94.5% at 90 minutes;
and CoCl2.6H2O suppressed ROS by >=37.2% at 30 minutes and by >=48.6% at 90
minutes. These results demonstrate that neither Co : NAC nor its components
generated ROS; rather, they suppressed ROS production in cultured cells,
suggesting that C4 would not enhance ROS production in clinical use.
PMID- 29785183
TI - Evaluation of Reirradiation in Locally Advanced Head and Neck Cancers: Toxicity
and Early Clinical Outcomes.
AB - Objectives: Locoregional recurrence is the predominant pattern of treatment
failure in advanced head and neck cancers. Reirradiation is a useful modality to
treat inoperable head and neck cancer patients with recurrent disease. The aim of
the present study was to analyze the treatment toxicity and early clinical
outcomes in patients undergoing reirradiation. Methods: Twenty patients of head
and neck cancers with recurrences or second cancers were evaluated. Reirradiation
was done using simultaneous integrated boost volumetric modulated arc therapy
(SIB VMAT), intensity modulated radiotherapy (IMRT), or conventional radiotherapy
using 6MV photons. Dose prescription ranged from 30 to 60 Gy in conventional
fractionation. Results: Seventeen males and three females were evaluated in this
analysis. The median age of patients under study was 56.5 years. At time of
analysis 8 patients (40%) had a complete response, 7 patients (35%) had
progressive disease, and 25% had partial response or stable disease. Grade III-IV
mucositis, dermatitis, xerostomia, dysphagia, and trismus were seen in 20%, 20%,
50%, 35%, and 45% patients, respectively, during retreatment. Patients receiving
a radiotherapy dose less than 45 Gy showed a higher incidence of progressive
disease (p = 0.01). The median disease-free survival for patients receiving
reirradiation dose of >=46 Gy was 19 +/- 3.3 months (median +/- S Error) compared
to 8 +/- 2.61 months for those with a dose prescription less than 45 Gy (p =
0.03). At 18-month follow-up 26% of patients undergoing reirradiation were
disease-free. Conclusions: Our results show improved tumor control using a
prescription of doses >=46 Gy in retreatment setting.
PMID- 29785184
TI - TheraCal LC: From Biochemical and Bioactive Properties to Clinical Applications.
AB - Background: Direct pulp capping is a popular treatment modality among dentists.
TheraCal LC is a calcium silicate-based material that is designed as a
direct/indirect pulp capping material. The material might be very attractive for
clinicians because of its ease of handling. Unlike other calcium silicate-based
materials, TheraCal LC is resin-based and does not require any conditioning of
the dentine surface. The material can be bonded with different types of adhesives
directly after application. There has been considerable research performed on
this material since its launching; however, there are no review articles that
collates information and data obtained from these studies. This review discusses
the various characteristics of the material with the aim of establishing a better
understanding for its clinical use. Methods: A search was conducted using search
engines (PubMed and Cochrane databases) in addition to reference mining of the
articles that was used to locate other papers. The process of searching for the
relevant studies was performed using the keywords pulp protection, pulp capping,
TheraCal, and calcium silicates. Only articles in English published in peer
reviewed journals were included in the review. Conclusion: This review underlines
the fact that further in vitro and in vivo studies are required before TheraCal
LC can be used as a direct pulp capping material.
PMID- 29785185
TI - Morphometric Analysis of the Mental Foramen Using Cone-Beam Computed Tomography.
AB - This study evaluated the effects of age and sex on the location and size of the
mental foramen (MF). A total of 104 cone-beam computed tomography (CBCT) scans
from patients' aged 18-80 years were selected. Images were evaluated using the
following parameters: position and size of the MF, and Distances A (distance from
the upper limit of the MF to the apex of the first lower premolar), B (distance
from the upper cortical border of the MF to the alveolar crest), and C (distance
from the border of the MF to the base of the mandible). Results revealed that the
location of the MF was predominantly apical (44.4%), between the long axes of the
premolars, at an average distance of 4.92 mm from the root of the first lower
premolar. The height of the MF was significantly different between both sexes
(3.41 and 2.99 mm, resp.; mean height: 3.11 mm; P=0.003). The MF was located on
average at 11.21 mm from the alveolar crest and 12.31 mm from the base of the
mandible; the former measurement was significantly different between both sexes
(13.13 and 11.98 mm, resp.; P <= 0.001). In conclusion, the location of the MF
was predominantly apical between the long axes of the premolars, and the mean
size and distance of the MF were greater in men.
PMID- 29785187
TI - The association between sexual dysfunctions and severity of symptoms in patients
with chronic spontaneous urticaria.
PMID- 29785186
TI - Platelets activated by the anti-beta2GPI/beta2GPI complex release microRNAs to
inhibit migration and tube formation of human umbilical vein endothelial cells.
AB - Background: Patients with anti-beta2GPI antibodies display significantly higher
platelet activation/aggregation and vascular endothelial cell damage. The
mechanism underlying the correlation between platelet activation, vascular
endothelial cell dysfunctions and anti-beta2GPI antibodies remains unknown.
Methods: In this study, we derived miR-96 and -26a from platelets activated by
the anti-beta2GPI/beta2GPI complex and explored their role in modulating human
umbilical vein endothelial cell (HUVEC) migration and tube formation. Results:
Anti-beta2GPI/beta2GPI complex induces the release of platelet-derived
microparticles (p-MPs). The amounts of miR-96 and -26a in these p-MPs were also
higher than for the control group. Co-incubation of HUVECs with p-MPs resulted in
the transfer of miR-96 and -26a into HUVECs, where they inhibited migration and
tube formation. The targeting role of these miRNAs was further validated by
directly downregulating targeted selectin-P (SELP) and platelet-derived growth
factor receptor alpha (PDGFRA) via luciferase activity assay. Conclusion: Our
study suggests that miR-96 and -26a in p-MPs can inhibit HUVEC behavior by
targeting SELP and PDGFRA.
PMID- 29785188
TI - Electroacupuncture Ameliorates Cognitive Deficit and Improves Hippocampal
Synaptic Plasticity in Adult Rat with Neonatal Maternal Separation.
AB - Exposure to adverse early-life events is thought to be the risk factors for the
development of psychiatric and altered cognitive function in adulthood. The
purpose of this study was to investigate whether electroacupuncture (EA)
treatment in young adult rat would improve impaired cognitive function and
synaptic plasticity in adult rat with neonatal maternal separation (MS). Wistar
rats were randomly divided into four groups: control group, MS group, MS with EA
treatment (MS + EA) group, and MS with Sham-EA treatment (MS + Sham-EA) group. We
evaluated the cognitive function by using Morris water maze and fear conditioning
tests. Electrophysiology experiment used in vivo long-term potentiation (LTP) at
Schaffer Collateral-CA1 synapses was detected to assess extent of synaptic
plasticity. Repeated EA stimulation at Baihui (GV 20) and Yintang (GV 29) during
postnatal 9 to 11 weeks was identified to significantly ameliorate poor
performance in behavior tests and improve the impaired LTP induction detected at
Schaffer Collateral-CA1 synapse in hippocampus. Collectively, the findings
suggested that early-life stress due to MS may induce adult cognitive deficit
associated with hippocampus, and EA in young adult demonstrated that its
therapeutic efficacy may be via ameliorating deficit of hippocampal synaptic
plasticity.
PMID- 29785189
TI - The Investigation of the Effect and Mechanism of Sophora moorcroftiana Alkaloids
in Combination with Albendazole on Echinococcosis in an Experimental Rats Model.
AB - Echinococcosis is a worldwide anthropozoonosis which is highly endemic over large
animal husbandry areas in northwestern China. The current clinical therapeutic
medicine against echinococcosis is albendazole, although it caused serious side
effects in patients. The component in traditional Chinese herb medicine, Sophora
moorcroftiana alkaloids (SA), is thought to be a potential drug to treat
echinococcosis. In order to explore the effect and mechanism of SA treatment
against echinococcosis, we established animal echinococcosis model and treated
rats with albendazole alone, alkaloids alone, and combined therapy. The combined
treatment showed effective inhibition against parasite infection due to induction
of host response and alleviated liver injury; meanwhile albendazole caused
serious liver problem. The proteomics study revealed that the combined therapy
might induce complement activation through C3, C4, C5, SERPINA1, and SERPINC1
proteins and cell adhesion by ANXA2, EZR, YWHAB, HSP90AN1, and PRKAR2A proteins,
while albendazole treatment could induce liver injury through CRYAB, YWHAZ,
SLC25A24, and HSPA1B proteins that were involved in cell death. In all, we
consider that the combinational treatment displayed better therapeutic effects
against liver echinococcosis as well as alleviated liver injury, which could be
considered as an effective strategy to treat echinococcosis clinically.
PMID- 29785190
TI - Herbal Medicines Useful to Treat Inflammatory and Ulcerative Gastrointestinal
Disorders: Preclinical and Clinical Studies.
PMID- 29785191
TI - Antimalarial Activity of Stem Bark of Periploca linearifolia during Early and
Established Plasmodium Infection in Mice.
AB - Background: In Ethiopia, stem bark of Periploca linearifolia is used for the
treatment of malaria by the local community and demonstrated antimalarial
activity in vitro. Despite its in vitro antimalarial activity, no scientific
study has been carried out to verify its activity in vivo. Therefore, the aim of
the study was to evaluate the antimalarial activity of Periploca linearifolia
stem bark extract in mice. Methods: The dried stem bark of Periploca linearifolia
was extracted with 80% methanol and evaluated for its antimalarial activity on
both early and established Plasmodium berghei infected mice. The extract was
prepared at graded doses of 200, 400, and 600 mg/kg. Chloroquine and distilled
water were administered to the positive and negative control groups,
respectively. Results: The crude extract, at all tested doses, suppressed
parasitemia significantly (p < 0.05) for 200 and 400 mg/kg and (p < 0.001) for
600 mg/kg. The suppression values at these doses were 56.98, 43.33, and 38.17
percent, respectively. Periploca linearifolia extract also demonstrated
schizonticidal activity in the established malaria infection. Conclusion: The
plant Periploca linearifolia has a promising antimalarial activity in mice,
supporting its in vitro finding. Thus, it could be considered as a potential
source to develop new antimalarial agent.
PMID- 29785192
TI - Panax notoginseng Promotes Repair of Colonic Microvascular Injury in Sprague
Dawley Rats with Experimental Colitis.
AB - To investigate the therapeutic effects of PN on intestinal inflammation and
microvascular injury and its mechanisms, dextran sodium sulfate- (DSS-) or
iodoacetamide- (IA-) induced rat colitis models were used. After colitis model
was established, PN was orally administered for 7 days at daily dosage of 1.0
g/kg. Obvious colonic inflammation and mucosal injuries and microvessels were
observed in DSS- and IA-induced colitis groups. DAI scores, serum concentrations
of VEGFA121, VEGFA165, VEGFA165/VEGFA121, IL-6, and TNF-alpha, and expression of
Rap1GAP and TSP1 proteins in the colon were significantly higher while serum
concentrations of IL-4 and IL-10 and MVD in colon were significantly lower in the
colitis model groups than in the normal control group. PN promoted repair of
colonic mucosal injury and microvessels, attenuated inflammation, and decreased
DAI scores in rats with colitis. PN also decreased the serum concentrations of
VEGFA121, VEGFA165, VEGFA165/VEGFA121, IL-6, and TNF-alpha and increased the
serum concentrations of IL-4 and IL-10, with the expression of Rap1GAP and TSP1
proteins in colonic mucosa being downregulated. The constituents of PN were
identified with HPLC-DAD. To sum up, PN could promote repair of injuries of
colonic mucosa and microvessels via downregulating VEGFA isoforms and inhibiting
Rap1GAP/TSP1 signaling pathway.
PMID- 29785193
TI - Gallnuts: A Potential Treasure in Anticancer Drug Discovery.
AB - Introduction. In the discovery of more potent and selective anticancer drugs, the
research continually expands and explores new bioactive metabolites coming from
different natural sources. Gallnuts are a group of very special natural products
formed through parasitic interaction between plants and insects. Though it has
been traditionally used as a source of drugs for the treatment of cancerous
diseases in traditional and folk medicinal systems through centuries, the
anticancer properties of gallnuts are barely systematically reviewed. Objective.
To evidence the traditional uses and phytochemicals and pharmacological
mechanisms in anticancer aspects of gallnuts, a literature review was performed.
Materials and Methods. The systematic review approach consisted of searching web
based scientific databases including PubMed, Web of Science, and Science Direct.
The keywords for searching include gallnut, Galla Chinensis, Rhus chinensis, Rhus
potaninii, Rhus punjabensis, nutgall, gall oak, Quercus infectoria, Quercus
lusitanica, and galla turcica. Two reviewers extracted papers independently to
remove the papers unrelated to the anticancer properties of gallnuts. Patents,
abstracts, case reports, and abstracts in symposium and congress were excluded.
Results and Conclusion. As a result, 14 articles were eligible to be evaluated.
It is primarily evident that gallnuts contain a number of bioactive metabolites,
which account for anticancer activities. The phytochemical and pharmacological
studies reviewed strongly underpin a fundamental understanding of anticancer
properties for gallnuts (Galla Chinensis and Galla Turcica) and support their
ongoing clinical uses in China. The further bioactive compounds screening and
evaluation, pharmacological investigation, and clinical trials are expected to
progress gallnut-based development to finally transform the wild medicinal
gallnuts to the valuable authorized anticancer drugs.
PMID- 29785194
TI - Genus Spondias: A Phytochemical and Pharmacological Review.
AB - It is believed that many degenerative diseases are due to oxidative stress. In
view of the limited drugs available for treating degenerative diseases, natural
products represent a promising therapeutic strategy in the search for new and
effective candidates for treating degenerative diseases. This review focuses on
the genus Spondias which is widely used in traditional medicine for the treatment
of many diseases. Spondias is a genus of flowering plants belonging to the cashew
family (Anacardiaceae). This genus comprises 18 species distributed across
tropical regions in the world. A variety of bioactive phytochemical constituents
were isolated from different plants belonging to the genus Spondias. Diverse
pharmacological activities were reported for the genus Spondias including
cytotoxic, antioxidant, ulcer protective, hepatoprotective, anti-inflammatory,
antiarthritic, and antidementia effects. These attributes indicate their
potential to treat various degenerative diseases. The aim of this review is to
draw attention to the unexplored potential of phytochemicals obtained from
Spondias species, thereby contributing to the development of new therapeutic
alternatives that may improve the health of people suffering from degenerative
diseases and other health problems.
PMID- 29785195
TI - Combined Therapies of Modified Taiyi Miraculous Moxa Roll and Cupping for
Patients with Lumbar Intervertebral Disc Herniation.
AB - Lumbar intervertebral disc herniation is a kind of syndrome caused by stimulation
or pressure of nerve root and cauda equina due to intervertebral disc disorder,
fibrous ring rupture, and pulpiform nucleus protrusion. Application of
traditional Chinese medicine (TCM) including acupuncture therapy and cupping
therapy is unique and effective treatment for lumbar intervertebral disc
herniation in China. Hence, we try to investigate the combined clinical efficacy
of modified Taiyi miraculous moxa roll and cupping therapy on patients with
lumbar intervertebral disc herniation. Seventy patients were randomly assigned
into combined treatment group (n = 35) and control group (n = 35). The treatment
group received combined therapy of modified Taiyi miraculous moxa roll and
cupping therapy, while control group received acupuncture therapy alone.
Diagnostic criteria of TCM syndrome, Japanese Orthopedic Association (JOA) score,
and simplified McGill pain questionnaire (MPQ) were used to evaluate the therapy.
11 and 13 out of 35 subjects in the combined treatment group had improvement >
75% and between 50% and 75%, respectively. The corresponding number was 2 and 22
of 35 subjects in the acupuncture group. There was significant difference in the
clinical efficacy between the treatment group and control group (P = 0.036). The
scores of JOA and MPQ detected in the patients of the two groups (P < 0.05) also
showed statistically significant differences. Moreover, no serious adverse events
occurred in the patients, who received cupping therapy or acupuncture. The
combined or alone therapies can effectively improve the treatment efficacy in the
patients with lumbar intervertebral disc herniation, while the combined therapies
show more comparative effectiveness. Furthermore, the combined therapies are
potentially safe and cost-effective and also benefit the improvement of short
term pain. Therefore, the combined therapies of the two ancient TCM deserve
further clinical applications.
PMID- 29785196
TI - A Computational Approach Using Bioinformatics to Screening Drug Targets for
Leishmania infantum Species.
AB - Background: The development of new therapeutic strategies to treat patients for
leishmaniasis has become a priority. The antileishmanial activity of the
strychnobiflavone flavonoid was recently demonstrated against Leishmania
amazonensis and Leishmania infantum amastigotes and promastigotes. The biological
effect of this molecule was identified due to its capacity to interfere in the
parasite mitochondrial membrane; however, the underlying molecular mechanism
remains unclear. Methods and Results: In this study, a computational approach
using bioinformatics was performed to screen biological targets of
strychnobiflavone in L. infantum. Computational programs, such as the target
fishing approach and molecular docking assays, were used. Results showed that the
putative pathway targeted by strychnobiflavone in L. infantum is the
methylglyoxal degradation superpathway, and one hydrolase-like protein was
predicted to be the molecular target of this flavonoid in the parasites.
Conclusion: In this context, this study provides the basis for understanding the
mechanism of action of strychnobiflavone in L. infantum and presents a strategy
based on bioinformatics programs to screen targets of other molecules with
biological action against distinct pathogens.
PMID- 29785197
TI - Applying Statistical and Complex Network Methods to Explore the Key Signaling
Molecules of Acupuncture Regulating Neuroendocrine-Immune Network.
AB - The mechanisms of acupuncture are still unclear. In order to reveal the
regulatory effect of manual acupuncture (MA) on the neuroendocrine-immune (NEI)
network and identify the key signaling molecules during MA modulating NEI
network, we used a rat complete Freund's adjuvant (CFA) model to observe the
analgesic and anti-inflammatory effect of MA, and, what is more, we used
statistical and complex network methods to analyze the data about the expression
of 55 common signaling molecules of NEI network in ST36 (Zusanli) acupoint, and
serum and hind foot pad tissue. The results indicate that MA had significant
analgesic, anti-inflammatory effects on CFA rats; the key signaling molecules may
play a key role during MA regulating NEI network, but further research is needed.
PMID- 29785198
TI - Effects of Walking in Bamboo Forest and City Environments on Brainwave Activity
in Young Adults.
AB - Background. In Japan, "Shinrin-yoku" or forest bathing (spending time in forests)
is a major practice used for relaxation. However, its effects on promoting human
mental health are still under consideration. The objective of this study was to
investigate the physiological and psychological relaxation effects of forest
walking on adults. Sixty participants (50% males; 50% females) were trained to
walk 15-minute predetermined courses in a bamboo forest and a city area
(control). The length of the courses was the same to allow comparison of the
effects of both environments. Blood pressure and EEG results were measured to
assess the physiological responses and the semantic differential method (SDM) and
STAI were used to study the psychological responses. Blood pressure was
significantly decreased and variation in brain activity was observed in both
environments. The results of the two questionnaires indicated that walking in the
bamboo forest improves mood and reduces anxiety. Moreover, the mean meditation
and attention scores were significantly increased after walking in a bamboo
forest. The results of the physiological and psychological measurements indicate
the relaxing effects of walking in a bamboo forest on adults.
PMID- 29785199
TI - Physical Exercise For Parkinson's Disease: Clinical And Experimental Evidence.
AB - Background: National projections about the increase in the elderly population
over 60 years bring with it an increase in the number of people affected by
Parkinson's Disease (PD), making it an important public health problem.
Therefore, the establishment of effective strategies for intervention in people
with PD needs to be more clearly investigated. Objective: The study aimed to
report the effectiveness of exercise on functional capacity and neurobiological
mechanisms in people with PD. Methods: This study is a critical review of the
literature. Results: The progressive death of dopaminergic neurons in the
substantia nigra is described as one of the main physiological mechanisms
manifested before PD, directly interfering with motor behavior. However, PD is
not only related to motor symptoms, but also to cognitive, autonomic, and mood
impairments. Such effects may be attenuated by pharmacological influence, but
also evidence suggests that the implementation of regular physical exercise
programs may exhibit potential benefits over PD. The synthesis and expression of
monoaminergic neurotransmitters can act positively on motor disorders, as well as
directly or indirectly influence the neuronal plasticity of the brain, restoring
neuronal pathways previously affected. Conclusion: Physical exercise contributes
effectively to the treatment of PD, and can play a preventive and maintenance
role of physical fitness and mental health.
PMID- 29785200
TI - Comparative Study on Knowledge About Autism Spectrum Disorder Among Paediatric
and Psychiatric Nurses in Public Hospitals in Kumasi, Ghana.
AB - Background: Despite the existence of autism spectrum disorder in Ghana, few
studies have provided the necessary information on the phenomenon. These studies
have mostly focused on speech and language therapy for children and modification
of classroom environment for children with autism spectrum disorder. This
approach has resulted in a paucity of knowledge on nurse's knowledge of autism
spectrum disorder in Ghana. Objective: The study sought to assess the knowledge
of paediatric and psychiatric on autism spectrum disorder. Method: In this study,
130 paediatric and 93 psychiatric nurses sampled from five public hospitals in
the Kumasi Metropolis participated in the survey. The Knowledge about Childhood
Autism among Health Workers (KCAHW) questionnaire was employed to assess their
knowledge of autism spectrum disorder. Results: It emerged from the study that
psychiatric nurses were more knowledgeable on autism spectrum disorder than
paediatric nurses in general and specifically on each of the four domains on the
KCAHW questionnaire. However, the level of knowledge on autism spectrum disorder
among both groups of nurses remains low. Apart from the previous encounter, there
were no significant differences between paediatric and psychiatric nurses'
gender, age, marital status, working experience and their knowledge. Conclusion:
In view of the findings, it will be appropriate for autism spectrum disorder to
be included in the clinical training curriculum as well as the continuous
professional education for both paediatric and psychiatric nurses. This will go a
long way in helping paediatric and psychiatric nurses to increase their knowledge
of autism spectrum disorder.
PMID- 29785201
TI - Speed breeding for multiple quantitative traits in durum wheat.
AB - Background: Plant breeding requires numerous generations to be cycled and
evaluated before an improved cultivar is released. This lengthy process is
required to introduce and test multiple traits of interest. However, a technology
for rapid generation advance named 'speed breeding' was successfully deployed in
bread wheat (Triticum aestivum L.) to achieve six generations per year while
imposing phenotypic selection for foliar disease resistance and grain dormancy.
Here, for the first time the deployment of this methodology is presented in durum
wheat (Triticum durum Desf.) by integrating selection for key traits, including
above and below ground traits on the same set of plants. This involved
phenotyping for seminal root angle (RA), seminal root number (RN), tolerance to
crown rot (CR), resistance to leaf rust (LR) and plant height (PH). In durum
wheat, these traits are desirable in environments where yield is limited by in
season rainfall with the occurrence of CR and epidemics of LR. To evaluate this
multi-trait screening approach, we applied selection to a large segregating F2
population (n = 1000) derived from a bi-parental cross (Outrob4/Caparoi). A
weighted selection index (SI) was developed and applied. The gain for each trait
was determined by evaluating F3 progeny derived from 100 'selected' and 100
'unselected' F2 individuals. Results: Transgressive segregation was observed for
all assayed traits in the Outrob4/Caparoi F2 population. Application of the SI
successfully shifted the population mean for four traits, as determined by a
significant mean difference between 'selected' and 'unselected' F3 families for
CR tolerance, LR resistance, RA and RN. No significant shift for PH was observed.
Conclusions: The novel multi-trait phenotyping method presents a useful tool for
rapid selection of early filial generations or for the characterization of fixed
lines out-of-season. Further, it offers efficient use of resources by assaying
multiple traits on the same set of plants. Results suggest that when performed in
parallel with speed breeding in early generations, selection will enrich
recombinant inbred lines with desirable alleles and will reduce the length and
number of years required to combine these traits in elite breeding populations
and therefore cultivars.
PMID- 29785202
TI - Opto-thermoelectric nanotweezers.
AB - Optical manipulation of plasmonic nanoparticles provides opportunities for
fundamental and technical innovation in nanophotonics. Optical heating arising
from the photon-to-phonon conversion is considered as an intrinsic loss in metal
nanoparticles, which limits their applications. We show here that this drawback
can be turned into an advantage, by developing an extremely low-power optical
tweezing technique, termed opto-thermoelectric nanotweezers (OTENT). Through
optically heating a thermoplasmonic substrate, alight-directed thermoelectric
field can be generated due to spatial separation of dissolved ions within the
heating laser spot, which allows us to manipulate metal nanoparticles of a wide
range of materials, sizes and shapes with single-particle resolution. In
combination with dark-field optical imaging, nanoparticles can be selectively
trapped and their spectroscopic response can be resolved in-situ. With its simple
optics, versatile low-power operation, applicability to diverse nanoparticles,
and tuneable working wavelength, OTENT will become a powerful tool in colloid
science and nanotechnology.
PMID- 29785203
TI - Conflict and tuberculosis in Sudan: a 10-year review of the National Tuberculosis
Programme, 2004-2014.
AB - Background: Sudan is a fragile developing country, with a low expenditure on
health. It has been subjected to ongoing conflicts ever since 1956, with the
Darfur crisis peaking in 2004. The conflict, in combination with the weak
infrastructure, can lead to poor access to healthcare. Hence, this can cause an
increased risk of infection, greater morbidity and mortality from tuberculosis
(TB), especially amongst the poor, displaced and refugee populations. This study
will be the first to describe TB case notifications, characteristics and outcomes
over a ten-year period in Darfur in comparison with the non-conflict Eastern
zones within Sudan. Methods: A cross-sectional review of the National
Tuberculosis Programme (NTP) data from 2004 to 2014 comparing the Darfur conflict
zone with the non-conflict eastern zone. Results: New case notifications were 52%
lower in the conflict zone (21,131) compared to the non-conflict zone (43,826).
Smear-positive pulmonary TB (PTB) in the conflict zone constituted 63% of all
notified cases, compared to the non-conflict zone of 32% (p < 0.001).
Extrapulmonary TB (EPTB) predominated the TB notified cases in the non-conflict
zone, comprising 35% of the new cases versus 9% in the conflict zone (p < 0.001).
The loss to follow up (LTFU) was high in both zones (7% conflict vs 10% non
conflict, p < 0.001) with a higher rate among re-treatment cases (12%) in the
conflict zone. Average treatment success rates of smear-positive pulmonary TB
(PTB), over ten years, were low (65-66%) in both zones. TB mortality among re
treatment cases was higher in the conflict zone (8%) compared to the non-conflict
zone (6%) (p < 0.001). Conclusion: A low TB case notification was found in the
conflict zone from 2004 to 2014. High loss to follow up and falling treatment
success rates were found in both conflict and non-conflict zones, which
represents a significant public health risk. Further analysis of the TB response
and surveillance system in both zones is needed to confirm the factors associated
with the poor outcomes. Using context-sensitive measures and simplified pathways
with an emphasis on displaced persons may increase access and case notification
in conflict zones, which can help avoid a loss to follow up in both zones.
PMID- 29785204
TI - Using digital health to enable ethical health research in conflict and other
humanitarian settings.
AB - Conducting research in a humanitarian setting requires quantifiable quality
measures to ensure ethical study conduct. Digital health technologies are proven
to improve research study quality and efficacy via automated data collection,
improvement of data reliability, fidelity and resilience and by improved data
provenance and traceability. Additionally, digital health methodologies can
improve patient identity, patient privacy, study transparency, data sharing,
competent informed consent, and the confidentiality and security of humanitarian
operations. It can seem counterintuitive to press forward aggressively with
digital technologies at a time of heightened population vulnerability and cyber
security concerns, but new approaches are essential to meet the rapidly
increasing demands of humanitarian research. In this paper we present the case
for the digital modernization of humanitarian research in conflict and other
humanitarian settings as a vehicle for improved research quality and ethics.
PMID- 29785205
TI - Continuous succinic acid fermentation by Actinobacillus succinogenes in a packed
bed biofilm reactor.
AB - Background: Succinic acid is one of the most interesting platform chemicals that
can be produced in a biorefinery approach. In this study, continuous succinic
acid production by Actinobacillus succinogenes fermentation in a packed-bed
biofilm reactor (PBBR) was investigated. Results: The effects of the operating
conditions tested, dilution rate (D), and medium composition (mixture of glucose,
xylose, and arabinose-that simulate the composition of a lignocellulosic
hydrolysate)-on the PBBR performances were investigated. The maximum succinic
acid productivity of 35.0 g L-1 h-1 and the maximum SA concentration were
achieved at a D = 1.9 h-1. The effect of HMF and furfural on succinic acid
production was also investigated. HMF resulted to reduce succinic acid production
by 22.6%, while furfural caused a reduction of 16% in SA production at the same
dilution rate. Conclusion: Succinic acid production by A. succinogenes
fermentation in a packed-bed reactor (PBBR) was successfully carried out for more
than 5 months. The optimal results were obtained at the dilution rate 0.5 h-1:
43.0 g L-1 of succinic acid were produced, glucose conversion was 88%; and the
volumetric productivity was 22 g L-1 h-1.
PMID- 29785206
TI - Life cycle assessment of residual lignocellulosic biomass-based jet fuel with
activated carbon and lignosulfonate as co-products.
AB - Background: Bio-jet fuels are emerging as a valuable alternative to petroleum
based fuels for their potential for reducing greenhouse gas emissions and fossil
fuel dependence. In this study, residual woody biomass from slash piles in the
U.S. Pacific Northwest is used as a feedstock to produce iso-paraffinic kerosene,
through the production of sugar and subsequent patented proprietary fermentation
and upgrading. To enhance the economic viability and reduce the environmental
impacts of iso-paraffinic kerosene, two co-products, activated carbon and
lignosulfonate, are simultaneously produced within the same bio-refinery. A
cradle-to-grave life cycle assessment (LCA) is performed for the residual woody
biomass-based bio-jet fuel and compared against the cradle-to-grave LCA of
petroleum-based jet fuel. This paper also discusses the differences in the
environmental impacts of the residual biomass-based bio-jet fuel using two
different approaches, mass allocation and system expansion, to partition the
impacts between the bio-fuel and the co-products, which are produced in the bio
refinery. Results: The environmental assessment of biomass-based bio-jet fuel
reveals an improvement along most critical environmental criteria, as compared to
its petroleum-based counterpart. However, the results present significant
differences in the environmental impact of biomass-based bio-jet fuel, based on
the partitioning method adopted. The mass allocation approach shows a greater
improvement along most of the environmental criteria, as compared to the system
expansion approach. However, independent of the partitioning approach, the
results of this study reveal that more than the EISA mandated 60% reduction in
the global warming potential could be achieved by substituting petroleum-based
jet fuel with residual woody biomass-based jet fuel. Converting residual woody
biomass from slash piles into bio-jet fuel presents the additional benefit of
avoiding the impacts of slash pile burning in the forest, which results in a net
negative impact on 'Carcinogenics' and 'Respiratory effects', and substantial
reduction in the 'Smog' and 'Ecotoxicity' impacts. The production of woody
biomass-based bio-jet fuel, however, did not show any significant improvement in
the 'Acidification' and 'Eutrophication' impact categories. Conclusions: The
study reveals that residual woody biomass recovered from slash piles represents a
more sustainable alternative to petroleum for the production of jet fuel with a
lower impact on global warming and local pollution. Future research should focus
on the optimization of chemical processes of the bio-refinery to reduce the
impacts on the 'Acidification' and 'Eutrophication' impact categories.
PMID- 29785207
TI - Promiscuous activities of heterologous enzymes lead to unintended metabolic
rerouting in Saccharomyces cerevisiae engineered to assimilate various sugars
from renewable biomass.
AB - Background: Understanding the global metabolic network, significantly perturbed
upon promiscuous activities of foreign enzymes and different carbon sources, is
crucial for systematic optimization of metabolic engineering of yeast
Saccharomyces cerevisiae. Here, we studied the effects of promiscuous activities
of overexpressed enzymes encoded by foreign genes on rerouting of metabolic
fluxes of an engineered yeast capable of assimilating sugars from renewable
biomass by profiling intracellular and extracellular metabolites. Results:
Unbiased metabolite profiling of the engineered S. cerevisiae strain EJ4 revealed
promiscuous enzymatic activities of xylose reductase and xylitol dehydrogenase on
galactose and galactitol, respectively, resulting in accumulation of galactitol
and tagatose during galactose fermentation. Moreover, during glucose
fermentation, a trisaccharide consisting of glucose accumulated outside of the
cells probably owing to the promiscuous and transglycosylation activity of beta
glucosidase expressed for hydrolyzing cellobiose. Meanwhile, higher accumulation
of fatty acids and secondary metabolites was observed during xylose and
cellobiose fermentations, respectively. Conclusions: The heterologous enzymes
functionally expressed in S. cerevisiae showed promiscuous activities that led to
unintended metabolic rerouting in strain EJ4. Such metabolic rerouting could
result in a low yield and productivity of a final product due to the formation of
unexpected metabolites. Furthermore, the global metabolic network can be
significantly regulated by carbon sources, thus yielding different patterns of
metabolite production. This metabolomic study can provide useful information for
yeast strain improvement and systematic optimization of yeast metabolism to
manufacture bio-based products.
PMID- 29785208
TI - Developing cellulolytic Yarrowia lipolytica as a platform for the production of
valuable products in consolidated bioprocessing of cellulose.
AB - Background: Both industrial biotechnology and the use of cellulosic biomass as
feedstock for the manufacture of various commercial goods are prominent features
of the bioeconomy. In previous work, with the aim of developing a consolidated
bioprocess for cellulose bioconversion, we conferred cellulolytic activity of
Yarrowia lipolytica, one of the most widely studied "nonconventional" oleaginous
yeast species. However, further engineering this strain often leads to the loss
of previously introduced heterologous genes due to the presence of multiple LoxP
sites when using Cre-recombinase to remove previously employed selection markers.
Results: In the present study, we first optimized the strategy of expression of
multiple cellulases and rescued selection makers to obtain an auxotrophic
cellulolytic Y. lipolytica strain. Then we pursued the quest, exemplifying how
this cellulolytic Y. lipolytica strain can be used as a CBP platform for the
production of target products. Our results reveal that overexpression of SCD1
gene, encoding stearoyl-CoA desaturase, and DGA1, encoding acyl
CoA:diacylglycerol acyltransferase, confers the obese phenotype to the
cellulolytic Y. lipolytica. When grown in batch conditions and minimal medium,
the resulting strain consumed 12 g/L cellulose and accumulated 14% (dry cell
weight) lipids. Further enhancement of lipid production was achieved either by
the addition of glucose or by enhancing cellulose consumption using a commercial
cellulase cocktail. Regarding the latter option, although the addition of
external cellulases is contrary to the concept of CBP, the amount of commercial
cocktail used remained 50% lower than that used in a conventional process (i.e.,
without internalized production of cellulases). The introduction of the LIP2 gene
into cellulolytic Y. lipolytica led to the production of a strain capable of
producing lipase 2 while growing on cellulose. Remarkably, when the strain was
grown on glucose, the expression of six cellulases did not alter the level of
lipase production. When grown in batch conditions on cellulose, the engineered
strain consumed 16 g/L cellulose and produced 9.0 U/mL lipase over a 96-h period.
The lipase yield was 562 U lipase/g cellulose, which represents 60% of that
obtained on glucose. Finally, expression of the hydroxylase from Claviceps
purpurea (CpFAH12) in cellulolytic Y. lipolytica procured a strain that can
produce ricinoleic acid (RA). Using this strain in batch cultures revealed that
the consumption of 11 g/L cellulose sustained the production of 2.2 g/L RA in the
decane phase, 69% of what was obtained on glucose. Conclusions: In summary, this
study has further demonstrated the potential of cellulolytic Y. lipolytica as a
microbial platform for the bioconversion of cellulose into target products. Its
ability to be used in consolidated process designs has been exemplified and clues
revealing how cellulose consumption can be further enhanced using commercial
cellulolytic cocktails are provided.
PMID- 29785209
TI - Acute suppurative thyroiditis caused by thyroid papillary carcinoma in the right
thyroid lobe of a healthy woman.
AB - Background: The thyroid gland is resistant to microbial infection, because of its
organ characteristics such as encapsulation, iodine content, and rich blood
supply. Therefore, acute suppurative thyroiditis (AST), as a bacterial infection
of the thyroid gland, is rarely seen. AST typically takes places on the left side
the neck region in children, because of the coincidence of the left piriform
sinus fistula, as a most common route of infection. AST is also usually seen in
immunocompromised hosts. Herein, we report a rare case of AST in the right
thyroid lobe of adult woman without any immunocompromised condition. Case
presentation: A 59-year-old woman was introduced to our hospital for the further
examination with fever, sore throat, and right anterior neck swelling. The
patient appeared not to be immunodeficient. Neck ultrasonography showed a 47-mm,
hypoechoic, heterogeneous nodule with ill-defined margins and irregular form,
suggesting a right thyroid malignant nodule. Fine needle aspiration (FNA) biopsy
specimen revealed numerous number of neutrophils in the background without
nuclear atypia. Based on the clinical course and cytology, AST was confirmed to
be diagnosed. Complete response was obtained by an intravenous administration of
antimicrobial agents within a week. Image findings such as CT scan did not show
any piriform sinus fistula. Four months later, neck ultrasonography showed a
significant decrease in size of the nodule in the right thyroid gland to 27 mm,
but the lesion still resembled a malignant nodule. So, FNA was repeated again and
cytological examination confirmed papillary thyroid carcinoma (PTC). The patient
subsequently underwent total thyroidectomy and bilateral level D1 lymph node
dissection. Histological findings revealed a 20-mm PTC in the right lobe with
sternothyroid muscle invasion of the tumor. Conclusions: This report represents a
rare case of AST associated with PTC on the right side of thyroid gland, found in
a healthy adult woman. The reason why AST coincided with malignant thyroid tumor
is unclear. We have to take it into our account that malignant tumor may exist in
the background when AST is identified on the right side of thyroid gland with a
healthy subject.
PMID- 29785211
TI - A Very Rare Cause of Lower Limb Ischemia in Young People: Popliteal Artery
Entrapment.
AB - Background: Popliteal artery entrapment syndrome (PAES) is a very rare pathology
that can cause lower extremity ischemia in healthy young people. Anomalous
anatomic relationships between the popliteal artery (PA) and the surrounding
musculo-tendinous structures cause PAES. We present 31 patients with PAES in 35
limbs that were treated surgically in our clinic within a 12-year period.
Patients and Methods: From 2001 to 2015, 31 patients (mean age: 32 +/- 7.4 years)
underwent surgery for PAES. ; 4 patients presented had bilateral PAES. Doppler
ultrasonography (US), magnetic resonance angiography (MRA), and conventional
angiography were performed as diagnostic procedures. We detected Type I PAES in 4
limbs and Type II PAES in 12 limbs. In the remaining 19 limbs, we diagnosed Type
III or Type IV PAES. Simple release of the PA, PA embolectomy and simple release,
and the radial artery (RA) patch angioplasty, with or without
thromboendarterectomy (TEA), were performed. In 12 limbs, PA continuity was
provided by RA interposition. Results: With the exception of 5 patients, no
complications were seen after surgery. Haematoma was detected in 2 patients and
local infection in 2 patients. One patient required a revision for recurrent PA
thromboembolic event 12 h after surgery. At a median follow- up of 23 months
(range: 11-29 months), there were no postoperative complications. Conclusions:
PAES can result in lower limb ischemia due to chronic vascular trauma in young
healthy patients. The use of diagnostic tools such as US, a non-invasive method,
and MRA are effective diagnostic tools for early diagnosis. With their combined
approach, exact and early diagnosis can be achieved. PA release, alone or with
arterial bypass using RA, is a viable treatment option when intervention is
necessary to prevent limb loss in the early stages of the disease.
PMID- 29785210
TI - Adipose tissue-derived cytokines and their correlations with clinical
characteristics in Vietnamese patients with type 2 diabetes mellitus.
AB - Background: Adipokines are involved in the pathogenesis of metabolic disorders
including obesity and type 2 diabetes mellitus (T2DM). This study investigates
the levels of leptin, resistin, visfatin, secreted frizzled-related protein 5
(SFRP5), monocyte chemoattractant protein-1 (MCP-1) and retinol-binding protein 4
(RBP4) and their correlations with clinical parameters of overweight and T2DM.
Methods: We recruited overweight 50 patients with T2DM, 88 non-overweight
patients with T2DM, 29 overweight and 100 non-overweight individuals devoid of
T2DM for this study. The levels of studied adipokines were measured by enzyme
linked immunosorbent assay and correlated with clinical parameters. Results: The
levels of MCP-1 and SFRP5 were decreased while visfatin and RBP4 levels were
increased in patients with T2DM compared to those in the control individuals (P <
0.01). Among patients with T2DM, leptin and resistin levels were higher while
RBP4 levels were lower in patients with overweight T2DM compared to those in
patients with non-overweight T2DM (P < 0.0001, 0.019 and 0.05, respectively).
Leptin and MCP-1 levels were correlated with HOMA-IR, QUICKI and HOMA-beta.
Leptin/MCP-1 ratio was correlated with insulin levels, HOMA-IR and HOMA-beta
indexes. Resistin/RBP4, visfatin/MCP-1 and MCP-1/RBP4 ratios were strongly
correlated with the levels of fasting glucose, HbA1c and HOMA-beta. In addition,
ROC curve analyses indicated a diagnostic potential of resistin/RBP4 and MCP
1/RBP4 indexes for T2DM (AUC = 0.81 and 0.83, respectively) and beta-cell
function (AUC = 0.76 and 0.74, respectively). Conclusions: Adipokines (leptin,
resistin, visfatin, SFRP5, MCP-1, and RBP4) are associated with overweight and
T2DM and may serve as a potential prognostic marker and therapeutic intervention
for overweight-related T2DM.
PMID- 29785213
TI - A Case Series and Review of Bacillus Cereus Endocarditis from India.
AB - Introduction: Bacillus cereus is a gram positive bacilli found commonly in the
soil and environment. It is a bacteria rarely associated with endocarditis. Case
History: Intravenous drug abuse, presence of valvular defects, pacemakers,
immunodeficiency are some of the known risk factors for B.cereus endocarditis. We
present here a case series of two patients with B.cereus endocarditis along with
a review of the literature. Conclusion: This is the first report of B.cereus
endocarditis from India to the best of our knowledge.
PMID- 29785212
TI - Reduction of Vascular Inflammation, LDL-C, or Both for the Protection from
Cardiovascular Events?
AB - Background: Low density lipoprotein cholesterol (LDL-C) and low grade arterial
inflammation are key pathogenic factors for atherosclerosis and its
manifestation, cardiovascular disease (CVD). Objective: In this narrative review
we assessed if decreasing LDL-C levels or inflammation or both is more effective
in reducing CVD events. Results: In the Scandinavian Simvastatin Survival Study
(4S), all statin trials of the 90s' and the Further Cardiovascular Outcomes
Research with PCSK9 Inhibition in Subjects with Elevated Risk (FOURIER) the
benefit came from the LDL-C reduction. In the GREak and Atorvastatin Coronary
heart disease Evaluation (GREACE), the Treating to New Targets (TNT), and the
Justification for the Use of Statins in Prevention: an Intervention Trial
Evaluating Rosuvastatin (JUPITER) trials both mechanisms in combination produced
significant benefits. In the Atorvastatin for Reduction of MYocardial Damage
during Angioplasty (ARMYDA) trials and the Canakinumab Antiinflammatory
Thrombosis Outcome Study (CANTOS) with a human antibody targeting IL-1beta with
no lipid lowering effect, the reduction in arterial inflammation played the only
beneficial role because there was no change in lipids levels. Conclusion: Both
LDL-C and inflammation reduction are beneficial to the reduction of CVD risk.
However, canakinumab is a very expensive drug that only induced a 15% reduction
in CVD events, thus drastically reducing the possibility for it to be used in
clinical practice. Besides, canakinumab is associated with increased infections,
some fatal. A potent statin with anti-inflammatory effects is probably the best
choice for the majority of those needing hypolipidaemic drug therapy.
PMID- 29785214
TI - Etiological Trends and Patterns of Antimicrobial Resistance in Respiratory
Infections.
AB - Background: Respiratory infections are one of the commonest causes of morbidity
and mortality related to infectious diseases worldwide. The emergence of
antimicrobial resistance is a major global health problem which is well
established in developing countries. Good clinical suspicion and correct
laboratory identification of respiratory infection causing organisms followed by
the appropriate management are needed to compact both community-acquired and
nosocomial infection respiratory infections. Objectives: A retrospective study
was carried out to elucidate the etiology of respiratory infections in Sudan, as
well as to guide the physician to the best antimicrobial alternatives used in the
treatment of respiratory infection. Method: Respiratory isolates that have been
morphologically identified and biologically characterized were subjected to
antibiotic susceptibility testing. Results: A total of 1481 respiratory specimens
were examined, recovering 377 organisms from 350 culture positive samples
[225(59.7%) sputum, 94(24.9%) broncho-alveolar lavage (BAL), 58(15.4%) Pleural
fluid], the commonest organisms were Klebsiella ssp. (25.20%) and mycobacterium
tuberculosis (25.20%), followed by Staphylococcus aureus(19.89%) and Pseudomonas
aeruginosa(8.49%). High rate of resistance of bacterial isolates was observed to
Co-trimoxazole (BA), Ampicillin sulbactam (AS), Cefotaxime (CF) and Tetracycline
(TE), being 80%, 72.3%, 68.8% and 66.9% respectively; on the other hand, very low
resistance rate was found to Amikacin (AK) and Levofloxacin (LE), being 4.6% and
8.5%, respectively. Conclusion: Guided prescription of antimicrobial agents must
be implemented and controlled to limit further spread of antimicrobial
resistance.
PMID- 29785216
TI - Staphylococcus Aureus Surface Protein G is An Immunodominant Protein and a
Possible Target in An Anti-Biofilm Drug Development.
AB - Background: Staphylococcus aureus is a Gram-positive bacterium that causes severe
illnesses in the human population. The capacity of S. aureus strains to form
biofilms on biotic and abiotic surfaces creates serious problems for treatment of
hospital infections and has stimulated efforts to develop new means of specific
protection or immunotherapy. Material and Methods: We found that rabbit serum
raised against crude concentrated S. aureus liquid culture significantly
decreased the development of staphylococcal biofilm in vitro. To discover the
corresponding staphylococcal antigen, we used mass-spectrometry and molecular
cloning and identified three major immunodominant proteins. They included alpha
haemolysin, serine proteinase SplB and S. aureus surface protein G, known as
adhesin SasG. Results: Although according to literature data, all these proteins
represent virulence factors of S. aureus and play diverse and important roles in
the pathogenesis of staphylococcal diseases, only SasG can be directly implicated
into the biofilm formation because of its surface location on a staphylococcal
cell. Indeed, rabbit serum directed against purified recombinant SasG, similar to
serum against crude staphylococcal liquid culture, prevented the formation of a
biofilm. Conclusion: SasG can be considered as a target in an anti-biofilm drug
development and a component of the vaccine or immunotherapeutic preparations
directed against staphylococcal infections in humans.
PMID- 29785215
TI - Mycobacteriosis and Tuberculosis: Laboratory Diagnosis.
AB - Background: Tuberculosis is one of the most important infectious diseases that
has claimed its victims throughout much of known human history. With Koch's
discovery of the tubercle bacillus as the etiologic agent of the disease, his
sanitary and hygienic measures, which were based on his discovery and the
development of a vaccine against tuberculosis by Albert Calmette and Camille
Guerin in 1921, an attenuated Mycobacterium bovis strain, bacilli Calmette-Guerin
(BCG), and the discovery of the first antibiotic against tuberculosis,
streptomycin by Selman Waksman in 1943, soon led to the opinion that appropriate
control measures had become available for tuberculosis and it had been assumed
that the disease could ultimately be eradicated.The emergence of resistant
strains of this bacteria and widespread distribution of the disease in the world,
and the emergence of the AIDS epidemic destroyed any possibility of global
control of tuberculosis in the foreseeable future. Objectives: The purpose of
this review is to highlight the current scientific literature on mycobacterial
infections and provide an overview on the laboratory diagnosis of tuberculosis
and non-tuberculosis infections based on conventional phenotypic and modern
molecular assays. Method: In this study, a number of 65 papers comprising 20
reviews, 9 case reports, and 36 original research in association with
mycobacteriosis and the laboratory diagnosis of mycobacterial infections, were
reviewed. Results: Based on our analysis on the published documents methods
applied for the laboratory diagnosis of tuberculosis are continually assessed and
developed in order to achieve more rapid, less expensive, and accurate results.
Acid-fast staining and culture for mycobacteria remain at the core of any
diagnostic algorithm with the sensitivity of 20-70% and specificity of 95-98% for
AFB microscopy and the sensitivity of 95% and the specificity of 98% for culture
based diagnosis. Following growth in culture, molecular tests such as nucleic
acid hybridization probes and DNA sequencing may be used for definitive species
identification. Nucleic acid amplification methods provide the means for direct
detection of Mycobacterium tuberculosis in respiratory specimens without the
prerequisite to isolate or culture the organism, leading to more rapid diagnosis
and better patient care. Conclusion: As the researchers in a developing country,
we strongly believe that despite significant advances in laboratory capacity, in
many countries reliable confirmation of suspected mycobacterial diseases is
hindered by a lack of knowledge on proper standardized methods, sufficient funds,
suitably trained staff and laboratory supplies.
PMID- 29785217
TI - Expression of Phi11 Gp07 Causes Filamentation in Escherichia coli.
AB - Background: The Gp07 protein of aureophage Phi11 exhibits growth inhibitory
effects when overexpressed in E. coli .The protein harbors two domains- an amino
terminal Bro-like domain and a carboxy terminal Ant superfamily like KilA domain,
of which the KilA domain retains the growth inhibitory effect of Gp07. Methods:
We studied the effects exerted by the overexpression of Gp07 and its separate
domains upon the growth rate as well as the morphology of the E. coli cells.
Additionally, we generated a mutant of Gp07 (designated as DeltaGp07) by deleting
the first eleven amino acid residues from the amino-terminal region of Gp07, and
studied its growth inhibitory effects upon E. coli. Results: Our results indicate
that Gp07, DeltaGp07 as well as the Carboxy-terminal region of Gp07 upon
overexpression, retards the growth rate of the E. coli cells and also induces
filamentation in the cells. Surprisingly, our data clearly suggests that the
growth inhibition and filamentation induced by the the amino-terminal domain of
Gp07 is temporal in nature. Conclusion: The carboxy-terminal of domain of gp07 is
essential for its activity.
PMID- 29785218
TI - Molecular Study of Quinolone Resistance Determining Regions of gyrA Gene and parC
Genes in Clinical Isolates of Acintobacter baumannii Resistant to
Fluoroquinolone.
AB - Introduction: Acinetobacterb aumannii (A. baumannii) is an important pathogen in
health care associated infections. Quinolone resistance has emerged in this
pathogen. Aims & Objectives: The aim of the present study was to determine the
presence of mutations of gyrA gene and parC genes by Restriction Fragment Length
Polymorphism Polymerase Chain Reaction (RFLP-PCR) among clinical isolates of A.
baumanii. Materials and Methods: The study was carried out on 140 clinical
isolates of A. baumannii. The isolates were subjected to molecular study of
mutations of gyrA gene and parC genes by RFLP-PCR beside determination of Minimal
Inhibitory Concentration (MIC) by macro dilution tube method. Results: The
isolates of A. baumannii were resistant to ciprofloxacine and levofloxacin at MIC
>4 ug/ml. The most isolates had MIC >128 ug/ml (42.3%). All resistant strains to
ciprofloxacin of A. baumannii had mutations in gyrA and parC. The most frequent
mutations were combined mutations in both genes (85.5%) and 5% had single
mutation either in gyrA or parC. The most frequently combined mutations were
associated with MIC >128 ug/ml (42.3%). Conclusion: From this study we can
conclude that resistance to ciprofloxacin was common in clinical isolates of A.
baumannii. The most frequent mutations were present in gyrA and parC. However,
mutations in parC alone were not uncommon. Further large scale studies are
required to elucidate the resistance pattern of A. baumannii and its molecular
mechanisms.
PMID- 29785219
TI - Assessing Methanogenic Archaeal Community in Full Scale Anaerobic Sludge Digester
Systems in Dubai, United Arab Emirates.
AB - Introduction: Anaerobic digestion for methane production comprises of an
exceptionally diverse microbial consortium, a profound understanding about which
is still constrained. In this study, the methanogenic archaeal communities in
three full-scale anaerobic digesters of a Municipal Wastewater Treatment Plant
were analyzed by Fluorescence in situ hybridization and quantitative real-time
Polymerase Chain Reaction (qPCR) technique. Methods & Materials: Fluorescence in
situ hybridization (FISH) was performed to detect and quantify the methanogenic
Archaea in the sludge samples whereas qPCR was carried out to support the FISH
analysis. Multiple probes targeting domain archaea, different orders and families
of Archaea were used for the studies. Results and Discussion: In general, the
aceticlastic organisms (Methanosarcinaceae & Methanosaetaceae) were more abundant
than the hydrogenotrophic organisms (Methanobacteriales, Methanomicrobiales,
Methanobacteriaceae & Methanococcales). Both FISH and qPCR indicated that family
Methanosaetaceae was the most abundant suggesting that aceticlastic
methanogenesis is probably the dominant methane production pathway in these
digesters. Conclusion: Future work involving high-throughput sequencing methods
and correlating archaeal communities with the main operational parameters of
anaerobic digesters will help to obtain a better understanding of the dynamics of
the methanogenic archaeal community in wastewater treatment plants in United Arab
Emirates (UAE) which in turn would lead to improved performance of anaerobic
sludge digesters.
PMID- 29785220
TI - Physicochemical and Microbiological Qualities' Assessment of Popular Bangladeshi
Mango Fruit Juice.
AB - Introduction: Mango juice has always been considered as a delicious, nutritious
popular drink, but processed juice may not always be safe due to chemical and
microbial risks. Determination of physicochemical and microbiological qualities
of some packed mango juices of Bangladesh will help consumers to know the present
scenario. Material and Methods: Six commercially available different juice
samples were collected from the market. Carbohydrate profiles were determined
using HPLC, crude protein content was calculated using the Kjeldahl method and
other parameters were determined by standard AOAC methods. Standard culture
techniques were followed to assess the total viable count (TVC), E. coli and
other fecal coliforms. Results: The highest quantity of monosaccharide (58.88%)
was recorded in the AC1ME5 brand, while the lowest in Homemade (5.648%) and
MN1GL2 (9.867%). The maximum content of acidity recorded was 0.24% and minimum
0.21%. The TSS content of all samples varied from 19% to 12%. The highest
quantity 6.87% and the lowest 3.62% of reducing sugar were recorded. Most of the
mango juices were low in protein and very low/negligible in fat content. Total
viable count of different types of fruit juices varied from 1*103 - 3*103 CFU/ml.
No significant amount of E. coli and fecal coliform was detected. Conclusion: It
can be concluded that the locally available mango juices contain a safe level of
nutritional and microbial elements for human consumption, but not highly
satisfactory.
PMID- 29785221
TI - CoCrMo alloy vs. UHMWPE Particulate Implant Debris Induces Sex Dependent Aseptic
Osteolysis Responses In Vivo using a Murine Model.
AB - Background: The rate of revision for some designs of total hip replacements due
to idiopathic aseptic loosening has been reported as higher for women. However,
whether this is environmental or inherently sex-related is not clear. Objective:
Can particle induced osteolysis be sex dependent? And if so, is this dependent on
the type of implant debris (e.g. metal vs polymer)? The objective of this study
was to test for material dependent inflammatory osteolysis that may be linked to
sex using CoCrMo and implant grade conventional polyethylene (UHMWPE), using an
in vivo murine calvaria model. Methods: Healthy 12 week old female and male
C57BL/6J mice were treated with UHMWPE (1.0um ECD) or CoCrMo particles (0.9um
ECD) or received sham surgery. Bone resorption was assessed by micro-computed
tomography, histology and histomorphometry on day 12 post challenge. Results:
Female mice that received CoCrMo particles showed significantly more inflammatory
osteolysis and bone destruction compared to the females who received UHMWPE
implant debris. Moreover, females challenged with CoCrMo particles exhibited 120%
more inflammatory bone loss compared to males (p<0.01) challenged with CoCrMo
implant debris (but this was not the case for UHMWPE particles). Conclusion: We
demonstrated sex-specific differences in the amount of osteolysis resulting from
CoCrMo particle challenge. This suggests osteo-immune responses to metal debris
are preferentially higher in female compared to male mice, and supports the
contention that there may be inherent sex related susceptibility to some types of
implant debris.
PMID- 29785222
TI - Proximal Femoral Bone Regeneration After an Uncemented Hydroxyapatite-coated Long
stem in Revision Hip Surgery.
AB - Background: Bone remodelling with lateral femoral cortex thinning is a major
concern after extensively porous-coated long-stem in revision surgery. Extensive
hydroxyapatite coated long-stems were introduced to improve osseointegration, but
bone remodelling changes have not been quantified. Objective: The question of
whether bone remodelling changes from extensive hydroxyapatite-coated long stems
influence the durability of femoral revision, clinical outcome is assessed in
follow-up radiographs. Methods: Uncemented straight monoblock hydroxyapatite
coated long-stems used in revision hip surgery for aseptic loosening were
assessed in a consecutive series of 64 hips (60 patients). Mean follow-up was 8.6
years and the mean age at surgery was 70 years (27-91). The pre-operative bone
defect was classified according to Paprosky. Cortical struts were not used in
this series. Cortical index and femoral cortical width were measured at three
different levels at different periods. Results: Four patients with pain under
level 4 due to stem loosening needed an exchange surgery of their femoral
component, but two patients rejected re-surgery. The cumulative probability of
not having aseptic loosening was 91.2% (95% confidence interval 73.5-96.9) at 10
years according to Kaplan and Meier. Twenty-seven of 35 osteolytic lesions had
disappeared or decreased at the last follow-up. The thickness of the lateral and
medial cortex increased over the course of the study at different levels.
Increases of femoral cortex thickness were greater in men and in cases with mild
bone defects. Conclusion: Although clinical outcome of the hydroxyapatite-coated
long stem in revision surgery is good but not outstanding, most osteolytic
lesions heal and the femoral cortex thickness increases at different levels.
PMID- 29785223
TI - Complications of the Fingers and Hand After Arthroscopic Rotator Cuff Repair.
AB - Background: Complications of the fingers and hand that occur after Arthroscopic
Rotator Cuff Repair (ARCR) have not been examined in detail. Objective: The aim
of our study was to evaluate the diagnosis and treatment of complications of the
fingers and hand that occur after ARCR and to examine treatment outcomes.
Methods: The case records of 40 patients (41 shoulders) who underwent ARCR using
suture anchors were retrospectively reviewed to investigate complications of the
fingers and hand after ARCR. Results: Twelve patients (29%) experienced numbness,
pain, edema, and movement limitations of the fingers and hand. These symptoms
occurred on average 1.1 months (range, 0.1-2.5 months) after ARCR. The diagnoses
were cubital tunnel syndrome in 2 hands, carpal tunnel syndrome in 3 hands, and
flexor tenosynovitis (TS) in 10 hands. None of the 10 hands with TS exhibited
triggering of the fingers. The mean interval between treatment initiation and
symptom resolution was 2.2 months for the 5 hands treated by corticosteroid
injection or surgery and 5.9 months for the 7 hands treated by alternating warm
and cold baths alone. None of the hands exhibited Complex Regional Pain Syndrome
(CRPS). Conclusion: Complications of the fingers and hand after ARCR were
observed in 29%. TS was the most frequent complication. When symptoms in the
fingers and hand occur after ARCR, rather than immediately suspecting CRPS, TS
should be primarily suspected, including when TS symptoms such as triggering are
not present, and these patients should be treated proactively using
corticosteroid injections or surgery.
PMID- 29785224
TI - Pseudarthrosis of the Calcaneus: Advantages of Regenerative Medicine in the
Management of a Rare Entity, A Case Report and Review of Literature.
AB - Background: The follow-up of calcaneal fractures has shown that they are
difficult to manage and lead to multiple complications such as malunion and
subtalar osteoarthritis. Pseudarthrosis of the calcaneus is an extremely rare
complication, which was described in the literature through case reports.In the
existing literature, only seven studies, including thirteen patients have
reported the nonunion. However, to the best of our knowledge, no study elucidates
the role of new techniques of regenerative medicine such as Bone Marrow
Concentrates (BMC) or Platelet Rich Plasma (PRP) in the management. Methods: We
report a case of a patient with a pseudarthrosis after a calcaneal fracture
treated with BMC injection in the non-union site, without the need for surgical
approach. Results: Four months after treatment, the patient was ambulant without
support and was completely pain-free. Moreover, after one year the radiological
follow up by CT scan showed a satisfactory filling of the non union. Conclusion:
In one case, we try to highlight the advantage of our therapeutic alternatives,
which are having a good union while avoiding the complications of surgical
approaches and without sacrificing the subtalar joint when it is possible.
PMID- 29785225
TI - Bipolar Dislocation of the Arm (Shoulder And Elbow): About One Case in an African
Teaching Hospital.
AB - We report an exceptional clinical case of an ipsilateral dislocation fracture of
the shoulder and right elbow, realizing a "swinging arm". Following a violent
road accident, patient S.I, a 43-year-old left-handed sports educator, presented
with an antero-medial shoulder dislocation fracture and a posterolateral
ipsilateral elbow fracture-dislocation. The reduction in urgency, followed by the
orthopedic compression by Mayo Clinic and functional rehabilitation, allowed
obtaining a good result after seven months. The ipsilateral bipolar dislocation
of the shoulder and elbow is an exceptional lesional entity. Its adequate care in
emergency makes it possible to obtain good anatomical and functional results.
PMID- 29785226
TI - Progressive Assessment of Ischemic Injury to White Matter Using Diffusion Tensor
Imaging: A Preliminary Study of a Macaque Model of Stroke.
AB - Background: Previous Diffusion Tensor Imaging (DTI) studies have demonstrated the
temporal evolution of stroke injury in grey matter and white matter can be
characterized by DTI indices. However, it still remains not fully understood how
the DTI indices of white matter are altered progressively during the hyperacute
(first 6 hours) and acute stage of stroke (<= 1 week). In the present study, DTI
was employed to characterize the temporal evolution of infarction and white
matter injury after stroke insult using a macaque model with permanent ischemic
occlusion. Methods and materials: Permanent middle cerebral artery (MCA)
occlusion was induced in rhesus monkeys (n=4, 10-21 years old). The brain lesion
was examined longitudinally with DTI during the hyperacute phase (2-6 hours,
n=4), 48 hours (n=4) and 96 hours (n=3) post-occlusion. Results: Cortical
infarction was seen in all animals. The Mean Diffusivity (MD) in lesion regions
decreased substantially at the first time point (2 hours post stroke) (35%, p
<0.05, compared to the contralateral side) and became pseudo-normalized at 96
hours. In contrast, evident FA reduction was seen at 48 hours (39%, p <0.10) post
stroke. MD reduction in white matter bundles of the lesion area was much less
than that in the grey matter during the hyper-acute phase but significant change
was observed 4 hours (4.2%, p < 0.05) post stroke . Also, MD pseudonormalisation
was seen at 96 hours post stroke. There was a significant correlation between the
temporal changes of MD in white matter bundles and those in whole lesion areas
during the entire study period. Meanwhile, no obvious fractional anisotropy (FA)
changes were seen during the hyper-acute phase in either the entire infarct
region or white matter bundles. Significant FA alteration was observed in entire
lesion areas and injured white matter bundles 48 and 96 hours post stroke. The
stroke lesion in grey matter and white matter was validated by pathological
findings. Conclusion: The temporal evolution of ischemic injury to the grey
matter and white matter from 2 to 96 hours after stroke onset was characterized
using a macaque model and DTI. Progressive MD changes in white matter bundles are
seen from hyperacute phase to acute phase after permanent MCA occlusion and
temporally correlated with the MD changes in entire infarction regions. MD
reduction in white matter bundles is mild in comparison with that in the grey
matter but significant and progressive, indicating it may be useful to detect
early white matter degeneration after stroke.
PMID- 29785227
TI - Alterations in the Three Components of Selfhood in Persons with Post-Traumatic
Stress Disorder Symptoms: A Pilot qEEG Neuroimaging Study.
AB - Background and Objective: Understanding how trauma impacts the self-structure of
individuals suffering from the Post-Traumatic Stress Disorder (PTSD) symptoms is
a complex matter and despite several attempts to explain the relationship between
trauma and the "Self", this issue still lacks clarity. Therefore, adopting a new
theoretical perspective may help understand PTSD deeper and to shed light on the
underlying psychophysiological mechanisms. Methods: In this study, we employed
the "three-dimensional construct model of the experiential selfhood" where three
major components of selfhood (phenomenal first-person agency, embodiment, and
reflection/narration) are related to three Operational Modules (OMs) of the self
referential brain network. These modules can be reliably estimated through
operational synchrony analysis of the Electroencephalogram (EEG). Six individuals
with PTSD symptoms and twenty-nine sex-, age- and demographic- (race, education,
marital status) matched healthy controls underwent resting state EEG signal
acquisition with the following estimation of the synchrony strength within every
OM. Results: Our results indicate that subjects with PTSD symptoms had
significantly stronger EEG operational synchrony within anterior and right
posterior OMs as well as significantly weaker EEG operational synchrony within
left posterior OM compared to healthy controls. Moreover, increased the
functional integrity of the anterior OM was positively associated with
hyperactivity symptoms, reduced synchrony of the left posterior OM was associated
with greater avoidance, and increased right posterior OM integrity was positively
correlated with intrusion and mood symptoms. Conclusion: The results are
interpreted in light of the triad model of selfhood and its theoretical and
clinical implications (including a new treatment approach) are discussed.
PMID- 29785228
TI - Evaluating the Utility of a Structured Clinical Protocol for Reducing the Impact
of Behavioural and Psychological Symptoms of Dementia in Progressive Neurological
Diseases: A Pilot Study.
AB - Objectives: Behavioural and psychological symptoms of dementia (BPSD) cause
significant distress to both aged care residents and staff. Despite the high
prevalence of BPSD in progressive neurological diseases (PNDs) such as multiple
sclerosis, Huntington's disease, and Parkinson's disease, the utility of a
structured clinical protocol for reducing BPSD has not been systematically
evaluated in PND populations. Method: Staff (n = 51) and individuals with a
diagnosis of PND (n = 13) were recruited into the study, which aimed to evaluate
the efficacy of a PND-specific structured clinical protocol for reducing the
impact of BPSD in residential aged care (RAC) and specialist disability
accommodation (SDA) facilities. Staff were trained in the clinical protocol
through face-to-face workshops, which were followed by 9 weeks of intensive
clinical supervision to a subset of staff ("behaviour champions"). Staff and
resident outcome measures were administered preintervention and immediately
following the intervention. The primary outcome was frequency and severity of
BPSD, measured using the Neuropsychiatric Inventory-Nursing Home Version (NPI
NH). The secondary outcome was staff coping assessed using the Strain in Dementia
Care Scale (SDCS). Results: In SDA, significant reductions in staff ratings of
job-related stress were observed alongside a statistically significant decrease
in BPSD from T1 to T2. In RAC, there was no significant time effect for BPSD or
staff coping; however, a medium effect size was observed for staff job stress.
Conclusions: Staff training and clinical support in the use of a structured
clinical protocol for managing BPSD were linked to reductions in staff job
stress, which may in turn increase staff capacity to identify indicators of
resident distress and respond accordingly. Site variation in outcomes may relate
to organisational and workforce-level barriers that may be unique to the RAC
context and should be systematically addressed in future RCT studies of larger
PND samples.
PMID- 29785229
TI - The Circular RNA hsa_circ_0001445 Regulates the Proliferation and Migration of
Hepatocellular Carcinoma and May Serve as a Diagnostic Biomarker.
AB - Circular RNAs (circRNA), a class of noncoding RNAs, have been found to be
involved in various diseases. Here, the expression levels of the circRNA
hsa_circ_0001445 in 73 pairs of hepatocellular carcinoma (HCC) and adjacent
nontumor tissues were investigated by quantitative real-time polymerase chain
reaction (qRT-PCR). Our data demonstrate that the hsa_circ_0001445 levels were
significantly decreased in HCC tissues (P < 0.001) and markedly associated with
the number of tumor foci (P = 0.014). Furthermore, in vitro approaches showed
that overexpression of hsa_circ_0001445 promoted apoptosis and inhibited
proliferation, migration, and invasion of HCC-derived cells, suggesting that
hsa_circ_0001445 might be involved in the development of HCC. In addition, we
found that the plasma hsa_circ_0001445 transcription levels in HCC patients were
lower than those in cirrhosis (P < 0.001) and hepatitis B (P < 0.001) patients as
well as in healthy controls (P < 0.001). In fact, receiver operating
characteristic curve analysis indicated that plasma hsa_circ_0001445 could be a
fairly accurate marker to distinguish HCC cases from healthy controls as well as
patients with cirrhosis or hepatitis B.
PMID- 29785230
TI - Refractive indices of layers and optical simulations of Cu(In,Ga)Se2 solar cells.
AB - Cu(In,Ga)Se2 based solar cells have reached efficiencies close to 23%. Further
knowledge-driven improvements require accurate determination of the material
properties. Here, we present refractive indices for all layers in Cu(In,Ga)Se2
solar cells with high efficiency. The optical bandgap of Cu(In,Ga)Se2 does not
depend on the Cu content in the explored composition range, while the absorption
coefficient value is primarily determined by the Cu content. An expression for
the absorption spectrum is proposed, with Ga and Cu compositions as parameters.
This set of parameters allows accurate device simulations to understand remaining
absorption and carrier collection losses and develop strategies to improve
performances.
PMID- 29785231
TI - Advantages of evaluating gammaH2AX induction in non-clinical drug development.
AB - gammaH2AX, the phosphorylated form of a histone variant H2AX at Ser 139, is
already widely used as a biomarker to research the fundamental biology of DNA
damage and repair and to assess the risk of environmental chemicals, pollutants,
radiation, and so on. It is also beginning to be used in the early non-clinical
stage of pharmaceutical drug development as an in vitro tool for screening and
for mechanistic studies on genotoxicity. Here, we review the available
information on gammaH2AX-based test systems that can be used to develop drugs and
present our own experience of practically applying these systems during the non
clinical phase of drug development. Furthermore, the potential application of
gammaH2AX as a tool for in vivo non-clinical safety studies is also discussed.
PMID- 29785233
TI - Therapeutic Outcome of Intralesional Immunotherapy in Cutaneous Warts Using the
Mumps, Measles, and Rubella Vaccine: A Randomized, Placebo-controlled Trial.
AB - Background: Various treatment modalities have been described in the literature
for treating warts, but none thus far have demonstrated optimal results.
Recently, the mumps, measles, and rubella (MMR) antigen has gained popularity
because of its proven efficacy in the treatment of warts. Aim: The goal was to
evaluate the efficacy and safety of intralesional MMR antigen in the treatment of
cutaneous warts. Methods: Patients were divided into an MMR (study) group and a
normal saline (control) group. Injections were administered into the single
largest wart on each patient every two weeks. Follow-up was done at six weeks and
16 weeks after the last injection for any side effects and/or recurrence.
Results: Out of 150 patients, 72 received the MMR injection and 50 received
normal saline injections. Twenty-eight patients did not complete the study. A
statistically significant (p<0.00001) difference in results was found between the
two groups: 68 percent of patients in the MMR group showed complete response
compared to 10 percent in the control group. Pain during injection was the most
common side effect and was seen in both groups. Conclusion: MMR injection has
shown significant results with almost negligible adverse effects. The MMR antigen
vaccine has therapeutic potential as a treatment for warts with its demonstrated
efficacy, safety profile, and cost-effectiveness.
PMID- 29785232
TI - Effects of Fasudil on Patients with Pulmonary Hypertension Associated with Left
Ventricular Heart Failure with Preserved Ejection Fraction: A Prospective
Intervention Study.
AB - Background: Pulmonary hypertension due to left ventricular heart failure with
preserved ejection fraction (PH-HFpEF) is an increasingly medical problem. The
aim of the study was to evaluate the clinical efficacy of fasudil on PH-HFpEF
elderly patients and to figure out the subtype of PH-HFpEF which may be the
therapeutic object of fasudil. Method: 58 PH-HFpEF elderly patients were
enrolled. Patients were diagnosed with passive pulmonary hypertension (PPH) or
reactive pulmonary hypertension (RPH) by right heart catheterization and all
receiving Rho kinase inhibitor fasudil for 2 weeks. The endpoint includes changes
in SpO2, NT-pro BNP, cardiac functional classification, and echocardiography
measurements after 2 weeks treatment. Results: The course of disease in the RPH
group was longer than the PPH group (p < 0.05). Cardiac output was found to be
worse in the RPH group than the PPH group (p < 0.01). Besides, the RPH group
demonstrated a greater transpulmonary pressure gradient (TPG) and pulmonary
vascular resistance (PVR) than the PPH group (p < 0.01 for both) as well as
pulmonary arterial systolic pressure (PASP) and mean pulmonary arterial pressure
(mPAP) (p < 0.01 for both), which fits the feature of RPH. After treatment of
fasudil, in RPH group, PASP significantly decreased (p < 0.01) with decreased
E/E' and increased E/A (p < 0.05 for both), indicating that pulmonary
haemodynamics and cardiac diastolic function were ameliorated, but the
measurements in the PPH group had no significant changes. NT-pro BNP and 6 MWD of
both groups were improved (p < 0.05). The total effective rate of the RPH group
was 74.29%, which was higher than 47.83% of the PPH group (p < 0.05). Conclusion:
The Rho kinase inhibitor fasudil can improve pulmonary and left ventricular
haemodynamics in patients with PH-HFpEF. The total effective rate was higher in
the RPH group. Fasudil may be a promising targeted drug for the RPH in PH-HFpEF
patients. This trial is registered with ChiCTR-INR-16009511.
PMID- 29785234
TI - New Landmarks for the Surgical Creation of Dimples Based on Facial Form.
AB - Dimleplasty is the surgical creation of dimples. The demand for dimpleplasty has
increased over the past few years. Despite this increasing demand, the most
widely used reference point for determining where to place a surgically created
dimple, the Khoo Boo Chai (KBC) point, dates back more than 60 years. The aim of
our study was to assess the facial shape of a sample of men and women, all of
whom had natural dimples, to determine if characteristic patterns in dimple size,
location, and shape existed according to each specific facial shapes. For our
study, 1,194 people were examined for dimples and of these, 216 individuals with
a group total of 336 naturally occurring dimples were included in the study.
Facial form was categorized as mesoprosopic, euryprosopic, or leptoprosopic. We
found that 54.8 percent of the total dimples were not positioned on the KBC
point. In mesoprosopic group, out of 204 dimples, 117 were not positioned on the
KBC point (87 were); in leptoprosopic group, out of 66 dimples, 30 dimples were
not positioned on the KBC point (36 were); and in the euryprosopic group, out of
66 dimples, 36 were not positioned on the KBC point (30 were). Most of the
dimples in the mesoprosopic group were positioned 4mm anterior to the KBC point,
and in the eryprosopic group, most dimples were positioned 3mm above and behind
the KBC point. Most of the dimples in the leptoprosopic group were positioned on
the KBC point. We also observed differing patterns in size and shape between the
groups. Understanding these differences could help surgeons achieve optimal
outcomes by creating more natural looking and thus more aesthetically pleasing
dimples among their patients seeking dimpleplasty.
PMID- 29785235
TI - An Open-label, Observational Study Evaluating Desoximetasone Topical Spray 0.25%
in Patients with Scalp Psoriasis.
AB - Objective: The goal of this study was to evaluate efficacy and safety of
desoximetasone spray 0.25%, a topical corticosteroid, in the management of scalp
and body psoriasis. Design: This was an open-label, observational study.
Participants: Twenty adults aged 18 years or older with chronic scalp psoriasis
present on at least 30 percent of the scalp surface area and an Investigator
Global Assessment (IGA) scale score of scalp disease of at least 2 on a scale of
0 to 4 were included in the study. Measurements: Study spray was applied twice
daily for four weeks, followed by 12 weeks of twice-daily application for two
consecutive days weekly. Results: At Week 4, the mean Physician Global Assessment
(PGA) scale score had decreased 54.8 percent, from moderate disease to almost
clear. Body surface area (BSA) had decreased by 51.2 percent, BSA * PGA had
decreased by 63 percent, and scalp IGA had decreased by 64.5 percent from
moderate to almost clear. Additionally, mean Psoriasis Scalp Severity Index
(PSSI) score was 27.3+/-10.0 at baseline and decreased 82.4 percent to 4.8+/-5.2
and scalp surface area (SSA) was reduced by 70.7 percent at Week 4. The initial
Scalp Index score was a mean of 65.7+/-15.0 at baseline and was reduced by 44.3
percent and 40.8 percent at Weeks 4 and 16, respectively. The initial response
was maintained after a change to twice-weekly, twice-daily dosing, with a 48.4
percent decrease in PGA, a 17.1-percent decrease in BSA, a 31.5-percent decrease
in BSA * PGA, a 51.6-percent decrease in scalp IGA, a 63.4 percent decrease in
PSSI, and a 42.3-percent decrease in SSA seen at Week 16. Minimal adverse events
were experienced by seven subjects. Conclusion: Desoximetasone spray 0.25%
produced rapid improvements in PGA, BSA, BSA*PGA, scalp IGA, PSSI, SSA.
PMID- 29785236
TI - The Efficacy of a Ceramide-based Cream in Mild-to-moderate Atopic Dermatitis.
AB - Objective: The goal of this study was to determine if a therapeutic cream
containing PC-104 synthetic ceramides and other ingredients could help to
ameliorate signs and symptoms of mild-to-moderate atopic dermatitis and other
xerotic or pruritic dermatoses. Design: In this single-site, four-week study,
subjects (n=50) were instructed to apply the study product three times daily to
all affected areas, including a target site for evaluation and photography at
baseline, Week 2, and Week 4 visits. Setting: This study took place in the
private practice of the senior author. Participants: Eligible subjects with mild
to-moderate sensitive skin were included. Measurements: Evaluations included
Investigator Global Assessment (IGA) scale score; subject satisfaction
assessments; and noninvasive assessments of transepidermal water loss, increases
in water content of the skin, and improvements in skin smoothness. Results: Use
of the ceramide cream resulted in a 100-percent improvement in IGA scores and a
67-percent improvement in overall subject skin assessment scores after four weeks
of use in individuals with sensitive skin conditions. Improvements were
statistically significant. Statistically significant improvements were also
observed in transepidermal water loss, water content of the skin, and skin
smoothness. Adverse events were not observed. Conclusion: These data demonstrate
that a proprietary combination of ceramide PC-104, palmitamide MEA,
glycerrhetinic acid, and grape seed extract in a glycerin, dimethicone, and
petrolatum vehicle was effective in reducing the signs and symptoms of mild-to
moderate atopic dermatitis and other types of pruritic dermatoses (e.g., senile
itch, cosmetic intolerance syndrome) in children and adults.
PMID- 29785237
TI - Early Onset of Clinical Improvement with Ixekizumab in a Randomized, Open-label
Study of Patients with Moderate-to-severe Plaque Psoriasis.
AB - Objective: The purpose of this study was to evaluate the speed of onset of
clinical response to ixekizumab (IXE) and assess the progression of visible
improvement in patients with moderate-to-severe plaque psoriasis. Design: This
was an interventional, randomized, open-label, Phase IIIb clinical trial.
Setting: This was a single center study at the Mount Sinai School of Medicine.
Participants: Twelve patients were randomized at a ratio of 1:1 to receive 80mg
of ixekizumab every two (IXE Q2W) or four (IXE Q4W) weeks following a starting
dose of 160mg of ixekizumab. After Week 12, all patients received 80mg IXE Q4W
through Week 44. Measurements: Clinical response was measured using the Patient's
Global Assessment (PatGA), the Psoriasis Area and Severity Index (PASI), the
static Physician's Global Assessment (sPGA), and the Itch Numeric Rating Scale
(Itch NRS). Sequential patient photographs were taken at regular intervals during
the study to evaluate visible improvement in plaque psoriasis. Results: The
median time to an improvement of at least 1 point or 2 points from baseline in
PatGA score was 5.0 and 10.0 days for patients randomized to IXE Q2W and 6.0 and
13.5 days for patients randomized to IXE Q4W. All patients achieved at least a 50
or 75-percent improvement in PASI from baseline by Weeks 2 and 4, respectively.
At least half of the patients achieved at least a 4-point improvement from
baseline in Itch NRS by Day 14. Improvement in disease was visibly evident within
one week of treatment in patient photographs. Conclusion: Ixekizumab results in a
rapid and visible improvement in plaque psoriasis in as early as one week of
treatment.
PMID- 29785238
TI - Intralesional Injection of Triamcinolone Acetonide for Subcutaneous Lipoma
causing Musculoskeletal and Neurologic Symptoms.
AB - Objective: Benign subcutaneous lipomas can cause musculoskeletal pain and nerve
impingement. We hypothesized that the potent lipolytic and atrophic effect of
40mg/mL triamcinolone acetonide would atrophy symptomatic lipomas so surgical
excision could be avoided. Design: This was a cohort study. Setting: This study
took place in an ultrasound injection clinic. Participants: Eight subjects with
painful symptomatic lipoma were included. Measurements: Preprocedurally, the
margins of the lipomas were palpated and marked with ink, then measured in
centimeters (cm). Small lipomas (1-3cm) were injected with 40mg triamcinolone
acetonide, while large lipomas (4-6cm) were injected with 80mg of triamcinolone
acetonide. The subjects were reassessed at a four-month follow-up appointment and
then again at one year and two years after the procedure. Results: Pre-injection,
all eight subjects had symptoms related to impingement or pain with compression
of the lipoma. At four months post-injection, none of the patients had symptoms
attributable to the lipoma (p<0.001). The mean lipoma palpable dimension was
5.0+/-1.2cm prior to the injection and was 2.0+/-1.1cm at four months after the
injection, with a significant mean 3.0+/-0.3cm (60%) reduction in lipoma
dimensions (p<0.001). Two subjects demonstrated some mild hypopigmentation of the
skin at four months post-injection. Within two years, three lipomas had
symptomatically recurred, one of which was removed surgically and the two of
which were reinjected. There were no infections or other serious adverse
reactions that occurred. Conclusions: For individuals with painful subcutaneous
lipoma, intralesional injection of 40mg/mL of triamcinolone acetonide is an
effective and safe alternative to surgical excision or injection of sclerosing
agents and should be considered as a reasonable therapeutic alternative in select
patients.
PMID- 29785239
TI - Blaschko-linear "Congenital Mixed Hemato-lymphangio-keratoma Serpiginosum"
Naeviforme: A New Hybrid Entity or Various Morphological Reflections of the Two
Different Vascular Abnormalities?
AB - We present the case of a 15-year-old girl who presented with an unusual grouping
of lesions on her upper left leg. The lesions had been present since birth. The
patient had five different types of lesions: 1) transparent grouped or scattered
yellowish vesicles; 2) keratotic-surfaced, grouped dark-yellowish papules; 3)
bright-red grouped papules; 4) keratotic-surfaced grouped dark-red papules; and
5) patchy, punctate, and erythematous red macules. All of the lesions were
intertwined along the lines of Blaschko and were in the form of irregular
serpiginous plaques. Histopathological examinations of the lesions showed three
main histological features, and diagnoses of the lesions were made as
lymphangioma circumscriptum, lymphangiokeratoma, and verrucous hemangioma. To the
best of our knowledge, such intertwined lesions have never been reported in the
literature. Hence, we suggest that the name of this unique combination of lesions
be "congenital nevoid mixed hemato-lymphangio-keratoma serpiginosum."
PMID- 29785240
TI - This month's guideline: Visual Loss Secondary to Cosmetic Filler Injection.
PMID- 29785243
TI - Oxidative Stress in Aging Brain: Nutritional and Pharmacological Interventions
for Neurodegenerative Disorders.
PMID- 29785241
TI - An In Vivo Zebrafish Model for Interrogating ROS-Mediated Pancreatic beta-Cell
Injury, Response, and Prevention.
AB - It is well known that a chronic state of elevated reactive oxygen species (ROS)
in pancreatic beta-cells impairs their ability to release insulin in response to
elevated plasma glucose. Moreover, at its extreme, unmitigated ROS drives
regulated cell death. This dysfunctional state of ROS buildup can result both
from genetic predisposition and environmental factors such as obesity and
overnutrition. Importantly, excessive ROS buildup may underlie metabolic
pathologies such as type 2 diabetes mellitus. The ability to monitor ROS dynamics
in beta-cells in situ and to manipulate it via genetic, pharmacological, and
environmental means would accelerate the development of novel therapeutics that
could abate this pathology. Currently, there is a lack of models with these
attributes that are available to the field. In this study, we use a zebrafish
model to demonstrate that ROS can be generated in a beta-cell-specific manner
using a hybrid chemical genetic approach. Using a transgenic nitroreductase
expressing zebrafish line, Tg(ins:Flag-NTR)s950 , treated with the prodrug
metronidazole (MTZ), we found that ROS is rapidly and explicitly generated in
beta-cells. Furthermore, the level of ROS generated was proportional to the
dosage of prodrug added to the system. At high doses of MTZ, caspase 3 was
rapidly cleaved, beta-cells underwent regulated cell death, and macrophages were
recruited to the islet to phagocytose the debris. Based on our findings, we
propose a model for the mechanism of NTR/MTZ action in transgenic eukaryotic
cells and demonstrate the robust utility of this system to model ROS-related
disease pathology.
PMID- 29785245
TI - Comment on "Role of Mitochondrial Genome Mutations in Pathogenesis of Carotid
Atherosclerosis".
PMID- 29785244
TI - More than Just an Immunosuppressant: The Emerging Role of FTY720 as a Novel
Inducer of ROS and Apoptosis.
AB - Fingolimod hydrochloride (FTY720) is a first-in-class of sphingosine-1-phosphate
(S1P) receptor modulator approved to treat multiple sclerosis by its
phosphorylated form (FTY720-P). Recently, a novel role of FTY720 as a potential
anticancer drug has emerged. One of the anticancer mechanisms of FTY720 involves
the induction of reactive oxygen species (ROS) and subsequent apoptosis, which is
largely independent of its property as an S1P modulator. ROS have been considered
as a double-edged sword in tumor initiation/progression. Intriguingly, prooxidant
therapies have attracted much attention due to its efficacy in cancer treatment.
These strategies include diverse chemotherapeutic agents and molecular targeted
drugs such as sulfasalazine which inhibits the CD44v-xCT (cystine transporter)
axis. In this review, we introduce our recent discoveries using a chemical
genomics approach to uncover a signaling network relevant to FTY720-mediated ROS
signaling and apoptosis, thereby proposing new potential targets for combination
therapy as a means to enhance the antitumor efficacy of FTY720 as a ROS
generator. We extend our knowledge by summarizing various measures targeting the
vulnerability of cancer cells' defense mechanisms against oxidative stress.
Future directions that may lead to the best use of FTY720 and ROS-targeted
strategies as a promising cancer treatment are also discussed.
PMID- 29785247
TI - Being enjoyably challenged is the key to an enjoyable gaming experience: an
experimental approach in a first-person shooter game.
AB - Applied to video games, Csikszentmihalyi's work on flow evidences that a positive
gaming experience is intrinsically self-rewarding and primarily determined by the
skill/challenge balance. A multi-layered measure of enjoyment is built to take
these components into account. Gamers were asked to report the concentration
enjoyment they experienced during a first-person shooter game, and to better
assess the gap between skill and challenge, the challenge enjoyment was also
rated. Along with concentration level, concentration enjoyment is used to build a
gaming experience typology that accounts for the self-rewarding component. An
enjoyment-based challenge mapping is also drawn up, crossing challenge enjoyment
and challenge level. The results show that this integrative enjoyment measure
strengthens the causal link between challenge and gaming experience. Most
importantly, the findings suggest that challenge or concentration-based enjoyment
measures outweigh the standard concentration and difficulty measures as they are
more likely to ensure a pleasant and positive experience (flow or relaxation) for
the gamers. Indeed, regardless of the reported level of challenge, a gamer is
more likely to have a positive experience when challenged at a level she
perceives as pleasant. This article emphasizes the importance for game publishers
of gathering enjoyment-based concentration and challenge assessments to ensure a
positive gaming experience and gamers' commitment.
PMID- 29785242
TI - Role of Oxidative Stress as Key Regulator of Muscle Wasting during Cachexia.
AB - Skeletal muscle atrophy is a pathological condition mainly characterized by a
loss of muscular mass and the contractile capacity of the skeletal muscle as a
consequence of muscular weakness and decreased force generation. Cachexia is
defined as a pathological condition secondary to illness characterized by the
progressive loss of muscle mass with or without loss of fat mass and with
concomitant diminution of muscle strength. The molecular mechanisms involved in
cachexia include oxidative stress, protein synthesis/degradation imbalance,
autophagy deregulation, increased myonuclear apoptosis, and mitochondrial
dysfunction. Oxidative stress is one of the most common mechanisms of cachexia
caused by different factors. It results in increased ROS levels, increased
oxidation-dependent protein modification, and decreased antioxidant system
functions. In this review, we will describe the importance of oxidative stress in
skeletal muscles, its sources, and how it can regulate protein
synthesis/degradation imbalance, autophagy deregulation, increased myonuclear
apoptosis, and mitochondrial dysfunction involved in cachexia.
PMID- 29785248
TI - Using multicriteria decision analysis during drug development to predict
reimbursement decisions.
AB - Background: Pharmaceutical companies design clinical development programs to
generate the data that they believe will support reimbursement for the
experimental compound. Objective: The objective of the study was to present a
process for using multicriteria decision analysis (MCDA) by a pharmaceutical
company to estimate the probability of a positive recommendation for
reimbursement for a new drug given drug and environmental attributes. Methods:
The MCDA process included 1) selection of decisions makers who were
representative of those making reimbursement decisions in a specific country; 2)
two pre-workshop questionnaires to identify the most important attributes and
their relative importance for a positive recommendation for a new drug; 3) a 1
day workshop during which participants undertook three tasks: i) they agreed on a
final list of decision attributes and their importance weights, ii) they
developed level descriptions for these attributes and mapped each attribute level
to a value function, and iii) they developed profiles for hypothetical products
'just likely to be reimbursed'; and 4) use of the data from the workshop to
develop a prediction algorithm based on a logistic regression analysis. The MCDA
process is illustrated using case studies for three countries, the United
Kingdom, Germany, and Spain. The extent to which the prediction algorithms for
each country captured the decision processes for the workshop participants in our
case studies was tested using a post-meeting questionnaire that asked the
participants to make recommendations for a set of hypothetical products. Results:
The data collected in the case study workshops resulted in a prediction
algorithm: 1) for the United Kingdom, the probability of a positive
recommendation for different ranges of cost-effectiveness ratios; 2) for Spain,
the probability of a positive recommendation at the national and regional levels;
and 3) for Germany, the probability of a determination of clinical benefit. The
results from the post-meeting questionnaire revealed a high predictive value for
the algorithm developed using MCDA. Conclusions: Prediction algorithms developed
using MCDA could be used by pharmaceutical companies when designing their
clinical development programs to estimate the likelihood of a favourable
reimbursement recommendation for different product profiles and for different
positions in the treatment pathway.
PMID- 29785246
TI - Modulating Metabolism to Improve Cancer-Induced Muscle Wasting.
AB - Muscle wasting is one of the main features of cancer cachexia, a multifactorial
syndrome frequently occurring in oncologic patients. The onset of cachexia is
associated with reduced tolerance and response to antineoplastic treatments,
eventually leading to clinical conditions that are not compatible with survival.
Among the mechanisms underlying cachexia, protein and energy dysmetabolism play a
major role. In this regard, several potential treatments have been proposed,
mainly on the basis of promising results obtained in preclinical models. However,
at present, no treatment yet reached validation to be used in the clinical
practice, although several drugs are currently tested in clinical trials for
their ability to improve muscle metabolism in cancer patients. Along this line,
the results obtained in both experimental and clinical studies clearly show that
cachexia can be effectively approached by a multidirectional strategy targeting
nutrition, inflammation, catabolism, and inactivity at the same time. In the
present study, approaches aimed to modulate muscle metabolism in cachexia will be
reviewed.
PMID- 29785249
TI - Conflict of interest in Health Technology Assessment decisions: case law in
France and impact on reimbursement decisions.
AB - The slow reaction of French authorities to the so-called Mediator(r) saga in 2009
in France led to investigations that questioned the way conflicts of interest are
reported. France implemented the Loi Bertrand ('Bertrand Law') in May 2013, known
as the 'French Sunshine Act', with the aim of specifying the scope of disclosure
obligations. This policy research reviewed the Loi Bertrand and reported case law
from the French Council of State (COS) related to conflicts of interest in French
Health technology assessment (HTA) opinion. The Loi Bertrand requires the
publication of most of the agreements concluded between health-care professionals
and companies and covers a vast range of health products. Commercial sales
agreements of goods and services concluded between manufacturers and health-care
professionals are a strong exception to this disclosure obligation. Six cases
examined by the COS were analyzed, most of them related to the publication of
guidelines or the removal of products from the list of reimbursed drugs and
devices. These cases have been reviewed, as well as the impact of the ruling on
reimbursement decisions. Four cases led to suspension or invalidation of
decisions based on the Haute Autorite de Sante (HAS) recommendations due to
conflicts of interest. In the two other cases, the HAS provided post hoc
declarations of interest when required by the COS, and the COS considered the
conflicts of interest as irrelevant for the decision. It appears that the COS
based its decisions on two main criteria: the acknowledgement of negative
conflicts of interest (a link with competitors) and the absence of declarations
of conflicts of interest, which have to be presented when required by legal
authorities irrespective of when they were completed (even posterior to the HAS
opinion). However, the number of cases that have been decided against the HAS
remains very limited with respect to the volume of assessments performed yearly.
The strengthening of the regulation on declarations of interest might lead to
more transparency but also to more cases decided by the COS. A new press
investigation (in March 2015) related to alleged cases of conflict of interests
led policy makers to amend the Bertrand Law in April 2015 and require the
disclosure of amounts paid to health-care professionals by the industry.
PMID- 29785250
TI - Pharmaceutical Market Access: current state of affairs and key challenges -
results of the Market Access Launch Excellence Inventory (MALEI).
AB - Objectives: To take inventory of the current state of affairs of Market Access
Launch Excellence in the life sciences industry. To identify key gaps and
challenges for Market Access (MA) and discuss how they can be addressed. To
generate a baseline for benchmarking MA launch excellence. Methodology: An online
survey was conducted with pharmaceutical executives primarily working in MA,
marketing, or general management. The survey aimed to evaluate MA excellence
prerequisites across the product life cycle (rated by importance and level of
implementation) and to describe MA activity models in the respective companies.
Composite scores were calculated from respondents' ratings and answers. Results:
Implementation levels of MA excellence prerequisites generally lagged behind
their perceived importance. Item importance and the respective level of
implementation correlated well, which can be interpreted as proof of the validity
of the questionnaire. The following areas were shown to be particularly
underimplemented: 1) early integration of MA and health economic considerations
in research and development decision making, 2) developing true partnerships with
payers, including the development of services 'beyond the pill', and 3)
consideration of human resource and talent management. The concept of importance
adjusted implementation levels as a hybrid parameter was introduced and shown to
be a viable tool for benchmarking purposes. More than 70% of respondents
indicated that their companies will invest broadly in MA in terms of capital and
headcount within the next 3 years. Conclusions: MA (launch) excellence needs to
be further developed in order to close implementation gaps across the entire
product life cycle. As MA is a comparatively young pharmaceutical discipline in a
complex and dynamic environment, this effort will require strategic focus and
dedication. The Market Access Launch Excellence Inventory benchmarking tool may
help guide decision makers to prioritize their endeavors.
PMID- 29785251
TI - Towards a more comprehensive approach for a total economic assessment of
vaccines?: 1. The building blocks for a health economic assessment of
vaccination.
AB - Since we were born, we all take preventative actions to avoid unpredictable
adverse conditions. Some actions are done automatically. Others require a
conscious choice , either for personal or social benefit. A distinction can
therefore be drawn between non-active and active prevention, and between
individual and social prevention. Active prevention requires making a choice in
time, effort, and cost. We call it an economic choice. Vaccines belong to the
group of active and social prevention. Because a vaccination program is an
economic social choice, how should it be valued, and what cost should we pay for?
To date, the economic evaluations developed for treatment have been applied to
vaccines. However, over 25 different characteristics differentiate vaccines from
treatment. For example, the benefit of vaccination is measured at the population
level not at the individual level, the main effect of prevention is societal and
not an individual-based gain only, and the biggest hurdle to implement a new
vaccine is the initial budget investment and not so much its estimated 'value for
money'. This makes the current application of incremental cost-utility analysis
difficult for vaccines for a comprehensive evaluation. New approaches may be
needed to capture the full economic benefit of vaccines..
PMID- 29785252
TI - 2. How is the economic assessment of vaccines performed today?
AB - This paper describes how the economic assessment of vaccines is performed today.
It discusses why it may be incomplete and explores potential approaches to adjust
the analysis to be more comprehensive. Besides helping protect against serious
disease, vaccines also help avoid mild disease episodes that may not receive
medical attention but which have important societal consequences. They also
benefit unvaccinated individuals by reducing disease transmission. Wider societal
benefits may extend beyond a decrease in disease incidence, as lower transmission
rates reduce the risk of epidemics, which in turn reduces the pressure on
healthcare providers, and may improve the quality of care for patients with
unrelated diseases. Vaccines also lower the use of antibiotics leading to less
pressure on anti-microbial resistance. Conventional ICUA focuses on individual
health benefits, like increased survival. Therefore, this approach may not
adequately capture the wider vaccination benefits. We discuss differences between
treatment and vaccine prevention in the economic assessment, and how ICUA has
been adapted to cope with the inconsistencies. Although such adaptations may
fulfil the demand of one specific stakeholder, they may not meet the needs of
other stakeholders who operate at the societal level, such as ministries other
than healthcare, employers, caregivers, and insurers.
PMID- 29785254
TI - Low rates of patient-reported outcome claims for orphan drugs approved by the us
food and drug administration.
AB - Background: Claims included in package inserts (PIs) for medicinal products
approved by the US Food and Drug Administration (FDA) constitute the regulatory
definition of drugs' benefits and risks. Objective: We sought to assess the usage
of patient-reported outcome (PRO) claims in a comprehensive set of US FDA orphan
drug approvals dated between 1/1/2012 and 31/12/2016, and characterize them.
Study design: Orphan drug approval documentation was obtained from the US FDA
website. Drug Package Inserts (PI) were analyzed to extract information on PRO
related language. Results: Among 178 drugs that met inclusion criteria, 16 (9%)
products approved for 16 orphan indications contained PRO language in the
Clinical Studies section of the PI. All PRO instruments concerned disease
symptoms, and two also referred to patient functioning. The most common PRO
instrument was a bleed-specific rating scale for four products approved for the
treatment or prevention of bleeding episodes in patients with genetic bleeding
disorders. Conclusions: There is a need to implement public incentives for
academic development of PRO instruments for rare conditions and for regulatory
policies that mandate the collection of PRO endpoints in pivotal trials of orphan
drugs.
PMID- 29785253
TI - 3. How comprehensive can we be in the economic assessment of vaccines?
AB - In two previous papers we argued on current vaccines economic assessment not
fully comprehensive when using the incremental cost-utility analysis normally
applied for treatments. Many differences exist between vaccines and drug
treatments making vaccines economic evaluation more cumbersome. Four challenges
overwhelmingly present in vaccines assessment are less important for treatments:
requirements for population, societal perspectives, budget impact evaluation, and
time focused objectives (control or elimination). Based on this, economic
analysis of vaccines may need to be presented to many different stakeholders with
various evaluation preferences, in addition to the current stakeholders involved
for drugs treatment assessment. Then, we may need a tool making the inventory of
the different vaccines health economic assessment programmes more comprehensive.
The cauliflower value toolbox has been developed with that aim, and its use is
illustrated here with rotavirus vaccine. Given the broader perspectives for
vaccine assessment, it provides better value and cost evaluations. Cost-benefit
analysis may be the preferred economic assessment method when considering
substitution from treatment to active medical prevention. Other economic
evaluation methods can be selected (i.e. optimisation modelling, return on
investment) when project prioritisation is the main focus considered and when
stakeholders would like to influence the development of the healthcare programme.
PMID- 29785255
TI - Feasibility of high-intensity training in asthma.
AB - Background: High-intensity interval training is an effective and popular training
regime but its feasibility in untrained adults with asthma is insufficiently
described. Objective: The randomized controlled trial 'EFFORT Asthma' explored
the effects of behavioural interventions including high-intensity interval
training on clinical outcomes in nonobese sedentary adults with asthma. In this
article we present a sub analysis of data aiming to evaluate if patients' pre
intervention levels of asthma control, FEV1, airway inflammation and airway
hyperresponsiveness (AHR) predicted their training response to the high-intensity
interval training program, measured as increase in maximal oxygen consumption
(VO2max). Design: We used data from the EFFORT Asthma Study. Of the 36 patients
randomized to the 8-week exercise intervention consisting of high-intensity
training three times per week, 29 patients (45% females) completed the study and
were included in this data analysis. Pre-intervention assessment included the
asthma control questionnaire (ACQ), spirometry, fractional exhaled nitric oxide
(FeNO) and AHR to mannitol. VO2 max was measured during an incremental cycle
test. Results: The majority of included patients had partly or uncontrolled
asthma reflected by a mean (SD) ACQ at 1.7 (0.6). Median (IQR) FeNO was 28.5
(23.8) ppb and 75% had a positive mannitol test indicating AHR. The association
between patients' training response measured as increase in VO2max and pre
intervention ACQ scores was not statistically significant (p = 0.49). Likewise,
the association between patients' increase in VO2max and FeNO as well as AHR was
not statistically significant (p = 0.80 and p = 0.58). Conclusions: Included
asthma patients could adhere to the high-intensity interval protocol and improve
their VO2max regardless of pre-intervention levels of asthma control, airway
inflammation and AHR.
PMID- 29785256
TI - Job titles classified into socioeconomic and occupational groups identify
subjects with increased risk for respiratory symptoms independent of occupational
exposure to vapour, gas, dust, or fumes.
AB - Objectives: To evaluate the ability of three different job title classification
systems to identify subjects at risk for respiratory symptoms and asthma by also
taking the effect of exposure to vapours, gas, dust, and fumes (VGDF) into
account. Background: Respiratory symptoms and asthma may be caused by
occupational factors. There are different ways to classify occupational exposure.
In this study, self-reported occupational exposure to vapours, gas, dust and
fumes was used as well as job titles classifed into occupational and
socioeconomic Groups according to three different systems. Design: This was a
large population-based study of adults aged 30-69 years in Northern Sweden (n =
9,992, 50% women). Information on job titles, VGDF-exposure, smoking habits,
asthma and respiratory symptoms was collected by a postal survey. Job titles were
used for classification into socioeconomic and occupational groups based on three
classification systems; Socioeconomic classification (SEI), the Nordic
Occupations Classification 1983 (NYK), and the Swedish Standard Classification of
Occupations 2012 (SSYK). Associations were analysed by multivariable logistic
regression. Results: Occupational exposure to VGDF was a risk factor for all
respiratory symptoms and asthma (odds ratios (ORs) 1.3-2.4). Productive cough was
associated with the socioeconomic groups of manual workers (ORs 1.5-2.1) and non
manual employees (ORs 1.6-1.9). These groups include occupations such as
construction and transportation workers, service workers, nurses, teachers and
administration clerks which by the SSYK classification were associated with
productive cough (ORs 2.4-3.7). Recurrent wheeze was significantly associated
with the SEI group manual workers (ORs 1.5-1.7). After adjustment for also VGDF,
productive cough remained significantly associated with the SEI groups manual
workers in service and non-manual employees, and the SSYK-occupational groups
administration, service, and elementary occupations. Conclusions: In this cross
sectional study, two of the three different classification systems, SSYK and SEI
gave similar results and identified groups with increased risk for respiratory
symptoms while NYK did not give conclusive results. Furthermore, several
associations were independent of exposure to VGDF indicating that also other job
related factors than VGDF are of importance.
PMID- 29785257
TI - Pure erythroid leukemia in a polymyositis patient treated with azathioprine.
AB - Acute erythroid leukemia, also known as acute myeloid leukemia-M6, may be
associated with previous chemotherapy or immunosuppressive therapy. For 10 years,
a 69-year-old Japanese female patient with pure erythroid leukemia (or acute
myeloid leukemia-M6b) was treated for polymyositis with 50-100 mg/day
azathioprine. She complained of dyspnea with low-grade fever and was diagnosed as
having pure erythroid leukemia. Chromosomal analysis revealed a complex karyotype
abnormality, with the deletion of 5q, -6, -7 and addition of 11q13. No
morphological myelodysplastic changes were observed in her bone marrow cells. In
this study, azathioprine accumulation was considered to be associated with the
patient's leukemogenesis.
PMID- 29785259
TI - The Impact of Multifaceted Osteoporosis Group Education on Patients' Decision
Making regarding Treatment Options and Lifestyle Changes.
AB - Introduction: Patients with chronic diseases like osteoporosis constantly have to
make decisions related to their disease. Multifaceted osteoporosis group
education (GE) may support patients' decision-making. This study investigated
multifaceted osteoporosis GE focusing on the impact of GE on patients' decision
making related to treatment options and lifestyle. Material and Methods: An
interpretive description design using ethnographic methods was utilized with 14
women and three men diagnosed with osteoporosis who attended multifaceted GE.
Data consisted of participant observation during GE and individual interviews.
Results: Attending GE had an impact on the patients' decision-making in all
educational themes. Patients decided on new ways to manage osteoporosis and made
decisions regarding bone health and how to implement a lifestyle ensuring bone
health. During GE, teachers and patients shared evidence-based knowledge and
personal experiences and preferences, respectively, leading to a two-way exchange
of information and deliberation about recommendations. Though teachers and
patients explored the implications of the decisions and shared their preferences,
teachers stressed that the patients ultimately had to make the decision. Teachers
therefore refrained from participating in the final step of the decision-making
process. Conclusion: Attending GE has an impact on the patients' decision-making
as it can initiate patient reflection and support decision-making.
PMID- 29785258
TI - Intra-articular biomaterials-assisted delivery to treat temporomandibular joint
disorders.
AB - The temporomandibular joint disorder, also known as myofascial pain syndrome, is
considered one of the prevalent chronic pain diseases caused by muscle
inflammation and cartilage degradation in head and neck, and thus influences even
biopsychosocial conditions in a lifetime. There are several current treatment
methodologies relieving inflammation and preventing degradation of the joint
complex. One of the promising non-surgical treatment methods is an intra
articular injection of drugs such as corticosteroids, analgesics, and anti
depressants. However, the side effects of drugs due to frequent injections and
over-doses, including dizziness, dry mouth, and possible drug dependency are
considered limitations. Thus, the delivery of therapeutic molecules through the
use of nano/microparticles is currently considered as a promising strategy
primarily due to the controlled release. This review highlights the
nano/microparticle systems for effective intra-articular therapeutics delivery to
prevent cartilage degradation and protect subchondral bone in a temporomandibular
joint.
PMID- 29785260
TI - COMBATING NRAS MUTANT MELANOMA: FROM BENCH TO BEDSIDE.
PMID- 29785261
TI - Aggressive fibromatosis response to tamoxifen: lack of correlation between MRI
and symptomatic response.
AB - Background: One of the commonly used systemic agents for the treatment of
aggressive fibromatosis is the anti-oestrogen drug tamoxifen. However, data on
efficacy and optimum methods of response assessment are limited, consisting
mainly of small case series and reports. Methods: A retrospective database was
used to identify consecutive patients diagnosed with aggressive fibromatosis (AF)
and treated with tamoxifen plus/minus non-steroidal anti-inflammatory drugs at
our tertiary referral centre between 2007 and 2014. MRI and symptom changes were
recorded. Results: Thirty-two patients (13 male 19 female, median age 41 years)
were included. Median duration of treatment with tamoxifen was 316 days. Of 9
patients with progressive disease by RECIST 1.1 (28%): 4 patients experienced
worsening symptoms; 3 patients had improved symptoms and 2 had no change in
symptoms. Of 22 patients with stable disease (69%): 11 had no change in symptoms;
6 had improved symptoms and 5 patients had worsening symptoms. One patient
achieved a partial response with improved symptoms. Conclusions: No relationship
was identified between symptomatic benefit and response by RECIST 1.1 on MRI.
Prospective studies in AF should incorporate endpoints focusing on patient
symptoms.
PMID- 29785262
TI - Evaluation of the Relevance of DILI Predictive Hypotheses in Early Drug
Development: Review of In Vitro Methodologies vs BDDCS Classification.
AB - : Drug-induced liver injury (DILI) is a major safety concern; it occurs
frequently; it is idiosyncratic; it cannot be adequately predicted; and a
multitude of underlying mechanisms has been postulated. A number of experimental
approaches to predict human DILI have been proposed utilizing in vitro screening
such as inhibition of mitochondrial function, hepatobiliary transporter
inhibition, reactive metabolite formation with and without covalent binding, and
cellular health, but they have achieved only minimal success. Several studies
have shown total administered dose alone or in combination with drug
lipophilicity to be correlated with a higher risk of DILI. However, it would be
best to have a predictive DILI methodology early in drug development, long before
the clinical dose is known. Here we discuss the extent to which Biopharmaceutics
Drug Disposition Classification System (BDDCS) defining characteristics,
independent of knowing actual drug pharmacokinetics/pharmacodynamics and dose,
can be used to evaluate prior published predictive proposals. Our results show
that BDDCS Class 2 drugs exhibit the highest DILI severity, and that all of the
short-lived published methodologies evaluated here, except when daily dose is
known, do not yield markedly better predictions than BDDCS. The assertion that
extensively metabolized compounds are at higher risk of developing DILI is
confirmed, but can be enhanced by differentiating BDDCS Class 2 from Class 1
drugs. CONCLUSION: Our published analyses suggest that comparison of proposed
DILI prediction methodologies with BDDCS classification is a useful tool to
evaluate the potential reliability of newly proposed algorithms, although BDDCS
classification itself is not sufficiently predictive. Almost all of the
predictive DILI metrics do no better than just avoiding BDDCS Class 2 drugs,
although some early data with microliver platforms enabling long-enduring
metabolic competency show promising results.
PMID- 29785264
TI - Delay and inequalities in the treatment of idiopathic pulmonary fibrosis: the
case of two Nordic countries.
AB - Background: Idiopathic pulmonary fibrosis (IPF) is characterized by progressive
loss of lung function with high mortality within the first 5 years from
diagnosis. In 2011-2014, two drugs, pirfenidone and nintedanib, have been
approved worldwide for prevention of IPF progression. National IPF-registries
have been established in both Finland and Sweden. Our study explored potential
differences in the care of IPF in these two countries. Methods: Patients included
consecutively in the Finnish and Swedish IPF-registries from January 1, 2014
through December 31, 2016 were included in the study. Data on demographics and
lung function at the time of inclusion were collected. Access to antifibrotic
drugs and data on disease outcomes, mortality and the proportion of patients who
underwent lung transplantation, was collected during a 3-year follow up. Results:
One-hundred and fifty-two patients from the Finnish and 160 patients from the
Swedish IPF-cohorts were included in the study. At inclusion, Finnish patients
were significantly older than the Swedish patients (74.6 years vs 72.5 years, p =
0.017). The proportion of non-smokers was significantly higher in the Finnish
cohort (41.7% vs 26.9%, p = 0.007). Forced vital capacity (FVC), % of predicted
(78.2 vs 71.7 for Finnish and Swedish patients, respectively, p = 0.01) and
diffusion capacity for carbon monoxide (DLCO), % of predicted (53.3 vs 48.2 for
Finnish and Swedish patients, respectively, p = 0.002) were significantly higher
in the Finnish cohort compared to the Swedish cohort at the time of inclusion.
During the 3-year follow up period, 45 (29.6%) Finnish and 111 (69.4%) Swedish
patients, respectively, were initiated on treatment with an antifibrotic drug
(pirfenidone or nintedanib) (p < 0.001). When comparing possible determinants of
treatment, patients with higher FVC % were less likely to start antifibrotic
drugs (OR 0.96, 95%CI 0.93-1.00, p < 0.024). To be resident in Sweden was the
main determinant for receiving antifibrotic drugs (OR 5.48, 95%CI 2.65-11.33, p <
0.0001). No significant difference in number of deaths and lung transplantation
during the follow up period was found. Conclusions: This study highlights
differences concerning how IPF patients are treated in Finland and Sweden. How
these differences will influence the long-term outcome of these patients is
unknown.
PMID- 29785263
TI - Methodologies on estimating the energy requirements for maintenance and
determining the net energy contents of feed ingredients in swine: a review of
recent work.
AB - In the past two decades, a considerable amount of research has focused on the
determination of the digestible (DE) and metabolizable energy (ME) contents of
feed ingredients fed to swine. Compared with the DE and ME systems, the net
energy (NE) system is assumed to be the most accurate estimate of the energy
actually available to the animal. However, published data pertaining to the
measured NE content of ingredients fed to growing pigs are limited. Therefore,
the Feed Data Group at the Ministry of Agricultural Feed Industry Centre (MAFIC)
located at China Agricultural University has evaluated the NE content of many
ingredients using indirect calorimetry. The present review summarizes the NE
research works conducted at MAFIC and compares these results with those from
other research groups on methodological aspect. These research projects mainly
focus on estimating the energy requirements for maintenance and its impact on the
determination, prediction, and validation of the NE content of several
ingredients fed to swine. The estimation of maintenance energy is affected by
methodology, growth stage, and previous feeding level. The fasting heat
production method and the curvilinear regression method were used in MAFIC to
estimate the NE requirement for maintenance. The NE contents of different
feedstuffs were determined using indirect calorimetry through standard
experimental procedure in MAFIC. Previously generated NE equations can also be
used to predict NE in situations where calorimeters are not available. Although
popular, the caloric efficiency is not a generally accepted method to validate
the energy content of individual feedstuffs. In the future, more accurate and
dynamic NE prediction equations aiming at specific ingredients should be
established, and more practical validation approaches need to be developed.
PMID- 29785265
TI - A randomized controlled trial of the safety and efficacy of a topical gentamicin
collagen sponge in diabetic patients with a mild foot ulcer infection.
AB - Objectives: The initial phase of infection of a foot ulcer in a person with
diabetes is often categorized as mild. Clinicians usually treat these infections
with antimicrobial therapy, often applied topically. Some experts, however,
believe that mild diabetic foot ulcer infections will usually heal with local
wound care alone, without antimicrobial therapy or dressings. Methods: To
evaluate the potential benefit of treatment with a topical antibiotic, we
performed a single-center, investigator-blinded pilot study, randomizing (1:1)
adult patients with a mild diabetic foot ulcer infection to treatment with a
gentamicin-collagen sponge with local care versus local care alone. Systemic
antibiotic agents were prohibited. Results: We enrolled a total of 22 patients,
11 in the gentamicin-collagen sponge arm and 11 in the control arm. Overall, at
end of therapy, 20 (91%) patients were categorized as achieving clinical cure of
infection, and 2 (9%) as significant improvement. At the final study visit, only
12 (56%) of all patients achieved microbiological eradication of all pathogens.
There was no difference in either clinical or microbiological outcomes in those
who did or did not receive the gentamicin-collagen sponge, which was very well
tolerated. Conclusion: The results of this pilot trial suggest that topical
antibiotic therapy with gentamicin-collagen sponge, although very well tolerated,
does not appear to improve outcomes in mild diabetic foot ulcer infection.
PMID- 29785266
TI - Atypical teratoid rhabdoid tumor in a 65-year-old man presenting with
disseminated leptomeningeal disease: A case report and review of the literature.
AB - Central nervous system atypical teratoid rhabdoid tumors are very rare aggressive
tumor of childhood, primarily occurring at age of less than 3 years old. The
prognosis of these tumors is very poor, with a reported median survival of 6-12
months in most cases. Treatment typically consists of aggressive chemotherapy and
radiotherapy. We present the case of a 65-year-old man who presented with
progressive encephalopathy and change in personality over 3 months period. The
patient had further accelerated decline over 3 weeks. The diagnosis of atypical
teratoid rhabdoid tumor initially remained elusive despite very extensive workup,
but was eventually confirmed via open brain biopsy. To the best of our knowledge,
this is the oldest reported case of atypical teratoid rhabdoid tumor in the
literature. We further extend the spectrum of this rare disease.
PMID- 29785267
TI - A silent massive ossification of Achilles tendon as a suspected rare late effect
of surgery for club foot.
AB - We report the case of a 66-year-old male patient with massive ossification of the
distal portion of the Achilles tendon, as a late consequence of a surgical
release for club foot conducted in his childhood. The singularity of the case
report derives from its clinical features: the bone mass was of abnormal
dimensions, almost substituting the entire tendon; the condition had always been
asymptomatic, without deficits in range of motion, in absence of either pain or
biomechanical defects with age. In fact, the condition was diagnosed just
recently as a consequence of a tear. Despite an ultrasound diagnosis after the
injury, only during the surgical treatment, a proper evaluation of the entity of
the pathology was possible. Although the ossification of Achilles tendon is a
rare clinical condition with a complex multifactorial etiology, in our case
report, some of the elements in the patient's medical history could be useful for
the pathogenesis and early diagnosis of the disease. The aim of this case report
is to emphasize the importance both of a correct evaluation of clinical history
and of an accurate diagnosis, in order to conduct a proper management of this
pathology.
PMID- 29785268
TI - Impact of fullerene derivative isomeric purity on the performance of inverted
planar perovskite solar cells.
AB - The effect of utilizing a pure cis-alpha-dimethoxy carbonyl fulleropyrrolidine
C70 (DMEC70) isomer as the electron transporting material (ETM) in inverted
perovskite solar cells (PSCs) was evaluated. The as-prepared C70 mono-adduct
products are mixtures of regioisomers and the interest was to evaluate them
independently as ETMs. Three different cis-DMEC70 isomers (alpha, beta-endo and
beta-exo) (mix-DMEC70) were synthesized and purified by HPLC. It was found that
PSCs based on the pure alpha-DMEC70 exhibit a substantially enhanced maximum
power conversion efficiency (PCE) of 18.6% as compared to devices based on the
mixed-DMEC70 isomers that yielded a PCE of 16.4%. A maximum PCE of 15.7% was
observed for devices based on [6,6]-phenyl-C71-butyric acid methyl ester
(PC71BM). This work points out the importance of using pure fullerene derivative
isomers as ETMs to reduce the intrinsic energy disorder, which enhances the
overall device performance.
PMID- 29785269
TI - Juvenile Steller sea lion (Eumetopias jubatus) utilization distributions in the
Gulf of Alaska.
AB - Background: A utilization distribution quantifies the temporal and spatial
probability of space use for individuals or populations. These patterns in
movement arise from individuals' internal state and from their response to the
external environment, and thus can provide insights for assessing factors
associated with the management of threatened populations. The Western Distinct
Population Segment of the Steller sea lion (Eumetopias jubatus) has declined to
approximately 20% of levels encountered 40 years ago. At the height of the
decline, juvenile survival appeared to be depressed and currently there is
evidence that juvenile mortality due to predation may be constraining recovery in
some regions. Therefore, our objectives were to identify what spaces are
biologically important to juvenile Steller sea lions in the Kenai Fjords and
Prince William Sound regions of the Gulf of Alaska. Methods: We examined
geospatial location data from juvenile sea lions tagged between 2000 and 2014 (n
= 84) and derived individual and pooled-population utilization distributions
(UDs) from their movements. Core areas were defined from the UDs using an
individual-based approach; this quantitatively confirmed that all individuals in
our sample exhibited concentrated use within their home range (95% UD). Finally,
we explored if variation in UD characteristics were associated with sex, season,
age, or region. Results: We found evidence that individual juvenile home ranges
were region and sex-specific, with males having larger home ranges on average.
Core space characteristics were also sex-specific, and exhibited seasonal
patterns of reduced size, increased proximity to haulouts, and increased
intensity of use in the summer, but only in the Kenai Fjords-Gulf of Alaska
region. Conclusions: This study highlights the areas of biological importance
during this vulnerable life history stage, and the demographic, seasonal, and
spatial factors associated with variation in movement patterns for a marine
mesopredator. This can be useful information for promoting species recovery, and
for future efforts to understand ecological patterns such as predator-prey
interactions.
PMID- 29785270
TI - Distant lung metastases caused by a histologically benign phosphaturic
mesenchymal tumor.
AB - : Tumor-induced osteomalacia (TIO) is a rare form of hypophosphatemia usually
caused by phosphaturic mesenchymal tumors (PMTs); the biologic behavior of PMTs
is under investigation. Herein we present a case of TIO with a protracted course
over 12 years leading to a fatal outcome. A 39-year-old man presented with
weakness in 2004 and was found to have decreased serum phosphorus, phosphaturia
and low levels of 1,25-dihydroxyvitamin D3. Four years later he developed a
painful left calf mass. The lesion was resected, but recurred causing extreme
pain and dysfunction. Radiological examination showed a large cluster of soft
tissue tumors affecting all the muscle compartments of the calf and a smaller
lesion inside the metaphysis of the tibia. Above-knee amputation was performed.
Histological examination of all lesions showed a cellular spindle cell neoplasm
with variously sized vessels, wide vessel-like spaces and scattered deposits of
calcified extracellular material. The tumor infiltrated skeletal muscles,
subcutaneous fat and the proximal end of the fibula. The tibial lesion had
identical histology. Three years after the amputation the patient presented with
cough and dyspnea. Radiological examination, followed by an open biopsy, showed
that there were multiple metastatic nodules of PMTs in both lungs. Shortly after
the diagnosis the patient died. This case illustrates that even benign cases of
PMTs may lead to a fatal outcome and the classification of PMTs into benign and
malignant should be reassessed in order to correspond to its biological behavior.
Learning points: PMTs, aside from having locally aggressive behavior, may
metastasize and cause deathPMTs may behave aggressively despite 'benign'
histological findings Accurate diagnosis of tumor-induced osteomalacia and
patient management require a multidisciplinary approach.
PMID- 29785271
TI - Right ventricular dysfunction and pulmonary hypertension: a neglected
presentation of thyrotoxicosis.
AB - : Thyrotoxicosis is associated with cardiac dysfunction; more commonly, left
ventricular dysfunction. However, in recent years, there have been more cases
reported on right ventricular dysfunction, often associated with pulmonary
hypertension in patients with thyrotoxicosis. Three cases of thyrotoxicosis
associated with right ventricular dysfunction were presented. A total of 25 other
cases of thyrotoxicosis associated with right ventricular dysfunction published
from 1994 to 2017 were reviewed along with the present 3 cases. The mean age was
45 years. Most (82%) of the cases were newly diagnosed thyrotoxicosis. There was
a preponderance of female gender (71%) and Graves' disease (86%) as the
underlying aetiology. Common presenting features included dyspnoea, fatigue and
ankle oedema. Atrial fibrillation was reported in 50% of the cases. The
echocardiography for almost all cases revealed dilated right atrial and or
ventricular chambers with elevated pulmonary artery pressure. The abnormal
echocardiographic parameters were resolved in most cases after rendering the
patients euthyroid. Right ventricular dysfunction and pulmonary hypertension are
not well-recognized complications of thyrotoxicosis. They are life-threatening
conditions that can be reversed with early recognition and treatment of
thyrotoxicosis. Signs and symptoms of right ventricular dysfunction should be
sought in all patients with newly diagnosed thyrotoxicosis, and prompt
restoration of euthyroidism is warranted in affected patients before the
development of overt right heart failure. Learning points: Thyrotoxicosis is
associated with right ventricular dysfunction and pulmonary hypertension apart
from left ventricular dysfunction described in typical thyrotoxic
cardiomyopathy.Symptoms and signs of right ventricular dysfunction and pulmonary
hypertension should be sought in all patients with newly diagnosed
thyrotoxicosis.Thyrotoxicosis should be considered in all cases of right
ventricular dysfunction or pulmonary hypertension not readily explained by other
causes.Prompt restoration of euthyroidism is warranted in patients with
thyrotoxicosis complicated by right ventricular dysfunction with or without
pulmonary hypertension to allow timely resolution of the abnormal cardiac
parameters before development of overt right heart failure.
PMID- 29785272
TI - Abdominal obesity in type 1 diabetes associated with gender, cardiovascular risk
factors and complications, and difficulties achieving treatment targets: a cross
sectional study at a secondary care diabetes clinic.
AB - Background: Abdominal obesity is linked to cardiovascular diseases in type 1
diabetes (T1D). The primary aim was to explore associations between abdominal
obesity and cardiovascular complications, metabolic and inflammatory factors. The
secondary aim was to explore whether achieved recommended treatment targets
differed between the obese and non-obese participants. Methods: Cross sectional
study of 284 T1D patients (age 18-59 years, men 56%), consecutively recruited
from one secondary care specialist diabetes clinic in Sweden. Anthropometrics,
blood pressure, serum-lipids and high-sensitivity C-reactive protein (hs-CRP)
were collected and supplemented with data from the patients' medical records and
from the Swedish National Diabetes Registry. Abdominal obesity was defined as
waist circumference men/women (meters): >=1.02/>=0.88. Hs-CRP was divided into
low-, moderate-, and high-risk groups for future cardiovascular events (< 1, 1 to
3, and > 3 to <=8.9 mg/l). Treatment targets were blood pressure <= 130/<= 80,
total cholesterol <=4.5 mmol/l, LDL: <= 2.5 mmol/l, and HbA1c: <=5 2 mmol/mol (<=
6.9%). Different explanatory linear, logistic and ordinal regression models were
elaborated for the associations, and calibrated and validated for goodness of fit
with the data variables. Results: The prevalence of abdominal obesity was 49/284
(17%), men/women: 8%/29% (P < 0.001). Women (adjusted odds ratio (AOR) 6.5),
cardiovascular complications (AOR 5.7), HbA1c > 70 mmol/mol (> 8.6%) (AOR 2.7),
systolic blood pressure (per mm Hg) (AOR 1.05), and triglycerides (per mmol/l)
(AOR 1.7), were associated with abdominal obesity. Sub analyses (n = 171), showed
that abdominal obesity (AOR 5.3) and triglycerides (per mmol/l) (AOR 2.8) were
associated with increasing risk levels of hs-CRP. Treatment targets were obtained
for fewer patients with abdominal obesity for HbA1c (8% vs 21%, P = 0.044) and
systolic blood pressure (51% vs 68%, P = 0.033). No patients with abdominal
obesity reached all treatment targets compared to 8% in patients without
abdominal obesity. Conclusions: Significant associations between abdominal
obesity and gender, cardiovascular disease, and the cardiovascular risk factors
low-grade inflammation, systolic blood pressure, high HbA1c, and triglycerides,
were found in 284 T1D patients. Fewer patients with abdominal obesity reached the
treatment targets for HbA1c and systolic blood pressure compared to the non
obese.
PMID- 29785273
TI - Gene regulation associated with sexual development and female fertility in
different isolates of Trichoderma reesei.
AB - Background: Trichoderma reesei is one of the most frequently used filamentous
fungi in industry for production of homologous and heterologous proteins. The
ability to use sexual crossing in this fungus was discovered several years ago
and opens up new perspectives for industrial strain improvement and investigation
of gene regulation. Results: Here we investigated the female sterile strain QM6a
in comparison to the fertile isolate CBS999.97 and backcrossed derivatives of
QM6a, which have regained fertility (FF1 and FF2 strains) in both mating types
under conditions of sexual development. We found considerable differences in gene
regulation between strains with the CBS999.97 genetic background and the QM6a
background. Regulation patterns of QM6a largely clustered with the backcrossed
FF1 and FF2 strains. Differential regulation between QM6a and FF1/FF2 as well as
clustering of QM6a patterns with those of CBS999.97 strains was also observed.
Consistent mating type dependent regulation was limited to mating type genes and
those involved in pheromone response, but included also nta1 encoding a putative
N-terminal amidase previously not associated with development. Comparison of
female sterile QM6a with female fertile strains showed differential expression in
genes encoding several transcription factors, metabolic genes and genes involved
in secondary metabolism. Conclusions: Evaluation of the functions of genes
specifically regulated under conditions of sexual development and of genes with
highest levels of transcripts under these conditions indicated a relevance of
secondary metabolism for sexual development in T. reesei. Among others, the
biosynthetic genes of the recently characterized SOR cluster are in this gene
group. However, these genes are not essential for sexual development, but rather
have a function in protection and defence against competitors during
reproduction.
PMID- 29785275
TI - Infant activity and sleep behaviors in a maternal and infant home visiting
project among rural, southern, African American women.
AB - Background: Physical inactivity and inadequate amounts of sleep are two potential
causes for excessive weight gain in infancy. Thus, parents and caregivers of
infants need to be educated about decreasing infant sedentary behavior,
increasing infant unrestrained floor time, as well as age specific recommended
amounts of sleep for infants. The aims of this study were to determine if
maternal knowledge about infant activity and sleep changed over time and to
evaluate maternal compliance rates with expert recommendations for infant sleep
in a two-arm, randomized, controlled, comparative impact trial. Methods: Pregnant
women at least 18 years of age, less than 19 weeks pregnant, and residing in a
lower Mississippi Delta county were recruited between March 2013 and December
2014. Postnatal data was collected from 54 participants between September 2013
and May 2016. McNemar's test of symmetry was used to determine if maternal
knowledge changed over time, while generalized linear mixed models and Kaplan
Meier survival curves were used to assess compliance with expert recommendations
for infant sleep. Results: The postnatal retention rate was 85%. Maternal
knowledge significantly increased for correct infant sleep position (back) and
beginning tummy time by one month of age. Odds of meeting sleep duration
recommendations increased by 30% for every one month increase in infant age. Only
20% of the participants were compliant with the back to sleep recommendation for
the first 12 months of their infant's life; median time to noncompliance was 7.8
months. Conclusions: Although baseline knowledge concerning infant activity and
sleep was high in this cohort of rural, Southern, African American mothers,
compliance with recommendations was not optimal. Trial registration: The study
was registered at clinicaltrials.gov (NCT01746394) on December 5, 2012.
PMID- 29785274
TI - Cross-Sectional Assessment of Achievement of Therapeutic Goals in a Canadian
Multidisciplinary Clinic for Patients With Advanced Chronic Kidney Disease.
AB - Background: The implementation of advanced chronic kidney disease (CKD)
multidisciplinary clinics has now demonstrated their effectiveness in delaying
and even avoiding dialysis for patients with CKD. However, very little has been
documented on the management and achievement of targets for a number of
parameters in this context. Objective: Our goal was to assess our
multidisciplinary clinic therapy performance in relation to the targets for
hypertension, anemia, and calcium phosphate assessment. Methods: Design and
setting: A cross-sectional descriptive study was conducted with a cohort
including all patients followed up in our multidisciplinary clinic in July 2014.
Measurements: Comorbidity, laboratory, and clinical data were collected and
compared with the recommendations of scientific organizations. Results: The
cohort included 128 patients, 37.5% of whom were women. Mean follow-up time was
26.6 +/- 25.1 months and mean estimated glomerular filtration rate (eGFR) was
14.0 +/- 4.7 mL/min/1.73 m2. A total of 24.2% of patients with diabetes achieved
blood pressure targets of <130/80 mm Hg, while 56.5% of patients without diabetes
achieved targets of <140/90 mm Hg. Hemoglobin of patients treated with
erythropoiesis-stimulating agents was 100 to 110 g/L in 36.2% of the patients,
below 100 for 39.7% of them, and above 110 for 24.1%, whereas 67.2% were within
the acceptable limits of 95 to 115 g/L. In addition, 63.4% of patients had a
serum phosphate of <1.5 mmol/L, and 90.9% of patients had total serum calcium
<2.5 mmol/L. Limitations: Our study is a single center study with the majority of
our patients being Caucasian. This limits the generalizability of our findings.
Conclusion: The control rates of various parameters were satisfactory given the
difficult clinical context, but could be optimized. We publish these data in the
hope that they are helpful to others engaged in quality improvement in their own
programs or more generally.
PMID- 29785276
TI - Food intake attenuates the drug interaction between new quinolones and aluminum.
AB - Background: Intestinal absorption of new quinolones is decreased by oral
administration of polyvalent metal cations. Some clinical studies have
demonstrated this drug - drug interaction is more prominent under fasted
condition. However, the effect of food intake on the extent of drug - drug
interaction between new quinolones and metal cations remains to be investigated
quantitatively and systematically. The aim of this study was to develop an animal
model that enables to evaluate the effect of food intake on the extent of drug -
drug interaction in the gastrointestinal tract by chelation and to apply the
model to evaluate quantitatively the effect of food intake on the drug - drug
interaction between two new quinolones, ofloxacin or ciprofloxacin and
sucralfate. Methods: The rats were orally administered new quinolones (5.3 mg/kg
of ofloxacin or 10 mg/kg of ciprofloxacin) with or without 13.3 mg/kg of
sucralfate under fasted or fed condition and plasma concentration profiles of new
quinolones were monitored. To the fed group, standard breakfast used in human
studies was pasted and administered at a dose of 8.8 g/kg. Results: The area
under the plasma concentration - time curves (AUC0-6) of ofloxacin and
ciprofloxacin under the fasted condition were significantly decreased to 28.8 and
17.1% by co-administration of sucralfate, respectively. On the contrary,
sucralfate moderately decreased the AUC0-6 of ofloxacin and ciprofloxacin to 54.9
and 33.2%, respectively, under fed condition. The effects of sucralfate and food
intake on the kinetics of ofloxacin in this study were well consistent with the
results of previous clinical trial. Conclusions: The developed animal model
quantitatively reproduced the effect of food intake on the drug - drug
interaction between ofloxacin and sucralfate. The similar influences were
observed for the drug - drug interaction between ciprofloxacin and sucralfate,
suggesting that the extent of drug - drug interaction caused by chelation is
generally attenuated by food intake.
PMID- 29785277
TI - Intake and performance of lambs finished in feedlot with wet brewer's grains.
AB - Background: The use of agroindustrial by-products in ruminant nutrition to be an
interesting alternative in order to reduce production costs and environmental
impacts arising from the inadequate destination of residues. The initial step of
beer production yields a large volume of wet brewer's grains all year around,
which is available at a low cost and has a high nutritional quality, and hence a
big potential for animal production. Methods: Twenty-four Suffolk non-castrated
male lambs, from simple parturition were kept in individual spots and allocated
to four treatments constituted by four levels of substitution of sorghum silage
by WBG (i.e.0; 33.5; 66.5 and 100% of substitution). It was used roughage:
concentrate rate of 50:50, based on dry matter. Results: The ether extract intake
increased while the acid detergent fiber intake decreased linearly (P <= 0.05).
Substituion of sorghum silage by WBG did not change lambs' feed DMI, daily weight
gain and feed conversion. Conclusion: The substitution of sorghum silage by WBG
as roughage showed to be a viable alternative from the productive and economic
point of view for finishing of feedlot lambs.
PMID- 29785279
TI - Mental health recovery, goal setting and working alliance in an Australian
community-managed organisation.
AB - This article examines the relationships between goal setting and achievement,
working alliance and recovery in an Australian mental health community-managed
organisation. The study gathered data over a 14-month period after the
introduction of routine outcome measures. Both goal achievement and the strength
of the working alliance were shown to have a positive effect on the personal
recovery of the clients in the study. Both working alliance and goal achievement
are robustly supportive at whatever point a person is on in the recovery journey.
The brief goals card used is a useful adjunct to other tools.
PMID- 29785278
TI - Reserve-building activities attenuate treatment burden in chronic illness: The
mediating role of appraisal and social support.
AB - This study examines the importance of four psychosocial factors-personality,
cognitive appraisal of quality of life, social support, and current reserve
building-in predicting treatment burden in chronically ill patients. Chronically
ill patients (n = 446) completed web-based measures. Structural equation modeling
was used to investigate psychosocial factors predicting treatment burden. Reserve
building activities indirectly reduced treatment burden by: (1) reducing health
worries appraisals, (2) reducing financial difficulties, (3) increasing calm and
peaceful appraisals, and (4) increasing perceived social support. These findings
point to key behaviors that chronically ill people can use to attenuate their
treatment burden.
PMID- 29785280
TI - Long duration of immunity against a type 1 heterologous PRRS virus challenge in
pigs immunised with a novel PRRS MLV vaccine: a randomised controlled study.
AB - Background: Porcine reproductive and respiratory syndrome virus (PRRSV) is
widespread in commercial pig farms worldwide, and has a significant cost to the
swine industry. Herd owners need a vaccine that will confer long-lasting immunity
to prevent PRRSV infection and transmission. The studies described here evaluated
duration of immunity conferred by a European-derived PRRS (isolate 94,881)
modified live virus (MLV) vaccine, Ingelvac PRRSFLEX(r) EU, at 20, 24, and 26
weeks post-vaccination. Primary endpoints were the assessment of gross and
histological lung lesions and viral RNA load in lung tissue 10 days following
heterologous PRRSV challenge. Secondary endpoints included clinical observations,
average daily weight gain (ADWG) and viral RNA load in serum 10 days post
challenge. Three blinded, vaccination-challenge efficacy studies were performed
using separate cohorts of pigs (n = 56 per study). Pigs received either Ingelvac
PRRSFLEX(r) EU (Group 1) or placebo (Groups 2 and 3). Groups 1 and 2 were
subsequently challenged with heterologous European PRRSV isolate 205,817 at 20,
24 or 26 weeks post-vaccination. Results: Mean gross lung lesion scores were
significantly lower in Group 1 than in Group 2 at 24 and 26 weeks (p < 0.0001),
but not at 20 weeks (p = 0.299). Significantly lower mean histological lung
lesion scores were observed in Group 1 versus Group 2 at 20 (p = 0.0065), 24 (p <
0.0001) and 26 weeks (p < 0.0001). Mean viral RNA load in lung tissue was
significantly lower in Group 1 than in Group 2 (p < 0.0001) at 20 (p < 0.0001),
24 (p < 0.0001) and 26 weeks (p < 0.0001). Cumulative viral RNA loads in serum
during days 1-10 post-challenge were significantly lower in Group 1 than in Group
2 (p < 0.0001) in all studies. A significant increase in ADWG was observed in
Group 1 compared with Group 2 at 20 weeks (p = 0.0027) and 24 weeks (p = 0.0004),
but not at 26 weeks (p = 0.1041). There were no significant differences in
clinical signs post-challenge in any study. Conclusion: These results suggest
that Ingelvac PRRSFLEX(r) EU confers long-term immunity to European heterologous
PRRSV, which is maintained up to 26 weeks after vaccination, corresponding to the
expected lifespan of commercial pigs.
PMID- 29785281
TI - Impact of the applied simulated and integrated learning approach on nursing
assistants' knowledge and confidence caring for frail seniors in nursing homes.
AB - Background: Increasing importance is being placed on optimizing the role of
Nursing Aides (NAs) in improving quality of care for nursing home (NH) residents.
One approach to do so is to have NAs participate in assessments embedded within
the Minimum Data Set (MDS). This pilot study aimed to design and evaluate the
Applied Simulated and Integrated Learning Approach (ASILA) program, a novel
innovative training program for NAs employed in NHs to enhance their ability to
assess residents within an inter-professional framework. Methods: A mixed
quantitative and qualitative repeated measures design was used to assess changes
in NAs' knowledge and perception of assessments and resident clinical outcomes.
Additionally, focus groups were conducted with NAs upon completion of the ASILA
program. A total of 23 NAs and nurses in NHs in two Canadian provinces
participated. The ASILA pilot program consisted of three selected modules; each
module including an evidence-informed case-scenario, assessments, the use of
appropriate MDS tools and documentation, care planing and reporting systems.
ASILA was delivered over the course of two days per home. The primary outcome
measure focused on the impact of ASILA on NA knowledge and confidence in
assessing residents and understanding the relevance and use of elements if the
MDS tools. Secondary outcomes included NAs' satisfaction with ASILA and the
impact of ASILA on resident clinical outcomes. Data were collected one week
prior, immediately after, and three months after the ASILA program. Results:
Following ASILA, NAs reported increased knowledge test scores and confidence in
assessing residents by using MDS tools, although this did not reach significance
after multiple testing (p = 0.0256 and p = 0.1541 respectively). NAs reported
more confidence in providing care to residents (77.8%) and felt that the care
provided was more resident-centered (83.3%) than before the ASILA program. There
were no significant trends in improved resident outcomes following ASILA.
Conclusion: Pilot findings indicate that the ASILA program could be a successful
approach to support NAs to enhance their ability to assess residents in an inter
professional framework.
PMID- 29785282
TI - Trabecular architecture in the sciuromorph femoral head: allometry and functional
adaptation.
AB - Background: Sciuromorpha (squirrels and close relatives) are diverse in terms of
body size and locomotor behavior. Individual species are specialized to perform
climbing, gliding or digging behavior, the latter being the result of multiple
independent evolutionary acquisitions. Each lifestyle involves characteristic
loading patterns acting on the bones of sciuromorphs. Trabecular bone, as part of
the bone inner structure, adapts to such loading patterns. This network of thin
bony struts is subject to bone modeling, and therefore reflects habitual loading
throughout lifetime. The present study investigates the effect of body size and
lifestyle on trabecular structure in Sciuromorpha. Methods: Based upon high
resolution computed tomography scans, the femoral head 3D inner microstructure of
69 sciuromorph species was analyzed. Species were assigned to one of the
following lifestyle categories: arboreal, aerial, fossorial and semifossorial. A
cubic volume of interest was selected in the center of each femoral head and
analyzed by extraction of various parameters that characterize trabecular
architecture (degree of anisotropy, bone volume fraction, connectivity density,
trabecular thickness, trabecular separation, bone surface density and main
trabecular orientation). Our analysis included evaluation of the allometric
signals and lifestyle-related adaptation in the trabecular parameters. Results:
We show that bone surface density, bone volume fraction, and connectivity density
are subject to positive allometry, and degree of anisotropy, trabecular
thickness, and trabecular separation to negative allometry. The parameters
connectivity density, bone surface density, trabecular thickness, and trabecular
separation show functional signals which are related to locomotor behavior.
Aerial species are distinguished from fossorial ones by a higher trabecular
thickness, lower connectivity density and lower bone surface density. Arboreal
species are distinguished from semifossorial ones by a higher trabecular
separation. Conclusion: This study on sciuromorph trabeculae supplements the few
non-primate studies on lifestyle-related functional adaptation of trabecular
bone. We show that the architecture of the femoral head trabeculae in
Sciuromorpha correlates with body mass and locomotor habits. Our findings provide
a new basis for experimental research focused on functional significance of bone
inner microstructure.
PMID- 29785283
TI - Reporting and appraising the context, process and impact of PPI on contributors,
researchers and the trial during a randomised controlled trial - the 3D study.
AB - Plain English summary: Including patient and public involvement (PPI) in health
research is thought to improve research but it is hard to be clear exactly how it
helps. This is because PPI takes many forms, is sometimes only token and is not
always reported clearly. This makes it difficult to combine the evidence so that
clear conclusions can be reached about the ingredients of successful PPI and what
PPI achieves. Previous research that has tried to combine the evidence has led to
several guidelines for researchers to use in setting up and reporting PPI.This
paper was written jointly by researchers and PPI contributors as a reflection on
our experiences. The aim was to add to the evidence, by giving detail about the
use of PPI in a large randomised controlled trial and the effect it had. We were
guided by published PPI reporting guidelines. The effects on the trial are shown
in a table of changes made because of suggestions from the PPI group. A survey
was used to ask PPI contributors and researchers about their experience and
effects they had noticed. Three themes were noted: impact on the trial, the
effect of involvement on individual researchers and group members, and group
environment. The PPI work affected the trial in many ways, including changes to
documents used in the trial and advice on qualitative data collection methods and
analysis. Individuals reported positive effects, including enjoying being in the
group, gaining confidence, and learning how to share views. Abstract:
Background: Patient and public involvement (PPI) is believed to enhance health
care delivery research, and is widely required in research proposals. Detailed,
standardised reporting of PPI is needed so that strategies to implement more than
token PPI that achieves impact can be identified, properly evaluated and
reproduced. Impact includes effects on the research, PPI contributors and
researchers. Using contributor and researcher perspectives and drawing on
published guidelines for reporting PPI, we aimed to reflect on our experience and
contribute evidence relevant to two important questions: 'What difference does
PPI make?' and 'What's the best way to do it?' Methods: Fourteen people living
with multiple long-term conditions (multimorbidity) were PPI contributors to a
randomised controlled trial to improve care for people with multimorbidity.
Meetings took place approximately four times a year throughout the trial,
beginning at grant application stage. Meeting notes were recorded and a log of
PPI involvement was kept. At the end of the trial, seven PPI contributors and
four researchers completed free-text questionnaires about their experience of PPI
involvement and their perception of PPI impact. The responses were analysed
thematically by two PPI contributors and one researcher. The PPI group proposed
writing this report, which was co-authored by three PPI contributors and two
researchers. Results: Meeting attendance averaged nine PPI contributors and three
to four researchers. The involvement log and meeting notes recorded a wide range
of activities and impact including changes to participant documentation, advice
on qualitative data collection, contribution to data analysis and dissemination
advice. Three themes were identified from the questionnaires: impact on the
study, including keeping the research grounded in patient experience; impact on
individuals, including learning from group diversity and feeling valued; and an
environment that facilitated participation. The size of the group influenced
impact. Researchers and PPI contributors described a rewarding interaction that
benefitted them and the research. Conclusions: PPI was wide-ranging and had
impact on the trial, contributors and researchers. The group environment
facilitated involvement. Feedback and group interactions benefitted individuals.
The insights gained from this study will postitively influence the researchers'
and contributors' future involvement with PPI.
PMID- 29785284
TI - Vinorelbine-induced regression of a choroidal metastasis from primary breast
carcinoma.
AB - Background: Various therapeutic options exist to treat choroidal metastatic
lesions. However, they are all associated with potential long-term adverse
effects. This case report discusses a case of choroidal metastasis from primary
breast carcinoma that regressed after single-agent chemotherapy. Case
presentation: We report a case of choroidal metastasis from estrogen receptor
(ER) positive breast carcinoma that became resistant to endocrine therapy. The
primary malignancy was treated with surgical resection and adjuvant
chemoradiation, followed by hormone therapy with various agents in combination
with kinase inhibitors for ER resistance. The choroidal metastatic lesion
regressed after the initiation of vinorelbine. Vinorelbine is a cytotoxic vinca
alkaloid with tolerable systemic adverse effects. Conclusions: This case report
highlights the possible role of vinorelbine as a single chemotherapeutic agent
for the conservative therapy of uveal metastasis from advanced breast carcinoma,
irrespective of responsiveness to hormone therapy.
PMID- 29785285
TI - A conference at the onset of a new era.
PMID- 29785286
TI - Anatomical variations and pitfalls to know during thoracoscopic segmentectomies.
AB - The rate of sublobar resection (SLR) for early-stage non-small cell lung
carcinoma (NSCLC) is increasing, mainly because of a growing rate of early-stage
lung carcinomas and ground-glass opacities. More and more SLRs are now performed
by a thoracoscopic, a video-assisted or a robotically-assisted approach. Although
surgeons are performing pulmonary segmentectomies for years, they need a better
understanding of anatomy when using a closed chest approach, because vision is
more limited and they cannot stretch and expose the parenchyma and broncho
vascular elements. In this article, we will describe most of the significant
anatomical variations we have encountered during a consecutive series of 390 full
thoracoscopic segmentectomies, either at surgery or preoperatively by studying
the 3-dimensional (3D) modelisation.
PMID- 29785288
TI - T1a lung carcinoma: the place of segmentectomy in the treatment array.
AB - Contemporary management of early stage non-small cell lung cancer (NSCLC) is
evolving and can be attributed to a change in size and histology of lung cancer,
advancements in imaging modalities, instrumentation and surgical techniques. The
emergence of segmentectomy has further challenged the existing treatment
landscape, with promising results. Despite limited widespread adoption, video
assisted thoracoscopic surgery (VATS) segmentectomy is a safe option in the
treatment of patients with small stage I lung cancers, with excellent oncologic
results and improved morbidity relative to open techniques. In this paper, we
critically examine the utility of segmentectomy, and the emerging role of VATS,
including technical tips and tricks, in the management of T1a lung carcinoma.
PMID- 29785287
TI - Lymph node dissection during sublobar resection: why, when and how?
AB - Appropriate lymph node (LN) assessment is a hallmark of surgical quality of
curative intent operations for non-small cell lung cancer (NSCLC). Even in the
era of extensive pre-treatment work-up including routine PET-scanning and brain
imaging, and selective invasive LN evaluation, unexpected LN metastases are found
at surgery in more than 10% of patients with a cT1aN0 tumor. Systematic
lymphadenectomy minimizes the risk of leaving tumor-LN behind and thus the risk
of an incomplete resection, and provides the most truthful pTNM, which is
decisive in directing adjuvant chemotherapy. Removal of interlobar, hilar, and
mediastinal LNs is necessary during sublobar resection, as it is during
lobectomy. In addition, segmental LNs should be dissected at both the resected
and nonresected lobar segments, because the lymphatic flow from the resected
segment can go directly to the neighboring segmental LNs to join the lymphatic
network at the roots of the lobar bronchi, especially for tumors in anteriorly
located segments. Finally, several anatomical studies described direct lymphatic
vessels from the lower lobes into the upper lobar bronchi LN rendering also
advisable clearance of the upper lobar LN in case of lower lobe NSCLC. Given that
intralobar LN dissection is impossible within the remaining lobe after wedge
resection, omission of segmental and intralobar LN retrieval may also explain the
high incidence of loco-regional recurrence observed after wedge resection. Thus,
segmentectomy should be preferred to wedge resection as the recommended type of
sublobar resection.
PMID- 29785289
TI - What is the role of wedge resection for T1a lung cancer?
AB - Since 1995, lobar resection became the standard of care for medically fit
patients with early stage lung cancer. This was based on the results of a single
randomized trial comparing lobectomy and sublobar resection (SLR) in stage I lung
cancer conducted by the lung cancer study group between 1982 and 1988. The
conclusions of the study included a statistically significant tripling in loco
regional recurrence (LR) after limited resection but no difference between the
two arms of the trial in systemic recurrence. Although both overall survival and
cancer specific survival favored lobectomy, neither achieved statistical
significance. Regardless, this landmark trial established lobectomy as the
preferred oncological resection for early stage lung cancer. The practice of
thoracic surgery has evolved significantly since the study period of the Lung
Cancer Study Group, and this has led some surgeons to question its relevance to
contemporary practice. The increased detection of smaller more precisely staged
tumors combined with the rising segment of the population that is elderly with
limited cardiopulmonary reserve has renewed interest in sub-lobar resection
including wedge resection as either a definitive therapeutic strategy or as a
compromise approach in patients with poor performance status. The interest in
wedge resections is also to some extent further fueled by the emergence and
increased utilization of competing technologies of local control such as
stereotactic radiation or percutaneous and trans-bronchial ablative techniques.
Although the results of the LCSG still cast a long shadow over the soundness of
wedge resection as a cancer operation, much literature has been published in the
subsequent years on this topic. We present in this review an overview of the
conflicting data and offer our perspective on the role of wedge resection in
early stage lung cancer.
PMID- 29785290
TI - Endobronchial treatment of peripheral tumors: ongoing development and
perspectives.
AB - The expanded possibilities to explore the lung deeper with new tools such as
electromagnetic navigation bronchoscopy (ENB) or radial probe endobronchial
ultrasonography (radial EBUS), combined with miniaturization of traditional local
therapies such as radiofrequency ablation (RFA), radiotherapy, cryotherapy or
photodynamic therapy, let the bronchoscopists hope for new ways of endoscopic
treatments. This challenge could change the practice in the upcoming decades but
raise some physical and technical issues. Safety and efficacy need to be solidly
established to face the serious concurrence of stereotactic radiotherapy (SBRT)
or percutaneous RFA. Here we describe ongoing development and perspectives for
endobronchial treatment of peripheral lung tumors.
PMID- 29785291
TI - Using novel technology to augment complex video-assisted thoracoscopic single
basilar segmentectomy.
AB - There are many novel technologies that enable complex segmentectomy to be
performed. As lung cancer screening becomes more prevalent, patients are
increasingly diagnosed with early stage lung cancer, multifocal disease, and
second primary tumors. This shift to an earlier clinical presentation combined
with advances in technology and an emphasis on minimally invasive techniques have
led to the current developments we are now seeing with anatomic segmentectomy. In
this paper, we describe the operative technique of an indocyanine green (ICG)
guided video-assisted thoracoscopic surgery (VATS) single basilar segmental
resection, augmented with methylene blue dye marker localization via
SuperDimension electromagnetic navigational bronchoscopy. The CT scans of the
posterior basal segment tumor are enhanced with three-dimensional (3D) modeling.
A description of the approach is detailed with a video, intraoperative
photographs, and illustrations. Successful removal of the S10 segment with novel
techniques permitted the patient to have five percent of the lung removed
(segmentectomy) instead of 25% (right lower lobectomy). In the setting of
multifocal disease, future treatment options for the tumors in other locations of
the lung are enhanced. Novel lung imaging techniques along with careful
intraoperative identification of appropriate segmental anatomy allow patients to
be offered an optimal basilar parenchymal-sparing segmentectomy.
PMID- 29785292
TI - Thoracoscopic stapler-based "bidirectional" segmentectomy for posterior basal
segment (S10) and its variants.
AB - Thoracoscopic segmentectomy for the posterior basal segment (S10) and its variant
(e.g., S9+10 and S10b+c combined subsegmentectomy) is one of the most challenging
anatomical segmentectomies. Stapler-based segmentectomy is attractive to simplify
the operation and to prevent post-operative air leakage. However, this approach
makes thoracoscopic S10 segmentectomy even more tricky. The challenges are caused
mostly from the following three reasons: first, similar to other basal segments,
"three-dimensional" stapling is needed to fold a cuboidal segment; second, the
belonging pulmonary artery is not directly facing the interlobar fissure or the
hilum, making identification of target artery difficult; third, the anatomy of
S10 and adjacent segments such as superior (S6) and medial basal (S7) is
variable. To overcome these challenges, this article summarizes the
"bidirectional approach" that allows for solid confirmation of anatomy while
avoiding separation of S6 and the basal segment. To assist this approach under
limited thoracoscopic view, we also show stapling techniques to fold the cuboidal
segment with the aid of "standing stiches". Attention should also be paid to the
anatomy of adjacent segments particularly that of S7, which tends to be congested
after stapling. The use of virtual-assisted lung mapping (VAL-MAP) is also
recommended to demark resection lines because it flexibly allows for complex
procedures such as combined subsegmentectomy such as S10b+c, extended
segmentectomy such as S10+S9b, and non-anatomically extended segmentectomy.
PMID- 29785293
TI - Planning and marking for thoracoscopic anatomical segmentectomies.
AB - Although sublobar resection (SLR) for treating non-small cell lung carcinoma
(NSCLC) is still controversial, thoracoscopic segmentectomy is rising. Performing
it by closed chest surgery is complex as it means confirming the location of the
lesion, identifying vascular and bronchial structures, preserving venous drainage
of adjacent segments, severing the intersegmental plane and ensuring an
oncological safety margin with no manual palpation and different landmarks.
Accurate planning is mandatory. We discuss in this article the interest of 3D
reconstruction and mapping technics to enhance safety and reliability of these
procedures.
PMID- 29785294
TI - Development of a precision multimodal surgical navigation system for lung robotic
segmentectomy.
AB - Minimally invasive sublobar anatomical resection is becoming more and more
popular to manage early lung lesions. Robotic-assisted thoracic surgery (RATS) is
unique in comparison with other minimally invasive techniques. Indeed, RATS is
able to better integrate multiple streams of information including advanced
imaging techniques, in an immersive experience at the level of the robotic
console. Our aim was to describe three-dimensional (3D) imaging throughout the
surgical procedure from preoperative planning to intraoperative assistance and
complementary investigations such as radial endobronchial ultrasound (R-EBUS) and
virtual bronchoscopy for pleural dye marking. All cases were operated using the
DaVinci SystemTM. Modelisation was provided by Visible PatientTM (Strasbourg,
France). Image integration in the operative field was achieved using the Tile Pro
multi display input of the DaVinci console. Our experience was based on 114
robotic segmentectomies performed between January 2012 and October 2017. The
clinical value of 3D imaging integration was evaluated in 2014 in a pilot study.
Progressively, we have reached the conclusion that the use of such an anatomic
model improves the safety and reliability of procedures. The multimodal system
including 3D imaging has been used in more than 40 patients so far and
demonstrated a perfect operative anatomic accuracy. Currently, we are developing
an original virtual reality experience by exploring 3D imaging models at the
robotic console level. The act of operating is being transformed and the surgeon
now oversees a complex system that improves decision making.
PMID- 29785295
TI - Uniportal video-assisted thoracoscopic segmentectomy.
AB - Uniportal video-assisted thoracoscopic surgery (VATS) segmentectomy is a
technically more complex procedure than uniportal VATS lobectomy, since a
detailed comprehension of the segmental anatomy is required. Anatomic sublobar
resection can achieve outcomes equivalent to lobectomy in selected patients with
stage IA non-small cell lung carcinoma (NSCLC). In this paper we describe our
clinical experiences and introduce the technical details of uniportal VATS
segmentectomy, including expertise advice ("tips and tricks") in patient
selection, positioning and incisions and technical highlights of the most common
types of segmentectomies. Uniportal VATS segmentectomy is a demanding technique,
safe and feasible in selected patients with good results in the literature that
seems to be an acceptable alternative to conventional VATS or open thoracotomy
when the surgeon completed the training period and learning curve.
PMID- 29785296
TI - Division of the intersegmental plane using electrocautery for segmentectomy in
clinical stage I non-small cell lung cancer.
AB - Background: Division of intersegmental planes is one of the important practical
issues for segmentectomy to obtain feasible outcomes without relapse for clinical
stage I non-small cell lung cancer. Almost all surgeons perform this procedure
using a stapler. However, division of intersegmental planes for segmentectomy can
also be performed by electrocautery. In this article, we demonstrate the merits
and drawbacks of division of the intersegmental plane by electrocautery for
segmentectomy. Methods: Of those 125 patients who underwent segmentectomy with
clinical stage I primary lung cancer, we compared cautery cases (n=50) with
stapler cases (n=75). The cautery group included 29 cases (58.0%) with partial
use of a staple at the end of division. Results: Operative time was significantly
longer in cautery cases (281+/-72 min) than stapler in cases (235+/-86 min;
P=0.003). No difference in the duration of chest tube placement was evident
between cautery (3.0+/-3.0 days) and stapler groups (2.8+/-1.7 days; P=0.613).
However, delayed air leakage occurred significantly more frequently in cautery
cases (14.0%) than in stapler cases (4.0%; P=0.048). Five-year overall survival
(OS) in clinical stage I was 94.7% in cautery cases and 80.5% in stapler cases
(log-rank P=0.047). Five-year disease-free survival (DFS) was 80.0% and 71.3%,
respectively (log-rank P=0.075). Conclusions: The merits of cautery division
include the ability to achieve meticulous division of the intersegmental plane
and good preservation of the shape of residual segments. Conversely, the
drawbacks include prolonged air leakage. Pleural suture or closure of residual
segments may be useful to prevent delayed air leakage.
PMID- 29785297
TI - Determination of the intersegmental plane using the slip-knot method.
AB - Background: Visualization of intersegmental planes in the lung is desirable for
precise anatomical lung segmentectomy. We developed the slip-knot method for
creating inflation-deflation lines. This study aimed to assess relevant data for
thoracoscopic segmentectomy performed using this method. Methods: In the slip
knot method, the objective segmental bronchus is looped with a monofilament
thread. One end of the thread is then pulled during temporary bilateral
ventilation, causing the knot to slip toward the bronchus. Thereafter, bronchial
ligation is tightened to block the outflow of segmental air, ensuring that the
segment remains expanded while the other reserved segments collapse on resumption
of unilateral ventilation. Data from 221 patients who underwent thoracoscopic
pulmonary segmentectomy between 2010 and 2016 were analyzed. Results: A total of
147 patients (67%) were indicated for the slip-knot method, and 74 cases (33%)
were non-adaptive cases. Ninety six percent of 147 cases were well adapted to the
slip-knot method, which allowed us to obtain good inflation-deflation line images
to determine the intersegmental plane. The mean operative time was 171+/-51 min
(range, 71-367 min). The mean duration of chest tube insertion was 1.5+/-1.2 days
(range, 1-7 days). Three cases (2.0%) had prolonged air-leakage and one (0.7%)
case had readmission for late air-leakage. Conclusions: Our method enables
determination of anatomical intersegmental planes using only one monofilament
thread, thus facilitating thoracoscopic pulmonary anatomical segmentectomy.
PMID- 29785298
TI - Impact of near-infrared angiography on the quality of anatomical resection during
video-assisted thoracic surgery segmentectomy.
AB - Background: The aim of the present study was to assess the impact of near
infrared angiography in guiding intraoperatively sublobar anatomical resection by
video-assisted thoracic surgery (VATS). Methods: We retrospectively analyzed data
from 67 patients who underwent segmentectomy by VATS from November 2014 to
November 2017 at the University Hospitals of Geneva, Switzerland. The need to
modify arterial or parenchymal resection based on intraoperative near-infrared
imaging was considered the primary study outcome. Results: A total of 67 patients
(28 men, 39 women, mean age 66+/-10 years) underwent anatomical pulmonary
segmentectomy by VATS. Histological analysis revealed a primary lung tumor in 59
patients. The mean +/- standard deviation (SD) operation time was 154+/-51
minutes. Identification of the intersegmental plane (ISP) with near-infrared
angiography was achieved in 88% of patients and led to modification of the
resection during segmentectomy in 7 patients (10%), avoiding inappropriate
resection; 2 patients had distant tumor recurrences (3%). Conclusions: Near
infrared angiography during VATS segmentectomy is effective for identifying ISPs,
with respect to the oncological margins, as well as for correcting the anatomical
resection.
PMID- 29785299
TI - Thoracoscopic segmentectomy: hybrid approach for clinical stage I non-small cell
lung cancer.
AB - Background: Recently, minimally invasive surgical approaches have been developed,
typified by video-assisted thoracic surgery (VATS). A meticulous surgical
procedure to prevent local recurrence is required during segmentectomy for
clinical stage I non-small-cell primary lung cancer. In this article, we
demonstrated the validity of hybrid VATS segmentectomy. Methods: Of these 125
patients, 62 (49.6%) underwent intensively radical segmentectomy (RS). The
remaining 63 (50.4%) patients underwent palliative segmentectomy (PS). We used
two 2-cm ports and performed a muscle-sparing mini-thoracotomy in which a
partially open metal retractor allowed direct, thoracoscopic visualization as
hybrid VATS segmentectomy in 63.2% of our cases. Results: The consolidation/tumor
ratio obtained with thin-sliced computed tomography was significantly lower in RS
cases than in PS cases (P=0.001). The proportion of pathological stage IA cases
was significantly higher in RS cases (95.2%) than in PS cases (66.7%; P<0.01).
Five-year overall survival (OS) for clinical stage I was 100.0% in RS cases and
73.5% in PS cases (log-rank P<0.001). Five-year disease-free survival (DFS) was
95.5% and 55.7%, respectively (log-rank P<0.001). Conclusions: During
segmentectomy, the most critical consideration is establishment of sufficient
surgical margins around the cancer. Our hybrid approach that includes meticulous
surgical manipulations may produce sufficient surgical margins.
PMID- 29785300
TI - Corneal Stability following Hyperopic LASIK with Advanced Laser Ablation Profiles
Analyzed by a Light Propagation Study.
AB - Purpose: To assess anterior corneal surface stability 12 months following
hyperopic LASIK correction with a light propagation algorithm. Setting: Vissum
Instituto Oftalmologico de Alicante, Universidad Miguel Hernandez, Alicante,
Spain. Methods: This retrospective consecutive observational study includes 37
eyes of 37 patients treated with 6th-generation excimer laser platform (Schwind
Amaris). Hyperopic LASIK was performed in all of them by the same surgeon (JLA)
and completed 12-month follow-up. Corneal topography was analyzed with a light
propagation algorithm, to assess the stability of the corneal outcomes along one
year of follow-up. Results: Between three and twelve months postoperatively, an
objective corneal power (OCP) regression of 0.39 D and 0.41 D was found for 6 mm
and 9 mm central corneal zone, respectively. Subjective outcomes at the end of
the follow-up period were as follows: 65% of eyes had spherical equivalent within
+/-0.50 D. 70% of eyes had an uncorrected distance visual acuity 20/20 or better.
86% of eyes had the same or better corrected distance visual acuity. In terms of
stability, 0.14 D of regression was found. No statistically significant
differences were found for all the study parameters evaluated at different
postoperative moments over the 12-month period. Conclusions: Light propagation
analysis confirms corneal surface stability following modern hyperopic LASIK with
a 6th-generation excimer laser technology over a 12-month period.
PMID- 29785301
TI - Impact of Baseline Central Retinal Thickness on Outcomes in the VIVID-DME and
VISTA-DME Studies.
AB - Purpose: To report the impact of baseline central retinal thickness (CRT) on
outcomes in patients with diabetic macular edema (DME) in VIVID-DME and VISTA
DME. Methods: Post hoc analyses of two randomized controlled trials in which 862
DME patients were randomized 1 : 1 : 1 to treatment with intravitreal aflibercept
2.0 mg every 4 weeks (2q4), intravitreal aflibercept 2.0 mg every 8 weeks after
five initial monthly doses (2q8), or macular laser photocoagulation at baseline
and as needed. We compared visual and anatomical outcomes in subgroups of
patients with baseline CRT < 400 MUm and >=400 MUm. Results: At weeks 52 and 100,
outcomes with intravitreal aflibercept 2q4 and 2q8 were superior to those in
laser control-treated patients regardless of baseline CRT. When looked at in a
binary fashion, the treatment effect of intravitreal aflibercept versus laser was
not significantly better in the >=400 MUm than the <400 MUm group; when looked at
as a continuous variable, baseline CRT seemed to have an impact on the treatment
effect of intravitreal aflibercept versus laser. Conclusions: Post hoc analyses
of VIVID-DME and VISTA-DME demonstrated the benefits of intravitreal aflibercept
treatment in DME patients with baseline CRT < 400 MUm and >=400 MUm. This trial
is registered with NCT01331681 and NCT01363440.
PMID- 29785302
TI - Comparisons of Visual and Surgical Outcomes after Reuse or Replacement of
Dislocated in-the-Bag Intraocular Lens.
AB - Purpose: To compare the visual and surgical outcomes after a reuse or a
replacement of a dislocated in-the-bag intraocular lens (IOL). Methods: This was
a retrospective, nonrandomized case series at a single ophthalmological
institution. Cases with an in-the-bag dislocation of an IOL were treated by pars
plana vitrectomy and the reuse or the replacement of the IOL. The lens was held
by intrascleral fixation of the haptics of the IOL under both conditions. The
same dislocated IOL was reused in 6 eyes (group A) or it was replaced with
another IOL in the other 9 eyes (group B). The pre- and postoperative parameters
analyzed included the visual acuity, refractive error, corneal endothelial cell
density, and intraocular pressure (IOP). Results: There was no significant
difference between the two groups in the postoperative visual acuity (P = 0.388),
refractive error (P = 0.955), IOP (P = 0.529), and endothelial cell loss (P =
0.940). A breakage or a tilting of the IOL was observed and required replacement
in three eyes in the reuse group (P = 0.044). Conclusions: Half of the cases with
reused in-the-bag dislocated IOL had a breakage or a tilting of the IOL. The
replacement of the in-the-bag dislocated IOL is better than the reuse of the IOL
with intrascleral haptics fixation.
PMID- 29785303
TI - Ocular Manifestations of Biopsy-Proven Pulmonary Sarcoidosis in Korea.
AB - Purpose: To investigate the clinical features and ocular manifestations of biopsy
proven pulmonary sarcoidosis in Korea. Methods: 55 patients diagnosed with
pulmonary sarcoidosis by bronchoscopic or excisional biopsy were included. By
retrospective clinical chart review, we investigated features of uveitis, ocular
and systemic treatments, visual acuity, angiotensin-converting enzyme level,
chest radiography, and pulmonary function tests. Clinical features were analyzed
by presence of uveitis, site of biopsy, and first manifested sign of sarcoidosis.
Results: The group with uveitis (n = 39) presented with higher systemic (71.8%)
and immunosuppressive treatment rates (35.9%) than the group without uveitis
(31.3%, 0%, resp.) (P = 0.007, P = 0.005, resp.). There were no significant
differences in clinical features, including systemic treatment rate, by type of
biopsy. Of 39 patients with uveitis, the group with ocular manifestation as a
first sign of sarcoidosis showed higher systemic and immunosuppressive treatment
rates (88.9%, 55.6%) compared to the group with pulmonary manifestation as a
first sign (57.1%, 19.0%) (P = 0.037, P = 0.018, resp.). Conclusions: In patients
with biopsy-proven pulmonary sarcoidosis, the presence of ocular involvement and
uveitis as a first sign could be significant factors associated with higher
systemic treatment rate, especially with immunosuppressive agents. Biopsy site
determined by location and size had no influence on clinical features.
PMID- 29785304
TI - Application of 25 MHz B-Scan Ultrasonography to Determine the Integrity of the
Posterior Capsule in Posterior Polar Cataract.
AB - Purpose: To report the application of 25 MHz B-scan ultrasonography (MHzB) to
determine the integrity of the posterior capsule (PC) in posterior polar cataract
(PPC). Methods: Patients with whom PPC was clinically diagnosed using slit lamp
microscopy who underwent 25 MHzB before phacoemulsification were retrospectively
reviewed. The status of the PC was determined by 25 MHzB before
phacoemulsification and confirmed during cataract surgery. Results: In total, 21
eyes in 14 clinically diagnosed PPC patients were enrolled in this study. Out of
25 MHzB images, 19 PCs were found to be intact, while 2 showed dehiscence before
cataract surgery. During phacoemulsification, 17 PCs were observed to be intact,
while 4 PCs showed posterior capsule rupture (PCR). These 4 PCR cases included
the above 2 eyes, in which preexisting dehiscence was detected by 25 MHzB. The
other 2 PCR cases showed high reflectivity between high echoes in posterior
opacities and the PC, indicating synechia between the PPC and PC. Conclusion:
This is the first report to show that 25 MHzB can be used to clearly visualize
the status of the PC in PPC. These results, in turn, could be used to select the
appropriate treatment and to thereby avoid further complications during PPC
surgery.
PMID- 29785305
TI - Comparable Enhanced Prothrombogenesis in Simple Central Obesity and Metabolic
Syndrome.
AB - Objective: There is limited data comparing prothrombogenic or fibrinolysis
biomarkers (tissue plasminogen activator (tPA) and plasminogen activator
inhibitor-1 (PAI-1)) simultaneously in subjects with Metabolic Syndrome (MS),
simple central obesity without MS (COB) and normal controls (NC). We investigated
the concentrations of fibrinolysis biomarkers in subjects with MS, COB and NC.
Methods: A cross-sectional study involving 503 drug naive subjects (163 males,
aged 30-65 years old (mean age +/- SD = 47.4 +/- 8.3 years)) divided into MS, COB
and NC groups. COB was defined as central obesity (waist circumference (WC) males
>=90 cm, females >=80 cm) in the absence of MS according to the International
Diabetes Federation 2006. Fasting blood levels of tPA and PAI-1were analyzed.
Results: MS and COB had significantly higher concentration of all biomarkers
compared to NC. The MS group had significantly higher concentration of tPA and
PAI-1 compared to COB. WC and HDL-c had significant correlation with all
biomarkers (tPA p < 0.001, PAI-1 p < 0.001). Fasting plasma glucose and diastolic
blood pressure were independent predictors after correcting for confounding
factors. Conclusion: Central obesity with or without MS both demonstrated
enhanced prothrombogenesis. This suggests that simple obesity possibly increases
the risk of coronary artery disease in part, via increased susceptibility to
thrombogenesis.
PMID- 29785306
TI - Overweight and Undernutrition in the Cases of School-Going Adolescents in Wolaita
Sodo Town, Southern Ethiopia: Cross-Sectional Study.
AB - Background: This study aimed to assess the prevalence of malnutrition and
associated factors among school adolescents in Wolaita Sodo town, Southern
Ethiopia. Methods: A school-based cross-sectional study was conducted from May 18
June 10, 2015. A multistage sampling was used to select a random sample of 690
adolescents from selected schools. Data on sociodemographic information were
collected by using an interviewer-administered questionnaire, and anthropometric
measurements were made by using a digital Seca scale and height measuring board
by trained data collectors. Data were entered into Epi-Data version 3.1 software
and exported to SPSS version 20. World Health Organization (WHO) Anthro-plus
software was used to analyze anthropometric data. Both binary and multinomial
logistic regression analyses were done to identify factors associated with the
malnutrition of adolescents. Result: The overall prevalence of thinness,
stunting, and overweight/obesity among school adolescents was 4.7% (95% CI: 3%
6.4%); 5.2% (95% CI: 3.4%-7%); and 5.0% (95% CI: 3.4%-6.7%), respectively. Being
male (AOR = 4.07; 95% CI: 2.35-7.02), learning at a government school (AOR =
0.37; 95% CI: 0.20-0.65), mothers with no formal education (AOR = 4.03; 95% CI:
1.82-8.92), owning no cattle (AOR = 4.92; 95% CI: 2.08-11.64), skipping meals
(AOR = 1.70; 95% CI: 1.05-2.74), and illness in 2 weeks prior to survey (AOR =
2.67; 95% CI: 1.49-4.78) were significantly associated with thinness. However,
males, students who had their house, and no cattle were more likely to develop
overweight/obesity. Maternal education of secondary school (AOR = 0.214; 95% CI:
0.054-0.846) was significantly associated with the stunting. Conclusion: The
study showed the coexistence of undernutrition and overnutrition among school
adolescents in the study area. There needs to implement evidence-based school
nutrition education and health policies and programs to improve nutritional
status of adolescents and timely taking action to limit obesity-related health
problems.
PMID- 29785307
TI - A Review of Percutaneous Transluminal Angioplasty in Hemodialysis Fistula.
AB - The number of patients in dialysis increases every year. In this review, we will
evaluate the role of percutaneous transluminal angioplasty (PTA) according to
patency of arteriovenous fistula and grafts. The main indication of PTauAlpha is
stenosis > 50% or obstruction of the vascular lumen of an arteriovenous fistula
and graft. It is usually performed under local anesthesia. The infection rate is
as low as the number of complications. Fistula can be used in dialysis in the
same day without the need for a central venous catheter. Primary patency is >50%
in the first year while primary assisted patency is 80-90% in the same time
period. Repeated PTA is as durable as the primary PTA. An early PTA carries a
risk of new interventions. Cutting balloon can be used as a second-line method.
Stents and covered stents are kept for the management of complications and
central outflow venous stenosis. PTA is the treatment of choice for stenosis or
obstruction of dialysis fistulas. Repeated PTA may be needed for better patency.
Drug eluting balloon may become the future in PTA of dialysis fistula, but more
trials are needed.
PMID- 29785308
TI - The Correlation of Dyslipidemia with the Extent of Coronary Artery Disease in the
Multiethnic Study of Atherosclerosis.
AB - Background: The extent of coronary artery calcium (CAC) improves cardiovascular
disease (CVD) risk prediction. The association between common dyslipidemias
(combined hyperlipidemia, simple hypercholesterolemia, metabolic Syndrome (MetS),
isolated low high-density lipoprotein cholesterol, and isolated
hypertriglyceridemia) compared with normolipidemia and the risk of multivessel
CAC is underinvestigated. Objectives: To determine whether there is an
association between common dyslipidemias compared with normolipidemia, and the
extent of coronary artery involvement among MESA participants who were free of
clinical cardiovascular disease at baseline. Methods: In a cross-sectional
analysis, 4,917 MESA participants were classified into six groups defined by
specific LDL-c, HDL-c, or triglyceride cutoff points. Multivessel CAC was defined
as involvement of at least 2 coronary arteries. Multivariate Poisson regression
analysis evaluated the association of each group with multivessel CAC after
adjusting for CVD risk factors. Results: Unadjusted analysis showed that all
groups except hypertriglyceridemia had statistically significant prevalence
ratios of having multivessel CAC as compared to the normolipidemia group. The
same groups maintained statistical significance prevalence ratios with
multivariate analysis adjusting for other risk factors including Agatston CAC
score [combined hyperlipidemia 1.41 (1.06-1.87), hypercholesterolemia 1.55 (1.26
1.92), MetS 1.28 (1.09-1.51), and low HDL-c 1.20 (1.02-1.40)]. Conclusion:
Combined hyperlipidemia, simple hypercholesterolemia, MetS, and low HDL-c were
associated with multivessel coronary artery disease independent of CVD risk
factors and CAC score. These findings may lay the groundwork for further analysis
of the underlying mechanisms in the observed relationship, as well as for the
development of clinical strategies for primary prevention.
PMID- 29785309
TI - Colopancreatic Fistula: An Uncommon Complication of Recurrent Acute Pancreatitis.
AB - Colonic complications, including colopancreatic fistulas (CPFs), are uncommon
after acute and chronic pancreatitis. However, they have been reported and are
serious. CPFs are less likely to close spontaneously and are associated with a
higher risk of complications. Therefore, more definitive treatment is required
that includes surgical and endoscopic options. We present a case of a 62-year-old
male patient with a history of heavy alcohol intake and recurrent acute
pancreatitis who presented with a 6-month history of watery diarrhea and
abdominal pain. His abdominal imaging showed a possible connection between the
colon and the pancreas. A further multidisciplinary workup by the
gastroenterology and surgery teams, including endoscopic ultrasound, endoscopic
retrograde cholangiopancreatography, and colonoscopy, resulted in a diagnosis of
CPF. A distal pancreatectomy and left hemicolectomy were performed, and the
diagnosis of CPF was confirmed intraoperatively. The patient showed improvement
afterward.
PMID- 29785310
TI - A Challenging Case of Kikuchi-Fujimoto Disease Associated with Systemic Lupus
Erythematosus and Review of the Literature.
AB - Kikuchi-Fujimoto disease (KFD) or histiocytic necrotizing lymphadenitis is a rare
disease that is frequently underdiagnosed due to clinical features that are
similar to those of non-Hodgkin lymphomas, systemic lupus erythematosus (SLE), or
infectious reactive lymphadenopathy. An excisional biopsy is required. We report
a young Caucasian female diagnosed with KFD with skin lesions, complicating with
SLE. The clinical course, laboratory, and CT findings are described, as are
histopathologic features, for a better recognition of this rare disorder in
clinical practice.
PMID- 29785311
TI - Acute Lymphoblastic Leukemia following Lenalidomide Maintenance for Multiple
Myeloma: Two Cases with Unexpected Presentation and Good Prognostic Features.
AB - Lenalidomide maintenance following autologous stem cell transplant (ASCT) is
considered the standard of care for eligible patients with multiple myeloma (MM).
A recent meta-analysis has provided additional evidence that lenalidomide
maintenance is associated with a higher incidence of second primary malignancies,
including both hematologic and solid malignancies. Acute lymphoblastic leukemia
(ALL) as a second primary malignancy is rarely described in the literature.
Herein, we describe two patients with MM treated with induction therapy, ASCT,
and lenalidomide maintenance that experienced cytopenias while on maintenance.
ALL was unexpectedly diagnosed on bone marrow biopsy. One patient was diagnosed
on routine biopsy performed as part of requirements of the clinical trial. Both
patients had B-cell ALL, without known poor risk cytogenetics, and were managed
with standard induction therapies resulting in complete remission. We also
reviewed the literature for similar cases of secondary ALL (sALL) in MM patients
exposed to immunomodulatory drugs (IMiDs). In conclusion, persistent cytopenias
in responding MM patients receiving IMiDs maintenance should be an indication for
bone marrow biopsy. Patients develop sALL after median of 32.5 months (range, 20
84) from being on lenalidomide or thalidomide maintenance, often presenting with
cytopenias, display low tolerance to chemotherapy, but remission can often be
achieved.
PMID- 29785312
TI - A Case of Progressive Stroke on Posterior Circulation with Transient Bilateral
Oculomotor Palsy.
AB - Infarction located in the midbrain and pons presents various ophthalmic symptoms,
because of the damage of the nuclei that control the movement of internal and
external ocular and palpebral muscles. We experienced a case which presented with
rare ocular symptoms and course. A 61-year-old man presented with left
hemiparesis and dysarthria, bilateral ptosis, and bilateral impaired eyeball
movement: right eyeball movement was totally impaired and left could only perform
slight adduction. MRI showed fresh stroke in the right thalamus, cerebral crus,
and posterior lobe and cuneate lesion on bilateral paramedian portion of the
midbrain. MRA showed occlusion in the P1 area of the posterior cerebral artery
(PCA). Transesophageal echocardiography (TEE) showed findings of a patent foramen
ovale (PFO). These findings suggested cardioembolic stroke as a cause of PCA
occlusion and we prescribed rivaroxaban. The patient's eyeball and eyelid
movement, only on the left side, was improved imperfectly 2 weeks later. We
thought that neurological findings and course of this case may have arisen from
dysfunction of the oculomotor nucleus and oculomotor fascicles, and MLF results
from the presence of the lesion in paramedian midbrain and pons.
PMID- 29785313
TI - Management of an Infected Nonunion of an Opening-Wedge High Tibial Osteotomy with
2-Stage Implantation of Rotating Hinge Knee Prosthesis.
AB - Introduction: High tibial osteotomy (HTO) is a frequent and effective treatment
for unicompartmental gonarthritis. Only a few articles are focused on the
treatment of infected nonunion. Patient and Method: A 50-year-old obese patient
was operated on by medial opening-wedge HTO. She developed a painful nonunion
treated by hardware removal, allograft, and plate fixation. However, the nonunion
persisted. 2 years later, cellulitis appeared with an abscess adjacent to the HTO
plate. Despite surgical debridement and antibiotics, septic knee arthritis
occurred. In a situation of infected nonunion and septic arthritis with
chondrolysis, she was scheduled for a 2-stage total knee replacement (TKR). The
infected tibial articular block was first resected and replaced by a cement
spacer. After a short interval, the TKR was implanted. After 2 years, the patient
walked pain-free with good knee function. Discussion: In the literature,
different efficient treatments exist for infected nonunion after HTO, but
comprehensive studies are missing for a consensus treatment. Current data are
mostly based on case reports, since this pathology is quite rare. Conclusion: In
a difficult situation of infected nonunion with septic knee arthritis, we
performed a 2-stage knee prosthesis implantation. This led to an early
mobilization and fast recovery.
PMID- 29785314
TI - Osteochondroma of the Scapula with Accessory Nerve (XI) Compression.
AB - Osteochondroma is the most common benign bone tumor and is characterized as a
cartilage-capped bony stalk. This lesion usually develops from the growth plate
of long bones. Most osteochondromas are asymptomatic. Neurovascular compressions
or cosmetic issues can occur in specific locations. Malignant transformation is
extremely rare, and MRI can help evaluate these lesions. Symptomatic mass and
malignancy features are the main surgical indications. Uncommonly, an
osteochondroma can develop from flat bones. We present the case of a 25-year-old
patient with a right scapula osteochondroma causing an accessory nerve
compression. The mass was surgically removed, and the diagnosis was confirmed.
The patient fully recovered at the latest 3-year follow-up visit.
PMID- 29785315
TI - A Very Rare Case of Hypereosinophilic Syndrome Secondary to Natural Killer/T-Cell
Lymphoma.
AB - Hypereosinophilic syndrome (HES) is a systemic disease characterized by an
increased peripheral blood eosinophil count accompanied by systemic organ
dysfunction. HES is classified into idiopathic HES, primary (neoplastic) HES
(HESN), and secondary (reactive) HES (HESR). In this case report, a patient who
developed peripheral blood eosinophilia and granulation tissue in the pharynx and
paranasal sinus, which was initially diagnosed as chronic eosinophilic leukemia
(CEL), categorized as HESN, but was eventually identified after the patient had
died as natural killer/T-cell (NK/T) lymphoma, nasal type (ENKL), categorized as
HESR, is presented. ENKL-induced HES is very rare but must be considered.
PMID- 29785316
TI - A Pediatric Case of Relapsing-Remitting Multiple Sclerosis Onset following
Varicella Zoster Ophthalmicus with Optic Neuritis.
AB - Some epidemiological studies have implied a pathogenetic association between
varicella zoster virus (VZV) and multiple sclerosis (MS); this, however, remains
controversial. The present report describes a case involving an immunocompetent
10-year-old girl who developed relapsing-remitting MS following the prolonged
reactivation of VZV inside the first branch of the trigeminal nerve, exhibiting
herpes zoster ophthalmicus with severe optic neuritis. Symptoms related to herpes
zoster ophthalmicus and MS appeared consecutively in the 10-week period after the
appearance of vesicles. This suggests that the onset of MS was triggered by some
mechanism involving VZV reactivation in the first branch of the trigeminal nerve.
To the best of our knowledge, this report is the first to describe a relationship
between the onset of MS and herpes zoster ophthalmicus. Early diagnosis and
aggressive antiviral therapy are important in cases of herpes zoster ophthalmicus
to prevent the possible development of MS as well as visual impairment as
sequela.
PMID- 29785317
TI - A Rare Case of Penile Metastases as a Harbinger of Primary Pulmonary
Adenosquamous Carcinoma.
AB - Although lung cancer has a high propensity for distant metastatic disease, penile
metastases from primary lung neoplasms are considered particularly rare. A 71
year-old male presented to our hospital with a rapidly enlarging hard palpable
penile mass. MR imaging demonstrated two penile masses centered in the left and
right corpus cavernosa. Subsequent CT imaging revealed a spiculated pulmonary
mass in the right upper lobe with PET/CT, MRI, and surgical exploration,
demonstrating evidence of metastases to the left adrenal gland, right
subscapularis muscle, brain, and small bowel. Tissue sampling of lesions in the
small bowel, right subscapularis muscle, and penis demonstrated histopathology
consistent with an adenosquamous carcinoma which in combination with the
appearance of the right upper lobe mass on PET/CT imaging suggested the patient's
lung cancer as the primary lesion. Prior to our case, pulmonary adenosquamous
carcinoma metastasizing to the penis has only been reported once in the
literature. Herein, we report a rare case of penile metastases as the presenting
sign of metastatic pulmonary adenosquamous carcinoma characterized with PET/CT
and MR imaging.
PMID- 29785318
TI - Role of Nanotechnology in Cosmeceuticals: A Review of Recent Advances.
AB - Nanotechnology manifests the progression in the arena of research and
development, by increasing the efficacy of the product through delivery of
innovative solutions. To overcome certain drawbacks associated with the
traditional products, application of nanotechnology is escalating in the area of
cosmeceuticals. Cosmeceuticals are regarded as the fastest growing segment of the
personal care industry and the use has risen drastically over the years.
Nanocosmeceuticals used for skin, hair, nail, and lip care, for conditions like
wrinkles, photoaging, hyperpigmentation, dandruff, and hair damage, have come
into widespread use. Novel nanocarriers like liposomes, niosomes, nanoemulsions,
microemulsion, solid lipid nanoparticles, nanostructured lipid carrier, and
nanospheres have replaced the usage of conventional delivery system. These novel
nanocarriers have advantages of enhanced skin penetration, controlled and
sustained drug release, higher stability, site specific targeting, and high
entrapment efficiency. However, nanotoxicological researches have indicated
concern regarding the impact of increased use of nanoparticles in cosmeceuticals
as there are possibilities of nanoparticles to penetrate through skin and cause
health hazards. This review on nanotechnology used in cosmeceuticals highlights
the various novel carriers used for the delivery of cosmeceuticals, their
positive and negative aspects, marketed formulations, toxicity, and regulations
of nanocosmeceuticals.
PMID- 29785319
TI - A multi-faceted pandemic: a review of the state of knowledge on the Zika virus.
AB - While until recently the small and isolated Zika outbreaks in Eastern Asia and
Pacific islands had been overlooked, the large-scale outbreak that started in
Brazil in 2015 and the increase of microcephaly cases in the same place and time
made media headlines. Considered as harmless until recently, Zika has given rise
to an important global crisis that poses not only health challenges but also
environmental, economical, social, and ethical challenges for states and people
around the world. The main objective of this paper is to review the recent Zika
outbreak by covering a broad range of disciplines and their interactions. This
paper synthetises experts' interviews and reactions conducted during a Massive
Open Online Course (MOOC) entitled "In the footsteps of Zika...approaching the
unknown." It reviews knowledge and uncertainties around epidemiology,
geographical dispersion of the virus and its vectors through globalization and
climate change, and also its modes of transmission, diagnosis, symptoms, and
treatment of the disease. The resulting societal and ethical issues in pregnancy
and women of reproductive age were also addressed as well as the global outbreak
alert and response network in international organizations and social media. This
paper attempted to combine each piece of the jigsaw puzzle of the Zika phenomenon
to complete the best realistic picture, while keeping in mind the balance between
the interdisciplinary nature and international context of Zika and its unique
characteristics.
PMID- 29785321
TI - Comment on: Screening for malignant melanoma-a critical assessment in historical
perspective.
PMID- 29785320
TI - Iron-Catalyzed Direct Olefin Diazidation via Peroxyester Activation Promoted by
Nitrogen-Based Ligands.
AB - We herein report an iron-catalyzed direct diazidation method via activation of
bench-stable peroxyesters promoted by nitrogen-based ligands. This method is
effective for a broad range of olefins and N-heterocycles, including those that
are difficult substrates for the existing olefin diamination and diazidation
methods. Notably, nearly a stoichiometric amount of oxidant and TMSN3 are
sufficient for high-yielding diazidation for most substrates. Preliminary
mechanistic studies elucidated the similarities and differences between this
method and the benziodoxole-based olefin diazidation method previously developed
by us. This method effectively addresses the limitations of the existing olefin
diazidation methods. Most notably, previously problematic nonproductive oxidant
decomposition can be minimized. Furthermore, X-ray crystallographic studies
suggest that an iron-azide-ligand complex can be generated in situ from an iron
acetate precatalyst and that it may facilitate peroxyester activation and the
rate-determining C-N3 bond formation during diazidation of unstrained olefins.
PMID- 29785322
TI - Periumbilical perforating pseudoxanthoma elasticum: a rare case report.
AB - Periumbilical perforating pseudoxanthoma elasticum (PPPXE) usually presents with
well-defined periumbilical yellowish atrophic plaques with keratotic papules at
the periphery. It is considered a variant of hereditary pseudoxanthoma elasticum
or a localized acquired cutaneous dermatosis. The lesions usually occur in the
periumbilical area in obese, multiparous women. Here, we report an additional
case of periumbilical perforating pseudoxanthoma elasticum with its dermoscopic
features.
PMID- 29785323
TI - Coexistence of urticaria pigmentosa and thalassemia minor in a young adult.
PMID- 29785324
TI - Staphylococcus aureus is the most common bacterial agent of the skin flora of
patients with seborrheic dermatitis.
AB - Background: Seborrheic dermatitis is an inflammatory skin disease that affects 1
3% of the general population. The Malassezia species has been implicated as the
main causative agent; however, the bacterial flora of the skin may also play role
in the etiopathogenesis. Therefore, we investigated the most common bacterial
agent of the skin flora of patients with seborrheic dermatitis. Materials and
Methods: Fifty-one patients with seborrheic dermatitis and 50 healthy individuals
are included in this study. Sterile cotton swabs were rubbed on the scalp of the
participants for bacterial culture. Colonial morphology was identified with gram
stain and catalase test. Results: Staphylococcus aureus was isolated from 25
(49%) patients with seborrheic dermatitis and 10 (20%) healthy individuals within
the control group. Coagulase-negative staphylococci were isolated from 24 (47.1%)
patients with seborrheic dermatitis and 17 (34%) healthy individuals within the
control group. Diphtheroids were present in 2 (3.9%) patients and 1 (2%) subject
within the control group. Gram-negative bacilli were present only in 1 (2%)
patient. Hemolytic streptococci and bacilli were identified in 1 (2%) subject
from each group. Colonization of coagulase-negative staphylococci, diphtheroids,
gram-negative bacilli, hemolytic streptococci, and bacillus did not differ
between patients and healthy controls. However, S. aureus colonization was
significantly more common in patients with seborrheic dermatitis than in healthy
controls. Conclusion: Within this study we revealed that S. aureus colonization
was significantly higher among the patients. Therefore, we propose that, in
addition to the Malassezia species, S. aureus may play a role in the
etiopathogenesis of seborrheic dermatitis.
PMID- 29785326
TI - Morphologic characteristics of nevi associated with melanoma: a clinical,
dermatoscopic and histopathologic analysis.
AB - Background: The aim of this retrospective study was to determine the frequency of
nevus-associated melanomas and to better characterize the preexisting nevus from
a histopathologic, clinical and dermatoscopic point of view. Methods: We reviewed
the histopathologic slides of a consecutive series of 357 melanomas and
corresponding clinical and dermatoscopic images, if available. Results: We found
that 31 (8.7%) melanomas were associated with a preexisting nevus, 284 (79.5%)
melanomas developed de novo, and in 42 (11.8%) a preexisting nevus could not be
excluded, although the alternative explanation that the entire lesion represented
a melanoma was also possible. The preexisting nevus was a "superficial" or
"superficial and deep" congenital nevus in 27 cases (87%) and a Clark nevus in 4
cases (13%). Clinical or dermatoscopic images were available in 149 (41.7%)
cases. The preexisting nevus, if visible, looked inconspicuous clinically or
dermatoscopically. The median invasion thickness of nevus-associated melanoma was
not significantly different from "de novo" melanomas but the frequency of in situ
melanomas was higher in the "de novo" group (40.1% versus 16.1%). Patients with
melanoma in association with a nevus were significantly younger (mean age=55
years, SD: 16 years) than patients with "de novo" melanomas (mean age=68 years
SD: 15 years, p<0.001). When controlled for age and invasion thickness overall,
survival did not differ significantly between patients with nevus-associated
melanomas and patients with de novo melanomas. Conclusions: From a
histomorphologic point of view, the majority of melanomas arise de novo. If
melanomas develop in a preexisting nevus, they usually occur in association with
a "superficial" or "superficial and deep" congenital nevus.
PMID- 29785325
TI - Screening for malignant melanoma-a critical assessment in historical perspective.
AB - Screening for melanoma has been advocated for many years because early detection
and excision have been regarded as the most important measure to lower mortality
from that neoplasm. In the past decade, concern has been raised by
epidemiologists that screening might result in excision chiefly of
"inconsequential cancer," i.e., melanomas that would never have progressed into
life-threatening tumors, a phenomenon referred to by the misleading term
"overdiagnosis." Without any firm evidence, that speculation has been embraced
worldwide, and incipient melanomas have been trivialized. At the same time,
efforts at early detection of melanoma have continued and have resulted in biopsy
of pigmented lesions at a progressively earlier stage, such as lesions with a
diameter of only 2, 3, or 4 mm. Those tiny lesions often lack sufficient criteria
for clinical and histopathologic diagnosis, the result being true overdiagnoses,
i.e., misdiagnoses of melanocytic nevi as melanoma. This is especially true if
available criteria for histopathologic diagnosis are diminuished even further by
incomplete excision of lesions. The reliability of histopathologic diagnosis is
far higher in excisional biopsies of lesions that were given some more time to
develop changes that make them recognizable. Biopsy of pigmented lesions with a
diameter of 6 mm has been found to result in a far higher yield of melanomas. In
addition to better clinical judgment, slight postponement of biopsies bears the
promise of substantial improvement of the reliability of histopathologic
diagnosis, and of alleviating true overdiagnoses.
PMID- 29785327
TI - Ex vivo confocal microscopy: an emerging technique in dermatology.
AB - This review aims to give an overview of the current available applications of ex
vivo confocal microscopy (EVCM) in dermatology. EVCM is a relatively new imaging
technique that allows microscopic examination of freshly excised unfixed tissue.
It enables a rapid examination of the skin sample directly in the surgery room
and thus represents an alternative to the intraoperative micrographic control of
the surgical margins of cutaneous tumors by standard microscopic examination on
cryopreserved sections during Mohs surgery. Although this technique has mainly
been developed for the margin's control of basal cell carcinoma, many other skin
tumors have been studied, including melanoma. Use of EVCM is continuing to
evolve, and many possible applications are under investigation, such as the study
of nails and hair diseases and the diagnosis of skin infections.
PMID- 29785328
TI - Dermoscopy of a solitary storiform collagenoma.
AB - The dermoscopic features of solitary storiform collagenomas (sclerotic fibromas)
have not been described previously, as these are rare cutaneous soft tissue
tumors. The presence of multiple lesions is considered a marker of Cowden
syndrome. They can also present as single firm cutaneous nodules. We present an
unusual single nodule with distinct dermoscopic and histologic features.
PMID- 29785329
TI - Mycosis fungoides in a 15-year-old adolescent.
PMID- 29785330
TI - Dermoscopy of a Spark's nevus.
AB - Spark's nevus is a particular type of melanocytic nevus that on histology shows
features of both Spitz's and Clark's nevus. Clinically, it is an asymmetric,
irregular, multicolored, pigmented lesion that is not clearly distinguishable
from melanoma or dysplastic (Clark's) nevus. Dermoscopic features have not been
described yet, and one could speculate that they are similar to those of Clark's
nevi because the histopathologic architecture of Spark's nevus is similar to that
of a Clark's nevus, resembling Spitz's nevi in the epithelioid morphology of
melanocytes. We present a 32-year-old woman with a Spark's nevus, who upon
dermoscopy showed a pronounced atypical network with accentuation of the blue
veil and mostly peripheral dots.
PMID- 29785331
TI - Nodular basal cell carcinoma of the face successfully treated with ingenol
mebutate 0.015% gel.
AB - Surgical excision is the first-choice treatment for basal cell carcinoma (BCC).
Other treatments with topical agents such as 5-fluorouracil or imiquimod have
also been suggested for use in superficial BCC (sBCC). Ingenol mebutate (IM) is a
novel agent employed in the treatment of superficial actinic keratoses. The drug
has been also successfully used in the treatment of sBCC. A case of large nodular
BCC (nBCC) of the face in a 100-year-old inoperable woman is described. IM 0.015%
gel was applied once daily for three consecutive days. This dose regimen was
repeated for seven rounds within 11 months, with complete cure of the tumor. Mild
local skin reactions, which were tolerated well, were observed. Selected cases of
nBCC could be treated with IM gel, but the optimal concentration of the drug and
the standard dose regimen of treatment are yet to be determined.
PMID- 29785332
TI - Dermoscopic changes in melanocytic nevi covered with both opaque tape and
sunscreen cream during narrowband ultraviolet B therapy.
AB - Background: Ultraviolet (UV) light may cause dermoscopic changes on melanocytic
nevi (MN). Objectives: To investigate the effects of sunscreen cream (SSc)
application on dermoscopic changes in MN during narrowband UVB (NBUVB) therapy.
Methods: Half of the randomly selected MN in each patient were covered with
opaque tape and SSc [SSc(+)], and the rest were covered with only opaque tape
[SSc(-)] during NBUVB treatment sessions. Results: More SSc(-) MN displayed
dermoscopic changes at end of NBUVB therapy compared to the start of therapy
(p=0.035). The number of the MN that decreased in size and showed loss of
structure was significantly higher in SSc(-) MN (p=0.04 and p=0.026,
respectively). Conclusions: Sunscreen in combination with opaque tape may
contribute to some dermoscopic changes in melanocytic nevi, including decrease in
size and loss of structure.
PMID- 29785333
TI - Acral lentiginous melanoma in the Turkish population and a new dermoscopic clue
for the diagnosis.
AB - Background: The incidence of acral lentiginous melanoma (ALM) in the white
population is low. Dermoscopy enhances diagnosis of ALM; however, diagnostic
accuracy may sometimes be poor due to the considerable proportion of amelanotic
ALM variants. Objectives: To calculate the proportion of ALM among all melanoma
subtypes and to determine the frequency of dermoscopic features of ALM in the
Turkish population. Methods: Out of 612 melanomas, there were 70 cases of ALM, of
which 46 showed sufficient image quality for retrospective study of dermoscopic
features. Data from patients and their lesions was classified according to
clinical features and histopathologic parameters. The dermoscopic variables
evaluated were based on pertinent literature on dermoscopy of acral melanocytic
neoplasms. Results: The prevalence of ALM among all melanoma subtypes was 11.4%.
Parallel-ridge pattern (PRP) was detected in 60.8% of cases and irregular diffuse
pigmentation (IDP) in 28.3%. The ALMs were amelanotic in 24%, showing an atypical
vascular pattern in all cases; a new dermoscopic pattern, named "vascularized
parallel-ridge pattern" (VPRP), was detected in 13% of ALMs. Irregular lines were
observed in 81.8% of subungual melanomas and were often associated with a
multicolored background. Conclusions: ALM has site-specific dermoscopic patterns,
with PRP being the most prevalent pattern. The newly described VPRP pattern may
be an additional clue for ALM diagnosis, especially in thin amelanotic melanomas.
PMID- 29785335
TI - Raised vulvar lesions: be aware!
AB - Vulvar melanoma is a rare and deadly cancer in women, and the prognosis is often
poor. There are limited studies on the dermoscopic features of vulvar melanoma.
Described criteria include the presence of blue, gray, or white colors. Herein we
present the clinical and dermoscopic characteristics of a hypopigmented and
heavily pigmented nodule in a 92-year-old and an 80-year-old woman. Dermoscopy in
the former revealed structureless milky-red to white areas, remnants of brown
pigmentation at the base and polymorphic vessels, while the latter displayed
structureless blue-gray areas with black dots and peripheral lines at the base.
In both cases, histopathology revealed a stage III melanoma. Our two cases along
with a review of the literature suggest that the dermoscopic features described
for diagnosing cutaneous nodular melanoma, apply also for vulvar melanoma.
Clinicians should always raise the suspicion if observing plaques or nodules with
a dermoscopic polymorphic vascular pattern and blue-black color on the genitals
of postmenopausal women.
PMID- 29785334
TI - Radiotherapy for inoperable Merkel cell carcinoma: a systematic review and pooled
analysis.
AB - Background: Cumulative data on radiation monotherapy for Merkel cell carcinoma
(MCC) is lacking. Objective: We sought to synthesize all available data on
treatment outcomes for radiation monotherapy for inoperable stage I-III MCC.
Methods: We performed a systematic review of the current literature. Articles
published in English in the PubMed database up to July 29, 2016, were evaluated.
Results: Eight case reports, 4 case series, and 6 retrospective studies, yielding
68 patients, were included in our analysis. Of the 24 stage I/II patients treated
with local irradiation, 6 (25%) relapsed and 1 (4%) died from MCC. Of the 24
stage I/II patients treated with local and regional nodal irradiation, 5 (21%)
relapsed and 2 (8%) died from MCC. Of the 20 stage III patients treated with
local and regional nodal irradiation, 12 (60%) relapsed and 7 (35%) died from
MCC. Conclusions: Radiation monotherapy appears to be a reasonable treatment
modality for patients with inoperable stage I-III MCC. Further investigation with
prospective studies is needed to draw definitive conclusions.
PMID- 29785337
TI - Modelling the growth of the brown frog (Rana dybowskii).
AB - Well-controlled development leads to uniform body size and a better growth rate;
therefore, the ability to determine the growth rate of frogs and their period of
sexual maturity is essential for producing healthy, high-quality descendant
frogs. To establish a working model that can best predict the growth performance
of frogs, the present study examined the growth of one-year-old and two-year-old
brown frogs (Rana dybowskii) from metamorphosis to hibernation (18 weeks) and out
hibernation to hibernation (20 weeks) under the same environmental conditions.
Brown frog growth was studied and mathematically modelled using various
nonlinear, linear, and polynomial functions. The model input values were
statistically evaluated using parameters such as the Akaike's information
criterion. The body weight/size ratio (Kwl) and Fulton's condition factor (K)
were used to compare the weight and size of groups of frogs during the growth
period. The results showed that the third- and fourth-order polynomial models
provided the most consistent predictions of body weight for age 1 and age 2 brown
frogs, respectively. Both the Gompertz and third-order polynomial models yielded
similarly adequate results for the body size of age 1 brown frogs, while the
Janoschek model produced a similarly adequate result for the body size of age 2
brown frogs. The Brody and Janoschek models yielded the highest and lowest
estimates of asymptotic weight, respectively, for the body weights of all frogs.
The Kwl value of all frogs increased from 0.40 to 3.18. The K value of age 1
frogs decreased from 23.81 to 9.45 in the first four weeks. The K value of age 2
frogs remained close to 10. Graphically, a sigmoidal trend was observed for body
weight and body size with increasing age. The results of this study will be
useful not only for amphibian research but also for frog farming management
strategies and decisions.
PMID- 29785336
TI - Targeting the Microbiota, from Irritable Bowel Syndrome to Mood Disorders: Focus
on Probiotics and Prebiotics.
AB - Purpose of review: The crosstalk between the gut and the brain has revealed a
complex communication system responsible for maintaining a proper
gastrointestinal homeostasis as well as affect emotional mood and cognitive
functions. Recent research has revealed that beneficial manipulation of the
microbiota by probiotics and prebiotics represent an emerging and novel strategy
for the treatment of a large spectrum of diseases ranging from visceral pain to
mood disorders. The review critically evaluates current knowledge of the effects
exerted by both probiotics and prebiotics in irritable bowel syndrome (IBS) and
mood disorders such as anxiety and depression. Recent findings: Relevant
literature was identified through a search of MEDLINE via PubMed using the
following words, "probiotics", "prebiotics", "microbiota", and "gut-brain axis"
in combination with "stress", "depression", "IBS", and "anxiety". A number of
trials have shown efficacy of probiotics and prebiotics in ameliorating both IBS
related symptoms and emotional states. However, limitations have been found
especially due to the small number of clinical studies, studies design, patient
sample size, and placebo effect. Summary: Nonetheless, current finding supports
the view that beneficial manipulation of the microbiota through both probiotics
and prebiotics intake represents a novel attractive strategy to treat gut-brain
axis disorders such as IBS and depression.
PMID- 29785338
TI - Coarse- and fine-scale patterns of distribution and habitat selection places an
Amazonian floodplain curassow in double jeopardy.
AB - Patterns of habitat selection are influenced by local productivity, resource
availability, and predation risk. Species have taken millions of years to hone
the macro- and micro-habitats they occupy, but these may now overlap with
contemporary human threats within natural species ranges. Wattled Curassow (Crax
globulosa), an endemic galliform species of the western Amazon, is threatened by
both hunting and habitat loss, and is restricted to white-water floodplain
forests of major Amazonian rivers. In this study conducted along the Jurua River,
Amazonas, Brazil, we quantified the ranging ecology and fine-scale patterns of
habitat selection of the species. We estimated the home range size of C.
globulosa using conventional VHF telemetry. To estimate patterns of habitat
selection, we used geo-locations of day ranges to examine the extent and
intensity of use across the floodplain, which were then compared to a high
resolution flood map of the study area. We captured two females and one male,
which we monitored for 13 months between September 2014 and September 2015.
Average home range size was 283 ha, based on the 95% aLoCoH estimator. Wattled
Curassows selected areas of prolonged flood pulses (six to eight months/year) and
had a consistent tendency to be near open water, usually in close proximity to
river banks and lakes, especially during the dry season. Amazonian floodplains
are densely settled, and the small portions of floodplain habitat used by Wattled
Curassows are both the most accessible to hunters and most vulnerable to
deforestation. As a result, the geographic and ecological distribution of Wattled
Curassows places them at much higher extinction risk at multiple spatial scales,
highlighting the need to consider habitat preferences within their conservation
strategy.
PMID- 29785339
TI - Identification of potential crucial genes and pathways associated with vein graft
restenosis based on gene expression analysis in experimental rabbits.
AB - Occlusive artery disease (CAD) is the leading cause of death worldwide. Bypass
graft surgery remains the most prevalently performed treatment for occlusive
arterial disease, and veins are the most frequently used conduits for surgical
revascularization. However, the clinical efficacy of bypass graft surgery is
highly affected by the long-term potency rates of vein grafts, and no optimal
treatments are available for the prevention of vein graft restenosis (VGR) at
present. Hence, there is an urgent need to improve our understanding of the
molecular mechanisms involved in mediating VGR. The past decade has seen the
rapid development of genomic technologies, such as genome sequencing and
microarray technologies, which will provide novel insights into potential
molecular mechanisms involved in the VGR program. Ironically, high throughput
data associated with VGR are extremely scarce. The main goal of the current study
was to explore potential crucial genes and pathways associated with VGR and to
provide valid biological information for further investigation of VGR. A
comprehensive bioinformatics analysis was performed using high throughput gene
expression data. Differentially expressed genes (DEGs) were identified using the
R and Bioconductor packages. After functional enrichment analysis of the DEGs,
protein-protein interaction (PPI) network and sub-PPI network analyses were
performed. Finally, nine potential hub genes and fourteen pathways were
identified. These hub genes may interact with each other and regulate the VGR
program by modulating the cell cycle pathway. Future studies focusing on
revealing the specific cellular and molecular mechanisms of these key genes and
pathways involved in regulating the VGR program may provide novel therapeutic
targets for VGR inhibition.
PMID- 29785340
TI - Comparing the catch composition, profitability and discard survival from
different trammel net designs targeting common spiny lobster (Palinurus elephas)
in a Mediterranean fishery.
AB - In the Balearic Islands, different trammel net designs have been adopted to
promote fisheries sustainability and reduce discards. Here, we compare the catch
performance of three trammel net designs targeting the spiny lobster Palinurus
elephas in terms of biomass, species composition and revenue from commercial
catches and discards. Designs differ in the netting fiber type (standard
polyfilament, PMF, or a new polyethylene multi-monofilament, MMF) and the use of
a guarding net or greca, a mesh piece intended to reduce discards. Catches were
surveyed by an on-board observer from 1,550 netting walls corresponding to 70
nets. The number of marketable species captured indicated that the lobster
trammel net fishery has multiple targets, which contribute significantly to the
total revenue. The discarded species ranged from habitat-forming species to
elasmobranches, but the magnitude of gear-habitat interactions on the long term
dynamics of benthos remains unclear. No relevant differences in revenue and
weight of discards were detected after Bayesian analyses. However, the species
composition of discards was different when using greca. Interestingly, high
immediate survival was found for discarded undersized lobsters, while a seven day
survival assessment, using captive observation, gave an asymptotic estimate of
survival probability as 0.64 (95% CI [0.54-0.76]). Therefore, it is recommended
that it would be beneficial for this stock if an exemption from the EU landing
obligation regulation was sought for undersized lobsters in the Balearic trammel
net fishery.
PMID- 29785341
TI - Overwintering temperature and body condition shift emergence dates of spring
emerging solitary bees.
AB - Solitary bees in seasonal environments must align their life-cycles with
favorable environmental conditions and resources; the timing of their emergence
is highly fitness relevant. In several bee species, overwintering temperature
influences both emergence date and body weight at emergence. High variability in
emergence dates among specimens overwintering at the same temperatures suggests
that the timing of emergence also depends on individual body conditions. However,
possible causes for this variability, such as individual differences in body size
or weight, have been rarely studied. In a climate chamber experiment using two
spring-emerging mason bees (Osmia cornuta and O. bicornis), we investigated the
relationship between temperature, emergence date, body weight, and body size, the
last of which is not affected by overwintering temperature. Our study showed that
body weight declined during hibernation more strongly in warm than in cold
overwintering temperatures. Although bees emerged earlier in warm than in cold
overwintering temperatures, at the time of emergence, bees in warm overwintering
temperatures had lower body weights than bees in cold overwintering temperatures
(exception of male O. cornuta). Among specimens that experienced the same
overwintering temperatures, small and light bees emerged later than their larger
and heavier conspecifics. Using a simple mechanistic model we demonstrated that
spring-emerging solitary bees use a strategic approach and emerge at a date that
is most promising for their individual fitness expectations. Our results suggest
that warmer overwintering temperatures reduce bee fitness by causing a decrease
in body weight at emergence. We showed furthermore that in order to adjust their
emergence dates, bees use not only temperature but also their individual body
condition as triggers. This may explain differing responses to climate warming
within and among bee populations and may have consequences for bee-plant
interactions as well as for the persistence of bee populations under climate
change.
PMID- 29785342
TI - Occurrence of termites (Isoptera) on living and standing dead trees in a tropical
dry forest in Mexico.
AB - Termites play a key role as ecosystem engineers in numerous ecological processes
though their role in the dynamics of wood degradation in tropical dry forests,
particularly at the level of the crown canopy, has been little studied. In this
study, we analysed the occurrence of termites in the forest canopy by evaluating
the density and proportion of living and standing dead trees associated with
termites in deciduous and riparian habitats of the tropical dry forest in
Chamela, Mexico. The results indicated that 60-98% of standing dead trees and 23
59% of living trees in Chamela were associated with termites. In particular, we
found that the density of standing dead trees was higher in deciduous forests
(0.057-0.066 trees/m2) than in riparian forests (0.022 and 0.027 trees/m2), even
though the proportion of trees was not significantly different among habitats.
Additionally, we found a higher density of trees associated with termites in
trees of smaller size classes (0.01-0.09 trees/m2) than in larger class sizes (0
0.02 trees/m2). Interestingly, 72% of variation in the density of trees
associated with termites is explained by the density of standing dead trees.
Overall, these results indicate that standing dead tree availability might be the
main factor regulating termite populations in Chamela forest and suggest that
termites could play a key role in the decomposition of above-ground dead wood,
mediating the incorporation of suspended and standing dead wood into the soil.
PMID- 29785343
TI - Perinatal specimens of Maiasaura from the Upper Cretaceous of Montana (USA):
insights into the early ontogeny of saurolophine hadrosaurid dinosaurs.
AB - Perinatal specimens of hadrosaurids discovered in the late 1970's by field crews
from Princeton University were significant in providing evidence of the early
ontogenetic stages in North American dinosaurs. These specimens from the
Campanian (Upper Cretaceous) Two Medicine Formation of Montana consist of over a
dozen skeletons referable to the saurolophine hadrosaurid Maiasaura peeblesorum,
but never fully figured or described. Here, we provide a more complete
documentation of the morphology of these specimens, along with an examination of
variation during a large span of the development of saurolophine hadrosaurids.
Many ontogenetic changes in the available facial and mandibular elements are
associated with the progressive elongation of the preorbital region of the skull
and mandible. In the postcranium, limb bones change nearly isometrically, with
exception of certain elements of the forelimb. Some cranial and postcranial
characters commonly used for inferring hadrosaurid phylogenetic relationships
remain invariable during the ontogeny of M. peeblesorum. This indicates that
early ontogenetic stages may still provide a limited amount of character
information useful for systematics and phylogenetic inference.
PMID- 29785344
TI - Citizen science data suggest that a novel rig improves landing rate and reduces
injury and handling time in recreational angling with artificial lures in Baltic
pike (Esox lucius).
AB - The optimal terminal gear in hook-and-line recreational fishing maximizes landing
rates and minimizes injury to the fish because some fish will be released after
capture. We designed a novel rig configuration in artificial lure fishing for top
predators and examined its effectiveness in angling for Baltic northern pike
(Esox lucius) using a citizen science approach based on observational data
collected from volunteer anglers in the field. The novel rig included two changes
to traditional rig designs common to artificial lure angling. First, hooks were
mounted in a way giving better hook exposure and eliminating lever-arm effects
from the lure to the hooks once a fish is hooked. This construction allowed the
second change, being a shift to hooks 4-5 sizes smaller than those used on
traditional hook mounts. We analysed observational data collected by volunteer
anglers using either the novel rig or a standard rig mount in two types of
artificial lures (softbait and hardbait) of the same size (about 17 cm). Using N
= 768 pike contacts as input data, we showed the landing rates of pike targeted
with artificial lures significantly and substantially increased from 45% with
normal-rigs to 85% when the same lure types were fished with the novel rig
configuration. Lure type and water temperature had no effects on landing rates.
Moreover, hardbaits on normal-rigs produced significantly more injury, bleeding
and elevated unhooking time compared to fish captured on hardbaits with release
rigs. We conclude that simple changes to traditional hook sizes and mounts in
lure fishing may benefit both anglers and the fishes that are to be released and
that citizen science projects with volunteer anglers are able to provide good
data in proof-of-concept studies. Further experimental studies are needed to
differentiate hook size from hook mount effects because both variables were
confounded in the results of the observational data presented here.
PMID- 29785345
TI - Greenland shark (Somniosus microcephalus) feeding behavior on static fishing
gear, effect of SMART (Selective Magnetic and Repellent-Treated) hook deterrent
technology, and factors influencing entanglement in bottom longlines.
AB - The Greenland Shark (Somniosus microcephalus) is the most common bycatch in the
Greenland halibut (Reinhardtius hippoglossoides) bottom longline fishery in
Cumberland Sound, Canada. Historically, this inshore fishery has been prosecuted
through the ice during winter but winter storms and unpredictable landfast ice
conditions since the mid-1990s have led to interest in developing a summer
fishery during the ice-free season. However, bycatch of Greenland shark was found
to increase substantially with 570 sharks captured during an experimental
Greenland halibut summer fishery (i.e., mean of 6.3 sharks per 1,000 hooks set)
and mortality was reported to be about 50% due in part to fishers killing sharks
that were severely entangled in longline gear. This study investigated whether
the SMART (Selective Magnetic and Repellent-Treated) hook technology is a
practical deterrent to Greenland shark predation and subsequent bycatch on bottom
longlines. Greenland shark feeding behavior, feeding kinematics, and variables
affecting entanglement/disentanglement and release are also described. The SMART
hook failed to deter Greenland shark predation, i.e., all sharks were captured on
SMART hooks, some with more than one SMART hook in their jaw. Moreover, recently
captured Greenland sharks did not exhibit a behavioral response to SMART hooks.
In situ observations of Greenland shark feeding show that this species uses a
powerful inertial suction mode of feeding and was able to draw bait into the
mouth from a distance of 25-35 cm. This method of feeding is suggested to negate
the potential deterrent effects of electropositive metal and magnetic alloy
substitutions to the SMART hook technology. The number of hooks entangled by a
Greenland shark and time to disentangle and live-release a shark was found to
increase with body length.
PMID- 29785348
TI - Pollution of the sediments of the coastal zone of the Sambia Peninsula and the
Curonian Spit (Southeastern Baltic Sea).
AB - The detailed environmental survey of the coastal zone of the Kaliningrad Region
northern coast was carried out. The pollutants distribution in the silty clay
fraction and calculation of ecological indexes allowed the evaluation of
distribution of potentially harmful elements (PHEs). The sources of pollution in
the most intensively used areas were identified, and transit and accumulation
zones were allocated. A large area of anomalous content of PHEs was revealed on
the underwater coastal slope of the Curonian Spit National Park, which is
situated far from the sources of pollution. The alongshore bed load transport
provides the contamination of the underwater slope whereas the beaches are less
exposed to pollution.
PMID- 29785347
TI - A new species of Pseudopaludicola (Anura, Leiuperinae) from Espirito Santo,
Brazil.
AB - We describe a new anuran species of the genus Pseudopaludicola that inhabits
sandy areas in resting as associated to the Atlantic Forest biome in the state of
Espirito Santo, Brazil. The new species is characterized by: SVL 11.7-14.6 mm in
males, 14.0-16.7 mm in females; body slender; fingertips knobbed, with a central
groove; hindlimbs short; abdominal fold complete; arytenoid cartilages wide;
prepollex with base and two segments; prehallux with base and one segment;
frontoparietal fontanelle partially exposed; advertisement call with one note
composed of two isolated pulses per call; call dominant frequency ranging 4,380
4,884 Hz; diploid chromosome number 22; and Ag-NORs on 8q subterminal. In
addition, its 16S rDNA sequence shows high genetic distances when compared to
sequences of related species, which provides strong evidence that the new species
is an independent lineage.
PMID- 29785346
TI - Integrated bioinformatic changes and analysis of retina with time in diabetic
rats.
AB - Diabetic retinopathy (DR) is the most common chronic complication of diabetes. It
can cause impaired vision and even blindness. However, the pathological mechanism
of DR is still unknown. In the present study, we use bioinformatic analysis to
reveal the pathological changes of early DR in a streptozotocin (STZ) induced
diabetes rat model. The dataset GSE28831 was downloaded from the Gene Expression
Omnibus (GEO) database. To clarify the pathological mechanism of early DR, genes
which were up-regulated (UP group) or down-regulated (DOWN group) over time were
identified. One hundred eighty six genes in the UP group and 85 genes in the DOWN
group were defined. There were in total 28 Gene ontology (GO) terms with a P
value lower than 0.05 in UP group, including astrocyte development, neutrophil
chemotaxis, neutrophil aggregation, mesenchymal cell proliferation and so on. In
the DOWN group, there were totally 14 GO terms with a P value lower than 0.05,
including visual perception, lens development in camera-type eye, camera-type eye
development, bicellular tight junction and so on. Signaling pathways were
analyzed with all genes in the UP and DOWN groups, and leukocyte transendothelial
migration and tight junction were selected. Protein-protein interaction (PPI)
network was constructed and six hub genes Diras3, Actn1, Tssk6, Cnot6l, Tek and
Fgf4 were selected with connection degree >=5. S100a8, S100a9 and Tek may be
potential targets for DR diagnosis and treatment. This study provides the basis
for the diagnosis and treatment of DR in the future.
PMID- 29785349
TI - Novel, non-symbiotic isolates of Neorhizobium from a dryland agricultural soil.
AB - Semi-selective enrichment, followed by PCR screening, resulted in the successful
direct isolation of fast-growing Rhizobia from a dryland agricultural soil. Over
50% of these isolates belong to the genus Neorhizobium, as concluded from partial
rpoB and near-complete 16S rDNA sequence analysis. Further genotypic and genomic
analysis of five representative isolates confirmed that they form a coherent
group within Neorhizobium, closer to N. galegae than to the remaining
Neorhizobium species, but clearly differentiated from the former, and
constituting at least one new genomospecies within Neorhizobium. All the isolates
lacked nod and nif symbiotic genes but contained a repABC replication/maintenance
region, characteristic of rhizobial plasmids, within large contigs from their
draft genome sequences. These repABC sequences were related, but not identical,
to repABC sequences found in symbiotic plasmids from N. galegae, suggesting that
the non-symbiotic isolates have the potential to harbor symbiotic plasmids. This
is the first report of non-symbiotic members of Neorhizobium from soil.
PMID- 29785350
TI - The effect of climate change on the distribution of a tropical zoanthid (Palythoa
caribaeorum) and its ecological implications.
AB - Palythoa caribaeorum is a zoanthid often dominant in shallow rocky environments
along the west coast of the Atlantic Ocean, from the tropics to the subtropics.
This species has high environmental tolerance and is a good space competitor in
reef environments. Considering current and future scenarios in the global climate
regime, this study aimed to model and analyze the distribution of P. caribaeorum,
generating maps of potential distribution for the present and the year 2100. The
distribution was modeled using maximum entropy (Maxent) based on 327 occurrence
sites retrieved from the literature. Calcite concentration, maximum chlorophyll-a
concentration, salinity, pH, and temperature range yielded a model with the
smallest Akaike information criterion (2649.8), and were used in the present and
future distribution model. Data from the HadGEM2-ES climate model were used to
generate the projections for the year 2100. The present distribution of P.
caribaeorum shows that parts of the Brazilian coast, Caribbean Sea, and Florida
are suitable regions for the species, as they are characterized by high salinity
and pH and small temperature variation. An expansion of the species' distribution
was forecast northward under mild climate scenarios, while a decrease of suitable
areas was forecast in the south. In the climate scenario with the most intense
changes, P. caribaeorum would lose one-half of its suitable habitats, including
the northernmost and southernmost areas of its distribution. The Caribbean Sea
and northeastern Brazil, as well as other places under the influence of coastal
upwellings, may serve as potential havens for this species.
PMID- 29785351
TI - Genetic inactivation of alpha-synuclein affects embryonic development of
dopaminergic neurons of the substantia nigra, but not the ventral tegmental area,
in mouse brain.
AB - Lesion of the dopaminergic neurons of the nigrostriatal system is a key feature
of Parkinson's disease (PD). Alpha-synuclein is a protein that is a major
component of Lewy bodies, histopathological hallmarks of PD, and is involved in
regulation of dopamine (DA) neurotransmission. Previous studies of knockout mice
have shown that inactivation of alpha-synuclein gene can lead to the reduction in
number of DA neurons in the substantia nigra (SN). DA neurons of the SN are known
to be the most affected in PD patients whereas DA neurons of neighboring ventral
tegmental area (VTA) are much less susceptible to degeneration. Here we have
studied the dynamics of changes in TH-positive cell numbers in the SN and VTA
during a critical period of their embryonic development in alpha-synuclein
knockout mice. This precise study of DA neurons during development of the SN
revealed that not only is the number of DA neurons reduced by the end of the
period of ontogenic selection, but that the way these neurons are formed is
altered in alpha-synuclein knockout mice. At the same time, DA neurons in the VTA
are not affected. Alpha-synuclein exerts a modulating effect on the formation of
DA neurons in the SN and has no effect on the formation of DA neurons in VTA, the
structure that is much less susceptible to degeneration in a brain with PD,
suggesting a potential role of alpha-synuclein in the development of the
population of DA neurons in substantia nigra.
PMID- 29785352
TI - Diversity and community structure of marine microbes around the Benham Rise
underwater plateau, northeastern Philippines.
AB - Microbes are central to the structuring and functioning of marine ecosystems.
Given the remarkable diversity of the ocean microbiome, uncovering marine
microbial taxa remains a fundamental challenge in microbial ecology. However,
there has been little effort, thus far, to describe the diversity of marine
microorganisms in the region of high marine biodiversity around the Philippines.
Here, we present data on the taxonomic diversity of bacteria and archaea in
Benham Rise, Philippines, Western Pacific Ocean, using 16S V4 rRNA gene
sequencing. The major bacterial and archaeal phyla identified in the Benham Rise
are Proteobacteria, Cyanobacteria, Actinobacteria, Bacteroidetes, Marinimicrobia,
Thaumarchaeota and, Euryarchaeota. The upper mesopelagic layer exhibited greater
microbial diversity and richness compared to surface waters. Vertical zonation of
the microbial community is evident and may be attributed to physical
stratification of the water column acting as a dispersal barrier. Canonical
Correspondence Analysis (CCA) recapitulated previously known associations of taxa
and physicochemical parameters in the environment, such as the association of
oligotrophic clades with low nutrient surface water and deep water clades that
have the capacity to oxidize ammonia or nitrite at the upper mesopelagic layer.
These findings provide foundational information on the diversity of marine
microbes in Philippine waters. Further studies are warranted to gain a more
comprehensive picture of microbial diversity within the region.
PMID- 29785354
TI - Geographical variation in colour of female threespine stickleback (Gasterosteus
aculeatus).
AB - The ecological multifunctionality of colour often results in multiple selective
pressures operating on a single trait. Most research on colour evolution focuses
on males because they are the most conspicuous sex in most species. This bias can
limit inferences about the ecological drivers of colour evolution. For example,
little is known about population divergence in colour of female threespine
stickleback (Gasterosteus aculeatus), which is among the most intensively-studied
model vertebrates in evolution, ecology, and behaviour. In contrast, the
evolution and ecology of colour in male stickleback has received considerable
attention. One aspect of female colouration that is lacking previous research is
non-ornamental body colour. Non-ornamental colour can play defensive and social
roles, and indicate other aspects of female stickleback ecology. To remedy this
knowledge gap, we measured the colour and brightness of one dorsal and one
ventral lateral area on female stickleback from nine lake populations on
Vancouver Island. We found that lake populations varied in overall colour
brightness and dorso-ventral contrast. In addition, we found that female
brightness increased with lake size, indicating potential ecological drivers of
these colour differences. Our results demonstrate that there is substantial scope
for future research on female colour diversification, which has been overlooked
because past researchers focused on dramatic male nuptial colours.
PMID- 29785353
TI - Diet breadth and exploitation of exotic plants shift the core microbiome of
Cephaloleia, a group of tropical herbivorous beetles.
AB - The beetle genus Cephaloleia has evolved in association with tropical ginger
plants and for many species their specific host plant associations are known.
Here we show that the core microbiome of six closely related Costa Rican
Cephaloleia species comprises only eight bacterial groups, including members of
the Acinetobacter, Enterobacteriacea, Pseudomonas, Lactococcus, and Comamonas.
The Acinetobacter and Enterobacteriacea together accounted for 35% of the total
average 16S rRNA ribotypes recovered from all specimens. Further, microbiome
diversity and community structure was significantly linked to beetle diet
breadth, between those foraging on less than two plant types (specialists) versus
over nine plant types (generalists). Moraxellaceae, Enterobacteriaceae, and
Pseudomonadaceae were highly prevalent in specialist species, and also present in
eggs, while Rickettsiaceae associated exclusively with generalist beetles.
Bacteria isolated from Cephaloleia digestive systems had distinct capabilities
and suggested a possible beneficial role in both digestion of plant-based
compounds, including xylose, mannitol, and pectin, and possible detoxification,
via lipases. Cephaloleia species are currently expanding their diets to include
exotic invasive plants, yet it is unknown whether their microbial community plays
a role in this transition. In this study, colonization of invasive plants was
correlated with a dysbiosis of the microbiome, suggesting a possible relationship
between gut bacteria and niche adaptation.
PMID- 29785355
TI - Identification and expression analysis of cytokinin metabolic genes IPTs, CYP735A
and CKXs in the biofuel plant Jatropha curcas.
AB - The seed oil of Jatropha curcas is considered a potential bioenergy source that
could replace fossil fuels. However, the seed yield of Jatropha is low and has
yet to be improved. We previously reported that exogenous cytokinin treatment
increased the seed yield of Jatropha. Cytokinin levels are directly regulated by
isopentenyl transferase (IPT), cytochrome P450 monooxygenase, family 735,
subfamily A (CYP735A), and cytokinin oxidase/dehydrogenase (CKX). In this study,
we cloned six IPT genes, one JcCYP735A gene, and seven JcCKX genes. The
expression patterns of these 14 genes in various organs were determined using
real-time quantitative PCR. JcIPT1 was primarily expressed in roots and seeds,
JcIPT2 was expressed in roots, apical meristems, and mature leaves, JcIPT3 was
expressed in stems and mature leaves, JcIPT5 was expressed in roots and mature
leaves, JcIPT6 was expressed in seeds at 10 days after pollination, and JcIPT9
was expressed in mature leaves. JcCYP735A was mainly expressed in roots, flower
buds, and seeds. The seven JcCKX genes also showed different expression patterns
in different organs of Jatropha. In addition, CK levels were detected in flower
buds and seeds at different stages of development. The concentration of N6
(Delta2-isopentenyl)-adenine (iP), iP-riboside, and trans-zeatin (tZ) increased
with flower development, and the concentration of iP decreased with seed
development, while that of tZ increased. We further analyzed the function of
JcCYP735A using the CRISPR-Cas9 system, and found that the concentrations of tZ
and tZ-riboside decreased significantly in the Jccyp735a mutants, which showed
severely retarded growth. These findings will be helpful for further studies of
the functions of cytokinin metabolic genes and understanding the roles of
cytokinins in Jatropha growth and development.
PMID- 29785357
TI - Prognostic Significance of Cyclins A2, B1, D1, and E1 and CCND1 Numerical
Aberrations in Oral Squamous Cell Carcinomas.
AB - We analysed the expression of cyclins A2, B1, D1, and E1 by immunohistochemistry
and numerical aberrations in CCND1 gene by fluorescence in situ hybridization
technique in 67 primary oral squamous cell carcinomas (OSCC). Cyclin A2
expression was observed in 54 (83.1%) tumours, cyclin D1 in 58 (89.2%), cyclin B1
in 39 (60%), and cyclin E in 21 (32.8%). CCND1 region analysis revealed 26
(43.3%) tumours with the presence of numerical aberrations which were correlated
with cyclin D1 high expression (Rho = 0.48; p < 0.001). Twenty-nine (45.3%)
tumours were classified as high proliferative tumours assessed by Ki-67 protein
expression and correlated with tumours with high expression of cyclin A2 (Rho =
0.30; p = 0.016) and cyclin B1 (Rho = 0.37; p = 0.003). In multivariate analysis
for an overall five-year survival (OS), we found an adverse independent
prognostic value for cyclin A2 high expression (p = 0.031) and for advanced
tumour stage (p < 0.001). Our results confirm that several cyclins are commonly
expressed in OSCC. CCND1 gene is abnormal in more than one-third of the cases and
is frequently associated with cyclin D1 high expression. Moreover, cyclin A2 high
expression is an independent indicator of worse OS suggesting that this protein
may serve as a reliable biological marker to identify high-risk subgroups with
poor prognosis.
PMID- 29785356
TI - Examining the potential for South American arboviruses to spread beyond the New
World.
AB - : Zika and chikungunya viruses emerged as public health emergencies in the
Western Hemisphere where previously they had not been reported on a large scale.
Millions were infected as the viruses met with virtually no herd immunity upon
emergence. Purpose of the Review: We explore the histories of these two recent
arbovirus experiences in South America. We then review similarly three endemic
South American viruses: yellow fever, Oropouche, and Mayaro viruses. Recent
Findings: We discuss the commonalities of the transmission systems and the
possibility of an atypical emergence, that of New World virus to the Old World.
Summary: We discuss the avenues for research that would increase preparedness and
efficiency of response should a South American arbovirus emerge in the Eastern
Hemisphere.
PMID- 29785358
TI - Bacterial community changes in an industrial algae production system.
AB - While microalgae are a promising feedstock for production of fuels and other
chemicals, a challenge for the algal bioproducts industry is obtaining
consistent, robust algae growth. Algal cultures include complex bacterial
communities and can be difficult to manage because specific bacteria can promote
or reduce algae growth. To overcome bacterial contamination, algae growers may
use closed photobioreactors designed to reduce the number of contaminant
organisms. Even with closed systems, bacteria are known to enter and cohabitate,
but little is known about these communities. Therefore, the richness, structure,
and composition of bacterial communities were characterized in closed
photobioreactor cultivations of Nannochloropsis salina in F/2 medium at different
scales, across nine months spanning late summer-early spring, and during a
sequence of serially inoculated cultivations. Using 16S rRNA sequence data from
275 samples, bacterial communities in small, medium, and large cultures were
shown to be significantly different. Larger systems contained richer bacterial
communities compared to smaller systems. Relationships between bacterial
communities and algae growth were complex. On one hand, blooms of a specific
bacterial type were observed in three abnormal, poorly performing replicate
cultivations, while on the other, notable changes in the bacterial community
structures were observed in a series of serial large-scale batch cultivations
that had similar growth rates. Bacteria common to the majority of samples were
identified, including a single OTU within the class Saprospirae that was found in
all samples. This study contributes important information for crop protection in
algae systems, and demonstrates the complex ecosystems that need to be understood
for consistent, successful industrial algae cultivation. This is the first study
to profile bacterial communities during the scale-up process of industrial algae
systems.
PMID- 29785359
TI - White matter microstructure, white matter lesions, and hypertension: An
examination of early surrogate markers of vascular-related brain change in
midlife.
AB - Objective: We examined imaging surrogates of white matter microstructural
abnormalities which may precede white matter lesions (WML) and represent a
relevant marker of cerebrovascular injury in adults in midlife. Methods: In 698
community-dwelling adults (mean age 50 years +/-3.5 SD) from the Coronary Artery
Risk Development in Young Adults (CARDIA) Brain MRI sub-study, WML were
identified on structural MR and fractional anisotropy (FA), representing WM
microstructural integrity, was derived using Diffusion Tensor Imaging. FA and WML
maps were overlaid on a parcellated T1-template, based on an expert-delineated
brain atlas, which included 42 WM tract ROIs. Analyses occurred in stages: 1) WML
were quantified for the different tracts (i.e., frequency, volume, volume
relative to tract size); 2) the interdependence of FA in normal appearing WM
(NAWM) and WML was examined across tracts; 3) associations of NAWM FA and
hypertension status were assessed controlling for WML volume. In the latter
analysis, both overall hypertension (i.e. hypertension vs. normotension and
prehypertension vs. normotension) and hypertension categorized by
antihypertensive treatment status (yes/no) and blood pressure control (e.g.,
diastolic <90 mmHg, systolic <140 mmHg), were assessed. Results: WML were widely
distributed across different WM tracts, however, WML volume was small. Mean NAWM
FA was lower in participants with vs. participants without WML in given tracts.
Hypertension was significantly associated with lower mean NAWM FA globally across
tracts, both before and after adjustment for WML volume. Moreover, the magnitude
of this association differed by treatment status and the level of control of the
hypertension. Conclusions: In middle-aged adults, NAWM FA could represent a
relevant marker of cerebrovascular injury when WML are minimally present.
PMID- 29785361
TI - Air bubbles in the urinary tract. Incidental and asymptomatic combined
emphysematous pyelitis, ureteritis and cystitis. A rare case report and review of
the literature.
PMID- 29785362
TI - The half-loop transurethral incision technique for bilateral ureterocele in
adult.
PMID- 29785360
TI - Identical patterns of cortico-efferent tract involvement in primary lateral
sclerosis and amyotrophic lateral sclerosis: A tract of interest-based MRI study.
AB - Background: There is an ongoing debate whether primary lateral sclerosis (PLS)
should be regarded as an independent disease entity separate from amyotrophic
lateral sclerosis (ALS) or as a slowly progressive variant of ALS. Objective: The
study was designed to investigate specific white matter alterations in diffusion
tensor imaging (DTI) data from PLS patients by a hypothesis-guided tract-of
interest-based approach compared with 'classical' ALS patients and healthy
controls, in order to identify microstructural changes according to the
neuropathologically defined ALS affectation pattern in vivo. Methods: DTI-based
white matter mapping was performed both by an unbiased voxelwise statistical
comparison and by a hypothesis-guided tractwise analysis of fractional anisotropy
(FA) maps according to the ALS-staging pattern for 50 PLS and 50 ALS patients vs
50 matched controls. Results: The analysis of white matter integrity by regional
FA reductions demonstrated the characteristic alteration patterns along the CST
and also in frontal and prefrontal brain areas in PLS patients and ALS patients.
In the tract-specific analysis according to the ALS-staging pattern, PLS and ALS
affectation patterns showed identical significant alterations of ALS-related
tract systems when compared with controls and no differences when compared with
each other. Conclusions: This DTI study showed the same microstructural
affectation patterns in PLS patients as in ALS, in support of the hypothesis that
PLS is a phenotypical variant of ALS.
PMID- 29785363
TI - An unlikely connection: Rare case of colo-urachal fistula, surgical management,
and review of the literature.
PMID- 29785364
TI - Neo-glans reconstruction after glans amputation during circumcision using
autologous buccal mucosal graft.
PMID- 29785366
TI - Co-existing renal myelolipoma and renal cell carcinoma: A case report.
PMID- 29785365
TI - Isolated primary schwannoma of the urinary bladder- a case presentation.
PMID- 29785367
TI - Retroperitoneal cystic lymphangioma in an adult: A case report.
PMID- 29785368
TI - Case of perinephric abscess disguising as renal tumor.
PMID- 29785369
TI - A rare highly aggressive tumor of the kidney: The pure epithelioid
angiomyolipoma.
PMID- 29785370
TI - Primary amelanotic malignant melanoma of the male urethra with inguinal lymph
node metastasis successfully controlled by nivolumab: A case report.
AB - We report a rare case of primary amelanotic malignant melanoma of the male
urethra. A 65-year-old man with a urethral mass was referred to our hospital. A
pathological diagnosis of a biopsy specimen revealed malignant melanoma.
Thereafter, the patient underwent partial penectomy. The histopathological
diagnosis was amelanotic malignant melanoma of the urethra. The patient had
received DAV-Feron in an adjuvant setting; however, PET-CT revealed multiple
metastasis. After receiving more than 10 cycles of nivolumab, the accumulation of
FDG was no longer observed on PET-CT. The patient is currently free from
recurrence at 20 months after nivolumab treatment.
PMID- 29785371
TI - Case report: Clitoromegaly as a consequence of Congenital Adrenal Hyperplasia. An
accurate medical and surgical approach.
AB - We present a case of a woman with a history of Congenital Adrenal Hyperplasia
(CAH) diagnosed at the age of 12, who was referred to our unit for surgical
treatment. Despite the initial diagnosis was an indirect inguinal hernia, it was
a misdiagnosis. Once in our service, this was corrected into clitoromegaly
secondary to CAH. Physical examination and imaging test discarded other
abnormalities, such as secondary effects androgenization. Regarding surgical
treatment, the techniques used were Spencer and Allen combined with Kumar, which
are the most used for clitoroplasty but also less used in Peru.
PMID- 29785372
TI - What is the role of nephrectomy following complete response to checkpoint
inhibitors?
PMID- 29785373
TI - Primary bladder adenocarcinoma: Case report with long-term follow-up.
AB - Primary Bladder Adenocarcinoma is a rare malignancy that has been observed in a
heterogeneous patient population. This case report presents a 51 year old female
with muscle-invasive primary bladder adenocarcinoma diagnosed in 2008. After
transurethral resection and cystectomy with ileum neobladder adjuvant
radiochemotherapy was administered. Two years later, a symptomatic fistula
between neobladder and ileoileal anastomosis was excised, resulting in urinary
incontinency. In 2016, the patient shows no signs of disease relapse but suffers
from reduction of bladder capacity. This case report presents classical symptoms
of adenocarcinoma of the bladder and a possible treatment regimen with associated
side effects.
PMID- 29785375
TI - Cross fused renal ectopia with associated renal cell carcinoma.
PMID- 29785374
TI - Giant bilateral adrenal myelolipoma:Case presentation and a brief literature
review.
PMID- 29785376
TI - Urinary retention in a hypospadiac failure secondary to urethral calculi.
PMID- 29785377
TI - Cobb's collar and chronic renal failure.
PMID- 29785379
TI - Robotic-assisted laparoscopic vesiculectomy in a patient with atypical Zinner
syndrome presenting with large cyst involving bilateral seminal vesicles and vasa
deferentia.
PMID- 29785378
TI - Delayed presentation of grade-IV renal injury post blunt trauma.
AB - High-grade renal injuries are relatively uncommon in blunt trauma. They typically
occur in the context of multisystem injuries. When present, these renal injuries
are usually identified at the time of hospital presentation. Here, we present a
case of delayed presentation of American Association for the Surgery of Trauma
(AAST) grade-IV renal injury in a 61-year-old female who sustained multisystem
injuries during a high-speed motor vehicle accident. To our knowledge, this is
the first report case of delayed presentation of grade-IV renal injury. This case
highlights the importance of serial examination and repeated imaging in complex
trauma patients.
PMID- 29785380
TI - Urethroperineal fistula in a patient with a stone in a bulbar diverticulum after
a scrotal skin flap urethroplasty: A case report.
PMID- 29785382
TI - Matador injury case report: Spermatic cord fat pad (SCFP) interposition to
support complex pelvic reconstruction-a novel technique.
PMID- 29785381
TI - Primary renal large B-cell lymphoma imitating invasive renal cell carcinoma with
inferior vena cava tumor thrombus.
PMID- 29785383
TI - Valentine's day misadventure: Missing vagina for urethra.
PMID- 29785384
TI - Unilateral complete ureteral duplication with calculi obstructing both limbs of
the left side.
PMID- 29785386
TI - Diet and lifestyle for post-reproductive health: Focus on diabetes.
PMID- 29785387
TI - Parvovirus B19 infection: Timely diagnosis in pregnancy essential.
PMID- 29785385
TI - Malakoplakia of the prostate diagnosed on multiparametric-MRI ultrasound fusion
guided biopsy: A case report and review of the literature.
PMID- 29785388
TI - Genital tuberculosis in postmenopausal women with variable clinical
presentations: A report of 3 cases.
AB - Genital tuberculosis is usually diagnosed in young women being assessed for
infertility. After menopause it usually presents with symptoms resembling
endometrial malignancy, such as postmenopausal bleeding, persistent vaginal
discharge and pyometra. The diagnosis is made by detection of acid-fast bacilli
on microscopy or bacteriological culture and/or presence of epithelioid granuloma
on biopsy. Anti-tubercular therapy involves the use of rifampicin, isoniazid,
pyrazinamide and ethambutol. Surgery is indicated if a pelvic mass and recurrence
of pain or bleeding persist after 9 months of treatment. Three cases of genital
tuberculosis in postmenopausal women with different clinical presentations are
reported. The first woman presented with ascites and weight loss. The second had
postmenopausal bleeding with a pipelle biopsy suggestive of endometrial
intraepithelial neoplasia. The third presented with weight loss and a palpable
abdominal mass. Pelvic malignancy was initially suspected but a diagnosis of
tuberculosis was made following pre-operative endometrial biopsy, bacteriological
culture and intra-operative frozen section. All three women responded to anti
tubercular therapy.
PMID- 29785389
TI - Pelvic and pulmonary benign metastasizing leiomyoma: A case report.
AB - Seven years after she had a total abdominal hysterectomy for benign leiomyomas, a
46-year-old woman presented with a pelvic mass and multiple pulmonary nodules.
She underwent resection of the mass and core needle biopsy of a pulmonary lesion.
Histopathologic analysis revealed that both the pelvic and the pulmonary lesions
were consistent with benign leiomyomas. Benign metastasizing leiomyoma should be
considered if a woman of reproductive age and with a history of leiomyomas
presents with extrauterine nodules without evidence of malignancy. The final
diagnosis should be based on histopathological examination. Treatment depends on
tumor size, location, receptor positivity, and disease progression.
PMID- 29785390
TI - Platelet-rich plasma (PRP) for the treatment of vulvar lichen sclerosus in a
premenopausal woman: A case report.
AB - The use of platelet-rich plasma (PRP) for the treatment of lichen sclerosus (LS)
in a 38-year-old premenopausal woman is reported. The diagnosis was confirmed
histologically and the symptoms documented using the ICIQ Vaginal Symptoms
Questionnaire (ICIQ-VS) and the Female Sexual Function Index (FSFI)
questionnaire. PRP was prepared from autologous blood using the Regen Cellular
Matrix Kit. PRP was administered twice over two months. Histology at follow-up
one month after the second administration showed the epidermis was nearly normal
and upper dermal cellularity had been restored. The patient was symptom-free and
both her ICIQ-VS and her FSFI scores had improved significantly. PRP is a
potential new treatment option for LS which needs further assessment in
randomized controlled trials.
PMID- 29785391
TI - How Monte Carlo heuristics aid to identify the physical processes of drug release
kinetics.
AB - We implement a Monte Carlo heuristic algorithm to model drug release from a solid
dosage form. We show that with Monte Carlo simulations it is possible to identify
and explain the causes of the unsatisfactory predictive power of current drug
release models. It is well known that the power-law, the exponential models, as
well as those derived from or inspired by them accurately reproduce only the
first 60% of the release curve of a drug from a dosage form. In this study, by
using Monte Carlo simulation approaches, we show that these models fit quite
accurately almost the entire release profile when the release kinetics is not
governed by the coexistence of different physico-chemical mechanisms. We show
that the accuracy of the traditional models are comparable with those of Monte
Carlo heuristics when these heuristics approximate and oversimply the
phenomenology of drug release. This observation suggests to develop and use novel
Monte Carlo simulation heuristics able to describe the complexity of the release
kinetics, and consequently to generate data more similar to those observed in
real experiments. Implementing Monte Carlo simulation heuristics of the drug
release phenomenology may be much straightforward and efficient than
hypothesizing and implementing from scratch complex mathematical models of the
physical processes involved in drug release. Identifying and understanding
through simulation heuristics what processes of this phenomenology reproduce the
observed data and then formalize them in mathematics may allow avoiding time
consuming, trial-error based regression procedures. Three bullet points,
highlighting the customization of the procedure. *An efficient heuristics based
on Monte Carlo methods for simulating drug release from solid dosage form encodes
is presented. It specifies the model of the physical process in a simple but
accurate way in the formula of the Monte Carlo Micro Step (MCS) time
interval.*Given the experimentally observed curve of drug release, we point out
how Monte Carlo heuristics can be integrated in an evolutionary algorithmic
approach to infer the mode of MCS best fitting the observed data, and thus the
observed release kinetics.*The software implementing the method is written in R
language, the free most used language in the bioinformaticians community.
PMID- 29785392
TI - Periosteal pseudotumor in complex total knee arthroplasty resembling a neoplastic
process.
AB - This case report describes in detail an erosive distal diaphyseal pseudotumor
that occurred 6 years after a complex endoprosthetic hinge total knee
arthroplasty (TKA). A female patient had conversion of a knee fusion to an
endoprosthetic hinge TKA at the age of 62. At her scheduled 6-year follow-up, she
presented with mild distal thigh pain and radiographs showing a 6-7 cm erosive
lytic diaphyseal lesion that looked very suspicious for a neoplastic process. An
en bloc resection of the distal femur and femoral endoprosthesis was performed.
Histologic review showed the mass to be a pseudotumor with the wear debris
emanating from within the femoral canal due to distal stem loosening. We deduce
that mechanized stem abrasion created microscopic titanium alloy particles that
escaped via a small diaphyseal crack and stimulated an inflammatory response
resulting in a periosteal erosive pseudotumor. The main lesson of this report is
that, in the face of a joint replacement surgery of the knee, pseudotumor
formation is a more likely diagnosis than a neoplastic process when encountering
an expanding bony mass. Thus, a biopsy prior to en bloc resection, would be our
recommended course of action any time a suspicious mass is encountered close to a
TKA.
PMID- 29785393
TI - Monitoring methotrexate-induced liver fibrosis in patients with psoriasis:
utility of transient elastography.
AB - Increasingly, existing evidence indicates that methotrexate-associated liver
injury is related to comorbid risk factors such as diabetes, alcoholism, and
obesity, rather than to methotrexate itself. Despite this fact, significant
effort continues to be expended in the monitoring of low-dose methotrexate in
patients with psoriasis. The gold standard investigation has been liver biopsy,
but this is associated with significant morbidity and mortality. As methotrexate
induced liver injury is uncommon, the risk/benefit ratio of liver biopsy has been
questioned. Fortunately, a number of new technologies have been developed for the
diagnosis of chronic liver disease, including transient elastography (TE). TE is
a type of shear wave ultrasound elastography, which measures the speed of shear
waves used to estimate hepatic tissue stiffness. Several meta-analyses show very
high pooled sensitivity and specificity for the diagnosis of hepatic cirrhosis
(87% and 91%, respectively) in a variety of chronic liver disorders. It has a
negative predictive value for cirrhosis of >90% and a positive predictive value
of 75%. Recent European guidelines now advocate the use of TE as the first-line
test for the assessment of fibrosis in alcohol- or hepatitis-related liver
disease, including nonalcoholic fatty liver disease (NAFLD). As the prevalence of
obesity and metabolic syndrome, including NAFLD, is significantly elevated in
patients with psoriasis, TE may be worth considering as a routine investigation
for any patient with psoriasis. Although high-quality studies comparing TE with
standard liver biopsy in the monitoring of psoriatics on low-dose methotrexate
are lacking, the evidence from multiple small cohort studies and case series
demonstrates its effectiveness. A recent Australasian position statement
recommends that TE should be considered as a routine investigation for monitoring
methotrexate therapy, repeated every 3 years if kPa <7.5 and yearly if kPa >7.5.
Liver biopsy should be considered for patients with a kPa >9.5.
PMID- 29785395
TI - The Relationship between NAFLD and Sarcopenia in Elderly Patients.
AB - Aim: Previous studies have shown that individuals with low muscle mass exhibit an
increased risk of nonalcoholic fatty liver disease (NAFLD). In this study, we
investigated the association between NAFLD and sarcopenia in elderly patients.
Methods: We classified the participants into sarcopenia/nonsarcopenia groups
based on dual-energy X-ray absorptiometry (DXA), muscle strength (grip strength),
or/and physical performance (6 m usual gait speed). We diagnosed NAFLD by
ultrasonography combined with the history of alcohol intake. Logistic regression
analysis was used to assess the correlation between sarcopenia and NAFLD.
Results: NAFLD was significantly less frequent in the sarcopenia group than in
the nonsarcopenia group (P < 0.01). However, NAFLD was neither an independent
risk factor nor a protective factor for sarcopenia. Conclusions: NAFLD is not
independently associated with sarcopenia.
PMID- 29785394
TI - Notch Signaling Pathway Is Inhibited in the Development of Barrett's Esophagus:
An In Vivo and In Vitro Study.
AB - Objective: To explore the role of Notch signaling in the development of Barrett's
esophagus. Methods: Patients with esophagectomy and gastric interposition were
recruited as a human model of gastroesophageal reflux disease. The expressions of
Notch signaling genes in normal esophagus from surgical specimen and columnar
metaplasia in the esophageal remnant after esophagectomy were evaluated by real
time quantitative Polymerase Chain Reaction (RT-qPCR) and immunohistochemistry
(IHC). For in vitro experiments, Het-1A cells were treated with hydrochloric
acid, deoxycholic acid, mixture of hydrochloric acid and deoxycholic acid, or
Notch1-siRNA, and expressions of Notch1, Hes1, MUC2, and K13 were evaluated via
RT-qPCR and western blot. Results: Samples were obtained from 36 patients with
columnar metaplasia in the esophageal remnant. Both IHC and RT-qPCR indicated
that Notch1 and Hes1 expressions were significantly higher in normal esophagus
than that in metaplasia. Hydrochloric acid and deoxycholic acid suppressed
Notch1, Hes1, and K13 expressions, in concert with increasing MUC2 expressions.
Notch inhibition by Notch1-siRNA contributed to the downregulation of Notch1,
Hes1, and K13 expressions, whereas MUC2 expression was enhanced. Conclusions:
Both hydrochloric acid and deoxycholic acid could suppress Notch signaling
pathway in esophageal epithelial cells, and inhibited Notch signaling has
important functions in the development of Barrett's esophagus.
PMID- 29785396
TI - Expression Characterization of Six Genes Possibly Involved in Gonad Development
for Stellate Sturgeon Individuals (Acipenser stellatus, Pallas 1771).
AB - Nowadays, in sturgeon's aquaculture, there is a necessity for sex identification
at early stages in order to increase the efficiency of this commercial activity.
The basis for a correct identification is studying the different factors that
influence the gonad development. The research has been directed towards molecular
methods that have been employed with various degrees of success in identifying
genes with different expression patterns between male and female sturgeons during
their development stages. For the purpose of understanding the sexual development
of 4-year-old stellate sturgeon (Acipenser stellatus) individuals, we have
selected six genes (foxl2, cyp17a1, ar, dmrt1, sox9, and star). We analysed the
gene expression of the selected genes for gonads, anal fin, liver, body kidney,
and white muscle. The cyp17a1, ar, dmrt1, and sox9 genes have a significant
higher expression in male gonads than in female gonads, while the data shows no
significant differences in the expression of the investigated genes in the other
organs. We investigate these genes to shed light on aquaculture sturgeon sexual
development.
PMID- 29785397
TI - Whole Genome Sequencing of Greater Amberjack (Seriola dumerili) for SNP
Identification on Aligned Scaffolds and Genome Structural Variation Analysis
Using Parallel Resequencing.
AB - Greater amberjack (Seriola dumerili) is distributed in tropical and temperate
waters worldwide and is an important aquaculture fish. We carried out de novo
sequencing of the greater amberjack genome to construct a reference genome
sequence to identify single nucleotide polymorphisms (SNPs) for breeding
amberjack by marker-assisted or gene-assisted selection as well as to identify
functional genes for biological traits. We obtained 200 times coverage and
constructed a high-quality genome assembly using next generation sequencing
technology. The assembled sequences were aligned onto a yellowtail (Seriola
quinqueradiata) radiation hybrid (RH) physical map by sequence homology. A total
of 215 of the longest amberjack sequences, with a total length of 622.8 Mbp (92%
of the total length of the genome scaffolds), were lined up on the yellowtail RH
map. We resequenced the whole genomes of 20 greater amberjacks and mapped the
resulting sequences onto the reference genome sequence. About 186,000
nonredundant SNPs were successfully ordered on the reference genome. Further, we
found differences in the genome structural variations between two greater
amberjack populations using BreakDancer. We also analyzed the greater amberjack
transcriptome and mapped the annotated sequences onto the reference genome
sequence.
PMID- 29785398
TI - Marker-Assisted Introgression of Saltol QTL Enhances Seedling Stage Salt
Tolerance in the Rice Variety "Pusa Basmati 1".
AB - Marker-assisted selection is an unequivocal translational research tool for crop
improvement in the genomics era. Pusa Basmati 1 (PB1) is an elite Indian Basmati
rice cultivar sensitive to salinity. Here, we report enhanced seedling stage salt
tolerance in improved PB1 genotypes developed through marker-assisted transfer of
a major QTL, Saltol. A highly salt tolerant line, FL478, was used as the Saltol
donor. Parental polymorphism survey using 456 microsatellite (SSR)/QTL-linked
markers revealed 14.3% polymorphism between PB1 and FL478. Foreground selection
was carried out using three Saltol-linked polymorphic SSR markers RM8094, RM493,
and RM10793 and background selection by 62 genome-wide polymorphic SSR markers.
In every backcross generation, foreground selection was restricted to the triple
heterozygotes of foreground markers, which was followed by phenotypic and
background selections. Twenty-four near isogenic lines (NILs), with recurrent
parent genome recovery of 96.0-98.4%, were selected after two backcrosses
followed by three selfing generations. NILs exhibited agronomic traits similar to
those of PB1 and additional improvement in the seedling stage salt tolerance.
They are being tested for per se performance under salt-affected locations for
release as commercial varieties. These NILs appear promising for enhancing rice
production in salinity-affected pockets of Basmati Geographical Indication (GI)
areas of India.
PMID- 29785399
TI - Timing of Exercise Affects Glycemic Control in Type 2 Diabetes Patients Treated
with Metformin.
AB - Objective: The purpose of the study was to examine the acute effects of the
timing of exercise on the glycemic control during and after exercise in T2D.
Methods: This study included 26 T2D patients (14 women and 12 men) who were
treated with metformin. All patients were tested on four occasions: metformin
administration alone (Metf), high-intensity interval training (HIIT) performed at
30 minutes (EX30), 60 minutes (EX60), and 90 minutes (EX90) postbreakfast,
respectively. Glucose, insulin, and superoxide dismutase (SOD) activity were
examined. Results: Glucose decreased significantly after the exercise in EX30,
EX60, and EX90. Compared with Metf, the decline in glucose immediately after the
exercise was larger in EX30 (-2.58 mmol/L; 95% CI, -3.36 to -1.79 mmol/L; p <
0.001), EX60 (-2.13 mmol/L; 95% CI, -2.91 to -1.34 mmol/L; p < 0.001), and EX90 (
1.87 mmol/L; 95% CI, -2.65 to -1.08 mmol/L; p < 0.001), respectively. Compared
with Metf, the decrease in insulin was larger in EX30 and EX60 (both p < 0.001).
Conclusions: Timing of exercise is a factor to consider when prescribing exercise
for T2D patients treated with metformin. This trial is registered with ChiCTR-IOR
16008469 on 13 May 2016.
PMID- 29785401
TI - Rheumatoid Arthritis and miRNAs: A Critical Review through a Functional View.
AB - Rheumatoid arthritis (RA) is a systemic autoimmune disease with severe joint
inflammation and destruction associated with an inflammatory environment. The
etiology behind RA remains to be elucidated; most updated concepts include the
participation of environmental, proteomic, epigenetic, and genetic factors.
Epigenetic is considered the missing link to explain genetic diversification
among RA patients. Within epigenetic factors participating in RA, miRNAs are
defined as small noncoding molecules with a length of approximately 22
nucleotides, capable of gene expression modulation, either negatively through
inhibition of translation and degradation of the mRNA or positively through
increasing the translation rate. Over the last decade and due to the feasibility
of the identification of miRNAs among different tissues and compartments, they
have been proposed as biomarkers for diagnosis, prognosis, and response to
treatment in different pathologies. Nevertheless, miRNAs seem to be important
regulators of networks instead of single genes; their hypothetical use as
biomarkers needs to rely on a functional integrative description of their effects
in the biological process of autoimmune conditions which until now is missing.
Therefore, we underwent a bibliographic search for review and original articles
related to miRNAs and their possible implications in rheumatoid arthritis. We
found 48 different studies using the key words "miRNAs" or "micro-RNAs" and
"rheumatoid arthritis" with restriction of publication dates from 2011 to 2016,
in humans, using the English language. After a critical reading, we provide in
this paper a functional view with respect to miRNA biogenesis, interaction with
targets that are expressed in specific cells and tissues, during different stages
of inflammatory responses associated with RA, and recognized specific areas where
miRNAs might also have a pathogenic role but remain undescribed. Our results will
be useful in designing future research projects that can support miRNAs as
biomarkers or therapeutic targets in RA.
PMID- 29785400
TI - Previous Exercise Training Reduces Markers of Renal Oxidative Stress and
Inflammation in Streptozotocin-Induced Diabetic Female Rats.
AB - The aim of this study is to evaluate the effects of regular moderate exercise
training initiated previously or after induction of diabetes mellitus on renal
oxidative stress and inflammation in STZ-induced diabetic female rats. For this
purpose, Wistar rats were divided into five groups: sedentary control (SC),
trained control (TC), sedentary diabetic (SD), trained diabetic (TD), and
previously trained diabetic (PTD). Only the PTD group was submitted to treadmill
running for 4 weeks previously to DM induction with streptozotocin (40 mg/kg,
i.v). After confirming diabetes, the PTD, TD, and TC groups were submitted to
eight weeks of exercise training. At the end of the training protocol, we
evaluated the following: glycosuria, body weight gain, plasma, renal and urinary
levels of nitric oxide and thiobarbituric acid reactive substances, renal
glutathione, and immunolocalization of lymphocytes, macrophages, and nuclear
factor-kappa B (NF-kappaB/p65) in the renal cortex. The results showed that
exercise training reduced glycosuria, renal TBARS levels, and the number of
immune cells in the renal tissue of the TD and PTD groups. Of note, only previous
exercise increased weight gain and urinary/renal NO levels and reduced NF-kappaB
(p65) immunostaining in the renal cortex of the PTD group. In conclusion, our
study shows that exercise training, especially when initiated previously to
diabetes induction, promotes protective effects in diabetic kidney by reduction
of renal oxidative stress and inflammation markers in female Wistar rats.
PMID- 29785402
TI - Formyl Met-Leu-Phe-Stimulated FPR1 Phosphorylation in Plate-Adherent Human
Neutrophils: Enhanced Proteolysis but Lack of Inhibition by Platelet-Activating
Factor.
AB - N-formyl-Met-Leu-Phe (fMLF) is a model PAMP/DAMP driving human PMN to sites of
injury/infection utilizing the GPCR, FPR1. We examined a microtiter plate format
for measurement of FPR1 phosphorylation in adherent PMN at high densities and
found that a new phosphosensitive FPR1 fragment, 25K-FPR1, accumulates in SDS
PAGE extracts. 25K-FPR1 is fully inhibited by diisopropylfluorophosphate PMN
pretreatment but is not physiologic, as its formation failed to be significantly
perturbed by ATP depletion, time and temperature of adherence, or adherence
mechanism. 25K-FPR1 was minimized by extracting fMLF-exposed PMN in lithium
dodecylsulfate at 4 degrees C prior to reduction/alkylation. After exposure of
adherent PMN to a 5 log range of PAF before or after fMLF, unlike in suspension
PMN, no inhibition of fMLF-induced FPR1 phosphorylation was observed. However,
PAF induced the release of 40% of PMN lactate dehydrogenase, implying significant
cell lysis. We infer that PAF-induced inhibition of fMLF-dependent FPR1
phosphorylation observed in suspension PMN does not occur in the unlysed adherent
PMN. We speculate that although the conditions of the assay may induce PAF
stimulated necrosis, the cell densities on the plates may approach levels
observed in inflamed tissues and provide for an explanation of PAF's divergent
effects on FPR1 phosphorylation as well as PMN function.
PMID- 29785403
TI - Immunotherapy for Hepatocellular Carcinoma: Current Advances and Future
Expectations.
AB - Primary liver cancer is a common kind of digestive cancers with high malignancy,
causing 745,500 deaths each year. Hepatocellular carcinoma is the major
pathological type of primary liver cancer. Traditional treatment methods for
patients with hepatocellular carcinoma have shown poor efficacy in killing
residual cancer cells for a long time. In recent years, tumor immunotherapy has
emerged as a promising method owing to its safety and efficacy with respect to
delaying the progression of advanced tumors and protecting postoperative patients
against tumor relapse and metastasis. Immune tolerance and suppression in tumor
microenvironments are the theoretical basis of immunotherapy. Adoptive cell
therapy functions by stimulating and cultivating autologous lymphocytes ex vivo
and then reinfusing them into the patient to kill cancer cells. Cancer
vaccination is performed using antigenic substances to activate tumor-specific
immune responses. Immune checkpoint inhibitors can reactivate tumor-specific T
cells and develop an antitumor effect by suppressing checkpoint-mediated
signaling. Oncolytic viruses may selectively replicate in tumor cells and cause
lysis without harming normal tissues. Here, we briefly introduce the mechanism of
immunosuppression in hepatocellular carcinoma and summarize the rationale of the
four major immunotherapeutic approaches with their current advances.
PMID- 29785405
TI - Prevalence and Consequences of Injuries in Powerlifting: A Cross-sectional Study.
AB - Background: Powerlifting consists of the squat, bench press, and dead lift, and
extreme loads are lifted during training and competitions. Previous studies,
which have defined an injury as an event that causes an interruption in training
or competitions, have reported a relatively low frequency of powerlifting
injuries (1.0-4.4 injuries/1000 hours of training). No previous study has
investigated the prevalence of injuries, defined as a condition of pain or
impairment of bodily function that affects powerlifters' training, in a balanced
sample of men and women, and no studies have established possible risk factors
for an injury. Purpose: To investigate the prevalence, localization, and
characterization of injuries among Swedish subelite classic powerlifters, with an
emphasis on differences between men and women, and to investigate whether
training and lifestyle factors are associated with an injury. Study Design: Cross
sectional study; Level of evidence, 3. Methods: A total of 53 female and 51 male
Swedish subelite powerlifters answered an online questionnaire including
questions about background characteristics, training habits, and lifestyle
factors. The main part of the questionnaire included questions about injuries and
their consequences. An injury was defined as a condition of pain or impairment of
bodily function that affects powerlifters' training. Results: Seventy percent
(73/104) of participants were currently injured, and 87% (83/95) had experienced
an injury within the past 12 months. The lumbopelvic region, shoulder, and hip
were the most commonly injured areas for both sexes. Women experienced a
significantly greater frequency of injuries in the neck and thoracic region than
men. Injuries seemed to occur during training, although only 16% (11/70) of those
currently injured had to completely refrain from training. Training frequency,
greater personal best in the dead lift, injury onset during bench-press and dead
lift training, use of straps, alcohol consumption, and dietary issues were
associated with current injuries. Conclusion: Injuries are very common in
subelite powerlifters. Men and women report similar injury frequencies but
different anatomic locations. These injuries do not prevent powerlifters from
training and competing, but they may change the content of training sessions. Why
powerlifters develop injuries is still unclear; however, it is likely that the
management of training loads and optimization of the lifting technique during the
squat, bench press, and dead lift are of importance.
PMID- 29785404
TI - Enhanced Suppressive Activity of Regulatory T Cells in the Microenvironment of
Malignant Pleural Effusions.
AB - Cancer metastatic spread to serous cavity causes malignant pleural effusions
(MPEs), indicating dismal prognosis. Tumor microenvironment can implement
suppressive activity on host immune responses. Thus, we investigated the
prevalence of Tregs and the relationship between them and TGF-beta and IL-10
concentrations and measured expression of FOXP3, CTLA-4, CD28, and GITR genes, as
well as protein expression of selected genes in benign effusions and MPEs. The
percentage of Tregs was determined by means of multicolor flow cytometry system.
TGF-beta and IL-10 concentrations were measured using human TGF-beta1 and IL-10
ELISA kit. Relative mRNA expression of studied genes was analyzed by real-time
PCR. The frequency of Tregs was significantly higher in MPEs compared to benign
effusions; however, the level of TGF-beta and IL-10 in analyzed groups was
comparable, and no correlation between concentrations of TGF-beta and IL-10 and
percentage of Tregs was observed. Relative mRNA expression of all the genes was
higher in CD4+CD25+ compared to CD4+CD25- cells. In CD4+CD25+ cells from MPEs,
relative mRNA expression of FOXP3, CTLA-4, and CD28 genes was significantly
higher than in benign effusions; however, the level of CD4+CD25+CTLA-4+ cells in
analyzed groups showed no significant differences. We found numerous genes
correlations in an entire CD4+CD25+ cell subset and CD4+CD25+ cells from MPEs.
Enhanced suppressive activity of Tregs is observed in the microenvironment of
MPEs. Understanding of relations between cellular and cytokine immunosuppressive
factors in tumor microenvironment may determine success of anticancer response.
PMID- 29785407
TI - Unusual occurrence of orbital hemangiopericytoma in the zygomatic bone of an
adolescent: a case report.
AB - Background: Hemangiopericytoma and solitary fibrous tumor are considered related
variants on the same spectrum and both may essentially be the same tumor. They
are infrequently encountered in the orbital region while the zygomatic bone is an
extremely rare location for these neoplasms to occur. Case presentation: A 14
year-old boy presented with complaint of deformity of left infraorbital area and
a firm, regular mass in the region. Orbital CT scan revealed a well-defined round
isodense intraosseous lesion in the lowermost portion of the lateral orbital wall
(zygomatic bone), expanding the bone and protruding anteriorly and medially. MRI
showed the mass to be heterogenous and strongly enhancing with contrast medium.
Inferior transconjunctival orbitotomy was performed and the mass was removed. The
histopathologic examination and immunohistochemistry staining results (positive
for CD34, CD31 and smooth muscle actin, but negative for CD99, S100, B-cell
lymphoma 2 (bcl-2) and desmin) confirmed the diagnosis of hemangiopericytoma. The
postoperative course was uneventful, with no evidence of recurrence after 5 years
follow up. Conclusions: This case represents the second hemangiopericytoma
reported in the zygomatic bone. Although extremely rare,
hemangiopericytoma/solitary fibrous tumor might be considered in the differential
diagnosis of intraosseous lesions of the orbital and zygomatic region.
PMID- 29785406
TI - Fluid Extravasation in Shoulder Arthroscopic Surgery: A Systematic Review.
AB - Background: Arthroscopic surgery of the shoulder joint has become increasingly
more common given its advantages over open surgery; however, one rare but
potentially life-threatening complication is fluid extravasation into the
surrounding tissues, causing edema, respiratory compromise, abnormal results on
laboratory blood tests, and possibly death. Currently, no systematic review
exists that summarizes the existing clinical research on this topic. Purpose: To
perform a systematic review on fluid extravasation as a complication of shoulder
arthroscopic surgery, specifically assessing clinical presentation, risk factors,
management, and outcomes. Study Design: Systematic review; Level of evidence, 4.
Methods: Two reviewers independently searched 3 databases (PubMed, Ovid
[MEDLINE], and Embase) from database inception until July 1, 2017. The PRISMA
(Preferred Reporting Items for Systematic Reviews and Meta-Analyses) checklist
guided the reporting and data abstraction. The methodological quality of these
studies was assessed using the Methodological Index for Non-Randomized Studies
(MINORS) checklist. The results are presented in a narrative summary fashion
using descriptive statistics including ranges and agreement statistics. Results:
A total of 26 studies (20 case reports, 4 case series, and 2 prospective
comparative studies) encompassing 205 patients (mean age, 50.8 years [range, 15
83 years]) were included. The most common signs of fluid extravasation included
chest wall swelling (n = 86) and neck swelling (n = 116). In 32 patients,
observation alone was sufficient. Other patients required airway intubation (n =
16), diuretics (n = 7), steroids (n = 1), and percutaneous drainage of fluid (n =
1). Clinical edema resolved after 2 to 48 hours, and patients were discharged 1
to 20 days postoperatively. Serious complications included transfer to the
intensive care unit (n = 14), anterior interosseous nerve palsy (n = 4),
rhabdomyolysis (n = 1), and death (n = 1). Conclusion: Fluid extravasation has
the potential to be a life-threatening complication of shoulder arthroscopic
surgery; however, it is most commonly managed nonoperatively, and symptoms
typically resolve with no evidence of long-term complications. Intraoperative
surgical decisions, such as minimizing the surgical time and volume of irrigation
fluid used, may limit fluid extravasation, while careful intraoperative
monitoring may facilitate prompt diagnosis and management to optimize patient
outcomes.
PMID- 29785409
TI - De novo genome and transcriptome resources of the Adzuki bean borer Ostrinia
scapulalis (Lepidoptera: Crambidae).
AB - We present a draft genome assembly with a de novo prediction and automated
functional annotation of coding genes, and a reference transcriptome of the
Adzuki bean borer, Ostrinia scapulalis, based on RNA sequencing of various
tissues and developmental stages. The genome assembly spans 419 Mb, has a GC
content of 37.4% and includes 26,120 predicted coding genes. The reference
transcriptome holds 33,080 unigenes and contains a high proportion of a set of
genes conserved in eukaryotes and arthropods, used as quality assessment of the
reconstructed transcripts. The new genomic and transcriptomic data presented here
significantly enrich the public sequence databases for the Crambidae and
Lepidoptera, and represent useful resources for future researches related to the
evolution and the adaptation of phytophagous moths. The genome and transcriptome
assemblies have been deposited and made accessible via a NCBI BioProject (id
PRJNA390510) and the LepidoDB database
(http://bipaa.genouest.org/sp/ostrinia_scapulalis/).
PMID- 29785408
TI - Stroke Prevalence in Children With Sickle Cell Disease in Sub-Saharan Africa: A
Systematic Review and Meta-Analysis.
AB - Objectives. The prevalence of stroke among children with sickle cell disease
(SCD) in sub-Saharan Africa was systematically reviewed. Methods. Comprehensive
searches of PubMed, Embase, and Web of Science were performed for articles
published between 1980 and 2016 (English or French) reporting stroke prevalence.
Using preselected inclusion criteria, titles and abstracts were screened and full
text articles were reviewed. Results. Ten full-text articles met selection
criteria. Cross-sectional clinic-based data reported 2.9% to 16.9% stroke
prevalence among children with SCD. Using available sickle gene frequencies by
country, estimated pediatric mortality, and fixed- and random-effects model, the
number of affected individuals is projected as 29 800 (95% confidence interval =
25 571-34 027) and 59 732 (37 004-82 460), respectively. Conclusion. Systematic
review enabled the estimation of the number of children with SCD stroke in sub
Saharan Africa. High disease mortality, inaccurate diagnosis, and regional
variability of risk hamper more precise estimates. Adopting standardized stroke
assessments may provide more accurate determination of numbers affected to inform
preventive interventions.
PMID- 29785410
TI - Relationship of HS CRP and Sacroiliac Joint Inflammation in Undifferentiated
Spondyloarthritis.
AB - Objective: Elevation of serum high sensitivity C-reactive protein (hs-CRP) level
has been demonstrated as a risk factor for varying diseases, as well as a
biomarker for predicting recovery after operation of lumber disc herniation. Our
objective was to investigate the relationship between serum hs-CRP and sacroiliac
(SI) joint inflammation in patients with undifferentiated spondyloarthritis
(uSpA). Methods: In this retrospective study, we enrolled patients with uSpA who
underwent hs-CRP testing between January 2007 and September 2013. Serum hs-CRP
was analyzed at our central laboratory. All enrolled patients underwent skeletal
scintigraphic scan with quantitative sacroiliac measurement. Results: A total of
29 patients were enrolled with mean age 32.27 years and female:male ratio of
6:23. Pearson's correlation coefficient showed a significant difference between
hs-CRP in serum and SI/S ratio in uSpA, particularly the middle part of the
sacroiliac joint, either right side or left side. The significantly high
concentration of serum hs-CRP might indicate a systemic inflammatory response to
flare-up of the SI joint and might be an indicator of SI inflammation in uSpA.
PMID- 29785411
TI - Low-Dose CT Perfusion of the Liver using Reconstruction of Difference.
AB - Liver CT perfusion (CTP) is used in the detection, staging, and treatment
response analysis of hepatic diseases. Unfortunately, CTP radiation exposures is
significant, limiting more widespread use. Traditional CTP data processing
reconstructs individual temporal samples, ignoring a large amount of shared
anatomical information between temporal samples, suggesting opportunities for
improved data processing. We adopt a prior-image-based reconstruction approach
called Reconstruction of Difference (RoD) to enable low-exposure CTP acquisition.
RoD differs from many algorithms by directly estimating the attenuation changes
between the current patient state and a prior CT volume. We propose to use a high
fidelity unenhanced baseline CT image to integrate prior anatomical knowledge
into subsequent data reconstructions. Using simulation studies based on a 4D
digital anthropomorphic phantom with realistic time-attenuation curves, we
compare RoD with conventional filtered-backprojection, penalized-likelihood
estimation, and prior image penalized-likelihood estimation. We evaluate each
method in comparisons of reconstructions at individual time points, accuracy of
estimated time-attenuation curves, and in an analysis of common perfusion metric
maps including hepatic arterial perfusion, hepatic portal perfusion, perfusion
index, and time-to-peak. Results suggest that RoD enables significant exposure
reductions, outperforming standard and more sophisticated model-based
reconstruction, making RoD a potentially important tool to enable low-dose liver
CTP.
PMID- 29785412
TI - Horizontal lateral lamella as a risk factor for iatrogenic cerebrospinal fluid
leak. Clinical retrospective evaluation of 24 cases.
AB - BACKGROUND: Several authors highlighted the limitations of the Keros
classification system in predicting intracranial entry risk. Recently, our group
proposed a new classification system based on the angle formed between the
lateral lamella of the cribriform plate (LLCP) and the continuation of an
horizontal plane passing through the cribriform plate (Gera classification). The
aim of this study was to analyze whether the risk of iatrogenic cerebrospinal
fluid leak (CSF-L) was better predicted by Keros or Gera classification.
METHODOLOGY: The pre-operative CT scans of 24 patients (CSF-L group) who suffered
from iatrogenic CSF-L during endoscopic sinus surgery (ESS) were compared to
those obtained from a group of 100 patients who underwent uneventful ESS (control
group). The skull base measurements as well as the distribution of Keros and Gera
classes in the 2 groups were analyzed. RESULTS: No difference in the distribution
of Keros classes or in the depth of the cribriform plate between CSF-L and
control group were demonstrated. On the contrary, significant differences in the
distribution of Gera classes and in the degree of the angle formed by the LLCP
and the continuation of the horizontal plane passing through the cribriform plate
were found. In particular, according to Gera classification system, 19 out of 24
patients in the CSF-L group were considered at risk for iatrogenic CSF-L.
CONCLUSIONS: Gera classification system might be more sensitive to anatomical
variations associated with CSF-L than the Keros one, further suggesting the
application of the former during the preoperative CT scan evaluation.
PMID- 29785413
TI - Multivariate analysis of inflammatory endotypes in recurrent nasal polyposis in a
Chinese population.
AB - BACKGROUND: Chronic rhinosinusitis with nasal polyps (CRSwNP) remains a
challenging clinical problem due to its propensity for recurrence. However, data
on the frequency of CRSwNP recurrence after surgery in China are rare. METHODS:
78 CRSwNP patients undergoing functional endoscopic sinus surgery were followed
up for 8 years and classified into recurrent and non-recurrent groups. A cluster
analysis of the CRSwNP based on inflammatory endotypes was performed, and the
endotypes were secondarily matched with clinical phenotypes. RESULTS: The
recurrence rate of CRSwNP in Southwest China was 21.8% over 8 years post-surgery.
The CRSwNP was classified into 4 clusters: cluster 1 (higher expression of IL-5,
IgE, and ECP and high positivity rate for SE-IgE); cluster 2 (higher
concentrations of IL-6, IL-8 and MPO); cluster 3 (higher concentrations of TNF
alpha; and IFN-gamma); and cluster 4 (higher expression of IL-17). Cluster 1
(type-2 inflammation) exhibited the highest recurrence rate, co-morbid asthma and
atopy. Notably, the ECP/MPO ratio increased significantly in patients with non
type-2 recurrent CRSwNP 8 years after the first surgery. CONCLUSION: Different
inflammatory endotypes of CRSwNP exhibited clearly different prognoses. The type
2 subgroup had high recurrence and co-morbid asthma rates comparable to the rates
reported in Western countries.
PMID- 29785414
TI - Topical nasal decongestant oxymetazoline (0.05%) provides relief of nasal
symptoms for 12 hours.
AB - BACKGROUND: Nasal congestion, often referred to as stuffy nose or blocked nose is
one of the most prevalent and bothersome symptoms of an upper respiratory tract
infection. Oxymetazoline, a widely used intranasal decongestant, offers fast
symptom relief, but little is known about the duration of effect. METHODOLOGY:
The results of 2 randomized, double-blind, vehicle-controlled, single-dose,
parallel, clinical studies (Study 1, n=67; Study 2, n=61) in which the efficacy
of an oxymetazoline (0.05% Oxy) nasal spray in patients with acute coryzal
rhinitis was assessed over a 12-hour time-period. Data were collected on both
subjective relief of nasal congestion (6-point nasal congestion scale) and
objective measures of nasal patency (anterior rhinomanometry) in both studies.
RESULTS: A pooled study analysis showed statistically significant changes from
baseline in subjective nasal congestion for 0.05% oxymetazoline and vehicle at
each hourly time-point from Hour 1 through Hour 12 (marginally significant at
Hour 11). An objective measure of nasal flow was statistically significant at
each time-point up to 12 hours. Adverse events on either treatment were
infrequent. The number of subjects who achieved an improvement in subjective
nasal congestion scores of at least 1.0 was significantly higher in the Oxy group
vs. vehicle at all hourly time-points on a 6-point nasal congestion scale.
CONCLUSIONS: This study shows for the first time, that oxymetazoline provides
both statistically significant and clinically meaningful relief of nasal
congestion and improves nasal airflow for up to 12 hours following a single dose.
PMID- 29785418
TI - Regioselective ortho-functionalization of bromofluorenecarbaldehydes using
TMPMgCl.LiCl.
AB - A highly regioselective functionalization of 7-bromofluorene-2-carbaldehydes,
potent organic chromophores, in position C3 using a mild ortho-metallation
strategy (DoM) with TMPMgCl.LiCl has been developed. This approach allows the
preparation of highly functionalized fluorene derivatives by conversion of the in
situ generated metalated species with various electrophiles giving a fast access
to novel organic phosphorescent dyes.
PMID- 29785421
TI - In situ identification of cation-exchange-induced reversible transformations of
3D and 2D perovskites.
AB - The optical and structural properties of hybrid perovskites can be tuned by the
post-synthetic introduction of new cations. To advance the development of this
approach, knowledge of the reaction mechanism is essential, but has not yet been
elucidated. Here, the effect of n-octylamine on three-dimensional (3D)
methylammonium lead bromide (MAPbBr3) was investigated by in situ X-ray
photoelectron spectroscopy. Spectroscopic analysis indicated equimolar
substitutions between octylammonium (OcA+) and methylammonium (MA+) cations that
cause the formation of two-dimensional (2D) octylammonium lead bromide
((OcA)2PbBr4). The introduction of methylamine reversed these changes, and the
cation exchange between MA+ and OcA+ caused the reverse conversion to MAPbBr3.
PMID- 29785419
TI - Tuning the electrochemical potential of perfunctionalized dodecaborate clusters
through vertex differentiation.
AB - We report a new class of redox-active vertex-differentiated dodecaborate clusters
featuring pentafluoroaryl groups. These [B12(OR)11NO2] clusters share several
unique photophysical properties with their [B12(OR)12] analogues, while
exhibiting significantly higher (+0.5 V) redox potentials. This work describes
the synthesis, characterization, and isolation of [B12(O-CH2C6F5)11NO2] clusters
in all 3 oxidation states (dianion, radical, and neutral). Reactivity to post
functionalization with thiol species via SNAr on the pentafluoroaryl groups is
also demonstrated.
PMID- 29785422
TI - Super-resolution force spectroscopy reveals ribosomal motion at sub-nucleotide
steps.
AB - Probing biomolecular motion beyond a single nucleotide is technically challenging
but fundamentally significant. We have developed super-resolution force
spectroscopy (SURFS) with 0.5 pN force resolution and revealed that the ribosome
moves by half a nucleotide upon the formation of the pre-translocation complex,
which is beyond the resolution of other techniques.
PMID- 29785423
TI - Targeted replacement: systematic studies of dodecanuclear {MLn} coordination
clusters (M = Cr, Co; Ln = Dy, Y).
AB - Three dodecanuclear 3d-4f coordination clusters, [CrIII6LnIII6(MU3
OH)8(tbdea)6(C6H5COO)16].2H2O (Ln = Dy (1), Y (2)) and [CoIII6DyIII6(MU3
OH)8(nbdea)6(m-CH3C6H4COO)16].2H2O.2CH3CN (3), have been synthesized under
solvothermal conditions and characterized. Single-crystal X-ray diffraction
analysis revealed that all three compounds possess an analogous {MIII6LnIII6}
core (M = Cr, Co; Ln = Dy, Y) and dc magnetic susceptibility studies indicated
that the magnetic exchange couplings between DyIII ions are dominant
antiferromagnetic, while the CrIII-DyIII interactions are weakly ferromagnetic.
Furthermore, the ac magnetic susceptibility measurements showed that both
CrIII6DyIII6 compound 1 and CoIIi6DyIII6 compound 3 containing highly anisotropic
DyIII ions displayed single-molecule magnetic (SMM) behavior with the energy
barrier Ueff increasing from 12.8 K (for 1) to 20.8 K (for 3), indicating that
weak 3d-4f exchange couplings enhance the QTM and reduce the energy barrier.
PMID- 29785426
TI - Theoretical insights into the reactivity of Fe-based catalysts for water
oxidation: the role of electron-withdrawing groups.
AB - Recent experiments have shown that complex (1), [Fe(OTf)2(Pytacn)] (OTf = CF3SO3
, Pytacn = 1-(2'-pyridylmethyl)-4,7-dimethyl-1,4,7-triazacyclononane), is a
promising artificial photosynthetic catalyst because of its distinct capability
in water oxidation. Experimentalists have also synthesized several derivatives,
e.g., [Fe(OTf)2(E,HPytacn)] (E = -Cl (2), -CO2Et (3) and -NO2 (4)) and [Fe(OTf)2
(E,RPytacn)] (R = -F (5) and R = -Me (6)), and proposed that the E-substituted
electron-withdrawing groups could improve the catalytic efficiency. However, the
mechanism remains somewhat unclear, especially on the relative catalytic
efficiency of these complexes. In this work, we propose an oxygen radical
mechanism based on density functional theory (DFT) calculations for the six
complexes. The crucial O-O bond-formation step is elucidated. Our calculations
reveal that the FeIV-oxyl radical is the active species during the reaction, and
the catalytic activities follow the sequence of (4) > (3) > (2) > (1) > (5) >
(6), which agrees consistently with the experimental findings. Furthermore, we
propose a simple charge-pair interaction model to characterize the effect of
electron-withdrawing groups on the catalytic efficiency. It is clearly
demonstrated that an electron-withdrawing group with a higher electronegativity
is associated with a lower Gibbs free energy barrier for the O-O bond formation,
which then leads to a more active catalyst. We also emphasize that the accurate
description of dispersive interactions in DFT calculations is crucially important
to retrieve the correct sequence of the catalytic efficiency. The theoretical
insights provided in this work could be useful for the design of highly efficient
Fe-based water oxidation catalysts.
PMID- 29785424
TI - Iron nanoparticles in capsules: derived from mesoporous silica-protected Prussian
blue microcubes for efficient selenium removal.
AB - A spatially confined reduction strategy for the fabrication of small
nanoparticles in a micro-box is reported, where iron nanoparticles with uniform
diameter are highly distributed in a carbon matrix and surrounded by a mesoporous
silica layer. Due to their unique confined nanostructure, the Fe/C@mSiO2 capsules
could effectively remove and recycle Se(iv) from a low concentration solution.
PMID- 29785428
TI - 1-Methyl-4-aryl-urazole (MAUra) labels tyrosine in proximity to ruthenium
photocatalysts.
AB - We designed and synthesised peptides conjugated with proline linkers and
ruthenium photocatalysts. These peptides were used as substrates to evaluate the
photocatalyst-proximity dependences of candidates for tyrosine labelling
reagents. The 1-methyl-4-aryl-urazole (MAUra) structure was found to be a novel
tyrosyl radical trapping agent to label tyrosine residues effectively under the
conditions where the ruthenium photocatalyst and tyrosine were in close
proximity. Using a ruthenium photocatalyst conjugated to a carbonic anhydrase
ligand, the target protein in a complex protein mixture was labelled with
remarkable target selectivity by azide- or desthiobiotin-conjugated MAUra
derivatives.
PMID- 29785429
TI - Bimodal coordination of fused arenes to a Pd3 cluster site.
AB - We report bimodal coordination behaviour of fused arenes at a Pd3 cluster site. A
cyclooctatetraene-supported Pd3 cluster accommodates anthracene and tetracene in
an oxidative pi-addition manner, whereas triphenylene and fluoranthene are
accommodated in a pi-coordination manner.
PMID- 29785430
TI - Staudinger reaction using 2,6-dichlorophenyl azide derivatives for robust aza
ylide formation applicable to bioconjugation in living cells.
AB - Efficient formation of water- and air-stable aza-ylides has been achieved using
the Staudinger reaction between electron-deficient aromatic azides such as 2,6
dichlorophenyl azide and triarylphosphines. The reaction proceeds rapidly and has
been successfully applied to chemical modification of proteins in living cells.
PMID- 29785431
TI - Slow magnetic relaxation and luminescence properties in lanthanide(iii)/anil
complexes.
AB - The initial use of anils, i.e. bidentate Schiff bases derived from the
condensation of anilines with salicylaldehyde or its derivatives, in 4f-metal
chemistry is described. The 1 : 1 reactions between Ln(NO3)3.xH2O (Ln =
lanthanide) or Y(NO3)3.6H2O and N-(5-bromosalicylidene)aniline (5BrsalanH) in
MeCN has provided access to complexes [Ln(NO3)3(5BrsalanH)2(H2O)].MeCN (Ln = Pr,
Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Yb) and [Y(NO3)3(5BrsalanH)2(H2O)].MeCN,
respectively, in good yields. The structures of the isomorphous complexes with Ln
= Pr(1.MeCN), Sm(3.MeCN), Gd(5.MeCN), Dy(7.MeCN) and Er(9.MeCN) have been
determined by single-crystal X-ray crystallography. The other complexes were
proven to be isostructural with the fully structurally characterized compounds
based on elemental analyses, IR spectra, unit cell determinations and powder X
ray patterns. The 9-coordinate LnIII centre in the [Ln(NO3)3(5BrsalanH)2(H2O)]
molecules is bound to six oxygen atoms from the three bidentate chelating nitrato
groups, two oxygen atoms that belong to the organic ligands and one oxygen atom
from the aquo ligand. The 5BrsalanH molecules behave as monodentate O-donors; the
acidic H atom is clearly located on the imino N atom and thus the formally
neutral ligands adopt an extremely rare coordination mode participating in the
zwitterionic form. The coordination polyhedra defined by the nine donor atoms
around the LnIII centres are best described as spherical capped square
antiprisms. Various intermolecular interactions build the crystal structures and
Hirshfeld surface analysis was applied to evaluate the magnitude of interactions
between the molecules. Solid-state IR and UV/VIS data are discussed in terms of
structural features. 1H NMR data prove that the diamagnetic
[Y(NO3)3(5BrsalanH)2(H2O)] complex decomposes in DMSO. Combined dc and ac
magnetic susceptibility, as well as magnetization data for 7 suggest that this
complex shows field-induced slow magnetic relaxation. Two magnetization
relaxation processes are evident. The fit to the Arrhenius law has been performed
using the 6.5-8.5 K ac data, affording an effective barrier for the magnetization
reversal of 27 cm-1. Cole-Cole plot analysis in the temperature range in which
the Orbach relaxation process is assumed, reveals a narrow distribution of
relaxation times. The solid Dy(iii) complex 7 emits green light at 338 nm, the
emission being ligand-centered. The perspectives of the present, first results in
the lanthanide(iii)-anil chemistry are critically discussed.
PMID- 29785432
TI - Molecular insights into avibactam mediated class C beta-lactamase inhibition:
competition between reverse acylation and hydrolysis through desulfation.
AB - Avibactam is one of the promising next generation beta-lactamase inhibitors due
to its exceptional inhibition against wide-spectrum serine beta-lactamases. The
unusual reversible acylation mechanism has particularly gained interest to
explain the inhibition mechanism of avibactam. We explore the mechanism of
acylation and deacylation involving avibactam in class-C beta-lactamases (CBLs)
through hybrid quantum mechanical/molecular mechanical (QM/MM) enhanced sampling
molecular dynamics (MD) simulations. Based on these computations, we probe the
kinetic stability of the acyl-enzyme complex formed by avibactam and CBLs,
thereby gaining molecular level insights into the avibactam-mediated inhibition
of CBLs.
PMID- 29785433
TI - The immunomodulatory activity and mechanism of docosahexenoic acid (DHA) on
immunosuppressive mice models.
AB - In this study, the immunomodulatory activity of docosahexaenoic acid (DHA) on the
immunosuppressive BALB/c mice model and its molecular mechanism are elucidated.
It was found that the weight indexes of the spleen and thymus were significantly
increased by DHA (44.0 mg kg-1 and 88.0 mg kg-1) treatment in the prevention or
cure groups. The result of macrophages showed that DHA (44.0 mg kg-1 and 88.0 mg
kg-1) could promote the proliferation and phagocytosis activity of macrophages in
the prevention or cure groups. In addition, DHA could activate macrophages by the
G-protein coupled cell membrane receptor GPR120- Mitogen-Activated Protein
Kinases (MAPKs)-nuclear factor kappaB (NF-kappaB) p65 pathway in vivo. The result
of the spleen showed that DHA (44.0 mg kg-1 and 88.0 mg kg-1) could promote the
proliferation of spleen cells and the natural killer (NK) cells activity in vivo.
In the prevention or cure groups, the quantitative real-time polymerase chain
reaction (qRT-PCR) results revealed that DHA (44.0 mg kg-1 and 88.0 mg kg-1)
could enhance the production of cytokines IL-1beta, IL-2, TNF-alpha and IFN-gamma
in the spleen of immunosuppressive mice. The HE (hematoxylin and eosin) stained
histopathological images showed that DHA could repair the damage induced by CTX
in the spleen cells of the prevention or cure groups. These results suggested
that DHA has a remarkable immunomodulatory activity on the immunosuppressive mice
model in the prevention or cure groups.
PMID- 29785435
TI - Assessing the performance of MM/PBSA and MM/GBSA methods. 7. Entropy effects on
the performance of end-point binding free energy calculation approaches.
AB - Entropy effects play an important role in drug-target interactions, but the
entropic contribution to ligand-binding affinity is often neglected by end-point
binding free energy calculation methods, such as MM/GBSA and MM/PBSA, due to the
expensive computational cost of normal mode analysis (NMA). Here, we
systematically investigated entropy effects on the prediction power of MM/GBSA
and MM/PBSA using >1500 protein-ligand systems and six representative AMBER force
fields. Two computationally efficient methods, including NMA based on truncated
structures and the interaction entropy approach, were used to estimate the
entropic contributions to ligand-target binding free energies. In terms of the
overall accuracy, we found that, for the minimized structures, in most cases the
inclusion of the conformational entropies predicted by truncated NMA
(enthalpynmode_min_9A) compromises the overall accuracy of MM/GBSA and MM/PBSA
compared with the enthalpies calculated based on the minimized structures
(enthalpymin). However, for the MD trajectories, the binding free energies can be
improved by the inclusion of the conformation entropies predicted by either
truncated-NMA for a relatively high dielectric constant (epsilonin = 4) or the
interaction entropy method for epsilonin = 1-4. In terms of reproducing the
absolute binding free energies, the binding free energies estimated by including
the truncated-NMA entropies based on the MD trajectories (DeltaGnmode_md_9A) give
the lowest average absolute deviations against the experimental data among all
the tested strategies for both MM/GBSA and MM/PBSA. Although the inclusion of the
truncated NMA based on the MD trajectories (DeltaGnmode_md_9A) for a relatively
high dielectric constant gave the overall best result and the lowest average
absolute deviations against the experimental data (for the ff03 force field), it
needs too much computational time. Alternatively, considering that the
interaction entropy method does not incur any additional computational cost and
can give comparable (at high dielectric constant, epsilonin = 4) or even better
(at low dielectric constant, epsilonin = 1-2) results than the truncated-NMA
entropy (DeltaGnmode_md_9A), the interaction entropy approach is recommended to
estimate the entropic component for MM/GBSA and MM/PBSA based on MD trajectories,
especially for a diverse dataset. Furthermore, we compared the predictions of
MM/GBSA with six different AMBER force fields. The results show that the ff03
force field (ff03 for proteins and gaff with AM1-BCC charges for ligands)
performs the best, but the predictions given by the tested force fields are
comparable, implying that the MM/GBSA predictions are not very sensitive to force
fields.
PMID- 29785434
TI - Integration of phospholipid-hyaluronic acid-methotrexate nanocarrier assembly and
amphiphilic drug-drug conjugate for synergistic targeted delivery and
combinational tumor therapy.
AB - Combinational cancer therapy has been considered as a promising strategy to
achieve synergetic therapeutic effects and suppression of multidrug resistance.
Herein, we adopted a combination of methotrexate (MTX), an antimetabolite acting
on cytoplasm, and 10-hydroxycamptothecin (HCPT), an alkaloid acting on nuclei, to
treat cancer. Given the different solubilities, membrane permeabilities, and
anticancer mechanisms of both drugs, we developed a dual-targeting delivery
system based on 1,2-distearoyl-sn-glycero-3-phosphoethanolamine-hyaluronic acid
(a principal ligand of CD44 receptors)-MTX (a selective ligand of folate
receptors) nanoparticles, which was exploited to carry HCPT-MTX conjugate for
synergistically boosting dual-drug co-delivery. The HCPT-MTX conjugate was
synthesized by a blood-stable yet intracellularly hydrolysable ester bond. The
core-shell-corona DSPE-HA-MTX nanoparticles encapsulating HCPT-MTX (HCPT-MTX@DHM)
exhibited high drug entrapment efficiency (~91.8%) and pH/esterase-controlled
release behavior. Cellular uptake studies confirmed significant increase in the
efficiency of selective internalization of HCPT-MTX@DHM via CD44/folate receptors
compared with those of DSPE-HA nanoparticles encapsulating HCPT-MTX (HCPT
MTX@DH), both drugs, or each individual drug. Furthermore, in vivo near-infrared
fluorescence and photoacoustic dual-modal imaging indicated that DiR-doped HCPT
MTX@DHM nanoparticles efficiently accumulated at the tumor sites through passive
plus-active targeting. Finally, the synergistic active targeting and synchronous
dual-drug release at a synergistic drug-to-drug ratio resulted in highly
synergetic tumor cell-killing and tumor growth inhibition in MCF-7 tumor-bearing
mice. Therefore, HCPT-MTX@DHM nanoparticles can be an efficient and smart
platform for tumor-targeting therapy.
PMID- 29785436
TI - Ru-Complexes of an anionic germabenzenyl ligand.
AB - Ruthenium complexes having an anionic germabenzenyl ligand, [Cp*Ru{eta1,eta3
GeC5(t-Bu)H4}]2 (1) and [Cp*Ru{eta1-GeC5(t-Bu)H4}{[eta1,eta5-GeC5(t
Bu)H4]RuCp*}2] (2), have been synthesized by the reaction of
germabenzenylpotassium, KGeC5(t-Bu)H4, with [Cp*RuCl]4. Complexes 1 and 2 exhibit
both sigma- and pi-type coordination and can be regarded as the first examples of
a transition-metal substituted benzenoid of heavier Group 14 elements. The
isolated complexes 1 and 2 have been characterized using NMR and UV-vis
spectroscopy, X-ray crystallographic analysis, and theoretical calculations.
PMID- 29785437
TI - Confinement boosts CO oxidation on an Ni atom embedded inside boron nitride
nanotubes.
AB - To date, most studies of heterogeneous catalysis have focused on metal particles
supported on the surface of substrates. However, studies of the catalytic
properties of metallic nanoparticles supported on the interior surface of
nanotubes are rare. Using first-principles calculations based on density
functional theory, we have studied the CO oxidation on a single nickel atom
confined in a nitrogen vacancy on the inside surface of boron nitride nanotubes
(BNNT). By exploring the Eley-Rideal mechanism, we find that an Ni atom embedded
on the interior surface of BNNTs exhibits a much higher catalytic activity for CO
oxidation when compared with Ni doped on their outside surface. In addition, the
energy barriers of the rate-determining step for CO oxidation on Ni embedded on
the inside wall of BNNT(5,5), BNNT(6,6) and BNNT(7,7) are 0.39, 0.29 and 0.33 eV,
respectively. The results illustrate the merit of confinement for CO oxidation.
PMID- 29785438
TI - Monodisperse and brightly luminescent CsPbBr3/Cs4PbBr6 perovskite composite
nanocrystals.
AB - The microscale composite structure strategy of embedding CsPbBr3 nanocrystals
(NCs) in the microscale Cs4PbBr6 matrix (CPB113/CPB416) has successfully
demonstrated its ability to resolve the fluorescence quenching of perovskite NCs
in the solid agglomeration state due to the loss of quantum confinement.
Unfortunately, the controllable synthesis of monodisperse nanoscale composites
with bright emission in the solid state remains a great challenge. Here, we
present for the first time a novel supersaturated recrystallization process to
controllably synthesize monodisperse CPB113/CPB416 composite NCs with bright
emission in the solid form, where CsPbBr3 NCs were uniformly embedded in the nano
hexagonal Cs4PbBr6 matrix. The existence of 2-methylimidazole (MeIm) not only can
control the composition rate of CsPbBr3 to Cs4PbBr6, the size and dispersity of
CsPbBr3 in the composite NCs but can also help controllably obtain the
monodisperse and hexagonal Cs4PbBr6 matrix. The as-prepared composite structure
can effectively prevent CsPbBr3 fluorescence quenching and make the composite NCs
have a high photoluminescence quantum yield (PLQY) of 83%. In addition, we
obtained tunable blue to red emitting composite NCs by varying the halide salts.
PMID- 29785440
TI - An immunoconjugated up-conversion nanocomplex for selective imaging and
photodynamic therapy against HER2-positive breast cancer.
AB - Photodynamic therapy represents a very attractive therapeutic tool considered to
be effective, minimally invasive and minimally toxic. However, conventional
photodynamic therapy actually has two main constraints: the limited penetration
depth of visible light needed for its activation, and the lack of selectivity.
Considering this, this work reports the synthesis and evaluation of a novel
nanoconjugate for imaging and selective photodynamic therapy against HER2
positive breast cancer, a particularly aggressive form of the disease. It was
demonstrated that upon 975 nm near infrared light exposure, the red emission of
the NaYF4:Yb,Er up-conversion nanoparticles (UCNPs) can be used for optical
imaging and simultaneously represent the source for the excitation of a
covalently bound zinc tetracarboxyphenoxy phthalocyanine (ZnPc), a
photosensitizer that in turn transfers energy to ground state molecular oxygen to
produce cytotoxic singlet oxygen. The specificity of our nanoconjugates was
achieved by immunoconjugation with Trastuzumab (Tras), a specific monoclonal
antibody for selective detection and treatment of HER2-overexpressing malignant
breast cancer cells. Selective tracking of SKBR-3 HER2-positive cells was
verified by confocal microscopy analysis, and the photodynamic therapy effect was
considerably improved when Trastuzumab was incorporated into the nanoconjugate,
the UCNPs-ZnPc-Tras being practically inert in the absence of infrared light
exposure but reducing the HER2-positive cell viability up to 21% upon 5 min of
the irradiation. This theranostic nanoconjugate represents a valuable alternative
for HER2-positive breast cancer imaging and selective photodynamic therapy.
PMID- 29785439
TI - Highly efficient upconversion emission of Er3+ in delta-Sc4Zr3O12 and broad-range
temperature sensing.
AB - Developing optical temperature sensors with a wider range, higher sensitivity and
repeatability based on Er3+/Yb3+ doped upconverting phosphors has always been at
the forefront of temperature measurement technologies. Here, we report the
intense green upconversion luminescence in Er3+/Yb3+ doped delta-Sc4Zr3O12 for
the first time and its temperature sensing performance is investigated. The
structure of delta-Sc4Zr3O12 is given by Rietveld refinement of XRD data and the
site occupancy of Er3+ ions has been determined. Compared with cubic Sc2O3 and
ZrO2, under 972 nm excitation, the green emission from Er3+ centers in Sc4Zr3O12
is increased by 59-fold and 264-fold, respectively. By experimental analysis,
this enhancement of upconversion luminescence is attributed to the low
symmetrical environment of Er3+, generation of Yb3+ clusters and high internal
efficiency of Yb3+ emission in Sc4Zr3O12. In addition, the fluorescence intensity
ratio of two green emission bands (2H11/2/4S3/2 -> 4I15/2) is studied as a
function of temperature ranging from 303 to 793 K in Sc4Zr3O12. The maximum
sensitivity observed via calculation is 0.00634 K-1 at 573 K, and the sensitivity
is still as high as 0.00534 K-1 at 793 K. The stability of a Sc4Zr3O12
thermometer is also examined via a recycling test. These findings suggest that
delta-Sc4Zr3O12 is a promising upconversion host and could achieve high
sensitivity optical temperature sensing with a wide measuring range.
PMID- 29785441
TI - Processing of PVDF-based electroactive/ferroelectric films: importance of PMMA
and cooling rate from the melt state on the crystallization of PVDF beta
crystals.
AB - Poly(vinylidene difluoride) (PVDF) displays attractive
ferroelectric/piezoelectric properties and its polar beta-crystals are
specifically targeted for achieving electroactive applications. However, their
direct crystallization from the melt state represents a challenging task that has
never been addressed using melt-state processes. The use of poly(methyl
methacrylate) (PMMA) is herein investigated to promote the PVDF polar beta-phase
using melt-blending and extrusion-calendering technologies. The presence of the
beta-phase is here confirmed by ATR-FTIR and WAXS experiments with blends at a
PMMA content as low as 5 wt%. The key role of PMMA for the beta-phase
crystallization from the melt state was unambiguously highlighted with the help
of Flash DSC experiments in non-isothermal cooling mode from the melt state. PMMA
is able to efficiently shift the alpha-to-beta crystal transition to lower
cooling rates (>100-200 degrees C s-1), making the achievement of the PVDF polar
beta-phase for these blends compatible with conventional processing tools. A
crystal phase diagram is proposed for the PVDF/PMMA blends to highlight the dual
effects of both PMMA and cooling rate on the PVDF crystallization during melt
processing. Ferroelectric properties were even observed for the blends containing
PMMA up to 10 wt% with the highest remanent polarization obtained at 5 wt% PMMA.
After 10 wt% PMMA, a progressive transition from ferroelectric to pseudo-linear
dielectric behavior is observed more likely due to the presence of PMMA in the
interlamellar amorphous phase of the polar PVDF spherulites as shown by SAXS
experiments. In this work, we successfully demonstrated that PMMA plays a key
role in the crystallization of PVDF polar crystals from the melt state, enabling
large-scale and continuous extrusion processing of PVDF-based materials with
attractive dielectric properties for sensing and harvesting applications.
PMID- 29785442
TI - Photoinduced intramolecular charge transfer in a cross-conjugated push-pull
enediyne: implications toward photoreaction.
AB - Push-pull organic fluorophores are important owing to their interesting
optoelectronical properties. Here we report the photophysics of a new cross
conjugated push-pull enediynyl dye which belongs to an unexplored class of pi
conjugated donor-acceptor systems. Two N,N-dimethylaniline moieties serve as
donors and one pyrene ring functions as an acceptor via a common Y-shaped
'enediyne' bridge which facilitates the cross-electronic communication. The dye
exhibits dual emission from locally excited (LE) and intramolecular charge
transfer (ICT) states. While the LE emission is dominant in non-polar solvents,
the ICT emission predominates in polar solvents. Time-resolved fluorescence decay
experiments reveal a relatively shorter lifetime component (~0.5-0.9 ns)
belonging to an ICT state and a relatively longer lifetime species (~1.6-2.8 ns)
corresponding to the LE state. The strong ICT behavior of the dye is manifested
through the huge red-shift (4166 cm-1) of the emission spectra from non-polar
cyclohexane to polar N,N-dimethylformamide. In contrast to many small push-pull
organic dyes, the LE and ICT states of the push-pull enediynyl dye follow the
same excitation pathway. The dominant red-shifted ICT emission (~550 nm)
intensity of the dye in polar solvent decreases with a concomitant appearance of
the blue-shifted LE emission (~385 nm) upon prolonged exposure to photons. This
opens up a new photophysical strategy of achieving high contrast two fluorescence
color conversion from yellow to blue.
PMID- 29785443
TI - Organic-inorganic hybrid perovskite quantum dots with high PLQY and enhanced
carrier mobility through crystallinity control by solvent engineering and solid
state ligand exchange.
AB - The photoluminescence quantum yield (PLQY) and charge carrier mobility of organic
inorganic perovskite QDs were enhanced by the optimization of crystallinity and
surface passivation as well as solid-state ligand exchange. The crystallinity of
perovskite QDs was determined by the Effective solvent field (Esol) of various
solvents for precipitation. The solvent with high Esol could more quickly
countervail the localized field generated by the polar solvent, and it causes
fast crystallization of the dissolved precursor, which results in poor
crystallinity. The post-ligand adding process (PLAP) and post-ligand exchange
process (PLEP) increase the PLQY of perovskite QDs by reducing non-radiative
recombination and the density of surface defect states through surface
passivation. Particularly, the post ligand exchange process (PLEP) in the solid
state improved the charge carrier mobility of perovskite QDs in addition to the
PLQY enhancement. The ligand exchange with short alkyl chain length ligands could
improve the packing density of perovskite QDs in films by reducing the inter
particle distance between perovskite QDs. The maximum hole mobility of 6.2 * 10-3
cm2 V-1 s-1, one order higher than that of pristine QDs without the PLEP, is
obtained at perovskite QDs with hexyl ligands. By using PLEP treatment, compared
to the pristine device, a 2.5 times higher current efficiency in perovskite QD
LEDs was achieved due to the improved charge carrier mobility and PLQY.
PMID- 29785444
TI - Synthesis of N-alkylated 2-pyridones through Pummerer type reactions of activated
sulfoxides and 2-fluoropyridine derivatives.
AB - N-Alkylated 2-pyridone products were obtained in good to excellent yields through
a one-pot procedure involving either normal or interrupted Pummerer reactions
between triflic anhydride activated sulfoxides and 2-fluoropyridine derivatives,
followed by hydrolysis. This is a rare case that uses 2-fluoropyridine as a
nucleophile in Pummerer type reactions.
PMID- 29785445
TI - Acoustically enhanced photodetection by a black phosphorus-MoS2 van der Waals
heterojunction p-n diode.
AB - We developed a new way to enhance the photoresponsivity of a van der Waals
heterojunction p-n diode using surface acoustic waves (SAWs). The diode was
constructed on top of a piezoelectric LiNbO3 substrate and composed of p-type
black phosphorus (BP) and n-type molybdenum disulfide (MoS2) flakes that partly
overlapped with each other. This layout facilitated the applied SAWs to rapidly
drive carriers out of the depletion region. In this structural design, SAWs
promoted the separation of photogenerated carriers, and thus greatly increased
the photocurrent. The measured photocurrent for the device with SAWs was about
103 times higher than that of the device without SAWs. The device using SAWs
showed a photoresponsivity as high as 2.17 A W-1 at the wavelength of 582 nm.
This excellent performance was attributed to the SAWs suppressing electron-hole
recombination in the device under light illumination. Our device exhibits promise
as a high-performance photodetector and reveals new possibilities for acoustic
devices in optoelectronics.
PMID- 29785447
TI - Posterior cortical breakage leads to posterior tibial slope change in lateral
hinge fracture following opening wedge high tibial osteotomy.
AB - PURPOSE: This study was designed to analyze the relationship between changes in
posterior tibial slope and the absence or presence of lateral hinge fracture,
diagnosed in the sagittal and axial planes, as well as the coronal plane, by
computed tomography (CT) following opening wedge high tibial osteotomy (HTO).
METHODS: This study involved 55 patients (55 knees) undergoing opening wedge HTO
for primary medial osteoarthritis. Lateral hinge fractures were evaluated on CT
scans according to the Takeuchi classification and were also classified as
subtypes A, B, and C, depending on anterior, posterior, and both anterior and
posterior cortical disruptions, respectively, as determined by the sagittal and
axial planes of CT scans which corresponded to the fracture line visible on
coronal CT scans. RESULTS: Of the 55 knees, 23 were found on postoperative CT
scans to have lateral hinge fractures. All 23 were classified as Type I, with 11,
12, and 0 being subtypes A, B, and C, respectively. Mean change in posterior
tibial slope from 3 weeks postoperatively to last follow up in subtype B was
significantly greater than in subtype A (2.5 degrees vs 0.2 degrees , P = 0.008)
and in knees without hinge fracture (2.5 degrees vs 0.1 degrees , P = 0.002).
CONCLUSION: Type I lateral hinge fractures encroached either the anterior or
posterior cortex of the proximal tibia, but not both. In addition, posterior
tibial slope increased over time following surgery in knees with Type I lateral
hinge fracture and posterior cortex breakage, suggesting that caution should be
exercised when deciding the starting time for weight bearing in such patients.
LEVEL OF EVIDENCE: Case series, Level IV.
PMID- 29785448
TI - Patella alta and patellar subluxation might lead to early failure with inlay
patello-femoral joint arthroplasty.
AB - PURPOSE: With the growing interest in resurfacing procedures, several new
implants have been recently introduced for isolated patello-femoral joint
arthroplasty (PFA). However, not much data are available for these new techniques
or about the right indications for each type of implant. METHODS: Out of a
retrospective cohort of 20 inlay PFA, 11 PFA with an elevated Insall-Salvati
index and an increased patello-femoral congruence angle showed an initial
satisfactory result, but presented thereafter with recurrent pain and "clunk"
phenomena. They were all revised after a median time of 25 months (range 8-28
months) into an onlay technique PFA and analyzed for their failure mode and
revision technique. RESULTS: Clinical symptoms such as clunking, as well as
abraded areas craniolateral of the inlay implant found intraoperatively, were the
main observations of this study. The modified Insall-Salvati index (mISI) was
significantly higher in the revised knees compared to the unrevised (median 1.8
versus 1.6; p = 0.041). VAS and KSS significantly improved after revision (median
VAS reduction in pain of 4.0 points, median KSS improvement of 20.0 points; p <
0.05). CONCLUSION: Patients with high-normal patellar height index or patella
alta, as well as a craniolateral type of arthritis with additional
lateralization, should be considered contra-indicated for an inlay technique PFA.
They could be considered for a PFA system reaching further proximal into the
distal femur. An onlay PFA can be an option for early revision of failed inlay
implants. The clinical relevance of this study is that patella alta and patellar
subluxation are more difficult to adjust for with an inlay PFJ component. LEVEL
OF EVIDENCE: Level IV.
PMID- 29785446
TI - Digital droplet PCR-based absolute quantification of pre-transplant NPM1 mutation
burden predicts relapse in acute myeloid leukemia patients.
AB - Allogeneic hematopoietic stem cell transplantation is an established
consolidation therapy for patients with acute myeloid leukemia. However, relapse
after transplantation remains a major clinical problem resulting in poor
prognosis. Thus, detection of measurable ("minimal") residual disease to identify
patients at high risk of relapse is essential. A feasible method to determine
measurable residual disease may be digital droplet PCR (ddPCR) that allows
absolute quantification with high sensitivity and specificity without the
necessity of standard curves. Using ddPCR, we analyzed pre-transplant peripheral
blood and bone marrow of 51 NPM1-mutated acute myeloid leukemia patients
transplanted in complete remission or complete remission with incomplete
recovery. Mutated NPM1 measurable residual disease-positive patients had higher
cumulative incidence of relapse (P < 0.001) and shorter overall survival (P =
0.014). Restricting the analyses to patients receiving non-myeloablative
conditioning, mutated NPM1 measurable residual disease positivity is associated
with higher cumulative incidence of relapse (P < 0.001) and shorter overall
survival (P = 0.006). Positive mutated NPM1 measurable residual disease status
determined by ddPCR before allogeneic stem cell transplantation is associated
with worse prognosis independent of other known prognostic markers-also for those
receiving non-myeloablative conditioning. In the future, mutated NPM1 measurable
residual disease status determined by ddPCR might guide treatment and improve
patients' outcomes.
PMID- 29785449
TI - Parkinson's disease increases the risk of perioperative complications after total
knee arthroplasty: a nationwide database study.
AB - PURPOSE: Therefore, the purpose of this study was to evaluate the short-term
perioperative outcomes of PD patients who underwent total knee arthroplasty
(TKA). We specifically evaluated: (1) perioperative surgical and medical
complications; (2) lengths of stay (LOS); and (3) total hospital charges.
METHODS: The Nationwide Inpatient Sample was used to identify PD patients who
underwent TKA between 2002 and 2013. To control for potential confounders, PD TKA
and non-PD TKA patients were propensity score matched (1:3) based on age, sex,
ethnicity, Charlson Comorbidity Index, and insurance type. A total of 31,979 PD
and 95,596 non-PD TKA patients were included. RESULTS: PD patients had a 44%
higher risk of suffering from any complication (OR 1.44; 95% CI 1.35-1.54), a 45%
increased risk for any medical complication (OR 1.45; 95% CI 1.36-1.55), and a 9%
higher risk for any surgical complication (OR 1.09; 95% CI 0.84-1.41). Compared
to the matched cohort, PD patients had a mean LOS that was 6.5% longer (95% CI
5.46-7.54) and mean total hospital charges that were 3.05% higher (95% CI 1.99
4.11). CONCLUSIONS: PD patients are more likely to have postoperative
complications, longer LOS, and higher costs after TKA than non-PD TKA patients.
Since many of these complications can be prevented, a team-based multi-specialty
patient optimization is needed. LEVEL OF EVIDENCE: Level III, therapeutic study.
PMID- 29785450
TI - Light intensity physical activity increases and sedentary behavior decreases
following total knee arthroplasty in patients with osteoarthritis.
AB - PURPOSE: To describe objectively measured changes in the volume and pattern of
physical activity and sedentary behavior in patients undergoing total knee
arthroplasty for osteoarthritis. METHODS: Physical activity and sedentary
behavior were measured in patients (13 males, 76 females) with a mean age of 64
years (range 55-80) and end-stage osteoarthritis of the knee, using an
accelerometer (ActiGraph GT3X+) for seven consecutive days (24 h/day) prior to, 6
weeks and 6 months after total knee arthroplasty. Western Ontario and McMaster
Universities Osteoarthritis Index (WOMAC), University of California Los Angeles
(UCLA) Activity index and range of motion (ROM) were also assessed. RESULTS:
Proportion of time spent in sedentary behavior decreased from baseline to 6
months (mean 70.1 vs. 64.0%; p = 0.009) and the interruptions to sedentary
behavior improved between baseline and 6 months after total knee arthroplasty
(mean 85.0-93.0 breaks/day, p = 0.014). Proportion of time spent in light
physical activity increased from baseline to 6 months after total knee
arthroplasty (29.0 vs. 34.8%; p = 0.008). There was no change in time spent in
moderate to vigorous physical activity after total knee arthroplasty. WOMAC
(median 71.0 vs. 4.0, p < 0.001), UCLA (median 2.0 vs. 5.0, p < 0.001) as well as
ROM [median (0.0 degrees -90.0 degrees ) vs. (0.0 degrees -110 degrees ), p <
0.05] scores improved between baseline and 6 months after total knee
arthroplasty. CONCLUSION: Clinically, functional improvements in patients
following total knee arthroplasty may be assessed by objectively measuring
changes in low intensity activity behaviors. The use of accelerometers in this
study gives new insights into activity accumulation patterns in a clinical
population and highlights their use in determining a behavioral response to an
intervention. LEVEL OF EVIDENCE: II.
PMID- 29785451
TI - Lipidus migrans-a radiology imaging feature after ankle injury.
AB - We present an uncommon imaging feature with fluid fat tracking within the tendon
sheath of the flexor hallucis longus (FHL) after traumatic injury to the ankle
joint. We propose a coined medical term "lipidus migrans" to define the presence
of floating fat in a tendon sheath. This is due to lipohemarthrosis from intra
articular fracture of the ankle with leakage of fluid fat into the tendon sheath.
Communication between the FHL tendon sheath and ankle joint can occur in up to
25% of patients. Radiologists should be aware of the presence of lipidus migrans
as a potential posttraumatic complication after intra-articular ankle fracture
and that fat in the tendon sheath may mimic fracture fragments or even a tendon
sheath tumor.
PMID- 29785453
TI - Adding sutures to tack fixation of mesh does not lower the re-operation rate
after laparoscopic ventral hernia repair: a nationwide cohort study.
AB - BACKGROUND: There are various ways of fixating an intraperitoneal onlay mesh
during a laparoscopic ventral hernia repair. The risk of complications is high,
and around 22% of the hernias will recur within 3.5 years. The aim of this study
was to assess if sutures in addition to tack fixation would reduce the re
operation rate for recurrence compared with permanent tacks without sutures.
METHODS: This study was based on the data from the nationwide Danish Ventral
Hernia Database, which contains information of ventral hernia repairs from all
hospitals in Denmark. Two different cohorts of patients were created and analyzed
separately. The primary outcome was the re-operation rate for recurrence,
analyzed with the Cox regression model and illustrated with a Kaplan-Meier plot
adjusted for confounders. The follow-up period was defined as months from the
first hernia repair to re-operation for recurrence, death, or the 1st of June
2017. RESULTS: The first cohort included 598 patients with absorbable sutures and
tacks compared with 1793 patients with permanent tacks. The second cohort
included 72 patients with permanent sutures and tacks compared with 216 patients
with permanent tacks. In the suture groups, the tack material was either
permanent or absorbable. When adjusting for possible confounders in the Cox
regression model, there were no significant differences in the re-operation rate
for recurrence between the groups in the two cohorts. CONCLUSION: Adding sutures,
either absorbable or permanent, to tack fixation of mesh during laparoscopic
ventral hernia repair did not influence the re-operation rates for recurrence.
PMID- 29785452
TI - Local recurrence of soft-tissue sarcoma: issues in imaging surveillance strategy.
AB - Soft-tissue sarcomas pose diagnostic and therapeutic challenges to physicians,
owing to the large number of subtypes, aggressive tumor biology, lack of
consensus on management, and controversy surrounding interval and duration of
surveillance scans. Advances in multidisciplinary management have improved the
care of sarcoma patients, but controversy remains regarding strategies for
surveillance following definitive local control. This review provides an updated,
comprehensive overview of the current understanding of the risk of local
recurrence of soft-tissue sarcoma, by examining the literature based on features
such as histological type and grade, tumor size, and resection margin status,
with the aim of helping clinicians, surgeons, and radiologists to develop a
tailored approach to local imaging surveillance.
PMID- 29785454
TI - The roles of Arabidopsis HSFA2, HSFA4a, and HSFA7a in the heat shock response and
cytosolic protein response.
AB - Previously, we found that Arabidopsis plants transformed with a construct
containing the promoter of Oshsp17.3 from rice fused to the beta-glucuronidase
gene (GUS), Oshsp17.3Pro::GUS (Oshsp17.3p), showed a GUS signal after heat shock
(HS) or azetidine-2-carboxylic acid (AZC) treatment. HS and AZC trigger the heat
shock response (HSR) and cytosolic protein response (CPR), respectively, in the
cytosol by modulating specific heat shock factor (HSF) activity. Here we further
identified that AtHSFA2 (At2g26150), AtHSFA7a (At3g51910), AtHSFB2a (At5g62020),
and AtHSFB2b (At4g11660) are HS- and AZC-inducible; AtHSFA4a (At4g18880) is AZC
inducible; and AtHSFA5 (At4g13980) is less AZC- and HS-inducible. To investigate
the roles of these 6 AtHSFs in the HSR or CPR, we crossed two independent
Oshsp17.3p transgenic Arabidopsis plants with the AtHSF-knockout mutants athsfa2
(SALK_008978), athsfa4a (GABI_181H12), athsfa5 (SALK_004385), athsfa7a
(SALK_080138), athsfb2a (SALK_137766), and athsfb2b (SALK_047291), respectively.
As compared with the wild type, loss-of-function mutation of AtHSFA2, AtHSFA4a,
and AtHSFA7a decreased HS and AZC responsiveness, so these 3 AtHSFs are essential
for the HSR and CPR. In addition, loss-of-function results indicated that
AthsfB2b is involved in regulating the HSR in Arabidopsis. Furthermore, analysis
of the relative GUS activity of two double knockout mutants, athsfA2/athsfA4a and
athsfA2/athsfA7a, revealed that AtHSFA2, AtHSFA4a, and AtHSFA7a function
differentially in the HSR and CPR. Transcription profiling in athsf mutants
revealed positive or negative transcriptional regulation among the 6 AtHSFs in
Arabidopsis plants under HS and AZC conditions. Tunicamycin treatment
demonstrated that these 6 AtHSFs are not involved in the unfolded protein
response.
PMID- 29785455
TI - Robotic-assisted laparoscopic median arcuate ligament release: 7-year experience
from a single tertiary care center.
AB - BACKGROUND: Despite previous reports of robotic-assisted laparoscopic release for
median arcuate ligament syndrome (MALS), the safety and efficacy profile of this
approach has been difficult to establish due to the rarity of this diagnostic
entity. We aim to present our experience from a tertiary minimally invasive
surgery referral center. METHODS: A case series was performed whereby all
patients who underwent robotic-assisted MAL release from July 2010 to July 2017
at our institution were included. Diagnosis of MALS was made based on
consideration of symptom presentation, celiac artery duplex ultrasound, and
corresponding findings on Computed Tomography (CT) or Magnetic Resonance
Angiography (MRA). Outcomes up until the most recent clinic follow-up were
reviewed. RESULTS: A total of 13 patients underwent robotic-assisted MAL release.
Patients' age ranged from 16 to 71 years (mean 38 years) and consisted primarily
of females (76.9%). Most common presenting symptoms included postprandial pain
(76.9%), weight loss (76.9%), nausea and vomiting (76.9%). Mean symptom duration
was 3 years (range 1-10 years). No intraoperative complications. None required
conversion to open surgery. One case required a conversion back to laparoscopy
due to anatomical complexity. The mean operative time for successfully completed
robotic cases was 94.6 min (range 52-120 min), and for all cases including
converted case was 103.5 min (52-210 min). Mean follow-up duration was 19.7
months (range 1-77 months). During subsequent follow-up, a 30-day readmission
rate of 23.1% was observed. All but one of the patients experienced prompt
symptom improvement. Four patients had symptom recurrence during follow-up.
CONCLUSIONS: Our experience demonstrates that the robotic-assisted approach to
MAL release may be safe and efficacious in selected patients. Prospective
comparative studies are required to further evaluate its outcomes against
conventional laparoscopic approach, the current gold standard.
PMID- 29785456
TI - Methylene blue fluorescence of the ureter during colorectal surgery.
AB - BACKGROUND: Iatrogenic ureteric injury is a serious complication of colorectal
surgery. Incidence is estimated to be between 0.3 and 1.5%. Of all ureteric
injuries, 9% occur during colorectal procedures. Ureteric stents are utilised as
a method to reduce the risk of injury; however, these are not without risk and do
not guarantee prevention of injury. Fluorescence is a safe and effective
alternative for intraoperative ureteric localisation. This proof of principle
study aims to assess the use of methylene blue to fluoresce the ureter during
colorectal surgery. METHOD: Patients undergoing elective colorectal surgery were
included in this open label, non-randomised study. Methylene blue was
administered intravenously at varying doses (0.25-1 mg/kg) over 5 min, 10-15 min
prior to entering 'ureteric territory.' Fluorescence was assessed using the
PINPOINT Deep Red laparoscopic system at fixed time points by the surgeon and an
independent observer. RESULTS: 42 patients received methylene blue; 2 patients
were excluded from analysis. Of the 69 ureters assessed, 64 were seen under
fluorescence. Of these, 14 were not visible under white light. 50 ureters were
observed with both fluorescence and white light with 14 of these being seen
earlier with fluorescence. In ten cases, fluorescence revealed the ureter to be
in a different location than suspected. CONCLUSION: Fluorescence is a promising
method to allow visualisation of the ureter, where it is not identified easily
under standard operative conditions, thereby improving safety and reducing
operative time and difficulty.
PMID- 29785457
TI - A novel method of intracorporeal end-to-end gastrogastrostomy in laparoscopic
pylorus-preserving gastrectomy for early gastric cancer, including a unique
anastomotic technique: piercing the stomach with a linear stapler.
AB - BACKGROUND: Delta-shaped anastomosis is usually applied for an intracorporeal
gastrogastrostomy in totally laparoscopic pylorus-preserving gastrectomy (TLPPG).
However, the remnant stomach is slightly twisted around the anastomosis because
it connects in side-to-side fashion. To realize an intracorporeal end-to-end
gastrogastrostomy using an endoscopic linear stapler, we invented a novel method
including a unique anastomotic technique. MATERIALS AND METHODS: In this new
approach, we first made small gastrotomies at the greater and lesser curvatures
of the transected antrum and then pierced it using an endoscopic linear stapler.
After the pierced antrum and the proximal remnant stomach were mechanically
connected, the gastrotomies and stapling lines were transected using an
endoscopic linear stapler, creating an intracorporeal end-to-end
gastrogastrostomy. We have named this technique the "piercing method" because
piercing the stomach is essential to its implementation. Between October 2015 and
June 2017, 26 patients who had clinically early gastric cancer at the middle
third of the stomach without clinical evidence of lymph node metastasis underwent
TLPPG involving the novel method. RESULTS: The 26 patients successfully underwent
an intracorporeal mechanical end-to-end gastrogastrostomy by the piercing method.
The median operation time of the 26 patients was 272 min (range 209-357 min).
With the exception of one gastric stasis, no problems associated with the
piercing method were encountered during and after surgery. CONCLUSION: The
piercing method can safely create an intracorporeal mechanical end-to-end
gastrogastrostomy in TLPPG. Piercing the stomach using an endoscopic linear
stapler is a new technique for gastrointestinal anastomosis. This method should
be considered if the surgical aim is creation of an intracorporeal end-to-end
gastrogastrostomy in TLPPG.
PMID- 29785458
TI - High-resolution standardization reduces delay due to workflow disruptions in
laparoscopic cholecystectomy.
AB - BACKGROUND: Optimal resource utilization in high-cost environments like operating
theatres is fundamental in today's cost constrained health care systems.
Interruptions of the surgical workflow, i.e. microcomplications (MC), lead to
prolonged procedure times and higher costs and can be indicative of surgical
mistakes. Reducing MC can improve operating room efficiency and prevent
intraoperative complications. We, therefore, aimed to evaluate the impact of a
high-resolution standardized laparoscopic cholecystectomy protocol (HRSL) on
operative time and intraoperative interruptions in a teaching hospital. METHODS:
HRSL consisted of a detailed stepwise protocol for the procedure, supported by a
teaching video, both to be reviewed as mandatory preparation by each team member
before surgery. Audio-video records of laparoscopic cholecystectomies were
reviewed regarding type, frequency and duration of MC before and after
implementation of HRSL. RESULTS: Thirty-nine (20 control and 19 HRSL) audio-video
records of laparoscopic cholecystectomies with a total duration of 51.36 h (28.92
pre 22.44 post) were reviewed. The majority of operations (86%) were performed by
teams who had completed less than 10 procedures together previously.
Communication-related interruptions and instrument changes accounted for the
majority of MC. Median frequency and duration of MC were 95 events/h and 15.6
min/h, respectively, of surgery pre-intervention. With HRSL this was reduced to
76 events/h and 10.6 min/h of operating. In multivariable analysis, HRSL was an
independent predictor for shorter delay and lower frequency of MC [percentage
decrease 27% (95% CI 18-35%), resp. 30% (95% CI 19-40%)]. Procedure-related risk
factors for the longer delay due to MC in multivariable analysis were less
experience of the surgeon and intraoperative adhesiolysis. CONCLUSIONS: HRSL is
effective in reducing delays due to MC in a teaching institution with limited
team experience. These findings should be tested in larger potentially cluster
randomized controlled trials. The trial has been registered with
clinicaltrials.gov: NCT03329859.
PMID- 29785459
TI - Intracorporeal delta-shaped gastroduodenostomy in reduced-port robotic distal
subtotal gastrectomy: technical aspects and short-term outcomes.
AB - BACKGROUND: Gastroduodenostomy is preferred as a method of reconstruction
following distal subtotal gastrectomy. However, in initial reports on reduced
port gastrectomy, gastroduodenostomy has rarely been performed therein because of
technical difficulties. The present study describes a novel intracorporeal
gastroduodenostomy technique applicable during reduced-port robotic distal
subtotal gastrectomy. METHODS: Data were retrospectively reviewed for cases of
reduced-port (three-port) robotic distal subtotal gastrectomy with intracorporeal
delta-shaped gastroduodenostomy performed from February 2016 to December 2016.
The reduced-port approach used a Single-SiteTM port via a 25-mm infraumbilical
incision and two additional ports. We performed intracorporeal gastroduodenostomy
using a 45-mm robotic or laparoscopic endolinear stapler. All staplers were
inserted via a port on the left lower abdomen. RESULTS: In our initial experience
with intracorporeal gastroduodenostomy, 28 consecutive patients underwent
successful surgery with the technique without needing to convert to open,
laparoscopic, or conventional five-port robotic surgery. Mean operation time was
201.1 min (110-282 min), and no major complications, including anastomosis
related problems, were recorded. CONCLUSIONS: Intracorporeal delta-shaped
gastroduodenostomy was safely and feasibly applied during reduced-port robotic
gastrectomy with acceptable operative outcomes and no major complications.
Intracorporeal gastroduodenostomy should be considered during reduced-port distal
subtotal gastrectomy.
PMID- 29785460
TI - Rapid measurement of long-range distances in proteins by multidimensional 13C-19F
REDOR NMR under fast magic-angle spinning.
AB - The ability to simultaneously measure many long-range distances is critical to
efficient and accurate determination of protein structures by solid-state NMR
(SSNMR). So far, the most common distance constraints for proteins are 13C-15N
distances, which are usually measured using the rotational-echo double-resonance
(REDOR) technique. However, these measurements are restricted to distances of up
to ~ 5 A due to the low gyromagnetic ratios of 15N and 13C. Here we present a
robust 2D 13C-19F REDOR experiment to measure multiple distances to ~ 10 A. The
technique targets proteins that contain a small number of recombinantly or
synthetically incorporated fluorines. The 13C-19F REDOR sequence is combined with
2D 13C-13C correlation to resolve multiple distances in highly 13C-labeled
proteins. We show that, at the high magnetic fields which are important for
obtaining well resolved 13C spectra, the deleterious effect of the large 19F
chemical shift anisotropy for REDOR is ameliorated by fast magic-angle spinning
and is further taken into account in numerical simulations. We demonstrate this
2D 13C-13C resolved 13C-19F REDOR technique on 13C, 15N-labeled GB1. A 5-19F-Trp
tagged GB1 sample shows the extraction of distances to a single fluorine atom,
while a 3-19F-Tyr labeled GB1 sample allows us to evaluate the effects of multi
spin coupling and statistical 19F labeling on distance measurement. Finally, we
apply this 2D REDOR experiment to membrane-bound influenza B M2 transmembrane
peptide, and show that the distance between the proton-selective histidine
residue and the gating tryptophan residue differs from the distances in the
solution NMR structure of detergent-bound BM2. This 2D 13C-19F REDOR technique
should facilitate SSNMR-based protein structure determination by increasing the
measurable distances to the ~ 10 A range.
PMID- 29785461
TI - Clinical significance of appendiceal diverticulum: a significant marker for
appendiceal neoplasia in Australian patients.
AB - INTRODUCTION: Diverticula of the appendix (DA) have a reported incidence of up to
2.1%. They are primarily detected incidentally, through imaging and
intraoperative or histologic diagnosis. This study's objective was to examine the
prevalence of DA, and its relationship with inflammation and neoplasia, as well
as review the literature with respect to clinical outcomes and ability to
identify DA preoperatively. METHODOLOGY: A retrospective search of all patients
undergoing an appendicectomy for right lower quadrant pain at a single
institution between 2004 and 2017 was conducted. Histopathology reports for
evidence of DA, location of the DA, presence of inflammation, and any
relationship between DA and neoplasms (adenoma, carcinoma, carcinoid, lymphoma,
and mucinous neoplasm) within the appendix were reviewed. Clinical notes,
operative records, and preoperative imaging were also reviewed. RESULTS: Two
thousand seven hundred eleven patient were included in the study, with a mean age
of 34 years, with acute appendicitis found in 82.5%. 31.6% of patients with DA
had associated inflammation of the DA. DA was present in 57 patients (2.1%), with
55 patients in the total cohort having neoplasia (2.0%). Patients with DAs were
ten times more likely to have appendicular neoplasm than patients without a DA
(17.5 vs 1.8%; p < 0.0001, OR 11.8 95%, CI 5.6-24.8). CONCLUSION: This is the
first Australian study demonstrating DAs are a significant marker of appendiceal
neoplasm. Appendicectomy in all incidentally discovered diverticulum should be
considered. Due to a paucity of data, research is required into this area to
assess for the need for endoscopy following diagnosis.
PMID- 29785462
TI - The prognostic value of tumour stroma ratio and tumour budding in stage II colon
cancer. A nationwide population-based study.
AB - PURPOSE: High-risk patients with stage II colon cancer (CC) may benefit from
adjuvant chemotherapy, but additional prognostic markers are needed for better
stratification. We investigated the prognostic value of tumour stroma ratio (TSR)
and tumour budding (TB). METHODS: A nationwide population-based cohort of 573
patients with stage II CC was included. TSR was scored on hematoxylin and eosin
sections as low TSR (> 50% stroma) and high TSR (<= 50% stroma). TB was evaluated
in hotspots on pan-cytokeratin stained sections in 10 high power fields (HPF) at
the invasive front and classified by the mean number of buds per HPF as high
grade budding (>= 10 buds) or low-grade budding (< 10 buds). The prognostic value
was investigated in Cox proportional hazard models for recurrence-free survival
(RFS) and overall survival (OS). RESULTS: Low TSR was associated with worse RFS
(HR = 1.342 (95% CI 1.006-1.791), p = 0.045) and OS (HR = 1.376 (95% CI 1.016
1.862), p = 0.039). Furthermore, an association was found between low TSR and
microsatellite stabile tumours (p < 0.001). The mean number of buds per HPF was
associated to TSR with increasing number of buds related to a lower TSR (p =
0.026). No statistically significant prognostic impact of TB regarding OS or RFS
was detected. CONCLUSIONS: TSR provided valuable prognostic information, and
adding TSR to the current risk stratification may contribute to better patient
selection. The estimates of TSR and TB were found to be associated, but no
prognostic value of TB was documented.
PMID- 29785463
TI - The North American Forest Database: going beyond national-level forest resource
assessment statistics.
AB - Forests cannot be managed sustainably without reliable data to inform decisions.
National Forest Inventories (NFI) tend to report national statistics, with sub
national stratification based on domestic ecological classification systems. It
is becoming increasingly important to be able to report statistics on ecosystems
that span international borders, as global change and globalization expand
stakeholders' spheres of concern. The state of a transnational ecosystem can only
be properly assessed by examining the entire ecosystem. In global forest resource
assessments, it may be useful to break national statistics down by ecosystem,
especially for large countries. The Inventory and Monitoring Working Group (IMWG)
of the North American Forest Commission (NAFC) has begun developing a harmonized
North American Forest Database (NAFD) for managing forest inventory data,
enabling consistent, continental-scale forest assessment supporting ecosystem
level reporting and relational queries. The first iteration of the database
contains data describing 1.9 billion ha, including 677.5 million ha of forest.
Data harmonization is made challenging by the existence of definitions and
methodologies tailored to suit national circumstances, emerging from each
country's professional forestry development. This paper reports the methods used
to synchronize three national forest inventories, starting with a small suite of
variables and attributes.
PMID- 29785464
TI - Contribution of Cochlear Compression to Discrimination of Rippled Spectra in On-
and Low-frequency Noise.
AB - The goal of the study was to assess cochlear compression when rippled-spectrum
signals are perceived in noise assuming that the noise might produce both masking
and confounding effects. In normal listeners, discrimination between rippled
signals with and without ripple phase reversals was assessed in background noise.
The signals were band-limited (0.5 oct at a - 6-dB level) rippled noise centered
at 2 kHz, with a ripple density of 3.5 oct-1. The noise (masker) was band-limited
nonrippled noise centered at either 2 kHz (on-frequency masker) or 1 kHz (low
frequency masker). The masker was simultaneously presented with the signals.
Masker levels at the discrimination threshold were measured as a function of the
signal level using the adaptive (staircase) two-alternative forced-choice
procedure. For the on-frequency masker, the searched-for function had a slope of
0.98 dB/dB. For the low-frequency masker, the function had a slope of 1.19 dB/dB
within a signal level range of 30 to 40 dB sound pressure level (SPL) and as low
as 0.15 dB/dB within a signal level range of 70 to 80 dB SPL. These results were
interpreted as indicating compression of responses to both the signal and on
frequency masker and no compression of the effect of the low-frequency masker. In
conditions when above-threshold signals are presented in simultaneous noise (the
masker), cochlear compression manifests to a substantial degree despite possible
confounding effects.
PMID- 29785466
TI - Effect of Reassuring Information About Musculoskeletal and Mental Health
Complaints at the Workplace: A Cluster Randomized Trial of the atWork
Intervention.
AB - Purpose The purpose of this study was to investigate the possible difference
between the Modified atWork intervention (MAW) and the Original atWork
intervention (OAW) on sick leave and other health related outcomes. atWork is a
group intervention using the workplace as an arena for distribution of evidence
based knowledge about musculoskeletal and mental health complaints. Methods A
cluster randomized controlled trial with 93 kindergartens, comprising a total of
1011 employees, was conducted. Kindergartens were stratified by county and size
and randomly allocated to MAW (45 clusters, 324 respondents) or OAW (48 clusters,
313 respondents). The randomization and intervention allocation processes were
concealed. There was no blinding to group allocation. Primary outcome was
register data on sick leave at cluster level. Secondary outcomes were health
complaints, job satisfaction, social support, coping, and beliefs about
musculoskeletal and mental health complaints, measured at the individual level.
Results The MAW group reduced sick leave by 5.7% during the intervention year,
while the OAW group had a 7.5% increase. Overall, the changes were not
statistically significant, and no difference was detected between groups, based
on 45 and 47 kindergartens. Compared to the OAW group, the MAW group had a
smaller reduction for two of the statements concerning faulty beliefs about back
pain, but believed less in the hereditary nature of depression. Conclusions The
MAW did not have a different effect on sick leave at cluster level compared to
the OAW. Trial registration https://Clinicaltrials.gov/ : NCT02396797. Registered
March 23th, 2015.
PMID- 29785467
TI - Impact of a Safe Resident Handling Program in Nursing Homes on Return-to-Work and
Re-injury Outcomes Following Work Injury.
AB - Purpose This study examined the impact of a Safe Resident Handling Program (SRHP)
on length of disability and re-injury, following work-related injuries of nursing
home workers. Resident handling-related injuries and back injuries were of
particular interest. Methods A large national nursing home corporation introduced
a SRHP followed by three years of training for 136 centers. Lost-time workers'
compensation claims (3 years pre-SRHP and 6 years post-SRHP) were evaluated. For
each claim, length of first episode of disability and recurrence of disabling
injury were evaluated over time. Differences were assessed using Chi square
analyses and a generalized linear model, and "avoided" costs were projected.
Results The SRHP had no impact on length of disability, but did appear to
significantly reduce the rate of recurrence among resident handling-related
injuries. As indemnity and medical costs were three times higher for claimants
with recurrent disabling injuries, the SRHP resulted in significant "avoided"
costs due to "avoided" recurrence. Conclusions In addition to reducing overall
injury rates, SRHPs appear to improve long-term return-to-work success by
reducing the rate of recurrent disabling injuries resulting in work disability.
In this study, the impact was sustained over years, even after a formal training
and implementation program ended. Since back pain is inherently a recurrent
condition, results suggest that SRHPs help workers remain at work and return-to
work.
PMID- 29785465
TI - Causes and Treatment of Acute Ischemic Stroke During Pregnancy.
AB - PURPOSE OF REVIEW: Treatment recommendations for pregnancy associated ischemic
stroke are scarce. This may be due to the fact that, in general, obstetricians
tend not to make recommendations for stroke patients and neurologists are not
commonly involved in the care of pregnant women. Herein, we review the multiple
etiologies of ischemic stroke during pregnancy, considerations for diagnostic
testing, and acute treatment and prevention options, including associated risks
specific to the pregnant and puerperal state. RECENT FINDINGS: Intravenous tissue
plasminogen activator (tPA) and endovascular thrombectomy have been used
successfully to treat pregnant women with acute ischemic stroke. Recent national
guidelines recommend considering tPA use during pregnancy for moderate and severe
strokes if the potential benefits offset the risks of uterine hemorrhage.
Pregnancy-associated ischemic stroke is rare, but can be devastating, and
recanalization therapy should not be systematically withheld. Women who are at
risk for stroke should be followed carefully, and providers caring for pregnant
women should be educated regarding stroke signs and symptoms. Many of the
standard post stroke diagnostic modalities may be used safely in pregnancy, and
primary and secondary stroke prevention therapy must be tailored to avoid fetal
toxicity.
PMID- 29785468
TI - Competition for electrons between mono-oxygenations of pyridine and 2
hydroxypyridine.
AB - Pyridine and its heterocyclic derivatives are widely encountered in industrial
wastewaters, and they are relatively recalcitrant to biodegradation. Pyridine
biodegradation is initiated by two mono-oxygenation reactions that compete for
intracellular electron donor (2H). In our experiments, UV photolysis of pyridine
generated succinate, whose oxidation augmented the intracellular electron donor
and accelerated pyridine biodegradation and mineralization. The first mono
oxygenation reaction always was faster than the second one, because electrons
provided by intracellular electron donors were preferentially utilized by the
first mono-oxygenase; this was true even when the concentration of 2HP was
greater than the concentration of pyridine. In addition, the first mono
oxygenation had faster kinetics because it had higher affinity for its substrate
(pyridine), along with less substrate self-inhibition.
PMID- 29785469
TI - Early Detection of Cerebral Infarction After Focal Ischemia Using a New MRI
Indicator.
AB - Prolongation of the T2 relaxation time, an increase in T2-weighted signal
intensity (T2-SI), and a decrease in the apparent diffusion coefficient (ADC)
calculated from diffusion-weighted images (DWI) on magnetic resonance imaging
(MRI) are conventional indicators of the vasogenic (interstitial) or cytotoxic
(cellular) cerebral edema that develops after ischemic stroke. However, these
parameters obtained on stroke imaging have not given us a precise threshold at
which we can determine the viability or vulnerability of the tissue, allowing us
to decide on an intervention that will help reversible tissue in the acute phase.
Here, we introduce a new indicator-the essential diffusion coefficient or EDC,
calculated from the T2-SI and ADC-that permits detection of irreversible brain
damage after induction of experimental, focal cerebral ischemia. Our three-vessel
occlusion (3-VO) method (Yang et al. Eur Neurol 71:4-18, 2014) was applied to
investigate early changes on 7-T MRI. In the 3-VO model, which targets only a
part of the cortex, animals seldom die at least within 24 h. The T2-SI and the
ADC value were monitored, starting at 60 min after reperfusion, and every 30-60
min, for 10 h after the induction of focal ischemia. The region of interest (ROI)
was set in each of the following: (1) the ischemic core (the dead zone); (2) the
medial border area (the dying/dead mixed zone, including the ischemic penumbra);
(3) the lateral border area (the surviving zone after the ischemic stress, where
the rCBF is above the threshold for death); and (4) The intact area (outside the
ischemic zone). The diagnosis was made by histological analysis performed 24 h
after reperfusion. Significant increases in the T2-SI were observed, in ROI-1 at
1 h, in ROI-2 at 2.5 h, and in ROI-3 at 4 h post-reperfusion (1.10, 1.11, or
1.11; > 1.10, respectively, p < 0.001). Significant reductions in the ADC were
also observed in ROI-1, ROI-2, and ROI-3, at 1 h post-reperfusion (0.55, 0.52, or
0.58; < 0.60, respectively, p < 0.001), indicating that both types of cerebral
edema develop simultaneously in the acute phase. In the EDC analysis, from 5.0 h
post-reperfusion, the value in the dying/dead zone (ROI-1 and ROI-2) was
consistently reduced to < 50%, showing repeated, significant differences from the
value in the surviving zone (ROI-3). A reduction in the EDC to below 50%
indicated irreversible tissue damage, with transformation to cerebral infarction.
We could detect a sign of cerebral infarction (initial necrosis-like irreversible
lesion) as early as 5.25 h after the onset of ischemia. Although the biological
time that depends on the body weight must be different between mice and humans,
the earliest irreversible tissue damage or tissue destruction (to have achieved
the risk of hemorrhagic transformation) that progressed after invisible or silent
cell death in the ultra-acute phase, seems to occur at a similar time point.
PMID- 29785470
TI - Four factor prothrombin complex concentrate for warfarin reversal in patients
with left ventricular assist devices.
AB - Continuous flow left ventricular assist devices (CF-LVAD) require therapeutic
anticoagulation which is often interrupted for procedures or bleeding. Prior to
the availability of four factor prothrombin complex concentrate (4F-PCC) in the
United States, warfarin was held and its effects reversed by vitamin K or fresh
frozen plasma. We evaluated the use of 4F-PCC for temporary warfarin reversal in
patients with CF-LVADs and assessed outcomes. This analysis is a retrospective
study of CF-LVAD patients who received 4F-PCC for warfarin reversal in the
setting of bleeding or need for urgent or elective procedures. Primary outcome
assessments included feasibility of administration in elective versus emergent
situations, safety measured as incidence of thrombotic events, and change in INR
after administration. In total, 37 CF-LVAD patients received 49 4F-PCC
administrations. The average 4F-PCC dose was 1842 units (range 518-4292 units),
or 22 units/kg (range 5.8-58 units/kg). 4F-PCC significantly decreased the mean
INR from 2.9 to 1.7 (p < 0.0001) in 47 of 49 administrations; two patients did
not have post infusion INR testing. No cases of new confirmed or suspected pump
thrombosis, stroke, venous thromboembolism, arterial thrombosis, or myocardial
infarction were observed within 30 days of administration of 4F-PCC. 4F-PCC
administration for temporary warfarin reversal was demonstrated to be feasible,
effective, and, safe in CF-LVAD patients and judged to be 96% effective in
patients for whom data were available. We observed no thrombotic events
attributed to use of 4F-PCC.
PMID- 29785471
TI - Correction to: Effect of Sleeve Gastrectomy on Platelet Counts and Mean Platelet
Volumes.
AB - In the original article, in the Results section of the Abstract the text
"...(10.41 +/- 1.23 fL, p > 0.001)" should be changed to "...(10.41 +/- 1.23 fL,
p < 0.001)". The same error occurs on the next page of the article in the second
paragraph of the Results section of the main text.
PMID- 29785473
TI - Changes in Melatonin, Cortisol, and Body Temperature, and the Relationship
Between Endogenous Melatonin Levels and Analgesia Consumption in Patients
Undergoing Bariatric Surgery.
AB - BACKGROUND: Melatonin has analgesic, anti-inflammatory, sedative, and anxiolytic
properties. However, the relationship between endogenous melatonin levels and
postoperative analgesic requirements has not been well elucidated in patients
undergoing bariatric surgery. We studied endogenous melatonin levels, cortisol
levels, body temperatures, and the relationship between the level of endogenous
melatonin and postoperative morphine consumption. METHODS: The trial was
conducted among 30 patients who were scheduled for laparoscopic bariatric
surgery. Their ages were between 18 and 65 years and their BMIs were above 40
kg/m2. Secretion of melatonin, cortisol, and body temperature was monitored
before the anesthetic induction, at 2 h intraoperatively, and at 2, 6, 10, (2:00
A.M.) and 24 h postoperatively. For each patient, morphine consumption was
assessed at postoperative visits. The primary outcomes were to measure endogenous
melatonin levels and to examine the relationship between these levels and
morphine consumption. The secondary outcome was to observe the changes in
cortisol and body temperature. RESULTS: There was a significant decrease in
melatonin levels when preoperative melatonin levels were compared with
intraoperative and all postoperative follow-up periods (p < 0.05). When the
correlation between plasma melatonin levels and the postoperative morphine
consumption of the patients was inspected, there was a significant correlation in
all of the follow-up periods (p < 0.05). When preoperative cortisol levels were
compared with intraoperative and postoperative cortisol levels, there was a
significant difference in the follow-up periods, except two periods (p < 0.05).
Body temperatures were similar in all measurement periods. CONCLUSIONS:
Endogenous melatonin secretion was significantly decreased in the intraoperative
and postoperative periods. Furthermore, there was a significant inverse
correlation between changes in endogenous melatonin levels and morphine
consumption. TRIAL REGISTRATION: Clinical Trial Number NCT03107702 from A service
of the U.S. National Institutes of Health, clinicaltrials.gov.
PMID- 29785472
TI - The Impacts of Gastroileostomy Rat Model on Glucagon-like Peptide-1: a Promising
Model to Control Type 2 Diabetes Mellitus.
AB - BACKGROUND: One of the new current treatment options for Diabetes Mellitus is
about increasing glucagon-like peptide-1 (GLP-1) activity. GLP-1 with its
incretin effect showed major role in glucose homeostasis. Gastroileostomy can
increase GLP-1 secretion by rapid delivery of undigested food to the terminal
ileum. We studied the early effects of a gastroileostomy on serum levels of GLP
1, glucose, and insulin in rats. METHODS: Gastroileostomies with side-to-side
anastomosis were performed on 15 male New Zealand rats. Blood samples were
obtained before and 1 week after the gastroileostomy. RESULTS: Our results showed
that the rats lost a lot of weight from start (330 +/- 15 g) to the end (240 +/-
25 g) of the experiment (p = 0.048). The data analysis showed that the
gastroileostomy surgery elevates the level of GLP-1in plasma significantly
(89.1852 vs. 177.440 respectively; p < 0.001) and caused a significant decrease
in plasma glucose as well (92.00 and 66.29 mg/dL respectively; p < 0.001).
However, the insulin state elevated after the surgery significantly (8.03 vs.
9.89; p < 0.001). CONCLUSION: In this study, we showed the effectiveness of
gastroileostomy treatment to decrease body weight and plasma glucose with
increased GLP-1 in rats. This small rat model suggests the potential of this
surgery to treat type 2 diabetes mellitus.
PMID- 29785474
TI - Medical Toxicology Fellowship Training Is Available to Applicants from Many
Specialties.
PMID- 29785475
TI - Cohort Study of Psychiatric Adverse Events Following Exposure to Levonorgestrel
Containing Intrauterine Devices in UK General Practice.
AB - INTRODUCTION: Intrauterine devices are implantable contraceptives of which some
brands steadily release levonorgestrel over an extended time period. Exposure to
a levonorgestrel-releasing intrauterine device has been associated with
depression and, more recently, a connection to anxiety, panic attacks, sleep
problems and restlessness has been suggested. This study uses data from the THIN
database of UK general practice to investigate these suggestions. METHODS: A
cohort study was performed to compare the incidence of psychiatric adverse events
between groups of women who were new users of levonorgestrel-releasing and non
hormonal intrauterine devices. Hazard ratios for the first occurrence of
psychiatric symptoms or prescriptions of disease-specific treatments were
calculated on an intention-to-treat basis using a proportional hazards model.
RESULTS: Significant associations were found between levonorgestrel exposure and
records of anxiety (hazard ratio = 1.18; 95% confidence interval 1.08-1.29) and
sleep problems (hazard ratio = 1.22; 95% confidence interval 1.08-1.38) in women
without a prior record of these events. No significant associations were found
for panic attacks or restlessness. Clear baseline differences in clinical
characteristics and age between the groups were present. These were included in
the model as potential confounding factors. CONCLUSION: Statistically significant
associations of levonorgestrel exposure with anxiety and sleep problems were
observed. Substantive differences in baseline characteristics of the treated
groups make robust conclusions difficult but the results strongly suggest that
additional studies are warranted.
PMID- 29785476
TI - Vaccinia Virus Protein C6: A Multifunctional Interferon Antagonist.
AB - Vaccinia virus (VACV) is the prototypic member of the Orthopoxvirus genus of the
Poxviridae. It is also the live vaccine that was used to eradicate smallpox. Like
other poxviruses, VACV replicates in the cytoplasm and has a large double
stranded (ds)DNA genome and a complex virion. Approximately, half of the VACV
genes are nonessential for virus replication in cell culture but encode a
remarkable array of immunomodulators that antagonise the innate immune response
to virus infection. This short review concerns one such protein, C6, that is a
multifunctional inhibitor of interferon. C6 can both diminish the production of
interferon and inhibit interferon-induced signalling and thereby the expression
of interferon-stimulated genes.
PMID- 29785477
TI - Significance of Vi Negative Isolates of Salmonella Enterica Serovar Typhi.
AB - Typhoid is a major global disease. The causative agent, Salmonella enterica
serovar Typhi (S. Typhi) has a capsular antigen called Vi antigen which is
traditionally considered to be the main cause of virulence. All the current
vaccines are based on Vi antigen. However, the realization of the fact that there
are S. Typhi strains which lack Vi antigen but still exist naturally and can
cause disease has stirred great scientific interest. It is also interesting to
note that their relative prevalence is affected by climatic conditions. Now it is
established that Vi positive and Vi negative S. Typhi have different modes of
pathogenesis; and as recent studies suggest, different structure of
polysaccharide antigens. This means that current vaccines are not effective
against a significant number of S. Typhi strains which not only affect the
success of vaccination programs but also help in rapid emergence of Vi negative
S. Typhi due to natural selection. The focus should be on vaccines based on
antigens which are universally present in all S. Typhi. One such candidate is O
specific polysaccharides (OSPs). Successful attempts have been made to prepare
conjugate vaccines based on OSPs.
PMID- 29785478
TI - Changing Trend of Infectious Diseases in Nepal.
AB - Many infectious/communicable diseases (IDs) are endemic in Nepal. Until a decade
and half ago, IDs were the major cause of both morbidity and mortality accounting
70% for both. However, as a result of various preventive measures implemented by
both the state and non-state actors, the overall IDs have shown a changing
(declining) trend. The most impressive decline has been seen in the intestinal
helminth infection. Though the overall burden of IDs is decreasing, several newer
infectious diseases (emerging infections) namely, dengue fever, scrub typhus,
influenza (H5N1 and H1N1), and others are posing a great public health problem.
On the other hand, though sporadic, outbreaks of endemic diseases together with
HIV-TB coinfection and infection with drug resistance microbes during recent
years have constituted a serious public health as well as medical problem. On the
contrary, with the decline of IDs, noninfectious diseases (noncommunicable
disease, NCD) namely, diabetes, cancer (and cancer therapy), and others are on
the rise particularly in urban areas. Hence, currently Nepal is trapped in
"double burden" of diseases. Risk of opportunistic infection has increased in
immunocompromised person with NCD. To address the present situation, the multi
sectoral plan and strategies developed must be implemented effectively.
PMID- 29785479
TI - NGSPanPipe: A Pipeline for Pan-genome Identification in Microbial Strains from
Experimental Reads.
AB - Recent advancements in sequencing technologies have decreased both time span and
cost for sequencing the whole bacterial genome. High-throughput Next-Generation
Sequencing (NGS) technology has led to the generation of enormous data concerning
microbial populations publically available across various repositories. As a
consequence, it has become possible to study and compare the genomes of different
bacterial strains within a species or genus in terms of evolution, ecology and
diversity. Studying the pan-genome provides insights into deciphering
microevolution, global composition and diversity in virulence and pathogenesis of
a species. It can also assist in identifying drug targets and proposing vaccine
candidates. The effective analysis of these large genome datasets necessitates
the development of robust tools. Current methods to develop pan-genome do not
support direct input of raw reads from the sequencer machine but require
preprocessing of reads as an assembled protein/gene sequence file or the binary
matrix of orthologous genes/proteins. We have designed an easy-to-use integrated
pipeline, NGSPanPipe, which can directly identify the pan-genome from short
reads. The output from the pipeline is compatible with other pan-genome analysis
tools. We evaluated our pipeline with other methods for developing pan-genome,
i.e. reference-based assembly and de novo assembly using simulated reads of
Mycobacterium tuberculosis. The single script pipeline (pipeline.pl) is
applicable for all bacterial strains. It integrates multiple in-house Perl
scripts and is freely accessible from
https://github.com/Biomedinformatics/NGSPanPipe .
PMID- 29785480
TI - Potential Treatment Options in a Post-antibiotic Era.
AB - Following the Golden Age of antibiotic discovery in the previous century, the
rate of antibiotic discovery has plummeted during the past 50 years while the
incidence of antimicrobial resistance is ever-increasing. Presently, humankind is
forced to address a major public health threat in the form of multiple drug
resistance and urgent action is required to halt the advent of a post-antibiotic
era. This chapter aims to draw the attention to the escalating global crisis of
antimicrobial resistance fueled by the irresponsible use of antibiotics in
healthcare and animal production sectors. The merits of alternative prevention
and treatment options, including vaccines, herbal products, bacteriophages, and
improved biosecurity measures are also discussed.
PMID- 29785481
TI - Encapsulation of Theophylline in Gelatin A-Pectin Complex Coacervates.
AB - The present study aims at synthesizing gelatin A-pectin complex coacervates and
encapsulation of theophylline in the polymer system. Variation and optimization
of different reaction parameters such as pH, ratio between the polymers and cross
linker concentration was carried out to attain higher product yield. Relative
viscosity, turbidity and UV-visible measurements were done for optimization. The
optimum ratio between gelatin A-pectin was fixed at weight ratio 42:8 and pH=3.5.
It was further observed that adhesion between the microcapsules decreased by the
use of sodium carboxymethyl cellulose (SCMC) to the coacervate. The synthesized
microcapsules were characterized by using spectroscopic techniques to assess
their formation, drug loading and chemical interaction between theophylline and
coacervate. Scanning electron microscopy (SEM) revealed the formation of
microcapsules. Study relating to the encapsulation efficiency and swelling of the
complex coacervates were also carried out.
PMID- 29785482
TI - Characterization and Antimicrobial Property of Some Heavy Metals Containing
Ayurvedic Drugs.
AB - Ayurvedic medicines are often used in different formulations, the heavy metals,
which are generally referred to as being toxic. In this work, we report on the
physicochemical characterization and biological activity of some typical
Ayurvedic drugs available in the market that contain arsenic, mercury and lead
with the emphasis on their antibacterial performance. Among the formulations
studied, some of the drugs with 'amorphous' texture (and higher solubility) were
found quite active against some bacterial strains whereas the formulations
possessing crystalline texture (and low solubility) were found practically
ineffective. The moderate activity of some drugs against Gram-negative bacteria
fairly suggested the presence of the small-sized polar molecules which was also
supported by the FTIR spectroscopic data.
PMID- 29785483
TI - Application of Metallic Nanomaterials in Nanomedicine.
AB - In this chapter, we explain why metallic nanomaterials are used in nanomedicine.
We have shown that the electron density in metallic nanomaterials oscillates and
creates electron density waves. When laser light falls on metallic nanoparticles,
light interacts with electron density waves. According to Einstein, light, which
is electromagnetic waves, consists of particles called photons. Similarly,
electron density waves are also made of particles called surface plasmons.
Therefore, photons from laser light and surface plasmons from metallic
nanostructures interact with each other and create new particles called surface
plasmon polaritons. These new particles produce an intense light near the surface
of metallic nanomaterials. We showed that this intense light is important in the
application of metallic nanomaterials in nanomedicine. Further, we have applied
metallic nanoparticles, single metallic nanoshells and double metallic nanoshells
for treatment of cancer and detection of smaller tumors.
PMID- 29785484
TI - Challenges in Malaria Management and a Glimpse at Some Nanotechnological
Approaches.
AB - Malaria is a devastating infectious disease transmitted by mosquitoes, affecting
millions of people and killing about half a million children each year. Despite
tremendous progress in the control and elimination of malaria within the past
years, there are still considerable challenges to be solved. To name a few, drug
resistant parasites, insecticide-resistant mosquitoes and the difficulty to
formulate a potent malaria vaccine need to be addressed with new strategies to
achieve the final goal of malaria eradication. Nanotechnology-researching and
designing innovative structures at the nanoscale-is a promising contemporary
technology that is being applied to a vast number of biomedical problems. In the
case of malaria, nanotechnology provides tools to design strategies to target
drug molecules to specific stages of the parasite, treat drug-resistant
parasites, resolve severe malaria, increase vaccine efficacies and combinations
thereof. This chapter introduces malaria, discusses current challenges of malaria
control and relates these challenges to some potential solutions provided by the
nanotechnology field.
PMID- 29785485
TI - Peg-manipulation capabilities of middle-aged adults have a greater influence on
pegboard times than those of young and old adults.
AB - Declines in manual dexterity are frequently quantified as the time it takes to
complete the grooved pegboard test. The test requires individuals to manipulate
25 pegs, one at a time, by removing them from a well and inserting them into a
prescribed hole. The manipulation of each peg involves four phases: selection,
transport, insertion, and return. The purpose of our study was to compare the
times to complete the four phases of peg manipulation and the forces applied to
the pegboard during peg insertion as young, middle-aged, and old adults performed
the grooved pegboard test. The relative significance of the peg-manipulation
attributes for 30 young (24.0 +/- 4.4 years), 15 middle-aged (46.5 +/- 6.5
years), and 15 old (70.4 +/- 4.0 years) adults was assessed with a multiple
regression analysis. The grooved pegboard test was performed on a force plate.
Pegboard times for the old adults (81 +/- 17 s) were longer than those for young
(56 +/- 7 s) and middle-aged (58 +/- 11 s) adults. Regression analysis indicated
that the explanatory variables for the pegboard times of young (R2 = 0.33) and
middle-aged (R2 = 0.78) adults were the times for the peg insertion and return
phases, whereas the predictors for old adults (R2 = 0.49) were the times for the
peg selection and transport phases. The relative influence of peg-manipulation
capabilities on a pegboard test of manual dexterity was greater for middle-aged
adults than for young and old adults.
PMID- 29785486
TI - Radiation-induced bystander effect in large Japanese field mouse (Apodemus
speciosus) embryonic cells.
AB - Although evidence suggests that ionizing radiation can induce the bystander
effect (radiation-induced bystander effect: RIBE) in cultured cells or mouse
models, it is unclear whether the effect occurs in cells of wild animals. We
investigated medium-mediated bystander micronucleus (MN) formation and DNA damage
in un-irradiated cells from a large Japanese field mouse (Apodemus speciosus). We
isolated four clones of A. speciosus embryonic fibroblasts (A603-1, A603-2, A603
3, and A603-4) derived from the same mother, and examined their radiation
sensitivity using the colony-forming assay. A603-3 and A603-4 were similar, and
A603-1 and A603-2 were highly sensitive compared with A603-3 and A603-4. We
examined RIBE in the four clones in autologous medium from cell cultures exposed
to 2 Gy X-ray radiation (irradiated cell conditioned medium: ICCM). We only
observed increased MN prevalence and induction of DNA damage foci in A603-1 and
A603-3 cells after ICCM transfer. The ICCM of A603-3 (RIBE-induced) was able to
induce MN in A603-4 (not RIBE-induced). To assess the possible contribution of
reactive oxygen species (ROS) or nitric oxide (NO) in medium-mediated RIBE,
dimethyl sulfoxide (DMSO; a ROS scavenger) or 2-(4-carboxyphenyl)-4,4,5,5
tetramethylimidazoline-1-oxyl-3-oxide (c-PTIO; an NO scavenger) were added to the
medium. A suppressive effect was observed after adding DMSO, but there was no
effect after treatment with c-PTIO. These results suggest that an enhanced
radiosensitivity may not be directly related to the induction of medium-mediated
RIBE. Moreover, ROS are involved in the transduction of the RIBE signal in A.
speciosus cells, but NO is not. In conclusion, our results suggest that RIBE may
be conserved in wild animals. The results contribute to better knowledge of
radiation effects on wild, non-human species.
PMID- 29785487
TI - Rapid monitoring of the target protein expression with a fluorescent signal based
on a dicistronic construct in Escherichia coli.
AB - Real-time quantification of recombinant proteins is important in studies on
fermentation engineering, cell engineering, etc. Measurement of the expression
level of heterologous proteins in bacterial fermentation broth has traditionally
relied on time-consuming and labor-intensive procedures, such as polyacrylamide
gel electrophoresis, immunoblot analysis, and biological activity assays. We
describe a simple, fast, and high sensitive assay for detecting heterologous
proteins production in bacteria either at the overall level (fluorescence
spectrophotometry) or at the individual level (fluorescence microscopic image) in
this study. Based on a dicistronic model, the translation of target gene in the
upstream open reading frame (ORF) was coupled with the synthesis of the mCherry
reporter in the downstream ORF in E. coli cells, and subsequently this
demonstrated a positive correlation between the expression of target gene and
mCherry. Although a time lag exists between the expression of target protein and
mCherry reporter, the method described here allows facile monitoring of dynamic
changes in target protein expression, relying on indirect determination of the
fluorescence intensity of mCherry during fermentation in real-time models.
Additionally, the performance of a single bacterial cell factory could be checked
under the fluorescence microscope field.
PMID- 29785490
TI - Microcirculatory effects of sildenafil in experimental testicular torsion in
rats.
AB - PURPOSE: Investigate the short-term effect of sildenafil on microcirculation,
especially the velocity, the pattern of the flow and the recruitment of the
leukocyte in postcapillaries. METHODS: In male Sprague-Dawley rats, the
microcirculatory consequences of 60 min experimental testicular torsion, followed
by 240 min of reperfusion, were examined. Using fluorescence intravital
microscopy, changes in red blood cell velocity in post-capillary venules and
rolling as well as adhesion of leukocytes in the postcapillary venules were
examined before the torsion and every hour during the reperfusion period.
Sildenafil was given 10 min prior to reperfusion (iv 0.7 mg/kg, n = 6), while
control animals received saline vehicle (n = 5). RESULTS: The characteristic flow
motion disappeared in the affected testicular during the torsion. Red blood cell
velocity values were dramatically decreased (by > 50%) and both rolling and
adhesion of leukocytes increased during the reperfusion phase. Sildenafil
treatment resulted in significantly higher red blood cell velocity values during
the entire reperfusion period, but exerted only a temporary positive effect on
the plost-ischaemic leukocyte-endothelial interactions. CONCLUSIONS:
Intraoperative administration of sildenafil during surgical detorsion may provide
marked testicular microperfusion benefits, but failed to influence the overall
leukocyte-driven microcirculatory inflammatory reactions.
PMID- 29785488
TI - miR-155 inhibits the formation of hypertrophic scar fibroblasts by targeting HIF
1alpha via PI3K/AKT pathway.
AB - Hypertrophic scar (HS) is a serious skin fibrotic disease characterized by the
excessive proliferation of fibroblasts and often considered as a kind of benign
skin tumor. microRNA-155 (miR-155) is usually served as a promising marker in
antitumor therapy. In view of the similarities of hypertrophic scar and tumor, it
is predicted that miR-155 may be a novel therapeutic target in clinical trials.
Here we found the expression levels of miR-155 was gradually down regulated and
HIF-1alpha was upregulated in HS tissue and HS derived fibroblasts (HFs). And
cell proliferation was inhibited when miR-155 was overexpressed or HIF-1alpha was
silenced. Moreover, overexpression of miR-155 in HFs could reduce the expression
of collagens in vitro and inhibit the collagen fibers arrangement in vivo,
whereas miR-155 knockdown gave opposite results. Furthermore, we found that miR
155 directly targeted the HIF-1alpha, which could also independently inhibit the
expression of collagens in vitro and obviously improved the appearance and
architecture of the rabbit ear scar in vivo when it was silencing. Finally, we
found that PI3K/AKT pathway was enrolled in these processes. Together, our
results indicated that miR-155 was a critical regulator in the formation and
development of hypertrophic scar and might be a potential molecular target for
hypertrophic scar therapy.
PMID- 29785489
TI - Trpc6 inactivation confers protection in a model of severe nephrosis in rats.
AB - : Mutations in canonical transient receptor potential-6 (TRPC6) channels give
rise to rare familial forms of focal and segmental glomerulosclerosis (FSGS).
Here we examined a possible role for TRPC6 in the progression of chronic
puromycin aminonucleoside (PAN) nephrosis in Sprague-Dawley rats, a classic model
of acquired nephrotic syndromes. We used CRISPR/Cas9 technology to delete a 239
bp region within exon 2 of the Trpc6 gene (Trpc6del allele). Trpc6del/del rats
expressed detectable Trpc6 transcripts missing exon 2, and TRPC6 proteins could
be detected by immunoblot of renal cortex. However, the abundance of Trpc6
transcripts and TRPC6 protein in renal cortex was much lower than in Trpc6wt/wt
littermates, and functional TRPC6 channels could not be detected in whole-cell
recordings from glomerular cells cultured from Trpc6del/del animals, possibly
because of disruption of ankyrin repeats 1 and 2. During the chronic phase of PAN
nephrosis, Trpc6del/del rats had reduced urine albumin excretion, reduced serum
cholesterol and triglycerides, and improved azotemia compared to wild-type
Trpc6wt/wt littermates. Glomerulosclerosis was severe during chronic PAN
nephrosis in Trpc6wt/wt rats but was markedly reduced in Trpc6del/del
littermates. Trpc6del/del animals also had less severe tubulointerstitial
fibrosis as assessed by several biochemical and histological analyses, as well as
reduced foot process effacement and glomerular basement thickening compared to
Trpc6wtt/wt controls. None of the manipulations in this study affected the
abundance of TRPC5 channels in renal cortex. TRPC3 was increased in PAN nephrosis
and in Trpc6del/del rats. These data support a role for TRPC6 channels in driving
an acquired form of secondary FSGS. KEY MESSAGES: We examined aminonucleoside
nephrosis in rats with wild type and inactivated TRPC6. TRPC6 channels were
inactivated by CRISPR/Cas9 editing of the Trpc6 gene. TRPC6 inactivation reduced
albuminuria in the chronic but not the acute phase. TRPC6 inactivation reduced
glomerulosclerosis and ultrastructural changes. TRPC6 inactivation also reduced
interstitial changes and renal fibrosis.
PMID- 29785492
TI - A new prognostic model for localized renal cell carcinoma.
PMID- 29785491
TI - Follow-up of negative MRI-targeted prostate biopsies: when are we missing cancer?
AB - INTRODUCTION: Multiparametric magnetic resonance imaging (mpMRI) has improved
clinicians' ability to detect clinically significant prostate cancer (csPCa).
Combining or fusing these images with the real-time imaging of transrectal
ultrasound (TRUS) allows urologists to better sample lesions with a targeted
biopsy (Tbx) leading to the detection of greater rates of csPCa and decreased
rates of low-risk PCa. In this review, we evaluate the technical aspects of the
mpMRI-guided Tbx procedure to identify possible sources of error and provide
clinical context to a negative Tbx. METHODS: A literature search was conducted of
possible reasons for false-negative TBx. This includes discussion on false
positive mpMRI findings, termed "PCa mimics," that may incorrectly suggest high
likelihood of csPCa as well as errors during Tbx resulting in inexact image
fusion or biopsy needle placement. RESULTS: Despite the strong negative
predictive value associated with Tbx, concerns of missed disease often remain,
especially with MR-visible lesions. This raises questions about what to do next
after a negative Tbx result. Potential sources of error can arise from each step
in the targeted biopsy process ranging from "PCa mimics" or technical errors
during mpMRI acquisition to failure to properly register MRI and TRUS images on a
fusion biopsy platform to technical or anatomic limits on needle placement
accuracy. CONCLUSIONS: A better understanding of these potential pitfalls in the
mpMRI-guided Tbx procedure will aid interpretation of a negative Tbx, identify
areas for improving technical proficiency, and improve both physician
understanding of negative Tbx and patient-management options.
PMID- 29785494
TI - Spontaneous spinal epidural haematoma mimicking acute ischaemic stroke: case
report.
PMID- 29785496
TI - Bareback Sexually Explicit Media Consumption and Men Who Have Sex with Men's
Responses to Sexual Partners Who Prefer Anal Intercourse With or Without Condoms.
AB - This study investigated whether "bareback" (i.e., condomless) sexually explicit
media (SEM) consumption is differentially associated with men who have sex with
men's (MSM) concerns about, and willingness to have, condomless anal intercourse
(CAI) versus anal intercourse with condoms. Participants were 659 Australian MSM
who all reported their bareback SEM consumption and read a vignette in which they
were propositioned for sex by a hypothetical male target. Participants were
randomly assigned to one of four vignettes, in which the target exclusively
preferred either CAI or anal intercourse with condoms, and either did or did not
disclose that they took pre-exposure prophylaxis (PrEP). Perceived partner
attractiveness was also measured as a potential moderator. Greater bareback SEM
consumption was associated with reduced concerns about, and intentions to have,
sex with a target who exclusively preferred CAI. Bareback SEM consumption,
however, was not related to concerns about, or intentions to have, sex with a
target who exclusively preferred anal intercourse with condoms. PrEP disclosure
was not causally related to either concern about having, or intentions to have,
sex with the target, nor did it moderate the relationship between bareback SEM
consumption and these outcomes. Perceived partner attractiveness moderated the
effect of bareback SEM consumption on general sexual intentions, such that
bareback SEM was only associated with greater intentions to have sex when the
partner was perceived to be highly attractive.
PMID- 29785495
TI - D-Lactate and intestinal fatty acid-binding protein are elevated in serum in
patients with acute ischemic stroke.
AB - Experimental studies suggest that the intestinal barrier is affected in ischemic
stroke. D-Lactate and intestinal fatty acid-binding protein (IFABP) are markers
of intestinal mucosa integrity and barrier function. Our purpose was to evaluate
the serum concentrations of these markers in patients with acute ischemic stroke
(AIS). We included patients with AIS and used healthy subjects as controls.
Clinical, demographic and outcome measures were recorded. Blood was drawn within
24 h of symptom onset. Serum concentrations of D-Lactate and IFABP were
determined using commercially available colorimetric and ELISA kits,
respectively. We included a total of 61 patients (median age of 64 years). The
majority of patients were male (57.4%). The most common cause of stroke was
atherosclerosis (34.4%), followed by small-vessel disease and cardioembolic
(32.7% each). Mean admission NIHSS score was 8. Median IFABP and D-Lactate
concentrations were significantly higher in patients than in controls.
Concentrations were not associated with stroke severity or 3-month outcome.
Patients with large-artery atherosclerosis and cardioembolic etiology had higher
D-Lactate values than patients with small-vessel disease. D-Lactate and IFABP
were significantly elevated in patients with AIS. This suggests that there is
disruption of the intestinal barrier in patients with AIS.
PMID- 29785493
TI - Mindset and Communication Barriers in the Diffusion of Bariatric Surgery.
AB - PURPOSE OF REVIEW: Cumulating evidence is available to demonstrate the efficacy
of bariatric surgery (BS) in achieving weight loss and optimizing comorbidities.
However, currently, only a minority of eligible patients approaches bariatric
centers. The underuse of BS can no longer be explained by the lack of evidence
supporting its beneficial outcomes along with its favorable safety-profile,
rather, by the supporting infrastructure, insurance coverage, and mindset of
society, including potential patients and allied healthcare professionals. As a
framework to approach mindset barriers in the diffusion of BS, we used the
Rogers' levels of the innovation adoption process: (1) knowledge, (2) persuasion,
(3) decision, (4) implementation, and (5) confirmation. RECENT FINDINGS:
Knowledge: people tend to believe that obesity is a result of lack of willpower
and they have difficulties in differentiating BS from cosmetic surgery. Eligible
patients often do not assess themselves as being morbidly obese and are unaware
that they would qualify for BS. Persuasion: majority of BS candidates search
health information online, with the aim of getting information about surgical
techniques and other patients' experiences. Decision: metabolically more
compromised patients are more likely to opt for BS. IMPLEMENTATION: general
practitioners who already referred patients for BS seem to be more confident to
refer again, to tackle obesity and manage postoperative follow-up. Confirmation:
postbariatric patients seem to be more self-confident and more productive at
work; however, their stigmatization might prevail related to the way they have
achieved weight loss. Dissemination of balanced and corroborative information
seems to be the main instrument to combat mindset barriers. The integration of
general practitioners under the umbrella of bariatric centers has a great
potential to increase referrals. Social media may represent a helpful tool to be
used by medical professionals and patient-role models to improve confident
decision-making of bariatric candidates.
PMID- 29785497
TI - Crystal structure of Arabidopsis thaliana glutamyl-tRNAGlu reductase in complex
with NADPH and glutamyl-tRNAGlu reductase binding protein.
AB - In higher plants, the tetrapyrrole biosynthesis pathway starts from the reaction
catalyzed by the rate-limiting enzyme, glutamyl-tRNAGlu reductase (GTR). In
Arabidopsis thaliana, GTR is controlled by post-transcriptional regulators such
as GTR binding protein (GBP), which stimulates AtGTR activity. The NADPH-binding
domain of AtGTR undergoes a substantial movement upon GBP binding. Here, we
report the crystal structure of AtGTR-NADPH-GBP ternary complex. NADPH binding
causes slight structural changes compared with the AtGTR-GBP binary complex, and
possibly take a part of the space needed by the substrate glutamyl-tRNAGlu. The
highly reactive sulfhydryl group of the active-site residue Cys144 shows an
obvious rotation, which may facilitate the hydride transfer from NADPH to the
thioester intermediate to form glutamate-1-semialdehyde. Furthermore, Lys271,
Lys274, Ser275, Asn278, and Gln282 of GBP participate in the interaction between
AtGTR and GBP, and the stimulating effect of GBP decreased when all of these
residues were mutated to Ala. When the Cys144 of AtGTR was mutated to Ser, AtGTR
activity could not be detected even in the presence of GBP.
PMID- 29785498
TI - Survival of Planktonic and Sessile Cells of Lactobacillus rhamnosus and
Lactobacillus reuteri upon Exposure to Simulated Fasting-State Gastrointestinal
Conditions.
AB - In this study, we report on the formation and resilience of Lactobacillus reuteri
HFI-LD5 and Lactobacillus rhamnosus HFI-K2 biofilms cultivated in a CO2 evolution
measurement system (CEMS) and exposed to biologically relevant, fasting-state
gastrointestinal fluids under continuous flow conditions. For comparative
purposes, planktonic and sessile populations of L. reuteri HFI-LD5 and L.
rhamnosus HFI-K2 were each exposed to fasting-state gastric fluid (FSGF, pH 2.0)
for 2 h, fasting-state intestinal fluid (FSIF, pH 7.5) for 6 h, and simulated
colonic fluid (SCoF, pH 7.0) for 24 h. Planktonic cell numbers of L. reuteri HFI
LD5 declined from 6.6 log10 CFU/mL to 3.2 log10 CFU/mL and L. rhamnosus HFI-K2
from 6.6 log10 CFU/mL to undetectable levels after exposure to FSGF. Limited loss
in viability was observed when free-floating cells were exposed to FSIF and SCoF.
Sessile populations of both strains survived and recovered from the sequential
exposure to all three gastric fluids despite observed detachment of biofilm
biomass and a temporary decrease in metabolic activity to below detection limits,
as recorded by changes in whole-biofilm CO2 production rates. The planktonic cell
focused gut microbiome-related research has most likely caused an underestimation
in the overall survival ability of microorganisms in the gastrointestinal tract.
Sessile cells of L. reuteri HFI-LD5 were metabolically inactive when exposed to
gastric (FSGF) and intestinal (FSIF) fluids, suggesting that biofilms are formed
in the small intestinal tract as survival mechanism. In the case of L. rhamnosus
HFI-K2, cells were released from biofilms when suddenly exposed to pH 2.0.
PMID- 29785500
TI - Boosting the efficiency of site-saturation mutagenesis for a difficult-to
randomize gene by a two-step PCR strategy.
AB - Site-saturation mutagenesis (SSM) has been used in directed evolution of proteins
for a long time. As a special form of saturation mutagenesis, it involves
individual randomization at a given residue with formation of all 19 amino acids.
To date, the most efficient embodiment of SSM is a one-step PCR-based approach
using NNK codon degeneracy. However, in the case of difficult-to-randomize genes,
SSM may not deliver all of the expected 19 mutants, which compels the user to
invest further efforts by applying site-directed mutagenesis for the construction
of the missing mutants. To solve this problem, we developed a two-step PCR-based
technique in which a mutagenic primer and a non-mutagenic (silent) primer are
used to generate a short DNA fragment, which is recovered and then employed as a
megaprimer to amplify the whole plasmid. The present two-step and older one-step
(partially overlapped primer approach) procedures were compared by utilizing
cytochrome P450-BM3, which is a "difficult-to-randomize" gene. The results
document the distinct superiority of the new method by checking the library
quality on DNA level based on massive sequence data, but also at amino acid
level. Various future applications in biotechnology can be expected, including
the utilization when constructing mutability landscapes, which provide semi
rational information for identifying hot spots for protein engineering and
directed evolution.
PMID- 29785501
TI - Improving the acetic acid tolerance and fermentation of Acetobacter pasteurianus
by nucleotide excision repair protein UvrA.
AB - Acetic acid bacteria (AAB) are widely used in acetic acid fermentation due to
their remarkable ability to oxidize ethanol and high tolerance against acetic
acid. In Acetobacter pasteurianus, nucleotide excision repair protein UvrA was up
regulated 2.1 times by acetic acid when compared with that without acetic acid.
To study the effects of UvrA on A. pasteurianus acetic acid tolerance, uvrA
knockout strain AC2005-DeltauvrA, uvrA overexpression strain AC2005 (pMV24-uvrA),
and the control strain AC2005 (pMV24), were constructed. One percent initial
acetic acid was almost lethal to AC2005-DeltauvrA. However, the biomass of the
UvrA overexpression strain was higher than that of the control under acetic acid
concentrations. After 6% acetic acid shock for 20 and 40 min, the survival ratios
of AC2005 (pMV24-uvrA) were 2 and 0.12%, respectively; however, they were 1.5 and
0.06% for the control strain AC2005 (pMV24). UvrA overexpression enhanced the
acetification rate by 21.7% when compared with the control. The enzymes involved
in ethanol oxidation and acetic acid tolerance were up-regulated during acetic
acid fermentation due to the overexpression of UvrA. Therefore, in A.
pasteurianus, UvrA could be induced by acetic acid and is related with the acetic
acid tolerance by protecting the genome against acetic acid to ensure the protein
expression and metabolism.
PMID- 29785502
TI - Comparative persistence of human sewage-specific enterococcal bacteriophages in
freshwater and seawater.
AB - Enterococcus faecalis bacteria have been recently reported for their ability to
host bacteriophages that are specifically from human sewage, suggesting their
application to track human fecal contamination in water resources. However,
little is known about the survivability of sewage-specific enterococcal
bacteriophages in various water matrices under ambient and storage conditions. In
this study, bacteriophages that were derived from the Thailand-isolated E.
faecalis strains AIM06 and SR14 exhibited morphologies consistent with the
Siphoviridae, Podoviridae, and Myoviridae families. Four representative
bacteriophages were separately spiked into environmental water samples (n = 7)
comprising freshwater and seawater with low- and high-pollution (LF, HF, LS, and
HS, respectively) levels, defined according to Thailand Water Quality Standards.
All bacteriophages decayed fastest in HS or HF samples at 30 degrees C, reaching
a 5-log10 reduction in 2.2 to 9.8 days, and slowest in LS samples, requiring 8.8
to 23.5 days. The decay rates were 5 to 53 times lower at a storage temperature
of 5 degrees C. HF samples could be stored for as little as 2.5 days to prevent
the decay of 50% of the phages. Myoviridae phages decayed faster than
Siphoviridae phages and Podoviridae phages in most water matrices at 30 degrees
C. Moreover, the decay rates were 1.8 to 92 times slower in filtered samples,
emphasizing a strong role for water constituents, i.e., suspended solids and
natural microorganisms, in phage persistence. This study emphasized that
differential enterococcal bacteriophage persistence should be considered when
planning the monitoring and interpreting of fecal sources by microbial source
tracking.
PMID- 29785499
TI - On the current role of hydratases in biocatalysis.
AB - Water addition to carbon-carbon double bonds provides access to value-added
products from inexpensive organic feedstock. This interesting but relatively
little-studied reaction is catalysed by hydratases in a highly regio- and
enantiospecific fashion with excellent atom economy. Considering that asymmetric
hydration of (non-activated) carbon-carbon double bonds is virtually impossible
with current organic chemistry, enzymatic hydration reactions are highly
attractive for industrial applications. Hydratases have been known for several
decades but their biocatalytic potential has only been explored over the past 15
years. As a result, a considerable amount of information on this enzyme group has
become available, enabling their development for practical applications. This
review focuses on hydratases catalysing water addition to non-activated carbon
carbon double bonds, and examines hydratases from a biochemical, structural and
mechanistic angle. Current challenges and opportunities in hydration biocatalysis
are discussed, and, ultimately, their potential for organic synthesis is
highlighted.
PMID- 29785503
TI - Prolonged forearm ischemia attenuates endothelium-dependent vasodilatation and
plasma nitric oxide metabolites in overweight middle-aged men.
AB - PURPOSE: Repeated cycles of endothelial ischemia-reperfusion injury and the
resulting respiratory burst contribute to the irreversible pathophysiology of
vascular diseases, and yet, the effects of ischemia reperfusion on vascular
function, oxidative stress, and nitric oxide (NO) bioavailability have not been
assessed simultaneously. Therefore, this study sought to examine the effects of
prolonged forearm occlusion and subsequent reperfusion on NO-dependent brachial
artery endothelial function. METHODS: Flow-mediated dilatation was measured at
baseline and 15, 30, and 45 min after 20-min forearm occlusion in 14 healthy, but
physically inactive middle-aged men (53.7 +/- 1.2 years, BMI: 28.1 +/- 0.1 kg m
2). Venous blood samples collected from the occluded arm were analyzed for NO
metabolites and markers of oxidative stress. RESULTS: FMD was significantly
depressed after the prolonged occlusion compared to baseline, with a significant
reduction 15-min post-occlusion (6.6 +/- 0.7 to 2.9 +/- 0.4%, p < 0.001); FMD
remained depressed after 30 min (4.1 +/- 0.6%, p = 0.001), but was not
significantly different to baseline after 45-min recovery (5.4 +/- 0.7%, p =
0.079). Plasma nitrate (main time effect: p = 0.015) and nitrite (main time
effect: p = 0.034) concentrations were significantly reduced after prolonged
occlusion. Plasma catalase activity was significantly elevated at 4- (p = 0.016)
and 45-min (p = 0.001) post-occlusion, but plasma peroxiredoxin 2 and protein
carbonyl content did not change. CONCLUSIONS: Prolonged forearm occlusion
resulted in acute impairment of endothelium-dependent vasodilatation of the
brachial artery for at least 30 min after reperfusion. We demonstrate that this
vascular dysfunction is associated with oxidative stress and reduced NO
bioavailability following reperfusion.
PMID- 29785504
TI - Impact of a VAP bundle in Belgian intensive care units.
AB - BACKGROUND: In order to decrease the incidence of ventilator-associated pneumonia
(VAP) in Belgium, a national campaign for implementing a VAP bundle involving
assessment of sedation, cuff pressure control, oral care with chlorhexidine and
semirecumbent position, was launched in 2011-2012. This report will document the
impact of this campaign. METHODS: On 1 day, once a year from 2010 till 2016,
except in 2012, Belgian ICUs were questioned about their ventilated patients. For
each of these, data about the application of the bundle and the possible
treatment for VAP were recorded. RESULTS: Between 36.6 and 54.8% of the 120
Belgian ICUs participated in the successive surveys. While the characteristics of
ventilated patients remained similar throughout the years, the percentage of
ventilated patients and especially the duration of ventilation significantly
decreased before and after the national VAP bundle campaign. Ventilator care also
profoundly changed: Controlling cuff pressure, head positioning above 30 degrees
were obtained in more than 90% of cases. Oral care was more frequently performed
within a day, using more concentrated solutions of chlorhexidine. Subglottic
suctioning also was used but in only 24.7% of the cases in the last years.
Regarding the prevalence of VAP, it significantly decreased from 28% of
ventilated patients in 2010 to 10.1% in 2016 (p <= 0.0001). CONCLUSION: Although
a causal relationship cannot be inferred from these data, the successive surveys
revealed a potential impact of the VAP bundle campaign on both the respiratory
care of ventilated patients and the prevalence of VAP in Belgian ICUs encouraging
them to follow the guidelines.
PMID- 29785505
TI - Preparing for an "Insured" Old Age: Insurance Purchase and Self-Support in Old
Age in Rural China.
AB - This article explores an emerging trend among young and middle-aged rural couples
in Northeast China who have purchased recently marketized commercial insurance as
a way to prepare for self-support in old age. It discusses how the commercial
insurance industry has created a rural elder-care market among a population that
traditionally relied on family for support in old age. It also delves into the
ways in which the transformations of intergenerational exchange and family
structure and a lack of health care access have contributed to the preparation
for self-support in old age and have thus fostered the creation of a rural elder
care market for the insurance industry. This emerging trend reveals a transition
from traditional family support to a combination of multiple ways of elder care,
in particular self-support in old age. It also suggests that while the Chinese
state is facing a pressing issue of supporting an increasing aging population and
the Chinese family is coping with the burden of elder care, the insurance
industry is playing an increasing role in elder care in China.
PMID- 29785506
TI - Venetoclax and low-dose cytarabine induced complete remission in a patient with
high-risk acute myeloid leukemia: a case report.
AB - Conventional combination therapies have not resulted in considerable progress in
the treatment of acute myeloid leukemia (AML). Elderly patients with AML and poor
risk factors have grave prognosis. Midostaurin has been recently approved for the
treatment of FLT-3-mutated AML. Venetoclax, a BCL-2 inhibitor, has been approved
for the treatment of relapsed and/or refractory chronic lymphoid leukemia.
Clinical trials on applying venetoclax in combination with cytarabine and other
agents to treat various hematological malignancies are currently underway. Here,
we present a case of a male patient with poor performance status and who
developed AML following allogeneic hematopoietic stem cell transplant for high
risk myelodysplasia. The patient with high risk AML achieved complete response to
the combined treatment regimen of low-dose cytarabine and venetoclax.
Furthermore, we reviewed current clinical trials on the use of venetoclax for
hematological malignancies.
PMID- 29785507
TI - Assessing Mortality Models in Systemic Sclerosis-Related Interstitial Lung
Disease.
AB - PURPOSE: The gender, age, and lung physiology (GAP) model, interstitial lung
diseases-GAP (ILD-GAP) model, and the smoking history, age, and diffusion
capacity of the lung (SADL) model were compared using a systemic sclerosis-ILD
(SSc-ILD) cohort to evaluate which best determined prognosis. METHODS: The models
were applied to a cohort of 179 patients with SSc seen at a tertiary care center
within 1 year of ILD diagnosis. Demographics, clinical characteristics, and
mortality were recorded. The performance of the models was assessed using
standardized mortality ratios (SMR) of observed versus predicted outcomes for
calibration and concordance (c)-statistics for discrimination. RESULTS: SSc-ILD
patients with usual interstitial pneumonia (31, 17%) had a higher mortality than
those with non-specific interstitial pneumonia (147, 83%) (hazard ratio 2.27;
95%CI 1.03-4.97). All 3 models had comparable discrimination (c = 0.72, 0.72, and
0.71, respectively). Regarding calibration, the ILD-GAP model underestimated
mortality (SMR 1.50; 95%CI 1.05-2.14). Calibration was acceptable for SADL (SMR
1.00; 95%CI 0.70-1.44) and GAP (SMR 0.90; 95%CI 0.63-1.29). The SADL model
underestimated mortality in Stage I ILD. CONCLUSIONS: The ILD-GAP model
underestimated mortality, and the SADL model underestimated mortality in certain
subgroups. However, the GAP model performed well in this cohort, providing the
best prognostic information for SSc-ILD.
PMID- 29785508
TI - Lacosamide: A Review in Focal-Onset Seizures in Patients with Epilepsy.
AB - Lacosamide (Vimpat(r)) is a functionalized amino acid (available orally and
intravenously) approved in the EU and the USA for use as monotherapy and
adjunctive therapy for the treatment of focal-onset seizures in adults,
adolescents and children aged >= 4 years with epilepsy. In adults and adolescents
(aged >= 16 years), oral lacosamide as adjunctive therapy to other antiepileptic
drugs was generally effective in reducing seizure frequency during short-term (up
to 18 weeks) treatment, with efficacy sustained over the longer-term (up to 8
years). Moreover, patients were effectively switched from adjunctive oral
lacosamide to the same dosage of intravenous lacosamide. Oral lacosamide was an
effective conversion to monotherapy agent in this patient population and as
monotherapy demonstrated noninferiority to carbamazepine controlled release in
terms of seizure freedom. Antiepileptic benefits were maintained during longer
term (<= 2 years) monotherapy. The antiepileptic efficacy of lacosamide in
children aged >= 4 years has been extrapolated from data from adults and
adolescents, with a similar response expected provided paediatric dosage
adaptations are used and safety is demonstrated. Indeed, preliminary data
demonstrated the efficacy of short-term (16 weeks) adjunctive lacosamide in
patients aged >= 4 to < 17 years. Oral lacosamide was generally well tolerated
over the short- and longer-term when administered as adjunctive therapy, a
conversion to monotherapy agent and monotherapy in adults and adolescents and
when administered as adjunctive therapy in children aged >= 4 years. Thus,
lacosamide is a useful option for the management of focal-onset seizures across a
broad age range, starting as early as 4 years of age.
PMID- 29785509
TI - Why, so far, have epidemics always eventually petered out? Quasispecies theory
suggests a (testable!) answer.
AB - In this paper, it is argued that the fact that, so far, even the worst and most
far-reaching epidemics-from the Plague of Athens in 430 BC and the Plague of
Justinian in 541/542 AD to the Hong Kong Flu from 1968/69-always finally petered
out can be explained using Manfred Eigen's quasispecies concept: Indeed, as the
infectious agents, while duplicating themselves in the infected organisms, mutate
all the time, these infected organisms carry along quite a multitude of
mutational variants or-in Manfred Eigen's terms-a whole quasispecies of
infectious agents implying that, within that quasispecies, those variants that
differ from the wild type may actually serve as some kind of vaccination program
when infecting some previously uninfected persons. In this context, some data
regarding various recent epidemics will also be illustrated, using Daniel Huson's
SplitsTree software tool.
PMID- 29785510
TI - Measuring translational diffusion of 15N-enriched biomolecules in complex
solutions with a simplified 1H-15N HMQC-filtered BEST sequence.
AB - Pulsed-field gradient nuclear magnetic resonance has seen an increase in
applications spanning a broad range of disciplines where molecular translational
diffusion properties are of interest. The current study introduces and
experimentally evaluates the measurement of translational diffusion coefficients
of 15N-enriched biomolecules using a 1H-15N HMQC-filtered band-selective
excitation short transient (BEST) sequence as an alternative to the previously
described SOFAST-XSTE sequence. The results demonstrate that accurate
translational diffusion coefficients of 15N-labelled peptides and proteins can be
obtained using this alternative 1H-15N HMQC-filtered BEST sequence which is
implementable on NMR spectrometers equipped with probes fitted with a single-axis
field gradient, including most cryoprobes dedicated to bio-NMR. The sequence is
of potential use for direct quantification of protein or peptide translational
diffusion within complex systems, such as in mixtures of macromolecules, crowded
solutions, membrane-mimicking media and in bicontinuous cubic phases, where
conventional sequences may not be readily applicable due to the presence of
intense signals arising from sources other than the protein or peptide under
investigation.
PMID- 29785511
TI - Lamellar macular holes: surgical outcome of 106 patients with long-term follow
up.
AB - PURPOSE: To determine long-term results of vitrectomy for lamellar macular holes
(LMH). To evaluate how the type of lamellar macular hole (tractional vs.
degenerative) and the crystalline lens status might influence visual outcomes.
METHODS: We collected data from 106 patients with symptomatic lamellar macular
hole that underwent pars plana vitrectomy with membranectomy and internal
limiting membrane peeling. Best-corrected visual acuity (BCVA) and optical
coherence tomography appearance were determined preoperatively and
postoperatively. RESULTS: Most of the lamellar holes were of tractional type
(65%). Mean follow-up after surgery was 36 months. Mean BCVA increased from 20/50
to 20/43 at 6 months and 20/33 at last follow-up visit (p < 0.001). Vision
improved in 74 (70%), remained stable in 11 (10%), and decreased in 21 (20%)
eyes. Subgroup analysis showed that visual acuity significantly increased in the
tractional but not in the degenerative forms of LMH. Thirteen eyes lost two or
more ETDRS lines after surgery. Preoperative phakic/pseudophakic status
influenced the functional outcomes. CONCLUSIONS: Surgery may be effective in some
subsets of patients with lamellar macular hole, but postoperative visual loss is
not uncommon and prospective controlled studies are warranted.
PMID- 29785512
TI - Efficacy of Sports Club Activities on Exercise Tolerance Among Japanese Middle
and High School Children and Adolescents After Fontan Procedure.
AB - The exercise tolerance of Fontan patients is poorer than that of healthy people.
Some previous studies reported that exercise tolerance can be improved in this
population by cardiac rehabilitation. This study aimed to determine the factors
associated with peak oxygen uptake (VO2) and to subsequently clarify the
correlation between participation in sports club activities and variables related
to exercise tolerance. Cardiopulmonary exercise tests were performed by 115
Fontan patients aged between 6 and 20 years. The patients completed
questionnaires on their daily physical activities including participation in
extracurricular sports clubs in middle (junior high school) and high school. Peak
VO2 had a significant negative correlation with age and a positive correlation
with hemoglobin, stroke volume, and resting tidal volume in the entire study
group. Additionally, the sports club participants who were middle and high school
students had significantly higher peak VO2 and resting tidal volume. Exercise
habits including participation in sports club activities may promote exercise
tolerance by improving respiratory function in Fontan adolescents.
PMID- 29785513
TI - Jdpd: an open java simulation kernel for molecular fragment dissipative particle
dynamics.
AB - Jdpd is an open Java simulation kernel for Molecular Fragment Dissipative
Particle Dynamics with parallelizable force calculation, efficient caching
options and fast property calculations. It is characterized by an interface and
factory-pattern driven design for simple code changes and may help to avoid
problems of polyglot programming. Detailed input/output communication,
parallelization and process control as well as internal logging capabilities for
debugging purposes are supported. The new kernel may be utilized in different
simulation environments ranging from flexible scripting solutions up to fully
integrated "all-in-one" simulation systems.
PMID- 29785515
TI - Prostate-specific antigen flare induced by 223RaCl2 in patients with metastatic
castration-resistant prostate cancer.
AB - PURPOSE: Prostate-specific antigen (PSA) flare is a well-known phenomenon in
patients with prostate cancer, but its impact during radium-223 dichloride
(223RaCl2) therapy is still unclear. This radioisotope has shown to improve
overall survival in metastatic castration-resistant prostate cancer (mCRPC). We
sought to evaluate the impact of PSA flare on survival and its relation with
metabolic parameters on 18F-labeled sodium fluoride PET/CT. METHODS: We conducted
a retrospective study of 168 patients with mCRPC (median age 69; median PSA 29.7)
receiving 223RaCl2. Overall survival (OS) and progression-free survival (PFS),
estimated by the Kaplan-Meier method and compared using a log-rank test, were
evaluated for patient groups corresponding to different definitions of PSA flare.
Metabolic 18F-fluoride PET/CT data were analyzed as well. RESULTS: Immediate PSA
decline was observed in 49 patients (29.2%), whereas no PSA response was observed
in 59 patients (35.1%). PSA flare (defined as rise after the first cycle followed
by decrease below the baseline) was observed in 20 patients (11.9%) and PSA flare
followed by a decrease from peak but not below baseline was observed in 40
(23.8%). The first flare subgroup had a median PFS and OS of 20.8 and 23.9
months, respectively. These outcomes were not significantly different from
patients with immediate PSA decrease, but were significantly better than in
patients with persistent PSA elevation (3.1 months for PFS and 11.5 months for
OS, p < 0.001). Moreover, the PSA flare group showed an alkaline phosphatase
(ALP) decrease significantly greater than non-responders (p = 0.003). Metabolic
18F-fluoride PET/CT data were available in 35 patients at baseline and during
233RaCl2 therapy. The tumor burden reduction, expressed by DeltaTLF10 and
DeltaFTV10, was more evident within PSA flare group below baseline than non
responders (p = 0.005 and 0.001, respectively). CONCLUSIONS: This report suggests
that a flare does not necessarily indicate lack of response to 223RaCl2 therapy.
PMID- 29785514
TI - Correlation of dose with toxicity and tumour response to 90Y- and 177Lu-PRRT
provides the basis for optimization through individualized treatment planning.
AB - PURPOSE: Peptide receptor radionuclide therapy (PRRT) with 90Y-labelled and 177Lu
labelled peptides is an effective strategy for the treatment of
metastatic/nonresectable neuroendocrine tumours (NETs). Dosimetry provides
important information useful for optimizing PRRT with individualized regimens to
reduce toxicity and increase tumour responses. However, this strategy is not
applied in routine clinical practice, despite the fact that several dosimetric
studies have demonstrated significant dose-effect correlations for normal organ
toxicity and tumour response that can better guide therapy planning. The present
study reviews the key relationships and the radiobiological models available in
the literature with the aim of providing evidence that optimization of PRRT is
feasible through the implementation of dosimetry. METHODS: The MEDLINE database
was searched combining specific keywords. Original studies published in the
English language reporting dose-effect outcomes in patients treated with PRRT
were chosen. RESULTS: Nine of 126 studies were selected from PubMed, and a
further five were added manually, reporting on 590 patients. The studies were
analysed and are discussed in terms of weak and strong elements of correlations.
CONCLUSION: Several studies provided evidence of clinical benefit from the
implementation of dosimetry in PRRT, indicating the potential contribution of
this approach to reducing severe toxicity and/or reducing undertreatment that
commonly occurs. Prospective trials, possibly multicentre, with larger numbers of
patients undergoing quantitative dosimetry and with standardized methodologies
should be carried out to definitively provide robust predictive paradigms to
establish effective tailored PRRT.
PMID- 29785516
TI - Increased water temperature altered hemato-biochemical parameters and structure
of peripheral erythrocytes in striped catfish Pangasianodon hypophthalmus.
AB - The increase of water temperature due to global warming is a great concern of
aquaculturists and fishery biologists. In the present study, we examined the
effects of high temperature on hematological parameters and blood glucose levels
in striped catfish, Pangasianodon hypophthalmus exposed to three temperature
conditions (28, 32, and 36 degrees C) for 7 days. Fish were sacrificed at days
1, 3, and 7. Erythroblasts (Ebs), erythrocytic cellular abnormalities (ECA), and
erythrocytic nuclear abnormalities (ENA) were assayed using peripheral
erythrocytes of the sampled fishes. Hemoglobin (Hb) and red blood cell (RBC)
significantly (P < 0.05) decreased at 36 degrees C after 3 and 7 days of
exposure, whereas white blood cell (WBC) showed opposite scenario. Blood glucose
levels significantly (P < 0.05) increased at 36 degrees C on day 3. Frequencies
of Ebs, ECA, and ENA were found to be elevated at increased temperature.
Differential leucocytes count showed significant increases in neutrophil and
decreases in lymphocytes in the highest temperature (36 degrees C). Dissolved
oxygen decreased and free CO2 increased significantly (P < 0.05) with increasing
temperature, while the pH and total alkalinity of the water were almost unchanged
throughout the study period. Therefore, the present study demonstrated that
striped catfish feel better adaptation at 28 and 32 degrees C, while high
temperature 36 degrees C is likely stressful to this fish species.
PMID- 29785517
TI - Optimization and enhancement of textile reactive Remazol black B decolorization
and detoxification by environmentally isolated pH tolerant Pseudomonas aeruginosa
KY284155.
AB - Azo dyes are complex derivatives of diazene used in food and textile manufacture.
They are highly recalcitrant compounds, and account for severe environmental and
health problems. Different strains of Pseudomonas species were isolated from
textile wastewater effluents. The bioconversion of Remazol black B (a commonly
used water soluble dye) by Pseudomonas aeruginosa was observed in static
conditions. The bio-decolorization process was optimized by a multi factorial
Plackett-Burman experimental design. Decolorization of 200 mg L-1 reached 100% in
32 h. Interestingly, the presence of yeast extract, magnesium and iron in the
culture media, highly accelerated the rate of decolorization. Moreover, one of
our isolates, P. aeruginosa KY284155, was kept high degradation rates at high pH
(pH = 9), which represents the pH of most textile wastewater effluents, and was
able to tolerate high concentration of dye up to 500 mg L-1. In bacteria, azo-dye
degradation is often initiated by reductive azo compound cleavage catalyzed by
azo-reductases. Three genes encoding azo-reductases, paazoR1, paazoR2 and
paazoR3, could be identified in the genome of the isolated P. aeruginosa stain
(B1). Bioinformatics analyses of the paazoR1, paazoR2 and paazoR3 genes reveal
their prevalence and conservation in other P. aeruginosa strains. Chemical oxygen
demand dramatically decreased and phyto-detoxification of the azo dye was
accomplished by photocatalytic post treatment of the biodegradation products. We
suggest applying combined biological photocatalytic post treatment for azo dyes
on large scale, for effective, cheap decolorization and detoxification of azo
dyes, rendering them safe enough to be discharged in the environment.
PMID- 29785520
TI - Times from Infection to Disease-Induced Death and their Influence on Final
Population Sizes After Epidemic Outbreaks.
AB - For epidemic models, it is shown that fatal infectious diseases cannot drive the
host population into extinction if the incidence function is upper density
dependent. This finding holds even if a latency period is included and the time
from infection to disease-induced death has an arbitrary length distribution.
However, if the incidence function is also lower density-dependent, very
infectious diseases can lead to a drastic decline of the host population.
Further, the final population size after an epidemic outbreak can possibly be
substantially affected by the infection-age distribution of the initial
infectives if the life expectations of infected individuals are an unbounded
function of infection age (time since infection). This is the case for lognormal
distributions, which fit data from infection experiments involving tiger
salamander larvae and ranavirus better than gamma distributions and Weibull
distributions.
PMID- 29785519
TI - Threshold Dynamics of a Temperature-Dependent Stage-Structured Mosquito
Population Model with Nested Delays.
AB - Mosquito-borne diseases remain a significant threat to public health and
economics. Since mosquitoes are quite sensitive to temperature, global warming
may not only worsen the disease transmission case in current endemic areas but
also facilitate mosquito population together with pathogens to establish in new
regions. Therefore, understanding mosquito population dynamics under the impact
of temperature is considerably important for making disease control policies. In
this paper, we develop a stage-structured mosquito population model in the
environment of a temperature-controlled experiment. The model turns out to be a
system of periodic delay differential equations with periodic delays. We show
that the basic reproduction number is a threshold parameter which determines
whether the mosquito population goes to extinction or remains persistent. We then
estimate the parameter values for Aedes aegypti, the mosquito that transmits
dengue virus. We verify the analytic result by numerical simulations with the
temperature data of Colombo, Sri Lanka where a dengue outbreak occurred in 2017.
PMID- 29785518
TI - Fumarylacetoacetate hydrolase is involved in salt stress response in Arabidopsis.
AB - MAIN CONCLUSION: Fumarylacetoacetate hydrolase participates in positive
regulation of salt stress in Arabidopsis. Fumarylacetoacetate hydrolase (FAH)
catalyzes the hydrolysis of fumarylacetoacetate into fumarate and acetoacetate,
the final step in the Tyr degradation pathway that is essential to animals.
However, the Tyr degradation pathway is not well understood in plants.
Previously, we found that mutation of the SHORT-DAY SENSITIVE CELL DEATH 1
(SSCD1) gene encoding FAH in Arabidopsis causes spontaneous cell death under
short day, which first indicated that the Tyr degradation pathway also plays an
important role in plants. In this study, we found that the SSCD1 gene was up
regulated by salt stress, and the sscd1 mutant was hypersensitive to salt stress.
However, the double mutant of SSCD1 and HOMOGENTISATE DIOXYGENASE, in which
intermediates of the Tyr degradation pathway could not be produced, displayed a
normal response to salt stress. Furthermore, the sscd1 mutant showed more
accumulation of reactive oxygen species (ROS) and less up-regulation of some ROS
scavenging genes such as ASCORBATE PEROXIDASE 2 and COPPER/ZINC SUPEROXIDE
DISMUTASE 1 compared with wild type under salt stress. In addition, SSCD1
expression was also up-regulated by H2O2, and the sscd1 mutant exhibited
hypersensitivity to oxidative stress compared with wild type. Taken together, we
concluded that loss of FAH in sscd1 leads to the accumulation of Tyr degradation
intermediates, which impairs the up-regulation of some ROS-scavenging genes under
salt stress, causing more accumulation of ROS, resulting in the hypersensitivity
of sscd1 to salt stress.
PMID- 29785521
TI - Spatial Stochastic Intracellular Kinetics: A Review of Modelling Approaches.
AB - Models of chemical kinetics that incorporate both stochasticity and diffusion are
an increasingly common tool for studying biology. The variety of competing models
is vast, but two stand out by virtue of their popularity: the reaction-diffusion
master equation and Brownian dynamics. In this review, we critically address a
number of open questions surrounding these models: How can they be justified
physically? How do they relate to each other? How do they fit into the wider
landscape of chemical models, ranging from the rate equations to molecular
dynamics? This review assumes no prior knowledge of modelling chemical kinetics
and should be accessible to a wide range of readers.
PMID- 29785522
TI - Non-invasive vagus nerve stimulation significantly improves quality of life in
patients with persistent postural-perceptual dizziness.
AB - Persistent postural-perceptual dizziness (PPPD) is one of the most common causes
of chronic vestibular disorders, with a substantial portion of the affected
patients showing no significant improvement to standard therapies (i.e.,
pharmacotherapy, behavioral psychotherapy). Patients with PPPD have been shown to
have a significant comorbidity with anxiety disorders and depression. Further,
these patients show an activation of the autonomic nervous system resulting in
symptoms such as nausea, increase of heart rate, and sweating. Based on the
comorbidities and the activation of the autonomic nervous system, we addressed
the question whether non-invasive vagus nerve stimulation (nVNS) might be a
treatment option for these patients. In this prospective study we, therefore,
applied nVNS to patients with treatment-refractory (to the standard therapy)
PPPD. The stimulation protocol was similar to previous studies in patients with
cluster headache and consisted of stimulations during exacerbations or acute
attacks of vertigo, but also with regular stimulations in the morning and evening
as prophylactic treatment. Results showed that non-invasive vagus nerve
stimulation significantly improved quality of life, as measured by the EQ-5D-3L
(p = 0.04), and depression, as measured by the HADS-D (p = 0.002), in the nVNS
group, but not in the age- and sex-matched group with standard of care (SOC)
treatment. Moreover, in the pooled analysis (additional 4 weeks of stimulation
also in the SOC-group), less severe vertigo attacks/exacerbations (p = 0.04), a
decrease in total postural sway path as measured by posturography (p = 0.02), as
well as tendentious less anxiety (p = 0.08), occurred after stimulation. These
data imply that short term nVNS is a safe and promising treatment option in
patients with otherwise refractory PPPD.
PMID- 29785525
TI - Beyond the Border and Into the Heartland: Spatial Patterning of U.S. Immigration
Detention.
AB - The expansion of U.S. immigration enforcement from the borders into the interior
of the country and the fivefold increase in immigration detentions and
deportations since 1995 raise important questions about how the enforcement of
immigration law is spatially patterned across American communities. Focusing on
the practice of immigration detention, the present study analyzes the records of
all 717,160 noncitizens detained by Immigration and Customs Enforcement (ICE) in
2008 and 2009-a period when interior enforcement was at its peak-to estimate
states' detention rates and examine geographic variation in detention outcomes,
net of individual characteristics. Findings reveal substantial state
heterogeneity in immigration detention rates, which range from approximately 350
detentions per 100,000 noncitizens in Connecticut to more than 6,700 detentions
per 100,000 noncitizens in Wyoming. After detainment, individuals' detention
outcomes are geographically stratified, especially for detainees eligible for
pretrial release. These disparities indicate the important role that geography
plays in shaping individuals' chances of experiencing immigration detention and
deportation.
PMID- 29785523
TI - Efficacy and safety of rituximab in relapsing and progressive multiple sclerosis:
a hospital-based study.
AB - INTRODUCTION: Rituximab is considered as a potential therapeutic option in
relapsing-remitting (RRMS) and progressive forms (PMS) of multiple sclerosis
(MS). OBJECTIVE: To investigate the effectiveness and safety of rituximab in MS.
PATIENTS AND METHODS: Observational study of effectiveness (clinical and
radiological) and safety of rituximab in RRMS and PMS. RESULTS: A total of 90
rituximab-treated patients were collected: 31 RRMS and 59 PMS All patients had an
active disease despite standard treatment. The annualized relapse rate (ARR) the
year before rituximab was 0.86, 53.3% of patients had gadolinium enhanced lesion,
and mean Expanded Disability Status Scale (EDSS) had increased from 4.2 to 4.9.
During treatment, the ARR was reduced an 88.4% (p < 0.001). A significant
decrease of EDSS to 4.6 was observed (p = 0.01) after 1 year of treatment, which
remained stable during the second year in both groups. There was no evidence of
disease activity in 70% of total sample, 74.2% of RRMS, and 67% of the PMS
patients. Infusion-related symptoms were the most prevalent side effect (18.8%)
and most were mild. Three thrombotic events were detected. CONCLUSION: Rituximab
could be an effective and safe treatment in aggressive RRMS. Some selected PMS
patients could also benefit from this treatment.
PMID- 29785524
TI - A 9-year follow-up study of quantitative muscle strength changes in myotonic
dystrophy type 1.
AB - Myotonic dystrophy type 1 (DM1) is a neuromuscular disorder presenting with major
muscle impairments. However, few studies have addressed muscle strength
progression using quantitative methods. The aims of this study were to document
muscle strength changes in eight muscle groups among adults with DM1 over a 9
year period, and to compare this progression between phenotypes (adult and late
onset) and sex. Patients with a genetic diagnosis of DM1 with the late-onset or
the adult phenotype were recruited at baseline through the clinical registry of
the Saguenay Neuromuscular Clinic. The maximum isometric muscle strength was
measured at baseline and 9 years later using a standardized protocol of
quantitative muscle testing. Muscle groups included were shoulder abductors,
elbow flexors/extensors, wrist extensors, hip flexors, knee flexors/extensors,
and ankle dorsiflexors. For the whole group, a mean loss of 24.5-52.8% was
observed over the 9-year period for all muscle groups, except for hip flexors
which remained stable. Generally, men were stronger and showed a significant
greater rate of decline of muscle strength than women. The adult and late-onset
phenotypes taken separately also showed a significant and similar decline over
the 9-year period, except for the wrist and knee extensors where muscle strength
of participants with the adult phenotype decreased faster than in the late-onset
phenotype. The similar rate of decline of muscle strength loss observed between
phenotypes highlights the need to develop interventions to prevent this decline,
even for patients with the late-onset phenotype who are often considered as
mildly impaired, and therefore neglected by the rehabilitation services.
PMID- 29785526
TI - Education and Physical Health Trajectories in Later Life: A Comparative Study.
AB - The cumulative (dis)advantage hypothesis states that health disparities between
education groups increase with age. The present study examined this hypothesis in
a comparative analysis of the United States, the United Kingdom, the Netherlands,
and Sweden. These countries offer sharp contrasts in the social conditions that
may intensify or inhibit processes of cumulative (dis)advantage. Using harmonized
panel data from the HRS, ELSA, and SHARE, the study applied Poisson multilevel
regression models to trace changes in the number of chronic conditions and
functional limitations of people aged 50-76 (N = 16,887 individuals; 71,154
observations). The four countries showed a clear gradient in levels of physical
health and in the extent to which health trajectories were shaped by education.
Across all ages and cohorts, health problems were most prevalent in the United
States, less prevalent in the United Kingdom and the Netherlands, and least
prevalent in Sweden. A similar cross-national gradient was found for the size of
health gaps between education groups and for the extent to which these gaps
widened with age. Gaps were largest in the United States, smaller in the United
Kingdom and in the Netherlands, and smallest in Sweden.
PMID- 29785527
TI - Birth Intervals and Health in Adulthood: A Comparison of Siblings Using Swedish
Register Data.
AB - A growing body of research has examined whether birth intervals influence
perinatal outcomes and child health as well as long-term educational and
socioeconomic outcomes. To date, however, very little research has examined
whether birth spacing influences long-term health. We use contemporary Swedish
population register data to examine the relationship between birth-to-birth
intervals and a variety of health outcomes in adulthood: for men, height,
physical fitness, and the probability of falling into different body mass index
categories; and for men and women, mortality. In models that do not adjust
carefully for family background, we find that short and long birth intervals are
clearly associated with height, physical fitness, being overweight or obese, and
mortality. However, after carefully adjusting for family background using a
within-family sibling comparison design, we find that birth spacing is generally
not associated with long-term health, although we find that men born after very
long birth intervals have a higher probability of being overweight or obese in
early adulthood. Overall, we conclude that birth intervals have little
independent effect on long-term health outcomes.
PMID- 29785528
TI - A rare case of ascending colon adenocarcinoma incarcerated in an inguinoscrotal
hernia: case report and literature review.
AB - BACKGROUND: Inguinal hernias and colorectal cancers are common conditions, but
the presentation of a loop of bowel containing cancer within a hernia is rare.
Principles of surgery include oncological resection of the involved colonic
segment as well as lymphatic drainage. Based on case reports of the last several
decades, there have been no reports of a case where the reduction of an
inguinoscrotal hernia and oncological colectomy were performed completely
laparoscopically. We present the first instance of a completely laparoscopically
assisted resection and hernia repair on a patient with T4 ascending colon cancer.
A literature search on recent case reports over the last 30 years has also been
presented with a focus on trends in treatment. CASE PRESENTATION: An 83-year-old
man presented for further investigation of his iron deficiency anaemia and was
diagnosed with adenocarcinoma of the ascending colon. This was demonstrated
radiologically to be found within a large right inguinoscrotal hernia. He
underwent a laparoscopically assisted right hemicolectomy and laparoscopic
closure of the internal ring and recovered well. CONCLUSIONS: Colorectal cancers
within inguinal hernias are rare and can often present with complications such as
perforation. As such, treatment has mostly involved an open operation. The last
few years have shown feasibility of a laparoscopic approach and can be attempted
safely when indicated.
PMID- 29785529
TI - Isolation and characterization of Bacillus sp. GFP-2, a novel Bacillus strain
with antimicrobial activities, from Whitespotted bamboo shark intestine.
AB - The abuse of antibiotics and following rapidly increasing of antibiotic-resistant
pathogens is the serious threat to our society. Natural products from
microorganism are regarded as the important substitution antimicrobial agents of
antibiotics. We isolated a new strain, Bacillus sp. GFP-2, from the Chiloscyllium
plagiosum (Whitespotted bamboo shark) intestine, which showed great inhibitory
effects on the growth of both Gram-positive and Gram-negative bacteria.
Additionally, the growth of salmon was effectively promoted when fed with
inactivated strain GFP-2 as the inhibition agent of pathogenic bacteria. The
genes encoding antimicrobial peptides like LCI, YFGAP and hGAPDH and gene
clusters for secondary metabolites and bacteriocins, such as difficidin,
bacillibactin, bacilysin, surfactin, butirosin, macrolactin, bacillaene,
fengycin, lanthipeptides and LCI, were predicted in the genome of Bacillus sp.
GFP-2, which might be expressed and contribute to the antimicrobial activities of
this strain. The gene encoding beta-1,3-1,4-glucanase was successfully cloned
from the genome and this protein was detected in the culture supernatant of
Bacillus sp. GFP-2 by the antibody produced in rabbit immunized with the
recombinant beta-1,3-1,4-glucanase, indicating that this strain could express
beta-1,3-1,4-glucanase, which might partially contribute to its antimicrobial
activities. This study can enhance a better understanding of the mechanism of
antimicrobial activities in genus Bacillus and provide a useful material for the
biotechnology study in antimicrobial agent development.
PMID- 29785531
TI - Prevalent genotypes of methylenetetrahydrofolate reductase (MTHFR) in recurrent
miscarriage and recurrent implantation failure.
AB - OBJECTIVE: To evaluate the association of two common methylenetetrahydrofolate
reductase (MTHFR) gene polymorphisms with recurrent miscarriage (RM) and repeated
implantation failure (RIF) METHODS: The study comprised of 521 patients, with a
history of RM (n = 370) or RIF (n = 151). One hundred forty-four women with
fallopian tube blockages who had successfully conceived after the first in vitro
fertilization embryo transfer treatment served as the control group. The MTHFR
alleles, genotypes, and haplotypes were assessed in different groups. RESULTS:
There was no difference in allele frequency and distribution of MTHFR
polymorphisms between case and control patients. The 1298AA genotype was
represented in a higher frequency, and 1298AC genotype was significantly lower in
subfertile group when compared to the control group. A significant relationship
was found between the 1298AC genotype and the RIF subgroup. The haplotype
677CC/1298AA was overrepresented in the RM subgroup (> 2 times) and haplotype
677CC/1298AC was underrepresented in the RIF subgroup (P < 0.05). Nevertheless,
these two haplotypes were not connected to fertilization and embryo cleavage
rates. CONCLUSION: Our findings indicate that the MTHFR gene polymorphism might
play a role in the etiology of patients with RM or RIF. No adverse effects of
different MTHFR haplotypes on embryo development were detected. Further studies
on the biological role are needed to better understand the susceptibility to
pregnancy complications.
PMID- 29785530
TI - Azoospermia and embryo morphokinetics: testicular sperm-derived embryos exhibit
delays in early cell cycle events and increased arrest prior to compaction.
AB - PURPOSE: Sperm play an essential role in embryonic genome activation and
embryonic progression to blastocyst. In the present work, we focus on development
of embryos created as a result of ICSI with testicular or epididymal sperm from
azoospermic males and compare this to outcomes from normospermic males. The
objective of this study was to determine if sperm origin influences clinical
outcomes, the kinetics of embryo development, or the incidence of cleavage
anomalies and multinucleation. METHODS: A total of 93 consecutive
intracytoplasmic sperm injection cycles (ICSI) performed for 83 couples were
included in this study. Observations were made on 594 fertilized oocytes cultured
in the EmbryoScope using time-lapse microscopy (TLM). Epididymal sperm (n = 29)
cycles or surgically retrieved sperm from the testis (TESE; n = 37 cycles) of men
with either obstructive (OA) or non-obstructive azoospermia (NOA) were used to
inject oocytes. A further 27 ICSI cycles were performed using ejaculated sperm
from normospermic males, designated as our control sperm (CS) group. Kinetic data
and cycle outcomes were retrospectively analyzed. RESULTS: The clinical pregnancy
rate was not different between the three groups (TESE 51.4%, PESA 57.7%, and CS
59.3%). A non-significant decrease was observed in both implantation (30.9%) and
live birth rate (43%) with TESE as compared to PESA (35.3%, 58%, respectively)
and CS groups (45.1%, 56%, respectively). Failure to compact was significantly
higher amongst TESE-NOA embryos (35.2%; P < 0.001) as compared to TESE-OA (4%),
PESA (9%), and CS (3.8%) embryos. The two points at which TESE-derived embryos
(both NOA and OA) behaved most differently from PESA and CS embryos was at cc2
(t3-t2; time to initiation of the second cell cycle) and tSB (time to start of
blastulation). A significantly lower percentage of TESE embryos exhibited
kinetics typically ascribed to high quality embryos with the greatest
developmental potential. Finally, the incidence of direct uneven cleavage (DUC)
was observed to be significantly higher after ICSI with sperm retrieved from
azoospermic males. CONCLUSIONS: TLM allowed a more in depth comparison of
paternal influence on embryo morphokinetics and helped to identify specific
differences in cell cycle kinetics. TESE-NOA embryos exhibited a higher incidence
of compaction failure.
PMID- 29785532
TI - Comparison of intracytoplasmic sperm injection outcome with fresh versus frozen
thawed testicular sperm in men with nonobstructive azoospermia: a systematic
review and meta-analysis.
AB - PURPOSE: The purpose of the study is to explore testicular sperm cryopreservation
in patients with nonobstructive azoospermia (NOA) whether affect the outcome of
subsequent intracytoplasmic sperm injection (ICSI). METHODS: A systematic review
and meta-analysis was conducted by searching the MEDLINE and EMBASE databases for
relevant published studies in English language (1997-2017). Studies were eligible
if they included the comparison of using fresh and frozen-thawed testicular sperm
followed by ICSI. Two reviewers independently performed data extraction, quality
assessment and assessed the risk of bias. The overall summary risk estimated the
number of events. A meta-analysis was conducted using a random effects or fixed
effects model analysis according to the test of heterogeneity. RESULTS: A total
of 17 studies with 1,261 ICSI cycles were identified. Analysis of the present
data showed no difference in the fertilization outcome when comparing fresh
versus frozen-thawed spermatozoa (RR = 1.02, 95% CI 0.86-1.09). Similarly, no
difference in CR (RR = 1.01, 95% CI 0.96-1.05), good embryo rate (RR = 1.01, 95%
CI 0.95-1.09), and IR (RR = 0.93, 95% CI 0.66-1.30) was observed if the
spermatozoa was fresh or frozen-thawed. Finally, no difference in CPR or LBR was
noted when using fresh or frozen-thawed cycles were analyzed separately (RR =
1.03, 95% CI 0.86-1.24; RR 1.11, 95% CI 0.88-1.41, respectively). CONCLUSIONS: In
men with NOA, the ICSI outcome is not affected by whether the retrieved
testicular sperm is fresh or frozen. Sperm cryopreservation ought to be
considered in every surgical sperm retrieval case, which remain feasible even in
patients with few testicular sperm retrieved.
PMID- 29785533
TI - Stressful Life Events, ADHD Symptoms, and Brain Structure in Early Adolescence.
AB - Despite a growing understanding that early adversity in childhood broadly affects
risk for psychopathology, the contribution of stressful life events to the
development of symptoms of attention-deficit/hyperactivity disorder (ADHD) is not
clear. In the present study, we examined the association between number of
stressful life events experienced and ADHD symptoms, assessed using the Attention
Problems subscale of the Child Behavior Checklist, in a sample of 214 children
(43% male) ages 9.11-13.98 years (M = 11.38, SD = 1.05). In addition, we examined
whether the timing of the events (i.e., onset through age 5 years or after age 6
years) was associated with ADHD symptoms. Finally, we examined variation in brain
structure to determine whether stressful life events were associated with volume
in brain regions that were found to vary as a function of symptoms of ADHD. We
found a small to moderate association between number of stressful life events and
ADHD symptoms. Although the strength of the associations between number of events
and ADHD symptoms did not differ as a function of the age of occurrence of
stressful experiences, different brain regions were implicated in the association
between stressors and ADHD symptoms in the two age periods during which stressful
life events occurred. These findings support the hypothesis that early adversity
is associated with ADHD symptoms, and provide insight into possible brain-based
mediators of this association.
PMID- 29785535
TI - Effects of trypsinization and of a combined trypsin, collagenase, and DNase
digestion on liberation and in vitro function of satellite cells isolated from
juvenile porcine muscles.
AB - Muscle stem cells, termed satellite cells (SC), and SC-derived myogenic
progenitor cells (MPC) are involved in postnatal muscle growth, regeneration, and
muscle adaptability. They can be released from their natural environment by
mechanical disruption and tissue digestion. The literature contains several
isolation protocols for porcine SC/MPC including various digestion procedures,
but comparative studies are missing. In this report, classic trypsinization and a
more complex trypsin, collagenase, and DNase (TCD) digestion were performed with
skeletal muscle tissue from 4- to 5-d-old piglets. The two digestion procedures
were compared regarding cell yield, viability, myogenic purity, and in vitro cell
function. The TCD digestion tended to result in higher cell yields than digestion
with solely trypsin (statistical trend p = 0.096), whereas cell size and
viability did not differ. Isolated myogenic cells from both digestion procedures
showed comparable proliferation rates, expressed the myogenic marker Desmin, and
initiated myogenic differentiation in vitro at similar levels. Thus, TCD
digestion tended to liberate slightly more cells without changes in the tested in
vitro properties of the isolated cells. Both procedures are adequate for the
isolation of SC/MPC from juvenile porcine muscles but the developmental state of
the animal should always be considered.
PMID- 29785534
TI - An enzyme-free homogenous electrochemical assay for sensitive detection of the
plasmid-mediated colistin resistance gene mcr-1.
AB - Antibiotic resistance associated with the mcr-1 gene of Gram-negative bacteria,
which confers resistance to drugs of last resort and has the potential to spread
via plasmids, is one of the most pressing issues facing global health today.
Point-of-care testing for the mcr-1 gene is needed to aid in the identification
of colistin resistance in the field and to control its horizontal transmission.
Here, we report the successful development of an enzyme-free homogenous
electrochemical strategy for sensitive detection of the antibiotic resistance
gene mcr-1 using the hybridization chain reaction and mcr-1-specific toehold
probe. The long double-stranded DNA polymer produced using this strategy could be
detected by assessing the diffusion of methylene blue towards the surface of a
screen-printed gold electrode. Under optimized conditions, a linear relationship
was observed between the variation of peak current and the natural logarithm of
the mcr-1 gene concentration in the range of 1 nM to 1 MUM with a detection limit
of 0.78 nM (S/N = 3). This enzyme-free, isothermal platform is a rapid, portable,
disposable, and sensitive method for detection of plasmid-mediated colistin
resistance.
PMID- 29785539
TI - The Effect of Spironolactone on the Incidence of Contrast-Induced Nephropathy in
Patients Undergoing Cardiac Catheterization: Study Design and Rationale.
AB - INTRODUCTION: Patients undergoing coronary catheterization are at high risk of
developing contrast-induced nephropathy (CIN) acute kidney injury (AKI). Several
approaches have been supposed to limit such an effect but with mixed results or
non-practical methods. Spironolactone is supposed to be effective as a
nephroprotective agent in animal studies. This study will try to measure the
effect of spironolactone on the incidence of CIN-AKI in patients undergoing
coronary catheterization (angiography angioplasty). METHODS: This study is a
single-center, investigator-driven, double-blinded randomized controlled study in
Iraq-Basra. More than 400 patients admitted for coronary angio unit in our center
will be allocated in a 1:1 ratio to receive either spironolactone 200 mg single
dose or placebo in addition to their usual premedication. PLANNED OUTCOMES:
Primary end point will be CIN defined as more than 25% or 0.3 mg/dl elevation in
serum creatinine (S.Cr.) from baseline during the first 2-3 days after the
procedure. We hope to identify or answer an important question regarding CIN in
such high-risk patients. TRIAL REGISTRATION: ClinicalTrials.gov Identifier,
NCT03329443.
PMID- 29785537
TI - Differentiation of benign and malignant ampullary obstruction by multi-row
detector CT.
AB - PURPOSE: To determine useful CT parameters to differentiate ampullary carcinomas
from benign ampullary obstruction. MATERIALS AND METHODS: This study included 93
patients who underwent abdominal CT, 31 patients with ampullary carcinomas, and
62 patients with benign ampullary obstruction. Two radiologists independently
evaluated CT parameters then reached consensus decisions. Statistically
significant CT parameters were identified through univariate and multivariate
analyses. RESULTS: In univariate analysis, the presence of ampullary mass,
asymmetric, abrupt narrowing of distal common bile duct (CBD), dilated
intrahepatic bile duct (IHD), dilated pancreatic duct (PD), peripancreatic
lymphadenopathy, duodenal wall thickening, and delayed enhancement were more
frequently in ampullary carcinomas observed (P < 0.05). Multivariate logistic
regression analysis using significant CT parameters and clinical data from
univariate analysis, and clinical symptom with jaundice (P = 0.005) was an
independent predictor of ampullary carcinomas. For multivariate analysis using
only significant CT parameters, abrupt narrowing of distal CBD was an independent
predictor of ampullary carcinomas (P = 0.019). Among various CT criteria, abrupt
narrowing of distal CBD and dilated IHD had highest sensitivity (77.4%) and
highest accuracy (90.3%). CONCLUSION: The abrupt narrowing of distal CBD and
dilated IHD is useful for differentiation of ampullary carcinomas from benign
entity in patients without the presence of mass.
PMID- 29785536
TI - Wnt3a promotes differentiation of human bone marrow-derived mesenchymal stem
cells into cementoblast-like cells.
AB - Cementum is a calcified, avascular connective tissue that laminates the root of a
tooth and plays a pivotal role in the development, homeostasis, and regeneration
of a periodontal tissue. As a potential treatment for periodontal tissue defects
in the patient with chronic periodontitis, much attention has been paid to tissue
engineering combined with mesenchymal stem cells for regenerating periodontal
tissues including cementum. However, limited information is available for the
molecular factors that have impacts on the differentiation of mesenchymal stem
cells into cementoblasts. Here, we focus on the effect of Wnt3a as a potential
inducer and tested the effect of this protein in vitro using human bone marrow
derived mesenchymal stem cells. It was found that, when cells were cultured in an
osteogenic medium containing Wnt3a, cementoblast-specific genes, such as cementum
protein 1 and cementum attachment protein, as well as bone-related genes were
significantly upregulated. These results suggest that Wnt3a promotes
differentiation of the cells into cementoblast-like cells. Further experiments
were carried out using inhibitors to gain deeper insights into molecular
mechanisms underlying the observed differentiation. As a result, we conclude that
Wnt3a-triggered differentiation into cementoblast-like cells is the consequence
of the activation of the canonical Wnt signaling pathway with possible
involvement of the non-canonical pathway.
PMID- 29785538
TI - Stress phase angle regulates differentiation of human adipose-derived stem cells
toward endothelial phenotype.
AB - Endothelial cells are subjected to cyclic shear by pulsatile blood flow and
pressures due to circumferential stresses. Although most of the researches on
this topic have considered the effects of these two biomechanical forces
separately or concurrently, few studies have noticed the interaction of these
cyclic loadings on endothelial behavior. Negative temporal stress phase angle,
defined by the phase lag between cyclic shear and tensile stresses, is an
established parameter which is known to have substantial effects on blood vessel
remodeling and progression of some serious cardiovascular diseases. In this
research, intermittent shear and tensile stresses with different stress phase
angle values were applied on human adipose stem cells (ASC). The expression level
of three major endothelial-specific genes, elastic modulus of cells and
cytoskeleton actin structure of cells were studied and compared among control and
three test groups subjected to stress phase angle values at 0 degrees , - 45
degrees , and - 90 degrees . Mechanical properties of ASCs were determined by
atomic force microscopy and actin fiber structure was visualized by confocal
imaging through Phalloidin staining. Results described a decrease in expression
of FLK-1 and VE-cadherin and rise of vWF marker expression in case of higher
negative stress phase angles. The Young's moduli of cells were significantly
higher and cytoskeletal actin structure was more organized with higher thickness
for all test samples subjected to combined stresses; however, these features were
less magnificent for applied stress phase angles with higher negative values. The
results confirmed significant effects of SPA on endothelial differentiation of
mesenchymal stem cells.
PMID- 29785540
TI - Use of magnetic resonance imaging in rectal cancer patients: Society of Abdominal
Radiology (SAR) rectal cancer disease-focused panel (DFP) recommendations 2017.
AB - PURPOSE: To propose guidelines based on an expert-panel-derived unified approach
to the technical performance, interpretation, and reporting of MRI for baseline
and post-treatment staging of rectal carcinoma. METHODS: A consensus-based
questionnaire adopted with permission and modified from the European Society of
Gastrointestinal and Abdominal Radiologists was sent to a 17-member expert panel
from the Rectal Cancer Disease-Focused Panel of the Society of Abdominal
Radiology containing 268 question parts. Consensus on an answer was defined as >=
70% agreement. Answers not reaching consensus (< 70%) were noted. RESULTS:
Consensus was reached for 87% of items from which recommendations regarding
patient preparation, technical performance, pulse sequence acquisition, and
criteria for MRI assessment at initial staging and restaging exams and for MRI
reporting were constructed. CONCLUSION: These expert consensus recommendations
can be used as guidelines for primary and post-treatment staging of rectal cancer
using MRI.
PMID- 29785542
TI - Perioperative hemorrhagic complications in pelvic floor reconstructive surgery.
AB - INTRODUCTION AND HYPOTHESIS: We sought to assess the incidence, symptoms, and
risk factors of perioperative hemorrhagic complications in patients undergoing
pelvic floor reconstructive surgery. METHODS: This is a retrospective study on
694 consecutive patients who underwent pelvic floor reconstructive surgery with
or without using mesh in our hospital over a 3-year period. RESULTS: We
identified 694 pelvic floor reconstructive procedures from 2014 to 2016,
including complete/incomplete colpocleisis (176, 25.4%), sacral
colpopexy/hysteropexy with mesh (140, 20.1%), colporrhaphy (77, 11.1%) or vaginal
mesh repair (99, 43.1%). Two patients who received only sacrospinous ligament
suspension were excluded. There were 68 (9.8%) and 3 (0.1%) patients whose blood
loss reached 200 and 500 ml respectively. Procedures involving mesh and vaginal
hysterectomy (VH) caused more intraoperative blood loss. Postoperative hemoglobin
drop was least in colpocleisis (p < 0.05). All 6 of the patients (0.9%) who
developed postoperative pelvic hematoma underwent concomitant VH, and 5 of them
received mesh. CONCLUSIONS: Hemorrhagic complications during or after pelvic
floor reconstructive surgery are rare. Mesh use and concomitant VH are two major
surgical risk factors for hemorrhagic complications in pelvic floor
reconstructive surgery.
PMID- 29785541
TI - New observations in tumor cell plasticity: mutational profiling in a case of
metastatic melanoma with biphasic sarcomatoid transdifferentiation.
AB - We describe a highly unusual case of metastatic melanoma in a 61-year-old female
that manifested as a single groin lymph node metastasis accompanied by two
distinct, subcutaneous sarcomatoid tumors on the same leg, without evidence of a
primary tumor. Characterization encompassed extensive immunohistochemical
staining as well as next-generation sequencing (NGS). The lymph node metastasis
showed obvious features of melanoma. The two subcutaneous lesions, however, were
morphologically and immunohistochemically consistent with high-grade
myxofibrosarcoma and soft tissue mixed tumor, respectively. All three lesions
were BRAF wild-type and found to harbor an identical NRAS p.Q61R mutation.
Metachronic intestinal metastases, showing intermingled conventional and
sarcomatoid morphology, as well as an identical genetic phenotype, corroborated
these findings. The concordant genetic profile provided evidence of biphasic
sarcomatoid transdifferentiation of melanoma. Interestingly, the lack of genetic
heterogeneity between the three morphologically distinct tumors suggests factors
other than genetic mutations to be involved in melanoma transdifferentiation.
PMID- 29785545
TI - Development of GP and GEP models to estimate an environmental issue induced by
blasting operation.
AB - Air overpressure (AOp) is one of the most adverse effects induced by blasting in
the surface mines and civil projects. So, proper evaluation and estimation of the
AOp is important for minimizing the environmental problems resulting from
blasting. The main aim of this study is to estimate AOp produced by blasting
operation in Miduk copper mine, Iran, developing two artificial intelligence
models, i.e., genetic programming (GP) and gene expression programming (GEP).
Then, the accuracy of the GP and GEP models has been compared to multiple linear
regression (MLR) and three empirical models. For this purpose, 92 blasting events
were investigated, and subsequently, the AOp values were carefully measured.
Moreover, in each operation, the values of maximum charge per delay and distance
from blast points, as two effective parameters on the AOp, were measured. After
predicting by the predictive models, their performance prediction was checked in
terms of variance account for (VAF), coefficient of determination (CoD), and root
mean square error (RMSE). Finally, it was found that the GEP with VAF of 94.12%,
CoD of 0.941, and RMSE of 0.06 is a more precise model than other predictive
models for the AOp prediction in the Miduk copper mine, and it can be introduced
as a new powerful tool for estimating the AOp resulting from blasting.
PMID- 29785543
TI - Outcome of hospitalised heart failure in Japan and the United Kingdom stratified
by plasma N-terminal pro-B-type natriuretic peptide.
AB - BACKGROUND: Mortality subsequent to a hospitalisation for heart failure is
reported to be much lower in Japan than in the United Kingdom (UK). This could
reflect differences in disease severity or in management. Accordingly, we
directly compared patient backgrounds and outcomes between Japan and UK. METHODS:
Consecutive patients admitted to academic hospitals in the UK and Japan with
heart failure had a common set of variables, including plasma concentrations of N
terminal pro-B-type natriuretic peptide (NT-proBNP), collected during admission.
Mortality during hospitalisations, at 90 and 180 days was recorded and stratified
by quintile of NT-proBNP. RESULTS: Overall, 935 patients were enrolled; 197 from
UK and 738 from Japan. Median (interquartile range) age [UK: 78 (71-88) vs.
Japan: 78 (70-84) years; p = 0.947], glomerular filtration rate [UK: 49 (34-68)
vs. Japan: 49 (33-65) ml/min/1.73 m2; p = 0.209] and plasma NT-proBNP [UK: 4957
(2278-10,977) vs. Japan: 4155 (1972-9623) ng/l; p = 0.186] were similar, but
systolic blood pressure was lower in the UK [118 (105-131) vs. 137 (118-159)
mmHg; p < 0.001]. Patients with a higher plasma NT-proBNP had a worse prognosis
in both countries; in-hospital and post-discharge mortality rates were higher in
the UK even after adjusting for prognostic variables including NT-proBNP.
CONCLUSIONS: This analysis suggests that either unobserved differences in patient
characteristics or differences in care (formal or informal) rather than greater
heart failure severity may account for the worse outcome of heart failure in the
UK compared to Japan.
PMID- 29785544
TI - HeartMate II implantation technique that spares the sternum and ascending aorta.
AB - Left ventricular assist devices (LVADs) have become the standard therapy for
patients with end-stage heart failure, and the use of LVADs for long-term support
has grown exponentially over the past decade. As the number of LVAD implantations
has increased, surgeons have faced more challenging cases, such as those in which
the patient has previously undergone a sternotomy. The HeartMate II is one of the
most widely implanted LVADs. The standard procedure for HeartMate II implantation
is median sternotomy and sewing the outflow graft to the ascending aorta.
However, in patients with sternal comorbidities, it can be advantageous to use a
less invasive approach that avoids this procedure. We describe the case of a 64
year-old man with a history of end-stage ischemic cardiomyopathy who had
previously undergone a median sternotomy and a coronary artery bypass grafting
operation and had patent grafts. He required a HeartMate II LVAD (destination
therapy), which was implanted via a left subcostal incision; the pump was placed
subdiaphragmatically, and the outflow graft was sewed to the descending aorta to
avoid a complicated redo cardiac operation via median sternotomy and to minimize
the risk of injuring the patent bypass grafts. The patient survived for more than
500 days postoperatively. This approach is feasible and could be a safer method
for implanting a HeartMate II device in patients with serious comorbidities that
preclude the use of the traditional implantation techniques.
PMID- 29785546
TI - Diagnosis and management of primary hepatic pregnancy: literature review of 31
cases.
AB - PURPOSE: To summarize the appropriate diagnostic methods and therapeutic options
for primary hepatic pregnancy (PHP). METHODS: Literature searches were performed
in Pubmed, Web of Science, Cochrane Library and Embase databases (1956-2017),
using the following search terms: primary hepatic pregnancy, hepatic pregnancy,
liver pregnancy, hepatic ectopic pregnancy and intrahepatic pregnancy. Further
literature was confirmed through cross-referencing. RESULTS: Thirty-one cases
were reviewed and collected. The site mostly described in literatures is the
right lobe of liver (93.5%). Main symptoms of PHP included abdominal pain
(77.4%), amenorrhea (45.2%), acuteperitonism (32.3%), shock (25.8%) and vomit
(16.1%). Majority of patients (83.9%) were treated by laparotomy. Less-invasive
approaches (16.1%) such as laparoscopy or combination of postoperative injection
of methotrexate were used less frequently. The outcome was acceptable at the end
of the follow-up period in ten cases (1-72 months) and the recovery rate was
96.7%. One patient died and other complications were noted in three patients
during the postoperative period. CONCLUSIONS: The clinical diagnosis of PHP can
be settled up by comprehensive analysis of serum HCG levels, ultrasound and
imaging. The analysis should be assessed carefully before therapeutic procedure.
Invasive methods should be preferential. Less-invasive approaches can be selected
when the patients have stable hemodynamics and non-acute abdomen.
PMID- 29785547
TI - Analysis of factors affecting outcomes of pregnancy complicated by Echinococcus:
an algorithm for approach and management.
AB - PURPOSE: The management of hydatid disease (HD) co-occurring with pregnancy
remains a challenge for physicians. We aimed to determine factors that were
related to fetal and maternal outcomes in HD complicated pregnancies and then
develop an approach/treatment algorithm. METHOD: All patients at the
participating hospitals were first analyzed to determine whether they had HD.
Only patients diagnosed with HD during the course of their pregnancy were
included. Certain cyst-related factors (diameter, localization, increase in size,
and viability) and certain pregnancy-related factors (treatments, gestational
week, maternal co-morbidities, and delivery type) were investigated. Nonlinear
principal component analysis (NPCA) was performed to determine the relationships
between the categories of variables. RESULTS: Out of 12,926 pregnancies, 27 cases
were diagnosed with HD. In 13 cases, each developed at least one fetal problem.
Using Albendazol in first trimester, presence of an active cyst, increased
diameter by more than 1 cm during pregnancy and a cyst diagnosed in the second
trimester were associated with at least one fetal problem. According to the NPCA
results, cyst diameter when first diagnosed was related to fetal outcomes; a cyst
greater than 10 cm was associated with "at least one fetal problem". Cysts 5-10
cm in diameter were in a neutral position, while 2-5 cm in diameter were in the
"no problems" group. CONCLUSIONS: HD mostly affects fetus. If cyst-related and
pregnancy-related variables are optimal, close follow-up on a monthly is the best
course of action. However, in high-risk conditions, percutaneous interventions or
surgery should be considered.
PMID- 29785548
TI - Operative vaginal delivery in case of persistent occiput posterior position after
manual rotation failure: a 6-month follow-up on pelvic floor function.
AB - PURPOSE: To compare the short- and long-term perineal consequences (at 6 months
postpartum) and short-term neonatal consequences of instrumental rotation (IR) to
those induced by assisted delivery (AD) in the occiput posterior (OP) position,
in case of manual rotation failure. METHODS: A prospective observational cohort
study; tertiary referral hospital including all women presenting with persistent
OP position who delivered vaginally after manual rotation failure with attempted
IR or AD in OP position from September 2015 to October 2016. Maternal and
neonatal outcomes of all attempted IR deliveries were compared with OP operative
vaginal deliveries. Main outcomes measured were pelvic floor function at 6 months
postpartum including Wexner score for anal incontinence and ICIQ-FLUTS for
urinary symptoms. Perineal morbidity comprised severe perineal tears,
corresponding to third and fourth degree lacerations. Fetal morbidity parameters
comprised low neonatal Apgar scores, acidaemia, major and minor fetal injuries
and neonatal intensive care unit admissions. RESULTS: Among 5265 women, 495
presented with persistent OP positions (9.4%) and 111 delivered after manual
rotation failure followed by AD delivery: 58 in the IR group and 53 in the AD in
OP group. The incidence of anal sphincter injuries was significantly reduced
after IR attempt (1.7% vs. 24.5%; p < 0.001) without increasing neonatal
morbidity. At 6 months postpartum, AD in OP position was associated with higher
rate of anal incontinence (30% vs. 5.5%, p = 0.001) and with more urinary
symptoms, dyspareunia and perineal pain. CONCLUSIONS: OP operative deliveries are
associated with significant perineal morbidity and pelvic floor dysfunction at 6
months postpartum.
PMID- 29785549
TI - Once episiotomy, always episiotomy?
AB - OBJECTIVE: To investigate the association between episiotomy and perineal damage
in the subsequent delivery. STUDY DESIGN: A retrospective cohort study was
conducted, comparing outcome of subsequent singleton deliveries of women with and
without episiotomy in their first (index) delivery. Deliveries occurred between
the years 1991-2015 in a tertiary medical center. Traumatic vaginal tears,
multiple pregnancies, and cesarean deliveries (CD) in the index pregnancy were
excluded from the analysis. Multiple logistic regression models were used to
control for confounders. RESULTS: During the study period, 43,066 women met the
inclusion criteria; of them, 50.4% (n = 21,711) had subsequent delivery after
episiotomy and 49.6% (n = 21,355) had subsequent delivery without episiotomy in
the index pregnancy. Patients with episiotomy in the index birth higher rates of
subsequent episiotomy (17.5 vs. 3.1%; P < 0.001; OR 1.9; 95% CI). In addition,
the rates of the first and second degree perineal tears as well as the third and
fourth degree perineal tears were significantly higher in patients following
episiotomy (33.6 vs. 17.8%; P < 0.001, and 0.2 vs. 0.1%; P = 0.002,
respectively). Nevertheless, there was no significant difference at the rates of
CD and instrumental deliveries, between the groups. While adjusting for maternal
age, ethnicity, birth weight, and vacuum delivery-the previous episiotomy was
noted as an independent risk factor for recurrent episiotomy in the subsequent
delivery (adjusted OR 6.7; 95% CI 6.2-7.3, P < 0.001). The results remained
significant for term (adjusted OR 6.8; 95% CI 6.2-7.4, P < 0.001) as well as
preterm deliveries (adjusted OR 4.5; 95% CI 3.3-6.3, P < 0.001) in two different
models. CONCLUSION: Episiotomy is an independent risk factor for recurrent
episiotomy in the subsequent delivery.
PMID- 29785550
TI - Does tumor grade influence the rate of lymph node metastasis in apparent early
stage ovarian cancer?
AB - PURPOSE: To evaluate the prevalence of regional lymph node (LN) metastasis in
patients with non-clear cell epithelial ovarian cancer apparently confined to the
ovary, stratified by tumor grade. METHODS: The National Cancer Institute's
Surveillance, Epidemiology, and End Results (SEER) database was accessed (1988
2014). We identified patients with epithelial ovarian carcinoma of serous,
endometrioid and mucinous histology apparently confined to the ovary who
underwent extensive lymphadenectomy (defined as at least 20 lymph nodes removed).
Demographics, tumor histology, grade and lymph node status were collected.
Comparisons were made with Chi square and Mann-Whitney U tests. RESULTS: A total
of 1242 women met the inclusion criteria. Endometrioid adenocarcinoma was the
most common histology (564 patients (45.4%)) while 443 (35.7%) and 235 (18.9%)
patients had serous, and mucinous adenocarcinoma, respectively. The rate of LN
metastasis in low-grade serous was 9.0% (6/67) vs. 14.4% (54/376) in high-grade
serous histology (OR, 1.71, 95% CI 0.70, 4.14, p = 0.24). In patients with low
grade endometrioid tumors, the rate of LN metastasis was 1.7% (7/407) vs. 5.1%
(8/157) observed in those with high-grade tumors (OR: 3.07, 95% CI 1.09, 8.61, p
= 0.033). Lastly, the rate of LN metastasis in mucinous histology was 1.7%
(3/177) in low-grade vs. 8.6% (5/58) in high-grade tumors (OR: 5.47, 95% CI 1.27,
23.66, p = 0.024). CONCLUSIONS: Regional LN metastasis in apparent stage I low
grade mucinous and endometrioid ovarian tumors is infrequent.
PMID- 29785552
TI - Suppression of pupillary unrest by general anesthesia and propofol sedation.
AB - The pupil undergoes irregular oscillations when exposed to light. These
oscillations, known as pupillary unrest in ambient light, originate from
oscillatory activity within the Edinger-Westphal nucleus in the midbrain. The
midbrain and upper pons also contain nuclei known to be very sensitive to the
effects of anesthetics that play a central role in maintaining wakefulness. We
hypothesized that anesthetics may display similar effects on wakefulness and
pupillary unrest. Repeat measurements of pupillary unrest using infrared
pupillometry were performed in 16 patients undergoing general anesthesia and 8
patients undergoing propofol sedation. Pupil scans were analyzed using fast
Fourier transformation to quantify the effects of the anesthetics on pupillary
unrest. During general anesthesia and deep sedation, observed pupillary unrest
values below 0.1 (AU) indicate complete suppression of pupillary oscillations.
Pupillary unrest decreased more during general anesthesia [to 24% of baseline
(95% CI 17-30%)] than pupil size [51% of baseline (95% CI 45-57%)]. Sedation with
propofol was associated with a reduction in pupillary unrest that was correlated
to the depth of sedation as assessed by the Richmond Agitation-Sedation Scale and
the processed electroencephalogram. Pupillary unrest is caused by oscillatory
activity within the midbrain that is affected by the state of wakefulness or by
hypnotics directly. Increased sedation and general anesthesia reduce and then
abolish pupillary unrest as wakefulness decreases. We speculate that midbrain
nuclei responsible for wakefulness and pupillary unrest are either communicating
or share a similar sensitivity to the effects of commonly used anesthetics.
PMID- 29785551
TI - Salivary duct carcinoma: evaluation of treatment and outcome in a tertiary
referral institute.
AB - PURPOSE: The aggressive behavior of salivary duct carcinoma (SDC) necessitates an
aggressive treatment strategy, including surgery and radiotherapy (RT). We
evaluated practice patterns and treatment outcomes in patients with SDC treated
in our Institute. METHODS: Patients with SDC of the parotid or submandibular
gland treated with curative intention in our Institute from 1998 until 2016 were
reviewed. Our diagnostic workup and treatment strategy were evaluated together
with treatment outcomes. RESULTS: Fifteen patients with SDC were included.
Staging included MRI and ultrasound-guided fine needle aspiration cytology. Only
in a minority (20%) of patients, the preoperative diagnosis of SDC was raised due
to positive immunohistochemical staining for the androgen receptor (AR) on
cytology. All patients were treated with (sub)total resection of the salivary
gland and 53% underwent a therapeutic neck dissection. All patients except one
received postoperative RT. Immunohistochemical staining was found positive for AR
(100%) and human epidermal growth factor receptor 2 (HER2/neu) (13%). No local
recurrences occurred. Regional and distant failure rates were 20% and 40%,
respectively. CONCLUSIONS: Excellent local control rates can be achieved with
extensive (local) surgical treatment and postoperative RT. In case of lymph node
metastases, a neck dissection with adjuvant postoperative RT is warranted. In
patients with node-negative disease, a less aggressive approach for the neck
seems feasible to reduce treatment-related morbidity.
PMID- 29785553
TI - Antituberculosis Drug-Induced Fixed Drug Eruption: A Case Report.
AB - Fixed drug eruption (FDE) was caused by fixed-dose combination (FDC) of
antituberculosis drugs in the form of tablet Forecox(r) (rifampicin [rifampin]
225 mg + isoniazid 150 mg + pyrazinamide 750 mg + ethambutol 400 mg) in a 40-year
old male patient with a history of drug allergy. The patient developed FDE after
taking the third dose of tablet Forecox(r) for pulmonary tuberculosis. Tablet
Forecox(r) was withdrawn and the patient recovered from the reaction after 15
days of treatment for FDE. As per World Health Organization-Uppsala Monitoring
Centre (WHO-UMC) and Naranjo causality assessment criteria, the association
between the reaction and tablet Forecox(r) was possible and probable,
respectively. The reaction was moderately (Level 4b) severe according to the
Modified Hartwig and Siegel scale. As there is an increased risk of allergic
reaction in patients with a history of drug allergy, FDCs should not be used in
order to avoid complexity in identifying the culprit drug.
PMID- 29785556
TI - Bilateral simultaneous "Santosh Post-graduate Institute tubularized flap
pyelovesicostomy" in a case of bilateral pelvi-ureteric junction obstruction with
bilateral giant hydronephrosis.
AB - We present a case of bilateral giant hydronephrosis (HDN) secondary to bilateral
pelvi-ureteric junction obstruction (PUJO) in a young girl, managed successfully
by robot-assisted bilateral tubularized flap pyelo-vesicostomy. This case report
highlights the feasibility, reproducibility and technicalities of this procedure.
PMID- 29785555
TI - Folic acid/methylfolate for the treatment of psychopathology in schizophrenia: a
systematic review and meta-analysis.
AB - RATIONALE: This study aims to examine whether folate/folic
acid/methylfolate/folinic acid supplemented to antipsychotics (FA + AP) is
beneficial in schizophrenia treatment. OBJECTIVE: We conducted a comprehensive
systematic review and meta-analysis of double-blind, placebo-controlled,
randomized clinical trials (RCTs) of FA + AP for schizophrenia. METHODS: The
primary outcome was an improvement in total symptoms. Other outcomes were
psychopathology subscales (positive, negative, general, and depressive symptoms),
discontinuation due to all-cause and adverse events, and individual adverse
events. The meta-analysis evaluated the effect size based on a random-effects
model. RESULTS: Although we included ten RCTs with 925 patients in total (seven
folic acid RCTs (n = 789), two methylfolate RCTs (n = 96), and one folinic acid
RCT (n = 40)) in the systematic review, only seven RCTs were included in the meta
analysis. Pooled FA + AP treatments were not superior to placebo + AP in the
improvement of total (N = 7, n = 340; standardized mean difference (SMD) = -
0.20, 95% confidence interval (CI) = - 0.41, 0.02, p = 0.08, I2 = 0%), positive,
general, or depressive symptoms. Pooled FA + AP treatments were more effective
than placebo + AP for negative symptoms (N = 5, n = 281; SMD = -0.25, 95% CI =
0.49, -0.01, p = 0.04, I2 = 0%). Although pooled FA + AP treatments were
associated with a lower incidence of serious adverse events than placebo
treatments (N = 4, n = 241; risk ratio = 0.32, 95% CI = 0.12-0.82, p = 0.02, I2 =
0%; number needed to harm = not significant), there were no significant
differences in other safety outcomes between both treatments. CONCLUSIONS: Our
findings suggest that pooled FA + AP treatment improves negative symptoms in
schizophrenia patients. Moreover, this treatment was well tolerated. However,
because our results might exhibit a small-study effect, future studies with a
larger sample should be conducted to obtain more robust results.
PMID- 29785554
TI - Interactions between kappa and mu opioid receptor agonists: effects of the ratio
of drugs in mixtures.
AB - RATIONALE: Pain is the leading reason for seeking health care, and mu opioid
receptor agonists continue to be prescribed despite well-documented adverse
effects. Kappa opioid receptor agonists have antinociceptive effects with little
to no abuse liability and might be useful for treating pain in mixtures. Kappa:mu
opioid mixtures might be useful if therapeutic effects of each drug can be
selectively increased while reducing or avoiding the adverse effects that occur
with larger doses of each drug alone. OBJECTIVE: This study characterized the
effects of the kappa opioid receptor agonist spiradoline alone (0.32-56 mg/kg)
and in 1:10, 1:3, 1:1, and 3:1 mixtures with the mu opioid receptor agonists
morphine (1.0-32 mg/kg) and etorphine (1-10 MUg/kg) on warm water tail-withdrawal
latency, body temperature, responding for food, and fecal output in male Sprague
Dawley rats (n = 24). RESULTS: Antinociceptive effects were greater than additive
for 1:10 and 1:3 spiradoline:morphine mixtures and for 1:10, 1:3, and 1:1
spiradoline:etorphine mixtures. The potency of spiradoline to produce hypothermia
was greater with 1:3 and 3:1 spiradoline:etorphine mixtures but not with 1:10 or
1:1 mixtures or with any spiradoline:morphine mixture. The effects of 1:3
spiradoline:morphine on responding for food were additive, whereas 1:1 and 3:1
were greater than additive. Spiradoline did not significantly alter morphine
induced decreases in fecal output. CONCLUSIONS: Overall, mixtures of kappa and mu
opioids might have therapeutic potential for treating pain, particularly when the
mixture has a greater ratio of mu to kappa agonist. If adverse effects of each
constituent drug are reduced or avoided, then kappa:mu mixtures might be
advantageous to mu opioids alone.
PMID- 29785557
TI - Highly Sensitive Ethanol Chemical Sensor Based on Novel Ag-Doped Mesoporous alpha
Fe2O3 Prepared by Modified Sol-Gel Process.
AB - Mesoporous alpha-Fe2O3 has been synthesized via a simple sol-gel procedure in the
presence of Pluronic (F-127) triblock copolymer as structure directing agent.
Silver (Ag) nanoparticles were deposited onto alpha-Fe2O3 matrix by the
photochemical reduction approach. Morphological analysis revealed the formation
of Ag nanoparticles with small sizes < 20 nm onto the mesoporous structure of
alpha-Fe2O3 possessing < 50 nm semi-spherical shape. The XRD, FTIR, Raman, UV
vis, PL, and N2 sorption isotherm studies confirmed the high crystallinity,
mesoporosity, and optical characteristics of the synthesized product. The
electrochemical sensing toward liquid ethanol has been performed using the
current devolved Ag/alpha-Fe2O3-modified glassy carbon electrode (GCE) by cyclic
voltammetry (CV) and current potential (I-V) techniques, and the obtained results
were compared with bare GCE or pure alpha-Fe2O3. Mesoporous Ag/alpha-Fe2O3 was
found to largely enhance the sensor sensitivity and it exhibited excellent
sensing characteristics during the precision detection of low concentrations of
ethanol. High and reproducible sensitivity of 41.27 MUAmM- 1 cm- 2 at lower
ethanol concentration region (0.05 to 0.8 mM) and 2.93 MUAmM- 1 cm- 2 at higher
concentration zone (0.8 to 15 mM), with a limit of detection (LOD) of 15.4 MUM
have been achieved. Investigation on reaction kinetics revealed a characteristic
behavior of mixed surface and diffusion-controlled processes. Detailed sensing
studies revealed also that the sensitivity toward ethanol was higher than that of
methanol or isopropanol. With further effort in developing the synthesis and
fabrication approaches, a proper utility for the current proposed protocol for
fabricating a better sensor device performance is possible.
PMID- 29785558
TI - Differences between first-generation and second-generation drug-eluting stent
regarding in-stent neoatherosclerosis characteristics: an optical coherence
tomography analysis.
AB - We compared first-generation and second-generation drug-eluting stent (DES) with
respect to neoatherosclerosis using optical coherence tomography or optical
frequency domain imaging. In-stent restenoses in 102 first-generation and 114
second-generation DES were retrospectively assessed. Neoatherosclerosis, which
was defined as the presence of lipid-laden neointima or calcification inside a
stent, was observed in 33 (27.2%) and 31 (32.4%) lesions in the first-generation
and second-generation DES respectively. In the first-generation DES group, the
lipid length was significantly longer (5.5 +/- 3.8 vs. 3.1 +/- 2.1 mm, P =
0.0007), the lipid arc was significantly larger (324 +/- 70 degrees vs. 250 +/-
94 degrees , P = 0.002), the prevalence of a 360 degrees lipid arc was
significantly greater (58 vs. 31%, P = 0.03), and the fibrous cap was
significantly thinner (153 +/- 85 vs. 211 +/- 95 um, P = 0.02) compared with
those in the second-generation DES group. These differences remained significant
after adjusting for the age of the stent (lipid length: P < 0.001; lipid arc: P =
0.019; and fibrous cap thickness: P < 0.001). The proliferation course and
stability of neoatherosclerosis over time might be superior in second-generation
DES.
PMID- 29785560
TI - A suggestion to introduce the diagnosis of "diffuse midline glioma of the pons,
H3 K27 wildtype (WHO grade IV)".
PMID- 29785562
TI - Comment on: "Switching Reference Medicines to Biosimilars: A Systematic
Literature Review of Clinical Outcomes".
PMID- 29785561
TI - RepTB: a gene ontology based drug repurposing approach for tuberculosis.
AB - Tuberculosis (TB) is the world's leading infectious killer with 1.8 million
deaths in 2015 as reported by WHO. It is therefore imperative that alternate
routes of identification of novel anti-TB compounds are explored given the time
and costs involved in new drug discovery process. Towards this, we have developed
RepTB. This is a unique drug repurposing approach for TB that uses molecular
function correlations among known drug-target pairs to predict novel drug-target
interactions. In this study, we have created a Gene Ontology based network
containing 26,404 edges, 6630 drug and 4083 target nodes. The network, enriched
with molecular function ontology, was analyzed using Network Based Inference
(NBI). The association scores computed from NBI are used to identify novel drug
target interactions. These interactions are further evaluated based on a combined
evidence approach for identification of potential drug repurposing candidates. In
this approach, targets which have no known variation in clinical isolates, no
human homologs, and are essential for Mtb's survival and or virulence are
prioritized. We analyzed predicted DTIs to identify target pairs whose predicted
drugs may have synergistic bactericidal effect. From the list of predicted DTIs
from RepTB, four TB targets, namely, FolP1 (Dihydropteroate synthase), Tmk
(Thymidylate kinase), Dut (Deoxyuridine 5'-triphosphate nucleotidohydrolase) and
MenB (1,4-dihydroxy-2-naphthoyl-CoA synthase) may be selected for further
validation. In addition, we observed that in some cases there is significant
chemical structure similarity between predicted and reported drugs of prioritized
targets, lending credence to our approach. We also report new chemical space for
prioritized targets that may be tested further. We believe that with increasing
drug-target interaction dataset RepTB will be able to offer better predictive
value and is amenable for identification of drug-repurposing candidates for other
disease indications too.
PMID- 29785559
TI - Childbirth after adolescent and young adult cancer: a population-based study.
AB - PURPOSE: Annually, > 45,000 US women are diagnosed with cancer during adolescence
and young adulthood (AYA). Since 2006, national guidelines have recommended
fertility counseling for cancer patients. We examined childbirth after AYA cancer
by calendar period, cancer diagnosis, and maternal characteristics. METHODS: We
identified a cohort of women with an incident invasive AYA cancer diagnosis at
ages 15-39 during 2000-2013 in North Carolina. Cancer records were linked with
statewide birth certificates through 2014. Hazard ratios (HR) and 95% confidence
intervals (CI) for first post-diagnosis live birth were calculated using Cox
proportional hazards regression. RESULTS: Among 17,564 AYA cancer survivors, 1989
had >= 1 birth after diagnosis during 98,397 person-years. The 5- and 10-year
cumulative incidence of live birth after cancer was 10 and 15%, respectively. AYA
survivors with a post-diagnosis birth were younger at diagnosis, had lower stage
disease, and had less often received chemotherapy than those without a birth. The
5-year cumulative incidence of post-diagnosis birth was 10.0% for women diagnosed
during 2007-2012, compared to 9.4% during 2000-2005 (HR = 1.01; 0.91, 1.12),
corresponding to periods before and after publication of American Society of
Clinical Oncology fertility counseling guidelines in 2006. CONCLUSIONS: Despite
advances in fertility preservation options and recognition of fertility
counseling as a part of high-quality cancer care, the incidence of post-diagnosis
childbirth has remained stable over the last 15 years. IMPLICATIONS FOR CANCER
SURVIVORS: Our study uses statewide data to provide recent, population-based
estimates of how often AYA women have biological children after a cancer
diagnosis.
PMID- 29785563
TI - Authors' Reply to Pires et al.: "Switching Reference Medicines to Biosimilars: A
Systematic Literature Review of Clinical Outcomes".
PMID- 29785566
TI - Delineating a new feature of constitutional mismatch repair deficiency (CMMRD)
syndrome: breast cancer.
AB - Constitutional mismatch repair deficiency (CMMRD) syndrome is a rare autosomal
recessive hereditary cancer condition, characterized by an exceptionally high
risk of cancer, a propensity for childhood malignancies, and cutaneous features
reminiscent of neurofibromatosis type 1 (NF1). We report on two sisters
originally suspected of having CMMRD syndrome due to their history of colonic
polyps and NF1 associated skin findings, both were subsequently found to have
biallelic MSH6 mutations. After years of CMMRD syndrome follow-up, the proband
was diagnosed with breast cancer at age 29, while her sister was diagnosed with a
glioblastoma at age 27. Immunohistochemistry analysis on the breast tumor tissue
revealed weak MSH6 protein staining. Exome sequencing revealed a hypermutated
breast tumor and an ultra-hypermutated brain tumor. Multi-gene panel testing was
also performed and revealed no additional mutations which might explain the
proband's early onset breast cancer. This is the first documented case of breast
cancer in an individual with CMMRD syndrome. We summarize the evidence supporting
the possible association between breast cancer and biallelic MMR mutations.
Healthcare providers should be aware of this possible association and follow-up
appropriately for suspicious breast findings. In addition, this case highlights
the need for frequent central nervous system screenings due to rapid progression
of brain tumors.
PMID- 29785565
TI - Supplementation strategies for Nellore female calves in creep feeding to improve
the performance: nutritional and metabolic responses.
AB - The objective of this study was to evaluate the effects of supplementation
strategy on performance, nutritional and metabolic characteristics of Nellore
suckling female calves, on grazing Urochloa decumbens during the rainy-dry
transition period. Forty-four Nellore female calves, averaging 147.6 +/- 1.34 kg
(4 months), were used. A single supplement 20% of crude protein of dry matter was
provided, consisting of daily supplement at 0.0, 0.2, 0.4, or 0.6% of body
weight. A positive linear effect (P < 0.05) on final body weight and average
weight gain of female calves was observed with increased supplementation.
Supplementation increased consumption, in kilograms per day, of dry matter (DM),
organic matter (OM), crude protein (CP), digested dry matter, and total
digestible nutrients (TDN), all calculated as % of dry matter. There was no
effect of supplementation on the consumption in kilograms per day of neutral
detergent fiber corrected for ash and protein (apNDF) (P > 0.05). Supplementation
increased, in a quadratic way, the total apparent digestibility coefficient of
DM, OM, CP, apNDF, and TDN (P < 0.05). There was no effect of supplementation (P
> 0.05) on non-esterified fatty acid concentrations. There was a positive linear
effect (P < 0.05) of supplementation on insulin concentrations. In this study, it
is concluded that linearly increasing the feeding level of a supplement with 20%
crude protein (% of DM) in the range of 0.2-0.6% of body weight improves the
performance, nutritional and metabolic characteristics of the animals,
considering these pasture characteristics.
PMID- 29785567
TI - Si-Ho Tchou: life of a legend from physiology to psychology.
PMID- 29785564
TI - Helicobacter pylori Infection in Pediatric Patients: Update on Diagnosis and
Eradication Strategies.
AB - Helicobacter pylori infection is acquired mainly in childhood and remains an
essential cause of peptic ulcer disease and gastric cancer. This article provides
commentary on the last ESPGHAN/NASPGHAN guidelines and on publications made after
the consensus conference of 2015. The majority of infected children are
asymptomatic and pediatric studies do not support a role for H. pylori in
functional disorders such as recurrent abdominal pain. The role of H. pylori
infection in failure to thrive, children's growth, type I diabetes mellitus
(T1DM), and celiac disease remains controversial. The diagnosis of infection
should be based on upper-digestive endoscopy with biopsy-based methods.
Eradication control after treatment should be based on validated non-invasive
tests. Nodular gastritis is the main endoscopic finding of childhood H. pylori
infection, but gastroduodenal erosions/ulcers are seen in some children,
especially after 10 years of age. When indicated, eradication treatment should be
given when good compliance is expected and based on the antimicrobial
susceptibility profile.
PMID- 29785568
TI - Theoretical Studies on InGaAs/InAlAs SAGCM Avalanche Photodiodes.
AB - In this paper, we provide a detailed insight on InGaAs/InAlAs separate
absorption, grading, charge, and multiplication avalanche photodiodes (SAGCM
APDs) and a theoretical model of APDs is built. Through theoretical analysis and
two-dimensional (2D) simulation, the influence of charge layer and tunneling
effect on the APDs is fully understood. The design of charge layer (including
doping level and thickness) can be calculated by our predictive model for
different multiplication thickness. We find that as the thickness of charge layer
increases, the suitable doping level range in charge layer decreases. Compared to
thinner charge layer, performance of APD varies significantly via several percent
deviations of doping concentrations in thicker charge layer. Moreover, the
generation rate (G btt ) of band-to-band tunnel is calculated, and the influence
of tunneling effect on avalanche field was analyzed. We confirm that avalanche
field and multiplication factor (M n ) in multiplication will decrease by the
tunneling effect. The theoretical model and analysis are based on InGaAs/InAlAs
APD; however, they are applicable to other APD material systems as well.
PMID- 29785569
TI - Association between Firearm Laws and Homicide in Urban Counties.
AB - Laws related to the sale, use, and carrying of firearms have been associated with
differences in firearm homicide rates at the state level. Right-to-carry (RTC)
and stand your ground (SYG) laws are associated with increases in firearm
homicide; permit-to-purchase (PTP) laws and those prohibiting individuals
convicted of violent misdemeanors (VM) have been associated with decreases in
firearm homicide. Evidence for the effect of comprehensive background checks
(CBC) not tied to PTP is inconclusive. Because firearm homicide tends to
concentrate in urban areas, this study was designed to test the effects of
firearm laws on homicide in large, urban U.S. counties. We conducted a
longitudinal study using an interrupted time series design to evaluate the effect
of firearm laws on homicide in large, urban U.S. counties from 1984 to 2015 (N =
136). We used mixed effects Poisson regression models with random intercepts for
counties and year fixed effects to account for national trends. Models also
included county and state characteristics associated with violence. Homicide was
stratified by firearm versus all other methods to test for specificity of the
laws' effects. PTP laws were associated with a 14% reduction in firearm homicide
in large, urban counties (IRR = 0.86, 95% CI 0.82-0.90). CBC-only, SYG, RTC, and
VM laws were all associated with increases in firearm homicide. None of the laws
were associated with differences in non-firearm homicide rates. These findings
are consistent with prior research at the state level showing PTP laws are
associated with decreased firearm homicide. Testing the effects of PTP laws
specifically in large, urban counties strengthens available evidence by isolating
the effects in the geographic locations in which firearm homicides concentrate.
PMID- 29785571
TI - Intersectional gene inactivation: there is more to conditional mutagenesis than
Cre.
PMID- 29785572
TI - Differential efficiency of a begomovirus to cross the midgut of different species
of whiteflies results in variation of virus transmission by the vectors.
AB - Begomoviruses are important crop viral disease agents, and they are transmitted
by whiteflies of the Bemisia tabaci complex. Although the transmission of
begomoviruses by whiteflies has been studied for many years, the mechanisms
governing differential transmission of begomoviruses by different species of the
Bemisia tabaci complex remain largely unknown. Here we firstly compared the
transmission efficiency of tobacco curly shoot virus (TbCSV) by four species of
the B. tabaci complex and found that Asia II 1 transmitted this virus with the
highest efficiency, whereas MEAM1 transmitted it with the lowest. Next, by
performing quantitative analysis of virus and immune-fluorescence detection, we
found that the efficiency of TbCSV to cross the midgut wall was higher in Asia II
1 than in MEAM1. Finally, we set the quantities of virions in the haemolymph to
the same level in Asia II 1 and MEAM1 via injection and then compared their
capacity in TbCSV transmission, and found that the difference in TbCSV
transmission between them became smaller. Taken together, our findings suggest
that the efficiency of a begomovirus to cross the midgut wall of a whitefly to
reach the vector's haemolymph plays a significant role in determining
transmission of the virus.
PMID- 29785573
TI - Effect of dietary soy oil, glucose, and glutamine on growth performance, amino
acid profile, blood profile, immunity, and antioxidant capacity in weaned
piglets.
AB - Weaning stress results in gastrointestinal dysfunction and depressed performance
in pigs. This study aimed to investigate the effect of soy oil, glucose, and
glutamine on the growth and health of weaned piglets. Compared with those in the
glutamine group, piglets in the glucose and soy oil groups had greater average
daily gain, average daily feed intake, and gain: feed ratio from day 0 to 14, and
gain: feed ratio for the overall period. There were no differences with regard to
serum amino acids among the three groups on day 14, except glycine and threonine.
The serum concentration of histidine, serine, threonine, proline, and cysteine
was the highest in the glutamine group, while the content of glycine and lysine
in the soy oil group on day 28 was the highest among all groups. Piglets fed with
glutamine had greater serum glucose and creatinine on day 14, high-density
lipoprotein on day 28, and serum IgG and IgM on day 28. Piglets in the glutamine
group demonstrated lower serum total superoxide dismutase on day 14 and 28;
however, they demonstrated higher total superoxide dismutase and total
antioxidant capacity in the duodenum and ileum on day 14. Weaned pigs
supplemented with glucose or soy oil demonstrate better growth performance
possibly due to their enhanced feed intake, whereas those supplemented with
glutamine may have improved immunity and intestinal oxidative capacity.
PMID- 29785570
TI - Phase 1b investigation of the MEK inhibitor binimetinib in patients with advanced
or metastatic biliary tract cancer.
AB - Background The MAPK pathway plays a central role in regulation of several
cellular processes, and its dysregulation is a hallmark of biliary tract cancer
(BTC). Binimetinib (MEK162), a potent, selective oral MEK1/2 inhibitor, was
assessed in patients with advanced BTC. Patients and Methods An expansion cohort
study in patients who received <=1 line of therapy for advanced BTC was conducted
after determination of the maximum tolerated dose in this Phase 1 trial. Patients
received binimetinib 60 mg twice daily. The primary objectives were to
characterize the safety profile and pharmacokinetics of binimetinib in advanced
BTC. Secondary objectives included assessment of clinical efficacy, changes in
weight and lean body mass, and pharmacodynamic effects. Tumor samples were
assessed for mutations in relevant genes. Results Twenty-eight patients received
binimetinib. Common adverse events (AEs) were mild, with rash (82%) and nausea
(54%) being most common. Two patients experienced grade 4 AEs, one generalized
edema and the other pulmonary embolism. The pharmacokinetics in this patient
population were consistent with those previously reported (Bendell JC et al., Br
J Cancer 2017;116:575-583). Twelve patients (43%) experienced stable disease and
two had objective responses (1 complete response, 1 partial response) per
Response Evaluation Criteria in Solid Tumors and stable metabolic disease by
positron emission tomography/computed tomography. Most patients (18/25; 72%) did
not have KRAS, BRAF, NRAS, PI3KCA, or PTEN mutations, nor was there correlation
between mutation status and response. The average non-fluid weight gain was 1.3%
for lean muscle and 4.7% for adipose tissue. Conclusion Binimetinib was well
tolerated and showed promising evidence of activity in patients with BTC.
Correlative studies suggested the potential for binimetinib to promote muscle
gain in patients with BTC.
PMID- 29785574
TI - In situ nanostructured hydrogel of resveratrol for brain targeting: in vitro-in
vivo characterization.
AB - The purpose of conducting the present research work was to develop resveratrol
nanostructured in situ gel for the treatment of Alzheimer's disease. Resveratrol
loaded lipid carrier was prepared by melt emulsification-probe sonication method,
and the final product was evaluated for particle size (132 +/- 11.90 nm),
polydispersity index (0.209 +/- 0.005), zeta potential (- 23 +/- 3.79 mV), drug
loading (9.26 +/- 3.79%), and entrapment efficiency (74 +/- 11.40%). Following
incorporation of the resveratrol nanostructured lipid carrier in gellan gum and
xanthan gum, in situ gel was formulated and characterized. The optimized in situ
gel showed fivefold higher permeation across the nasal mucosa as compared to
resveratrol suspension-based in situ gel. Finally, optimized in situ gel was
evaluated using in vivo pharmacodynamic study by the scopolamine-induced amnesia
model in rats using Morris Water Maze test. It showed significant improvement in
memory function in rats treated with optimized in situ gel as compared to orally
administered resveratrol suspension. The enhanced permeation across nasal mucosa
and improved memory function suggest that the resveratrol nanostructured lipid
carrier-based in situ gel could be an effective and promising approach for the
treatment of Alzheimer's disease.
PMID- 29785575
TI - Vehicular stress a cause for heavy metal accumulation and change in physico
chemical characteristics of road side soils in Pahalgam.
AB - In an effort to determine vehicular impact on soil quality, soil samples were
collected from three different zones (Pahalgam, Batakote, and Chandanwari) in
Pahalgam forest ecosystem. Results showed that a significant decrease in moisture
content, organic carbon, available nitrogen, and potassium was observed in nearby
road side soils. However, pH was observed to be on neutral side and available
phosphorus recorded high concentration. The concentration of heavy metals Pb2+,
Cu2+, Zn2+, Ni2+, and Cd2+ estimated was also significantly high. Furthermore,
concentration of Pb2+ at high vehicular load subzones was observed to be highest
(1.168 mg/Kg) followed by Zn2+ (0.896 mg/Kg), Ni2+ (0.649 mg/Kg), Cu2+ (0.415
mg/Kg), and Cd2+ (0.079 mg/Kg). An inter-zone analysis revealed that the
concentration of the heavy metals (Pb2+ > Ni2+ > Cd2+) was observed to follow the
trend, Z-I > Z-II > Z-III. Variation along the temporal gradient and the impact
on soil qualities were notably higher in summer. Vehicular pollution to a great
extent impacts physico-chemical characteristics and more interestingly adds
substantial concentration of heavy metals in soils.
PMID- 29785576
TI - Prognostic Impact of the Components of Progressive Disease on Survival After
First-Line Tyrosine Kinase Inhibitor Therapy for Metastatic Renal Cell Carcinoma.
AB - BACKGROUND: According to the Response Evaluation Criteria in Solid Tumors
(RECIST) classification, progressive disease (PD) is defined as target lesion
growth (TLG), unequivocal non-target lesion growth (NTLG), or new lesion
appearance (NLA). The prognostic impact of the components of PD in tyrosine
kinase inhibitor (TKI) therapy for metastatic renal cell carcinoma (mRCC) remains
unknown. OBJECTIVE: We retrospectively evaluated the prognostic impact of these
PD components on survival in patients with mRCC after first-line TKI therapy.
PATIENTS AND METHODS: Patients were divided into three groups (TLG, NTLG, and
NLA) based on the components of PD. Progression-free survival (PFS) and overall
survival (OS) after first-line TKI therapy were compared between groups using the
Kaplan-Meier method and log-rank test. The predictive impact of the PD components
was evaluated using multivariate analyses. RESULTS: Among the 116 patients
included, 80 (69.0%) had TLG, 18 (15.5%) NTLG, and 69 (58.6%) NLA. The mean PFS
and OS were shorter for patients with TLG than those without TLG (PFS, 7.1 vs.
11.6 months, p = 0.0071; OS, 18.2 vs. 25.5 months, p = 0.0091). TLG was an
independent predictor of PFS (hazard ratio [HR], 1.59; 95% confidence interval
[CI], 1.02-2.51; p = 0.0395) and OS (HR, 1.67; 95% CI, 1.02-2.83; p = 0.040).
NTLG and NLA were not associated with survival. CONCLUSIONS: In this
retrospective single-center study, patients with TLG had poor survival after
first-line TKI therapy for mRCC. Thus, individual components of PD influence
patient prognosis.
PMID- 29785577
TI - Atezolizumab: A Review in Previously Treated Advanced Non-Small Cell Lung Cancer.
AB - Atezolizumab (TECENTRIQTM), an immune checkpoint inhibitor, is an immunoglobulin
G1 monoclonal antibody that binds to programmed death ligand 1 (PD-L1) and blocks
its interactions with programmed death 1 and B7.1 receptors. Atezolizumab is
approved as monotherapy in several countries worldwide for the treatment of
patients with advanced non-small cell lung cancer (NSCLC) who have previously
received chemotherapy. Approval was based on its clinical benefit in this setting
in the phase II POPLAR and phase III OAK trials. In these studies, atezolizumab
significantly prolonged overall survival (OS) relative to docetaxel, regardless
of PD-L1 status. Increasing PD-L1 expression was associated with OS improvements.
Atezolizumab also demonstrated efficacy in the phase II FIR and BIRCH trials, as
assessed by objective response rates (ORRs) in patients with tumours expressing
PD-L1. Higher ORRs were seen in patients with high PD-L1 expression. Atezolizumab
had an acceptable, manageable tolerability profile, with a low incidence of
immune-related adverse events. Therefore, atezolizumab is a valuable treatment
option for patients with advanced NSCLC that has progressed during or after
chemotherapy.
PMID- 29785579
TI - 56th Annual Symposium of the International Society for Clinical Electrophysiology
of Vision (ISCEV 2018).
PMID- 29785578
TI - Transport of the pituitary adenylate cyclase-activating polypeptide across the
blood-brain barrier: implications for migraine.
AB - BACKGROUND: Pituitary adenylate cyclase-activating polypeptide (PACAP) is widely
distributed in the nervous system and is involved in migraine pathophysiology.
Understanding the function of the blood-brain barrier (BBB) in relation to PACAP
is important to the understand the mechanisms behind PACAP-induced migraine
attacks, but also to develop antimigraine drugs targeting the PACAP receptors
Here, we aim to review the transport ability of PACAP across the BBB. METHODS: We
performed a systematic literature search on PubMed to identify studies reporting
original data on PACAP and BBB. The search was finalized in July 2017. RESULTS:
The literature search identified 96 papers of which 11 contained relevant data.
In addition, two papers were known to be relevant and were included. A total of
13 papers studies were included in the final analysis. Preclinical studies (n =
10) suggest the existence of specific PACAP transport systems across the BBB,
while human PACAP studies failed to show vasodilator effect of PACAP on the
cerebral arteries from the lumen (n = 3). CONCLUSION: PACAP38 is transported over
the BBB actively, while PACAP27 cross the BBB by diffusion over the membrane, but
after crossing the endothelial membrane both isoforms are either rapidly degraded
or efflux back from brain to blood. Thus, a direct central action of the PACAPs
is unlikely. This is supported by studies showing selective PACAP effect on extra
cerebral arteries.
PMID- 29785581
TI - Anti-JC virus antibody sera positivity and index value among patients with
multiple sclerosis may be correlated with age, sex, and area of residence.
AB - Anti-JC virus (JCV) antibody index is the predictive factor of progressive
multifocal leukoencephalopathy (PML) for multiple sclerosis (MS) patients
treating with natalizumab. The aim of this study is to evaluate the prevalence of
anti-JCV antibody positivity and index among Iranian patients who are the
candidate for natalizumab and its correlation with their demographic data and
previous therapies. A cross-sectional design was assessed for receiving anti-JCV
antibody test results between January 2014 and December 2016. Demographic data
and disease characteristics were also obtained. Statistical analysis and logistic
regression were done using SPSS. Among 803 MS patients that were observed, the
prevalence of anti-JCV antibody positivity was 67.9% (mean of index = 2.23 +/-
1.16) and 67.6% of positive patients had an index >= 1.5. Males were more
antibody positive than females (81.7 and 64% respectively; significance (sig.) <
0.001, OR = 2.51, CI 1.65-3.81). The rate of positivity was lower in patients
under the age of 18. Patients who lived in cold regions had significantly more
prevalence of positivity (Num. = 403; sig. = 0.043 and OR = 1.86; CI 1.02-3.39)
and with higher rate of index >= 1.5 (sig. = 0.017; OR = 3.99, CI 1.79-8.88).
Disease onset age between 28 and 37 years were more positive compared to 18-27
years (N = 480; sig. = 0.02; OR = 1.85, CI 1.09-3.14). Age, male gender, onset
age, and cold area of residency significantly influenced anti-JCV antibody sera
positivity. Only age of onset and cold area of residency were related to the
index. No significant difference was observed between type, dosage, and duration
of previous immunosuppressant drugs and anti-JCV antibody positivity and index
value.
PMID- 29785580
TI - A comprehensive review and meta-analysis of risk factors for statin-induced
myopathy.
AB - PURPOSE: To aid prescribers in assessing a patient's risk for statin-induced
myopathy (SIM), we performed a comprehensive review of currently known risk
factors and calculated aggregated odds ratios for each risk factor through a meta
analysis. METHODS: This meta-analysis was done through four phases: (1)
Identification of the relevant primary literature; (2) abstract screening using
inclusion and exclusion criteria; (3) detailed review and data extraction; and
(4) synthesis and statistical analysis. RESULTS: Out of 44 papers analyzed from
836 papers searched from MEDLINE, 18 different potential risk factors were
collected, divided into three categories: three demographics (11 papers), ten
clinical factors (31 papers), and five pharmacogenetics/biomarkers (12 papers).
Risk factors significant for myopathy and/or rhabdomyolysis included age, gender,
diabetes, renal impairment, cardiovascular disease, certain interacting drugs,
and mutations of the SLCO1B1 gene, which encodes a transporter protein in the
liver. Several factors, such as gender, race, cardiovascular disease, and the
GATM gene, which encodes a protein for creatine synthesis, appeared to be
protective in terms of the outcomes of interest. CONCLUSIONS: This comprehensive
assessment of risk factors can help support clinicians in reducing the incidence
of SIM in their patient population on statins.
PMID- 29785582
TI - Altered cerebro-cerebellum resting-state functional connectivity in HIV-infected
male patients.
AB - In addition to the role of planning and executing movement, the cerebellum
greatly contributes to cognitive process. Numerous studies have reported
structural and functional abnormalities in the cerebellum for HIV-infected
patients, but little is known about the altered functional connectivity of
particular cerebellar subregions and the cerebrum. Therefore, this study aimed to
explore the resting-state functional connectivity (rsFC) changes of the
cerebellum and further analyze the relationship between the rsFC changes and the
neuropsychological evaluation. The experiment involved 26 HIV-infected men with
asymptomatic neurocognitive impairment (ANI) and 28 healthy controls (HC). We
selected bilateral hemispheric lobule VI and lobule IX as seed regions and mapped
the whole-brain rsFC for each subregion. Results revealed that right lobule VI
showed significant increased rsFC with the anterior cingulate cortex (ACC) in HIV
infected subjects. In addition, the correlation analysis on HIV-infected subjects
illustrated the increased rsFC was negatively correlated with the
attention/working memory score. Moreover, significantly increased cerebellar
rsFCs were also observed in HIV-infected patients related to right inferior
frontal gyrus (IFG) and right superior medial gyrus (SMG) while decreased rsFC
was just found between right lobule VI and the left hippocampus (HIP). These
findings suggested that, abnormalities of cerebro-cerebellar functional
connectivity might be associated with cognitive dysfunction in HIV-infected men,
particularly working memory impairment. It could also be the underlying mechanism
of ANI, providing further evidence for early injury in the neural substrate of
HIV-infected patients.
PMID- 29785583
TI - The effect of delayed anti-NMDAR encephalitis recognition on disease outcome.
AB - Anti-NMDA receptor encephalitis is an acute form of brain inflammation that is
potentially lethal but has a high probability for recovery with treatment.
Although the clinical picture of anti-NMDAR encephalitis is usually recognizable
due to its relatively well-known symptoms, the disorder can sometimes present
itself in an unpredictable and atypical way. In this case report, we wish to
present the influence of different delay times prior to the establishment of
diagnosis. Thus, our first patient was diagnosed with anti-NMDAR encephalitis 4
years after the initial symptoms, the second one after 8 years, and the third one
after 13 months. The outcomes of the three presented patients indicate the
importance of being aware of many clinical presentations of this disorder, as its
early diagnosis greatly affects the outcome and may reduce permanent damage,
especially in cognitive functions.
PMID- 29785585
TI - Poisoning associated with inappropriate use of a eutectic mixture of lidocaine
and prilocaine before laser-assisted hair removal: about 3 cases.
AB - BACKGROUND: Eutectic mixtures of lidocaine and prilocaine are used during painful
dermatological procedures. Poisoning is rarely reported in adults. MATERIAL AND
METHOD: We report three cases of women who experienced lidocaine and prilocaine
poisoning after laser-assisted hair removal. Plasma levels of local anesthetics
were assayed by a fully validated liquid chromatography coupled with tandem mass
spectrometry (LC-MS/MS) method. CASE REPORTS: The rules of application of the
anesthetic cream were observed apart from the maximum dose and/or maximum surface
area. One patient applied a higher dose than the maximum recommended dose (140
instead of 60 g) and all patients failed to comply with the maximum recommended
surface area (600 cm2). The patients presented an unusual clinical pattern as
compared with other local anesthetics overdose: signs of cardiac toxicity with no
ECG changes or arrhythmia, neurological toxicity without seizures or coma, and
methemoglobinemia. DISCUSSION: Health authorities should publish explicit
recommendations targeting users and prescribers with particular emphasis on the
maximal surface area of application.
PMID- 29785584
TI - Interferon-free therapy in hepatitis C virus (HCV) monoinfected and HCV/HIV
coinfected patients: effect on cognitive function, fatigue, and mental health.
AB - The efficacy and safety of interferon-free therapies for hepatitis C virus (HCV)
infection have been reported. Considering the accumulating evidence for a direct
central nervous system infection by HCV, we aim to evaluate the effect of direct
acting antivirals (DAA) therapy on cognitive function in HCV patients. We
conducted a longitudinal analysis of the cognitive performance of 22 patients (8
HCV+, 14 HCV+/HIV+) who completed neuropsychological testing at baseline and at
week 12 after DAA therapy. In 20 patients, we analyzed specific attention
parameters derived from an experimental testing based on the Theory of Visual
Attention (TVA). Depression, fatigue, and mental health were assessed as patient
reported outcomes. At baseline, 54.5% of the patients met the criteria for
cognitive impairment and 40% showed impairment in TVA parameters. Follow-up
analysis revealed significant improvements in the domains of visual
memory/learning, executive functions, verbal fluency, processing speed, and motor
skills but not in verbal learning and attention/working memory. We did not
observe significant improvement in visual attention measured by TVA. Fatigue and
mental health significantly improved at follow-up. Our findings indicate that
successful DAA treatment leads to cognitive improvements in several domains
measured by standard neuropsychological testing. The absence of improvement in
TVA parameters and of significant improvement in the domain of attention/working
memory might reflect the persistence of specific cognitive deficits after HCV
eradication. In summary, DAA treatment seems to have a positive effect on some
cognitive domains and leads to an improvement in mental health and fatigue in HCV
infected patients.
PMID- 29785586
TI - Suicide by plastic bag suffocation combined with the mixture of citric acid and
baking soda in an adolescent.
AB - We describe a case of suicidal asphyxiation using a plastic bag combined with
carbon dioxide (CO2) gas. A 20-year-old male, whose head was covered with a
plastic bag, was found dead in his room. In the plastic bag, there were two glass
made cups containing liquid-like substance. Through crime scene investigation by
police staffs, a bottle of citric acid and a box of baking soda were also
discovered in his room. The forensic autopsy revealed that there were neither
lesions nor injuries in all of the organs. Moreover, any drugs and poisons could
not be detected in blood samples. Based on autopsy findings and crime scene
investigation, the cause of death was diagnosed as acute asphyxia due to CO2
intoxication by the mixture of citric acid with baking soda in the plastic bag.
To the best of our knowledge, there are no medical literatures describing plastic
bag suffocation combined with CO2 gas generated from citric acid and baking soda,
which has been widely distributed as suicidal means through websites. This case
report promotes forensic pathologists and medical coroners to emphasize that the
Internet has a crucial role on a source of suicidal information or a promoter of
suicide all over the world.
PMID- 29785587
TI - A study on the use of strain-specific and homologous promoters for heterologous
expression in industrial Saccharomyces cerevisiae strains.
AB - Polymorphism is well known in Saccharomyces cerevisiae strains used for different
industrial applications, however little is known about its effects on promoter
efficiency. In order to test this, five different promoters derived from an
industrial and a laboratory (S288c) strain were used to drive the expression of
eGFP reporter gene in both cells. The ADH1 promoter (P ADH1 ) in particular,
which showed more polymorphism among the promoters analyzed, also exhibited the
highest differences in intracellular fluorescence production. This was further
confirmed by Northern blot analysis. The same behavior was also observed when the
gene coding for secreted alpha-amylase from Cryptococcus flavus was placed under
the control of either P ADH1 . These results underline the importance of the
careful choice of the source of the promoter to be used in industrial yeast
strains for heterologous expression.
PMID- 29785588
TI - Sam68 Promotes Invasion, Migration, and Proliferation of Fibroblast-like
Synoviocytes by Enhancing the NF-kappaB/P65 Pathway in Rheumatoid Arthritis.
AB - Src-associated substrate during mitosis of 68 KDa (Sam68), also known as KH
domain containing, RNA binding, signal transduction associated 1 (KHDRBS1), is
the prototypic member of the signal transduction activator of RNA (STAR) family
of RNA-binding proteins. Previous studies have indicated that Sam68 regulates
nuclear transcription factor kappa B (NF-kappaB) to mediate inflammation. In this
study, we analyzed the effect and possible mechanisms of Sam68 in rheumatoid
arthritis (RA). By western blot analysis and immunohistochemistry, we found that
the expression of Sam68 in synovial tissue of RA patients was increased compared
with the control group. Immunoflourescent staining demonstrated that Sam68 co
localized with fibroblast-like synoviocytes (FLS) of RA patients. Additionally,
the expression of Sam68 in FLS was increased by tumor necrosis factor (TNF)-alpha
stimulation, in a time-dependent manner. Upon TNF-alpha treatment, Sam68
translocated from the cytoplasm to the nucleus where it interacted with the p65
subunit of NF-kappaB, as examined by immunoprecipitation and immunofluorescent
staining assay. Furthermore, inhibiting the expression of Sam68 by siRNA
significantly suppressed the TNF-alpha-induced expression of interleukin (IL)-6,
and matrix metalloproteinase (MMP)-1, reduced the proliferation, migration, and
invasion, and markedly decreased the phosphorylation of P65 and IkappaBalpha in
FLS. Collectively, our findings suggested that Sam68 contributed to the
production of inflammatory cytokines, proliferation, migration, and invasion of
RA FLS through the NF-kappaB P65 signal transduction pathway and underscored the
importance of Sam68 in the inflammation process of RA.
PMID- 29785589
TI - A novel technology for 3D knee prosthesis planning and treatment evaluation using
2D X-ray radiographs: a clinical evaluation.
AB - PURPOSE: To present a clinical validation of a novel technology called "3X"
which allows for 3D prosthesis planning and treatment evaluation in total knee
arthroplasty (TKA) using only 2D X-ray radiographs. MATERIALS AND METHODS: After
local institution review board approvals, 3X was evaluated on 43 cases (23 for
preoperative planning and 20 for postoperative treatment evaluation). All the
patients underwent CT scans according to a standard protocol. The results
measured on the CT data were regarded as the ground truth. Additionally, two X
ray images were acquired for each affected leg and were used by 3X technology to
derive patient-specific measurements of the leg. In total, we compared seven
parameters for planning TKA and five parameters for postoperative prosthesis
alignment. RESULTS: Our experimental results demonstrated that the mean
distances between the surface models reconstructed from 2D X-rays and the
associated surface models obtained from 3D CT data were smaller than 1.5 mm. The
average differences for all angular parameters were smaller than [Formula: see
text]. In over 78% cases 3X technology derived the same femoral component size as
the CT-based ground truth and this value went down to 70% when 3X technology was
used to predict the size of tibial component. CONCLUSION: 3X is a technology
that allows for true 3D preoperative planning and postoperative treatment
evaluation based on 2D X-ray radiographs.
PMID- 29785590
TI - Clinical application of a minimally invasive cement-augmentable Schanz screw rod
system to treat pelvic ring fractures.
AB - PURPOSE: The purpose of this study is to analyze the results using the USS
fracture MIS system (DePuy Synthes) to treat instable pelvic ring fractures. As
its outstanding feature, it is the only Schanz screw and rod system at present
that combines angular stability, perforation/fenestration of the screws for
cement-augmentation, a variable screw length, and a large screw diameter.
MATERIALS AND METHODS: Retrospective investigation of 134 pelvic ring fractures
treated in 2012-2013. Twenty-five patients obtained the abovementioned implant.
Besides baseline characteristics of the included patients and the surgical
procedure, a clinical/radiological follow-up of six months was analyzed. RESULTS:
Dividing the collective into two groups, I high-energy trauma and II fragility
fracture of the pelvis, the following results were recorded: group I: ten
patients, six male, age 48.4 +/- 19.7 years. Mean ISS 41 +/- 22.5, fracture
classification: AO/OTA type 61 B1/C1/C3 = 1/5/4. Operative treatment: three
transiliac internal fixator, seven iliolumbar fixation, one implant was cement
augmented. Group II: 15 patients, 14 female, age 77.5 +/- 10.1 years. Fracture
classification according to Rommens: FFPII/III/IV = 6/1/8. Operative treatment:
eight transiliac internal fixator, seven iliolumbar fixation, 14 implants were
cement-augmented. Overall surgical side complications: 16%. Radiological
examination: correct positioning of all ilium screws. Follow-up after six month
(16 patients): all showed fracture consolidation. One ilium screw was broken
close to the connecting clamp. CONCLUSION: The investigated Schanz screw rod
system is a suitable implant to broaden the established procedures to stabilize
dorsal pelvic ring fractures. TRIAL REGISTRATION: The study is registered at the
Clinical Trial Registry University of Regensburg (Number Z-2017-0878-3).
PMID- 29785591
TI - Association of reduced sclerostin expression with collapse process in patients
with osteonecrosis of the femoral head.
AB - PURPOSE: Sclerostin is an osteocyte-derived protein that has a potent inhibitory
effect on osteoblast activity. The osteocyte apoptosis induced by various causes
of osteonecrosis of the femoral head (ONFH) plays a key role in the promotion of
femoral head collapse. But the effect of altering sclerostin level on the
collapse of ONFH has not been studied. Our aim was to assess the role of
sclerostin level in the collapse of ONFH. METHODS: Between May 2016 and November
2016, 236 subjects were enrolled in the present study. The patients were
classified according to the Association Research Circulation Osseous (ARCO)
classification. The clinical bone histomorphology, the expression position, and
level of sclerostin as well as the plasma sclerostin level were evaluated.
RESULTS: The sclerostin level was significantly lower in the non-traumatic ONFH
group than those in the healthy control group (P = 0.002). The sclerostin level
was negatively associated with ARCO stages (r = - 0.239, P = 0.009) and
significantly lower in the postcollapse group (P = 0.025). CONCLUSIONS: The
reduced expression of sclerostin may play a key role in the collapse process of
ONFH and be predictive of the disease progression of ONFH.
PMID- 29785592
TI - Methylmercury and diphenyl diselenide interactions in Drosophila melanogaster:
effects on development, behavior, and Hg levels.
AB - Methylmercury (MeHg) is a highly toxic environmental pollutant which binds with a
high affinity to selenol groups. In view of this, seleno-compounds have been
investigated as MeHg antidotes. In the present study, we evaluated the effects of
the co-exposure to MeHg and the seleno-compound diphenyl diselenide (PhSe)2 on
Drosophila melanogaster. We measured the survival rate, developmental survival,
locomotor ability, reactive oxygen species (ROS) production, and Hg levels in D.
melanogaster exposed to MeHg and/or (PhSe)2 in the food. Exposure to MeHg caused
a reduction in the survival rate, developmental survival, and locomotion in D.
melanogaster. In addition, MeHg increased the ROS production and mercury levels
in flies. The co-exposure to MeHg and (PhSe)2 did not prevent the toxic effects
of MeHg in D. melanogaster. On the contrary, the co-exposure enhanced the toxic
effects on the locomotor ability and developmental survival. This effect may be
explained by the fact that the co-exposure increased the Hg levels in body when
compared to flies exposed only to MeHg, suggesting that MeHg and (PhSe)2
interaction may increase Hg body burden in D. melanogaster which could contribute
for the increased toxicity observed in the co-exposure.
PMID- 29785593
TI - A study of biochemical route on construction of waste battery ferrite applying
for nickel removal.
AB - Mn-Zn ferrite (Mn1 - xZnxFe2O4, x = 0.2, 0.4, 0.6, and 0.8) nanomaterials were
prepared by bioleaching and hydrothermal synthesis from waste Zn-Mn batteries.
The materials were characterized by XRD, SEM, BET, VSM, CEC, and isoelectric
point. It turned out when x = 0.4, synthesized Mn-Zn ferrite had best performance
which was nanoferrite crystal structure with a specific surface area that reached
37.77 m2/g, the saturation magnetization was 62.85 emu/g, and isoelectric point
and the CEC value were 7.33 and 43.51 mmol/100 g, respectively. In addition, the
adsorption characteristics on Ni2+ were explored. The results of experiment
suggested that data was more in line with the Freundlich model compared with
Langmuir and Dubinin-Radushkevich isotherm models. Kinetics studies showed that
pseudo-second-order kinetics was more suitable for describing the Ni2+ adsorption
process where the maximum theoretical adsorption quantity was 52.99 mg/g.
Thermodynamic parameters indicated the adsorption process can be spontaneous as
an endothermic reaction, and warming was advantageous to adsorption. Besides, the
adsorbent could be reused for six cycles with high removal efficiency. The
magnetic and adsorptive properties of the adsorbent were promising, which had a
high application value. Graphical abstract Fabrication process of nanometer
ferrite by biological technology and hydrothermal synthesis for removal of Ni2.
PMID- 29785594
TI - Attitudes and behaviour towards construction waste minimisation: a comparative
analysis between China and the USA.
AB - With the spread of the concept of sustainable development, people have gained
awareness about the problem of massive illegal dumping of construction waste. In
this research, a questionnaire survey was carried out in the USA and China. The
results indicated the following. (1) Workers in both the countries had positive
minimisation attitudes, and the attitude of Chinese construction workers was not
significantly different from that of American construction workers. Furthermore,
their average values were 3.9 and 4.07, respectively. (2) Business owners had a
poor understanding of the obligations that should be fulfilled by contractors and
construction workers, which greatly reduced (a) construction workers' and
contractors' motivation to implement waste minimisation management and (b) the
benefit-driven effect. (3) In terms of perceived behavioural control, Chinese
construction workers had poorer minimisation technologies and knowledge than
American construction workers, and it was very difficult for them to implement
construction waste minimisation. The research conclusions and relevant
suggestions may be used to improve the construction waste minimisation behaviour
and awareness of Chinese people and promote China's construction waste
minimisation management.
PMID- 29785595
TI - A preliminary investigation on water quality of coalbed natural gas produced
water for beneficial uses: a case study in the Southern Qinshui Basin, North
China.
AB - Coalbed natural gas (CBNG) is an important unconventional natural gas resource
with large reserves in China and receives much attention these years. The CBNG
production is accomplished by extracting large volumes of produced water from the
aquifer. The CBNG-produced water is commonly managed by discharging into nearby
disposal ponds in the Southern Qinshui Basin (SQB), which provides an opportunity
for water source for nearby irrigation, livestock, wildlife, and human drinking
water. However, utilization of this nontraditional water source in the SQB is
hindered by limited knowledge of water quality, practically oxidation/reduction
potential (OPR), electrical conductivity (EC), sodium adsorption ration (SAR),
and trace element chemistry data. The objective of this study was to collect CBNG
produced water samples at discharge points in the SQB and investigate their water
quality principally, including physicochemical parameters, major ions parameters,
and trace element parameters. Discharge points were sampled from five main CBNG
blocks in the SQB including SZ, ZZ, FZ, CZ, and PZ blocks from July 31, 2013 to
August 11, 2014. A composite geochemical data was created with the test results
from 145 produced water samples, resulting in information on 40
constituents/parameters. The resulting constituents/parameters were compared to
common water use criteria of China to determine possible beneficial uses. Results
suggest that the CBNG-produced waters from SQB are characterized by dominated Na
HCO3 type waters, with approximately 12% Na-SO4 and Na-Cl type waters. The
observed TDS ranges from 615 to 4376 mg/L with 91% are less than 3000 mg/L, and
Na+ and HCO3- are the dominating determinants of the TDS content. The EC values
vary from 930 to 3844 MUS/cm, ranging from class 3 to class 5 based on the
suitability for irrigation. The CBNG-produced waters in SQB generally exhibit
higher SAR values (avg. 41.98). Among the 25 detected trace elements in CBNG
produced waters from SQB, elements of environmental concerns include aluminum,
iron, selenium, barium, manganese, nickel, and plumbum because their
concentrations exceed the related Chinese regulatory standards for human
drinking. The commonly constituents exceeding standards for human drinking water,
livestock water, poultry water, and irrigation water include fluorinion, pH, and
TDS. Besides, targeted reduction of SAR and EC also needs to be treated for most
of the produced waters if used for irrigation. In contrast, the CBNG-produced
waters in SQB are the most suitable for livestock water, because approximately
88% of the CBNG-produced waters are suitable for livestock drinking if the
fluorinion is reduced.
PMID- 29785596
TI - Biochar reduces nitrate level in red beet.
AB - Impacts of red beet consumption both on human and animal health are subject of
intense research. In particular, products that are not heat-processed contain
plethora of bioactive compounds that hold promise against numerous degenerative
and aging-associated diseases. However, high level of nitrates (typically more
than 2 g NO3- kg-1) whose health effects are perceived with reasoned objections
counterbalance these benefits. Following the above, from a certain level, the
increased consumption of red beet has contrary impacts, creating a limiting
factor not only from the economic point of view but also in terms of beneficial
compounds intake. Reduction of NO3- levels (- 35%) has been achieved by soil
amendment via increased doses of biochar. The data obtained indicates that the
mechanism can be explained as follows. The soil improvement reduces soil density,
increases soil temperature, improves water retention, and other prerequisites for
increased activity of soil microorganisms. Accelerated metabolism of soil biota
turned more nitrogen from fertilizers into organic forms. Hence, less mineral
nitrogen is left for red beet intake.
PMID- 29785597
TI - Removal of methylene blue dye from aqueous solution using immobilized
Agrobacterium fabrum biomass along with iron oxide nanoparticles as biosorbent.
AB - A nano-biosorbent for the removal of methylene blue (MB) was prepared by
encapsulating iron oxide nanoparticles (NPs) and Agrobacterium fabrum strain
SLAJ731, in calcium alginate. The prepared biosorbent was optimized for the
maximum adsorption capacity at pH 11, 160 rpm, and 25 degrees C. Adsorption
kinetics was examined using pseudo-first-order, pseudo-second-order, and intra
particle diffusion (IPD) models. The kinetic data agreed to pseudo-second-order
model indicating chemisorption of MB, which was also explained by FTIR analysis.
The adsorption rate constant (k2) decreased and initial adsorption rate (h, mg g
1 min-1) increased, with an increase in initial dye concentration. The dye
adsorption process included both IPD and surface adsorption, where IPD was found
to be a rate-limiting step after 60 min of adsorption. The adsorption capacity
was found to be 91 mg g-1 at 200 mg L-1 dye concentration. Adsorption data fitted
well to Freundlich isotherm; however, it did not fit to Langmuir isotherm,
indicating adsorbent surfaces were not completely saturated (monolayer formed) up
to the concentration of 200 mg L-1 of MB. Thermodynamic studies proposed that the
adsorption process was spontaneous and exothermic in nature. Biosorbent showed no
significant decrease in adsorption capacity even after four consecutive cycles.
The present study demonstrated dead biomass along with NPs as a potential
biosorbent for the treatment of toxic industrial effluents.
PMID- 29785599
TI - Activated carbon as catalyst for microwave-assisted wet peroxide oxidation of
aromatic hydrocarbons.
AB - This paper addresses the removal of four aromatic hydrocarbons typically found in
petrochemical wastewater: benzene (B), toluene (T), o-xylene (X), and naphthalene
(N), by microwave-assisted catalytic wet peroxide oxidation (MW-CWPO) using
activated carbon (AC) as catalyst. Under the studied conditions, complete
pollutant elimination (B, 1.28 mM; T, 1.09 mM; X, 0.94 mM; and N, 0.78 mM) was
achieved, with more than 90% TOC removal after only 15-min reaction time, working
at 120 degrees C, pH0 = 3, AC at 1 g L-1, and H2O2 at the stoichiometric dose.
Furthermore, in the case of toluene, naphthalene, and xylene, the hydroxylation
and breakdown of the ring is very rapid and toxic intermediates were not
detected. The process follows two steps: (i) pollutant adsorption onto AC
followed by (ii) adsorbed compounds oxidation. Thus, MW-CWPO with AC as catalyst
appears a promising way for a fast and effective process for B, T, X, and N
removal in aqueous phase.
PMID- 29785598
TI - A case study on the occurrence, transport, and fate of mercury species in a
sewage treatment plant in Jiaozuo, China.
AB - Occurrence and fate of sewage- and sludge-borne total mercury (THg) and
methylmercury (MeHg) have received little attention, although they might be an
important component of the global Hg inventory. To address the knowledge gap,
sewage and sewage sludge (SS) samples were collected from a secondary municipal
sewage treatment plant (MSTP) located in Jiaozuo, China. Total Hg in the raw
sewage varied between 95.3 ng/L and 1.2 * 103 ng/L, while MeHg occurred in the
sewage within ranges of 2.7-21.3 ng/L. On average, 93.4% of THg and 89.1% of MeHg
were removed from the raw sewage by the MSTP. Around 80% of net THg input into
the MSTP was transported to the SS, while 80% of net MeHg input was decomposed
during the treatment processes. Thus, MSTPs would be regarded as an important
sink of sewage-borne THg and MeHg. On the other hand, MeHg widely occurred in the
SS, with concentrations between 7.2 and 10.2 ng/g, accounting for 0.2% of the
corresponding THg contents in the SS. Occurrence of THg and MeHg in the SS
indicated that SS would be a significant source of MeHg and THg to the
environment.
PMID- 29785600
TI - Performance and microbial community of CIC anaerobic reactor treating food waste
under different grease contents and inner circulation ratio.
AB - High concentrations of grease easily inhibit anaerobic digestion. The stability
of the process and microbial responses in the controlling internal circulation
(CIC) reactor used for treating food waste were investigated under different
grease contents and inner circulation ratios. Results showed that at the grease
content of 1 g/L, the removal rates of 94% and 86-93% were achieved for chemical
oxygen demand (COD) and NH3-N, respectively. In contrast, when the grease content
increased to 7 g/L, removal rates for COD and NH3-N significantly decreased to
42.8 and 10%, respectively. In the three-dimensional excitation and emission
matrix (3D-EEM) spectra of LB-EPS (loosely bound extracellular polymeric
substances), the fluorescence intensity of coenzyme F420 was weakened in the
granular sludge, and the fluorescence peak of aromatic protein disappeared in the
TB-EPS (tightly bound EPS). The activity and stability of the granular sludge
deteriorated with increasing grease content, in this case at 7 g/L. However, when
the inner cycle ratio was increased to 4, the removal rate of COD and NH3-N
increased to about 70 and 76%, respectively. The adverse effects of grease could
be decreased by increasing the inner cycle ratio. When the grease content
increased from 1 to 7 g/L, the abundance of Methanofollis increased from 9.93 to
46.41%, while Methanothrix abundance was reduced from 18.4 to 3.07%. It could
indicate that Methanothrix was sensitive to high grease content.
PMID- 29785601
TI - Alteration in apoptotic rate of testicular cells and sperms following
administration of Bisphenol A (BPA) in Wistar albino rats.
AB - The aim of the study was to evaluate the effect of Bisphenol A [BPA] widely used
as a plasticizer in the formation of polycarbonate plastics and epoxy resins,
exposure causing alteration in apoptosis rate, and protective effect of Vitamin E
when supplemented with BPA orally. Adult male Wistar albino rats aged 3 months
were randomly divided into seven groups: control (olive oil treated) BPA-treated
(dose 5, 50,100 MUg/100gmBW) and Vitamin E intervention group (dose 5, 50, 100
MUg/100gmBW BPA+ Vitamin E dose 4 mg/100gmBW). Animals were sacrificed 3 months
later, and blood and tissue samples were collected. Apoptotic changes were
analyzed in epididymal spermatozoa and testis tissue by binding of annexin V
apoptotic biomarker. A significant decline in the weight of testis, testosterone
level, and sperm count was observed. Histopathological and apoptotic changes were
observed in testis tissue. In epididymal sperms, the early apoptotic cells were
observed by staining of annexin V-conjugated FITC and PI green fluorescence in
spermatozoa head which indicated the damage of membrane and late apoptotic cells.
These changes reduced significantly in Vitamin E-treated groups though were not
found to be comparable to control animals. All these changes were attributed to
disrupted spermatogenesis that would interfere with sperm formation. Thus, the
study infers that BPA affects the apoptosis process in the testis and epididymal
sperm that would interfere with its function and contribute to infertility,
whereas Vitamin E-supplemented dose has a protective effect towards these
changes, indicating its role in improving male fertility.
PMID- 29785602
TI - Feasibility study of cadmium adsorption by palm oil fuel ash (POFA)-based low
cost hollow fibre zeolitic membrane.
AB - Palm oil fuel ash (POFA) is an agricultural waste which was employed in this
study to produce novel adsorptive ceramic hollow fibre membranes. The membranes
were fabricated using phase inversion-based extrusion technique and sintered at
1150 degrees C. The membranes were then evaluated on their ability to adsorb
cadmium (Cd(II)). These membranes were characterised using (nitrogen) N2
adsorption-desorption analysis, field emission scanning electron microscopy
energy-dispersive X-ray spectroscopy (FESEM-EDX) mapping, X-ray fluorescence
(XRF), X-ray diffraction (XRD), and Fourier transform infrared spectroscopy
(FTIR) analyses while adsorptivity activity was examined by batch adsorption
studies. The adsorption test results show that the quantity of hollow fibre used
and water pH level significantly affected the adsorption performance with the 3
fibre membrane yielding 96.4% Cd(II) removal in 30 min equilibrium time at pH 7.
These results are comparable to those reported by other studies, and hence
demonstrate a promising alternative of low-cost hollow fibre adsorbent membrane.
Graphical abstract Figure of FESEM image of the hollow fibre, proposed mechanism
and the graph of percentage removal of Cd(II) using POFA.
PMID- 29785603
TI - Trace and macro elements in the femoral bone as indicators of long-term
environmental exposure to toxic metals in European brown bear (Ursus arctos) from
Croatia.
AB - We explored the long-term accumulation of aluminium, strontium, cadmium and lead
in the compact and trabecular bone of the femoral epiphysis, metaphysis and
diaphysis in 41 brown bears (Ursus arctos) from Croatia. Also, we assessed their
influence on macro and trace elements (sodium, magnesium, phosphorus, potassium,
calcium, manganese, iron, cobalt, copper, zinc and barium) in bears' bone. There
were no sex differences in element levels in general, while age was associated
with bone length and levels of all elements, except for cadmium. Elements had
different levels depending on the part of the bone sampled. More pronounced
differences were observed between the compact and trabecular regions, with higher
levels of majority of elements found in compact bone. Moderate to high
associations (Spearman coefficient, rS = 0.59-0.97) were confirmed between
calcium and potassium, magnesium, phosphorus, manganese, cobalt, zinc, strontium
and lead. Lead levels in the bone were below those known to cause adverse health
effects, but in 4 of 41 animals they exceeded baseline levels for domestic
animals. The femoral bone of the brown bear reflected the accumulative nature of
lead and strontium well, as it did the impairment of bone-forming essential
element levels associated with these two elements. However, the distribution
pattern of elements along the bone was not uniform, so additional care should be
taken when choosing on the part of the bone sampled.
PMID- 29785604
TI - Cadmium phytoextraction potential of king grass (Pennisetum sinese Roxb.) and
responses of rhizosphere bacterial communities to a cadmium pollution gradient.
AB - Screening for tolerant and high biomass producing plants is important for
phytoextraction efforts in remediating agricultural soils contaminated by heavy
metals. We carried out a greenhouse experiment involving a soil cadmium (Cd)
concentration gradient (0.1, 0.5, 1, 2, 4, and 8 mg kg-1) to assess growth and
phytoextraction capacity of king grass (Pennisetum sinese Roxb.) in soils
contaminated by Cd and to explore changes in diversity and structure of
rhizosphere soil bacterial communities in response to long-term Cd pollution. A
significant positive relationship was observed between Cd concentrations in P.
sinese stems, leaves, and roots and soil Cd concentration. The highest Cd
concentrations in shoots and roots were 28.87 and 34.01 mg kg-1, respectively, at
8 mg kg-1of soil Cd supply. Total extraction amounts of Cd in P. sinese were 0.22
1.86 mg plant-1 corresponding to treatment with 0.5-8 mg kg-1 Cd. Most of the Cd
was stored in shoots, and the largest accumulation was 1.56 mg plant-1 with 54.02
g dry shoot weight. After phytoextraction, changes in rhizobacterial community
composition were found with different levels of Cd application, whereas there
were no clear trends in diversity and richness. Results of this study show the
feasibility of P. sinese in accumulating Cd and provide support for its
application in remediation of soil moderately contaminated by Cd.
PMID- 29785606
TI - Where did Roman masons get their material from? A preliminary DRIFTS/PCA
investigation on mortar aggregates from X Regio buildings in the Veneto area (NE
Italy) and their potential sources.
AB - In this work, preliminary results are presented of an ongoing investigation
aiming to identify the possible material sources employed by ancient Romans in
their building activity in the X Regio, the European region corresponding to
present north-eastern Italy and Istria (Croatia and Slovenia). The 63-420 MUm
fraction of the aggregate component recovered from eleven mortar fragments of
buildings located in the Veneto area (in or close to Lio Piccolo, Vicenza, and
Padua) is studied by diffuse reflection infrared Fourier transform spectroscopy
and compared through principal component analysis to samples collected from local
potential sources of raw materials. In this regard, the investigated samples from
Lio Piccolo present a distinctive complexity, being this site located within the
Venice lagoon, an area that has since been undergoing dramatic changes both due
to natural and anthropic causes. The Vicenza and Padua sites were considered for
comparison sake because they are or were located close to two rivers, the
Bacchiglione and the Brenta, that in ancient times flowed into the Venice lagoon.
As expected, from the exploratory investigation reported here, no firm
conclusions can be obtained for the mortar samples collected in Lio Piccolo,
whereas the likely provenance of the aggregate component of the samples from
Vicenza and Padova from the Bacchiglione and the Brenta riverbeds, respectively,
is confirmed.
PMID- 29785605
TI - Selection of inactivation medium for fungal spores in clinical wastes by
supercritical carbon dioxide.
AB - The present study aimed to select the best medium for inactivation of Aspergillus
fumigatus, Aspergillus spp. in section Nigri, A. niger, A. terreus var. terreus,
A. tubingensis, Penicillium waksmanii, P. simplicissimum, and Aspergillus sp.
strain no. 145 spores in clinical wastes by using supercritical carbon dioxide
(SC-CO2). There were three types of solutions used including normal saline,
seawater, distilled water, and physiological saline with 1% of methanol; each
solution was tested at 5, 10, and 20 mL of the water contents. The experiments
were conducted at the optimum operating parameters of supercritical carbon
dioxide (30 MPa, 75 degrees C, 90 min). The results showed that the inactivation
rate was more effective in distilled water with the presence of 1% methanol (6
log reductions). Meanwhile, the seawater decreases inactivation rate more than
normal saline (4.5 vs. 5.1 log reduction). On the other hand, the experiments
performed with different volumes of distilled water (5, 10, and 20 mL) indicated
that A. niger spores were completely inactivated with 10 mL of distilled water.
The inactivation rate of fungal spores decreased from 6 to 4.5 log as the amount
of distilled water increased from 10 to 20 mL. The analysis for the spore
morphology of A. fumigatus and Aspergillus spp. in section Nigri using scanning
electron microscopy (SEM) has revealed the role of temperature and pressure in
the SC-CO2 in the destruction of the cell walls of the spores. It can be
concluded that the distilled water represent the best medium for inactivation of
fungal spores in the clinical solid wastes by SC-CO2.
PMID- 29785607
TI - Green certification, e-commerce, and low-carbon economy for international tourist
hotels.
AB - Increasing population and over-consumption are placing unprecedented demands on
agriculture and natural resources. The Earth is suffering from global warning and
environmental destruction while our agricultural systems are concurrently
degrading land, water, biodiversity, and climate on a global scale. For a
sustainable future, green certification, e-commerce, and environment education
can boost low-carbon economy with decreasing carbon emissions, but very few
researches address them for the hotel industry. This research studies the
performance impact of e-commerce, international hotel chain, local hotel chain,
and green certification for carbon emission reductions of international tourist
hotels of Taiwan. It reveals that, after a sufficiently long time, there is an
improvement in the environmental and economic performance of the green-certified
hotel group. In addition, it reveals that, as recommended by the operation
policy, the international hotel chain group together with e-commerce has better
performance than local hotel chain. It is also discussed how to sustain the
continuing improvement in low-carbon performance of the hotel industry.
PMID- 29785608
TI - Reuse of organobentonites with a carbon dioxide responsive solvent.
AB - Synthesized organobentonite (SOB), montmorillonite (MMT), and commercial
organobentonite (COB) were used as adsorbents for paraffin oil, a model pollutant
in land-based oil spills and oil pipeline rupture. The characterization of clays
was carried out with scanning electron microscopy (SEM), thermogravimetric
analysis (TG), Fourier transform infrared spectroscopy (FTIR), and X-ray
diffraction (XRD). After adsorption, oil was separated from adsorbents with a
carbon dioxide responsive solvent N,N-dimethylcyclohexylamine (DMCHA), and DMCHA
was subsequently separated from paraffin oil upon CO2 bubbling instead of
distillation with high energy cost. The adsorption capacity of oil to SOB, MMT,
and COB was 0.686, 1.124, and 1.239 g/g, respectively. It was found that the
adsorption capacity and rinsed amount of the adsorbents depended on the d
spacing, which is related to surfactant content. Electrical conductivity and pH
measurements suggested that the separation process occurred via two steps.
Firstly, during the initial 35 min, carbonate ions coexisted with bicarbonate
ions. Then, only bicarbonate ions existed after the introduction of CO2 gas for
120 min. Thus, organobentonites were feasible for hydrocarbon adsorption and
could be simply reused by an amine-based responsive solvent. This work provided a
cost-effective and sustainable method of recycling of organobentonites and the
responsive solvent, which can be used to deal with leaked oil and oil spills.
PMID- 29785609
TI - Evaluation of FOCEI and SAEM Estimation Methods in Population Pharmacokinetic
Analysis Using NONMEM(r) Across Rich, Medium, and Sparse Sampling Data.
AB - BACKGROUND AND OBJECTIVES: First-order conditional estimation with interaction
(FOCEI) is one of the most commonly used estimation methods in nonlinear mixed
effects modeling, while the stochastic approximation expectation maximization
(SAEM) is the newer estimation algorithm. This work aimed to compare the
performance of FOCEI and SAEM methods when using NONMEM(r) with the classical one
and two-compartment models across rich, medium, and sparse data. METHODS: One-
and two-compartment models of the previous studies were used to simulate data in
three scenarios: rich, medium, and sparse data. For each scenario, there were 100
data sets, containing 100 individuals in each data set. Every data set was
estimated with both FOCEI and SAEM methods. The simulation and estimation were
performed using NONMEM(r). The completion rates, percentage of relative
estimation errors (%RERs), root mean square errors (RMSEs), and runtimes were
considered to assess the completion, accuracy, precision, and speed of
estimation, respectively. RESULTS: Both FOCEI and SAEM methods provided
comparable completion rates, median %RERs (ranged from - 9.03 to 3.27% for FOCEI
and - 9.17 to 3.27% for SAEM) and RMSEs (ranged from 0.0004 to 1.244 for FOCEI
and 0.0004 to 1.131 for SAEM) for most parameters in both models across three
scenarios. The run times were much shorter with FOCEI (ranged from 0.18 to 0.98
min) compared to SAEM method (ranged from 4.64 to 12.03 min). CONCLUSIONS: For
the classical one- and two-compartment models, FOCEI method exhibited comparable
performance similar to SAEM method but with significantly shorter runtimes across
rich, medium, and sparse sampling scenarios.
PMID- 29785611
TI - Correction to: Top-down, Bottom-up and Sideways: the Multilayered Complexities of
Multi-level Actors Shaping Forest Governance and REDD+ Arrangements in Madre de
Dios, Peru.
AB - The article Top-down, bottom-up and sideways: the multilayered complexities of
multi-level actors shaping forest governance and REDD+ arrangements in Madre de
Dios, Peru, written by Dawn Rodriguez-Ward, Anne M. Larson, Harold Gordillo
Ruesta, was originally published electronically on the publisher's internet
portal (currently SpringerLink) on 3 January 2018 without open access. With the
author(s)' decision to opt for Open Choice the copyright of the article changed
on (25 April 2018) to
PMID- 29785610
TI - Evaluation of Strategies for the Assessment of Drug-Drug Interactions Involving
Cytochrome P450 Enzymes.
AB - BACKGROUND AND OBJECTIVES: Drug-drug interactions (DDIs) can occur when one drug
alters the metabolism of another drug. Drug metabolism mediated by cytochrome
P450 enzymes (CYPs) is responsible for the majority of metabolism of known drugs
and inhibition of CYP enzymes is a well-known cause of DDIs. In the current
study, the use of various human liver microsomes (HLM)-based methods to determine
occurrence of CYP-mediated metabolism-dependent inhibition (MDI) and possible
follow-up studies were evaluated. METHODS: Human CYP inhibition was studied using
the following methodologies: direct inhibition and (non-diluted) IC50-shift
assays, a ferricyanide-based reversibility assay, a spectrophotometric metabolic
intermediate complex (MIC) assay, and recording of reduced carbon monoxide (CO)
difference spectra. HLM incubations in the presence and absence of NADPH and
glutathione (GSH) were performed to study the possible formation of CYP-dependent
GSH adducts. HLM incubations with the radiolabeled inhibitors mifepristone and
paroxetine were performed to study CYP-mediated covalent binding. RESULTS:
Dihydralazine and furafylline displayed irreversible MDI of CYP1A2. Paroxetine
displayed both quasi-irreversible and irreversible MDI of CYP2D6, formation of
CYP-dependent GSH adducts was observed, while CYP-mediated covalent binding
occurred which was decreased in the presence of GSH. Mifepristone displayed
irreversible MDI of CYP3A4, formation of CYP-dependent GSH adducts was observed,
while CYP-mediated covalent binding occurred which was decreased in the presence
of GSH. Troleandomycin and verapamil displayed quasi-irreversible MDI of CYP3A4;
MIC formation was observed, while no formation of CYP-dependent GSH adducts
occurred. CONCLUSIONS: This study gives a representative overview of current
methodologies that can be used to study CYP inhibition. The here presented
strategy can be applied as a tool during risk evaluation of CYP-mediated DDIs.
PMID- 29785612
TI - Water, Forests, People: The Swedish Experience in Building Resilient Landscapes.
AB - A growing world population and rapid expansion of cities increase the pressure on
basic resources such as water, food and energy. To safeguard the provision of
these resources, restoration and sustainable management of landscapes is pivotal,
including sustainable forest and water management. Sustainable forest management
includes forest conservation, restoration, forestry and agroforestry practices.
Interlinkages between forests and water are fundamental to moderate water
budgets, stabilize runoff, reduce erosion and improve biodiversity and water
quality. Sweden has gained substantial experience in sustainable forest
management in the past century. Through significant restoration efforts, a
largely depleted Swedish forest has transformed into a well-managed production
forest within a century, leading to sustainable economic growth through the
provision of forest products. More recently, ecosystem services are also included
in management decisions. Such a transformation depends on broad stakeholder
dialog, combined with an enabling institutional and policy environment. Based on
seminars and workshops with a wide range of key stakeholders managing Sweden's
forests and waters, this article draws lessons from the history of forest
management in Sweden. These lessons are particularly relevant for countries in
the Global South that currently experience similar challenges in forest and
landscape management. The authors argue that an integrated landscape approach
involving a broad array of sectors and stakeholders is needed to achieve
sustainable forest and water management. Sustainable landscape management
integrating water, agriculture and forests-is imperative to achieving resilient
socio-economic systems and landscapes.
PMID- 29785614
TI - Cascade of care and factors associated with virological suppression among HIV
positive persons linked to care in the Test and Keep in Care (TAK) project.
AB - INTRODUCTION: Early treatment remains the most effective HIV prevention strategy;
poor linkage to care after HIV diagnosis may compromise this benefit. We sought
to better understand patient characteristics and their association with
virological suppression (VS) following cART initiation. METHODS: The TAK project
collects pre-linkage to care and clinical data on patients diagnosed with HIV in
voluntary testing facilities in central Poland. Data collected for persons
diagnosed in 2010-2013 were linked with HIV clinic records. Individuals linked to
care who commenced cART were followed from until the earliest of first VS (HIV
RNA < 50 copies/ml), last visit, death or 6 January 2016. Cox-proportional hazard
models were used to identify factors associated with first viral suppression.
RESULTS: 232 persons were HIV positive, 144 (62%, 95% CI 55, 68%) linked to care,
116 (81% of those linked to care, 95% CI 73, 87%) started cART during follow up,
of which 113 (97%, 95% CI 93, 99%) achieved VS. Non-PI based regimen (for
integrase inhibitors aHR: 5.03: 1.90, 13.32) and HLA B5701-positive (aHR: 3.97:
1.33, 11.85) were associated with higher chance of VS. Unknown syphilis status
(aHR: 0.27: 0.13, 0.57) and higher HIV RNA (aHR a tenfold increase: 0.56: 0.42,
0.75) remained associated with lower chance of VS. CONCLUSIONS: Although a low
proportion of persons were linked to care, almost all those linked to care
started cART and achieved rapid VS. The high rates of VS were irrespective of
prior HIV-associated risk behaviours. Linkage to care remains the highest
priority in prevention strategies in central Poland.
PMID- 29785615
TI - Molecular characterization of proton beam-induced mutations in soybean using
genotyping-by-sequencing.
AB - Proton beam irradiation is a next-generation technique to develop mutant crop
varieties. The mutagenic effects and molecular mechanisms of radiation are
important multi-disciplinary research subjects. This study was conducted to
investigate the types of mutations induced in the soybean genome by proton beam
irradiation. In total, 22 plants, including 10 M2 plants treated with proton beam
irradiation at 118 and 239 Gy, each, and two wild-type plants (Daepung) were
sequenced by genotyping-by-sequencing (GBS). In total, 7453 single nucleotide
polymorphisms (SNPs) were detected in the 20 M2 plants, compared with the two
wild-type controls. The SNP frequency was 1/36,976 bp with proton beam
irradiation at 118 Gy, and 1/32,945 bp at 239 Gy. Of these, 3569 SNPs were
detected in genic regions. We observed that proton beam irradiation induced more
substitutions than small insertion-deletions (INDELs). Based on the mutagenic
effect of proton beam irradiation, the frequency of transition mutations was
shown to be higher than that of transversions. The proton beam-induced SNPs were
distributed uniformly in most of the chromosomes. Gene ontology (GO) analysis
showed that there were many genes involved in protein metabolic process under
biological process, intracellular membrane-bounded organelle under cellular
component, and nucleic acid binding under molecular function. This study could
provide valuable information for investigating the potential mechanisms of
mutation, and guidance for developing soybeans cultivars using mutation breeding.
PMID- 29785613
TI - Neuroinfections caused by fungi.
AB - BACKGROUND: Fungal infections of the central nervous system (FIs-CNS) have become
significantly more common over the past 2 decades. Invasion of the CNS largely
depends on the immune status of the host and the virulence of the fungal strain.
Infections with fungi cause a significant morbidity in immunocompromised hosts,
and the involvement of the CNS may lead to fatal consequences. METHODS: One
hundred and thirty-five articles on fungal neuroinfection in PubMed, Google
Scholar, and Cochrane databases were selected for review using the following
search words: "fungi and CNS mycoses", CNS fungal infections", "fungal brain
infections", " fungal cerebritis", fungal meningitis", "diagnostics of fungal
infections", and "treatment of CNS fungal infections". All were published in
English with the majority in the period 2000-2018. This review focuses on the
current knowledge of the epidemiology, clinical presentations, diagnosis, and
treatment of selected FIs-CNS. RESULTS: The FIs-CNS can have various clinical
presentations, mainly meningitis, encephalitis, hydrocephalus, cerebral
abscesses, and stroke syndromes. The etiologic factors of neuroinfections are
yeasts (Cryptococcus neoformans, Candida spp., Trichosporon spp.), moniliaceous
moulds (Aspergillus spp., Fusarium spp.), Mucoromycetes (Mucor spp., Rhizopus
spp.), dimorphic fungi (Blastomyces dermatitidis, Coccidioides spp., Histoplasma
capsulatum), and dematiaceous fungi (Cladophialophora bantiana, Exophiala
dermatitidis). Their common route of transmission is inhalation or inoculation
from trauma or surgery, with subsequent hematogenous or contiguous spread. As the
manifestations of FIs-CNS are often non-specific, their diagnosis is very
difficult. A fast identification of the etiological factor of neuroinfection and
the application of appropriate therapy are crucial in preventing an often fatal
outcome. The choice of effective drug depends on its extent of CNS penetration
and spectrum of activity. Pharmaceutical formulations of amphotericin B (AmB)
(among others, deoxycholate-AmBd and liposomal L-AmB) have relatively limited
distribution in the cerebrospinal fluid (CSF); however, their detectable
therapeutic concentrations in the CNS makes them recommended drugs for the
treatment of cryptococcal meningoencephalitis (AmBd with flucytosine) and CNS
candidiasis (L-AmB) and mucormycosis (L-AmB). Voriconazole, a moderately
lipophilic molecule with good CNS penetration, is recommended in the first-line
therapy of CNS aspergillosis. Other triazoles, such as posaconazole and
itraconazole, with negligible concentrations in the CSF are not considered
effective drugs for therapy of CNS fungal neuroinfections. In contrast, clinical
data have shown that a novel triazole, isavuconazole, achieved considerable
efficacy for the treatment of some fungal neuroinfections. Echinocandins with
relatively low or undetectable concentrations in the CSF do not play meaningful
role in the treatment of FIs-CNS. CONCLUSION: Although the number of fungal
species causing CNS mycosis is increasing, only some possess well-defined
treatment standards (e.g., cryptococcal meningitis and CNS aspergillosis). The
early diagnosis of fungal infection, accompanied by identification of the
etiological factor, is needed to allow the selection of effective therapy in
patients with FIs-CNS and limit their high mortality.
PMID- 29785616
TI - Tracking Toxoplasma gondii in freshwater ecosystems: interaction with the
invasive American mink (Neovison vison) in Spain.
AB - Water-borne transmission may play an important role in the epidemiology of
Toxoplasma gondii. Mammals closely related to freshwater ecosystems, such as the
American mink (Neovison vison), are potentially valuable sentinels for T. gondii.
To assess the importance of freshwater ecosystems in T. gondii epidemiology, sera
of 678 American minks collected during the 2010 to 2015 Spanish national
eradication campaigns were tested for the presence of T. gondii antibodies using
the modified agglutination test (MAT, cut-off 1:25). A high prevalence of
samples, 78.8% (CI95%: 75.5-81.8), were seropositive. In addition, a specific
real-time PCR was performed in 120 brain samples and the parasite DNA was
detected in 9.2% (CI95%: 5.2-15.7). Significant differences in seroprevalence
were detected among bioregions, with the highest levels detected in coastal
areas, and by age. The higher seroprevalence observed in older animals (80.0%
adults versus 68.7% juveniles) confirms the importance of the horizontal
transmission. These results indicate a widespread presence of T. gondii oocysts
in freshwater ecosystems from Spain and further support the importance of water
borne transmission in the epidemiology of T. gondii.
PMID- 29785617
TI - Heat shock, but not temperature, is a biological trigger for the exsheathment of
third-stage larvae of Haemonchus contortus.
AB - Gastrointestinal parasites are an important health issue in grazing ruminants.
Understanding the processes involved in the transition from the free living to
the parasitic life stage of these nematodes is one avenue to identifying new
targets amenable to future intervention. The transition to parasitism is
initiated by exsheathment and is triggered by the sudden change in environment
after ingestion of the infective larva by the host. Two major changes in
environment are the increases in temperature and carbon dioxide (CO2) levels. For
CO2 a role in exsheathment has been described previously, but the exact role of
temperature was unclear. The current study is the first to investigate the
importance of temperature in triggering exsheathment of Haemonchus contortus.
Carbon dioxide induced exsheathment in H. contortus proved to be temperature
dependent, as no exsheathment was observed at room temperatures. However, the
temperature requirement to trigger exsheathment was quite specific. A rapid
change in temperature (heat shock) very efficiently induced high levels of
exsheathment. In contrast, when the larvae were exposed to a slow increase in
temperature, the exsheathment response was smaller and delayed. Further
investigation revealed that timing of the heat shock in relation to the CO2
administration was crucial, as well as the final temperature and magnitude of the
heat shock. In conclusion, these data indicate that heat shock rather than
temperature itself is a crucial aspect in triggering the biological exsheathment
cascade, and thus infection process, of H. contortus.
PMID- 29785618
TI - A quick and simple benchtop vortex egg-disruption approach for the molecular
diagnosis of Fasciola hepatica from ruminant faecal samples.
AB - Commonly employed diagnostic methods for Fasciola spp., such as a traditional
sedimentation and faecal egg count, or a commercially available coprological
ELISA, have limitations in their sensitivity or ability to differentiate species.
A reliable DNA isolation method coupled with real-time PCR addresses these issues
by providing highly sensitive and quantitative molecular diagnosis from faecal
samples. The current study evaluated a standard benchtop vortex for F. hepatica
egg disruption in sheep and cattle faecal samples and determined the minimum
faecal egg load required for a positive result from un-concentrated (raw) faecal
samples. The minimum faecal egg load for a positive real-time PCR result from 150
mg raw faecal sample was 10 and 20 eggs per gram for sheep and cattle,
respectively. No significant difference (P = 0.4467) between disruptions on a
benchtop vortex for 5 or 10 min was observed when compared to 40 s of disruption
at 6.0 m/s in a benchtop homogeniser.
PMID- 29785619
TI - Comparative analyses of the mitochondrial genome of the sheep ked Melophagus
ovinus (Diptera: Hippoboscidae) from different geographical origins in China.
AB - The sheep ked Melophagus ovinus is mainly found in Europe, Northwestern Africa,
and Asia. Although M. ovinus is an important ectoparasite of sheep in many
countries, the population genetics, molecular biology, and systematics of this
ectoparasite remain poorly understood. Herein, we determined the mitochondrial
(mt) genome of M. ovinus from Gansu Province, China (MOG) and compared with that
of M. ovinus Xinjiang Uygur Autonomous Region, China (MOX). The mt genome
sequence (15,044 bp) of M. ovinus MOG was significantly shorter (529 bp) than M.
ovinus MOX. Nucleotide sequence difference in the whole mt genome except for non
coding region was 0.37% between M. ovinus MOG and MOX. For the 13 protein-coding
genes, comparison revealed sequence divergences at both the nucleotide (0-1.1%)
and amino acid (0-0.59%) levels between M. ovinus MOG and MOX, respectively.
Interestingly, the cox1 gene of M. ovinus MOX is predicted to employ unusual mt
start codons AAA, which has not been predicted previously for any parasite
genome. Phylogenetic analyses showed that M. ovinus (Hippoboscoidea) is related
to the superfamilies Oestroidea + Muscoidea. Our results have also indicated the
paraphylies of the four families (Anthomyiidae, Calliphoridae, Muscidae, and
Oestridae) and two superfamilies (Oestroidea and Muscoidea). This mt genome of M.
ovinus provides useful molecular markers for studies into the population
genetics, molecular biology, and systematics of this ectoparasite.
PMID- 29785620
TI - How asymptomatic are early cancer patients of five organs based on registry data
in Japan.
AB - BACKGROUND: One reason for the low cancer screening rate in Japan is that people
are not concerned about cancer if they do not have symptoms. METHODS: The authors
retrospectively analyzed 18,405 cancer patients using hospital-based cancer
registry data collected between 2007 and 2013 at the 13 hospitals of Shimane
Prefecture, Japan. The symptomatic rates of five cancers (stomach, colorectal,
lung, breast, and cervix) at each stage and the time of early diagnosis were
investigated. The early detection rates of symptomatic and asymptomatic
individuals were investigated. RESULTS: The percentages of symptomatic cases
tended to increase with progressive stages. The odds ratio (OR) of stage IV
compared with that of stage I was 12.23 for stomach, 7.21 for colorectal, 16.91
for lung, 10.30 for breast, and 51.62 for cervical cancer. The proportions of
early symptomatic cases at the time of diagnosis were low. Compared with the
percentage of early symptomatic cases of stomach cancer of 25.5%, the percentage
of lung cancer was the lowest, at 8.2% (OR 0.26), and the percentage of breast
cancer was the highest, at 30.2% (OR 1.26). The percentages of early symptomatic
cases of colorectal and cervical cancer were 18.9% (OR 0.68) and 19.9% (OR 0.73),
respectively. The early detection rates of the asymptomatic and symptomatic
groups were 77.6 and 36.1%, respectively. CONCLUSION: Cancer registry data
indicate that early cancers are asymptomatic, and once symptoms appear, treatment
may not be effective. Policy makers should inform people of the necessity of
cancer screening before they have symptoms.
PMID- 29785621
TI - Comparison of efficacy and toxicity of second-line combination chemotherapy
regimens in patients with advanced urothelial carcinoma.
AB - BACKGROUND: The aim of this study was to evaluate the efficacy and toxicities of
second-line chemotherapy regimens with docetaxel and gemcitabine (GD), or
paclitaxel and gemcitabine (GP) for advanced or metastatic urothelial carcinoma
(UC) that did not respond to first-line platinum-based chemotherapy. METHODS:
From 2002 to 2017, 78 patients with metastatic UCs that progressed after platinum
based chemotherapy were treated with either GD (n = 41) or GP (n = 37). We
compared these two different regimens by analyzing their efficacy and toxicities
in a retrospective manner. RESULTS: Of the 78 patients enrolled in this study, it
was possible to determine treatment efficacy in 70; the proportion of patients
with objective response and disease control were 8.6 (9/70) and 54.3% (38/70),
respectively. The median progression-free survival and overall survival in the
total population (GP and GD) were 3.5 (95% CI 0.6-53.3) and 9.6 months (95% CI
1.2-53.3), respectively. There was no significant difference between the two
regimens (GD or GP) regarding survival outcomes. Treatment-related adverse events
were mostly manageable, but one patient died as a result of febrile neutropenia.
The presence of liver metastasis and anemia (Hb < 10.0 g/dl) was prognostic
factors for worse survival. CONCLUSIONS: Combination chemotherapy with either GP
or GD was a favorable and well-tolerated second-line treatment regimen for
patients with advanced or metastatic UC following the failure of a platinum-based
regimen. Further study using a large prospective cohort is needed to identify
patients who will benefit from second-line combination therapy.
PMID- 29785623
TI - Synthesis, Spectroscopic Characterization and Polymerization Abilities of Blue
and Green Light Emitting Oxazol-5-one Fluorophores.
AB - New fluorescent thiophenyl group containing oxazol-5-one fluorophores of 3a (4-(3
thiophenylmethylene)-2-phenyloxazol-5-one), 3b (4-(3-thiophenylmethylene)-2-(4
tolyl)oxazol-5-one) and 3c (4-(3-thiophenylmethylene)-2-(4-nitrophenyl)oxazol-5
one) were synthesized and characterized. The newly synthesized oxazol-5-ones
absorption and fluorescence characteristics were studied in some solvents of
varying polarities. The heterocyclic chromophores were fluorescent, with two of
them, 3a and 3b, emitting blue light, whilst the other one, 3c, emitting green
light. The emission maxima of the derivatives varied between 415 and 572 nm
according as the extent of conjugation and solvent polarity. As solvent polarity
increased, 3c derivatives emission spectra displayed a large bathochromic shift,
which revealed the considerable change of the dipole moment of the fluorescent
structure because of an intramolecular charge transfer interaction. Furthermore,
oxazolones polymerization ability via the thiophenyl group linked to the oxazol-5
one heterocycle showed that copolymerization of 3a was achieved, but
homopolymerization was not observed.
PMID- 29785624
TI - Longitudinal Neuroimaging Hippocampal Markers for Diagnosing Alzheimer's Disease.
AB - Hippocampal atrophy measures from magnetic resonance imaging (MRI) are powerful
tools for monitoring Alzheimer's disease (AD) progression. In this paper, we
introduce a longitudinal image analysis framework based on robust registration
and simultaneous hippocampal segmentation and longitudinal marker classification
of brain MRI of an arbitrary number of time points. The framework comprises two
innovative parts: a longitudinal segmentation and a longitudinal classification
step. The results show that both steps of the longitudinal pipeline improved the
reliability and the accuracy of the discrimination between clinical groups. We
introduce a novel approach to the joint segmentation of the hippocampus across
multiple time points; this approach is based on graph cuts of longitudinal MRI
scans with constraints on hippocampal atrophy and supported by atlases.
Furthermore, we use linear mixed effect (LME) modeling for differential diagnosis
between clinical groups. The classifiers are trained from the average residue
between the longitudinal marker of the subjects and the LME model. In our
experiments, we analyzed MRI-derived longitudinal hippocampal markers from two
publicly available datasets (Alzheimer's Disease Neuroimaging Initiative, ADNI
and Minimal Interval Resonance Imaging in Alzheimer's Disease, MIRIAD). In
test/retest reliability experiments, the proposed method yielded lower volume
errors and significantly higher dice overlaps than the cross-sectional approach
(volume errors: 1.55% vs 0.8%; dice overlaps: 0.945 vs 0.975). To diagnose AD,
the discrimination ability of our proposal gave an area under the receiver
operating characteristic (ROC) curve (AUC) [Formula: see text] 0.947 for the
control vs AD, AUC [Formula: see text] 0.720 for mild cognitive impairment (MCI)
vs AD, and AUC [Formula: see text] 0.805 for the control vs MCI.
PMID- 29785622
TI - Retrospective surveillance of antibiotic use in maternity wards and neonatal
intensive care units in Saint Petersburg, Russia.
AB - Antibiotic overuse in infants is associated with an increased risk of serious
adverse events. Development of antibiotic stewardship programs aimed at reducing
overall antibiotic consumption requires epidemiological surveillance.
Retrospective surveillance and evaluation of all antibiotics provided to every
infant admitted to maternal wards or neonatal intensive care units (NICUs) from
01 January 2014 to 31 December 2014 were performed in five medical centers of
Saint Petersburg, Russia. Types of antibiotics and dates of administration were
recorded. Antibiotic use was quantified by length of therapy (length of therapy,
LOT, per 1000 patient-days, PD) and days of therapy (DOT/1000 PD). An additional
parameter named "instant DOT/1000 PD" was introduced by authors for assessment of
longitudinal patterns of administrations. Antibiotic load was 825.6 DOT/1000 PD
in maternity wards and 1425.8 DOT/1000 PD in the NICUs. These levels are two to
four times higher than DOTs reported in the USA for a level III NICU (348
DOT/1000PD). Antibiotic load was associated with the length of hospital stay
(LOS) and birth weight. These associations were distorted when assessed using the
conventional parameters, LOT and DOT, because they do not reflect the
longitudinal component of treatment and underestimate antibiotic load when a
patient stays in hospital without treatment. The proposed additional parameter
successfully overcame these flaws and uncovered hidden associations. Severe
overuse of antibiotics may be taking place in Russia and antibiotic stewardship
development should be urged. Instant DOT/1000 PD is a more powerful tool in
assessing treatment patterns than DOT/1000 PD.
PMID- 29785625
TI - Exploring the Association Between Electronic Health Record Use and Burnout Among
Psychiatry Residents and Faculty: a Pilot Survey Study.
AB - OBJECTIVE: Burnout is a phenomenon with profound negative effects on the US
healthcare system. Little is known about the relationship between time spent
working on electronic health record (EHR) and burnout among psychiatry residents.
The purpose of this study is to generate preliminary data on EHR use and burnout
among psychiatry residents and faculty. METHODS: In August 2017, psychiatry
residents and faculty at an academic medical center were given the Maslach
Burnout Inventory (MBI), a standardized measurement tool for burnout, and a
survey of factors related to EHR use and potential risk factors for burnout. MBI
data along with selected burnout risk and protective factors were analyzed with R
Studio software. RESULTS: Responses were obtained from 40 psychiatry residents
(73%) and 12 clinical faculty members (40%). Residents reported 22 h per week
using EHR on average. Mean score of residents surveyed in postgraduate year (PGY)
1-4 met criteria for high emotional exhaustion associated with burnout. The
magnitude of correlation between EHR use and emotional exhaustion was stronger
than for other burnout factors including sleep, exercise, and clinical service.
CONCLUSIONS: Psychiatry residents show signs of high emotional exhaustion, which
is associated with burnout. Results demonstrate a strong positive correlation
between EHR use and resident burnout. Time spent on EHR use may be an area of
importance for psychiatry program directors and other psychiatric educators to
consider when seeking to minimize burnout and promote wellness.
PMID- 29785626
TI - Sex Attractant Pheromones of Virgin Queens of Sympatric Slave-Making Ant Species
in the Genus Polyergus, and their Possible Roles in Reproductive Isolation.
AB - Species of the ant genus Polyergus are social parasites that steal brood from
colonies of their hosts in the closely related genus Formica. Upon emergence as
adults in a mixed population, host Formica workers carry out all the normal
worker functions within the Polyergus colony, including foraging, feeding,
grooming, and rearing brood of the parasitic Polyergus ants. Some unmated
Polyergus gynes (queens) run in the raiding columns of their colonies and attract
males by releasing a pheromone from their mandibular glands. There are two
Polyergus species groups in North America: an eastern P. lucidus group and a
western P. breviceps group. One species of each of these groups, P. lucidus Mayr
and P. mexicanus Emery, are sympatric in Missouri. In this study, we
characterized the sex pheromones of virgin queens of two species of the P.
lucidus group (P. lucidus sensu stricto and P. sanwaldi) and one species of the
P. breviceps group (P. mexicanus), and compared these with the previously
identified sex pheromone of P. topoffi of the P. breviceps group. We then used
sex pheromone blends reconstructed from synthesized components of the two groups
to test their efficacy at reproductively isolating these species. We found that
methyl 6-methylsalicylate is conserved as the major component of the pheromone
blends for both Polyergus species groups; however, methyl (R)-3-ethyl-4
methylpentanoate is the species-specific minor component produced by P. lucidus
group queens, and (R)-3-ethyl-4-methylpentan-1-ol is the crucial minor component
for P. breviceps group queens. The optimal ratio of the major and minor
components for P. lucidus group queens was about 100:1 salicylate to ester. In
concurrent field trials in Missouri, males of P. lucidus sensu stricto and P.
mexicanus (a member of the P. breviceps group) were attracted almost exclusively
to their particular blends of sex pheromone components. To our knowledge, this is
the first example of a possible sex-pheromone-based reproductive isolating
mechanism in ants.
PMID- 29785627
TI - Chemical Communication and Reproduction Partitioning in Social Wasps.
AB - Social wasps encompass species displaying diverse social organization regarding
colony cycle, nest foundation, caste differences (from none to significant
dimorphism) and number of reproductive queens. Current phylogenetic data suggests
that sociality occured independently in the subfamily Stenogastrinae and in the
Polistinae+Vespinae clade. In most species, including those with the simplest
social organization, colony reproduction is monopolised by a single or few
females. Since their nest mates can also develop ovaries and lay eggs, dominant
females must somehow inhibit them from reproducing. Physical interactions in the
form of open aggression or, usually, ritualised dominance by the fertile females
contribute to fertility inhibition in several species, but it is unlikely to
function in large colonies. In the latter case, reproduction within the colony is
likely to be regulated through pheromones. Relatively little is known about these
semiochemicals. Studies on all the three social wasp subfamilies, revealed that
cuticular hydrocarbon components differ in abundance between egg-laying and not
egg-laying females and that their composition depends on fertility status. In
several species, females have been reported to manifestly react towards females
with activated ovaries, but there is little evidence to support the hypothesis
that fertile individuals are either recognized through their CHC composition, or
that over-represented CHC constituents can inhibit fertility. Moreover, very
little information exists on the possibility that exocrine glands release
fertility signals or chemicals inhibiting fertility.
PMID- 29785628
TI - Gut-Associated Bacteria of Helicoverpa zea Indirectly Trigger Plant Defenses in
Maize.
AB - Insect-associated microbes can contribute to the physiological and ecological
functions of insects. Despite a few examples in beetles and piercing-sucking
insects, the varied mechanisms of how insect-associated bacteria mediate plant
insect interactions are still not fully understood. The polyphagous herbivore
Helicoverpa zea is a major agricultural pest that harbors certain microbes in
their digestive systems. Enterobacter ludwigii is one of the gut-associated
bacteria identified from field-collected caterpillars, and it has been shown to
indirectly induce defenses in the dicot plant tomato by triggering the
biosynthesis of salivary elicitors, but there are no clear mechanisms to show how
gut microbes alter these salivary cues and how a different host plant responds to
these inducible elicitors. Here, we conducted a series of assays to determine
whether infection with E. ludwigii affects H. zea larval growth, immunity, and
salivary responses and thus influences induced defenses of maize to herbivory.
Inoculating lab-reared caterpillars with E. ludwigii, did not significantly
affect the growth of caterpillars, but two immunity-related genes glucose oxidase
(GOX) and lysozyme (LYZ) were more highly expressed in both salivary glands and
midguts compared with MgCl2 solution-treated caterpillars. Oral elicitors were
evaluated for their role in triggering maize-specific defense responses. Our
results show that saliva and its main component protein glucose oxidase (GOX)
from E. ludwigii-inoculated caterpillars played a role in inducing maize anti
herbivore responses. These findings provide a novel concept that introducing
bacteria to an herbivore may be an important approach to pest control through
alteration of insect immune responses and thus indirect induction of plant
resistance.
PMID- 29785630
TI - Calcium Channels, Rho-Kinase, Protein Kinase-C, and Phospholipase-C Pathways
Mediate Mercury Chloride-Induced Myometrial Contractions in Rats.
AB - Adverse effects of mercury on female reproduction are reported; however, its
effect on myogenic activity of uterus and mechanism thereof is obscure. Present
study was undertaken to unravel the mechanistic pathways of mercuric chloride
(HgCl2)-induced myometrial contraction in rats. Isometric tension in myometrial
strips of rats following in vitro exposure to HgCl2 was recorded using data
acquisition system-based physiograph. HgCl2 produced concentration-dependent (10
nM-100 MUM) uterotonic effect which was significantly (p < 0.05) reduced in Ca2+
free solution and inhibited in the presence of nifedipine (1 MUM), a L-type Ca2+
channel blocker, thus suggesting the importance of extracellular Ca2+ and its
entry through L-type calcium channels in HgCl2-induced myometrial contractions in
rats. Cumulative concentration-response curve of HgCl2 was significantly (p <
0.05) shifted towards right in the presence of Y-27632 (10 MUM), a Rho-kinase
inhibitor, suggesting the involvement of Ca2+-sensitization pathway in mediating
HgCl2-induced myometrial contraction. HgCl2-induced myometrial contraction was
also significantly (p < 0.05) inhibited in the presence of methoctramine or para
fluoro-hexahydro-siladifenidol, a selective M2 and M3 receptor antagonists,
respectively, which evidently suggest that mercury also interacts with M2 and M3
muscarinic receptors to produce myometrial contractions. U-73122 and GF-109203X,
the respective inhibitors of PLC and PKC-dependent pathways, downstream to the
receptor activation, also significantly (p < 0.05) attenuated the uterotonic
effect of HgCl2 on rat uterus. Taken together, present study evidently reveals
that HgCl2 interacts with muscarinic receptors and activates calcium signaling
cascades involving calcium channels, Rho-kinase, protein kinase-C, and
phospholipase-C pathways to exert uterotonic effect in rats. Graphical Abstract
Graphical abstract depicting the mechanism of mercury-induced myometrial
contraction in rats. M receptor: Muscarinic receptor; PIP2: phospho-inositol
bisphosphate; PLC: phospholipase-C; DAG: diacyl glycerol; IP3: inositol
triphosphate; IP3R: inositol triphosphate receptor; PKC; protein kinase-C; MLCP:
myosin light chain phosphatise; MYPT: myosin phosphatase; SR: sarco-endoplasmic
reticulum.
PMID- 29785631
TI - Eating disorders risk among medical students: a global systematic review and meta
analysis.
AB - PURPOSE: Medical students appear to be a high-risk group to develop psychological
problems including eating disorders (ED). The prevalence estimates of ED risk
vary greatly between studies. This systematic review and meta-analysis was done
to estimate the prevalence of ED risk among medical students. METHODS: An
electronic search of EMBASE, MEDLINE, ProQuest and Google Scholar was conducted.
Studies that reported the prevalence of ED risk among medical students and were
published in English peer-reviewed journals between 1982 and 2017 were included.
Information about study characteristics and the prevalence of ED risk were
extracted by four investigators. Each article was reviewed independently by at
least two investigators. Estimates were pooled using random-effects meta-analysis
using the DerSimonian-Laird method. The main outcome of interest was the
prevalence of ED risk in medical students. RESULTS: The prevalence of ED risk
among medical students was extracted from nineteen cross-sectional studies across
nine countries (total participants n = 5722). The overall pooled prevalence rate
of ED risk was 10.4% (497/5722 students, 95% CI 7.8-13.0%), with statistically
significant evidence between-study heterogeneity (Q = 295, tau2 = 0.003, I2 =
94.0%, P < 0.001). Prevalence estimates between studies ranged from 2.2 to 29.1%.
CONCLUSION: In this systematic review and meta-analysis, the summary prevalence
of ED risk among medical students was 10.4%. Further research is needed to
identify and prevent ED in this population. Studies are also needed to
investigate concurrent pathologies associated with ED risk. LEVEL OF EVIDENCE:
Level I, systematic review and meta-analysis.
PMID- 29785632
TI - Virulence Factors in Salmonella Typhimurium: The Sagacity of a Bacterium.
AB - Currently, Salmonella enterica Typhimurium (ST) is responsible for most cases of
food poisoning in several countries. It is characterized as a non-specific
zoonotic bacterium that can infect both humans and animals and although most of
the infections caused by this microorganism cause only a self-limiting
gastroenteritis, some ST strains have been shown to be invasive, crossing the
intestinal wall and reaching the systemic circulation. This unusual pathogenicity
ability is closely related to ST virulence factors. This review aims to portray
the main virulence factors in Salmonella Typhimurium, in order to better
understand the strategies that this pathogen uses to reach the systemic
circulation and increase its infectivity in humans and animals. Thus, the most
studied Salmonella pathogenicity islands in Salmonella Typhimurium were detailed
as to the functions of their encoded virulence factors. In addition, available
knowledge on virulence plasmid was also compiled, as well as the chromosome
regions involved in the virulence of this bacterium.
PMID- 29785629
TI - Reproductive Dominance Strategies in Insect Social Parasites.
AB - In eusocial insects, the high cost of altruistic cooperation between colony
members has favoured the evolution of cheaters that exploit social services of
other species. In the most extreme forms of insect social parasitism, which has
evolved multiple times across most social lineages, obligately parasitic species
invade the nests of social species and manipulate the workforce of their hosts to
rear their own reproductive offspring. As alien species that have lost their own
sociality, these social parasites still face social challenges to infiltrate and
control their hosts, thus providing independent replicates for understanding the
mechanisms essential to social dominance. This review compares socially parasitic
insect lineages to find general trends and build a hypothetical framework for the
means by which social parasites achieve reproductive dominance. It highlights how
host social organization and social parasite life history traits may impact the
way they achieve reproductive supremacy, including the potential role of chemical
cues. The review discusses the coevolutionary dynamics between host and parasite
during this process. Altogether, this review emphasizes the value of social
parasites for understanding social evolution and the need for future research in
this area.
PMID- 29785636
TI - A letter to the editor referencing "Objective and subjective financial burden and
its associations with health-related quality of life among lung cancer patients".
PMID- 29785633
TI - Regulation of Thermostable Direct Hemolysin and Biofilm Formation of Vibrio
parahaemolyticus by Quorum-Sensing Genes luxM and luxS.
AB - Vibrio parahaemolyticus is a seafood opportunistic pathogen. There are evidences
suggesting that virulence skills, including hemolytic activity and biofilm
formation, are regulated by the luxM/luxS-dependent quorum-sensing system in V.
parahaemolyticus, and their regulatory mechanism is not well understood. To
better understand the virulence regulatory mechanism of V. parahaemolyticus, the
luxM deletion (?luxM) and luxS deletion (?luxS) mutants were constructed and
their impacts on growth, hemolysin activity, and biofilm were investigated.
Results show that both luxM and luxS are involved in the adaptation to
environmental conditions in early adaptive-log phase growth of V.
parahaemolyticus. Thermostable direct hemolysin gene (tdh) was negatively
regulated by luxM and positively regulated by luxS. The biofilm formation was
negatively regulated by both luxS and luxM. This study provides an insight into
some aspects of V. parahaemolyticus virulence regulation by luxM/luxS-dependent
quorum-sensing system.
PMID- 29785634
TI - A Cluster of Five Genes Essential for the Utilization of Dihydroxamate
Xenosiderophores in Synechocystis sp. PCC 6803.
AB - The unicellular freshwater cyanobacterium Synechocystis sp. PCC 6803 is capable
of using dihydroxamate xenosiderophores, either ferric schizokinen (FeSK) or a
siderophore of the filamentous cyanobacterium Anabaena variabilis ATCC 29413
(SAV), as the sole source of iron in the TonB-dependent manner. The fecCDEB1-schT
gene cluster encoding a siderophore transport system that is involved in the
utilization of FeSK and SAV in Synechocystis sp. PCC 6803 was identified. The
gene schT encodes TonB-dependent outer membrane transporter, whereas the
remaining four genes encode the ABC-type transporter FecB1CDE formed by the
periplasmic binding protein FecB1, the transmembrane permease proteins FecC and
FecD, and the ATPase FecE. Inactivation of any of these genes resulted in the
inability of cells to utilize FeSK and SAV. Our data strongly suggest that
Synechocystis sp. PCC 6803 can readily internalize Fe-siderophores via the
classic TonB-dependent transport system.
PMID- 29785637
TI - FRZB1 rs2242070 polymorphisms is associated with brick tea type skeletal
fluorosis in Kazakhs, but not in Tibetans, China.
AB - Skeletal fluorosis is a metabolic bone and joint disease caused by excessive
accumulation of fluoride in the bones. Compared with Kazakhs, Tibetans are more
likely to develop moderate and severe brick tea type skeletal fluorosis, although
they have similar fluoride exposure. Single nucleotide polymorphisms (SNPs) in
frizzled-related protein (FRZB) have been associated with osteoarthritis, but
their association with the risk of skeletal fluorosis has not been reported. In
this paper, we investigated the association of three SNPs (rs7775, rs2242070 and
rs9288087) in FRZB1with brick tea type skeletal fluorosis risk in a cross
sectional case-control study conducted in Sinkiang and Qinghai, China. A total of
598 individuals, including 308 Tibetans and 290 Kazakhs, were enrolled in this
study, in which cases and controls were 221 and 377, respectively. The skeletal
fluorosis was diagnosed according to the Chinese diagnostic criteria of endemic
skeletal fluorosis (WS192-2008). The fluoride content in tea water or urine was
detected using the fluoride ion electrode. SNPs were assessed using the Sequenom
MassARRAY system. Binary logistic regressions found evidence of association with
rs2242070 AA genotype in only Kazakh participants [odds ratio (OR) 0.417, 95% CI
0.216-0.807, p = 0.009], but not in Tibetans. When stratified by age, this
protective effect of AA genotype in rs2242070 was pronounced in Kazakh
participants aged 46-65 (OR 0.321, 95% CI 0.135-0.764, p = 0.010). This
protective association with AA genotype in rs2242070 in Kazakhs also appeared to
be stronger with tea fluoride intake > 3.5 mg/day (OR 0.396, 95% CI 0.182-0.864,
p = 0.020). Our data suggest there might be differential genetic influence on
skeletal fluorosis risk in Kazakh and Tibetan participants and that this
difference might be modified by tea fluoride intake.
PMID- 29785635
TI - Self-monitoring physical activity with a smartphone application in cancer
patients: a randomized feasibility study (SMART-trial).
AB - PURPOSE: Evidence accumulates that an active lifestyle positively influences
cancer treatment outcome. A "smartphone application" (app) such as "RunKeeper,"
to self-monitor physical activity (PA) might be helpful. This study aimed to
examine whether using RunKeeper to increase self-reported PA is feasible in
cancer patients and to evaluate patients' opinion about using RunKeeper in a 12
week program. METHODS: Adult patients (n = 32), diagnosed with cancer, were
randomized between usual care (n = 16) or a 12-week intervention with
instructions to self-monitor PA with RunKeeper (n = 16). Changes in PA were
determined with the Physical Activity Scale for the Elderly (PASE) at baseline
(T0), 6 weeks (T1), and 12 weeks (T2). Usability and patients' experiences were
tested at T2 with the System Usability Scale (SUS) and a semi-structured
interview. RESULTS: Patient mean age was 33.6 years. Between T0 and T1, an
increase in PA of 51% (medium estimated effect size r = 0.40) was found in PASE
sum score in the intervention group compared with usual care. In addition, total
minutes of PA increased with 46% (r = 0.37). These effects decreased over time
(T2). Sedentary time decreased with 19% between T0 and T1 and 27% between T0 and
T2. Usability was rated "good" and most patients found RunKeeper use helpful to
improve PA. CONCLUSIONS: Self-monitoring PA with RunKeeper was safe and feasible
in cancer patients. The RunKeeper use resulted in an increase in PA after 6
weeks. RunKeeper usability was rated good and can be used to study PA in cancer
patients. TRIAL REGISTRATION: NCT02391454.
PMID- 29785638
TI - Intermittent convection-enhanced delivery of GDNF into rhesus monkey putamen:
absence of local or cerebellar toxicity.
AB - Glial cell line-derived neurotrophic factor (GDNF) has demonstrated
neurorestorative and neuroprotective effects in rodent and nonhuman primate
models of Parkinson's disease. However, continuous intraputamenal infusion of
GDNF (100 ug/day) resulted in multifocal cerebellar Purkinje cell loss in a 6
month toxicity study in rhesus monkeys. It was hypothesized that continuous
leakage of GDNF into the cerebrospinal fluid compartment during the infusions led
to down-regulation of GDNF receptors on Purkinje cells, and that subsequent acute
withdrawal of GDNF then mediated the observed cerebellar lesions. Here we present
the results of a 9-month toxicity study in which rhesus monkeys received
intermittent intraputamenal infusions via convection-enhanced delivery. Animals
were treated with GDNF (87.1 ug; N = 14) or vehicle (N = 6) once every 4 weeks
for a total of 40 weeks (11 treatments). Four of the GDNF-treated animals were
utilized in a satellite study assessing the impact of concomitant catheter
repositioning prior to treatment. In the main study, eight animals (5 GDNF, 3
control) were euthanized at the end of the treatment period, along with the four
satellite study animals, while the remaining eight animals (5 GDNF, 3 control)
were euthanized at the end of a 12-week recovery period. There were no GDNF
related adverse effects and in particular, no GDNF-related microscopic findings
in the brain, spinal cord, dorsal root ganglia, or trigeminal ganglia. Therefore,
87.1 ug/4 weeks is considered the no observed adverse effect level for GDNF in
rhesus monkeys receiving intermittent, convection-enhanced delivery of GDNF for 9
months.
PMID- 29785639
TI - Development of a molecular diagnostic test for Retinitis Pigmentosa in the
Japanese population.
AB - PURPOSE: Retinitis Pigmentosa (RP) is the most common form of inherited retinal
dystrophy caused by different genetic variants. More than 60 causative genes have
been identified to date. The establishment of cost-effective molecular diagnostic
tests with high sensitivity and specificity can be beneficial for patients and
clinicians. Here, we developed a clinical diagnostic test for RP in the Japanese
population. STUDY DESIGN: Evaluation of diagnostic technology, Prospective,
Clinical and experimental study. METHODS: A panel of 39 genes reported to cause
RP in Japanese patients was established. Next generation sequence (NGS)
technology was applied for the analyses of 94 probands with RP and RP-related
diseases. After interpretation of detected genetic variants, molecular diagnosis
based on a study of the genetic variants and a clinical phenotype was made by a
multidisciplinary team including clinicians, researchers and genetic counselors.
RESULTS: NGS analyses found 14,343 variants from 94 probands. Among them, 189
variants in 83 probands (88.3% of all cases) were selected as pathogenic variants
and 64 probands (68.1%) have variants which can cause diseases. After the
deliberation of these 64 cases, molecular diagnosis was made in 43 probands
(45.7%). The final molecular diagnostic rate with the current system combining
supplemental Sanger sequencing was 47.9% (45 of 94 cases). CONCLUSIONS: The RP
panel provides the significant advantage of detecting genetic variants with a
high molecular diagnostic rate. This type of race-specific high-throughput
genotyping allows us to conduct a cost-effective and clinically useful genetic
diagnostic test.
PMID- 29785640
TI - Switching from high-fat diet to foods containing resveratrol as a calorie
restriction mimetic changes the architecture of arcuate nucleus to produce more
newborn anorexigenic neurons.
AB - PURPOSE: These days, obesity threatens the health for which one of the main
interventions is calorie restriction (CR). Due to the difficulty of compliance
with this treatment, CR mimetics such as resveratrol (RSV) have been considered.
The present study compared the effects of RSV and CR on hypothalamic remodeling
in a diet-switching experiment. METHODS: C57BL/6 male mice received high-fat diet
(HFD) for 4 weeks, subsequently their diet switched to chow diet, HFD + RSV, chow
diet + RSV or CR diet for a further 6 weeks. Body weight, fat accumulation,
hypothalamic apoptosis and expression of trophic factors as well as generation
and fate specification of newborn cells in arcuate nucleus (ARC) were evaluated.
RESULTS: Switching diet to RSV-containing foods leading to weight and fat loss
after 6 weeks. In addition, not only a significant reduction in apoptosis but
also a considerable increase in production of newborn cells in ARC occurred
following consumption of RSV-enriched diets. These were in line with augmentation
of hypothalamic ciliary neurotrophic factor and leukemia inhibitory factor
expression. Interestingly, RSV-containing diets changed the fate of newborn
neurons toward generation of more proopiomelanocortin than neuropeptide Y
neurons. The CR had effects similar to those of RSV-containing diets in the all
evaluated aspects besides neurogenesis in ARC. CONCLUSIONS: Although both RSV
containing and CR diets changed the fate of newborn neurons to create an
anorexigenic architecture for ARC, newborn neurons were more available after
switching to RSV-enriched diets. It can be consider as a promising mechanism for
future investigations.
PMID- 29785641
TI - In Silico Analysis of Natural Resistance-Associated Macrophage Protein (NRAMP)
Family of Transporters in Rice.
AB - In Oryza sativa (rice) there are seven members in the NRAMP (natural resistance-
associated macrophage protein) family of transporter proteins. They have been
identified as OsNRAMP1, OsNRAMP2, OsNRAMP3, OsNRAMP4, OsNRAMP5, OsNRAMP6 and
OsNRAMP7. Several metal ions like Zn2+, Mn2+, Fe2+, Cd2+ etc. have been studied
to be transported via NRAMP transporter proteins in rice plant. In spite of this,
very little information is available regarding these transporters. Hence it is
important to computationally predict and characterize the OsNRAMP family of
transporters for studying and understanding their molecular insights in future
studies. For this purpose, various in silico methods and tools were used for the
characterization of OsNRAMP family of transporter proteins. Physico-chemical
properties of the protein sequences were calculated, putative transmembrane
domains (TMDs) and conserved motif signatures were determined and their
interaction partners were predicted. 3D models of all the members of OsNRAMP
transporters were generated using online structure prediction tool followed by
their analysis. In silico microarray analysis was done to understand the
expression pattern of these transporters in rice plant. Currently, only limited
knowledge is available about the structural and functional aspects of these
transporters, hence this study would provide more theoretical information about
them.
PMID- 29785642
TI - Differential Binding of Active and Inactive Integrin to Talin.
AB - Bi-directional signaling of integrins plays an important role in platelet and
leukocyte function. Talin plays a key role in integrin bi-directional signaling
and its binding to integrin is highly regulated. The precise regulation of the
recruitment and binding of talin to integrin is still being elucidated. In
particular, the recruitment of talin to integrin is controlled by the RAP-1 and
RIAM/lamellipodin signaling axis and the affinity between talin and integrin is
regulated by the conformation or protease cleavage of talin. However, whether the
binding between integrin and talin is also regulated by integrin conformation has
not been thoroughly explored before. In this work, we used biochemical binding
assays to study the potential role of integrin conformational changes in integrin
talin interactions. Constitutively active integrin alphaIIbb3 binds markedly
stronger to talin than inactive alphaIIbb3. Inactive alphaIIbb3 markedly
increases its binding to talin once activated, regardless of how alphaIIbb3 is
activated. Further, the increased binding to talin is b3 tail dependent. Our
results suggest that integrin conformation is another regulatory mechanism for
integrin-talin interaction.
PMID- 29785644
TI - Child with Isolated Motor Delay: Look at the Neuroimage.
PMID- 29785643
TI - Estimating and mapping forest biomass using regression models and Spot-6 images
(case study: Hyrcanian forests of north of Iran).
AB - Hyrcanian forests of North of Iran are of great importance in terms of various
economic and environmental aspects. In this study, Spot-6 satellite images and
regression models were applied to estimate above-ground biomass in these forests.
This research was carried out in six compartments in three climatic (semi-arid to
humid) types and two altitude classes. In the first step, ground sampling methods
at the compartment level were used to estimate aboveground biomass (Mg/ha). Then,
by reviewing the results of other studies, the most appropriate vegetation
indices were selected. In this study, three indices of NDVI, RVI, and TVI were
calculated. We investigated the relationship between the vegetation indices and
aboveground biomass measured at sample-plot level. Based on the results, the
relationship between aboveground biomass values and vegetation indices was a
linear regression with the highest level of significance for NDVI in all
compartments. Since at the compartment level the correlation coefficient between
NDVI and aboveground biomass was the highest, NDVI was used for mapping
aboveground biomass. According to the results of this study, biomass values were
highly different in various climatic and altitudinal classes with the highest
biomass value observed in humid climate and high-altitude class.
PMID- 29785645
TI - Concurrent coupling of realistic and ideal models of liquids and solids in
Hamiltonian adaptive resolution simulations.
AB - To understand the properties of a complex system it is often illuminating to
perform a comparison with a simpler, even idealised one. A prototypical
application of this approach is the calculation of free energies and chemical
potentials in liquids, which can be decomposed in the sum of ideal and excess
contributions. In the same spirit, in computer simulations it is possible to
extract useful information on a given system making use of setups where two
models, an accurate one and a simpler one, are concurrently employed and directly
coupled. Here, we tackle the issue of coupling atomistic or, more in general,
interacting models of a system with the corresponding idealised representations:
for a liquid, this is the ideal gas, i.e. a collection of non-interacting
particles; for a solid, we employ the ideal Einstein crystal, a construct in
which particles are decoupled from one another and restrained by a harmonic,
exactly integrable potential. We describe in detail the practical and technical
aspects of these simulations, and suggest that the concurrent usage and coupling
of realistic and ideal models represents a promising strategy to investigate
liquids and solids in silico.
PMID- 29785646
TI - Investigating the Spatial Trends in the Level of Organic Contaminants in the
Ethiopian Rift Valley Lakes Using Semipermeable Membrane Devices.
AB - Organic pollutants in the Ethiopian Rift Valley Lakes are the major factors that
contribute to severe environmental problem. SPMDs were deployed for the analysis
of selected organic pollutants for 1 month at 2 sites in Lakes Hawassa, Ziway and
Koka, Ethiopia. From SPMDs placed in the three lakes, the predominant OCPs were
DDT which comprise 67% and followed by endosulfan 23% of the total organochlorine
pesticides (OCPs) retrieved. The highest level of OCPs, in general, was found in
the SPMDs deployed in Lake Ziway with the mean concentration of 308.5 ng/SPMD.
However, the concentrations of polychlorinated biphenyls (PCBs) were the highest
in the SPMDs deployed in Lake Hawassa with mean concentration of 50.2 ng/SPMD.
Spatial variation on the accumulation of OCPs and PCBs among the lakes depends on
the shoreline activities, distance of the lakes from point and non-point sources,
and the biofouling factors.
PMID- 29785647
TI - mRNA Analysis of Genes Encoded with Phytochelatin Synthase (PCS) in Rice
Seedlings Exposed to Chromium: The Role of Phytochelatins in Cr Detoxification.
AB - Transcriptional changes of genes encoded with phytochelatins synthase (PCS) was
investigated in rice seedlings exposed to potassium chromate Cr(VI) or chromium
nitrate Cr(III) using qRT-PCR. Our study demonstrates that both Cr variants
initiated different responses of phytochelatin content and PCS activities in rice
tissues. Six putative PCS genes were expressed differentially in response to both
Cr species. Comparing gene expression between root/shoots, only LOC_Os05g34290.1
and LOC_Os06g01260.1 genes were expressed in similar patterns in Cr(VI)
treatment, while none of them were expressed equally in Cr(III) treatments.
Inconsistent expression of PCS genes in two Cr variants as well as in rice
tissues were most likely related to its individual chemical properties and
chemical speciation. Results presented here indicate that the role of
phytochelatins in Cr detoxification between two Cr variants in rice was different
and six putative PCS genes functioned differently in stimulating PCS activities
and regulating phytochelatin formation.
PMID- 29785648
TI - Ultrafiltration for the Determination of Cu Complexed with Dissolved Organic
Matters of Different Molecular Weight from a Eutrophic River, China.
AB - The molecular weight of dissolved organic matter (DOM) is one of the essential
factors controlling the properties of metal complexes. A continuous
ultrafiltration experiment was designed to study the properties of Cu complexes
with different molecular weights in a river before and after eutrophication. The
results showed that the concentration of DOM increased from 26.47 to 38.20 mg/L
during the eutrophication process, however, DOM was still dominated by the small
molecular weight fraction before and after eutrophication. The amount of Cu-DOM
complexes increased with the increasing of molecular weight, however, the amounts
of DOM-Cu complexes before eutrophication were higher than those after
eutrophication. This is because DOM contained more -COOH and -OH before
eutrophication and these functional groups are the active sites complexed with
Cu.
PMID- 29785649
TI - Intersections of discrimination due to unemployment and mental health problems:
the role of double stigma for job- and help-seeking behaviors.
AB - PURPOSE: The everyday lives of unemployed people with mental health problems can
be affected by multiple discrimination, but studies about double stigma-an
overlap of identities and experiences of discrimination-in this group are
lacking. We therefore studied multiple discrimination among unemployed people
with mental health problems and its consequences for job- and help-seeking
behaviors. METHODS: Everyday discrimination and attributions of discrimination to
unemployment and/or to mental health problems were examined among 301 unemployed
individuals with mental health problems. Job search self-efficacy, barriers to
care, and perceived need for treatment were compared among four subgroups,
depending on attributions of experienced discrimination to unemployment and to
mental health problems (group i); neither to unemployment nor to mental health
problems (group ii); mainly to unemployment (group iii); or mainly to mental
health problems (group iv). RESULTS: In multiple regressions among all
participants, higher levels of discrimination predicted reduced job search self
efficacy and higher barriers to care; and attributions of discrimination to
unemployment were associated with increased barriers to care. In ANOVAs for
subgroup comparisons, group i participants, who attributed discrimination to both
unemployment and mental health problems, reported lower job search self-efficacy,
more perceived stigma-related barriers to care and more need for treatment than
group iii participants, as well as more stigma-related barriers to care than
group iv. CONCLUSIONS: Multiple discrimination may affect job search and help
seeking among unemployed individuals with mental health problems. Interventions
to reduce public stigma and to improve coping with multiple discrimination for
this group should be developed.
PMID- 29785650
TI - A Probiotic for Ulcerative Colitis: The Culture Wars Continue.
PMID- 29785651
TI - Genetic analysis of the human insulin-like 3 gene in pediatric patients with
testicular torsion.
AB - PURPOSE: Testicular torsion (TT) mainly affects boys under 18 years old. To avoid
orchiectomy, TT requires an immediate operative management. The etiology of TT is
still controversial. Observed familiar recurrence suggests the presence of a
genetic involvement. The INSL3 gene consists of two exons, and it is specifically
expressed in fetal and adult Leydig cells. In transgenic mice, deletion of this
gene was observed an increased testicular mobility and testicular torsion. We
have hypothesized the possible involvement of the INSL3 gene as a predisposing
factor of human TT. METHODS: We performed genetic analysis in 25 pediatric
patients with unilateral and intravaginal TT (left, n = 13, 56%; right, n = 12,
48%). The age of the patients ranged from 1 to 16 years (median age n = 10.4 +/-
5.46 years). In this study, we included two first male cousins affected by TT.
Venous peripheral blood samples was obtained after parental written informed
consent. RESULTS: The Thr60Ala polymorphism was detected in exon 1 of INSL3 gene
and other 2 rarer variants (rs1047233 and rs1003887) were identified in the 3'
untranslated region. These variants are prevalent in patients with TT instead of
healthy subjects. CONCLUSIONS: Additional studies in a larger population are
needed to better understand the clinical consequence of the INSL 3 variations
founded. This would allow in the future to identify the patients at risk of TT to
improve clinical management.
PMID- 29785653
TI - Valproic acid treatment response in vitro is determined by TP53 status in
medulloblastoma.
AB - PURPOSE: Histone deacetylate inhibitors (HDACi), as valproic acid (VA), have been
reported to enhance efficacy and to prevent drug resistance in some tumors,
including medulloblastoma (MB). In the present study, we investigated VA role,
combined to cisplatin (CDDP) in cell viability and gene expression of MB cell
lines. METHODS: Dose-response curve determined IC50 values for each treatment:
(1) VA single, (2) CDDP single, and (3) VA and CDDP combined. Cytotoxicity and
flow cytometry evaluated cell viability after exposure to treatments.
Quantitative PCR evaluated gene expression levels of AKT, CTNNB1, GLI1, KDM6A,
KDM6B, NOTCH2, PTCH1, and TERT, before and after treatment. Besides, we performed
next-generation sequencing (NGS) for PTCH1, TERT, and TP53 genes. RESULTS: The
most effective treatment to reduce viability was combined for D283MED and ONS-76;
and CDDP single for DAOY cells (p < 0.0001). TERT, GLI1, and AKT genes were
overexpressed after treatments with VA. D283MED and ONS-76 cells presented
variants in TERT and PTCH1, respectively and DAOY cell line presented a TP53
mutation. CONCLUSIONS: MB tumors belonging to SHH molecular subgroup, with
TP53MUT, would be the ones that present high risk in relation to VA use during
the treatment, while TP53WT MBs can benefit from VA therapy, both SHH and groups
3 and 4. Our study shows a new perspective about VA action in medulloblastoma
cells, raising the possibility that VA may act in different patterns. According
to the genetic background of MB cell, VA can stimulate cell cycle arrest and
apoptosis or induce resistance to treatment via signaling pathways activation.
PMID- 29785655
TI - Early results after operatively versus non-operatively treated flail chest: a
retrospective study focusing on outcome and complications.
AB - PURPOSE: Flail chest was traditionally treated non-operatively using mechanical
ventilation and pain control. In order to reduce the occurrence of ventilation
associated complications and long-term disability, operative rib fixation is
becoming a proven standard therapy for these patients. However, the consequences
of the surgical complications may influence success rates negatively. The aim of
this study was to compare the outcome of flail chest treatment by surgical rib
fixation with non-operative treatment, with special focus on the impact of
surgical complications. METHODS: A retrospective case series of operatively
treated flail chest patients was compared with non-operatively treated patients.
Patients' injury and treatment characteristics and outcome parameters (e.g.,
duration of mechanical ventilation, length of Intensive Care stay (ICLOS) and
hospital length of stay (HLOS), mortality, surgery-related complications and
pneumonia) were collected from the patients' medical files. Crude and matched
pairs analyses were performed in SPSS. RESULTS: Twenty-three operatively and 47
non-operatively treated patients were enrolled. Operatively treated patients
required significantly shorter mechanical ventilation; median 4 days versus 12
days for the non-operative group (p = 0.011). The matched-pairs analysis also
showed a lower pneumonia rate (35% versus 80%; p = 0.035) and a shorter HLOS
(median 21 versus 23 days; p = 0.028) in the operative group. No significant
differences in duration of ICLOS, and occurrence of other injury-related adverse
events were found between both groups. ?Seven surgery-related complications
occurred, of which three required invasive solutions. CONCLUSIONS: Operative
fixation of a flail chest in trauma patients results in a lower rate of
pneumonia, less mechanical ventilation days and shorter hospital stay, compared
with non-operative treatment, but at the cost of surgery-related complications
requiring invasive solutions in some cases.
PMID- 29785656
TI - How to measure the immunosuppressive activity of MDSC: assays, problems and
potential solutions.
AB - Myeloid-derived suppressor cells (MDSC) are a heterogeneous group of mononuclear
and polymorphonuclear myeloid cells, which are present at very low numbers in
healthy subjects, but can expand substantially under disease conditions.
Depending on disease type and stage, MDSC comprise varying amounts of immature
and mature differentiation stages of myeloid cells. Validated unique phenotypic
markers for MDSC are still lacking. Therefore, the functional analysis of these
cells is of central importance for their identification and characterization.
Various disease-promoting and immunosuppressive functions of MDSC are reported in
the literature. Among those, the capacity to modulate the activity of T cells is
by far the most often used and best-established read-out system. In this review,
we critically evaluate the assays available for the functional analysis of human
and murine MDSC under in vitro and in vivo conditions. We also discuss critical
issues and controls associated with those assays. We aim at providing suggestions
and recommendations useful for the contemporary biological characterization of
MDSC.
PMID- 29785654
TI - A systematic review and meta-analysis of the use of resuscitative endovascular
balloon occlusion of the aorta in the management of major exsanguination.
AB - BACKGROUND: Circulatory collapse is a leading cause of mortality among traumatic
major exsanguination and in ruptured aortic aneurysm patients. Approximately 40%
of patients die before hemorrhage control is achieved. Resuscitative endovascular
balloon occlusion of the aorta (REBOA) is an adjunct designed to sustain the
circulation until definitive surgical or endovascular repair. A systematic review
was conducted for the current clinical use of REBOA in patients with hemodynamic
instability and to discuss its potential role in improving prehospital and in
hospital outcome. METHODS: Systematic review and meta-analysis (1900-2017) using
MEDLINE, Cochrane, EMBASE, Web of Science and Central and Emcare using the
keywords "aortic balloon occlusion", "aortic balloon tamponade", "REBOA", and
"Resuscitative Endovascular Balloon Occlusion" in combination with hemorrhage
control, hemorrhage, resuscitation, shock, ruptured abdominal or thoracic aorta,
endovascular repair, and open repair. Original published studies on human
subjects were considered. RESULTS: A total of 490 studies were identified; 89 met
criteria for inclusion. Of the 1436 patients, overall reported mortality was
49.2% (613/1246) with significant differences (p < 0.001) between clinical
indications. Hemodynamic shock was evident in 79.3%, values between clinical
indications showed significant difference (p < 0.001). REBOA was favored as
treatment in trauma patients in terms of mortality. Pooled analysis demonstrated
an increase in mean systolic pressure by almost 50 mmHg following REBOA use.
CONCLUSION: REBOA has been used in trauma patients and ruptured aortic aneurysm
patients with improvement of hemodynamic parameters and outcomes for several
decades. Formal, prospective study is warranted to clarify the role of this
adjunct in all hemodynamic unstable patients.
PMID- 29785657
TI - Novel non-invasive early detection of lung cancer using liquid immunobiopsy
metabolic activity profiles.
AB - Lung cancer is the leading cause of cancer death worldwide. Survival is largely
dependent on the stage of diagnosis: the localized disease has a 5-year survival
greater than 55%, whereas, for spread tumors, this rate is only 4%. Therefore,
the early detection of lung cancer is key for improving prognosis. In this study,
we present an innovative, non-invasive, cancer detection approach based on
measurements of the metabolic activity profiles of immune system cells. For each
Liquid ImmunoBiopsy test, a 384 multi-well plate is loaded with freshly separated
PBMCs, and each well contains 1 of the 16 selected stimulants in several
increasing concentrations. The extracellular acidity is measured in both air-open
and hermetically-sealed states, using a commercial fluorescence plate reader, for
approximately 1.5 h. Both states enable the measurement of real-time accumulation
of 'soluble' versus 'volatile' metabolic products, thereby differentiating
between oxidative phosphorylation and aerobic glycolysis. The metabolic activity
profiles are analyzed for cancer diagnosis by machine-learning tools. We present
a diagnostic accuracy study, using a multivariable prediction model to
differentiate between lung cancer and control blood samples. The model was
developed and tested using a cohort of 200 subjects (100 lung cancer and 100
control subjects), yielding 91% sensitivity and 80% specificity in a 20-fold
cross-validation. Our results clearly indicate that the proposed clinical model
is suitable for non-invasive early lung cancer diagnosis, and is indifferent to
lung cancer stage and histological type.
PMID- 29785658
TI - Incidence and risk factors for positive urine culture at the time of pediatric
urologic surgery.
AB - PURPOSE: Urinary tract infections are relatively common in pediatric patients.
Unrecognized bacteriuria at the time of urologic surgery puts patients at
increased risk for perioperative complications. Many pediatric urologists obtain
preoperative or intraoperative urine cultures prior to surgery, but data are
lacking regarding rates and risk factors for positive intraoperative urine
cultures. We conducted a retrospective cohort study of patients undergoing
pediatric urologic surgery to examine factors associated with positive
intraoperative urine cultures. MATERIALS AND METHODS: Charts of patients of age 0
18 years from a single pediatric urologist with associated intraoperative urine
culture results between 2008 and 2013 were retrospectively reviewed.
Demographics, prior culture results, and information regarding possible risk
factors of interest-history of constipation, hydronephrosis, neurogenic bladder,
posterior urethral valves, ureterocele, UPJ obstruction, urolithiasis,
vesicoureteral reflux, and circumcision status-were collected and analyzed.
RESULTS: Seven hundred and fifty procedure encounters with associated
intraoperative urine culture results were reviewed. Overall positive urine
culture rate was 13.5%. Factors associated with increased odds of positive
intraoperative urine culture included neurogenic bladder (odds ratio 26.3, p <
0.001), prior positive culture (OR 5.4, p < 0.001), female sex (OR 2.1, p 0.007),
constipation (OR 2.9, p < 0.001), and urolithiasis (OR 1.58, p = 0.04). Patients
without any of these risk factors had no positive intraoperative cultures (p =
0.03). CONCLUSIONS: Patients with neurogenic bladder, prior positive urine
culture, constipation, female gender, and urolithiasis were at increased risk for
positive intraoperative urine culture. This may aid in decision-making regarding
obtaining preoperative or intraoperative urine cultures in pediatric urology
patients.
PMID- 29785659
TI - Pyeloduodenal fistula complicating xanthogranulomatous pyelonephritis.
PMID- 29785660
TI - Prevalence of hypertension and diabetes after exposure to extracorporeal shock
wave lithotripsy in patients with renal calculi: a retrospective non-randomized
data analysis.
AB - PURPOSE: To evaluate the association of shock-wave lithotripsy (SWL) for kidney
stones and hypertension or diabetes. METHODS: Patients with urolithiasis treated
by SWL were retrospectively identified. To assess whether shock-wave application
to the kidney is associated with long-term adverse effects, patients after SWL
for kidney stones were selected as the main group of interest. Patients treated
with shock waves for distal ureter stones only were chosen as a comparison group.
A questionnaire was sent to all patients to assess the prevalence of hypertension
and diabetes. The Swiss Health Survey (SHS) dataset was used as an additional
comparison group. RESULTS: After a median follow-up of 13.7 years, the odds ratio
(OR) to report hypertension [OR 1.30 (95% CI 1.10-1.95)] or diabetes [OR 1.54
(95% CI 1.21-1.97)] was significantly higher in patients treated with SWL
compared to the SHS dataset. In comparison with the kidney group, participants in
the SHS had a significantly lower OR to report hypertension at follow-up [OR 0.79
(95% CI 0.65-0.95)], while the OR to report hypertension [1.16 (95% CI 0.79
1.70)] was not significantly different in the distal ureter group. For diabetes,
a significantly lower [OR 0.60 (95% CI 0.46-0.78)] in the SHS group and a non
significantly lower [OR 0.68 (95% CI 0.38-1.22)] in the ureter group was noted
compared to the kidney group. CONCLUSION: Compared to the SHS data set SWL was in
general associated with hypertension and diabetes. However, no clear difference
between patients after SWL to the kidney compared to SWL to the distal ureter was
seen and thus the data do not support a causal relationship.
PMID- 29785661
TI - Atrial fibrillation in dialysis patients: is there a place for non-vitamin K
antagonist oral anticoagulants?
AB - Atrial fibrillation (AF) occurs approximately in 3% of general population, with
greater prevalence in elderly. Non-vitamin K-dependent oral anticoagulant agents
(NOACs) according to the current European guidelines are recommended for patients
with AF at high risk for stroke as a first-choice treatment. NOACs are not
inferior to warfarin or some of them are better than warfarin in reducing the
rate of ischemic stroke. Moreover, they significantly reduce the rate of
intracranial hemorrhages, major bleedings, and mortality compared with warfarin.
Nevertheless according to ESC guidelines, NOACs are not recommended in patients
with creatinine clearance < 30 mL/min. Observational studies provide
contradictive data. Only few new trials are ongoing. Therefore, it is not clear
if NOACs should be in the future prescribed to patients with advanced CKD and
those on dialysis. Moreover, the risk of stroke and bleeding is much higher in
such population than in patients without end-stage renal disease (ESRD). The
authors provide data on pros and cons of use of NOACs in ESRD patients with AF.
PMID- 29785662
TI - The timing and circumstances of the implementation of pediatric palliative care
in Hungarian pediatric oncology.
AB - : Despite the continuous improvement of pediatric palliative care, medical
professionals still face various barriers regarding its implementation; our aim
was to investigate this question in Hungarian pediatric oncology practice.
Structured interviews were carried out in person with physicians from the
Hungarian Pediatric Oncology Group (n = 22). Codes were generated inductively
with the aid of Atlas.ti 6.0 software. Most physicians placed the palliative care
discussion at the end of curative treatment (n = 21) and preferred to conduct it
in a team setting (n = 18), mainly in the presence of a psychologist. Preparing
parents for the child's death can occur during the palliative care discussion (n
= 3), in the child's final days/h (n = 6), gradually (n = 10), or never (n = 3).
There are words consciously utilized and avoided during this discussion, with the
word "death" proving to be the most ambivalent (utilized n = 5, avoided n = 6).
CONCLUSIONS: There is no widely accepted unified practice among pediatric
oncologists concerning the implementation of palliative care in Hungary. Despite
the international recommendation, the common practice of timing is still at the
end of curative treatment. Physicians rely on multidisciplinary teamwork, where
the psychologist's role is the most prominent in this discussion. What is Known:
* There is an international consensus that palliative care should commence at the
diagnosis of a pediatric malignant disease regardless of illness outcome. *
Barriers to the early implementation of palliative care in pediatric oncology
involve resource-based and attitudinal factors. What is New: * In Hungary, where
pediatric oncologists are sole decision-makers, early implementation of
palliative care is rare. * There is a strong preference among physicians for
working within a team, while also asserting that presence of team members may
decrease the level of intimacy.
PMID- 29785666
TI - Evaluation of ostarine as a selective androgen receptor modulator in a rat model
of postmenopausal osteoporosis.
AB - Selective androgen receptor modulators (SARMs) have shown beneficial effects on
muscle wasting, general physical function and bone properties in male mammals.
However, data on the effects of SARMs in postmenopausal osteoporotic bone are
scarce. We evaluated the effects of the SARM drug ostarine on postmenopausal
osteoporotic bone in a rat osteoporosis model. Ovariectomy was performed on 46 of
56 3-month-old female Sprague-Dawley rats. Eight weeks after ovariectomy,
ostarine was orally administered daily for 5 weeks in dosages of 0.04 (low, OVX +
Ost. 0.04), 0.4 (intermediate, OVX + Ost. 0.4), and 4 mg/kg (high, OVX + Ost. 4)
body weight. Another ovariectomized group received no ostarine. Lumbar vertebrae
and femora were removed for biomechanical, gene expression, ashing, and computer
tomography analyses. Low dose showed no effects. The effects of intermediate and
high doses were comparable overall. Improvements were mainly seen in structural
properties such as bone mineral density and bone volume density. However, the
effects in femora were superior to effects in vertebrae. Ostarine treatment for 5
weeks did not improve significantly biomechanical properties. mRNA expression of
the receptor activator of NF-kappaB ligand decreased after treatment, and uterine
weight increased. Serum levels of phosphorus increased following ostarine
treatment in intermediate and high-dose groups. Short-term treatment of
osteoporotic bone with ostarine leads to improvement of several microstructural
bone indices. While we did not observe changes in biomechanics, it is conceivable
that longer treatment may also improve biomechanical properties. Further studies
are needed to characterize longer time effects and side effects of ostarine in
osteoporosis.
PMID- 29785665
TI - Why Weight? An Analytic Review of Obesity Management, Diabetes Prevention, and
Cardiovascular Risk Reduction.
AB - PURPOSE OF REVIEW: In this review, we examine one of the ironies of American
health care-that we pay more for disease management than disease prevention.
Instead of preventing type 2 diabetes (T2DM) by treating its precursor, obesity,
we fail to provide sufficient insurance coverage for weight management only to
fund the more costly burden of overt T2DM. RECENT FINDINGS: There is a vital need
for expanded insurance coverage to help foster a weight-centric approach to T2DM
management. This includes broader coverage of anti-diabetic medications with
evidence of cardiovascular risk reduction and mortality benefit, anti-obesity
pharmacotherapy, bariatric surgery, weight loss devices, endoscopic bariatric
therapies, and lifestyle interventions for the treatment of obesity. The
fundamental question to ask is why weight? Why wait to go after obesity until its
end-stage sequelae cause intractable conditions? Instead of managing the
complications of T2DM, consider preventing them by tackling obesity.
PMID- 29785664
TI - Effect of Pneumatic Tubing System Transport on Platelet Apheresis Units.
AB - Platelet apheresis units are transfused into patients to mitigate or prevent
bleeding. In a hospital, platelet apheresis units are transported from the
transfusion service to the healthcare teams via two methods: a pneumatic tubing
system (PTS) or ambulatory transport. Whether PTS transport affects the activity
and utility of platelet apheresis units is unclear. We quantified the
gravitational forces and transport time associated with PTS and ambulatory
transport within our hospital. Washed platelets and supernatants were prepared
from platelet apheresis units prior to transport as well as following ambulatory
or PTS transport. For each group, we compared resting and agonist-induced
platelet activity and platelet aggregate formation on collagen or von Willebrand
factor (VWF) under shear, platelet VWF-receptor expression and VWF multimer
levels. Subjection of platelet apheresis units to rapid acceleration/deceleration
forces during PTS transport did not pre-activate platelets or their ability to
activate in response to platelet agonists as compared to ambulatory transport.
Platelets within platelet apheresis units transported via PTS retained their
ability to adhere to surfaces of VWF and collagen under shear, although platelet
aggregation on collagen and VWF was diminished as compared to ambulatory
transport. VWF multimer levels and platelet GPIb receptor expression was
unaffected by PTS transport as compared to ambulatory transport. Subjection of
platelet apheresis units to PTS transport did not significantly affect the
baseline or agonist-induced levels of platelet activation as compared to
ambulatory transport. Our case study suggests that PTS transport may not
significantly affect the hemostatic potential of platelets within platelet
apheresis units.
PMID- 29785668
TI - Hac1p homologues from higher eukaryotes can improve the secretion of heterologous
proteins in the yeast Pichia pastoris.
AB - OBJECTIVES: To systematically explore the effects of overexpressing Hac1p
homologues from different sources on protein secretion in Pichia pastoris system.
RESULTS: Effects of Hac1p homologues encompassing P. pastoris (PpHac1p), S.
cerevisiae (ScHac1p), Trichoderma reesei (TrHac1p) and Homo sapiens (HsXbp1), on
secretion of three reporter proteins-beta-galactosidase, beta-mannanase and
glucose oxidase were investigated. No individual Hac1p was optimal for all the
enzymes. Rather, by testing a set of Hac1p, the secretory expression of each of
the enzymes was improved. Notably, HsXbp1 overexpression improved beta-mannanase
production from 73 to 108.5 U beta-mannanase mL-1 while PpHac1p had no impact in
shake flask culture. Moreover, HsXbp1 led to 41 and 67% increases in beta
mannanase production in the single- and four-copy strain, respectively in 1-L
laboratory fermenter. Transcription analysis of indicative chaperones suggested
that HsXbp1 may cause a stronger and prolonged activation of the UPR target
chaperone genes. CONCLUSION: Mammalian HsXbp1 worked better than yeast Hac1p in
terms of improving beta-mannanase secretion in P. pastoris, and Hac1p screening
may offer an effective strategy to engineer the secretion pathway of eukaryotic
expression systems.
PMID- 29785667
TI - Cerebral Autoregulation in Stroke.
AB - PURPOSE OF REVIEW: Cerebral autoregulation (CA) is a mechanism that maintains
cerebral blood flow constant despite fluctuations in systemic arterial blood
pressure. This review will focus on recent studies that measured CA non
invasively in acute cerebrovascular events, a feature unique to the transcranial
Doppler ultrasound. We will summarize the rationale for CA assessment in acute
cerebrovascular disorders and specifically evaluate the existing data on the
value of CA measures in relation to clinical severity, guiding management
decisions, and prognostication. RECENT FINDINGS: Existing data suggest that CA is
generally impaired in various cerebrovascular disorders. In patients with small
vessel ischemic stroke, CA has been shown to be impaired in both hemispheres,
whereas in large territorial strokes, CA impairment has been limited to the
affected hemisphere. In these latter patients, impaired CA is also predictive of
secondary complications such as hemorrhagic transformation and cerebral edema,
hence worse functional outcome. In patients with carotid stenosis, impaired CA
may also be associated with a higher ipsilateral hemispheric stroke risk. CA is
also strongly linked to outcome in patients with intracranial hemorrhage. In
patients with intraparenchymal hemorrhage, CA impairment correlated with clinical
and imaging severity, whereas in those with subarachnoid hemorrhage, CA measures
have a predictive value for development of delayed cerebral ischemia and
radiographic vasospasm. Assessment of CA is increasingly more accessible in acute
cerebrovascular disorders and promises to be a valuable measure in guiding
hemodynamic management and predicting secondary complication, thus enhancing the
care of these patients in the acute setting.
PMID- 29785669
TI - Characterization of an aryl-alcohol oxidase from the plant saprophytic
basidiomycete Coprinopsis cinerea with broad substrate specificity against
aromatic alcohols.
AB - OBJECTIVES: The aim of the study was to obtain information about the enzymatic
properties of aryl-alcohol oxidase from the plant saprophytic basidiomycete
Coprinopsis cinerea (rCcAAO), which is classified into the auxiliary activities
family 3 subfamily 2 (AA3_2). RESULTS: The gene encoding AAO from the plant
saprophytic basidiomycete Coprinopsis cinerea (CcAAO) was cloned, and the
recombinant CcAAO (rCcAAO) was heterologously expressed in the methylotrophic
yeast Pichia pastoris. The purified rCcAAO showed significant activity not only
against trans,trans-2,4-hexadien-1-ol but also against a broad range of aromatic
alcohols including aromatic compounds that were reported to be poor substrates
for known AAOs. Moreover, site-directed mutagenesis analysis demonstrated that
mutants with substitutions from leucine to phenylalanine and tryptophan at
position 416 exhibited decreases of activity for aromatic alcohols but still
maintained the activity for trans,trans-2,4-hexadien-1-ol. CONCLUSIONS: Leucine
416 in CcAAO contributes to the broad substrate specificity against various
aromatic alcohols, which is useful for the production of hydrogen peroxide using
this enzyme.
PMID- 29785670
TI - Polymorphic Imprinting of SLC38A4 Gene in Bovine Placenta.
AB - Imprinted genes are characterized by monoallelic expression that is dependent on
parental origin. Comparative analysis of imprinted genes between species is a
powerful tool for understanding the biological significance of genomic
imprinting. The slc38a4 gene encodes a neutral amino acid transporter and is
identified as imprinted in mice. In this study, the imprinting status of SLC38A4
was assessed in bovine adult tissues and placenta using a polymorphism-based
approach. Results indicate that SLC38A4 is not imprinted in eight adult bovine
tissues including heart, liver, spleen, lung, kidney, muscle, fat, and brain. It
was interesting to note that SLC38A4 showed polymorphic status in five
heterogeneous placentas, with three exhibiting paternal monoallelic expression
and two exhibiting biallelic expression. Monoallelic expression of imprinted
genes is generally associated with allele-specific differentially methylation
regions (DMRs) of CpG islands (CGIs)-encompassed promoter; therefore, the DNA
methylation statuses of three CGIs in the SLC38A4 promoter and exon 1 region were
tested in three placentas (two exhibiting paternal monoallelic and one showing
biallelic expression of SLC38A4) and their corresponding paternal sperms.
Unexpectedly, extreme hypomethylation (< 3%) of the DNA was observed in all the
three detected placentas and their corresponding paternal sperms. The absence of
DMR in bovine SLC38A4 promoter region implied that DNA methylation of these three
CGIs does not directly or indirectly affect the polymorphic imprinting of SLC38A4
in bovine placenta. This suggested other epigenetic features other than DNA
methylation are needed in regulating the imprinting of bovine SLC38A4, which is
different from that of mouse with respect to a DMR existence at the mouse's
slc38a4 promoter region. Although further work is needed, this first
characterization of polymorphic imprinting status of SLC38A4 in cattle placenta
provides valuable information on investigating the genomic imprinting phenomenon
itself.
PMID- 29785663
TI - Enterobacteria and host resistance to infection.
AB - Enterobacteriaceae are a large family of Gram-negative, non-spore-forming
bacteria. Although many species exist as part of the natural flora of animals
including humans, some members are associated with both intestinal and
extraintestinal diseases. In this review, we focus on members of this family that
have important roles in human disease: Salmonella, Escherichia, Shigella, and
Yersinia, providing a brief overview of the disease caused by these bacteria,
highlighting the contribution of animal models to our understanding of their
pathogenesis and of host genetic determinants involved in susceptibility or
resistance to infection.
PMID- 29785671
TI - Agarolytic culturable bacteria associated with three antarctic subtidal
macroalgae.
AB - Bacterial communities of Antarctic marine macroalgae remain largely underexplored
in terms of diversity and biotechnological applications. In this study, three
Antarctic subtidal macroalgae (Himantothallus grandifolius, Pantoneura
plocamioides and Plocamium cartilagineum), two of them endemic of Antarctica,
were investigated as a source for isolation of agar-degrading bacteria. A total
of 21 epiphytic isolates showed agarolytic activity at low temperature on agar
plates containing agar as the sole carbon source. 16S rRNA identification showed
that the agar-degrading bacteria belonged to the genera Cellulophaga, Colwellia,
Lacinutrix, Olleya, Paraglaciecola, Pseudoalteromonas and Winogradskyella. The
agarase enzyme from a potential new species of the genus Olleya was selected for
further purification. The enzyme was purified from the culture supernatant of
Olleya sp. HG G5.3 by ammonium sulfate precipitation and ion-exchange
chromatography. Molecular weight of the agarase was estimated to be 38 kDa by
sodium dodecyl sulfate-polyacrylamide gel electrophoresis (SDS-PAGE). The
purified enzyme exhibited activity at 4 degrees C, retaining > 50% of its
maximum activity at this temperature. This is the first study reporting the
phylogeny of agar-degrading bacteria isolated from Antarctic subtidal macroalgae
and the results suggest the huge potential of Antarctic algae-associated bacteria
as a source of cold-active hydrolytic enzymes of biotechnological interest.
PMID- 29785672
TI - Aberrant T cell subsets and cytokines expression profile in systemic lupus
erythematosus.
AB - To assess T cell subsets and levels of chemokines and cytokines in patients with
SLE and determine their relationships between disease activity and organ
involvement. Blood samples from SLE patients (n = 24) and healthy controls (n =
36) were analyzed. Frequency of circulating follicular help T cells (Tfh),
central memory T cells (Tcm), effector memory T cells (Tem), and naive T cell
subsets was enumerated and their surface markers expression of inducible T cell
co-stimulator (ICOS) and programmed death 1(PD-1) protein was examined by flow
cytometry. The disease state in SLE patients was evaluated using the SLE Disease
Activity Index (SLEDAI). Concentrations of autoantibodies, serum C-reactive
protein (CRP), the erythrocyte sedimentation rate (ESR), lgG, complement 3,
complement 4, cytokines, and chemokines, such as IL-21, IL-17A, and IL-1beta,
were measured. The frequencies of circulating Tfh and Tcm cell subsets were
significantly lower than those in healthy controls. However, the percentages of
circulating PD1+ICOS+Tfh, PD1+ICOS+Tcm, and PD1+ICOS+Tem of PBMCs from SLE
patients were higher than those in healthy controls. Furthermore, increased
levels of serum IL-1beta, IL-4, IL-6, MCP-1, IL-21, and IL-17A were detected in
the patients with SLE compared to healthy controls. In addition, patients with
immune thrombocytopenia displayed elevated proportions of serum IL-10, IL-17A,
and IL-1beta. Aberrant T cell subsets and cytokines expression profile were
observed in SLE patients. PD1+ICOS+Tem cell subset was clearly influenced by
disease activity and serum IL-10, IL-17A, and IL-1beta were significantly
increased in patients with immune thrombocytopenia. Therefore, PD1+ICOS+Tem cells
might serve as an important tool for recognition and serum IL-10, IL-17A, and IL
1beta might be an effective monitor for SLE patients with immune
thrombocytopenia.
PMID- 29785673
TI - The Impact of Transcranial Direct Current Stimulation (tDCS) on Bipolar
Depression, Mania, and Euthymia: a Systematic Review of Preliminary Data.
AB - The neurobiological basis of bipolar disorders (BD) has received increased
attention and several brain regions and brain circuits have been correlated with
clinical symptoms. These brain regions and circuits may represent targets for
neuromodulation techniques such as transcranial Direct Current Stimulation
(tDCS). We systematically reviewed the literature to explore the risks and
benefits of tDCS in BD and examined all mood states. Following the PRISMA
guidelines, a systematic literature search using several databases was performed
from April 2002 to June 2017. From the 135 eligible studies, we retained 19
relevant articles for the systematic review, including 170 patients with BD
treated by tDCS. Data from 10 studies suggest that tDCS improves depressive
symptoms in BD. One case report of add-on-tDCS reported a significant positive
response on manic symptoms. In 4 studies, tDCS impacted specific neurocognitive
functions in euthymic patients. There is also preliminary evidence that tDCS
improves neurological soft signs and sleep quality in euthymia. Side effects were
predominantly transient and low-intensity, although 6 cases of hypomanic/manic
affective switches have been reported. The majority of studies have been open
trials with few patients. More sufficiently powered randomized controlled trials
are needed to clarify the effectiveness of tDCS. Preliminary data suggests that
tDCS holds promise as a treatment for BD, especially during depressive episodes.
Perhaps most promising are emerging data suggesting tDCS may impact
neurocognition and sleep quality in euthymia and be useful for relapse
prevention.
PMID- 29785675
TI - Transfusion triggers in cardiac surgery: Where do we go from here?
PMID- 29785674
TI - Assembly of a complete genome sequence for Gemmata obscuriglobus reveals a novel
prokaryotic rRNA operon gene architecture.
AB - Gemmata obscuriglobus is a Gram-negative bacterium with several intriguing
biological features. Here, we present a complete, de novo whole genome assembly
for G. obscuriglobus which consists of a single, circular 9 Mb chromosome, with
no plasmids detected. The genome was annotated using the NCBI Prokaryotic Genome
Annotation pipeline to generate common gene annotations. Analysis of the rRNA
genes revealed three interesting features for a bacterium. First, linked G.
obscuriglobus rrn operons have a unique gene order, 23S-5S-16S, compared to
typical prokaryotic rrn operons (16S-23S-5S). Second, G. obscuriglobus rrn
operons can either be linked or unlinked (a 16S gene is in a separate genomic
location from a 23S and 5S gene pair). Third, all of the 23S genes (5 in total)
have unique polymorphisms. Genome analysis of a different Gemmata species (SH
PL17), revealed a similar 23S-5S-16S gene order in all of its linked rrn operons
and the presence of an unlinked operon. Together, our findings show that unique
and rare features in Gemmata rrn operons among prokaryotes provide a means to
better define the evolutionary relatedness of Gemmata species and the divergence
time for different Gemmata species. Additionally, these rrn operon differences
provide important insights into the rrn operon architecture of common ancestors
of the planctomycetes.
PMID- 29785676
TI - Asymmetry of the greater cornua of the hyoid bone and the superior thyroid
cornua: a case report.
AB - The hyoid bone consists of a body and two pairs of processes: the greater cornua
(GC) and the lesser cornua. The GC is connected to the superior thyroid cornu
(STC) by the lateral thyrohyoid ligament. The GC and the STC share a common
embryological origin from the third pharyngeal arch. During anatomical dissection
of a male cadaver of 56 years of age, a combined variation of the GC and the STC
was found. The left GC was 27.7 mm long, and the right GC was 30 mm long. The
left GC axis was in the same plane as the hyoid body, while an angle of 33
degrees was between the right GC axis and the hyoid body plane. The left STC was
17.6 mm long, and the right STC was 25.8 mm long. The angle of left STC medial
inclination was 70 degrees , while the right STC was perpendicular to the
horizontal plane of the larynx. Anatomical variations of the hyoid bone and the
laryngeal cartilages have possible clinical implications, such as Eagle syndrome
and the STC syndrome. The case we described may inspire the anatomists to
research the occurrence of combined variations of the larynx and the hyoid bone.
PMID- 29785677
TI - Analysis of the frequency of visualization of morphological variations in
anatomical bone features in the mandibular interforaminal region through cone
beam computed tomography.
AB - PURPOSE: The purpose of this study was to analyze the frequency of visualization
of anatomical bone features and their possible variations in the mandibular
interforaminal region through cone-beam computed tomography (CBCT). METHODS: This
is a cross-sectional study that evaluated CBCT scans of the mandible of 141 women
and 61 men, obtained by imaging archives from two private clinics of dental
radiology. The study analyzed the frequency of visualization of: lingual
symphyseal foramen (LSF), additional mental foramen (AdMF), absence of mental
foramen (AbMF), mandibular incisive canal (MIC), and anterior loop (AL). Length
measurements for MIC and AL were determined at first and following that the
respective distances towards vestibular and lingual cortical walls were also
individually verified. Statistical analyses included: Student's t test, Chi
square test, and ANOVA for the evaluation of the anatomical features observed
through panoramic and parasagittal images' reconstructions. RESULTS: LSF presence
was found in 97%, MIC in 75.9%, AL in 24%, AdMF in 4.7%, and AbMF in 2.5%. Chi
square tests demonstrated value results of p < 0.05 only for the presence of left
AL in women when compared to men. Mean values for length measures of MIC and AL
were of 10.1 and 2.12 mm, respectively. Mean distance from AL towards vestibular
and lingual cortical walls was of 2.53 and 4.05 mm, respectively. CONCLUSION:
Anatomical variability concerning the mandibular interforaminal region emphasizes
the importance of performing CBCT in pre-operative assessment of the mandible for
surgical procedures with oral implants.
PMID- 29785678
TI - Heterotopic pancreas without Meckel's diverticulum in children as unique cause of
gastrointestinal bleeding: think about it!
AB - Ectopic pancreas also known as heterotopic pancreas (HP) is a rare congenital
anomaly, mainly found as incidental finding during autopsy or abdominal
exploration for an other condition. Incidence rate is probably underestimated as
patients are mostly asymptomatic; otherwise, it is capable of producing symptoms
depending on its location, size, often appearing in the 4th-to-6th decades.
Complications such as inflammation, obstruction, bleeding, and malignancy
degeneration must be considered. Pediatrics cases are very rare, generally
concerning HP within Meckel's diverticulum, manifesting by gastrointestinal
bleeding and intussusception. We report a rare case of jejunum bleeding, due to
an isolated HP in a 15-year-old adolescent. Endoscopic and computed tomographic
scan were normal, in particular did not found Meckel's diverticulum. Diagnosis
and treatment have been apprehended performing a laparoscopic exploration. It is
a singular location for HP, predominantly found in upper gastrointestinal
tractus. So far, there have been no case reports of jejunal bleeding from ectopic
pancreas without Meckel's diverticulum in children.
PMID- 29785680
TI - An Unusual Case of Heartburn and Esophageal Stenosis.
PMID- 29785679
TI - Drooling in Parkinson's Disease: Evidence of a Role for Divided Attention.
AB - Drooling is a frequently reported symptom in Parkinson's Disease (PD) with
significant psychosocial impact and negative health consequences including silent
aspiration of saliva with the associated risk of respiratory infections. It is
suggested that in PD drooling is associated with inefficient oropharyngeal
swallowing which reduces the effective clearance of saliva rather than hyper
salivation. This is compounded by unintended mouth opening and flexed posture
increasing anterior loss of saliva. It is reported to occur most frequently
during cognitively distracting concurrent tasks suggesting an impact from divided
attention in a dual-task situation. However, this supposition has not been
systematically examined. This study assessed whether frequency of saliva swallows
reduced, and drooling severity and frequency increased, when people with PD
engaged in a cognitively distracting task. 18 patients with idiopathic PD
reporting daytime drooling on the Unified Parkinson's Disease Rating Scale
(UPDRS) were recruited. They completed the Radboud Oral Motor Inventory for PD
saliva questionnaire and the Montreal Cognitive Assessment. UPDRS drooling score,
disease stage, duration, gender, and age were recorded. Swallow frequency and
drooling severity and frequency were measured at rest and during a distracting
computer-based language task. There was no significant difference between
drooling severity at rest and during distraction (Wilcoxon signed rank test z = -
1.724, p = 0.085). There was a significant difference between at rest and
distraction conditions for both drooling frequency (Wilcoxon signed rank test z =
- 2.041, p = 0.041) and swallow frequency (Wilcoxon signed rank test z = - 3.054,
p = 0.002). Participants swallowed less frequently and drooled more often during
the distraction task. The frequency of saliva swallows and drooling are affected
by divided attention in a dual-task paradigm. Further studies are needed to
explore the exact role of attention in saliva management and the clinical
applications in assessment and treatment.
PMID- 29785682
TI - The modified Memorial Symptom Assessment Scale Short Form: a modified response
format and rational scoring rules.
AB - PURPOSE: The Memorial Symptom Assessment Scale Short Form (MSAS-SF) is a widely
used symptom assessment instrument. Patients who self-complete the MSAS-SF have
difficulty following the two-part response format, resulting in incorrectly
completed responses. We describe modifications to the response format to improve
useability, and rational scoring rules for incorrectly completed items. METHODS:
The modified MSAS-SF was completed by 311 women in our Peer and Nurse support
Trial to Assist women in Gynaecological Oncology; the PeNTAGOn study. Descriptive
statistics were used to summarise completion of the modified MSAS-SF, and provide
symptom statistics before and after applying the rational scoring rules.
Spearman's correlations with the Functional Assessment for Cancer Therapy-General
(FACT-G) and Hospital Anxiety and Depression Scale (HADS) were assessed. RESULTS:
Correct completion of the modified MSAS-SF items ranged from 91.5 to 98.7%. The
rational scoring rules increased the percentage of useable responses on average
4% across all symptoms. MSAS-SF item statistics were similar with and without the
scoring rules. The pattern of correlations with FACT-G and HADS was compatible
with prior research. CONCLUSION: The modified MSAS-SF was useable for self
completion and responses demonstrated validity. The rational scoring rules can
minimise loss of data from incorrectly completed responses. Further investigation
is recommended.
PMID- 29785681
TI - Diabetes symptoms predictors of health-related quality of life in adolescents and
young adults with type 1 or type 2 diabetes.
AB - OBJECTIVES: The objective was to investigate the patient-reported diabetes
symptoms predictors of generic health-related quality of life (HRQOL) in
adolescents and young adults (AYA) with type 1 or type 2 diabetes. METHODS: The
15-item PedsQLTM 3.2 Diabetes Module Diabetes Symptoms Summary Score and PedsQLTM
4.0 Generic Core Scales were completed in a 10-site national field test study by
513 AYA ages 13-25 years with type 1 (n = 424) or type 2 (n = 89) diabetes.
Diabetes symptoms were tested for bivariate and multivariate linear associations
with generic HRQOL. RESULTS: Diabetes symptoms were associated with decreased
HRQOL in bivariate analyses. In predictive analytics models utilizing
hierarchical multiple regression analyses controlling for relevant demographic
and clinical covariates, diabetes symptoms accounted for 38 and 39% of the
variance in patient-reported generic HRQOL for type 1 and type 2 diabetes,
respectively, reflecting large effect sizes. The diabetes symptoms facets
hyperglycemia symptoms, hypoglycemia symptoms, and nonspecific diabetes symptoms
individually accounted for a significant percentage of the variance in separate
exploratory predictive analytics models after controlling for demographic and
clinical covariates, with small-to-large effect sizes. CONCLUSIONS: Diabetes
symptoms are potentially modifiable predictors of generic HRQOL in AYA with
diabetes. Identifying specific diabetes symptoms or symptoms facets that are the
most important predictors from the patient perspective facilitates a patient
centered approach in clinical research, clinical trials, and practice designed to
enhance overall generic HRQOL in AYA with diabetes.
PMID- 29785683
TI - Targeted therapies and adverse drug reactions in oncology: the role of clinical
pharmacist in pharmacovigilance.
AB - Background The majority of adverse drug reactions (ADRs) reported in the summary
of product characteristics (SPCs) are based on pivotal clinical trials, performed
under controlled conditions and with selected patients. Objectives (1) to observe
ADRs in the real-world setting and to evaluate if the supervision of the
pharmacist impacts on the management of ADRs and on the satisfaction of patients;
(2) to sensitise health professionals and patients on the need to increase the
reporting of ADRs, in compliance with Pharmacovigilance. Setting CRO Aviano,
Italian National Cancer Institute. Method From February 2013 to April 2015, we
conducted an observational study enrolling 154 patients (>= 18 years) undergoing
treatment with at least one of ten targeted-therapies included in the study. Main
outcome ADR reporting in the real-world setting. Patient satisfaction with
clinical pharmacist support. Results Reported ADRs in the real setting do not
always correspond with data described in the respective SPCs. Unknown ADRs were
also identified such as hyperglycaemia with lenalidomide and sorafenib; and
hypomagnesaemia with bevacizumab. We also observed a 124.3% increase in
spontaneous reports. Conclusion This study shows the high value of active
pharmacovigilance programs, and our results might be a starting point for
developing a randomised trial which should aim to demonstrate the impact of the
pharmacist on improving patient's adherence and in measuring the difference in
ADRs reports in the different arms followed or not by the pharmacist.
PMID- 29785684
TI - Impact of a clinical decision support system for drug dosage in patients with
renal failure.
AB - Background A clinical decision support system (CDSS) linked to the computerized
physician order entry may help improve prescription appropriateness in inpatients
with renal insufficiency. Objective To evaluate the impact on prescription
appropriateness of a CDSS prescriber alert for 85 drugs in renal failure
patients. Setting Before-after study in a 975-bed academic hospital. Method
Prescriptions of patients with renal failure were reviewed during two comparable
periods of 6 days each, before and after the implementation of the CDSS
(September 2009 and 2010). Main outcome measure The proportion of inappropriate
dosages of 85 drugs included in the CDSS was compared in the pre- and post
implementation group. Results Six hundred and fifteen patients were included in
the study (301 in pre- and 314 in post-implementation periods). In the pre- and
post-implementation period, respectively 2882 and 3485 prescriptions were
evaluated, of which 14.9 and 16.6% triggered an alert. Among these, the dosage
was inappropriate in respectively 25.4 and 24.6% of prescriptions in the pre- and
post-implementation periods (OR 0.97; 95% CI 0.72-1.29). The most frequently
involved drugs were paracetamol, perindopril, tramadol and allopurinol.
Conclusion The implementation of a CDSS did not significantly reduce the
proportion of inappropriate drug dosages in patients with renal failure. Further
research is required to investigate the reasons why prescribers override alerts.
Collaboration with clinical pharmacists might improve compliance with the CDSS
recommendations.
PMID- 29785685
TI - Network meta-analysis: an introduction for pharmacists.
AB - Network meta-analysis is a new tool used to summarize and compare studies for
multiple interventions, irrespective of whether these interventions have been
directly evaluated against each other. Network meta-analysis is quickly becoming
the standard in conducting therapeutic reviews and clinical guideline
development. However, little guidance is available to help pharmacists review
network meta-analysis studies in their practice. Major institutions such as the
Cochrane Collaboration, Agency for Healthcare Research and Quality, Canadian
Agency for Drugs and Technologies in Health, and National Institute for Health
and Care Excellence Decision Support Unit have endorsed utilizing network meta
analysis to establish therapeutic evidence and inform decision making. Our
objective is to introduce this novel technique to pharmacy practitioners, and
highlight key assumptions behind network meta-analysis studies.
PMID- 29785687
TI - Education Is Associated with the Magnitude of Cortisol Responses to Psychosocial
Stress in College Students.
AB - PURPOSE: Researchers benefit from controlling for individual differences that
systematically account for variance in acute cortisol responses (e.g., sex).
Similarly, some suggest that education could be used as a cortisol covariate
because prior work found a negative relationship between education and the
magnitude of acute cortisol responses in middle-aged adults. Nevertheless,
education is seldom controlled for in tests of cortisol responses to acute
stress, in part because the effect of education on acute cortisol responses has
yet to be tested in a college sample. The present study therefore tested the
relationship between education and cortisol responses to acute stress in college
students. METHOD: Participants (124 healthy undergraduate students) self-reported
education level and were subjected to a stressful speech task. Salivary cortisol
was collected 0, + 15, + 25, + 40, and + 55 min post-stressor onset. Participant
also completed a battery of questionnaires assessing individual differences, day
to-day demands, and stress-related appraisals. RESULTS: Students in their fourth
year of college education or above had significantly less curvilinear responses
to the stress task relative to first-, second-, and third-year students.
CONCLUSION: The effect of education on cortisol responses previously found in
middle-aged adults was replicated in college students. Future research may
therefore benefit from controlling for education when analyzing acute cortisol
responses in college samples.
PMID- 29785686
TI - Decreasing the Burden of Side Effects Through Positive Message Framing: an
Experimental Proof-of-Concept Study.
AB - PURPOSE: Informing patients about treatment side effects increases the occurrence
and intensity of side effects. Since the obligatory informed consent procedure in
drug treatments requires transparency and nocebo research suggests that the
informed consent of a drug leads to an increased occurrence of the mentioned side
effects, the aim of this proof of concept study was to determine the effect of
two different framings of informed consent on the occurrence, intensity, and
perceived threat of side effects. METHODS: Healthy male participants (n = 80)
were randomized to one of two framing groups. The positive framing group was
informed that the common side effect dizziness was a sign that the drug had
started to work, while the neutral framing group was told that dizziness is an
unpleasant but well-known side effect. Side effects were measured after the
administration of metoprolol, an antihypertensive agent. Post hoc moderator
analyses investigated the effect of pre-existing negative beliefs about the
general harm of medication on the framing manipulation. RESULTS: Metoprolol
specific drug-attributed side effects were rated significantly less threatening
in the positive framing group. The between-group effect size (Cohen's d) was
small (d = 0.38, p = 0.049). Exploratory post hoc moderator analyses suggest that
participants who believed that medication is a source of harmful effects
benefited from positive framing, compared to neutral framing of drug-attributed
side effects. CONCLUSIONS: Positive framing was partially effective in decreasing
specific side effect measures, particularly among participants with a tendency to
believe that medicine is harmful. Informed consent procedures should therefore be
personalized, focusing on patients with negative treatment beliefs.
PMID- 29785688
TI - The Influence of Nonionic Surfactant Adsorption on Enzymatic Hydrolysis of Oil
Palm Fruit Bunch.
AB - Nonionic surfactants have been utilized to improve the enzymatic hydrolysis of
lignocellulosic materials. However, the role of surfactant adsorption affecting
enzymatic hydrolysis has not been elaborated well. In this work, nonionic
surfactants differing in their molecular structures, namely the polyoxyethylene
sorbitan monooleate (Tween 80), the secondary alcohol ethoxylate (Tergitol 15-S
9), and the branched alcohol ethoxylate (Tergitol TMN-6), were studied for their
effects on the enzymatic hydrolysis of palm fruit bunch (PFB). The PFB was
pretreated with a 10% w/v sodium hydroxide solution and then hydrolyzed using the
cellulase enzyme from Trichoderma reesei (ATCC 26921) at 50 degrees C and pH 5.
The optimal conditions providing similar yields of reducing sugar required Tween
80 and Tergitol TMN-6 at 0.25% w/v, while Tergitol 15-S-9 was required at 0.1%
w/v. All the surfactants improved the enzymatic conversion efficiency and reduced
unproductive binding of the enzyme to lignin. In addition, the adsorption
isotherm of cellulase was fit well by the Freundlich isotherm, while adsorption
of the three nonionic surfactants agreed well with the Langmuir isotherm.
Adsorption capacities of the three nonionic surfactants were consistent with
their enhancement efficiencies in hydrolysis. The critical micelle concentration
was observed as a key property of nonionic surfactant for adsorption capacity.
PMID- 29785689
TI - The Impact of Hospital Costing Methods on Cost-Effectiveness Analysis: A Case
Study.
AB - BACKGROUND: Several methods exist to cost hospital contacts when estimating the
cost effectiveness of a new intervention. However, the implications of choosing a
particular approach remain unclear. We compare the use of the three main
diagnosis-related group (DRG)-based national unit costs in England to determine
whether choice of approach can impact on economic evaluation results. METHODS: A
cost-utility model was developed to compare secondary fracture prevention models
of care for hip fracture patients, using data from large primary and hospital
care administrative datasets in England. A healthcare and personal social
services payer perspective was adopted, and utilities were informed by a meta
regression. Hospital resource use was valued using three DRG-based unit costs,
and regression-based costing models were developed using data from 13,906
patients to inform the model health states. RESULTS: Finished consultant episode
(FCE)-level reference costs resulted in the highest costs on admission (L9075)
and in the year of the fracture (L14,440). Relative to FCE-level costs, spell
level tariffs led to the lowest total hospital care costs per patient within 1
year of fracture (- L3691) compared with spell-level reference costs (- L2106).
At a L20,000/quality-adjusted life-year threshold, using spell-level reference
costs or spell-level tariffs, the introduction of a nurse-led fracture liaison
service model of care was the cost-effective alternative. However, using FCE
level reference costs, usual care was the cost-effective option. CONCLUSIONS: Our
results show that, conditional on the set of national unit costs adopted, the
costs of hip fracture may vary considerably and different decisions may be
reached regarding the introduction of new healthcare interventions.
PMID- 29785691
TI - Psychometric Properties of the Multidimensional Loss Scale with Refugee Women-at
Risk Recently Arrived in Australia.
AB - Refugee women-at-risk represent a distinct and vulnerable refugee population. We
investigated the psychometric properties of the Multidimensional Loss Scale (MLS)
with 104 women-at-risk, recently-arrived in Australia. Cross-sectional survey
included: the MLS (indexing loss events and loss distress); Harvard Trauma
Questionnaire (Indexing Trauma Events and Trauma Symptoms), and; Hopkins Symptom
Checklist-37 (indexing anxiety, depression, and somatization symptoms).
Exploratory factor analyses of MLS loss distress revealed a six-factor model
(loss of symbolic self; loss of home; loss of interdependence; loss of past
aspirations; interpersonal loss, and; loss of intrapersonal integrity). Cronbach
alphas indicated satisfactory internal consistency for loss events (0.83) and
distress (0.88). Correlations supported convergent validity of loss distress with
trauma symptoms (r = 0.41) and divergent validity with anxiety (r = 0.09),
Depression (r = 0.29), and somatic (r = 0.24) symptoms. Findings support MLS use
in assessment of loss and associated distress with refugee women-at-risk.
PMID- 29785690
TI - Migrant Healthcare Guidelines: A Systematic Quality Assessment.
AB - Significant international and cross-border migration has led to a growing
availability of migrant healthcare guidelines (MHGs), which we systematically
reviewed for quality. PubMed, MEDLINE, CINHAL, PsychINFO and guideline
developer/guideline databases were searched for MHGs published 2006-2016. Three
independent reviewers assessed eligible MHGs using the Appraisal of Guidelines,
Research and Evaluation II instrument (AGREE II). MHGs were identified as high
quality if they had a score of >= 60% in at least three of the six domains,
including "rigour of development", and overall quality was assessed on a seven
point Likert scale. We included 32 MHGs. Overall agreement between reviewers was
very good. Mean scores for each AGREE II domain were as follows: 85 +/- 19.0% for
"scope and purpose"; 51 +/- 30.5% for "stakeholder involvement"; 34 +/- 31.9% for
"rigour of development"; 86 +/- 7.3% for "clarity of presentation"; 40 +/- 23.6%
for "applicability"; and 27 +/- 38.5% for "editorial independence". Nine and six
MHGs were deemed "recommended" or "recommended with modifications", respectively,
and 17 were "not recommended". Our review of MHGs has highlighted critical
deficiencies in rigour of development, applicability, editorial independence and
stakeholder involvement that point to the need for improvements in future MHGs.
PMID- 29785692
TI - Impact of Enteral Nutrition with an Immunomodulating Diet Enriched with
Hydrolyzed Whey Peptide on Infection After Liver Transplantation.
AB - BACKGROUND: Infection is a leading cause of death after liver transplantation
(LT). Therefore, prevention of infection is crucial for improving outcomes after
LT. We examined the impact of early enteral nutrition with an immunomodulating
diet (IMD) enriched with hydrolyzed whey peptide (HWP) formulation on infection
after living donor LT (LDLT), focusing on sarcopenia. METHODS: This study
enrolled 279 consecutive patients who underwent primary LDLT at our institute
between January 2008 and April 2015. Early enteral nutrition with the IMD
enriched with HWP formulation and a conventional elemental diet were started
within the first 24 h after surgery for 164 (IMD-HWP) and 115 (conventional)
patients. Sequential changes in nutritional parameters, and the incidences of
acute cellular rejection (ACR) and bacteremia were compared between the IMD-HWP
and control groups. The comparison was made between those members of each group
that did or did not exhibit sarcopenia. Risk factors for post-transplant
bacteremia were also assessed. RESULTS: Postoperative nutritional parameters and
the incidence of ACR were comparable between the groups, except for the
prealbumin level. The incidence of bacteremia was significantly lower in the IMD
HWP group, and among patients without sarcopenia in the IMD-HWP group compared
with the conventional group (24.4 vs. 41.7%; P = 0.002 and 20.8 vs. 39.0%; P =
0.040, respectively). Independent risk factor for bacteremia comprised bleeding
>=10,000 mL (P = 0.025). In contrast, enteral nutrition without HWP formulation
was not significantly associated with bacteremia. However, enteral nutrition
without HWP formulation (P = 0.080), MELD scores (P = 0.097), and ABO
incompatibility (P = 0.088) showed a trend toward increased incidence of
bacteremia, although they did not reach statistical significance in the
multivariate analysis. CONCLUSION: Postoperative immunonutrition with an IMD
enriched with HWP formulation was closely involved with post-transplant
bacteremia.
PMID- 29785693
TI - Massive Transfusion: The Revised Assessment of Bleeding and Transfusion (RABT)
Score.
AB - BACKGROUND: Massive transfusion (MT) is a lifesaving treatment for trauma
patients with hemorrhagic shock, assessed by Assessment of Blood Consumption
(ABC) Score based on mechanism of injury, systolic blood pressure (SBP),
tachycardia, and FAST exam. The aim of this study was to assess the performance
of ABC score by replacing hypotension and tachycardia; with Shock Index (SI) >
1.0 and including pelvic fractures. METHODS: We performed a 2-year (2014-2015)
analysis of all high-level trauma activations and excluded patients dead on
arrival. The ABC score was calculated using the 4-point score [blunt
(0)/penetrating trauma (1), HR >= 120 (1), SBP <= 90 mmHg (1), and FAST positive
(1)]. The Revised Assessment of Bleeding and Transfusion (RABT) score also
included 4 points, calculated by replacing HR and SBP with SI > 1.0 and including
pelvic fracture. AUROC compared performances of the two scores. RESULTS: A total
of 380 patients were included. The overall MT was 27%. Patients receiving MT had
higher median ABC scores [1.1 (0-2) vs. 1 (0-2), p = 0.15] and RABT scores [2 (1
3) vs. 1 (0-2), p < 0.001]. The RABT score had better discriminative power (AUROC
= 0.828) compared to ABC score (AUROC = 0.617) for predicting the need for MT.
Cutoff of RABT score >= 2 had a sensitivity of 84% and specificity of 77% for
predicting need for MT compared to ABC score with 39% sensitivity and 72%
specificity. CONCLUSION: Replacement of hypotension and tachycardia with a SI >
1.0 and inclusion of pelvic fracture enhanced discrimination of ABC score for
predicting the need for MT. The current ABC score would benefit from revision to
more appropriately identify patients requiring MT.
PMID- 29785694
TI - Surgical Capacity at District Hospitals in Zambia: From 2012 to 2016.
AB - BACKGROUND: Sub-Saharan Africa has one of the highest burdens of surgically
treatable conditions in the world and the highest unmet need, especially in rural
areas. Zambia is one of the countries in the region taking steps to improve
surgical care for its rural populations. AIM: To demonstrate changes in surgical
capacity in Zambia's district hospitals over a 3-year period and to provide a
baseline from which future interventions in surgical care can be assessed.
METHODS: A cross-sectional assessment of surgical capacity, using a modified WHO
questionnaire, was administered in first-level hospitals in nine of Zambia's ten
provinces between November 2012 and February 2013 and again between February and
April 2016. The two assessments allowed measurement of changes in surgical
workforce, infrastructure, equipment, drugs and consumables; and numbers of major
surgical procedures performed over two 12-month periods prior to the assessments.
RESULTS: There was a significant increase, 2013-2016, in number of theatre staff,
from 174 (mean 4.4; SD 1.7) to 235 (mean 6; SD 2.9), P = 0.02. However, the
percentage of hospitals with functioning anaesthetic machines dropped from 64 to
41%. There was also a drop in hospitals reporting availability of instruments,
drugs and consumables from 38 to 24 (97-62%) and from 28 to 24 (72-62%),
respectively. The median number of caesarean sections in 2012 was 99
[interquartile range (IQR) 42-187] and 100 (IQR 42-126) in 2015 (P value =0.53).
The median number of major surgical procedures in 2012 was 54 (IQR 10-113) and 66
(IQR 18-168) in 2015 (P = 0.45). CONCLUSION: An increase in the first-level
hospital surgical workforce between 2013 and 2016 was accompanied by reductions
in essential equipment and consumables for surgery, and no changes in surgical
output. Periodic monitoring of resource availability is needed to address
shortages and make safe surgery available to rural populations.
PMID- 29785696
TI - Case-Matched Comparison of Long-Term Functional and Quality of Life Outcomes
Following Laparoscopic Versus Open Ileal Pouch-Anal Anastomosis.
AB - BACKGROUND: Laparoscopic ileal pouch-anal anastomosis (IPAA) is associated with
recovery benefits when compared with open IPAA. There is limited data on long
term quality of life and functional outcomes, which this study aimed to assess.
METHODS: An IRB-approved, prospectively maintained database was queried to
identify patients undergoing laparoscopic IPAA (L), case-matched with open IPAA
(O) based on age +/- 5 years, gender, body mass index (BMI) +/- 5 kg/m2,
diagnosis, date of surgery +/- 3 years, stapled/handsewn anastomosis, omission of
diverting loop ileostomy and length of follow-up +/- 3 years. We assessed
functional results, dietary, social, work, sexual restrictions and the Cleveland
Clinic global quality of life score (CGQoL) at 1, 2, 3, 4, 5 and 10 years
postoperatively. Functional outcomes were assessed based on number of stools
(day/night) and seepage protection use (day/night). Variables were evaluated with
Kaplan-Meier survival curves, uni- and multivariable analyses. RESULTS: Out of
4595 IPAAs, 529 patients underwent L, of whom 404 patients were well matched 1:1
to an equivalent number of O based on all criteria. Median follow-ups were 2 (0.5
17.8) versus 2.4 (0.5-22.2) years in L versus O, respectively (p = 0.18). L was
associated with significantly decreased number of stools at night and less
frequent pad usage at 1 year, both during the day and at night. Functional
outcomes became similar during further follow-up. L was also associated with
improved overall CGQoL, and energy scores at 1 year postoperatively, and
decreased social restrictions for 1-2 years. There were no significant
differences in quality of health, dietary, work or sexual restrictions.
Laparoscopy was not associated with increased risk of pouch failure (p = 0.07) or
significantly different causes of pouch failure when compared to O. CONCLUSIONS:
Laparoscopic and open IPAA are associated with equivalent long-term functional
outcomes, quality of life and pouch survival rates. Laparoscopic technique is
associated with temporary benefits lasting 1 or 2 years.
PMID- 29785695
TI - Differences in Characteristics and Outcome of Patients with Penetrating Injuries
in the USA and the Netherlands: A Multi-institutional Comparison.
AB - INTRODUCTION: The incidence and nature of penetrating injuries differ between
countries. The aim of this study was to analyze characteristics and clinical
outcomes of patients with penetrating injuries treated at urban Level-1 trauma
centers in the USA (USTC) and the Netherlands (NLTC). METHODS: In this
retrospective cohort study, 1331 adult patients (470 from five NLTC and 861 from
three USTC) with truncal penetrating injuries admitted between July 2011 and
December 2014 were included. In-hospital mortality was the primary outcome.
Outcome comparisons were adjusted for differences in population characteristics
in multivariable analyses. RESULTS: In USTC, gunshot wound injuries (36.1 vs.
17.4%, p < 0.001) and assaults were more frequent (91.2 vs. 77.7%, p < 0.001).
ISS was higher in USTC, but the Revised Trauma Score (RTS) was comparable. In
hospital mortality was similar (5.0 vs. 3.6% in NLTC, p = 0.25). The adjusted
odds ratio for mortality in USTC compared to NLTC was 0.95 (95% confidence
interval 0.35-2.54). Hospital stay length of stay was shorter in USTC (difference
0.17 days, 95% CI -0.29 to -0.05, p = 0.005), ICU admission rate was comparable
(OR 0.96, 95% CI 0.71-1.31, p = 0.80), and ICU length of stay was longer in USTC
(difference of 0.39 days, 95% CI 0.18-0.60, p < 0.0001). More USTC patients were
discharged to home (86.9 vs. 80.6%, p < 0.001). Readmission rates were similar
(5.6 vs. 3.8%, p = 0.17). CONCLUSION: Despite the higher incidence of penetrating
trauma, particularly firearm-related injuries, and higher hospital volumes in the
USTC compared to the NLTC, the in-hospital mortality was similar. In this study,
outcome of care was not significantly influenced by differences in incidence of
firearm-related injuries.
PMID- 29785697
TI - The Video-Assisted Thoracic Surgery for Mediastinal Bronchogenic Cysts: A Single
Center Experience.
AB - OBJECTIVE: The aim of this study was to evaluate the outcomes of video-assisted
thoracic surgery (VATS) for mediastinal bronchogenic cyst (MBC) excision and
investigate the surgical indication for MBC. METHODS: We retrospectively reviewed
all consecutive MBC patients who underwent surgical excision between April 2001
and June 2016. One hundred and nineteen patients were enrolled with a median age
of 45.4 years and divided into two groups: anterior mediastinum group (n = 48),
and middle and posterior mediastinum group (n = 71). VATS technique was initially
performed for each patient. The cyst should be resected completely as far as
possible. Follow-up was completed by telephone or outpatient clinic every year.
The deadline of follow-up was June 2017. RESULTS: One hundred and eighteen
patients underwent VATS, and only one patient converted to open thoracotomy. The
average operative time was 103.8 +/- 41.6 min (40-360 min). The average
intraoperative blood loss was 56.6 +/- 86.6 ml (5-600 ml). The intraoperative
complication rate was 3.4%, and the incomplete excision rate was 5.9%. The
multivariate logistic analysis showed that maximal diameter >5 cm was
significantly associated with risk of operation time extension (OR = 3.968; 95%
CI 1.179-13.355, p = 0.026) and bleeding loss increasing (OR = 12.242; 95% CI
2.420-61.933, p = 0.002). No serious postoperative complications were observed.
Follow-up was performed in 102 patients, and the mean follow-up time was 45
months (12-194 months). There was no local recurrence. CONCLUSIONS: The maximal
diameter >5 cm increased risk of operation time extension and bleeding loss
increasing. Early surgical excision of MBC by VATS is recommended to establish
histopathological diagnosis, relieve symptoms, and prevent surgery-related
complications.
PMID- 29785698
TI - Specific parasites indirectly influence niche occupation of non-hosts community
members.
AB - The coexistence of phylogenetically related species is an attractive topic
because of the potentially intense interspecific competition. The most often
investigated mechanisms mediating coexistence of these species are environmental
filtering and niche partitioning. However, the role of other factors, such as
species-specific parasites, is still poorly understood. Along the riparian
understory of a tropical forest, we explored niche occupation and coexistence
between Chrysso intervales and Helvibis longicauda, two related syntopic web
building spiders. We also investigated the effect of H. longicauda mortality
induced by a specific fungus parasite, Gibellula pulchra, on the dynamic of C.
intervales spatial distribution. Coexistence was mediated mainly by a fine-scale
horizontal spatial segregation. H. longicauda built webs almost exclusively close
to the river, while C. intervales occupied adjacent areas (10-20 m away from
margins). We also found differentiation in other niche dimensions that might
allow coexistence, such as in plants occupied, height of web placement, width of
leaves used for thread attachment and phenology. H. longicauda mortality caused
by fungi was higher during winter than in summer. Consequently, the abundance of
C. intervales increased at distances close to the river, indicating competitive
release through a density-mediated indirect effect. This demonstrates how non
competitive specific-antagonists can indirectly affect other non-hosts competing
community members and influence their spatial distribution in fine-scale ranges.
We suggest that environmental filtering restricts H. longicauda to cooler
regions; niche partitioning leads populations to occupy different vertical strata
and competitive exclusion precludes C. intervales to reach river margins,
generating an unusual horizontal zonation pattern.
PMID- 29785699
TI - Spectroscopic Analysis of the Cu2+-Induced Fluorescence Quenching of Fluorescent
Proteins AmCyan and mOrange2.
AB - Fluorescent proteins show fluorescence quenching by specific metal ions, which
can be applied towards metal biosensing applications. In order to develop metal
biosensor, we performed spectroscopic analysis of the fluorescence quenching of
fluorescent protein AmCyan and mOrange2 by various metal ions. The fluorescence
intensity of AmCyan was reduced to 48.54% by Co2+ and 67.77% by Zn2+; Cu2+
reduced the fluorescence emission of AmCyan to 19.30% of its maximum. The
fluorescence intensity of mOrange2 was quenched by only Cu2+, to 11.48% of its
maximum. When analyzed by Langmuir equation, dissociation constants for AmCyan
and mOrange2 were 56.10 and 21.46 uM, respectively. The Cu2+ quenching of AmCyan
and mOrange2 were reversible upon treatment with the metal chelator EDTA,
indicating that the metal ions were located on the protein surface. Their model
structures suggest that AmCyan and mOrange2 have novel metal-binding sites.
PMID- 29785701
TI - Botulin toxin injection to improve the results of sphincter overlap in high grade
obstetric anal sphincter injury syndrome.
PMID- 29785700
TI - The association between circulating irisin levels and different phenotypes of
polycystic ovary syndrome.
AB - PURPOSE: The diagnosis of polycystic ovary syndrome (PCOS) is based on a
combination of various clinical phenotypes in each patient. However, insulin
resistance (IR) and dysmetabolism are not included in the diagnostic criteria of
PCOS. Therefore, the definition of PCOS is controversial. The objective of this
study is to investigate whether some PCOS phenotypes can be predicted by a
circulating biomarker related to IR and metabolic dysfunction in PCOS women.
METHODS: One hundred and seventeen women with PCOS and 95 healthy women were
recruited for this study. All individuals were assessed by the phenotypic and
metabolic characteristics related to PCOS. A euglycemic-hyperinsulinemic clamp
was performed to assess insulin sensitivity. Circulating irisin concentrations
were determined with ELISA. RESULTS: In our PCOS cohort, 65.8% of individuals
were found to have hyperandrogenism. 83.8% had chronic oligoanovulation, and
80.3% of subjects showed polycystic ovaries. According to the diagnostic criteria
of PCOS, 30.8% of PCOS subjects were diagnosed with the classic phenotype. In
addition, 65.8% of PCOS women had insulin resistance. Serum irisin levels were
significantly higher in PCOS women compared with healthy women. However, PCOS
women with a normoandrogenic phenotype had similar circulating irisin levels as
healthy women. PCOS women with the normoandrogenic phenotype had a low
homeostasis model assessment of insulin resistance (HOMA-IR) and higher M-values
than PCOS women with other phenotypes. Circulating irisin levels were associated
with hyperandrogenism, but not with oligoanovulation or PCO morphology.
CONCLUSIONS: Circulating irisin may allow physicians to establish which women
merit screening by a biomarker for PCOS.
PMID- 29785702
TI - A gastric feeding tube as a non-traumatic fistula probe during anal fistula
repair.
PMID- 29785703
TI - A Cross-Sectional Study Evaluating the Use of Free Clinics in Syracuse, NY:
Patient Demographics and Barriers to Accessing Healthcare in Traditional
Settings.
AB - Free clinics provide healthcare to underserved patient populations, playing a
critical role in the medical safety-net. Syracuse, New York has notable racial,
socioeconomic, and educational disparities and is home to four free clinics.
Little is known about these clinics' patient population. This study attempts to
better define this population and the barriers they face accessing traditional
care. We developed a 27-question survey investigating patient demographics,
barriers to traditional healthcare, and experience at local free clinics. Our
analysis included descriptive statistics, t-tests, one-way ANOVA and Chi square
testing. Of 287 patients surveyed, 55% of patients were employed, 78% were
uninsured, and 43% cited cost as their primary barrier to insurance. 29% rated
their health as fair or poor. 21% had been to the Emergency Room (ER) in the past
six months. 38% stated they would go to the ER if free clinics did not exist.
Insurance coverage was unrelated to education or employment status (p = .52 and
.81, respectively), but differed significantly between racial and ethnic groups
(p < .007). Insured patients were more likely to have visited an ER in the past 6
months (p = .01), received preventive health services (p = .02), and seen a
provider outside of the free clinic as compared to patients without insurance (p
< .001). Free clinic patients represent a heterogeneous population with poor
health indicators and several barriers to traditional care, especially cost. This
information may aid public health agencies in developing policies to increase
access to medical care and decrease morbidity and mortality among this
population.
PMID- 29785704
TI - The Role of Adolescent Victimization in Energy Drink Consumption: Monitoring the
Future, 2010-2016.
AB - Energy drinks have been linked to a number of deleterious health outcomes among
youth. Even so, the underlying risk factors for energy drink consumption among
youth are less frequently examined. The present study examines the link between
adolescent victimization experiences (i.e., property and violent victimization)
and energy drink consumption among a nationally representative sample of
adolescents. We employed the seven most recent cohorts (2010-2016) from the
Monitoring the Future (MTF) study. A multi-stage random sampling technique was
used to acquire the U.S. sample. Youths reported the extent to which they
consumed energy drinks. Additionally, three indicators of property victimization
and four indicators of violent victimization were available in the data. The
findings reveal a significant dose-response relationship between energy drink
consumption and victimization. This relationship was especially pronounced among
females. For instance, more than 52% of females with the highest count of various
violent victimization experiences consumed energy drinks, which was three times
the rate of females who had no previous violent victimization experiences.
Practitioners who interact with adolescent victims may probe for energy drink
usage in addition to other addictive substances such as alcohol, tobacco, and
drugs. Additional scrutiny may also be in order in regulating the amount of
caffeine and sugar allowed in these beverages.
PMID- 29785705
TI - Association of GABAA Receptor Gene with Epilepsy Syndromes.
AB - GABA has always been an inviting target in the etiology and treatment of
epilepsy. The GABRA1, GABRG2, and GABRD genes provide instructions for making
alpha1, Upsilon2, and delta subunits of GABAA receptor protein respectively.
GABAA is considered as one of the most important proteins and has found to play
an important role in many neurological disorders. We explored the association of
GABAA receptor gene mutation/SNPs in JME and LGS patients in Indian population. A
total of 100 epilepsy syndrome patients (50 JME and 50 LGS) and 100 healthy
control subjects were recruited and analyzed by AS-PCR and RFLP-PCR techniques.
In our study, GABRA1 965 C > A mutation and 15 A > G polymorphism gene may play
an important role in modulating the drug efficacy in LGS patients. The GABRA1 15
A > G polymorphism may also play an important role in the susceptibility of LGS
and the inheritance of GG genotype of this polymorphism may provide an increased
risk of development of LGS. The GABRG2 588 C > T polymorphism may decrease the
duration of seizures in JME patients. The GABRD 659 G > A polymorphism may play
an important role in the susceptibility of JME and LGS and this polymorphism may
also increase the duration of postictal period in JME patients but may decrease
the duration of seizure in LGS patients.
PMID- 29785706
TI - Goal-Striving Stress Is Associated with Chronic Kidney Disease Among Participants
in the Jackson Heart Study.
AB - OBJECTIVE: Research that assesses the relationship between psychosocial factors
and chronic kidney disease (CKD) among African Americans (AAs) is limited. Using
the Jackson Heart Study (JHS) cohort data, we investigated the association of
goal-striving stress (GSS)-the stress experienced from not reaching goals-with
prevalent CKD among AAs. DESIGN: This was a cross-sectional analysis of JHS exam
1 data that assessed the relationship between GSS and CKD. SETTING AND
PARTICIPANTS: We utilized a sample from the JHS (n = 4967), an AA sample of women
and men, 35-84 years old from the Jackson, MS metro area. MAIN OUTCOME MEASURES:
The baseline relationship between GSS levels (low, moderate, and high) and CKD
(eGFR < 60 mL/min/1.73m2) was evaluated using a logistic regression model to
estimate odds ratios (OR) on a 95% confidence interval (CI). The final model was
adjusted for sex, age, socioeconomic status, health behaviors, risk factors, and
total stress. RESULTS: After full adjustment, the odds of prevalent CKD increased
by 52% (OR 1.52; 95% CI 1.04, 2.24) for those reporting high (versus low) GSS.
CONCLUSIONS: Deficiencies between goal aspiration and achievement were associated
with prevalent CKD. Potential interventions might consider the impact GSS
contributes to prevalent CKD.
PMID- 29785707
TI - Burden of Mental Illness and Non-communicable Diseases and Risk Factors for
Mental Illness Among Refugees in Buffalo, NY, 2004-2014.
AB - INTRODUCTION: Limited is known about mental illness and non-communicable diseases
(NCDs) and their risk factors among refugees. These were studied using data
collected from a refugee population in Buffalo, NY. METHODS: Longitudinal data
collected on 1055 adults (> 18 years) at a large refugee health center in
Buffalo, NY, during 2004-2014 were used. Main outcomes were hypertension,
diabetes, tobacco use, obesity, overweight/obesity, and mental illness. Risk
factors were assessed using multivariate regression models. RESULTS: Compared to
those without mental illness, refugees with mental illness had higher rates of
hypertension (16.9 vs 28.4%, P < 0.001), diabetes (8.4 vs 13.6%, P = 0.03),
tobacco use (9.3 vs 18.3%, P < 0.001), obesity (13.0 vs 25.4%, P < 0.001), and
overweight/obesity rates (45.0 vs 61.5%, P < 0.001). During 2004-2014, obesity
rates increased among those with mental illness (25.4 to 36.7%, P < 0.001) and
without mental illness (13.0 to 24.5%, P < 0.001). The overall mental illness
prevalence among refugees was 16%, ranging from 6.9% among Asians to 43.9% among
Cubans. Women were more likely to have mental illness (odds ratio = 2.45; 95%
confidence interval [CI] = 1.68-3.58) than men. Refugees who lived longer in the
USA were more likely to carry psychiatric diagnoses (OR = 1.12; 95% CI = 1.04
1.21). CONCLUSION: Mental illness rates varied considerably across various
refugee groups. Rates of obesity and NCDs among refugees with mental illness were
higher than among those without mental disorders. Gender, region of origin, and
length of stay in the USA were associated with mental illness. Accurate and
culturally sensitive screenings and assessments of mental illness are needed to
reduce these health disparities.
PMID- 29785708
TI - Prenatal predatory stress in a wild species of subterranean rodent: Do ecological
stressors always have a negative effect on the offspring?
AB - In pregnant females, a failed predatory event not only induces individual
responses but also represents a significant change in the developmental
environment of the offspring, which may lead to modifications in their phenotype
that may persist at different stages of life. We evaluate whether prenatal
exposure to predatory cues affects anxiety behavior, behavioral response to
predator cues, stress response to immobilization, and immune response to sheep
red blood cells (SRBC) and phytohemagglutinin (PHA) in juveniles of the
subterranean rodent Ctenomys talarum. We found that prenatal predator stress
(PPS) (1) increased juvenile anxiety-like behaviors and the appearance of
antipredator behaviors, (2) did not affect the response of offspring to predatory
stressors, and (3) did not influence the physiological response of juveniles to
stressors (immobilization) nor the immunological responses to SRBC and PHA
challenges. This work shows the influence of PPS on the development of behavioral
responses in the offspring, whom displayed a state of anxiety and behavioral
changes associated with decreased locomotor activity and avoidance behaviors.
Thus, these individuals prenatally exposed to predatory cues show behavioral
adaptations that may contribute to avoid predators in the adult life.
PMID- 29785709
TI - Four-year follow-up of a single arm, phase II clinical trial of ibrutinib with
rituximab (IR) in patients with relapsed/refractory mantle cell lymphoma (MCL).
AB - Ibrutinib has shown significant activity in patients with relapsed or refractory
mantle cell lymphoma (RR-MCL). We report the long-term outcome and safety profile
of a single-centre, single arm, open-label, phase 2 study of RR-MCL treated with
IR. Overall, the median follow-up time was 47 months (range 1-52 months), median
duration on treatment was 16 months (range 1-53 months) and median number of
treatment cycles was 17 (range 1-56). Twenty-nine patients (58%) achieved
complete remission and of these, 12 patients continue on study. Thirty-eight
patients discontinued treatment, 14 due to disease progression (2 transformed).
Patients with blastoid morphology, high risk MCL International Prognostic Index
score and high Ki67% had inferior survival. The commonest grade 1-2 toxicities
were fatigue, diarrhoea, nausea, arthralgias and myalgias. None had long term
toxicities. Median progression-free survival was 43 months. Eighteen patients
(36%) died (14 deaths were MCL-related). The median overall survival has not been
reached. Treatment with IR can provide durable remissions in a subset of patients
with RR-MCL, especially those with low Ki67%. The possible benefit of adding
other therapies in combination with IR in RR-MCL is under exploration.
PMID- 29785711
TI - Illness as a Crisis of Meaning.
AB - In Phenomenological Bioethics: Medical Technologies, Human Suffering, and the
Meaning of Being Alive, the Swedish philosopher Fredrik Svenaeus aims to show how
the continental tradition of phenomenology can enrich bioethical debates by
adding important but often-ignored perspectives, namely, that of lived
experience. Phenomenology focuses not on supposedly objective, scientifically
validated facts, but on the "life world" of the individuals affected by a
situation. Individuals' life worlds consist of their experience of their own
lived bodies (or Leiber) and the meaning structures of their everyday worlds. A
phenomenologically informed and oriented bioethics would seek to take those life
worlds into account when considering what should be done in a particular
ethically challenging situation. The fundamental insight that Svenaeus develops
in his new book is that our illnesses are often, if not always, crises of
meaning.
PMID- 29785712
TI - There is moderate evidence that the process of service delivery for assistive
technology relates to client satisfaction with the technology.
PMID- 29785710
TI - Insomnia in Community-Living Persons with Advanced Age.
AB - OBJECTIVE: To evaluate the epidemiology of insomnia, including demographic and
clinical correlates, in older adults. DESIGN: Cross-sectional. SETTING:
Community. PARTICIPANTS: Yale Precipitating Events Project participants (N=379;
mean age 84.3; 67.8% female; 11.9% African American). MEASUREMENTS: Insomnia
Severity Index (ISI), with scores of 8 and higher indicating insomnia, which was
further stratified according to ISI score as mild (8-14), moderate (15-21), or
severe (22-28). Baseline characteristics included age, sex, race, education,
smoking, obesity, medical conditions, depressive symptoms (Center for
Epidemiologic Studies Depression score >=16), cognitive impairment (Mini-Mental
State Examination score <24), restless legs syndrome (RLS), self-reported sleep
disordered breathing (SDB), medications, and daytime sleepiness (Epworth
Sleepiness Scale (ESS), range 0-24). RESULTS: Insomnia was established in 163
(43.0%) participants (average ISI score 12.3 (mild)). For the entire sample,
average baseline characteristics were as follows: 30.1% did not complete high
school, 5% were current smokers, 19.2% were obese, 28.2% had cardiovascular
disease, 19.3% had chronic lung disease, 27.2% had depressive symptoms, 16.1% had
cognitive impairment, 36.8% had RLS, and 3.4% had self-reported SDB; mean number
of medications was 9.2, and mean ESS was 6.4. In multivariable regression models,
only depressive symptoms (adjusted odds ratio (aOR)=8.34, 95% confidence interval
(CI)=4.49, 15.47) and RLS (aOR=2.49, 95% CI=1.48, 4.21) were significantly
associated with insomnia. CONCLUSION: In a sample of older adults with high
medical burden and polypharmacy, insomnia was highly prevalent but unexpectedly
mild and associated only with depressive symptoms and RLS. The discordance of
high prevalence but mild severity of insomnia in the oldest adults highlights the
need for diagnostic confirmation with objective measures of sleep disturbances,
whereas the strong associations with depressive symptoms and RLS inform
priorities in managing insomnia.
PMID- 29785713
TI - Outcomes of oesophageal self-dilation for patients with refractory benign
oesophageal strictures.
AB - BACKGROUND: Current management of refractory benign oesophageal strictures with
endoscopic dilations and stenting leads to resolution of dysphagia in only 30% of
patients. Oesophageal self-dilation may be an alternative. AIM: To evaluate the
efficacy and safety of oesophageal self-dilation at a tertiary referral centre.
METHODS: We conducted a retrospective review of patients with refractory benign
oesophageal strictures who participated in oesophageal self-dilation at Mayo
Clinic (Rochester, MN, USA) between 2003 and 2017. Clinical data including
stricture characteristics, Dakkak and Bennett Dysphagia Score, number and dates
of endoscopies, and complications were collected. A two-tailed paired Student's t
test was used to compare the measures of efficacy, with differences considered
significant at a 5% probability level. RESULTS: We identified 52 patients with
refractory strictures treated with self-dilation. The median number of endoscopic
interventions was reduced from 9.5 (range 5-30) to 0 (range 0-3) within 12 months
before and after self-dilation, respectively (P < 0.0001). A median intervention
free interval of 417 days (IQR 256-756 days) was observed. The mean dysphagia
score at baseline was 2.5 (95% CI 2.2-2.8) and 0.33 (95% CI 0.11-0.53) after self
dilation. 23 of 27 (85%) patients who received enteral nutrition prior to self
dilation had their feeding tubes removed. CONCLUSIONS: Oesophageal self-dilation
is an effective way of maintaining oesophageal patency in refractory benign
oesophageal strictures, with safety comparable to current standard of care.
Prospective studies are needed to further validate the role of self-dilation in
treatment of refractory benign oesophageal strictures.
PMID- 29785714
TI - Lexical Learning May Contribute to Phonetic Learning in Infants: A Corpus
Analysis of Maternal Spanish.
AB - In their first year, infants begin to learn the speech sounds of their language.
This process is typically modeled as an unsupervised clustering problem in which
phonetically similar speech-sound tokens are grouped into phonetic categories by
infants using their domain-general inference abilities. We argue here that
maternal speech is too phonetically variable for this account to be plausible,
and we provide phonetic evidence from Spanish showing that infant-directed
Spanish vowels are more readily clustered over word types than over vowel tokens.
The results suggest that infants' early adaptation to native-language phonetics
depends on their word-form lexicon, implicating a much wider range of potential
sources of influence on infants' developmental trajectories in language learning.
PMID- 29785715
TI - Current advances in screening for bioactive components from medicinal plants by
affinity ultrafiltration mass spectrometry.
AB - INTRODUCTION: Medicinal plants have played an important role in maintaining human
health for thousands of years. However, the interactions between the active
components in medicinal plants and some certain biological targets during a
disease are still unclear in most cases. OBJECTIVE: To conduct the high
throughput screening for small active molecules that can interact with biological
targets, which is of great theoretical significance and practical value.
METHODOLOGY: The ultrafiltration mass spectrometry (UF-LC/MS) is a powerful bio
analytical method by combining affinity ultrafiltration and liquid chromatography
mass spectrometry (LC/MS), which could rapidly screen and identify small active
molecules that bind to biological targets of interest at the same time. Compared
with other analytical methods, affinity UF-LC/MS has the characteristics of fast,
sensitive and high throughput, and is especially suitable for the complicated
extracts of medicinal plants. RESULTS: In this review, the basic principle,
characteristics and some most recent challenges in UF-LC/MS have been
demonstrated. Meanwhile, the progress and applications of affinity UF-LC/MS in
the discovery of the active components from natural medicinal plants and the
interactions between small molecules and biological target proteins are also
briefly summarised. In addition, the future directions for UF-LC/MS are also
prospected. CONCLUSION: Affinity UF-LC/MS is a powerful tool in studies on the
interactions between small active molecules and biological protein targets,
especially in the high-throughput screening of active components from the natural
medicinal plants.
PMID- 29785717
TI - The place of abortion in the pregnancy decision-making process in young adult
women in Catalonia, Spain.
AB - Induced abortion is one option to control fertility in Spain. Young adult women
(25-34 years old) show a different abortion pattern compared to women in other
age groups, being less likely to seek abortions than younger women and having one
of the lowest proportions of unintended pregnancies. We aimed to analyse the
factors involved in the pregnancy decision-making process to better understand
why young adult women seek abortions in Catalonia, Spain. In-depth semi
structured interviews with 25 nulliparous pregnant young adult women and one
focus group discussion with healthcare providers were conducted in 2008/2010. We
found that inconsistent contraceptive use prior to an unintended pregnancy was
due to ambivalence and weighing of priorities during sex. The quality of the
relationship was paramount to the abortion decision whereas other reasons
(financial/employment status, fulfilling aspirations, support networks) were less
important. We conclude that the motivations for the abortion decision are
interrelated and based on the current life stage of women and that there is
pressure on young adult women in Spain to become mothers. Induced abortion is
perceived as the last resort to postpone motherhood. Our findings reinforce the
unequal societal burden placed on women to take responsibility for prevention of
unintended pregnancy.
PMID- 29785716
TI - Randomised Italian Sonography for occiput POSition Trial Ante vacuum
(R.I.S.POS.T.A.).
AB - OBJECTIVE: To assess whether sonographic diagnosis of fetal head position before
instrumental vaginal delivery can reduce the risk of failed vacuum extraction and
improve delivery outcome. METHODS: Randomised Italian Sonography for occiput
POSition Trial Ante vacuum (R.I.S.POS.T.A.) is a randomized controlled trial of
term (37 + 0 to 41 + 6 weeks' gestation) singleton pregnancies with cephalic
presentation requiring instrumental delivery by vacuum extraction, which was
conducted between April 2014 and June 2017 and involved 13 Italian maternity
hospitals. Patients were randomized to assessment of fetal head position before
attempted instrumental delivery by either vaginal examination (VE) alone or VE
plus transabdominal sonography (TAS). Primary outcome was incidence of emergency
Cesarean section due to failed vacuum extraction. A sample size of 653 women per
group was planned to compare the primary outcome between the two groups. The
sample size estimation was based on the hypothesis that the risk of failed vacuum
delivery in the VE group would be 5% and that ultrasound assessment of fetal
position prior to vacuum extraction would decrease this risk to 2%. RESULTS: On
interim analysis, the trial was stopped for futility. During this period, 222
women were randomized and 221 were included in the final data analysis, of whom
132 (59.7%) were randomized to evaluation of fetal head position by VE only and
89 (40.3%) to assessment by VE plus TAS prior to vacuum extraction. No
significant differences were observed between the two groups with respect to
incidence of emergency Cesarean section due to failed instrumental delivery and
other maternal and fetal outcomes. Women randomized to assessment by VE plus TAS
showed higher incidence of non-occiput anterior position of the fetal head at
randomization and lower incidence of incorrect diagnosis of occiput position
compared with women undergoing assessment by VE alone. A higher rate of
episiotomy was noted in the women undergoing both VE and TAS compared with those
in the VE-only group. CONCLUSIONS: Our prematurely discontinued randomized
controlled trial did not demonstrate any benefit in terms of reduced risk of
failed instrumental delivery or maternal and fetal morbidity in women undergoing
sonographic assessment of fetal head position prior to vacuum extraction.
Copyright (c) 2018 ISUOG. Published by John Wiley & Sons Ltd.
PMID- 29785719
TI - Children's experiences and expectations of kidney transplantation: A qualitative
interview study.
AB - RATIONALE AND OBJECTIVE: Kidney transplantation offers improved quality of life
and life expectancy compared with dialysis for children. This study aims to
understand the experiences and expectations of children during the kidney
transplantation process to inform clinical care. STUDY DESIGN: Face-to-face, semi
structured interviews. SETTING AND PARTICIPANTS: We interviewed 13 children and
adolescents aged between 7 and 17 years old in New Zealand who had received a
kidney transplantation. ANALYTICAL APPROACH: We conceptualised the findings using
thematic analysis with inductive coding. RESULTS: We identified three major
themes: transplant as the goal (the only real treatment and escaping dialysis);
dealing with negative emotions (coping with anxiety and fear, guilt for siblings
and burden of parent as donor); and enhancing understanding and knowledge
(individualised education and reassurance from peer support). LIMITATIONS: Study
conducted in New Zealand therefore, the transferability of findings to other
populations is unclear. CONCLUSIONS: Children and adolescents view
transplantation as freedom from dialysis and return to a more normal life.
Children focus on the positive aspects of transplantation to reduce anxiety and
be reassured in the face of uncertainty. Complex emotions arise when thinking
about their donor. Children recognise transplantation is not a return to full
health and actively seek out ways to self-manage their care, while remaining
anxious about their future. This article is protected by copyright. All rights
reserved.
PMID- 29785718
TI - Associations between the smoking-relatedness of a cancer type, cessation
attitudes and beliefs, and future abstinence among recent quitters.
AB - OBJECTIVE: Smoking after a diagnosis of cancer can negatively impact treatment
outcomes and quality of life. It is important that patients quit smoking and
remain abstinent regardless of cancer type. Some cancer types (eg, lung) have
stronger links to smoking as a cause than do others (eg, colorectal). The aims of
this study were to (1) assess associations between smoking-relatedness of the
cancer type with beliefs and attitudes concerning smoking abstinence (eg,
confidence, self-efficacy), and (2) assess these variables as predictors of
future abstinence. METHODS: In this secondary analysis, cancer patients (N = 357)
who quit smoking within the previous 90 days were assigned a code of 3, 2, or 1
according to the cancer type's level of smoking-relatedness: Very related (n =
134, thoracic and head and neck), Somewhat related (n = 93, acute myeloid
leukemia, bladder, cervix, colorectal, esophageal, kidney, liver, pancreas, and
stomach), and Unlikely related (n = 137, all other cancer types). RESULTS:
Smoking-relatedness was positively associated with plan to stay smoke-free,
maximum confidence in being smoke-free in 6 months, higher abstinence self
efficacy, and lower expected difficulty in staying smoke-free. Each of the 4
beliefs and attitude variables predicted abstinence 2 months later. Smoking
relatedness also predicted abstinence in a univariate model, but not in a
multivariable model with the belief and attitude variables. Using backwards
stepwise procedures, the final model included plan to stay smoke-free, confidence
in being smoke-free, and abstinence self-efficacy. CONCLUSION: These results are
consistent with our conceptualization of cessation motivation differing by
smoking-relatedness of the cancer type and predicting future abstinence.
PMID- 29785720
TI - Mobility scores as a predictor of length of stay in general surgery: a
prospective cohort study.
AB - BACKGROUND: Post-operative length of stay (LOS) is an increasingly important
clinical indicator in general surgery. Despite this, no tool has been validated
to predict LOS or readiness for discharge in general surgical patients. The de
Morton Mobility Index (DEMMI) is a functional mobility assessment tool that has
been validated in rehabilitation patient populations. In this prospective cohort
study, we aimed to identify if trends in DEMMI scores were associated with
discharge within 1 week and overall LOS in general surgical patients. METHODS: A
total of 161 patients who underwent elective gastrointestinal resections were
included. DEMMI scores were performed preoperatively, on days 1, 2, 3 and 30 post
operative. Statistical analysis was performed to identify any association between
DEMMI scores and discharge within 1 week and LOS. RESULTS: Functional recovery
(measured by achieving 80% of baseline DEMMI score by post-operative day 1) was
significantly associated with discharge within 1 week. Presence of a stoma was
associated with longer LOS. The area under the receiver operating characteristic
curve using functional recovery on post-operative day 1 as a predictor of
discharge within 1 week is 0.772. CONCLUSION: The DEMMI score is a fast, easy and
useful tool to, on post-operative day 1, predict discharge within 1 week. The
utility of this is to act as an anticipatory trigger for more proactive and
efficient discharge planning in the early post-operative period, and there is
potential to use the DEMMI as a comparator in clinical trials to assess
functional recovery.
PMID- 29785721
TI - Heeding humanity in an age of electronic health records: Heidegger, Levinas, and
Healthcare.
AB - The American Recovery and Reinvestment Act of 2009 (ARRA) required healthcare
providers in the United States to adopt and demonstrate meaningful use of
electronic health records (EHRs) by January 1, 2014. In many ways, EHRs mark a
notable improvement over paper medical records as they are more easily accessible
and allow for electronic searching and sharing of medical history. However, as
EHRs have become mandated by ARRA, many nurses now rely upon computers far more
heavily during nurse-patient interactions, thereby decreasing the level of direct
interpersonal communication between the two. There is evidence that eye contact
between nurses and patients positively affects patient satisfaction. Above and
beyond the issue of patient satisfaction is the more basic ethical issue of
respecting the patient as a person. The author argues that the templates used in
electronic health systems have the possibility of eroding the respect for
humanity that is the hallmark of nurse-patient relationships, as signalled by the
American Nurses Association's first principle in their Code of Ethics. Using
concepts from philosophers Martin Heidegger and Emmanuel Levinas, the author
provides guidance as to what an ethical interaction between nurse and patient
should look like in an age of EHRs.
PMID- 29785724
TI - Social Transmission of False Memory in Small Groups and Large Networks.
AB - Sharing information and memories is a key feature of social interactions, making
social contexts important for developing and transmitting accurate memories and
also false memories. False memory transmission can have wide-ranging effects,
including shaping personal memories of individuals as well as collective memories
of a network of people. This paper reviews a collection of key findings and
explanations in cognitive research on the transmission of false memories in small
groups. It also reviews the emerging experimental work on larger networks and
collective false memories. Given the reconstructive nature of memory, the
abundance of misinformation in everyday life, and the variety of social
structures in which people interact, an understanding of transmission of false
memories has both scientific and societal implications.
PMID- 29785723
TI - Decreased E-Cadherin in MCF7 Human Breast Cancer Cells Forming Multicellular
Spheroids Exposed to Simulated Microgravity.
AB - MCF7 human breast cancer cells were cultured under normal gravity (1 g) and on a
random positioning machine (RPM) preventing sedimentation. After 2 weeks,
adherent 1 g-control and adherent RPM cells (AD) as well as multicellular
spheroids (MCS) were harvested. AD and MCS had been exposed to the RPM in the
same culture flask. In a subsequent proteome analysis, the majority of the
proteins detected showed similar label-free quantification (LFQ) scores in each
of the respective subpopulations, but in both AD or MCS cultures, proteins were
also found whose LFQs deviated at least twofold from their counterparts in the 1
g-control cells. They included the cell junction protein E-cadherin, which was
diminished in MCS cells, where proteins of the E-cadherin autodegradation pathway
were enhanced and c-Src (proto-oncogene tyrosine-protein kinase c-Src) was
detected. Spheroid formation was prevented by inhibition of c-Src but promoted by
antibodies blocking E-cadherin activity. An interaction analysis of the detected
proteins that are involved in forming and regulating junctions or adhesion
complexes and in E-cadherin autodegradation indicated connections between the two
protein groups. This suggests that the balance of proteins that up- or
downregulate E-cadherin mediates the tendency of MCF7 cells to form MCS during
RPM exposure.
PMID- 29785722
TI - Electrostatic recognition in substrate binding to serine proteases.
AB - Serine proteases of the Chymotrypsin family are structurally very similar but
have very different substrate preferences. This study investigates a set of 9
different proteases of this family comprising proteases that prefer substrates
containing positively charged amino acids, negatively charged amino acids, and
uncharged amino acids with varying degree of specificity. Here, we show that
differences in electrostatic substrate preferences can be predicted reliably by
electrostatic molecular interaction fields employing customized GRID probes.
Thus, we are able to directly link protease structures to their electrostatic
substrate preferences. Additionally, we present a new metric that measures
similarities in substrate preferences focusing only on electrostatics. It
efficiently compares these electrostatic substrate preferences between different
proteases. This new metric can be interpreted as the electrostatic part of our
previously developed substrate similarity metric. Consequently, we suggest, that
substrate recognition in terms of electrostatics and shape complementarity are
rather orthogonal aspects of substrate recognition. This is in line with a 2-step
mechanism of protein-protein recognition suggested in the literature.
PMID- 29785725
TI - Density scaling of phantom materials for a 3D dose verification system.
AB - In this study, the optimum density scaling factors of phantom materials for a
commercially available three-dimensional (3D) dose verification system (Delta4)
were investigated in order to improve the accuracy of the calculated dose
distributions in the phantom materials. At field sizes of 10 * 10 and 5 * 5 cm2
with the same geometry, tissue-phantom ratios (TPRs) in water, polymethyl
methacrylate (PMMA), and Plastic Water Diagnostic Therapy (PWDT) were measured,
and TPRs in various density scaling factors of water were calculated by Monte
Carlo simulation, Adaptive Convolve (AdC, Pinnacle3 ), Collapsed Cone Convolution
(CCC, RayStation), and AcurosXB (AXB, Eclipse). Effective linear attenuation
coefficients (MUeff ) were obtained from the TPRs. The ratios of MUeff in phantom
and water ((MUeff )pl,water ) were compared between the measurements and
calculations. For each phantom material, the density scaling factor proposed in
this study (DSF) was set to be the value providing a match between the calculated
and measured (MUeff )pl,water . The optimum density scaling factor was verified
through the comparison of the dose distributions measured by Delta4 and
calculated with three different density scaling factors: the nominal physical
density (PD), nominal relative electron density (ED), and DSF. Three plans were
used for the verifications: a static field of 10 * 10 cm2 and two intensity
modulated radiation therapy (IMRT) treatment plans. DSF were determined to be
1.13 for PMMA and 0.98 for PWDT. DSF for PMMA showed good agreement for AdC and
CCC with 6 MV x ray, and AdC for 10 MV x ray. DSF for PWDT showed good agreement
regardless of the dose calculation algorithms and x-ray energy. DSF can be
considered one of the references for the density scaling factor of Delta4 phantom
materials and may help improve the accuracy of the IMRT dose verification using
Delta4.
PMID- 29785726
TI - T2-hypointense rim of breast mass lesions on magnetic resonance images:
Radiologic-pathologic correlation.
AB - We investigated the radiologic-pathologic correlation of a strong hypointense rim
on T2-weighted images (T2-hypo-rim) surrounding breast mass lesions and evaluated
its clinical significance. We retrospectively reviewed 3503 consecutive breast
magnetic resonance imaging (MRI) examinations. The T2-hypo-rim was defined as a
border of strong hypointensity compared with the fat signal on fat-suppressed T2
weighted images. Detected lesions with T2-hypo-rim were classified as a solid or
cystic mass with MRI and correlated with histopathologic findings. Sixty-two
masses (2%; 34 solid, 28 cystic) with T2-hypo-rim were detected [44 breast
cancers, 18 benign lesions, including 15 (24%) papillary tumors]. Patients with
cancer were significantly older than those with benign lesions (P = .002). Breast
cancers were significantly larger than benign masses (P = .023). In 49 of 62
lesions (24 solid and 16 cystic cancers; three solid and six cystic benign
masses), the rims were accurately correlated with the histopathologic findings.
All malignant and benign cystic masses exhibited hemosiderin deposits in the cyst
walls. However, 22 of 24 solid cancers and no solid benign masses exhibited
hemosiderin at the tumor periphery (92% and 0%, respectively, P < .001). In
addition, a thick fibrous capsule was present in nine (38%) of 24 solid cancers
and none of the solid benign lesions. Strong T2-hypo-rims mostly correlated with
hemosiderin deposits and/or sometimes fibrous capsules. Although the rims could
not distinguish malignant from benign cystic lesions, they indicated malignancy
in solid mass lesions on MRI. Additionally, the rims often indicated papillary
tumors.
PMID- 29785728
TI - Ultrafast capillary electrophoresis method for the simultaneous determination of
ammonium and diphenhydramine in pharmaceutical samples.
AB - Ammonium and diphenhydramine are active ingredients commonly found in the same
pharmaceutical preparations. We report, for the first time, a sub-minute method
for the simultaneous determination of ammonium and diphenhydramine. The method is
based on capillary electrophoresis with capacitively coupled contactless
conductivity detection. Both analytes can be quantified in a single run (~80
injections/h) using 30 mmol/L 2-(N-morpholino)ethanesulfonic acid and 15 mmol/L
lithium hydroxide (pH 6.0) as background electrolyte. The separation by capillary
electrophoresis was achieved on a fused-silica capillary (50 cm total length, 10
cm effective length, and 50 MUm inside diameter). The limits of detection were
0.04 and 0.02 mmol/L for ammonium and diphenhydramine, respectively. The proposed
method also provided adequate recovery values for spiked samples (100-106 and 97
104% for ammonium and diphenhydramine, respectively). The results obtained with
the new capillary electrophoresis method were compared with those of the high
performance liquid chromatography method for diphenhydramine and the Kjeldahl
method for ammonium and no statistically significant differences were found (95%
confidence level).
PMID- 29785727
TI - Body Composition Profiling in the UK Biobank Imaging Study.
AB - OBJECTIVE: This study aimed to investigate the value of imaging-based
multivariable body composition profiling by describing its association with
coronary heart disease (CHD), type 2 diabetes (T2D), and metabolic health on
individual and population levels. METHODS: The first 6,021 participants scanned
by UK Biobank were included. Body composition profiles (BCPs) were calculated,
including abdominal subcutaneous adipose tissue, visceral adipose tissue (VAT),
thigh muscle volume, liver fat, and muscle fat infiltration (MFI), determined
using magnetic resonance imaging. Associations between BCP and metabolic status
were investigated using matching procedures and multivariable statistical
modeling. RESULTS: Matched control analysis showed that higher VAT and MFI were
associated with CHD and T2D (P < 0.001). Higher liver fat was associated with T2D
(P < 0.001) and lower liver fat with CHD (P < 0.05), matching on VAT.
Multivariable modeling showed that lower VAT and MFI were associated with
metabolic health (P < 0.001), and liver fat was nonsignificant. Associations
remained significant adjusting for sex, age, BMI, alcohol, smoking, and physical
activity. CONCLUSIONS: Body composition profiling enabled an intuitive
visualization of body composition and showed the complexity of associations
between fat distribution and metabolic status, stressing the importance of a
multivariable approach. Different diseases were linked to different BCPs, which
could not be described by a single fat compartment alone.
PMID- 29785729
TI - TG-51 reference dosimetry for the HalcyonTM: A clinical experience.
AB - HalcyonTM is a single-energy (6 MV-FFF), bore-enclosed linear accelerator.
Patient setup is performed by first aligning to external lasers mounted to the
front of the bore, and then loading to isocenter through pre-defined couch
shifts. There is no light field, optical distance indicator or front pointer
mechanism, so positioning is verified through MV imaging with kV imaging
scheduled to become available in the future. TG-51 reference dosimetry was
successfully performed for HalcyonTM in this imaging-based setup paradigm. The
beam quality conversion factor, kQ , was determined by measuring %dd(10)x three
ways: (a) using a Farmer chamber with lead filtering, (b) using a Farmer chamber
without lead filtering, and (c) using a PinPoint chamber without lead filtering.
Values of kQ were determined to be 0.995, 0.996, and 0.996 by each measurement
technique, respectively. HalcyonTM's 6 MV-FFF beam was found to be broader than
other FFF beams produced by Varian accelerators, and profile measurements at dmax
showed the beam to vary less than 0.5% over the dimensions of our Farmer
chamber's active volume. Reference dosimetry can be performed for the HalcyonTM
accelerator simply, without specialized equipment or lead filtering with minimal
dosimetric impact. This simplicity will prove advantageous in clinics with
limited resources or physics support.
PMID- 29785730
TI - Evidence of Australian bat lyssavirus infection in diverse Australian bat taxa.
AB - Historically, Australia was considered free of rabies and rabieslike viruses.
Thus, the identification of Australian bat lyssavirus (ABLV) in 1996 in a
debilitated bat found by a member of the public precipitated both public health
consternation and a revision of lyssavirus taxonomy. Subsequent observational
studies sought to elaborate the occurrence and frequency of ABLV infection in
Australian bats. This paper describes the taxonomic diversity of bat species
showing evidence of ABLV infection to better inform public health considerations.
Blood and/or brain samples were collected from two cohorts of bats (wild-caught
and diagnostic submissions) from four Australian states or territories between
April 1996 and October 2002. Fresh brain impression smears were tested for ABLV
antigen using fluorescein-labelled anti-rabies monoclonal globulin (CENTOCOR) in
a direct fluorescent antibody test; sera were tested for the presence of
neutralising antibodies using a rapid fluorescent focus inhibition test. A total
of 3,217 samples from 2,633 bats were collected and screened: brain samples from
1,461 wild-caught bats and 1,086 submitted bats from at least 16 genera and seven
families, and blood samples from 656 wild-caught bats and 14 submitted bats from
14 genera and seven families. Evidence of ABLV infection was found in five of the
six families of bats occurring in Australia, and in three of the four Australian
states/territories surveyed, supporting the historic presence of the virus in
Australia. While the infection prevalence in the wild-caught cohort is evidently
low, the significantly higher infection prevalence in rescued bats in urban
settings represents a clear and present public health significance because of the
higher risk of human exposure.
PMID- 29785731
TI - Semantic future thinking and executive functions at age 4: The moderating role of
frontal brain electrical activity.
AB - Previous studies provide conflicting results regarding the relation between
future thinking and executive functioning during early childhood. Furthermore,
little is known of the neural mechanisms involved in future thinking during early
childhood. We examined the moderating role of frontal electroencephalogram (EEG)
activity on the relation between executive functioning and semantic future
thinking performance in a sample of 4-year-old children. Our results suggest that
frontal EEG moderates the relation between executive functioning and semantic
future thinking performance, but only for medium to high levels of frontal EEG
power values. These results provide emerging evidence regarding the role of both
executive functioning and frontal brain electrical activity on semantic future
thinking in 4-year-olds.
PMID- 29785732
TI - The Mental Representation of Human Action.
AB - Various theories of moral cognition posit that moral intuitions can be understood
as the output of a computational process performed over structured mental
representations of human action. We propose that action plan diagrams-"act trees"
can be a useful tool for theorists to succinctly and clearly present their
hypotheses about the information contained in these representations. We then
develop a methodology for using a series of linguistic probes to test the
theories embodied in the act trees. In Study 1, we validate the method by testing
a specific hypothesis (diagrammed by act trees) about how subjects are
representing two classic moral dilemmas and finding that the data support the
hypothesis. In Studies 2-4, we explore possible explanations for discrete and
surprising findings that our hypothesis did not predict. In Study 5, we apply the
method to a less well-studied case and show how new experiments generated by our
method can be used to settle debates about how actions are mentally represented.
In Study 6, we argue that our method captures the mental representation of human
action better than an alternative approach. A brief conclusion suggests that act
trees can be profitably used in various fields interested in complex
representations of human action, including law, philosophy, psychology,
linguistics, neuroscience, computer science, robotics, and artificial
intelligence.
PMID- 29785733
TI - Left Ventricular Strain Analysis Using Cardiac MRI in Patients Undergoing In
centre Nocturnal Hemodialysis.
AB - AIM: Intensified haemodialysis is associated with regression of left ventricular
(LV) mass. Compared to LV ejection fraction, LV strain allows more direct
assessment of LV function. We sought to assess the impact of in-centre nocturnal
haemodialysis (INHD) on global LV strain (radial, circumferential, and
longitudinal) and torsion by cardiac MRI (CMR). METHODS: In this prospective, 2
centre cohort study, 37 participants on conventional haemodialysis (CHD, 3-4
hours/session for 3 sessions/week) converted to INHD (7-8 hours/session for 3
sessions/week) and 30 participants continued CHD. Participants underwent CMR
using a standardized protocol and had biomarker measurements at baseline and 52
weeks. RESULTS: Among the 55 participants (mean age 55; 40% women) with complete
CMR data, those who converted to INHD had a significant improvement in their
global circumferential strain (GCS, p=0.025), while those continuing CHD did not
have any significant changes in LV strain. When the 2 groups were compared, there
was significant improvement in torsion. LV strains were significantly correlated
with each other, but not with troponin I, C-reactive protein, or brain
natriuretic protein (NT-proBNP), except for global longitudinal strain (GLS) with
troponin I (p=0.001) and NT-proBNP (p=0.038). CONCLUSION: Conversion to INHD was
associated with significant improvement in GCS over one year of study, although
comparisons with the CHD group were not significant. There was also a significant
decrease in torsion in the INHD group compared with CHD. Improvement in LV
regional function would support the notion that INHD has favourable effects on
both LV structure and function. This article is protected by copyright. All
rights reserved.
PMID- 29785735
TI - Pyelo-choledochal fistulation on intraoperative cholangiogram.
PMID- 29785734
TI - Biallelic BIRC3 inactivation in chronic lymphocytic leukaemia patients with 11q
deletion identifies a subgroup with very aggressive disease.
PMID- 29785736
TI - New connections between circadian rhythms, photosynthesis, and environmental
adaptation.
AB - This article comments on: Circadian rhythms are associated with variation in
photosystem II function and photoprotective mechanisms.
PMID- 29785737
TI - Clinical Proteomics: Closing the Gap from Discovery to Implementation.
AB - Clinical proteomics, the application of proteome analysis to serve a clinical
purpose, represents a major field in the area of proteome research. Over 1000
manuscripts on this topic are published each year, with numbers continuously
increasing. However, the anticipated outcome, the transformation of the reported
findings into improvements in patient management, is not immediately evident. In
this article, the value and validity of selected clinical proteomics findings are
investigated, and it is assessed how far implementation has progressed. A main
conclusion from this assessment is that to achieve implementation, well-powered
clinical studies are required in the appropriate population, addressing a
specific clinical need and with a clear context-of-use. Efforts toward
implementation, to be feasible, must be supported by the key players in science:
publishers and funders. The authors propose a change on objectives, from
additional discovery studies toward studies aiming at validation of the plethora
of potential biomarkers that have been described, to demonstrate practical value
of clinical proteomics. All elements required, potential biomarkers,
technologies, and bio-banked samples are available (based on today's literature),
hence a change in focus from discovery toward validation and application is not
only urgently necessary, but also possible based on resources available today.
PMID- 29785738
TI - Mass spectrometry for characterization of homologous piperidine alkaloids and
their activity as acetylcholinesterase inhibitors.
AB - RATIONALE: Piperidine alkaloids from Senna spectabilis constitute a rare class of
natural products with several biological activities. However, the absence of
chromophores makes their structural elucidation by conventional methods a great
challenge. In this context, mass spectrometry emerges as a powerful tool for
metabolomics studies. METHODS: The piperidine alkaloids (-)-cassine and (-)
spectaline and the semisynthetic derivatives (-)-3-O-acetylcassine and (-)-3-O
acetylspectaline were investigated by electrospray ionization tandem mass
spectrometry (ESI-MS/MS) in the positive mode and electron ionization mass
spectrometry (EI-MS). ESI fragmentation studies were performed with a quadrupole
time-of-flight instrument; N2 was used as collision gas. The acetylcholinesterase
inhibitory activity of the investigated compounds was evaluated by bioautography
and microplate screening assays. RESULTS: ESI-MS/MS and EI-MS provided valuable
and complementary information about the structure of the piperidine compounds.
Collision-induced dissociation experiments (MS/MS) revealed that neutral
elimination of water or acetic acid is the major fragmentation pathway, which
agrees with the stereochemistry proposed for (-)-cassine and (-)-spectaline and
the semisynthetic derivatives (-)-3-O-acetylcassine and (-)-3-O-acetylspectaline.
CONCLUSIONS: The ESI-MS/MS and EI-MS studies allowed us to propose fragmentation
mechanisms for piperidine alkaloids and derivatives. Therefore, mass spectrometry
is an important tool for characterizing the structure of these compounds and for
supporting further metabolomics studies.
PMID- 29785739
TI - In vitro formation of the Merkel cell-neurite complex in embryonic mouse whiskers
using organotypic co-cultures.
AB - A Merkel cell-neurite complex is a touch receptor composed of specialized
epithelial cells named Merkel cells and peripheral sensory nerves in the skin.
Merkel cells are found in touch-sensitive skin components including whisker
follicles. The nerve fibers that innervate Merkel cells of a whisker follicle
extend from the maxillary branch of the trigeminal ganglion. Whiskers as a
sensory organ attribute to the complicated architecture of the Merkel cell
neurite complex, and therefore it is intriguing how the structure is formed.
However, observing the dynamic process of the formation of a Merkel cell-neurite
complex in whiskers during embryonic development is still difficult. In this
study, we tried to develop an organotypic co-culture method of a whisker pad and
a trigeminal ganglion explant to form the Merkel cell-neurite complex in vitro.
We initially developed two distinct culture methods of a single whisker row and a
trigeminal ganglion explant, and then combined them. By dissecting and
cultivating a single row from a whisker pad, the morphogenesis of whisker
follicles could be observed under a microscope. After the co-cultivation of the
whisker row with a trigeminal ganglion explant, a Merkel cell-neurite complex
composed of Merkel cells, which were positive for both cytokeratin 8 and SOX2,
Neurofilament-H-positive trigeminal nerve fibers and Schwann cells expressing
Nestin, SOX2 and SOX10 was observed via immunohistochemical analyses. These
results suggest that the process for the formation of a Merkel cell-neurite
complex can be observed under a microscope using our organotypic co-culture
method.
PMID- 29785740
TI - Expression levels of breast cancer-related GAS5 and LSINCT5 lncRNAs in cancer
free breast tissue: Molecular associations with age at menarche and obesity.
AB - Long noncoding RNAs (lncRNAs) constitute a major class of the human transcriptome
which play crucial roles in the key biological processes of both normal and
malignant breast cells. Although the aberrant expression of lncRNAs has been well
documented in breast cancer (BC), little is currently known about the association
between their expression levels in the breast tissue of healthy women and BC risk
factors, especially the reproductive or demographic characteristics that are
among the most well-known BC risk modifiers. This study was an attempt to
investigate the correlation between the expression levels of 2 breast cancer
related lncRNAs, including GAS5 and LSINCT5, and reproductive and demographic
characteristics in 145 normal breast tissues that were obtained from women
without breast cancer undergoing cosmetic surgery. Total RNA was extracted from
fresh normal breast tissues, and the expression level of target lncRNAs was
quantified using real-time qPCR. Differences in the mean normalized gene
expression among the subgroups of different variables were analyzed. The
expression levels of both genes was lower in the overweight-obese (BMI >= 25)
subgroup than that in the normal BMI (BMI < 25) subgroup (GAS5 P = .019, LSINCT5
P = .036). Moreover, the expression level of GAS5 was negatively correlated with
BMI (r: -.170, P: .041). The expression level of GAS5 was higher in women with
late menarche (>13 years) than that with early menarche (<=13 years; P = .017).
These findings may assist to obtain insights into the molecular mechanisms
through which the reproductive or obesity-related estrogen changes contribute to
the breast carcinogenesis. In conclusion, this study presents the first evidence
for the presence of a link between the lncRNA expression and the reproductive or
obesity related factors in the breast tissue of healthy women.
PMID- 29785741
TI - Intergroup Contact Attitudes Across Peer Networks in School: Selection,
Influence, and Implications for Cross-Group Friendships.
AB - This study offers new insights into the power of peer networks for shaping
intergroup relations in a diverse school. Data were drawn from a longitudinal
study of sixth-eighth graders (N = 524; MageT1 = 11.87; 48% girls; 9% Asian
American/Pacific Islander, 28% African American, 13% Latino, 1% Native American,
31% White, 5% Other, and 11% Multiracial) in the Midwestern United States.
Students with more positive intergroup contact attitudes (ICA) were most likely
to be friends with similarly minded students. Students with more positive ICA
were less likely to select friends of the same race/ethnicity than those with
less positive ICA. Finally, students' ICA became more similar to their friends'
ICA over time. Results implicate school-level norms and contagion in students'
ICA.
PMID- 29785742
TI - Synthesis and Characterization of a New Bifunctionalized, Fluorescent, and
Amphiphilic Molecule for Recruiting SH-Containing Molecules to Membranes.
AB - This study describes the synthesis and characterization of an amphiphilic
construct intended to recruit SH-containing molecules to membranes. The construct
consists of 1) an aliphatic chain to enable anchoring within membranes, 2) a
maleimide moiety to react with the sulfhydryl group of a soluble (bio)molecule,
and 3) a fluorescence moiety to allow the construct to be followed by
fluorescence spectroscopy and microscopy. It is shown that the construct can be
incorporated into preformed membranes, thus allowing application of the approach
with biological membranes. The close proximity between the fluorophore and the
maleimide moiety within the construct causes fluorescence quenching. This allows
monitoring of the reaction with SH-containing molecules by measurement of
increases in fluorescence intensity and lifetime. Notably, the construct
distributes into laterally ordered membrane domains of lipid vesicles, which is
probably triggered by the length of its membrane anchor. The advantages of the
new construct can be employed for several biological, biotechnological, and
medicinal applications.
PMID- 29785743
TI - Four New Diterpenoid Alkaloids from the Roots of Aconitum carmichaelii.
AB - Aconitum carmichaelii Debeaux is a widely used traditional Chinese medicine and
an important source of clinical drugs, of which the parent and lateral roots are
known as 'Chuanwu' and 'Fuzi', respectively. Four new C19 -diterpenoid alkaloids,
carmichasines A - D (1 - 4), were isolated from the roots of Aconitum
carmichaelii, together with twelve known compounds (5 - 16). Their structures
were elucidated via spectroscopic analyses, including HR-ESI-MS, IR, and NMR.
Carmichasine A (1) is the first natural C19 -diterpenoid alkaloid possessing a
cyano group. Most of the diterpenoid alkaloids isolated were C19 -category, which
might provide further clues for understanding the chemotaxonomic significance of
this plant. The cytotoxicity of the new compounds was also investigated against
several human cancer cell lines, including MCF-7, HCT116, A549, and 786-0, and
none of them showed considerable cytotoxic activity.
PMID- 29785744
TI - Fumonisin B1 actuates oxidative stress-associated colonic damage via apoptosis
and autophagy activation in murine model.
AB - In the present study, we investigated the cytotoxic mechanism of Fumonisin B1
(FB1) in mice colonic region in a time course manner. Herein, after consecutive 4
days of exposure to FBI (2.5 mg/kg body weight), we observed disintegration of
mice colon, as evidenced by histopathological analysis. FB1 significantly
increased alanine aminotransferase, aspartate aminotransferase, and alkaline
phosphatase activities in serum and plasma, decreased ceramide level, increased
sphinganine level, and increased lipid peroxidase level along with the breakdown
of the antioxidant system. Further, FB1-induced ER stress caused apoptosis and
autophagy activation in mice colon, evidenced by increased expression of IRE1
alpha, p-JNK, Casp3, and LC3I/II. In addition, we also noticed a reduced protein
kinase C expression in mice colon exposed to FB1, suggesting its role in ER
stress-induced cell death. Taken together, study suggests both physiologically
and biochemically, FB1 toxicity to mice colon induced by oxidative stress
associated apoptosis and autophagy activation.
PMID- 29785745
TI - Use of proton pump inhibitors is associated with increased mortality due to
nosocomial pneumonia in bedridden patients receiving tube feeding.
AB - AIM: To investigate the association between the use of proton pump inhibitors
(PPI) and nosocomial pneumonia and gastrointestinal bleeding in bedridden
patients receiving tube feeding. METHODS: A total of 116 bedridden hospitalized
patients receiving tube feeding, of which 80 were supported by percutaneous
endoscopic gastrostomy and 36 by nasogastric tube, were included in the present
study. The patients were divided into two groups: 62 patients treated with PPI
(PPI group) and 54 patients without PPI (non-PPI group). Mortality due to
nosocomial pneumonia was evaluated using the Kaplan-Meier approach and the log
rank test. RESULTS: A total of 36 patients (31%) died of nosocomial pneumonia
during the observation period; the mortality rate due to nosocomial pneumonia was
significantly higher in the PPI group than in the non-PPI group (P = 0.0395). Cox
proportional hazard analysis showed that the use of PPI and lower levels of serum
albumin were independent predictors of 2-year mortality due to nosocomial
pneumonia. Gastrointestinal bleeding was observed in four patients in the non-PPI
group (7.7%) and in one patient in the PPI group (1.6%); there was no significant
difference between the two groups. CONCLUSION: The use of PPI in bedridden tube
fed patients was independently associated with mortality due to nosocomial
pneumonia, and the PPI group had a non-significant lower incidence of
gastrointestinal bleeding than the non-PPI group. Geriatr Gerontol Int 2018; 18:
1215-1218.
PMID- 29785746
TI - Systematic In-Depth Proteomic Analysis of Mitochondria-Associated Endoplasmic
Reticulum Membranes in Mouse and Human Testes.
AB - Mitochondria-associated endoplasmic reticulum membranes (MAMs) regulate important
cellular functions including calcium signaling, bioenergetics, and apoptosis
during neurodevelopment and carcinogenesis, but its function in male reproduction
and spermatogenesis remains enigmatic because the field lacks a complete
understanding of the proteome within testis MAMs. To better understand the
biological processes and molecular functions of MAM in testes, a global mass
spectrometry-based proteomic evaluation of MAM proteins from human and mouse
testes are reported here, respectively. The evaluation and analysis showed that
the components of MAM were highly conserved not only between different species
(human and mouse) but also between different tissues (testes and brains).
Bioinformatics interrogation of these MAM protein catalogues uncovered that 815
new potential linkages specifically existed in mouse testes compared with mouse
brains. In addition, a comparative analysis showed that 1347 proteins (account
for ~96.56%) were highly conservatively expressed in both human and mouse testis
MAMs. Furthermore, functional analysis revealed that testis-specific MAM proteins
were related to spermatogenesis, male gamete generation, as well as sexual
reproduction. The data identified, for the first time, numerous MAM proteins in
mouse and human testes, which provide a possibility to define the relationship
between testis MAM proteins and reproductive diseases.
PMID- 29785747
TI - Functional and clinical outcomes of patients aged younger and older than 85 years
after rehabilitation post-hip fracture surgery in a co-managed orthogeriatric
unit.
AB - AIM: Literature investigating rehabilitation outcomes after hip surgery among
individuals aged >=85 years is sparse. We compared the characteristics and
outcomes of patients aged under and over 85 years, and assessed factors
potentially associated with rehabilitation success as described by the Barthel
Index (BI). METHODS: From 2011 to 2014, we prospectively enrolled 328 patients (n
= 152 aged <85 years, n = 176 aged >=85 years) admitted to an orthogeriatric unit
(Sondrio, Italy) with a diagnosis of hip fracture requiring surgical treatment.
We excluded patients who were being treated conservatively. Outcomes included
absolute functional gain (AFG; BI at discharge - BI on admission), rehabilitation
effectiveness index (AFG / length of stay) and postoperative complications.
RESULTS: Older patients were more functionally (mean BI on admission: 11.7 +/-
9.6 vs 16.4 +/- 12.2, P < 0.001) and cognitively impaired than their younger
counterparts (34.1% vs 18.4%, P < 0.001). Surgery time (1.9 +/- 1.2 vs 2.3 +/-1.3
days, P = 0.008) and length of stay were shorter for older patients (5.7 +/- 2.1
vs 6.6 +/- 2.4 days, P < 0.001). There were no differences in terms of
complications. Patients aged <85 years showed better functional outcomes (BI,
AFG, REI) at discharge than patients aged >=85 years (mean AFG: 38.2 +/- 24.2 vs
26.1 +/- 22.0, P < 0.001). BI on admission (OR 1.05, 95% CI 1.02-1.08) and
cognitive impairment (OR 0.58, 95% CI 0.34-0.98) were independently associated
with rehabilitation outcomes, regardless of chronological age. CONCLUSIONS: Both
groups (aged <85 and >=85 years) showed a significant functional improvement at
discharge. Older patients show a residual ability to recover after surgery. A
high rehabilitation efficiency - regardless of age - should be pursued even for
the oldest old patients experiencing hip fracture. Geriatr Gerontol Int 2018; 18:
1194-1199.
PMID- 29785748
TI - The relation of respiratory sinus arrhythmia to later shyness: Moderation by
neighborhood quality.
AB - The purpose of the study was to predict young children's shyness from both
internal/biological (i.e., resting respiratory sinus arrhythmia; RSA) and
external (i.e., neighborhood quality) factors. Participants were 180 children at
42 (Time 1; T1), 72 (T2), and 84 (T3) months of age. RSA data were obtained at T1
during a neutral film in the laboratory. Mothers reported perceived neighborhood
quality at T2 and children's dispositional shyness at T1 and T3. Path analyses
indicated that resting RSA interacted with neighborhood quality to predict T3
shyness, even after controlling for earlier family income and T1 shyness.
Specifically, high levels of resting RSA predicted low levels of shyness in the
context of high neighborhood quality. When neighborhood quality was low, resting
RSA was positively related to later shyness. These findings indicate that
children's shyness is predicted by more than biological processes and that
consideration of the broader context is critical to understanding children's
social behavior.
PMID- 29785751
TI - The American Society for Preventive Cardiology.
PMID- 29785749
TI - Cardiac vagal regulation in infancy predicts executive function and social
competence in preschool: Indirect effects through language.
AB - Parasympathetic nervous system functioning in infancy may serve a foundational
role in the development of cognitive and socioemotional skills (Calkins, 2007).
In this study (N = 297), we investigated the potential indirect effects of
cardiac vagal regulation in infancy on children's executive functioning and
social competence in preschool via expressive and receptive language in
toddlerhood. Vagal regulation was assessed at 10 months during two attention
conditions (social, nonsocial) via task-related changes in respiratory sinus
arrhythmia (RSA). A path analysis revealed that decreased RSA from baseline in
the nonsocial condition and increased RSA in the social condition were related to
larger vocabularies in toddlerhood. Additionally, children's vocabulary sizes
were positively related to their executive function and social competence in
preschool. Indirect effects from vagal regulation in both contexts to both 4-year
outcomes were significant, suggesting that early advances in language may
represent a mechanism through which biological functioning in infancy impacts
social and cognitive functioning in childhood.
PMID- 29785750
TI - Cellulose membrane modified with polypyrrole as an extraction device for the
determination of emerging contaminants in river water with gas chromatography
mass spectrometry.
AB - In this study, a simple, efficient, and reusable device based on cellulose
membranes modified with polypyrrole was developed to extract 14 emerging
contaminants from aqueous matrices. For chemical polymerization, a low-cost
cellulose membrane was immersed in 0.1 mol/L pyrrole and 0.5 mol/L ammonium
persulfate for 40 min in an ice/water bath. The cellulose membranes modified with
polypyrrole were accommodated in a polycarbonate holder suitable for solid-phase
extraction disks. Solid-phase extraction parameters that affect extraction
efficiency, such as sample volume, pH, flow rate, and desorption were optimized.
Subsequently, determination of target compounds was performed by gas
chromatography with mass spectrometry. The linear range for analytes ranged from
0.05 to 500 MUg/L, with coefficients of determination above 0.990. The limits of
quantification varied between 0.05 and 10 MUg/L, with relative standard
deviations lower than 17%. The performance of the proposed cellulose membranes
modified with polypyrrole device for real samples was evaluated after extraction
of emerging contaminants from a river water sample from the city of Curitiba,
Brazil. Bisphenol A (6.39 MUg/L), caffeine (17.83 MUg/L), and paracetamol (19.28
MUg/L) were found in these samples.
PMID- 29785752
TI - Skin lesions over the pocket area that may mimic cardiac implantable electronic
device infection: A case series.
AB - BACKGROUND: The early and correct diagnosis of cardiac implantable electronic
device (CIED) infections is critical given that early aggressive treatment with
complete removal of the system along with antimicrobial therapy dramatically
improves outcomes. Pocket infection manifested by local signs of inflammation is
the most common form of CIED infections. Conditions mimicking pocket infection
have been described in the literature. These include various types of malignancy
and rarely allergic reactions/contact dermatitis to pacemaker compounds. We aimed
to describe skin lesions and disorders over the pocket area that mimic CIED
infection. METHODS: We present a series of five cases with skin lesions that
mimic pocket infection. We document these cases with corresponding photographs.
Most of them have not been described in this setting. RESULTS: We report the
following cases of conditions that proved not to be CIED infection: One case of
superficial cellulitis, one case of herpes zoster over the pocket area, one case
of spontaneous bruising over the pocket a long time after implantation in a
patient taking oral anticoagulation, and two cases of contact dermatitis due to
prolonged postoperative application of povidone-iodine. All cases had favorable
outcome after conservative treatment and no CIED infection was developed during
follow-up. CONCLUSION: Clinicians should be aware of rare conditions that mimic
CIED infection. Incorrect diagnosis of these disorders may falsely lead to CIED
extraction.
PMID- 29785753
TI - Relapsing polyisoprene glove allergic contact dermatitis: Another call for more
complete glove package label declaration.
PMID- 29785754
TI - Expression of ZO-1 and claudin-1 in a 3D epidermal equivalent using canine
progenitor epidermal keratinocytes.
AB - BACKGROUND: Previous studies indicate that tight junctions are involved in the
pathogenesis of canine atopic dermatitis (cAD). An in vitro skin model is needed
to elucidate the specific role of tight junctions in cAD. A 3D epidermal
equivalent model using canine progenitor epidermal keratinocytes (CPEK) has been
established; the expression of tight junctions within this model is
uncharacterized. HYPOTHESIS/OBJECTIVES: To investigate the expression of tight
junctions in the 3D epidermal equivalent. ANIMALS: Two normal laboratory beagle
dogs served as donors of full-thickness skin biopsy samples for comparison to the
in vitro model. METHODS: Immunohistochemical techniques were employed to
investigate the expression of tight junctions including zonula occludens (ZO)-1
and claudin-1 in normal canine skin, and in the CPEK 3D epidermal equivalent.
RESULTS: Results demonstrated the expression of ZO-1 and claudin-1 in the CPEK 3D
epidermal equivalent, with staining patterns that were similar to those in normal
canine skin. CONCLUSIONS AND CLINICAL IMPORTANCE: The CPEK 3D epidermal
equivalent has the potential to be a suitable in vitro research tool for
clarifying the specific role of tight junctions in cAD.
PMID- 29785756
TI - How do we move towards a personalised approach in the treatment of Early Hodgkin
lymphoma?
PMID- 29785755
TI - Energy resolved mass spectrometry of chlorogenic acids and its application to
isomer quantification by direct infusion tandem mass spectrometry.
AB - INTRODUCTION: With the advent of high-perfomance liquid chromatography (HPLC)
tandem mass spectrometry (MS) using ion trap mass analysers it is possible to
acquire unambigious structural information in particular with respect to aspects
of regiochemistry and stereochemistry of organic compounds present in complex
mixtures such as coffee extracts. However, HPLC-MS methods are resource
extensive, laborious and lacking user friendliness. OBJECTIVE: To introduce a
simple parameter - the energy threshhold for fragmentation - determined using
energy resolved MS and demonstrate its value for the complete structural
characterisation and even relative quantification of individual isomeric
chlrogenic acids in direct infusion experiments. METHODOLOGY: Monocaffeoyl and
dicaffeoyl quinic acids were investigated by direct infusion energy resolved mass
spectrometry (ER-MS) in negative in mode, using a quadrupole ion trap and
quadrupole time-of-flight (Q-TOF) mass spectrometer. Methanolic coffee extracts
were quantitatively investigated by HPLC-MS and direct infusion ER-MS. RESULTS:
Fragmentation occurs with retention of regiochemistry and regiochemistry of
fragment ions can be determined using ER-MS. Analysis of breakdown graphs allows
extraction of a single numerical parameter that allows assignment of
regiochemistry. Analysis of monocaffeoyl and dicaffeoyl quinic acids revealed
that regiosiomers could be distinguished and assigned based on their dissociation
energies in collisional induced activation. Furthermore relative quantification
of regioisomers by direct infusion ER-MS is possible within an error range of +/
10% if compared with a conventional quantitative LC-MS method. CONCLUSION: ER-MS
can be exploited in determining relative isomers quantities of chlorogenic acids
(CGAs) in crude plant extracts by direct infusion tandem MS omitting time and
resource intensive chromatographic separation.
PMID- 29785757
TI - A nursing solution to primary care delivery shortfall.
AB - Many countries project that they will have difficulty to meet their demand for
primary care based on an inadequate supply of primary care doctors. There are
many reasons for this, and they tend to vary by country. The policy options
available to these countries are to increase the number of local primary care
doctors, recruit doctors from other countries, ration primary care, shift more
primary care to specialists, or authorize other disciplines to provide primary
care. This article examines lessons learned in the United States over the past 50
years and proposes that expanding the use of nurse practitioners is the best
solution when measured by feasibility, costs, ethics, and scope of the care
delivered. Using nurse practitioners trained in country meets the World Health
Organization global code of practice regarding the international recruitment of
health personnel.
PMID- 29785758
TI - Undifferentiated embryonal sarcoma of liver in an adult with spontaneous rupture
and tumour thrombus in the right atrium.
PMID- 29785759
TI - Effect of 2.94 um Er: YAG laser on the chemical composition of hard tissues.
AB - The aim was to investigate the effect of the Er-YAG laser radiation on morphology
and chemical composition of enamel, dentin, and bone. The specimens of the three
groups were irradiated with a very long pulse mode (VLP) of 2.94 um Er-YAG laser
with 100 mJ pulse energy and energy density of 8.42 J/ cm2 for 30 s, at a
repetition rate of 15 Hz. The organic and inorganic content of the samples were
investigated by Fourier Transforms Infrared spectroscopy (FTIR). The
morphological characteristics were investigated with scanning electron microscopy
(SEM) and elemental analysis (calcium and phosphorus) with energy-dispersive X
ray spectroscopy (EDX). FTIR data were analyzed with a One-Way ANCOVA test and
EDX data with the independent sample t-test. Following the laser radiation, FTIR
showed a significant decrease in the organic content of all tissues. The weight
percentage (wt %) calcium content of dentin and bone increased significantly
following irradiation with a p-value of .002 for both tissues, but the wt % of
phosphorus content was not influenced significantly. The morphological
alterations expressed signs of fusion in all the samples.
PMID- 29785760
TI - Thyroid function in hidradenitis suppurativa: a population-based cross-sectional
study from Denmark.
AB - BACKGROUND: Hidradenitis suppurativa (HS) is a chronic inflammatory disease.
Numerous studies have associated HS with obesity, and recently with metabolic
syndrome (MetS). Both obesity and MetS are linked with metabolic changes. Thyroid
hormones play a central role in metabolism and exert pleiotropic effects on
adipogenesis and the basal metabolism of lipids and glucose. We hypothesized that
patients with HS have an altered or dysfunctional metabolism expressed as thyroid
function. AIM: To investigate thyroid function in individuals with HS compared
with healthy controls (HCs). METHODS: We conducted a retrospective comparative
cross-sectional study using blood samples and questionnaire-based self-reported
information to assess thyroid function. RESULTS: Our study comprised 430 patients
in a population-based HS group, and 20 780 HCs. The age/sex-adjusted analysis
showed a significantly lower level (P < 0.001) of thyroid-stimulating hormone
(TSH) and a significantly higher level (P < 0.0001) of total triiodothyronine
(tT3) for the HS compared with the HC group. The age/sex-adjusted analysis also
showed a significant association between clinical hyperthyroidism and HS (an OR =
1.91, 95% CI 1.19-3.07; P = 0.02). When this analysis was adjusted further for
the potential confounders of body mass index, smoking and oral contraception, the
results remained significant. CONCLUSION: This study suggests that HS is
associated with hyperthyroidism. Hyperthyroidism may indicate an altered or
dysfunctional metabolism.
PMID- 29785761
TI - A novel thyroid function index associated with opposite therapeutic outcomes in
advanced hepatocellular carcinoma patients receiving chemotherapy or sorafenib.
AB - AIM: A sustained proportion of advanced hepatocellular carcinoma (HCC) patients
worldwide received either chemotherapy or sorafenib. However, to date, effective
and convenient biomarkers to predict their therapeutic outcomes remained elusive.
Hypothyroidism was associated with favorable anticancer treatment outcomes in
several advanced cancers. Here, we aimed to investigate the potential of using
thyroid-stimulating hormone (TSH) and free T4 (FT4) levels as biomarkers to
predict clinical outcomes in HCC patients receiving chemotherapy or sorafenib.
METHODS: Total 123 advanced HCC patients at Barcelona Clinical Liver Cancer Stage
C were included. They were separated into two cohorts, one treated by sorafenib
(n = 62) and the other by chemotherapy (n = 61). Clinical data including TSH and
FT4 were retrieved and correlated with treatment outcomes. RESULTS: Because of
restriction in local insurance policy, the baseline liver function reserve was
better in patients receiving sorafenib. Therefore, the two cohorts were analyzed
separately. The results showed that a higher (> median) TSH * FT4 value was
independently associated with favorable time-to-tumor progression (P = 0.006) and
overall survival (P = 0.002) if chemotherapy was provided; whereas it was
associated with unfavorable time-to-tumor progression (P = 0.017) and overall
survival (P = 0.001) if sorafenib was administrated. These opposite associations
remained valid when patients with Child-Pugh class A liver function from either
cohort were included for analysis. CONCLUSION: A novel thyroid function index,
TSH * FT4, significantly predicted opposite clinical outcomes in advanced HCC
patients receiving sorafenib or chemotherapy treatment.
PMID- 29785762
TI - Special Award in Synthetic Organic Chemistry, Japan: K. Nakanishi / Nauta
Pharmacochemistry Award for Medicinal Chemistry and Chemical Biology: C. E.
Muller / UCB-Ehrlich Award for Excellence in Medicinal Chemistry: A. Nelson /
John C. Bailar Jr. Medal: J. F. Hartwig.
PMID- 29785763
TI - Is BDNF-Val66Met polymorphism associated with psychotic experiences and psychotic
disorder outcome? Evidence from a 6 years prospective population-based cohort
study.
AB - There is little research on genetic risk for the extended psychosis phenotype
ranging from psychotic experiences (PEs) to psychotic disorders (PDs). In this
general population-based prospective cohort study, the longitudinal associations
between BDNF-Val66Met polymorphism and the different levels of the extended
psychosis phenotype were investigated. Addresses were contacted in a multistage
clustered probability sampling frame covering 11 districts and 302 neighborhoods
at baseline (n = 4011). A nested case-control study (n = 366) recruited
individuals with PEs and PDs as well as individuals with no psychotic symptoms.
In this subgroup, blood sampling for genetic analysis and assessment of
environmental exposures were carried out, followed by clinical re-appraisal at
follow-up 6 years later (n = 254). The BDNF-Val66Met polymorphism was
significantly associated with the extended psychosis phenotype. The pattern of
the association was that the BDNF-Val66Met polymorphism impacted in a dose
response but extra-linear fashion, with stronger impact at the PD end of the
extended psychosis phenotype. Associations were still significant after adjusting
for sociodemographic factors and environmental exposures including life events,
childhood adversity, socioeconomic status, urbanicity, and cannabis use. The BDNF
Val66Met polymorphism may index susceptibility to expression of psychosis along a
spectrum.
PMID- 29785764
TI - The Effect of the 2009 USPSTF breast cancer screening recommendations on breast
cancer in Michigan: A longitudinal study.
AB - In 2009, the revised United States Preventive Services Task Force (USPSTF)
guidelines recommended against routine screening mammography for women age 40-49
years and against teaching self-breast examinations (SBE). The aim of this study
was to analyze whether breast cancer method of presentation changed following the
2009 USPSTF screening recommendations in a large Michigan cohort. Data were
collected on women with newly diagnosed stage 0-III breast cancer participating
in the Michigan Breast Oncology Quality Initiative (MiBOQI) registry at 25
statewide institutions from 2006 to 2015. Data included method of detection,
cancer stage, treatment type, and patient demographics. In all, 30 008 women with
breast cancer detected via mammogram or palpation with an average age of 60.1
years were included. 38% of invasive cancers were identified by palpation.
Presentation with palpable findings decreased slightly over time, from 34.6% in
2006 to 28.9% in 2015 (P < .001). Over the 9-year period, there was no
statistically significant change in rate of palpation-detected tumors for women
age <50 years or >=50 years (P = .27, .30, respectively). Younger women were more
likely to present with palpable tumors compared to older women in a statewide
registry. This rate did not increase following publication of the 2009 USPSTF
breast cancer screening recommendations.
PMID- 29785765
TI - Water Molecules Gating a Photoinduced One-Electron Two-Protons Transfer in a
Tyrosine/Histidine (Tyr/His) Model of Photosystem II.
AB - We investigate a biomimetic model of a TyrZ /His190 pair, a hydrogen-bonded
phenol/imidazole covalently attached to a porphyrin sensitizer. Laser flash
photolysis in the presence of an external electron acceptor reveals the need for
water molecules to unlock the light-induced oxidation of the phenol through an
intramolecular pathway. Kinetics monitoring encompasses two fast phases with
distinct spectral properties. The first phase is related to a one-electron
transfer from the phenol to the porphyrin radical cation coupled with a domino
two-proton transfer leading to the ejection of a proton from the imidazole-phenol
pair. The second phase concerns conveying the released proton to the porphyrin N4
coordinating cavity. Our study provides an unprecedented example of a light
induced electron-transfer process in a TyrZ /His190 model of photosystem II,
evidencing the movement of both the phenol and imidazole protons along an
isoenergetic pathway.
PMID- 29785767
TI - SLAMF7 (CD319/CS1) is expressed in plasmablastic lymphoma and is a potential
diagnostic marker and therapeutic target.
PMID- 29785768
TI - A blooming interest in the hydraulic traits of flowers.
AB - This article comments on: Water relations of Calycanthus flowers: Hydraulic
conductance, capacitance, and embolism resistance.
PMID- 29785766
TI - Schizophyllum commune: An unexploited source for lignocellulose degrading
enzymes.
AB - Lignocellulose represents the most abundant source of carbon in the Earth. Thus,
fraction technology of the biomass turns up as an emerging technology for the
development of biorefineries. Saccharification and fermentation processes require
the formulation of enzymatic cocktails or the development of microorganisms
(naturally or genetically modified) with the appropriate toolbox to produce a
cost-effective fermentation technology. Therefore, the search for microorganisms
capable of developing effective cellulose hydrolysis represents one of the main
challenges in this era. Schizophyllum commune is an edible agarical with a great
capability to secrete a myriad of hydrolytic enzymes such as xylanases and
endoglucanases that are expressed in a high range of substrates. In addition, a
large number of protein-coding genes for glycoside hydrolases, oxidoreductases
like laccases (Lacs; EC 1.10.3.2), as well as some sequences encoding for lytic
polysaccharide monooxygenases (LPMOs) and expansins-like proteins demonstrate the
potential of this fungus to be applied in different biotechnological process. In
this review, we focus on the enzymatic toolbox of S. commune at the genetic,
transcriptomic, and proteomic level, as well as the requirements to be employed
for fermentable sugars production in biorefineries. At the end the trend of its
use in patent registration is also reviewed.
PMID- 29785769
TI - Distinct Hypericum perforatum L. total extracts exert different antitumour
activity on erythroleukemic K562 cells.
AB - Total flower extracts of Hypericum perforatum L. obtained with 3 different
solvent systems were tested on tumour cell line cultures by comparing two groups
of plants harvested in different times and places. The extracts, characterized
according to the spectroscopic profile and the hypericin content, were tested on
the growth and apoptotic death of K562 cells, a human erythroleukemic cell line.
Growth and apoptosis were analysed by viable cell count, flow cytometry, and
fluorescence microscopy at 6, 24, and 48 hr of culture following 1 hr exposure to
the extracts under investigation. Here, we show that Hypericum extracts are able
to reduce the growth of K562 cells and induce different degrees and kinetics of
apoptosis according to the group of plants of origin. Also, we highlighted
interesting differences in terms of efficacy among the extracts, with some
samples losing their effectiveness along the culture time and others able to
maintain or even increase their efficacy. Furthermore, the data herein obtained
confirm the role of non hypericin compounds that are present in different
proportions in the two plant groups and in the extracts analysed.
PMID- 29785770
TI - Chemoprevention acceptance and adherence in women with high-risk breast lesions.
AB - Patients with atypical hyperplasia and lobular carcinoma in situ (LCIS) (atypical
breast lesions) are at high risk of developing breast cancer, and chemoprevention
has been shown to confer a substantial reduction in that risk. Despite this, the
overall rate of chemoprevention utilization in this group is low. This study
evaluates the efficacy of a formal individualized education and counseling
session on patient acceptance and adherence to chemoprevention. Patients with
atypical breast lesions having an individualized education and counseling session
in a single surgical oncology practice were prospectively entered into a database
from 2001 to 2016, and with IRB approval, their data were analyzed.
Chemoprevention recommendations, acceptance, duration of treatment, and side
effects were recorded. A total of 536 patients were included in this study. Mean
age at diagnosis was 52 years (range: 19-86 years). Chemoprevention was
recommended for 386 (72%) of whom 199 (52%) elected to take medication or
participate in a chemoprevention clinical trial. At the time of this writing, 72
patients had completed therapy, 69 were still in treatment, and 58 had stopped
chemoprevention prematurely. Approximately 55% of the women who accepted
chemoprevention in this study will complete 5 years of therapy. A formal
individualized education and counseling session can improve chemoprevention
acceptance and achieve a reasonable completion rate, thus reducing cancer
incidence in women with atypical breast lesions.
PMID- 29785771
TI - Safety and efficacy of apixaban for routine thromboprophylaxis in myeloma
patients treated with thalidomide- and lenalidomide-containing regimens.
PMID- 29785772
TI - Getting the picture: visual interpretation in ophthalmology residency training.
AB - OBJECTIVES: Visual interpretation is essential in many fields of health care.
Although diagnostic competency can be measured as an educational outcome, few
accounts have addressed tacit aspects of visual interpretation in clinical
training; these include the disciplining of the trainee's attentions and the
trainee's acculturation into expected styles of communicating visual
interpretations to others. This paper describes values and dispositions that are
taught to ophthalmology trainees as they learn to reason through visual
information, and explores how these qualities are evaluated during residency
training. METHODS: The project was based on 6 months of ethnographic participant
observation and interviews in an ophthalmology residency programme. Observational
notes and interview transcripts pertaining to visual interpretation were isolated
for qualitative analysis in the tradition of sociocultural anthropology, guided
by literature on communication in medical education and the socialisation of
health professionals. RESULTS: Residents and faculty members identified visual
interpretation as one of the most challenging skills expected of ophthalmology
trainees. They expressed a belief that 'systematic' approaches, where visual
information is parsed in a stepwise fashion, reduce the chance of trainees
overlooking or misinterpreting key diagnostic features. This sensory discipline
was represented in narrative form when faculty members asked residents to
interpret images aloud, as residents were expected to follow prescribed sequences
for describing the content of images before commenting on possible diagnoses.
CONCLUSIONS: Sensory processing is ordinarily opaque to outside observers, but
the ritual of describing images in highly regimented narratives allows residents
to demonstrate how they gather and reason through visual information. The form of
these narratives reflects values that residents are expected to embody during
their training, such as being thorough and methodical; it may also serve a
pedagogical function by entrenching those values. Further research is needed to
characterise how the performance of speech genres shapes the interpretive skills
of medical trainees.
PMID- 29785775
TI - Somatic hematopoietic TP53 mosaicism in women with breast cancer exposed to
ionizing radiation.
PMID- 29785774
TI - Thymol, thyme, and other plant sources: Health and potential uses.
AB - Thymol is a naturally occurring phenol monoterpene derivative of cymene and
isomer of carvacrol. Thymol (10-64%) is one of the major constituent of essential
oils of thyme (Thymus vulgaris L., Lamiaceae), a medicinal plant with several
therapeutic properties. This plant, native to Mediterranean regions, is commonly
used as a culinary herb and also with a long history of use for different
medicinal purposes. Nowadays, thymol and thyme present a wide range of functional
possibilities in pharmacy, food, and cosmetic industry. The interest in the
formulation of pharmaceuticals, nutraceuticals, and cosmeceuticals based on
thymol is due to several studies that have evaluated the potential therapeutic
uses of this compound for the treatment of disorders affecting the respiratory,
nervous, and cardiovascular systems. Moreover, this compound also exhibits
antimicrobial, antioxidant, anticarcinogenesis, anti-inflammatory, and
antispasmodic activities, as well as a potential as a growth enhancer and
immunomodulator. In the present review, these bioactivities have been covered
because some of them can contribute to explain the ethnopharmacology of thymol
and its main source, T. vulgaris. Other important aspects about thymol are
discussed: its toxicity and bioavailability, metabolism, and distribution in
animals and humans.
PMID- 29785773
TI - Molecular Tension Probes to Investigate the Mechanopharmacology of Single Cells:
A Step toward Personalized Mechanomedicine.
AB - Given that dysregulation of mechanics contributes to diseases ranging from cancer
metastasis to lung disease, it is important to develop methods for screening the
efficacy of drugs that target cellular forces. Here, nanoparticle-based tension
sensors are used to quantify the mechanical response of individual cells upon
drug treatment. As a proof-of-concept, the activity of bronchodilators is tested
on human airway smooth muscle cells derived from seven donors, four of which are
asthmatic. It is revealed that airway smooth muscle cells isolated from asthmatic
donors exhibit greater traction forces compared to the control donors.
Additionally, the mechanical signal is abolished using myosin inhibitors or
further enhanced in the presence of inflammatory inducers, such as nicotine.
Using the signal generated by the probes, single-cell dose-response measurements
are performed to determine the "mechano" effective concentration (mechano-EC50 )
of albuterol, a bronchodilator, which reduces integrin forces by 50%. Mechano
EC50 values for each donor present discrete readings that are differentially
enhanced as a function of nicotine treatment. Importantly, donor mechano-EC50
values varied by orders of magnitude, suggesting significant variability in their
sensitivity to nicotine and albuterol treatment. To the best of the authors'
knowledge, this is the first study harnessing a piconewton tension sensor
platform for mechanopharmacology.
PMID- 29785776
TI - The Glasgow prognostic score at diagnosis is an independent predictor of survival
in advanced stage classical Hodgkin lymphoma.
PMID- 29785778
TI - Stakeholder identities in Britain's neoliberal ethical community: Polish
narratives of earned citizenship in the context of the UK's EU referendum.
AB - This article examines the narrative strategies through which Polish migrants in
the UK challenge the formal rights of political membership and attempt to
redefine the boundaries of 'citizenship' along notions of deservedness. The
analysed qualitative data originate from an online survey conducted in the months
before the 2016 EU referendum, and the narratives emerge from the open-text
answers to two survey questions concerning attitudes towards the referendum and
the exclusion of resident EU nationals from the electoral process. The analysis
identifies and describes three narrative strategies in reaction to the public
discourses surrounding the EU referendum - namely discursive complicity,
intergroup hostility and defensive assertiveness - which attempt to redefine the
conditions of membership in Britain's 'ethical community' in respect to welfare
practices. Examining these processes simultaneously 'from below' and 'from
outside' the national political community, the paper argues, can reveal more of
the transformation taking place in conceptions of citizenship at the sociological
level, and the article aims to identify the contours of a 'neoliberal
communitarian citizenship' as internalized by mobile EU citizens.
PMID- 29785779
TI - Use of silicone gel to enhance skin wound healing by secondary intention
following tumour excision on the scalp and extremities.
PMID- 29785777
TI - Paradoxical effect of baclofen on social behavior in the fragile X syndrome mouse
model.
AB - INTRODUCTION: Fragile X syndrome (FXS) is a common monogenetic cause of
intellectual disability, autism spectrum features, and a broad range of other
psychiatric and medical problems. FXS is caused by the lack of the fragile X
mental retardation protein (FMRP), a translational regulator of specific mRNAs at
the postsynaptic compartment. The absence of FMRP leads to aberrant synaptic
plasticity, which is believed to be caused by an imbalance in excitatory and
inhibitory network functioning of the synapse. Evidence from studies in mice
demonstrates that GABA, the major inhibitory neurotransmitter in the brain, and
its receptors, is involved in the pathogenesis of FXS. Moreover, several FXS
phenotypes, including social behavior deficits, could be corrected in Fmr1 KO
mice after acute treatment with GABAB agonists. METHODS: As FXS would probably
require a lifelong treatment, we investigated the effect of chronic treatment
with the GABAB agonist baclofen on social behavior in Fmr1 KO mice on two
behavioral paradigms for social behavior: the automated tube test and the three
chamber sociability test. RESULTS: Unexpectedly, chronic baclofen treatment
resulted in worsening of the FXS phenotypes in these behavior tests. Strikingly,
baclofen treatment also affected wild-type animals in both behavioral tests,
inducing a phenotype similar to that of untreated Fmr1 KO mice. CONCLUSION:
Altogether, the disappointing results of recent clinical trials with the R
baclofen enantiomer arbaclofen and our current results indicate that baclofen
should be reconsidered and further evaluated before its application in targeted
treatment for FXS.
PMID- 29785780
TI - Electrochemical Reduction of Carbon Dioxide to Methanol on Hierarchical Pd/SnO2
Nanosheets with Abundant Pd-O-Sn Interfaces.
AB - Electrochemical conversion of CO2 into fuels using electricity generated from
renewable sources helps to create an artificial carbon cycle. However, the low
efficiency and poor stability hinder the practical use of most conventional
electrocatalysts. In this work, a 2D hierarchical Pd/SnO2 structure, ultrathin Pd
nanosheets partially capped by SnO2 nanoparticles, is designed to enable multi
electron transfer for selective electroreduction of CO2 into CH3 OH. Such a
structure design not only enhances the adsorption of CO2 on SnO2 , but also
weakens the binding strength of CO on Pd due to the as-built Pd-O-Sn interfaces,
which is demonstrated to be critical to improve the electrocatalytic selectivity
and stability of Pd catalysts. This work provides a new strategy to improve
electrochemical performance of metal-based catalysts by creating metal oxide
interfaces for selective electroreduction of CO2 .
PMID- 29785781
TI - Schisantherin A protects renal tubular epithelial cells from
hypoxia/reoxygenation injury through the activation of PI3K/Akt signaling
pathway.
AB - Schisantherin A (SchA), a dibenzocyclooctadiene lignan isolated from the fruit of
Schisandra sphenanthera, was reported to possess anti-inflammatory and
antioxidant activities. However, its protective effect against renal ischemia
reperfusion (I/R) injury in human renal tubular epithelial cells subjected to
hypoxia/reoxygenation (H/R) has never been studied. Thus, herein, we investigated
the effect of SchA on renal I/R injury in vitro. Our results demonstrated that
SchA pretreatment significantly improved HK-2 cell viability exposed to H/R.
Pretreatment with SchA markedly inhibited the levels of reactive oxygen species
and malondialdehyde, as well as suppressed the production of tumor necrosis
factor-alpha (TNF-alpha), interleukin-1beta, and interleukin-6 in H/R-stimulated
HK-2 cells. In addition, SchA also suppressed H/R-induced HK-2 cell apoptosis.
Furthermore, this protective effect of SchA was mediated through the PI3K/Akt
signaling pathway in HK-2 cells. These findings showed that SchA may exert a
protective effect on renal tubular epithelial cells against H/R injury through
the activation of PI3K/Akt signaling pathway.
PMID- 29785782
TI - Path analysis of caregiver characteristics and neuropsychiatric symptoms in
Alzheimer's disease patients.
AB - AIM: To determine the direct and indirect relationships of sociodemographic,
emotional and behavioral characteristics of family caregivers of Alzheimer's
disease patients who have neuropsychiatric symptoms. METHODS: A total of 134
family caregivers answered a questionnaire with sociodemographic data, and
questions regarding the context of care, Neuropsychiatric Inventory, Zarit Burden
Interview, Dementia Management Strategies Scale and the Beck Depression
Inventory. A path analysis was used to model the direct and indirect
relationships among the selected variables. RESULTS: Being a female caregiver,
presenting high levels of burden, a moderate degree of depressive symptoms,
distress (Neuropsychiatric Inventory total distress score), active management
strategies and criticism management strategies were significantly associated with
the total score of neuropsychiatric symptoms. The caregiver burden and use of
criticism management strategies were directly related to neuropsychiatric
symptoms in older adults with Alzheimer's disease. The use of active management
strategies and depressive symptoms of caregivers had indirect relationships with
neuropsychiatric symptoms through caregiver burden. CONCLUSIONS: Overburdened
caregivers and the use of dysfunctional management strategies are associated with
a greater presence of neuropsychiatric symptoms in Alzheimer's disease patients.
These aspects should be considered when developing interventions for caregivers
to manage neuropsychiatric symptoms and overall treatment of patients with
dementia. Geriatr Gerontol Int 2018; 18: 1177-1182.
PMID- 29785783
TI - Silica-gelatin hybrid sol-gel coatings: A proteomic study with biocompatibility
implications.
AB - Osseointegration, including the foreign body reaction to biomaterials, is an
immune-modulated, multifactorial, and complex healing process in which various
cells and mediators are involved. The buildup of the osseointegration process is
immunological and inflammation-driven, often triggered by the adsorption of
proteins on the surfaces of the biomaterials and complement activation. New
strategies for improving osseointegration use coatings as vehicles for osteogenic
biomolecules delivery from implants. Natural polymers, such as gelatin, can mimic
Collagen I and enhance the biocompatibility of a material. In this experimental
study, two different base sol-gel formulations and their combination with gelatin
were applied as coatings on sandblasted, acid-etched titanium substrates, and
their biological potential as osteogenic biomaterials was tested. We examined the
proteins adsorbed onto each surface and their in vitro and in vivo effects. In
vitro results showed an improvement in cell proliferation and mineralization in
gelatin-containing samples. In vivo testing showed the presence of a looser
connective tissue layer in those coatings with substantially more complement
activation proteins adsorbed, especially those containing gelatin. Vitronectin
and FETUA, proteins associated with mineralization process, were significantly
more adsorbed in gelatin coatings.
PMID- 29785784
TI - Enantioselective micellar electrokinetic chromatography of dl-amino acids using
(+)-1-(9-fluorenyl)-ethyl chloroformate derivatization and UV-induced
fluorescence detection.
AB - Chiral analysis of dl-amino acids was achieved by micellar electrokinetic
chromatography coupled with UV-excited fluorescence detection. The fluorescent
reagent (+)-1-(9-fluorenyl)ethyl chloroformate was employed as chiral amino acid
derivatizing agent and sodium dodecyl sulfate served as pseudo-stationary phase
for separating the formed amino acid diastereomers. Sensitive analysis of (+)-1
(9-fluorenyl)ethyl chloroformate-amino acids was achieved applying a xenon
mercury lamp for ultraviolet excitation, and a spectrograph and charge-coupled
device for wavelength-resolved emission detection. Applying signal integration
over a 30 nm emission wavelength interval, signal-to-noise ratios for derivatized
amino acids were up to 23 times higher as obtained using a standard
photomultiplier for detection. The background electrolyte composition
(electrolyte, pH, sodium dodecyl sulfate concentration, and organic solvent) was
studied in order to attain optimal chemo- and enantioseparation.
Enantioseparation of 12 proteinogenic dl-amino acids was achieved with chiral
resolutions between 1.2 and 7.9, and detection limits for most derivatized amino
acids in the 13-60 nM range (injected concentration). Linearity (coefficients of
determination > 0.985) and peak-area and migration-time repeatabilities (relative
standard deviations lower than 2.6 and 1.9%, respectively) were satisfactory. The
employed fluorescence detection system provided up to 100-times better signal-to
noise ratios for (+)-1-(9-fluorenyl)ethyl chloroformate-amino acids than
ultraviolet absorbance detection, showing good potential for d-amino acid
analysis.
PMID- 29785785
TI - Metabolism within the tumor microenvironment and its implication on cancer
progression: An ongoing therapeutic target.
AB - Since reprogramming energy metabolism is considered a new hallmark of cancer,
tumor metabolism is again in the spotlight of cancer research. Many studies have
been carried out and many possible therapies have been developed in the last
years. However, tumor cells are not alone. A series of extracellular components
and stromal cells, such as endothelial cells, cancer-associated fibroblasts,
tumor-associated macrophages, and tumor-infiltrating T cells, surround tumor
cells in the so-called tumor microenvironment (TME). Metabolic features of these
cells are being studied in deep in order to find relationships between metabolism
within the TME and tumor progression. Moreover, it cannot be forgotten that tumor
growth is able to modulate host metabolism and homeostasis, so that TME is not
the whole story. Importantly, the metabolic switch in cancer is just a
consequence of the flexibility and adaptability of metabolism and should not be
surprising. Treatments of cancer patients with combined therapies including
antitumor agents with those targeting stromal cell metabolism, antiangiogenic
drugs, and/or immunotherapy are being developed as promising therapeutics.
PMID- 29785787
TI - Expanding Systems Thinking: Incorporating Tools from Medical Sociology into MFT
Education and Research.
AB - The field of marriage and family therapy has historically focused on dynamics
within family systems, and at times the role of social and cultural factors
external to the family. To date, however, little scholarship has examined how
therapists themselves are embedded within a mental healthcare system. The purpose
of this article is to demonstrate how structural components of the mental
healthcare system shape the practice of therapy. We draw from the field of
medical sociology to illustrate how three dominant structures-managed care,
diagnosis, and evidence-based models-are intertwining and mutually reinforcing
systems that have significant and long-term implications for systemic therapists
and researchers. We recommend incorporating a sociological understanding of such
structures into MFT education and research.
PMID- 29785786
TI - Aberrant chordae of the mitral valve anterior leaflet mimicking a fibroelastoma.
PMID- 29785788
TI - Effects of levosimendan on mortality in patients undergoing cardiac surgery: A
systematic review and meta-analysis.
AB - PURPOSE: We sought to determine the impact of levosimendan on mortality following
cardiac surgery based on large-scale randomized controlled trials (RCTs).
METHODS: We searched PubMed, Web of Science, Cochrane databases, and
ClinicalTrials.gov for RCTs published up to December 2017, on levosimendan for
patients undergoing cardiac surgery. RESULTS: A total of 25 RCTs enrolling 2960
patients met the inclusion criteria; data from 15 placebo-controlled randomized
trials were included for meta-analysis. Pooled analysis showed that the all-cause
mortality rate was 6.4% (71 of 1106) in the levosimendan group and 8.4% (93 of
1108) in the placebo group (odds ratio [OR], 0.76; 95% confidence interval [CI],
0.55-1.04; P = 0.09). There were no significant differences between the two
groups in the rates of myocardial infarction (OR: 0.91; 95% CI, 0.68-1.21; P =
0.52), serious adverse events (OR: 0.84; 95% CI, 0.66-1.07; P = 0.17),
hypotension (OR: 1.69; 95% CI, 0.94-3.03; P = 0.08), and low cardiac output
syndrome (OR: 0.47; 95% CI, 0.22-1.02; P = 0.05). CONCLUSION: Levosimendan did
not result in a reduction in mortality in adult cardiac surgery patients. Well
designed, adequately powered, multicenter trials are necessary to determine the
role of levosimendan in adult cardiac surgery.
PMID- 29785789
TI - American College of Cardiology 2018.
AB - Ann M. Carracher, Payal H. Marathe, and Kelly L. Close are of Close Concerns
(http://www.closeconcerns.com), a healthcare information company focused
exclusively on diabetes and obesity care. Close Concerns publishes Closer Look, a
periodical that brings together news and insights in these areas. Each month, the
Journal of Diabetes includes this News feature, in which Carracher, Marathe, and
Close review the latest developments relevant to researchers and clinicians.
PMID- 29785791
TI - Cetearyl isononanoate, an underestimated contact allergen?
PMID- 29785792
TI - Gap-Mode Surface-Plasmon-Enhanced Photoluminescence and Photoresponse of MoS2.
AB - 2D materials hold great potential for designing novel electronic and
optoelectronic devices. However, 2D material can only absorb limited incident
light. As a representative 2D semiconductor, monolayer MoS2 can only absorb up to
10% of the incident light in the visible, which is not sufficient to achieve a
high optical-to-electrical conversion efficiency. To overcome this shortcoming, a
"gap-mode" plasmon-enhanced monolayer MoS2 fluorescent emitter and photodetector
is designed by squeezing the light-field into Ag shell-isolated nanoparticles-Au
film gap, where the confined electromagnetic field can interact with monolayer
MoS2 . With this gap-mode plasmon-enhanced configuration, a 110-fold enhancement
of photoluminescence intensity is achieved, exceeding values reached by other
plasmon-enhanced MoS2 fluorescent emitters. In addition, a gap-mode plasmon
enhanced monolayer MoS2 photodetector with an 880% enhancement in photocurrent
and a responsivity of 287.5 A W-1 is demonstrated, exceeding previously reported
plasmon-enhanced monolayer MoS2 photodetectors.
PMID- 29785794
TI - The Development of Nonanatomic Denture Occlusion: Part IV.
AB - This fourth and final part of a four-part series concerned with the development
of nonanatomic denture occlusion follows nonanatomic tooth design from the time
of Victor Sears' first nonanatomic tooth patent through the end of the 20th
century. Part IV concentrates on nonanatomic teeth developed during the last five
decades of the 20th century. Many of the designs featured in this series
exhibited genius, while others are pure nonsense. Sears claimed that a new
nonanatomic tooth design appeared every 9 months on average during the first 30
years of nonanatomic tooth production. Many current nonanatomic tooth patents are
further refinements of those earlier designs.
PMID- 29785790
TI - The role of miRNA regulation in fetal cardiomyocytes, cardiac maturation and the
risk of heart disease in adults.
AB - Myocardial infarction is a primary contributor towards the global burden of
cardiovascular disease. Rather than repairing the existing damage of myocardial
infarction, current treatments only address the symptoms of the disease and
reducing the risk of a secondary infarction. Cardiac regenerative capacity is
dependent on cardiomyocyte proliferation, which concludes soon after birth in
humans and precocial species such as sheep. Human fetal cardiac tissue has some
ability to repair following tissue damage, whereas a fully matured human heart
has minimal capacity for cellular regeneration. This is in contrast to neonatal
mice and adult zebrafish hearts, which retain the ability to undergo
cardiomyocyte proliferation and can regenerate cardiac tissue after birth. In
mice and zebrafish models, microRNAs (miRNAs) have been implicated in the
regulation of genes involved in cardiac cell cycle progression and regeneration.
However, the significance of miRNA regulation in cardiomyocyte proliferation for
humans and other large mammals, where the timing of heart development in relation
to birth is similar, remains unclear. miRNAs may be valuable targets for
therapies that promote cardiac repair after injury. Therefore, elucidating the
role of specific miRNAs in large animals, where heart development closely
resembles that of humans, remains vitally important for identifying therapeutic
targets that may be translated into clinical practice focused on tissue repair.
PMID- 29785793
TI - Outcome of fetuses with congenital parvovirus B19 infection: systematic review
and meta-analysis.
AB - OBJECTIVE: To explore the outcome of fetuses affected by congenital parvovirus
B19 (PB19) infection, with or without signs of hydrops on ultrasound. METHODS:
PubMed, EMBASE and CINAHL databases were searched for studies reporting on
prenatal diagnosis and outcome of fetal PB19 infection. The outcomes explored
were miscarriage, perinatal death (PND), intrauterine death, neonatal death,
spontaneous resolution of hydrops or fetal anemia, need for intrauterine
transfusion (IUT), resolution of hydrops or anemia after transfusion, fetal loss
following transfusion, abnormal brain scan after birth and abnormal
neurodevelopmental outcome. Outcomes were reported according to the presence or
absence of signs of hydrops on ultrasound. A subgroup analysis was performed
including hydropic and non-hydropic fetuses diagnosed at < 20 weeks and >= 20
weeks of gestation. Meta-analyses of proportions and meta-analyses using
individual-data random-effects logistic regression were performed to analyze the
data. RESULTS: Thirty-five observational studies were included, involving 611
fetuses affected by PB19 infection. The risks of miscarriage (odds ratio (OR),
11.5; 95% CI, 2.7-49.7) and PND (OR, 4.2; 95% CI, 1.6-11.0) were higher in
fetuses with PB19 infection presenting, compared with those not presenting, signs
of hydrops on ultrasound. In fetuses affected by hydrops, spontaneous resolution
of the infection, defined as disappearance of hydrops without need for IUT,
occurred in 5.2% (95% CI, 2.5-8.8%) of cases whereas, in the group of fetuses not
affected by hydrops, infection resolved in 49.6% (95% CI, 20.7-78.6%) of cases.
IUT was performed in 78.7% (95% CI, 66.4-88.8%) of hydropic and in 29.6% (95% CI,
6.0-61.6%) of non-hydropic fetuses affected by congenital PB19 infection and
resolution of the infection after IUT occurred in 55.1% (95% CI, 34.0-75.3%) and
in 100% (95% CI, 57.3-100%) of cases, respectively. The risk of fetal loss after
IUT was higher in fetuses affected compared with those not affected by hydrops
(OR, 9.8; 95% CI, 2.8-34.6). The prevalence of abnormal brain imaging was 9.8%
(95% CI, 2.5-21.0%) in fetuses affected and 0.0% (95% CI, 0.0-7.0%) in those not
affected by hydrops, whilst the corresponding figures for abnormal
neurodevelopmental outcome were 9.5% (95% CI, 2.6-20.2) and 0.0% (95% CI, 0.0
7.5), respectively; however, statistical power to assess these outcomes was
inadequate due to the small number of included cases. CONCLUSIONS: Hydrops is the
main determinant of mortality and adverse perinatal outcome in fetuses with PB19
infection. Perinatal outcome in non-hydropic fetuses is generally favorable.
Copyright (c) 2018 ISUOG. Published by John Wiley & Sons Ltd.
PMID- 29785795
TI - Isolation and Characterization of Crystalline, Neutral Diborane(4) Radicals.
AB - Diaryldihalodiboranes(4) were reacted with bis(amidinato)- and
bis(guanidinato)silylenes to generate the first neutral diborane-centered
radicals. These formally non-aromatic 5pi electron systems are stable in the
solid state as well as in solution and were characterized by solid-state
structure determination, high-resolution mass spectrometry, and EPR spectroscopy.
The reactivity of one of these radicals with the oxidant 1,4-benzoquinone led to
ring-opening and B-O bond formation.
PMID- 29785796
TI - Recent advances in understanding inheritance of holoprosencephaly.
AB - Holoprosencephaly (HPE) is a complex genetic disorder of the developing forebrain
characterized by high phenotypic and genetic heterogeneity. HPE was initially
defined as an autosomal dominant disease, but recent research has shown that its
mode of transmission is more complex. The past decade has witnessed rapid
development of novel genetic technologies and significant progresses in clinical
studies of HPE. In this review, we recapitulate genetic epidemiological studies
of the largest European HPE cohort and summarize the novel genetic discoveries of
HPE based on recently developed diagnostic methods. Our main purpose is to
present different inheritance patterns that exist for HPE with a particular
emphasis on oligogenic inheritance and its implications in genetic counseling.
PMID- 29785797
TI - Relationship Between Handgrip Strength and Pulmonary Function in Apparently
Healthy Older Women.
AB - OBJECTIVES: To investigate the relationship between handgrip strength and
pulmonary function. DESIGN: Cross-sectional study of a representative sample of
older Korean women. SETTING: The Korean National Health and Nutrition Examination
Survey. PARTICIPANTS: Community-dwelling women aged 65 and older without chronic
diseases or pulmonary disease (N=605). MEASUREMENTS: Handgrip strength was
measured using a digital hand dynamometer, and pulmonary function was tested
according to guidelines of the American Thoracic Society/European Respiratory
Society using a spirometry system. Impaired pulmonary function was defined as a
lower limit of normal (LLN) or less of forced vital capacity (FVC) and forced
expiratory volume in 1 second (FEV1). Odds ratios (ORs) and 95% confidence
intervals (CIs) for impaired pulmonary function according to handgrip strength
quartile were calculated using multiple logistic regression analysis. RESULTS:
Mean FVC and FEV1 gradually increased in accordance with handgrip strength
quartiles (all P <.001). After adjusting for age, body mass index, smoking
status, alcohol ingestion, aerobic physical activity, resistance exercise,
household income, and education level the odds of impaired pulmonary function
were greater for participants in the first quartile of handgrip strength (<=19.25
kg) than for those in the fourth quartile (25.31-37.30 kg) (FVC LLN: OR=3.46, 95
% CI=1.52-7.88; FEV1 LLN: OR=2.62, 95 % CI=1.12-6.15). CONCLUSION: Handgrip
strength was positively associated with pulmonary function in a dose-dependent
manner. Given the health implications of pulmonary function, timely detection of
weaker handgrip strength in older people may be useful in assessing potential
pulmonary function impairment.
PMID- 29785798
TI - Parent-mediated intervention training delivered remotely for children with autism
spectrum disorder (ASD) has preliminary evidence for parent intervention fidelity
and improving parent knowledge and children's social behaviour and communication
skills.
PMID- 29785800
TI - Organizational models for health actions in the field of blood and blood products
in Brazilian and Spanish regional contexts.
AB - BACKGROUND AND OBJECTIVES: This article aims to characterize some elements that
define the organizational models of healthcare actions in the field of blood and
blood products. MATERIALS AND METHODS: This exploratory and qualitative study was
carried out based on a documentary survey complemented by interviews with key
informants of hemotherapy services from three regional territories in Spain and
Brazil. RESULTS: It was evidenced demographic, territorial and organizational
characteristics, as well as on instruments, resources and individuals involved in
the planning, organization and implementation of services and actions in the
area. DISCUSSION: The demographic characteristics and the territorial
distribution showed a direct relation with the variation in the blood supply
system, in the service structure and in the involvement of different individuals.
The predominance of hospital services was a common feature in the territories
studied, especially for transfusion services. Regionalization, centralization and
concentration strategies were aimed at implementing the organization models of
such services and actions in the territories. The use of planning and information
technologies presents variation in the territories studied. CONCLUSION: The
limits of organizational models are determined by the context and restriction of
its constituent elements - structure, resources, financing, individuals involved
which compromise to some degree the objectives/purposes of the policy.
PMID- 29785801
TI - Gold surface plasmon crystal structure based-on polystyrene template for
biosensor application.
AB - In this communication, we assembled ordered polystyrene (PS) microsphere array as
a template with the drop-coating method, and the oxygen plasma was used to etch
the template to adjust the spacing between the PS microspheres. Nano-triangular
gold array and silver nano-pyramid array were obtained by ion beam sputtering to
deposit precious metal gold and silver. We observed the surface morphology of Au
and Au/Ag composite films by scanning electron microscope and characterized the
films by X-ray diffraction and ultraviolet/visible light spectrophotometer. The
results show that the etching time of oxygen plasma has an obvious effect in
adjusting the spacing between PSs and has a significant effect on the morphology
of Au structure.
PMID- 29785799
TI - The development of consistency and flexibility in manual pointing during middle
childhood.
AB - Goal-directed actions become truly functional and skilled when they are
consistent yet flexible. In manual pointing, end-effector consistency is
characterized by the end position of the index fingertip, whereas flexibility in
movement execution is captured by the use of abundant arm-joint configurations
not affecting the index finger end position. Because adults have been shown to
exploit their system's flexibility in challenging conditions, we wondered whether
during middle childhood children are already able to exploit motor flexibility
when demanded by the situation. We had children aged 5-10 years and adults
perform pointing movements in a nonchallenging and challenging condition. Results
showed that end-effector errors and flexibility in movement execution decreased
with age. Importantly, only the 9-10-year-olds and adults showed increased
flexibility in the challenging condition. Thus, while consistency increases and
flexibility decreases during mid-childhood development, from the age of nine
children appear able to employ more flexibility with increasing task demands.
PMID- 29785802
TI - Bayesian measurement-error-driven hidden Markov regression model for calibrating
the effect of covariates on multistate outcomes: Application to androgenetic
alopecia.
AB - Multistate Markov regression models used for quantifying the effect size of state
specific covariates pertaining to the dynamics of multistate outcomes have gained
popularity. However, the measurements of multistate outcome are prone to the
errors of classification, particularly when a population-based survey/research is
involved with proxy measurements of outcome due to cost consideration. Such a
misclassification may affect the effect size of relevant covariates such as odds
ratio used in the field of epidemiology. We proposed a Bayesian measurement-error
driven hidden Markov regression model for calibrating these biased estimates with
and without a 2-stage validation design. A simulation algorithm was developed to
assess various scenarios of underestimation and overestimation given
nondifferential misclassification (independent of covariates) and differential
misclassification (dependent on covariates). We applied our proposed method to
the community-based survey of androgenetic alopecia and found that the effect
size of the majority of covariate was inflated after calibration regardless of
which type of misclassification. Our proposed Bayesian measurement-error-driven
hidden Markov regression model is practicable and effective in calibrating the
effects of covariates on multistate outcome, but the prior distribution on
measurement errors accrued from 2-stage validation design is strongly
recommended.
PMID- 29785803
TI - Depressive spectrum states in a population-based cohort of 70-year olds followed
over 9 years.
AB - OBJECTIVE: Depression may be understood as a spectrum of more or less symptomatic
states. Little is known about the long-term course of these states in older
populations. We examined the prevalence and course of depressive states of
different severity in a Swedish population sample of older people followed over 9
years. METHODS: A population-based sample of 70-year olds without dementia (N =
563, response rate 71.1%) underwent a psychiatric examination; 450 survivors
without dementia were reexamined at ages 75 and/or 79 years. Three depressive
spectrum states were defined: major depression (MD), minor depression (MIND), and
subsyndromal depression (SSD). RESULTS: The cumulative 9-year prevalence of any
depressive spectrum state was 55.3% (MD 9.3%, MIND 27.6%, SSD 30.9%). The cross
sectional prevalence increased with age, especially for MIND and SSD. Among those
with baseline MD and MIND, 75.0% and 66.7%, respectively, had MD or MIND during
follow-up. Among those with SSD, 47.2% had SSD also during follow-up and 36.1%
had MD or MIND. Among those with MD during follow-up, 63.1% were in a depressive
spectrum state at baseline. The corresponding proportion was 30% for those with
MIND (but no MD) during follow-up. CONCLUSION: In this population-based sample,
over half experienced some degree of depression during their eighth decade of
life. The findings give some support for the validity of a depressive spectrum in
older adults. Most new episodes of major depression occurred in people who were
in a depressive spectrum state already at baseline, which may have implications
for late-life depression prevention strategies.
PMID- 29785804
TI - Can SCSA and TUNEL forecast apoptosis-related motility depletion in
Asthenozoospermia?
AB - This study is an attempt to determine the power of SCSA and TUNEL for the
evaluation of apoptosis status and apoptosis-related motility depletion in
Asthenozoospermia. Fifty-one semen samples from Asthenozoospermic and 20 samples
from fertile men participated in this study. SCSA and TUNEL were applied for the
assessment of DNA integrity by flow cytometry. Annexin V conjugated with FITC
labelling and FLICA method were used for the assessment of externalisation of
phosphatidylserine and spermatozoon with active Caspase 3 respectively. SCSA
results were shown to have a significant correlation with EPS in live
spermatozoon (r = .85, p value = .00) and spermatozoon with active Caspase 3 (r =
.633, p value = .00). TUNEL result was revealed to have a nonsignificant positive
correlation with them. Then, Asthenozoospermic individuals were divided into two
groups, SCSA higher and SCSA lower than 27%. Results interestingly indicated that
the two groups significantly differed from each other in terms of TUNEL, EPS in
live spermatozoon, spermatozoon with active Caspase 3 and sperm vitality (p value
= .00). Both SCSA and TUNEL were correlated with apoptosis-related motility
depletion in Asthenozoospermia. However, SCSA might be more powerful than TUNEL
and could provide reliable information about DNA, chromatin integrity and
apoptosis status in Asthenozoospermia.
PMID- 29785805
TI - Fairness, severe intellectual disability, and the special case of
transplantation.
AB - Children with severe intellectual disability have historically been excluded from
solid organ transplantation. The purpose of this article was to review the
arguments for excluding this population, including claims of poorer recipient and
graft survival, a lower QoL as pediatric recipients become adults, and poorer
outcomes for other, more deserving pediatric transplant candidates, and make the
case that these arguments are no longer persuasive. We will argue that pediatric
transplant centers for reasons of social justice, value of relationships, power
differential, and fairness should generally not consider intellectual ability or
disability as a criterion when making decisions regarding organ transplant
eligibility.
PMID- 29785806
TI - Chemiluminescence of copper nanoclusters and its application for trihexyphenidyl
hydrochloride detection.
AB - Chemiluminescence (CL) of copper nanoclusters (CuNCs) induced by cerium (IV)
(Ce(IV)) or potassium permanganate (KMnO4 ) in acidic medium was observed. The
potential application of CuNCs CL in analytical chemistry was also demonstrated
using trihexyphenidyl hydrochloride (THP) as an example based on its enhancing CL
intensity for the CuNCs-Ce(IV)/KMnO4 systems. The excited state of the CuNCs
acted as a luminophore in the CuNCs-Ce(IV) system, while CuNCs played the role of
reductant in the CuNCs-KMnO4 system. The increased CL intensity for Ce(IV)-CuNCs
system was proportional to the THP concentrations in the range of 0.1 to 10.0
MUM. The detection limit was 49.0 nM and the relative standard deviation was 2.2%
for 2.0 MUM THP (n = 11). The proposed method was applied to detect THP in
pharmaceutical formulations and human plasma samples.
PMID- 29785807
TI - Renewal during functional communication training.
AB - Functional communication training (FCT) is one of the most commonly prescribed
interventions for the treatment of severe destructive behavior exhibited by
individuals with intellectual disabilities. Although highly effective, FCT has
been shown to fail in some cases when treatment is introduced into the child's
typical environment. Basic and translational research on renewal provides a model
for studying the relapse of destructive behavior following successful response to
treatment in clinic settings using FCT. In the present study, we evaluated
whether relapse of destructive behavior could be attributed to the discriminative
control of the home context, which was historically correlated with reinforcement
for destructive behavior. We implemented baseline contingencies in the home
setting with caregivers acting as interventionists (i.e., Context A). We then
implemented FCT in a treatment clinic with trained therapists (i.e., Context B).
Finally, we introduced FCT in the home setting with caregivers implementing the
treatment procedures (i.e., return to Context A). For three of four participants
we observed the relapse of destructive behavior consistent with operant renewal.
We discuss the implications of these findings with respect to strategies designed
to promote generalization of FCT across settings during the treatment of severe
destructive behavior.
PMID- 29785809
TI - Cellulitis: what to measure, how to define? Systematic review of outcomes from
cellulitis trials.
PMID- 29785811
TI - After the approval of dupilumab for moderate-to-severe atopic dermatitis: what is
next on the research agenda?
PMID- 29785810
TI - Adjunctive therapy for healing venous leg ulcers.
PMID- 29785812
TI - A role for the microbiome in psoriasis?
PMID- 29785813
TI - It's the season for natural moisturizing factors and corneocyte protrusions.
PMID- 29785814
TI - Botulinum toxin type A for wrinkles: the least interesting use of this versatile
protein.
PMID- 29785815
TI - Are European dermatology patients treated unequally?
PMID- 29785816
TI - Ixekizumab or secukinumab in psoriasis: what difference does it make?
PMID- 29785818
TI - Inflammatory skin eruptions induced by anti-tumour necrosis factor-alpha therapy
differ undeniably from psoriasis or eczema.
PMID- 29785819
TI - Editors Choice.
PMID- 29785820
TI - Allocation of biologics: health economics and clinical decision making in plaque
psoriasis.
PMID- 29785821
TI - Optimizing photodynamic therapy regimens: variables in irradiation may influence
outcomes.
PMID- 29785822
TI - Cutaneous biometrics: guidelines and models.
PMID- 29785823
TI - Image Gallery: Unmasking of unilateral naevoid telangiectasia during puberty.
PMID- 29785824
TI - Beyond skin deep: taking bedside dermatology to the next level with noninvasive
technologies.
PMID- 29785825
TI - Pembrolizumab treatment of a patient with xeroderma pigmentosum with disseminated
melanoma and multiple nonmelanoma skin cancers.
PMID- 29785826
TI - Image Gallery: Cutaneous findings in Hunter syndrome.
PMID- 29785827
TI - Image Gallery: the new age of dermoscopy: optical super-high magnification.
PMID- 29785828
TI - Successful treatment with narrowband ultraviolet B phototherapy of burn-induced
leucoderma.
PMID- 29785829
TI - Moving core outcome sets in dermatology forward.
PMID- 29785831
TI - Psoriasis: the significance of psychological stress.
PMID- 29785830
TI - Image Gallery: Molluscum contagiosum-like facial lesions in a patient with a
renal transplant: deep dermatophytosis due to Trichophyton rubrum.
PMID- 29785832
TI - Lectin Microarray Combined with Mass Spectrometry Identifies Haptoglobin-Related
Protein (HPR) as a Potential Serologic Biomarker for Separating Nonbacterial
Pneumonia from Bacterial Pneumonia in Childhood.
AB - PURPOSE: Etiological diagnosis of pediatric patients with community-acquired
pneumonia is difficult. For therapy, one of the major problems is the difficulty
in separating bacterial pneumonia which would benefit from antibiotics from
nonbacterial pneumonia. Therefore, to identify potential biomarkers for
distinguishing nonbacterial pneumonia from bacterial pneumonia are sought .
EXPERIMENTAL DESIGN: Lectin microarray containing 91 lectins is used to screen
serums from pediatric patients with pneumonia. Lectin-based pull-down assay
combined with LC-MS/MS is used to identify the potential biomarkers. RESULTS: SNA
I, a lectin binding preferentially to alpha2-6 linked sialic acid residues, shows
higher binding signals (near 42 kDa) in the mycoplasma pneumonia group, when
compared with the other groups. A total of 18 proteins are identified with LC
MS/MS. By western blot analysis, the authors confirm that the expression of
haptoglobin-related protein (HPR) is elevated in pediatric patients with
pneumonia compared with normal children (p < 0.001). Furthermore, HPR is higher
in the mycoplasma pneumonia group (p < 0.01) and the viral pneumonia group (p <
0.05), when compared with the bacterial pneumonia group. CONCLUSIONS AND CLINICAL
RELEVANCE: These results indicate that HPR is a potential serologic biomarker
which can differentiate between bacterial pneumonia and nonbacterial pneumonia.
Detection of serum HPR might be useful for clinical diagnosis.
PMID- 29785833
TI - Species differences in bile acids I. Plasma and urine bile acid composition.
AB - Maintenance of bile acid (BA) homeostasis is essential to achieve their
physiologic functions and avoid their toxic effects. The marked differences in BA
composition between preclinical safety models and humans may play a major role in
the poor prediction of drug-induced liver injury using preclinical models. We
compared the composition of plasma and urinary BAs and their metabolites between
humans and several animal species. Total BA pools and their composition varied
widely among different species. Highest sulfation of BAs was observed in human
and chimpanzee. Glycine amidation was predominant in human, minipig, hamster and
rabbit, while taurine amidation was predominant in mice, rat and dogs. BA
profiles consisted primarily of tri-OH BAs in hamster, rat, dog and mice, di-OH
BAs in human, rabbit and minipig, and mono-OH BA in chimpanzee. BA profiles
comprised primarily hydrophilic and less toxic BAs in mice, rat, pig and hamster,
while it primarily comprised hydrophobic and more toxic BAs in human, rabbit and
chimpanzee. Therefore, the hydrophobicity index was lowest in minipig and mice,
while it was highest in rabbit, monkey and human. Glucuronidation and glutathione
conjugation were low in all species across all BAs. Total concentration of BAs in
urine was up to 10* higher and more hydrophilic than plasma in most species. This
was due to the presence of more tri-OH, amidated, sulfated and primary BAs, in
urine compared to plasma. In general, BA profiles of chimpanzee and monkeys were
most similar to human, while minipig, rat and mice were most dissimilar to human.
PMID- 29785834
TI - Development of a robust MRI fiducial system for automated fusion of MR-US
abdominal images.
AB - We present the development of a two-component magnetic resonance (MR) fiducial
system, that is, a fiducial marker device combined with an auto-segmentation
algorithm, designed to be paired with existing ultrasound probe tracking and
image fusion technology to automatically fuse MR and ultrasound (US) images. The
fiducial device consisted of four ~6.4 mL cylindrical wells filled with 1 g/L
copper sulfate solution. The algorithm was designed to automatically segment the
device in clinical abdominal MR images. The algorithm's detection rate and
repeatability were investigated through a phantom study and in human volunteers.
The detection rate was 100% in all phantom and human images. The center-of-mass
of the fiducial device was robustly identified with maximum variations of 2.9 mm
in position and 0.9 degrees in angular orientation. In volunteer images, average
differences between algorithm-measured inter-marker spacings and actual
separation distances were 0.53 +/- 0.36 mm. "Proof-of-concept" automatic MR-US
fusions were conducted with sets of images from both a phantom and volunteer
using a commercial prototype system, which was built based on the above findings.
Image fusion accuracy was measured to be within 5 mm for breath-hold scanning.
These results demonstrate the capability of this approach to automatically fuse
US and MR images acquired across a wide range of clinical abdominal pulse
sequences.
PMID- 29785836
TI - Facing death alone or together? Investigating the interdependence of death
anxiety, dysfunctional attitudes, and quality of life in patient-caregiver dyads
confronting lung cancer.
AB - BACKGROUND: Based on the cognitive theory, anxiety arising from the awareness of
death and dying may activate dysfunctional attitudes, which may then reduce
quality of life. This study examined the interdependence and the mediating role
of dysfunctional attitudes on the relationship between death anxiety and quality
of life among patients with lung cancer and their caregivers. METHODS: From March
2016 to April 2017, 173 pairs of patients and their caregivers enrolled in a
randomized controlled trial of psychosocial support. Using the baseline data,
actor-partner interdependence modeling was used to analyze the relationships
among death anxiety, dysfunctional attitudes, and quality of life. RESULTS: In
patients, death anxiety was related to dependency (beta = .51) and self-control
(beta = -.37); achievement (beta = -.21) and self-control (beta = .34) were
related to quality of life. Among caregivers, death anxiety was related to all 3
dysfunctional attitudes of their own (betas = .23 to.32); dependency (beta =
.22) was associated with quality of life. Caregiver quality of life was also
associated with patient self-control (beta = .22) and achievement (beta = -.18).
Patient self-control mediated the links between patient death anxiety with both
patient and caregiver quality of life. The relationship between death anxiety and
quality of life was mediated by dependency in caregivers. DISCUSSION: Death
anxiety influences dysfunctional attitudes and quality of life of both patients
and caregivers. Our results support the relevance of dysfunctional attitudes in
understanding the impact of death anxiety and underscore the need for parallel
psychosocial interventions.
PMID- 29785835
TI - Predicting the ecological impacts of large-dam removals on a river network based
on habitat-network structure and flow regimes.
AB - Large dams provide vital protection and services to humans. However, an
increasing number of large dams worldwide are old and not operating properly. The
removal of large dams has excellent potential to restore habitat connectivity and
flow regimes; therefore, projecting the related ecological consequences is an
emerging need for water resource and ecosystem management. However, no modeling
methods are currently available for such projections at the basin scale. We
devised a scheme that integrates changes in flow regimes and habitat network
structure into a basin-scale impact assessment of removal of large dams and
applied it to the Nagara-Ibi Basin, Japan. We used a graph-theoretical approach
and a hydrological model, to quantify changes in habitat availability for 11
freshwater fishes at the basin scale under multiple removal scenarios. We
compared these results with the change predicted using a conventional scheme that
considered only changes to the habitat network due to dam removal. Our proposed
scheme revealed that an increase in flow variability associated with dam removal
projected both positive and negative effects on basin-scale habitat availability,
depending on the focal species, endangered species had a negative response to dam
removal. In contrast, the conventional approach projected only positive effects
for all species. This difference in the outcomes indicates that large-dam removal
can have negative and positive effects on watershed restoration due to changes in
flow regimes. Our results also suggest the effect of removal of large dams may
depend on the dams and their locations. Our study is the first step in projecting
ecological trade-offs associated with the removal of large dams on riverscapes at
the basin scale and provides a foundation for future process-based watershed
restoration.
PMID- 29785837
TI - More patients reach glycaemic control with a fixed-ratio combination of insulin
glargine and lixisenatide (iGlarLixi) than with basal insulin at 12 weeks of
treatment: A post hoc time-to-control analysis of LixiLan-O and LixiLan-L.
AB - The present post hoc analysis of two 30-week clinical trials compared efficacy
and hypoglycaemia outcomes at early study visits with iGlarLixi (insulin glargine
U100 [iGlar] and lixisenatide) vs iGlar alone in patients with type 2 diabetes
(T2D) uncontrolled on oral antidiabetic drugs (OADs; LixiLan-O trial) or basal
insulin (LixiLan-L trial). Time to control, defined as days to achieve glycated
haemoglobin (HbA1c) <53 mmol/mol (<7%) or fasting plasma glucose (FPG) <=7.2
mmol/L, was estimated using the Kaplan-Meier method. In the LixiLan-O and LixiLan
L trials, 60% and 46% of patients, respectively, reached HbA1c <53 mmol/mol (<7%)
with iGlarLixi at 12 weeks, vs 45% and 24%, respectively, with iGlar. In the
LixiLan-O trial, the median time to target HbA1c was approximately half with
iGlarLixi vs iGlar (85.0 vs 166.0 days; P < .0001). In the LixiLan-L trial, the
median time to target HbA1c was 153.0 days with iGlarLixi, while target HbA1c was
never reached by 50% of patients with iGlar (P < .0001). Time-to-target FPG and
hypoglycaemia outcomes were similar between treatments. In T2D uncontrolled on
OADs or basal insulin, iGlarLixi resulted in glycaemic control in more patients
than did iGlar at early treatment time points.
PMID- 29785838
TI - Learning and Processing Abstract Words and Concepts: Insights From Typical and
Atypical Development.
AB - The paper describes two plausible hypotheses concerning the learning of abstract
words and concepts. According to a first hypothesis, children would learn
abstract words by extracting co-occurrences among words in linguistic input,
using, for example, mechanisms as described by models of Distributional
Semantics. According to a second hypothesis, children would exploit the fact that
abstract words tend to have more emotional associations than concrete words to
infer that they refer to internal/mental states. Each hypothesis makes specific
predictions with regards to when and which abstract words are more likely to be
learned; also they make different predictions concerning the impact of
developmental disorders. We start by providing a review of work characterizing
how abstract words and concepts are learned in development, especially between
the ages of 6 and 12. Second, we review some work from our group that tests the
two hypotheses above. This work investigates typically developing (TD) children
and children with atypical development (developmental language disorders [DLD]
and autism spectrum disorder [ASD] with and without language deficits). We
conclude that the use of strategies based on emotional information, or on co
occurrences in language, may play a role at different developmental stages.
PMID- 29785840
TI - Exploring photoinactivation of microbial biofilms using laser scanning microscopy
and confined 2-photon excitation.
AB - One pertinent complication in bacterial infection is the growth of biofilms, that
is, communities of surface-adhered bacteria resilient to antibiotics.
Photodynamic inactivation (PDI) has been proposed as an alternative to antibiotic
treatment; however, novel techniques complementing standard efficacy measures are
required. Herein, we present an approach employing multiphoton microscopy
complemented with Airyscan super-resolution microscopy, to visualize the
distribution of curcumin in Staphylococcus epidermidis biofilms. The effects of
complexation of curcumin with hydroxypropyl-gamma-cyclodextrin (HPgammaCD) were
studied. It was shown that HPgammaCD curcumin demonstrated higher bioavailability
in the biofilms compared to curcumin, without affecting the subcellular uptake.
Spectral quantification following PDI demonstrates a method for monitoring
elimination of biofilms in real time using noninvasive 3D imaging. Additionally,
spatially confined 2-photon inactivation was demonstrated for the first time in
biofilms. These results support the feasibility of advanced optical microscopy as
a sensitive tool for evaluating treatment efficacy in biofilms toward improved
mechanistic studies of PDI.
PMID- 29785839
TI - Optimization of a secondary VOI protocol for lung imaging in a clinical CT
scanner.
AB - We present a solution to meet an unmet clinical need of an in-situ "close look"
at a pulmonary nodule or at the margins of a pulmonary cyst revealed by a primary
(screening) chest CT while the patient is still in the scanner. We first
evaluated options available on current whole-body CT scanners for high resolution
screening scans, including ROI reconstruction of the primary scan data and HRCT,
but found them to have insufficient SNR in lung tissue or discontinuous slice
coverage. Within the capabilities of current clinical CT systems, we opted for
the solution of a secondary, volume-of-interest (VOI) protocol where the
radiation dose is focused into a short-beam axial scan at the z position of
interest, combined with a small-FOV reconstruction at the xy position of
interest. The objective of this work was to design a VOI protocol that is
optimized for targeted lung imaging in a clinical whole-body CT system. Using a
chest phantom containing a lung-mimicking foam insert with a simulated cyst, we
identified the appropriate scan mode and optimized both the scan and recon
parameters. The VOI protocol yielded 3.2 times the texture amplitude-to-noise
ratio in the lung-mimicking foam when compared to the standard chest CT, and 8.4
times the texture difference between the lung mimicking and reference foams. It
improved details of the wall of the simulated cyst and better resolution in a
line-pair insert. The Effective Dose of the secondary VOI protocol was 42% on
average and up to 100% in the worst-case scenario of VOI positioning relative to
the standard chest CT. The optimized protocol will be used to obtain detailed CT
textures of pulmonary lesions, which are biomarkers for the type and stage of
lung diseases.
PMID- 29785841
TI - Effects of antipsychotic drugs on neurites relevant to schizophrenia treatment.
AB - Although antipsychotic drugs are mainly used for treating schizophrenia, they are
widely used for treating various psychiatric diseases in adults, the elderly,
adolescents and even children. Today, about 1.2% of the worldwide population
suffers from psychosis and related disorders, which translates to about 7.5
million subjects potentially targeted by antipsychotic drugs. Neurites project
from the cell body of neurons and connect neurons to each other to form neural
networks. Deficits in neurite outgrowth and integrity are implicated in
psychiatric diseases including schizophrenia. Neurite deficits contribute to
altered brain development, neural networking and connectivity as well as symptoms
including psychosis and altered cognitive function. This review revealed that (1)
antipsychotic drugs could have profound effects on neurites, synaptic spines and
synapse, by which they may influence and regulate neural networking and
plasticity; (2) antipsychotic drugs target not only neurotransmitter receptors
but also intracellular signaling molecules regulating the signaling pathways
responsible for neurite outgrowth and maintenance; (3) high doses and chronic
administration of antipsychotic drugs may cause some loss of neurites, synaptic
spines, or synapsis in the cortical structures. In addition, confounding effects
causing neurite deficits may include elevated inflammatory cytokines and
antipsychotic drug-induced metabolic side effects in patients on chronic
antipsychotic therapy. Unraveling how antipsychotic drugs affect neurites and
neural connectivity is essential for improving therapeutic outcomes and
preventing aversive effects for patients on antipsychotic drug treatment.
PMID- 29785842
TI - Circular RNA 0068669 as a new biomarker for hepatocellular carcinoma metastasis.
AB - BACKGROUND: Circular RNAs (circRNAs) play important roles in disease occurrence.
However, the roles of circRNAs in the diagnosis of hepatocellular carcinoma (HCC)
are largely unknown. The aim of this study is to investigate the clinical
diagnostic values of hsa_circ_0068669 (Alias: hsa_circ_103561), one of the
representative HCC-associated circRNAs. METHODS: Hsa_circ_0068669 expression
levels in HCC tissues, HCC cell lines, and chronic hepatitis tissues were
detected by real-time quantitative reverse transcription-polymerase chain
reaction. Its expression levels between HCC tissues and adjacent non-tumorous
tissues were analyzed using paired t test. Independent t test and one-way
analysis of variance (ANOVA) were performed to analyze the relationships between
hsa_circ_0068669 expression levels and clinicopathological factors of patients
with HCC. A receiver operating characteristic (ROC) curve was established to
estimate the value of hsa_circ_0068669 as a biomarker in HCC. RESULTS:
Hsa_circ_0068669 expression was significantly downregulated in HCC tissues and
HCC cell lines compared with paired non-tumorous tissues and normal hepatic cell
line, respectively. Moreover, hsa_circ_0068669 expression in HCC tissues was
decreased comparing with chronic hepatitis tissues. Furthermore, hsa_circ_0068669
expression was correlated with microvascular invasion and TNM stages.
CONCLUSIONS: Our findings indicate that hsa_circ_0068669 might be served as a
novel potential biomarker for HCC metastasis.
PMID- 29785843
TI - Insulin therapy, weight gain and prognosis.
AB - Insulin therapy is mainly used by people with type 2 diabetes who have failed
other therapies and have become insulin-deficient. This group represents about a
quarter of all people with type 2 diabetes. Almost all those with type 2 diabetes
who start insulin therapy or intensify it gain weight, which may potentially
diminish the prognostic advantage of improved glycaemia. To date, all available
guidelines emphasize both the attainment of glycated haemoglobin (HbA1c) goals
and weight control, without directing the clinician as to which element is of a
higher priority. The following review attempts to clarify the issue using the
available literature. The body of evidence presented in this review indicates
that glycaemic management with exogenous insulin replacement is of a much higher
priority than weight gain. Lower weight or weight loss do not show prognostic
benefit in advanced stages of diabetes; therefore, weight gain should not
discourage providers from achieving and maintaining HbA1c goals with insulin
therapy, regardless of insulin dosage or other medications.
PMID- 29785844
TI - Regularity Extraction Across Species: Associative Learning Mechanisms Shared by
Human and Non-Human Primates.
AB - Extracting the regularities of our environment is a core cognitive ability in
human and non-human primates. Comparative studies may provide information of
strong heuristic value to constrain the elaboration of computational models of
regularity learning. This study illustrates this point by testing human and non
human primates (Guinea baboons, Papio papio) with the same experimental paradigm,
using a novel online learning measure. For local co-occurrence regularities, we
found similar patterns of regularity extraction in baboons and humans. However,
only humans extracted the more global sequence structure. It is proposed that
only the first result that is common to both species should be used to constrain
models of regularity learning. The second result indicates that the extraction of
global regularities cannot be accounted for by mere associative learning
mechanisms and suggests that humans probably benefit from their language recoding
abilities for extracting these regularities. We propose to use a comparative
approach to address a series of remaining theoretical questions, which will
contribute to the development of a general theory of regularity learning.
PMID- 29785845
TI - Bromate and Nitrate Bioreduction Coupled with Poly-beta-hydroxybutyrate
Production in a Methane-Based Membrane Biofilm Reactor.
AB - This work demonstrates bromate (BrO3-) reduction in a methane (CH4)-based
membrane biofilm reactor (MBfR), and it documents contrasting impacts of nitrate
(NO3-) on BrO3- reduction, as well as formation of poly-beta-hydroxybutyrate
(PHB), an internal C- and electron-storage material. When the electron donor,
CH4, was in ample supply, NO3- enhanced BrO3- reduction by stimulating the growth
of denitrifying bacteria ( Meiothermus, Comamonadaceae, and Anaerolineaceae) able
to reduce BrO3- and NO3- simultaneously. This was supported by increases in
denitrifying enzymes (e.g., nitrate reductase, nitrite reductase, nitrous-oxide
reductase, and nitric-oxide reductase) through quantitative polymerase chain
reaction (qPCR) analysis and metagenomic prediction of these functional genes.
When the electron donor was in limited supply, NO3- was the preferred electron
acceptor over BrO3- due to competition for the common electron donor; this was
supported by the significant oxidation of stored PHB when NO3- was high enough to
cause electron-donor limitation. Methanotrophs (e.g., Methylocystis,
Methylomonas, and genera within Comamonadaceae) were implicated as the main PHB
producers in the biofilms, and their ability to oxidize PHB mitigated the impacts
of competition for CH4.
PMID- 29785846
TI - D-A-D-Typed Hole Transport Materials for Efficient Perovskite Solar Cells: Tuning
Photovoltaic Properties via the Acceptor Group.
AB - Two D-A-D-structured hole-transport materials (YN1 and YN2) have been synthesized
and used in perovskite solar cells. The two HTMs have low-lying HOMO levels and
impressive mobility. Perovskite-based solar cells (PSCs) fabricated with YN2
showed a power conversion efficiency (PCE) value of 19.27% in ambient air, which
is significantly higher than that of Spiro-OMeTAD (17.80%). PSCs based on YN1
showed an inferior PCE of 16.03%. We found that the incorporation of the stronger
electron-withdrawing group in the HTM YN2 improves the PCE of PSCs. Furthermore,
the YN2-based PSCs exhibit good long-term stability retaining 91.3% of its
initial efficiency, whereas PSCs based on Spiro-OMeTAD retained only 42.2% after
1000 h lifetime (dark conditions). These promising results can provide a new
strategy for the design of D-A-D HTMs for PSC applications in future.
PMID- 29785848
TI - Total Synthesis of Tetarimycin A, (+/-)-Naphthacemycin A9, and (+/-)-Fasamycin A:
Structure-Activity Relationship Studies against Drug-Resistant Bacteria.
AB - Making use of a reductive olefin coupling reaction and Michael-Dieckmann
condensation as two key operations, we have completed a concise total synthesis
of tetarimycin A, (+/-)-naphthacemycin A9, and (+/-)-fasamycin A in a highly
convergent and practical protocol. Synthetic procedures thus developed have also
been applied to provide related analogues for structure-activity relationship
studies, thereby coming to the conclusion that the free hydroxyl group at C-10 is
essential for exerting inhibitory activities against a panel of Gram-positive
bacteria, including drug-resistant strains VRE and MRSA.
PMID- 29785847
TI - Impact of Water-Induced Soil Erosion on the Terrestrial Transport and Atmospheric
Emission of Mercury in China.
AB - Terrestrial mercury (Hg) transport, induced by water erosion and exacerbated by
human activities, constitutes a major disturbance of the natural Hg cycle, but
the processes are still not well understood. In this study, we modeled these
processes using detailed information on erosion and Hg in soils and found that
vast quantities of total Hg (THg) are being removed from land surfaces in China
as a result of water erosion, which were estimated at 420 Mg/yr around 2010. This
was significantly higher than the 240 Mg/yr mobilized around 1990. The erosion
mechanism excavated substantial soil THg, which contributed to enhanced Hg(0)
emissions to the atmosphere (4.9 Mg/yr around 2010) and its transport
horizontally into streams (310 Mg/yr). Erosion-induced THg transport was driven
by the extent of precipitation but was further enhanced or reduced by vegetation
cover and land use changes in some regions. Surface air temperature may
exacerbate the horizontal THg release into water. Our analyses quantified the
processes of erosion-induced THg transport in terrestrial ecosystems,
demonstrated its importance, and discussed how this transport is impacted by
anthropogenic inputs and legacy THg in soils. We suggest that policy makers
should pay more attention to legacy anthropogenic THg sources buried in soil.
PMID- 29785849
TI - Dietary Carotenoid Roles in Redox Homeostasis and Human Health.
AB - Classic nutrition believed that healthy diets should simply provide sufficient
antioxidant loads to organisms, to hamper free radical processes and avoid
oxidative stress. Current redox biology was proven much more intricate.
Carotenoids are bioactive compounds in the human diet with a multifaceted role in
redox metabolism. This perspective discusses the participation of alpha/beta
carotene, lutein, zeaxanthin, lycopene, beta-cryptoxanthin, astaxanthin, and
derivatives in redox homeostasis focusing on (i) their antioxidant/pro-oxidant
activities, (ii) control of gene expression via Nrf2-Keap1 and NF-kappaB
pathways, and (iii) their link with (sub)cellular redox circuits, as part of the
"redox code" that orchestrates physiological processes and health in humans.
PMID- 29785850
TI - Performance Enhancement of Inverted Perovskite Solar Cells Based on Smooth and
Compact PC61BM:SnO2 Electron Transport Layers.
AB - In this work, PC61BM:SnO2 electron transport layers (ETLs) were applied in
inverted CH3NH3PbI3 perovskite solar cells, and a high power conversion
efficiency of 19.7% could be obtained. It increased by 49.0% in comparison with
the device based on PC61BM-only ETL (13.2%). SnO2 nanocrystals with excellent
dispersibility were employed here to fill the pinholes and cover the valleys of
PC61BM layer, forming smooth and compact PC61BM:SnO2 layers. Simultaneously, the
electron traps caused by deep-level native defects of SnO2 were reduced by
PC61BM, proved by the space charge limited current analysis. Thus, PC61BM:SnO2
ETLs can inhibit both of the defects in PC61BM and SnO2 layers which contribute
to the electron transport improvement and reduce the recombination loss.
Moreover, the device stability based on the bilayer was significantly improved in
comparison with the PC61BM-only device and the performance of 85% could be
maintained after 1 month.
PMID- 29785851
TI - Red-Emitting Fluorescent Probe for Detection of gamma-Glutamyltranspeptidase and
Its Application of Real-Time Imaging under Oxidative Stress in Cells and in Vivo.
AB - gamma-Glutamyltranspeptidase (GGT) plays critical roles in regulating various
physiological/pathophysiological processes including the intracellular redox
homeostasis. However, an effective fluorescent probe for dissecting the
relationships between GGT and oxidative stress in vivo remains largely
unexplored. Herein, we present a light-up fluorescent probe (DCDHF-Glu) with long
wavelength emission (613 nm) for the highly sensitive and selective detection of
GGT using dicyanomethylenedihydrofuran derivative as the fluorescent reporter and
gamma-glutamyl group as the enzyme-active trigger. DCDHF-Glu is competent to real
time image endogenous GGT in live cells and mice. In particular, DCDHF-Glu
enables the direct real-time visualization of the upregulation of GGT under drug
induced oxidative stress in the HepG2 cells and the LO2 cells, as well as in
vivo, vividly implying its excellent capacity in elucidation of GGT function in
GGT-related biological events.
PMID- 29785852
TI - Syntheses of Gibberellins A15 and A24, the Key Metabolites in Gibberellin
Biosynthesis.
AB - Gibberellins (GAs) are essential phytohormones involved in numerous aspects of
plant growth and development. Notably, the biochemistry and genetics of GA
biosynthesis, which is associated with their endogenous regulation, have been
largely resolved; however, a crucial unsolved question remains: the precise
mechanism of the stepwise oxidation and subsequent removal of C-20 from C20
precursors, leading to bioactive C19 gibberellins, is still unresolved. To
satisfy numerous requests from biologists, practical preparations of certain GAs
that were isolated in miniscule quantities are highly demanded. Herein, we report
the first practical syntheses of GA15 and GA24, the key C20 metabolites in
gibberellin biosynthesis, from commercially available GA3. The protocols are
robust and offer the capacity to produce GA24 and GA15 under gram scales in high
overall yields and thus aid in further biological and related studies.
PMID- 29785854
TI - High- k Gate Dielectrics for Emerging Flexible and Stretchable Electronics.
AB - Recent advances in flexible and stretchable electronics (FSE), a technology
diverging from the conventional rigid silicon technology, have stimulated
fundamental scientific and technological research efforts. FSE aims at enabling
disruptive applications such as flexible displays, wearable sensors, printed RFID
tags on packaging, electronics on skin/organs, and Internet-of-things as well as
possibly reducing the cost of electronic device fabrication. Thus, the key
materials components of electronics, the semiconductor, the dielectric, and the
conductor as well as the passive (substrate, planarization, passivation, and
encapsulation layers) must exhibit electrical performance and mechanical
properties compatible with FSE components and products. In this review, we
summarize and analyze recent advances in materials concepts as well as in thin
film fabrication techniques for high- k (or high-capacitance) gate dielectrics
when integrated with FSE-compatible semiconductors such as organics, metal
oxides, quantum dot arrays, carbon nanotubes, graphene, and other 2D
semiconductors. Since thin-film transistors (TFTs) are the key enablers of FSE
devices, we discuss TFT structures and operation mechanisms after a discussion on
the needs and general requirements of gate dielectrics. Also, the advantages of
high- k dielectrics over low- k ones in TFT applications were elaborated. Next,
after presenting the design and properties of high- k polymers and inorganic,
electrolyte, and hybrid dielectric families, we focus on the most important
fabrication methodologies for their deposition as TFT gate dielectric thin films.
Furthermore, we provide a detailed summary of recent progress in performance of
FSE TFTs based on these high- k dielectrics, focusing primarily on emerging
semiconductor types. Finally, we conclude with an outlook and challenges section.
PMID- 29785853
TI - Engineering of Porphyrin Molecules for Use as Effective Cathode Interfacial
Modifiers in Organic Solar Cells of Enhanced Efficiency and Stability.
AB - In the present work, we effectively modify the TiO2 electron transport layer of
organic solar cells with an inverted architecture using appropriately engineered
porphyrin molecules. The results show that the optimized porphyrin modifier
bearing two carboxylic acids as the anchoring groups and a triazine electron
withdrawing spacer significantly reduces the work function of TiO2, thereby
reducing the electron extraction barrier. Moreover, the lower surface energy of
the porphyrin-modified substrate results in better physical compatibility between
the latter and the photoactive blend. Upon employing porphyrin-modified TiO2
electron transport layers in PTB7:PC71BM-based organic solar cells we obtained an
improved average power conversion efficiency up to 8.73%. Importantly, porphyrin
modification significantly increased the lifetime of the devices, which retained
80% of their initial efficiency after 500 h of storage in the dark. Because of
its simplicity and efficacy, this approach should give tantalizing glimpses and
generate an impact into the potential of porphyrins to facilitate electron
transfer in organic solar cells and related devices.
PMID- 29785856
TI - Corrigendum.
PMID- 29785855
TI - Optimizing Protein Harvest From Nasal Brushings for Determining Local Allergy
Responses.
AB - Background Rhinitis is a highly prevalent yet often misdiagnosed condition.
Patients who have local allergic rhinitis are regularly mislabeled as having a
nonallergic etiology. Thus, a highly accurate, reproducible, and noninvasive
assessment, which can be performed quickly and with minimal discomfort to the
patient, is required. Objective The aim of this research was to identify the
efficiency of various nasal brushes as tools for harvest and collection of
epithelial proteins and its suitability for identification of rhinitis. Methods
Nasal epithelial mucosa samples were taken from patients undergoing turbinate
surgery using a cytology brush, a dental brush, and a nasal curette in random
order. After washing in phosphate-buffered saline, the suspended cells were
sonicated. Total protein content was assessed for all samples by bicinchoninic
acid assay measured using a Nanodrop machine. Identification of nasal-specific
immunoglobulin E (spIgE) was then assessed using immunoassay and compared to the
patient's allergic status from epicutaneous and serum testing. The lower
threshold limit for the spIgE in nasal brushings was determined using the results
of serum spIgE tests as the reference. The diagnostic accuracy of this new
established cutoff value was determined. Results The cytology brush was found to
be the optimal tool for maximal nasal mucosa protein collection followed by
dental brush and nasal curette (0.75 +/- 0.45 mg/mL vs 0.43 +/- 0.24 mg/mL vs
0.071 +/- 0.55 mg/mL, respectively; P < .01). The optimal cutoff value of nasal
spIgE from the cytology nasal brushings was 0.14 kUA/L to predict allergic status
from serum testing. This gave a sensitivity of 75%, specificity of 86%, positive
predictive value of 74%, likelihood ration positive of 5.40, and diagnostic odds
ratio of 18.62. Conclusion The cytology brush is the optimal tool for protein
collection. This is an easy and direct method to sample the nasal mucosa for
assessment of nasal allergy or future biomarkers.
PMID- 29785857
TI - A Simulation Study on Two Analytical Techniques for Alternating Treatments
Designs.
AB - Alternating treatments designs (ATDs) are single-case experimental designs
entailing the rapid alternation of conditions, and the specific sequence of
conditions is usually determined at random. The visual analysis of ATD data
entails comparing the data paths formed by connecting the measurements from the
same condition. Apart from visual analyses, there are at least two quantitative
analytical options also comparing data paths. On option is a visual structured
criterion (VSC) regarding the number of comparisons for which one conditions has
to be superior to the other to consider that the difference is not only due to
random fluctuations. Another option, denoted as ALIV (a comparison involving
Actual and Linearly Interpolated Values), computes the mean difference between
the data paths and uses a randomization test to obtain a p value. In the current
study, these two options are compared, along with a binomial test, in the context
of simulated data, representing ATDs with a maximum of two consecutive
administrations of the same condition and a randomized block design. Both VSC and
ALIV control Type I error rates, although these are closer to the nominal 5% for
ALIV. In contrast, the binomial test is excessively liberal. In terms of
statistical power, ALIV plus a randomization test is superior to VSC. We
recommend that applied researchers complement visual analysis with the
quantification of the mean difference, as per ALIV, and with a p value whenever
the alternation sequence was determined at random. We have extended an already
existing website providing the graphical representation and the numerical
results.
PMID- 29785858
TI - Gaze Behaviors During Serve Returns in Tennis: A Comparison Between Intermediate-
and High-Skill Players.
AB - The authors studied gaze behaviors in high- and intermediate-skill tennis players
while they performed tennis serve returns. Participants returned 40 serves in 4
serve locations while wearing a mobile eye tracker. The ball's flight path was
deconstructed into 3 distinct locations (i.e., ball before bouncing on surface,
the bounce area, and ball after bouncing on surface), and gaze behaviors along
with quiet-eye (QE) onset and durations were recorded. Results revealed that (a)
high-skill players exhibited better return shots than their lower skill
counterparts, (b) high-skill players and high-score shots were characterized by
longer fixation durations on the ball at prebounce, and (c) longer QE durations
were observed for high-skill players and high-score shots. Findings provide
valuable insight into the relationship between gaze behaviors, QE, and
performance in fast-pace interceptive sports.
PMID- 29785859
TI - Apposition and Positioning of the Nellix EndoVascular Aneurysm Sealing System in
the Infrarenal Aortic Neck.
AB - PURPOSE: To investigate the initial proximal position and seal of the Nellix
EndoVascular Aneurysm Sealing (EVAS) system in the aortic neck using a novel
methodology. METHODS: Forty-six consecutive patients who underwent elective EVAS
for an abdominal aortic aneurysm were retrospectively selected and dichotomized
into an early (n=23) and a late (n=23) group. The aortic neck morphology and
aortic neck surface (ANS) were determined on preoperative computed tomography
(CT) scans; the endograft position and nonapposition surface (NAS) were
determined on the 1-month CT scans. The position of the proximal endobag boundary
was measured by 2 experienced observers to analyze the interobserver variability
for the EVAS NAS measurements. The shortest distance from the lowest renal artery
to the endobag (shortest fabric distance) and the shortest distance from the
endobag to the end of the infrarenal neck (shortest sealing distance) were
determined. The intraclass correlation coefficients (ICCs) are presented with the
95% confidence interval (CI). Continuous data are presented as the median and
interquartile range (IQR: Q3 - Q1). RESULTS: There were no differences between
the early and late EVAS groups regarding aortic neck morphology except for the
neck calcification circumference [41 degrees (IQR 33 degrees ) vs 87 degrees
(IQR 60 degrees ), respectively; p=0.043]. Perfect agreement was observed for the
NAS (ICC 0.897, 95% CI 0.780 to 0.956). The NAS as a percentage of the
preoperative ANS was 47% (IQR 43) vs 49% (IQR 49) for the early vs late groups,
respectively (p=0.214). The shortest fabric distances were 5 mm (IQR 5) and 4 mm
(IQR 7) for the early and late groups, respectively (p=0.604); the shortest
sealing distances were 9 mm (IQR 13) and 16 mm (IQR 17), respectively (p=0.066).
CONCLUSION: Accurate positioning of the Nellix EVAS system in the aortic neck may
be challenging. Despite considerable experience with the system, still around
half of the potential seal in the aortic neck was missed in the current series,
without improvement over time. This should be considered during preoperative
planning and may be a cause of a higher than expected complication rate. Detailed
post-EVAS nonapposition surface can be determined with the described novel
methodology that takes into account the sometimes irregularly shaped top of the
sealing endobags.
PMID- 29785860
TI - The Development and Psychometric Properties of the Multidimensional Assessment of
Teamwork in Sport.
AB - The purpose of this research was to develop a questionnaire to assess the
multidimensional construct of teamwork in sport and to examine various aspects of
validity related to that instrument. A preliminary questionnaire was first
created, and feedback on this instrument was then obtained from a sample of team
sport athletes (n = 30) and experts in sport psychology (n = 8). A modified
version of the questionnaire was then completed by 607 athletes from 48 teams,
and 5 multilevel confirmatory factor analyses were conducted to examine the
structural properties of data derived from this instrument. Evidence of adequate
model-data fit along with measurement reliability was obtained for each of the 5
models. Taken together, the results from this research provide support for the
content, substantive, and structural aspects of construct validity for data
derived from the 66-item Multidimensional Assessment of Teamwork in Sport.
PMID- 29785861
TI - Prognostic importance of the albumin to globulin ratio in metastatic gastric
cancer patients.
AB - AIM: The aim of this study was to evaluate the prognostic importance of the
albumin to globulin ratio (AGR) in terms of overall survival (OS) and progression
free survival (PFS) in metastatic gastric cancer patients. METHODS: The patients
diagnosed with metastatic gastric cancer between 2009 and April 2016 at the
hospital have been studied retrospectively. The clinicopathological
characteristics, laboratory, and treatment parameters have been assessed. AGR
value has been calculated using the following formula (AGR = serum albumin/total
protein - serum albumin). RESULTS: In total, 251 patients were included in the
study population. The median value of AGR was 1.206 (range = 0.460-3.130), and
the cut-off value was set as 1.20. Based on the cut-off value, 126 patients were
categorized in the low AGR group, while the remaining 125 patients were
categorized in the high AGR group. ECOG (Eastern Cooperative Oncology Group)
performance scores, CEA levels, CA19-9 levels, hemoglobin levels, lactate
dehydrogenase levels, and liver metastasis ratios varied significantly between
the low and high AGR groups (p < .05). The Kaplan-Meier curve has shown that,
compared to the low AGR group, the high AGR group has better OS (12.2 vs 9.3
months, p = .002) and better PFS (8.0 vs 5.7 months, p < .001) rates. The
univariate and multivariate analyses also proved that low AGR is an independent
bad risk factor in metastatic gastric cancer patients, both in terms of OS (p =
.019, Hazard Ratio (HR) = 1.380, 95% Confidence Interval (CI) = 1.055-1.805) and
PFS (p = .002, HR = 1.514, 95% CI = 1.164-1.968). CONCLUSION: In metastatic
gastric cancer patients, AGR is an independent prognostic factor for OS and PFS.
Thus, in this patient group, the low cost albumin and globulin which can be
measured with routine clinical practice may be used as an appropriate prognostic
tool.
PMID- 29785862
TI - Cardiac MICE Associated With Left Ventricular Aneurysm.
PMID- 29785863
TI - Hyperbaric oxygen inhibits production of CD3+ T cells in the thymus and
facilitates malignant glioma cell growth.
AB - Objective Hyperbaric oxygen (HBO) is an emerging complementary alternative
medical approach in glioma treatment. However, its mode of action is unknown, so
this was investigated in the present study. Methods We constructed an
intracranial glioma model of congenic C57BL/6J mice. Glioma growth under HBO
stimulation was assessed by bioluminescent imaging and magnetic resonance
imaging. Flow cytometry assessed direct effects of HBO on reactive oxygen species
(ROS) signaling of transplanted glioma cells and organs, and quantified mature T
cells and subgroups in tumors, the brain, and blood. Results HBO promoted the
growth of transplanted GL261-Luc glioma in the intracranial glioma mouse model.
ROS signaling of glioma cells and brain cells was significantly downregulated
under HBO stimulation, but thymus ROS levels were significantly upregulated. CD3+
T cells were significantly downregulated, while both Ti/Th cells (CD3+CD4+) and
Ts/Tc cells (CD3+CD8+) were inhibited in tumors of the HBO group. The percentage
of regulatory T cells in Ti/Th (CD3+CD4+) cells was elevated in the tumors and
thymuses of the HBO group. Conclusion HBO induced ROS signaling in the thymus,
inhibited CD3+ T cell generation, and facilitated malignant glioma cell growth in
vivo in the intracranial glioma mouse model.
PMID- 29785865
TI - An oesophageal spring.
AB - Oesophageal foreign bodies (OFBs) are a relatively common emergency in young
children. OFBs are complicated by significant morbidity and mortality because
their ingestion often occurs without witnesses, leading to a delay in diagnosis
and treatment. We report an occult OFB in an 11-month-old infant who initially
presented without any specific respiratory symptoms, mimicking a respiratory
infection. Worsening of the patient's cough, which did not show any improvement,
despite treatment, and progressive onset of gastrointestinal manifestations
(dysphagia, vomiting, and drooling) led to the diagnosis of an OFB (metallic
spring). The complex and long-term clinical course of the patient highlights the
need of promptly recognizing the presence of an occult OFB. This is because rapid
diagnosis and treatment are essential for preventing severe and sometimes
irreversible complications.
PMID- 29785864
TI - Are there unmet needs in contraceptive counselling and choice? Findings of the
European TANCO Study.
AB - INTRODUCTION: Effective use of contraception requires women to make an informed
choice about methods that match their individual needs and expectations. The
European Thinking About Needs in Contraception (TANCO) study is a quantitative,
online survey of healthcare provider and women's views on aspects of counselling
around contraception and contraceptive use. METHODS: Healthcare providers and
women attending their practices for contraceptive counselling were invited to
complete online questionnaires. The women's survey explored knowledge and use of
contraceptive methods, satisfaction with current method, and interest in
receiving more information about all methods. Healthcare provider views were
gathered in parallel. RESULTS: A total of 676 healthcare providers and 6027 women
completed the online surveys in 11 countries. There was a high prevalence of
contraceptive use and general satisfaction with current method across the
countries. Fifty-five percent of women were using short-acting contraception
(SAC) methods; 19% were using a long-acting reversible contraception (LARC)
method. Sixty percent of women were interested in receiving more information
about all methods; 73% of women said they would consider LARC if they received
more comprehensive information. Healthcare providers tend to underestimate
women's interest in receiving information on contraception in general and, more
specifically, LARC methods. CONCLUSIONS: Despite high levels of use and
satisfaction with current methods, women were interested in receiving more
information about all contraceptive methods. Greater exploration of women's views
on their needs and expectations of contraception could lead to increased
knowledge, more effective discussions with healthcare providers and the greater
likelihood of informed contraceptive choice.
PMID- 29785867
TI - Televisits to Partially Substitute for Clinic Visits Are Feasible and Well
Accepted by Tech-Savvy Patients With T1DM and Their Families: A Prospective Pilot
Study.
PMID- 29785866
TI - Impact of Personality Disorder Cluster on Depression Outcomes Within
Collaborative Care Management Model of Care.
AB - BACKGROUND: Previous studies have suggested that having a comorbid personality
disorder (PD) along with major depression is associated with poorer depression
outcomes relative to those without comorbid PD. However, few studies have
examined the influence of specific PD cluster types. The purpose of the current
study is to compare depression outcomes between cluster A, cluster B, and cluster
C PD patients treated within a collaborative care management (CCM), relative to
CCM patients without a PD diagnosis. The overarching goal was to identify cluster
types that might confer a worse clinical prognosis. METHODS: This retrospective
chart review study examined 2826 adult patients with depression enrolled in CCM.
The cohort was divided into 4 groups based on the presence of a comorbid PD
diagnosis (cluster A/nonspecified, cluster B, cluster C, or no PD). Baseline
clinical and demographic variables, along with 6-month follow-up Patient Health
Questionnaire-9 (PHQ-9) scores were obtained for all groups. Depression remission
was defined as a PHQ-9 score <5 at 6 months, and persistent depressive symptoms
(PDS) was defined as a PHQ-9 score >=10 at 6 months. Adjusted odds ratios (AORs)
were determined for both remission and PDS using logistic regression modeling for
the 6-month PHQ-9 outcome, while retaining all study variables. RESULTS: A total
of 59 patients (2.1%) had a cluster A or nonspecified PD diagnosis, 122 patients
(4.3%) had a cluster B diagnosis, 35 patients (1.2%) had a cluster C diagnosis,
and 2610 patients (92.4%) did not have any PD diagnosis. The presence of a
cluster A/nonspecified PD diagnosis was associated with a 62% lower likelihood of
remission at 6 months (AOR = 0.38; 95% CI 0.20-0.70). The presence of a cluster B
PD diagnosis was associated with a 71% lower likelihood of remission at 6 months
(AOR = 0.29; 95% CI 0.18-0.47). Conversely, having a cluster C diagnosis was not
associated with a significantly lower likelihood of remission at 6 months (AOR =
0.83; 95% CI 0.42-1.65). Increased odds of having PDS at 6-month follow-up were
seen with cluster A/nonspecified PD patients (AOR = 3.35; 95% CI 1.92-5.84) as
well as cluster B patients (AOR = 3.66; 95% CI 2.45-5.47). However, cluster C
patents did not have significantly increased odds of experiencing persistent
depressive symptoms at 6-month follow-up (AOR = 0.95; 95% CI 0.45-2.00).
CONCLUSIONS: Out of the 3 clusters, the presence of a cluster B PD diagnosis was
most significantly associated with poorer depression outcomes at 6-month follow
up, including reduced remission rates and increased risk for PDS. The cluster
A/nonspecified PD group also showed poor outcomes; however, the heterogeneity of
this subgroup with regard to PD features must be noted. The development of novel
targeted interventions for at-risk clusters may be warranted in order to improve
outcomes of these patients within the CCM model of care.
PMID- 29785868
TI - A Bowel of Flowers.
PMID- 29785869
TI - Reliability and validity of the Zebris FDM-THQ instrumented treadmill during
running trials.
AB - Little is known about the reliability, validity and smallest detectable
differences of selected kinetic and temporal variables recorded by the Zebris FDM
THQ instrumented treadmill especially during running. Twenty male participants
(age = 31.9 years (+/-5.6), height = 1.81 m (+/-0.08), mass = 80.2 kg (+/-9.5),
body mass index = 24.53 kg/m2 (+/-2.53)) walked (5 km/h) and ran (10 and 15 km/h)
on an instrumented treadmill, wearing running shoes fitted with Pedar-X insoles.
A test-double retest protocol was conducted over two consecutive days. Maximal
vertical force (Fmax), contact time (CT) and flight time (FT) data from 10
consecutive steps were collected. Within- and between-day reliability, smallest
detectable differences (SDD) and validity (95% limits of agreement (LOA)) were
calculated. ICC values for the Zebris for Fmax were acceptable (ICC >= 0.7) while
CT and FT reliability indices were predominantly good (ICC >= 0.8) to excellent
(ICC >= 0.9). The Zebris significantly underestimated Fmax when compared with the
Pedar-X. The 95% LOA increased with speed. SDD ranged between 96 N and 169 N for
Fmax, 0.017s and 0.055s for CT and 0.021s and 0.026s for FT. In conclusion,
Zebris reliability was acceptable to excellent for the variables examined, but
inferior in comparison with Pedar-X. With increased running speeds, a bias effect
(underestimation) existed for the Zebris compared with Pedar-X.
PMID- 29785870
TI - microRNAs and response to statins in patients with hypercholesterolemia: from
basic research to precision medicine.
PMID- 29785871
TI - Strategies to improve impact efficiency in football kicking.
AB - In football, kicking with high ball velocity can increase scoring opportunities
and reduce the likelihood of interception. Efficient energy transfer from foot to
ball during impact is important to attain a high ball velocity. It is considered
impact efficiency can be increased by reducing the change in ankle plantarflexion
during foot-ball impact. However, conflicting evidence exists, questioning its
effectiveness as a coaching cue. The aim of the present study was to
systematically analyse joint stiffness, foot velocity and impact location with a
mechanical kicking machine to determine if change in ankle plantarflexion during
foot-ball impact and ball velocity are influenced. Sagittal plane data of the
shank, foot and ball were measured using high-speed video (4,000 Hz). Increasing
joint stiffness reduced change in ankle plantarflexion and increased ball
velocity from a greater effective mass. Increasing foot velocity increased change
in ankle plantarflexion and increased ball velocity. Distal impact locations
increased change in ankle plantarflexion and reduced ball velocity as coefficient
of restitution decreased. These results identify that change in ankle
plantarflexion is a dependent variable during foot-ball impact and does not
directly influence ball velocity. Coaches can assess ankle motion during impact
to provide feedback to athletes on their impact efficiency.
PMID- 29785872
TI - Effect evaluation on use of bedside fiber bronchoscope in treating stroke
associated pneumonia.
AB - Background The bedside fiber bronchoscope has been widely used in the diagnosis
and treatment of respiratory disease. This study aims to assess the effect of
using bedside fiber bronchoscope in sputum suction and alveolar lavage for
treatment of stroke-associated pneumonia (SAP), compared with the use of
conventional suction catheter. Methods One hundred and six patients with SAP were
randomly divided into control group (n = 53) and experimental group (n = 53) for
a controlled study. Patients in the two groups were conventionally treated with
phlegm-resolving and anti-infective therapy. The conventional suction catheter
was used for sputum suction for patients in the control group, while bedside
fiber bronchoscope was used for sputum suction and alveolar lavage in the
experimental group. Clinical pulmonary infection score (CPIS) of the two groups
was carried out. The heart rate, blood gas, levels of inflammatory factors in
serum, and CPIS were contrastively analyzed between the two groups. Results The
blood gas (including pH, PaCO2, PaO2) levels of inflammatory factors in serum
such as C-reactive protein, procalcitonin, interleukin-6 and tumor necrosis
factor, and CPIS (including the third day and seventh day) in the experimental
group (n = 53) were all superior to those of the control group (n = 53) (p <
0.05). The results suggested that the pulmonary ventilation condition and
inflammatory response of patients with SAP were significantly improved with the
use of bedside fiber bronchoscope. Conclusion The use of bedside fiber
bronchoscope is beneficial in modifying the pulmonary ventilation and relieving
systemic inflammatory response of patients with SAP, exhibiting a great value in
clinical application.
PMID- 29785873
TI - Time-out! How psychological momentum builds up and breaks down in table tennis.
AB - The current study examined the development of psychological momentum (PM) in
table tennis and the effect of a time-out. Eighty table tennis players were
exposed to an audiovisual scenario, in which they either came back from 1-7 to 7
7 in an all-decisive game (positive momentum), or in which the opponent came back
from 7-1 to 7-7 (negative momentum). Furthermore, at the score of 7-7 a time-out
was called. One group of participants received no specific instructions during
the time-out, whereas the other group received task (mastery-approach - MAp)
instructions. Overall, PM perceptions increased for participants in the positive
momentum condition, but decreased rapidly for participants in the negative
momentum condition. In addition, the time-out led to a loss of PM in the positive
momentum condition, but to a recovery of PM in the negative momentum condition.
The instructions during the time-out did not make a significant difference.
Together, these results suggest that scoring patterns in a table tennis game
significantly affect the PM of players. Furthermore, a player or coach could
benefit from calling a time-out in a negative momentum situation, as this
provides an opportunity to psychologically recover.
PMID- 29785875
TI - EGFR-TKIs in non-small-cell lung cancer: focus on clinical pharmacology and
mechanisms of resistance.
AB - The clinical introduction of EGFR-TKIs within the oncologic armamentarium has
changed the therapeutic landscape of non-small-cell lung cancer (NSCLC) creating
widespread expectations both in patients and clinicians. However, several gaps in
current understanding leave open important questions regarding the use of these
drugs in clinical practice. For instance, there is uncertainty in regard to which
EGFR-TKI should be given first in naive patients with EGFR-driven malignancies
since different generations of drugs are available with different pharmacological
profiles. Furthermore, acquired drug resistance may limit the therapeutic
potential of EGFR-TKIs and the choice of the best treatment strategy after first
line treatment failure is still debated. This review article is aimed at
describing the pharmacological properties of EGFR-TKIs and the current treatment
options for NSCLC patients who develop acquired resistance. This information
might be useful to design new rational and more effective pharmacological
strategies in patients with EGFR-mutant NSCLC.
PMID- 29785876
TI - How did Michael Jackson challenge our understanding of spine biomechanics?
PMID- 29785874
TI - 'Because the baby asks for it': a mixed-methods study on local perceptions toward
nutrition during pregnancy among marginalised migrant women along the Myanmar
Thailand border.
AB - BACKGROUND: Under- and over-nutrition during pregnancy are known risk factors for
pregnancy complications and adverse pregnancy and infant outcomes. Understanding
perceptions around nutrition in pregnancy can create culturally appropriate
interventions for improved health outcomes. OBJECTIVE: A mixed-methods study was
performed to explore local perceptions and practices of diet and physical
activity in pregnancy in a marginalised population along the Myanmar-Thailand
border. METHODS: From April to July 2017, a cross-sectional survey and focus
group discussions were conducted with pregnant women reporting to antenatal care;
in-depth interviews were conducted with senior midwives at participating
organisations along the Myanmar-Thailand border. RESULTS: A total of 388 pregnant
women were interviewed at two clinic sites along the Myanmar-Thailand border. A
high proportion of women had limited knowledge of and poor dietary practices.
Consuming a sweetened drink in the last 24 hours as well as being a non-teenage,
multigravida woman was significantly associated with high body mass index (BMI)
compared to normal BMI. Qualitative analysis combined focus group discussions (n
= 66) and in-depth interviews (n = 4) summarising emergent themes: common foods
eaten or avoided and rationale; benefits of nutrition; perceptions of overweight
and weight gain during pregnancy; barriers to a healthy diet; and sources of diet
information. CONCLUSIONS: There is limited awareness about healthy diets and
lifestyle in these marginalised, migrant communities along the Myanmar-Thailand
border. This study suggests that simple, culturally appropriate messaging should
be provided to women and communities with low health literacy to generate
awareness about healthy lifestyles and their effects on pregnancy outcomes as an
important element of a broader strategy to address maternal nutrition in this
population. However, more studies to determine the effectiveness of a broad range
of interventions in low- and middle-income countries (LMIC) are needed,
especially in marginalised migrant populations.
PMID- 29785877
TI - Hepatitis B virus lymphotropism: emerging details and challenges.
AB - The hepatitis B virus (HBV) is predominantly a hepatotropic virus but also
infects cells of the lymphatic system. HBV genomes (DNA, messenger (m)RNA,
covalently closed circular (ccc) DNA) and proteins have been found in
extrahepatic sites such as peripheral blood mononuclear cells (PBMC), lymph
nodes, spleen, bone marrow and cerebrospinal fluid. HBV entry into hepatocytes
occurs by binding of the HBV preS1 surface protein to its specific receptor, the
bile acid transporter, sodium taurocholate co-transporting polypeptide (NTCP).
Although the mechanism of HBV entry into lymphatic cells is unknown, the pre S1
encoded surface protein is thought to be involved. Extrahepatic HBV infection has
been studied in both chronic HBV (CHB) and in occult HBV infection (OBI). Studies
have shown that HBV genomes are present in different PBMC subsets from
chronically infected carriers. Unique HBV variants have been found in PBMC
compared to plasma or liver in both nucleos(t)ide analogue (NA) treated and
untreated CHB carriers, suggesting replication and compartment specific evolution
of HBV. In HBV coinfection, HBV genomes were found in PBMC from hepatitis C virus
(HCV), human immunodeficiency virus (HIV) and hepatitis delta virus (HDV) co
infected individuals. Moreover, during pregnancy, the trans placental passage of
HBV infected PBMC from highly viremic mothers to infants is one of the postulated
means of vertical transmission of HBV. Taken together, HBV infection in
extrahepatic sites (i.e., PBMC) is implicated in multiple facets of HBV
pathogenesis such as persistence, viral evolution and vertical transmission.
PMID- 29785879
TI - Blood and hair mercury concentrations among Cree First Nations of Eeyou Istchee
(Quebec, Canada): time trends, prenatal exposure and links to local fish
consumption.
AB - To describe exposure to methylmercury among Cree, focusing on women of
childbearing age, we used data from 2 studies. Multiple regression was employed
to examine associations between blood and hair mercury concentrations and
consumption of locally harvested fish. Approximately 9.9% of non-pregnant women
aged 15-44 y and 3.9% of pregnant women required follow-up according to Health
Canada's blood mercury guidance value of 40 nmol/L. 8% of hair mercury
observations in the non-pregnant women and 2.5% among pregnant women exceeded the
equivalent threshold of 10 nmol/g. The geometric mean blood mercury concentration
was 12.7 nmol/L in 1,429 persons aged 8 and over, and 17.7 nmol/L in adults aged
18 and older. The proportion of hair mercury concentrations greater than 12.5
nmol/g decreased in all age-sex groups when comparing the 2002-2009 data to
published values for 1993-1994. Among women of childbearing age, local fish
consumption was associated with increased blood and hair mercury concentrations.
While over 90% of women of childbearing age in this population have acceptable
levels of mercury, ongoing intake of mercury suggests that their consumption of
fish with known high mercury content be minimised. Reducing consumption of fish
known to be high in mercury content needs to be balanced with promoting ongoing
connection to Cree culture and land-based activities that are also important
determinants of health.
PMID- 29785880
TI - Oxygen uptake and muscle activity limitations during stepping on a stair machine
at three different climbing speeds.
AB - This laboratory study examined human stair ascending capacity and constraining
factors including legs' local muscle fatigue (LMF) and cardiorespiratory
capacity. Twenty-five healthy volunteers, with mean age 35.3 years, maximal
oxygen uptake (VO2max) of 46.7 mL.min-1.kg-1 and maximal heart rate (HR) of 190
bpm, ascended on a stair machine at 60 and 75% (3 min each) and 90% of VO2max (5
min or until exhaustion). The VO2, maximal heart rate (HRmax) and
electromyography (EMG) of the leg muscles were measured. The average VO2highest
reached 43.9 mL.min-1.kg-1, and HRhighest peaked at 185 bpm at 90% of VO2max step
rate (SR). EMG amplitudes significantly increased at all three levels, p < .05,
and median frequencies decreased mostly at 90% of VO2max SR evidencing leg LMF.
Muscle activity interpretation squares were developed and effectively used to
observe changes over time, confirming LMF. The combined effects of LMF and
cardiorespiratory constraints reduced ascending tolerance and constrained the
duration to 4.32 min. Practitioner Summary: To expedite ascending evacuation from
high-rise buildings and deep underground structures, it is necessary to consider
human physical load. This study investigated the limiting physiological factors
and muscle activity rate changes (MARC) used in the muscle activity
interpretation squares (MAIS) to evaluate leg local muscle fatigue (LMF). LMF and
cardiorespiratory capacity significantly constrain human stair ascending
capacities at high, constant step rates.
PMID- 29785882
TI - The relationship between repetitive finger movement and quality of life in
Parkinson's disease.
AB - BACKGROUND: Repetitive finger movement significantly impacts daily living
activities, but there have been limited studies determining how repetitive finger
movement impacts quality of life (QOL) in persons with Parkinson's disease (PD).
The purpose of this study was to determine the relationship between impairment in
repetitive finger movement and QOL in persons with PD. METHODS: Eighty-four
participants with PD completed a repetitive movement task and the Parkinson's
Disease Questionnaire (PDQ). Structural equation modeling was used to determine
the relationship between repetitive finger movement outcome measures of amplitude
and movement rate difference and the domains of the PDQ. RESULTS: Including all
repetitive finger outcome measures with demographic variables produced the
strongest model for predicting QOL. DISCUSSION: Repetitive finger movement is
associated with QOL, but more research is needed to better understand the
relationship between repetitive finger movement variables and each specific QOL
domain.
PMID- 29785883
TI - What are the financial implications of the 2017 AHA/ACC High Blood Pressure
Guideline?
PMID- 29785881
TI - Variants in genes coding for glutathione S-transferases and asthma outcomes in
children.
AB - Our hypothesis was that children with mutations in genes coding for glutathione S
transferases (GST) have worse asthma outcomes compared with children with active
type genotype. Data were collected in five populations. The rs1695 single
nucleotide polymorphism (GSTP1) was determined in all cohorts (3692 children) and
GSTM1 and GSTT1 null genotype were determined in three cohorts (2362 children).
GSTT1 null (but not other genotypes) was associated with a minor increased risk
for asthma attack and there were no significant associations between GST
genotypes and asthma severity. Interactions between GST genotypes and SHS
exposure or asthma severity with the study outcomes were nonsignificant. We find
no convincing evidence that the GST genotypes studied are related to asthma
outcomes.
PMID- 29785878
TI - Five-Year Outcomes with PCI Guided by Fractional Flow Reserve.
AB - BACKGROUND: We hypothesized that fractional flow reserve (FFR)-guided
percutaneous coronary intervention (PCI) would be superior to medical therapy as
initial treatment in patients with stable coronary artery disease. METHODS: Among
1220 patients with angiographically significant stenoses, those in whom at least
one stenosis was hemodynamically significant (FFR, <=0.80) were randomly assigned
to FFR-guided PCI plus medical therapy or to medical therapy alone. Patients in
whom all stenoses had an FFR of more than 0.80 received medical therapy and were
entered into a registry. The primary end point was a composite of death,
myocardial infarction, or urgent revascularization. RESULTS: A total of 888
patients underwent randomization (447 patients in the PCI group and 441 in the
medical-therapy group). At 5 years, the rate of the primary end point was lower
in the PCI group than in the medical-therapy group (13.9% vs. 27.0%; hazard
ratio, 0.46; 95% confidence interval [CI], 0.34 to 0.63; P<0.001). The difference
was driven by urgent revascularizations, which occurred in 6.3% of the patients
in the PCI group as compared with 21.1% of those in the medical-therapy group
(hazard ratio, 0.27; 95% CI, 0.18 to 0.41). There were no significant differences
between the PCI group and the medical-therapy group in the rates of death (5.1%
and 5.2%, respectively; hazard ratio, 0.98; 95% CI, 0.55 to 1.75) or myocardial
infarction (8.1% and 12.0%; hazard ratio, 0.66; 95% CI, 0.43 to 1.00). There was
no significant difference in the rate of the primary end point between the PCI
group and the registry cohort (13.9% and 15.7%, respectively; hazard ratio, 0.88;
95% CI, 0.55 to 1.39). Relief from angina was more pronounced after PCI than
after medical therapy. CONCLUSIONS: In patients with stable coronary artery
disease, an initial FFR-guided PCI strategy was associated with a significantly
lower rate of the primary composite end point of death, myocardial infarction, or
urgent revascularization at 5 years than medical therapy alone. Patients without
hemodynamically significant stenoses had a favorable long-term outcome with
medical therapy alone. (Funded by St. Jude Medical and others; FAME 2
ClinicalTrials.gov number, NCT01132495 .).
PMID- 29785884
TI - Morphological changes and myocardial function assessed by traditional and novel
echocardiographic methods in preadolescent athlete's heart.
AB - Background Athlete's heart is a term used to describe the morphological and
functional changes in the hearts of athletes. Recent studies suggest that these
changes may occur even in preadolescent athletes. This study aims to improve our
understanding of the changes occurring in the preadolescent athlete's heart.
Design and methods Cardiac morphology and function in 76 preadolescent cross
country skiers (aged 12.1 +/- 0.2 years) were compared with 25 age-matched non
competing preadolescents. Echocardiography was performed in all subjects,
including 2D speckle-tracking strain echocardiography and 3D echocardiography.
All participants underwent cardiopulmonary exercise testing to assess oxygen
uptake and exercise capacity. Results Athletes had greater indexed VO2 max (62 +/
7 vs. 44 +/- 5 mL/kg per min, p < 0.001), indexed left ventricular end-diastolic
volume (79 +/- 7 vs. 68 +/- 7 mL/m2, p < 0.001), left ventricular mass (69 +/- 12
vs. 57 +/- 13 g/m2, p < 0.001), indexed right ventricular basal diameter (28.3 +/
3.0 vs. 25.4 +/- 3.5 mm/m2, p < 0.001) and right atrial area (10.6 +/- 1.4 vs.
9.7 +/- 1.2 cm2/m2, p < 0.01). There was no difference in left ventricular
ejection fraction, global longitudinal strain, and global circumferential strain
and right ventricular fractional area change between the groups. Controls had
higher right ventricular global longitudinal strain (-28.1 +/- 3.5 vs. -31.1 +/-
3.3%, p < 0.01). VO2 max was highly correlated to left ventricular end-diastolic
volume ( r = 0.76, p < 0.001). Conclusion Athletes had greater left ventricular
mass and greater left and right ventricular chamber dimensions compared with
controls, while left ventricular function did not differ. Interestingly, right
ventricular deformation was significantly lower compared with controls. This
supports the notion that there is physiological, adaptive remodelling in
preadolescent athlete's heart.
PMID- 29785885
TI - Endothelial dysfunction as predictor of angina recurrence after successful
percutaneous coronary intervention using second generation drug eluting stents.
AB - Background The role of endothelial dysfunction in predicting angina recurrence
after percutaneous coronary intervention is unknown. Design We assessed the role
of peripheral endothelial dysfunction measured by reactive-hyperaemia peripheral
artery tonometry (RH-PAT) in predicting recurrence of angina after percutaneous
coronary intervention. Methods We enrolled consecutive patients undergoing
percutaneous coronary intervention with second-generation drug-eluting stents. RH
PAT was measured at discharge. The endpoint was repeated coronary angiography for
angina recurrence and/or evidence of myocardial ischaemia at follow-up. Patients
with in-stent restenosis and/or significant de novo stenosis were defined as
having angina with obstructed coronary arteries (AOCA); all other patients as
having angina with non-obstructed coronary arteries (ANOCA). Results Among 100
patients (mean age 66.7 +/- 10.4 years, 80 (80.0%) male, median follow-up 16 (3
20) months), AOCA occurred in 14 patients (14%), ANOCA in nine patients (9%).
Repeated coronary angiography occurred more frequently among patients in the
lower RH-PAT index tertile compared with middle and upper tertiles (14 (41.2%)
vs. 6 (18.2%) vs. 3 (9.1%), p = 0.006, respectively). ANOCA was more frequent in
the lower RH-PAT index tertile compared with middle and upper tertiles. In the
multivariate regression analysis, the RH-PAT index only predicted angina
recurrence. The receiver operating characteristic curve of the RH-PAT index to
predict the angina recurrence demonstrated an area under the curve of 0.79 (95%
confidence interval: 0.69-0.89; p < 0.001), with a cut-off value of 1.705, having
sensitivity 74% and specificity 70%. Conclusions Non-invasive assessment of
peripheral endothelial dysfunction using RH-PAT might help in the prediction of
recurrent angina after percutaneous coronary intervention, thus identifying
patients who may need more intense pharmacological treatment and risk factor
control.
PMID- 29785886
TI - Treatment of homozygous familial hypercholesterolaemia in paediatric patients: A
monocentric experience.
AB - Background Homozygous familial hypercholesterolaemia is a rare life-threatening
disease characterized by markedly elevated low-density lipoprotein cholesterol
(LDL-C) concentrations and accelerated atherosclerosis. The presence of double
gene defects in the LDL-Receptor, either the same defect (homozygous) or two
different LDL-raising mutations (compound heterozygotes) or other variants,
identify the homozygous phenotype (HopFH). Apheresis is a procedure in which
plasma is separated from red blood cells before the physical removal of LDL-C or
the LDL-C is directly removed from whole blood. It is currently the treatment of
choice for patients with HopFH whose LDL-C levels are not able to be reduced to
target levels with conventional lipid-lowering drug therapy. Design The aim of
this study is to report a cohort of six paediatric patients and to evaluate the
long term efficacy of combined medical therapy and LDL-apheresis on LDL-C
reduction. Methods We collected data from six children with confirmed diagnosis
of HopFH (two females and four males; age range at diagnosis 3-8 years, mean 6 +/
1 years) from a single clinical hospital in Italy from 2007 to 2017. Results
Clinical manifestations and outcomes may greatly vary in children with HopFH.
Medical therapy and LDL-apheresis for the severe form should be started promptly
in order to prevent cardiovascular disease. Conclusions Lipoprotein apheresis is
a very important tool in managing patients with HopFH at high risk of
cardiovascular disease. Based on our experience and the literature data, the
method is feasible in very young children, efficient regarding biological results
and cardiac events, and safe with minor side-effects and technical problems. We
advise treating homozygous and compound heterozygous children as soon as
possible.
PMID- 29785887
TI - Quality by design, process analytical technology, GMP and regulatory affairs.
PMID- 29785888
TI - The impact of OPRM1's genetic polymorphisms on methadone maintenance treatment in
opioid addicts: a systematic review.
AB - AIM: Methadone is a long-acting opioid receptor agonist. It is prescribed to
patients with opioid-related use disorders. The OPRM1 gene encodes for
methadone's main receptor. It appears that polymorphisms in OPRM1 may affect the
efficacy of methadone maintenance treatment (MMT). OBJECTIVE: Our purpose was to
identify all relevant published papers dealing with the impact ofOPRM1's SNPs on
MMT's efficacy and to summarize results in order to evaluate the relevance of
conducting pretherapeutic genotyping in opioid addicts prior to the onset of MMT.
METHODS: MEDLINE, PsychINFO and Scopus databases were systematically searched up
to 1 January 2018 with no year restrictions using the following keyword
combination (MMT) AND (mu or OPRM or mu opioid receptors or SNP or polymorphism
or gene). Endpoint of the included studies had to be the impact of OPRM1 gene
polymorphisms on the efficacy of MMT and/or methadone required doses during MMT.
All abstracts were reviewed to assess papers' relevance. Studies conducted on
animals and duplicate papers were excluded. RESULTS: Our literature search
identified 438 articles. Eight of them were included in our systematic review.
The total number of included participants was equal to 2170, of whom 1718
underwent MMT. One study reported results of a randomized controlled trial. Three
were designed as case-control studies and four as cross-sectional studies.
rs1799971 (A118G) was the most studied allele. Results were divergent. Other SNPs
might affect MMT's efficacy, however they were scarcely studied. CONCLUSION:
Genotyping patients with opioid-related use disorders is a promising way to reach
a better outcome in patients willing to be on MMT. Focusing on OPRM1 solely
should be balanced since polymorphisms in other genes implicated in methadone
pharmacodynamics and/or pharmacokinetics may conjunctly affect the efficacy of
MMT. Recommendations cannot be enunciated for the moment.
PMID- 29785889
TI - Effects of probiotic supplementation on performance traits, bone mineralization,
cecal microbial composition, cytokines and corticosterone in laying hens.
AB - Recent researches have showed that probiotics promote bone health in humans and
rodents. The objective of this study was to determine if probiotics have the
similar effects in laying hens. Ninety-six 60-week-old White Leghorn hens were
assigned to four-hen cages based on their BW. The cages were randomly assigned to
1 of 4 treatments: a layer diet mixed with a commercial probiotic product
(containing Enterococcus faecium, Pediococcus acidilactici, Bifidobacterium
animalis and Lactobacillus reuteri) at 0, 0.5, 1.0 or 2.0 g/kg feed (Control,
0.5*, 1.0* and 2.0*) for 7 weeks. Cecal Bifidobacterium spp. counts were higher
in all probiotic groups (P0.05). In addition, the plasma concentrations of
cytokines (interleukin-1beta, interleukin-6, interleukin-10, interferon-gamma and
tumor necrosis factor-alpha) and corticosterone as well as the levels of
heterophil to lymphocyte ratio were similar between the 2.0* group and the
control group (P>0.05). In line with these findings, no differences of cecal
tonsil mRNA expressions of interleukin-1beta, interleukin-6 and
lipopolysaccharide-induced tumor necrosis factor-alpha factor were detected
between these two groups (P>0.05). These results suggest that immune cytokines
and corticosterone may not involve in the probiotic-induced improvement of
eggshell quality and bone mineralization in laying hens. In conclusion, the
dietary probiotic supplementation altered cecal microbiota composition, resulting
in reduced shell-less egg production and improved bone mineralization in laying
hens; and the dietary dose of the probiotic up to 2.0* did not cause negative
stress reactions in laying hens.
PMID- 29785890
TI - Family networks and healthy behaviour: evidence from Nepal.
AB - Models of household decision-making commonly focus on nuclear family members as
primary decision-makers. If extended families shape the objectives and
constraints of households, then neglecting the role of this network may lead to
an incomplete understanding of health-seeking behaviour. Understanding the
decision-making processes behind care-seeking may improve behaviour change
interventions, better intervention targeting and support health-related
development goals. This paper uses data from a cluster randomised trial of a
participatory learning and action cycle (PLA) through women's groups, to assess
the role of extended family networks as a determinant of gains in health
knowledge and health practice. We estimate three models along a continuum of
health-seeking behaviour: one that explores access to PLA groups as a conduit of
knowledge, another measuring whether women's health knowledge improves after
exposure to the PLA groups and a third exploring the determinants of their
ability to act on knowledge gained. We find that, in this context, a larger
network of family is not associated with women's likelihood of attending groups
or acquiring new knowledge, but a larger network of husband's family is
negatively associated with the ability to act on that knowledge during pregnancy
and the postpartum period.
PMID- 29785891
TI - Performance and carcass characteristics of steers fed with two levels of
metabolizable energy intake during summer and winter season.
AB - Climate change is producing an increase on extreme weather events around the
world such as flooding, drought and extreme ambient temperatures impacting animal
production and animal welfare. At present, there is a lack of studies addressing
the effects of climatic conditions associated with energy intake in finishing
cattle in South American feed yards. Therefore, two experiments were conducted to
assess the effects of environmental variables and level of metabolizable energy
intake above maintenance requirements (MEI) on performance and carcass quality of
steers. In each experiment (winter and summer), steers were fed with 1.85 or 2.72
times of their requirements of metabolizable energy of maintenance. A total of 24
crossbred steers per experiment were used and located in four pens (26.25
m2/head) equipped with a Calan Broadbent Feeding System. Animals were fed with
the same diet within each season, varying the amount offered to adjust the MEI
treatments. Mud depth, mud scores, tympanic temperature (TT), environmental
variables, average daily gain, respiration rates and carcass characteristics plus
three thermal comfort indices were collected. Data analysis considered a
factorial arrangement (Season and MEI). In addition, a repeated measures analysis
was performed for TT and respiration rate. Mean values of ambient temperature,
solar radiation and comfort thermal indices were greater in the summer experiment
as expected (P<0.005). The mean values of TT were higher in steers fed with
higher MEI and also in the summer season. The average daily gain was greater
during summer v. winter (1.10+/-0.11 v. 0.36+/-0.06) kg/day, also when steers
were fed 2.72 v. 1.85 MEI level (0.89+/-0.12 v. 0.57+/-0.10) kg/day. In summer,
respiration rate increased in 41.2% in the afternoon. In winter, muddy conditions
increased with time of feeding, whereas wind speed and rainfall had significant
effects on TT and average daily gain. We conclude that MEI and environmental
variables have direct effects on the physiology and performance of steers,
including TT and average daily gain, particularly during the winter. In addition,
carcass characteristics were affected by season but not by the level of MEI.
Finally, due to the high variability of data as well as the small number of
animals assessed in these experiments, more studies on carcass characteristics
under similar conditions are required.
PMID- 29785892
TI - The monogenean Paradiplozoon ichthyoxanthon behaves like a micropredator on two
of its hosts, as indicated by stable isotopes.
AB - The analysis of stable isotopes of carbon and nitrogen has been used as a
fingerprint for understanding the trophic interactions of organisms. Most of
these studies have been applied to free-living organisms, while parasites have
largely been neglected. Studies dealing with parasites so far have assessed the
carbon and nitrogen signatures in endoparasites or ectoparasites of different
hosts, without showing general trends concerning the nutritional relationships
within host-parasite associations. Moreover, in most cases such systems involved
a single host and parasite species. The present study is therefore the first to
detail the trophic interactions of a freshwater monogenean-host model using
delta13C and delta15N, where a single monogenean species infects two distinctly
different hosts. Host fishes, Labeobarbus aeneus and Labeobarbus kimberleyensis
from the Vaal Dam, South Africa, were assessed for the monogenean parasite
Paradiplozoon ichthyoxanthon, individuals of which were removed from the gills of
the hosts. The parasites and host muscle samples were analysed for signatures of
delta13C and delta15N using an elemental analyser connected to an isotope ratio
mass spectrometer. Host fish appear to use partly different food sources, with L.
aeneus having slightly elevated delta13C signatures compared to L.
kimberleyensis, and showed only small differences with regard to their nitrogen
signatures, suggesting that both species range on the same trophic level. Carbon
and nitrogen signatures in P. ichthyoxanthon showed that the parasites mirrored
the small differences in dietary carbon sources of the host but, according to
delta15N signatures, the parasite ranged on a higher trophic level than the
hosts. This relationship resembles predator-prey relationships and therefore
suggests that P. ichthyoxanthon might act as a micropredator, similar to blood
sucking arthropods such as mites and fleas.
PMID- 29785893
TI - Evaluating vaccination strategies to control foot-and-mouth disease: a country
comparison study.
AB - Vaccination is increasingly being recognised as a potential tool to supplement
'stamping out' for controlling foot-and-mouth disease (FMD) outbreaks in non
endemic countries. Infectious disease simulation models provide the opportunity
to determine how vaccination might be used in the face of an FMD outbreak.
Previously, consistent relative benefits of specific vaccination strategies
across different FMD simulation modelling platforms have been demonstrated, using
a UK FMD outbreak scenario. We extended this work to assess the relative
effectiveness of selected vaccination strategies in five countries: Australia,
New Zealand, the USA, the UK and Canada. A comparable, but not identical, FMD
outbreak scenario was developed for each country with initial seeding of Pan Asia
type O FMD virus into an area with a relatively high density of livestock farms.
A series of vaccination strategies (in addition to stamping out (SO)) were
selected to evaluate key areas of interest from a disease response perspective,
including timing of vaccination, species considerations (e.g. vaccination of only
those farms with cattle), risk area vaccination and resources available for
vaccination. The study found that vaccination used with SO was effective in
reducing epidemic size and duration in a severe outbreak situation. Early
vaccination and unconstrained resources for vaccination consistently outperformed
other strategies. Vaccination of only those farms with cattle produced comparable
results, with some countries demonstrating that this could be as effective as all
species vaccination. Restriction of vaccination to higher risk areas was less
effective than other strategies. This study demonstrates consistency in the
relative effectiveness of selected vaccination strategies under different
outbreak start up conditions conditional on the assumption that each of the
simulation models provide a realistic estimation of FMD virus spread. Preferred
outbreak management approaches must however balance the principles identified in
this study, working to clearly defined outbreak management objectives, while
having a good understanding of logistic requirements and the socio-economic
implications of different control measures.
PMID- 29785894
TI - Developmental and molecular responses of buffalo (Bubalus bubalis) cumulus-oocyte
complex matured in vitro under heat shock conditions.
AB - SummaryTo investigate the effects of physiologically relevant heat shock during
oocyte maturation, buffalo cumulus-oocyte complexes (COCs) were cultured at 38.5
degrees C (control) or were exposed to 39.5 degrees C (T1) or 40.5 degrees C (T2)
for the first 6 h of in vitro maturation (IVM), followed by 38.5 degrees C
through the next 18 h/IVM and early embryonic development up to the blastocyst
stage. Gene expression analysis was performed on selected target genes (HSF-1,
HSF-2, HSP-70, HSP-90, BAX, p53, SOD1, COX1, MAPK14) in denuded oocytes and their
isolated cumulus cells resulting from control COCs as well as from COCs exposed
to a temperature of 39.5 degrees C (T1). The results indicated that heat shock
significantly (P < 0.01) decreased the maturation rate in T1 and T2 cells
compared with the control. After in vitro fertilization (IVF), cleavage rate was
lower (P < 0.01) for oocytes exposed to heat stress, and the percentage of
oocytes arrested at the 2- or 4-cell stage was higher (P < 0.01) than that of the
control. The percentage of oocytes that developed to the 8-cell, 16-cell or
blastocyst stage was lower (P < 0.01) in both T1 and T2 groups compared with the
control group. mRNA expression levels for the studied genes were decreased (P <
0.05) in treated oocytes (T1) except for HSP-90 and HSF-1, which were increased.
In cumulus cells isolated from COCs (T1), the expression for the target genes was
upregulated except for BAX, which was downregulated. The results of this study
demonstrated that exposure of buffalo oocytes to elevated temperatures for 6 h
severely compromised their developmental competence and gene expression.
PMID- 29785895
TI - Complexity in pediatric primary care.
AB - BACKGROUND: The management of patients in primary care is often complicated by
the presence of multiple chronic conditions and psychosocial issues that increase
the complexity of the encounter and have important impacts on care. There is a
paucity of literature on this subject in the pediatric population. OBJECTIVES:
The aim of this study was to quantify the burden of chronic conditions in
pediatric primary care. METHODS: The problem lists of 3995 randomly selected
patients from a community pediatric clinic and an academic hospital-based
pediatric clinic in the same metropolitan area were analyzed for the presence and
number of any chronic condition. RESULTS: In total, 53% of patients suffered from
at least one chronic problem, 25% had two or more chronic conditions and 5.1% had
four or more conditions. Compared with the community clinic, the academic clinic
had significantly more children with catastrophic complex conditions (P<0.001). A
regression analysis showed a significant positive correlation between the number
of chronic medical conditions and mental health diagnoses. CONCLUSIONS: The
burden of chronic disease in the pediatric primary care setting may be
significantly higher than has been previously suggested. To ensure optimal
quality of care, health planners should take into account the high burden of
chronic illness, psychosocial issues and multimorbidity among patients in the
pediatric primary care setting, as well as the higher complexity profile of
patients attending academic clinics.
PMID- 29785896
TI - Survey of trematodes in intertidal snails from Patagonia, Argentina: new larval
forms and diversity assessment.
AB - Larval trematodes are the main parasites of snails, and they play a crucial role
because they usually castrate their snail hosts and can thus alter their
population and community dynamics. This study involved a survey of seven
gastropod species (Crepipatella dilatata, Fissurella radiosa, Nacella
magellanica, Pareuthria fuscata, Siphonaria lessonii, S. lateralis and Trophon
geversianus) parasitized by 12 trematode species (one hemiurid, one gymnophallid,
two lepocreadiids, two microphallids, one notocotylid, two renicolids, one
philophtalmid, one schistosomatid and one zoogonid) from southern Patagonia (47
degrees S, 65 degrees W), Argentina. Only F. radiosa was free of parasites. The
study included the description of five new larvae, based on morphological and
molecular information, and a comparison of the parasite diversity with that of a
northern locality (42 degrees S, 64 degrees W), characterized by a lower mollusc
diversity. Species richness and diversity of parasites were higher in the
southern site. This suggests a correlation between the level of parasitism and
the diversity of molluscs (first intermediate hosts), which is higher at the high
latitude site and seems to attract shorebirds, which disperse the digenean eggs
and facilitate the completion of their life cycles. These results support the
notion that parasitism is influenced by large-scale factors such as
biogeographical patterns, and small-scale factors such as diversity or abundance
of intermediate and definitive hosts.
PMID- 29785898
TI - Accuracy and application of milk fatty acid estimation with diffuse reflectance
near-infrared spectroscopy.
AB - Near infrared spectroscopy (NIRS) has the potential to estimate contents of fatty
acids (FA) in milk frequently at-farm or during daily milking routine. In this
study, a total of 738 raw milk spectra collected from 33 Holstein cows over a
period of 30 weeks were recorded. Reference data on FA composition in milk and in
milk fat were analysed in laboratory. Calibration models were calculated for
single FA and groups of FA in milk and in milk fat. Validation resulted in
sufficient Ratio of Prediction to Deviation (RPD) values for some single FA and
in higher RPD values for groups of FA when concentrations of FA in milk were
predicted. Since the concentrations of most FA in milk are highly correlated with
milk fat content, the prediction of FA contents in milk fat is more meaningful
when independent predictions are intended. The accuracy of predicting single FA
concentrations in milk fat is rather poor for most FA but still comparable to
alternative analysing methods such as MIR analysis. The estimation of different
groups of FA in milk fat resulted in an improved accuracy based on higher RPD
values, which was sufficient to mirror the development in the different lactation
phases. The course of cow individual long chain fatty acid (LCFA) concentration
in the early lactation stage can be an indicator for body fat mobilisation. The
accurate estimation of the extent and duration of body fat mobilisation in cow
individuals was rather difficult with NIR predicted LCFA concentrations and would
require a higher measuring frequency than applied in this study.
PMID- 29785897
TI - Addition of pectin and whey protein concentrate minimises the generation of acid
whey in Greek-style yogurt.
AB - The objective of the study reported in this Research Communication was to
investigate the effects of pectin and whey protein concentrate (WPC) on the
generation of acid whey during Greek-style yogurt (GSY) processing. Yogurt
samples were prepared using pectin (0.05%, w/v) and whey protein concentrate (WPC
80) (1%, w/v) as possible ingredients that reduce the acid whey production.
Control yogurt sample was prepared without addition of these ingredients. The
results showed that yogurt made with pectin plus WPC had significantly higher
water holding capacity (~56%) than the control (33%). Similarly, yogurt
supplemented with pectin plus WPC exhibited 15% less susceptibility to syneresis
compared to the control (P < 0.05). Viability of L. bulgaricus and S.
thermophilus in all yogurts remained >=7.0 and >=8.0 log CFU/g respectively.
Native PAGE analysis showed an interaction between pectin and WPC. Pectin hinders
the formation of large oligomeric aggregates of whey protein which correlates
with an increase in WHC and a decrease in syneresis. Our results demonstrated
that a combination of pectin and WPC have the potential to limit the quantity of
acid whey generation in GSY manufacturing. Thus, these ingredients have positive
implications for dairy industry in the production of GSY.
PMID- 29785899
TI - RagD regulates amino acid mediated-casein synthesis and cell proliferation via
mTOR signalling in cow mammary epithelial cells.
AB - This research paper addresses the hypothesis that RagD is a key signalling factor
that regulates amino acid (AA) mediated-casein synthesis and cell proliferation
in cow mammary epithelial cells (CMECs). The expression of RagD was analysed at
different times during pregnancy and lactation in bovine mammary tissue from
dairy cows. We showed that expression of RagD at lactation period was higher (P <
0.05) than that at pregnancy period. When CMECs were treated with methionine
(Met) or lysine (Lys), expression of RagD, beta-casein (CSN2), mTOR and p-mTOR,
and cell proliferation were increased. Further, when CMECs were treated to
overexpress RagD, expression of CSN2, mTOR and p-mTOR, and cell proliferation
were up-regulated. Furthermore, the increase in expression of CSN2, mTOR and p
mTOR, and cell proliferation in response to Met or Lys supply was inhibited by
inhibiting RagD, and those effects were reversed in the overexpression model.
When CMECs were treated with RagD overexpression together with mTOR inhibition or
conversely with RagD inhibition together with mTOR overexpression, results showed
that the increase in expression of CSN2 and cell proliferation in response to
RagD overexpression was prevented by inhibiting mTOR, and those effects were
reversed by overexpressing mTOR. The interaction of RagD with subunit proteins of
mTORC1 was analysed, and the result showed that RagD interacted with Raptor.
CMECs were treated with Raptor inhibition, and the result showed that the
increase in expression of mTOR and p-mTOR in response to RagD overexpression was
inhibited by inhibiting Raptor.In conclusion, our study showed that RagD is an
important activation factor of mTORC1 in CMECs, activating AA-mediated casein
synthesis and cell proliferation, potentially acting via Raptor.
PMID- 29785900
TI - Insulin suppresses the AMPK signaling pathway to regulate lipid metabolism in
primary cultured hepatocytes of dairy cows.
AB - Dairy cows with type II ketosis display hepatic fat accumulation and
hyperinsulinemia, but the underlying mechanism is not completely clear. This
study aimed to clarify the regulation of lipid metabolism by insulin in cow
hepatocytes. In vitro, cow hepatocytes were treated with 0, 1, 10, or 100 nm
insulin in the presence or absence of AICAR (an AMP-activated protein kinase
alpha (AMPKalpha) activator). The results showed that insulin decreased AMPKalpha
phosphorylation. This inactivation of AMPKalpha increased the gene and protein
expression levels of carbohydrate responsive element-binding protein (ChREBP) and
sterol regulatory element-binding protein-1c (SREBP-1c), which downregulated the
expression of lipogenic genes, thereby decreasing lipid biosynthesis.
Furthermore, AMPKalpha inactivation decreased the gene and protein expression
levels of peroxisome proliferator-activated receptor-alpha (PPARalpha), which
upregulated the expression of lipid oxidation genes, thereby increasing lipid
oxidation. In addition, insulin decreased the very low density lipoprotein (VLDL)
assembly. Consequently, triglyceride content was significantly increased in
insulin treated hepatocytes. Activation of AMPKalpha induced by AICAR could
reverse the effect of insulin on PPARalpha, SREBP-1c, and ChREBP, thereby
decreasing triglyceride content. These results indicate that insulin inhibits the
AMPKalpha signaling pathway to increase lipid synthesis and decrease lipid
oxidation and VLDL assembly in cow hepatocytes, thereby inducing TG accumulation.
This mechanism could partly explain the causal relationship between hepatic fat
accumulation and hyperinsulinemia in dairy cows with type II ketosis.
PMID- 29785901
TI - Association of SNP and STR polymorphisms of insulin-like growth factor 2 receptor
(IGF2R) gene with milk traits in Holstein-Friesian cows.
AB - The objective of the study reported in this Research Communication was to
investigate the association of polymorphisms in the insulin-like growth factor
receptor 2 (IGF2R) gene with milk traits in 283 Polish Holstein-Friesian (PHF)
cows from the IGAB PAS farm in Jastrzebiec. IGF2R regulates the availability of
biologically active IGF2 which is considered as a genetic marker for milk or meat
production in farm animals. Two novel genetic polymorphisms were identified in
the bovine IGF2R gene: a polymorphic TG-repeat in intron 23 (g.72389 (TG)15-67),
and a g.72479 G > A SNP RFLP-StyI in exon 24. The following milk traits were
investigated: milk yield, protein and fat yield, SCC and lactose content. To
determine the influence of the IGF2R STR and SNP genotypes on the milk traits, we
used the AI-REML (average information restricted maximum likelihood) method with
repeatability, multi-trait animal model based on test-day information using DMU
package. Statistical analysis revealed that the G/A genotype (P <= 0.01) was
associated with milk and protein yield, lactose content and somatic cell count
(SCC) in Polish HF cows. TGn (29/22, 28/29, 28/22, 28/28) genotypes were
associated with high values for milk, (28/22, 28/23) with protein and fat yield,
(25/20) with lactose content, and (29/33, 28/28) with low SCC. We suggest that
the IGF2R gene polymorphisms could be useful genetic markers for dairy production
traits in cattle.
PMID- 29785902
TI - Milk microRNA-146a as a potential biomarker in bovine tuberculosis.
AB - In this research communication we exploited the potential use of milk microRNAs
(miRs) as biomarkers for bovine tuberculosis (bTB). bTB is a zoonotic disease
caused by Mycobacterium bovis which affects animal health, influencing herd
economic sustainability. Diagnosis is based on skin delayed-type hypersensitivity
reaction and quantification of interferon gamma but both techniques are
influenced by several confounding factors. Thus, new methods for early diagnosis
are required. In this context, microRNAs have been used as promising biomarkers
for both infectious and non-infectious diseases. To determine the possible
involvement of microRNAs in bTB, we analysed the expression of four immune
related miRs in 200 cows grouped in cases and controls with respect to positivity
to tuberculosis. The analysis showed a different magnitude of expression in the
groups indicating that active tuberculosis could influence miRs expression. We
used expression values of miR-146a, the highest differentially expressed miR, for
Receiver operating characteristic (ROC) curve analysis. In order to determine a
test cut-off value for miR-146a expression that would differentiate cases and
controls, a value for the miR-146a expression higher than 8 was selected as this
gave a test specificity and sensitivity of 80.0% and 86.0% respectively. These
values confirm the possibility of using miR-146a as a milk prognostic biomarker
for bovine tuberculosis.
PMID- 29785903
TI - High intensity light pulses to reduce microbial load in fresh cheese.
AB - The present study focused on the utilisation of High Intensity Light Pulses
(HILP) treatment to preserve mozzarella cheese. First, the susceptibility of
Pseudomonas fluorescens and Enterobacteriaceae to HILP (fluences from 0.39 to
28.0 J/cm2) in a transparent liquid was evaluated (in-vitro tests). Afterwards,
the effects on inoculated mozzarella cheese were also assessed. Then untreated
(Control) and HILP treated samples were packaged and stored at 10 degrees C for
2 weeks. Enterobacteriaceae, Pseudomonas spp. and pH were monitored during
storage. In a transparent liquid (in-vitro tests) there was a significant
microbial inactivation just with 2 s of treatment. On the inoculated cheese a
relevant microbial reduction of about 1 log cycle was observed, according to the
exposure to the treatments. For Pseudomonas spp. in particular, in the treated
samples, the microbiological acceptability limit (106 cfu/g) was never reached
after 2 weeks of refrigerated storage. To sum up, the efficacy of this treatment
is very interesting because a microbial reduction was observed in treated
samples. HILP treatment is able to control the microbial growth and may be
considered a promising way to decontaminate the surface of mozzarella cheese.
PMID- 29785904
TI - Identification of an immune modulation locus utilising a bovine mammary gland
infection challenge model.
AB - Inflammation of the mammary gland following bacterial infection, commonly known
as mastitis, affects all mammalian species. Although the aetiology and
epidemiology of mastitis in the dairy cow are well described, the genetic factors
mediating resistance to mammary gland infection are not well known, due in part
to the difficulty in obtaining robust phenotypic information from sufficiently
large numbers of individuals. To address this problem, an experimental mammary
gland infection experiment was undertaken, using a Friesian-Jersey cross breed F2
herd. A total of 604 animals received an intramammary infusion of Streptococcus
uberis in one gland, and the clinical response over 13 milkings was used for
linkage mapping and genome-wide association analysis. A quantitative trait locus
(QTL) was detected on bovine chromosome 11 for clinical mastitis status using
micro-satellite and Affymetrix 10 K SNP markers, and then exome and genome
sequence data used from the six F1 sires of the experimental animals to examine
this region in more detail. A total of 485 sequence variants were typed in the
QTL interval, and association mapping using these and an additional 37 986 genome
wide markers from the Illumina SNP50 bovine SNP panel revealed association with
markers encompassing the interleukin-1 gene cluster locus. This study highlights
a region on bovine chromosome 11, consistent with earlier studies, as conferring
resistance to experimentally induced mammary gland infection, and newly
prioritises the IL1 gene cluster for further analysis in genetic resistance to
mastitis.
PMID- 29785905
TI - Hepatic Sirt3 expression declines postpartum in dairy goats.
AB - The experiments reported in this research communication aimed to plot the
expression pattern of Sirt3, a master regulator of energy metabolism and
antioxidation defence, in the liver of dairy goats during perinatal period. Ten
healthy dairy goats in late pregnancy were chosen, and needle biopsy was applied
to collect liver samples at 1-week intervals. Protein levels of hepatic Sirt3
were analysed by western-blotting. Serum enzyme activities of manganese
superoxide dismutase (Mn-SOD) and non-esterified fatty acids (NEFA) levels were
measured, and their correlation with Sirt3 mRNA levels was also estimated.
Compared with >3-week before parturition (BP), Sirt3 proteins were significantly
reduced at 1-week after parturition (AP) and 2-week AP (P < 0.05), but increased
on the day of parturition (P < 0.01). Correlation analysis revealed a positive
association between hepatic Sirt3 mRNA levels and serum enzyme activity of Mn-SOD
(r = 0.46), but a negative association between that and serum NEFA levels (r =
0.41). These data indicate that the decreased hepatic expression of Sirt3 might
be one of the reasons that dairy goats undergo oxidative stress after
parturition.
PMID- 29785906
TI - Molecular characterisation of the buffalo SCAP gene and its association with milk
production traits in water buffaloes.
AB - The study reported in this Research Communication was conducted to investigate
the molecular characterisation of buffalo SCAP gene, expression analysis, and the
association between single nucleotide polymorphisms and milk production traits in
384 buffaloes. Sequence analysis revealed the SCAP gene had an open reading frame
of 3837 bp encoding 1279 amino acids. A ubiquitous expression profile of SCAP
gene was detected in various tissues with extreme predominance in the mammary
gland during early lactation. Moreover, eleven SNPs in buffalo SCAP gene were
identified, six of them (g.1717600A>G, g.1757922C>T, g.1758953G>A, g.1759142C>T,
g.1760740G>A, and g.1766036T>C) were found to be significantly associated with
305-day milk yield. Thus, buffalo SCAP could sever as a candidate gene affecting
milk production traits in buffalo and the identified SNPs might potentially be
genetic markers.
PMID- 29785908
TI - Rapid detection of adulteration of milks from different species using Fourier
Transform Infrared Spectroscopy (FTIR).
AB - The aim of the studies reported in the Research Communication was to develop a
rapid spectroscopic technique as an alternative method for the classification and
discrimination of milk sources by Fourier transform infrared spectroscopy (FTIR).
Cow, sheep and water buffalo milk samples were collected from various local milk
producers in Istanbul, Turkey. In addition, various brands of packaged milk were
purchased locally. Spectrums were obtained according to milk species origin and
binary mixtures prepared in increments of 10% (10, 20, 30, 40, 50, 60, 70, 80 and
90%) for each sample analysed in FTIR spectroscopy. A successful milk species
(cow, sheep, and water buffalo) discrimination and classification were achieved
utilising Hierarchical cluster and principle component analyses (PCA) on the
basis of Euclidean distance and Ward's algorithm. Amide-I (1700-1600/cm) and
Amide-II (1565-1520/cm) spectral bands were used in the chemometric method. The
results of the study indicated that adulteration of milk samples can be
quantitatively detected by the FTIR technique in a short time with high accuracy.
In conclusion, this method could be used as a new alternative technique for
routine analysis in authenticity control of milk species origin.
PMID- 29785907
TI - The fatty acid composition of Estonian and Latvian retail milk; implications for
human nutrition compared with a designer milk.
AB - The study reported in this Research Communication compared retail milks' FA
profiles from two neighbouring countries, estimated the potential contributions
of these milks and a designer milk (achieved by changing the diet of the dairy
cow) to the recommended human dietary intake of FA, and predicted (based on the
milk FA profile) methane emission from dairy cows. Retail milks in Estonia and
Latvia were purchased from supermarkets monthly for one year. To compare the FA
composition of retail milk with designer milk with an increased PUFA content, the
bulk milk FA profile from a separate field trial was used. Milk FA concentrations
of the two neighbouring countries were affected by state, season and their
interaction, while the main influence on all these factors were different feeding
practices (grazing availability, forage to concentrate ratio and legume-rich
silages vs. maize silages). Three cups (600 mL; fat content 2.5 g/100 g) of
Estonian, or Latvian retail milk or designer milk per day contributed more to the
recommended intakes of saturated FA (SFA) (42.5, 42.7, 38.7%, respectively) than
other FA. Compared to the retail milks, alpha-linolenic acid estimated intake was
almost doubled by designer milk consumption (19.7% of adequate intake) without
influencing summed intakes of SFA and trans FA. There were state and seasonal
differences in the predicted methane outputs of dairy cattle based on retail milk
FA. Although the FA profiles of retail milks in the two neighbouring countries
were affected by state and season, an appreciable increase in human dietary
intakes of beneficial fatty acids from milk, and concomitant reduction in methane
emissions from dairy cows, can be achieved only by targeted feeding.
PMID- 29785909
TI - Udder skin surface temperature variation pre- and post- milking in dairy cows as
determined by infrared thermography.
AB - The objectives of the research reported in this Research Communication were to
compare the variation of hind quarter skin surface temperature pre- and post-
milking in dairy cows and to determine the optimal time to capture images by
infrared thermography for improving the sensitivity and specificity of mastitis
detection in dairy cows. Hind quarter infrared images of 102 Holstein dairy cows
were captured from the caudal view by an infrared camera pre-milking and post
milking. The udder skin surface temperature was measured with the help of the
image processing software. No significant difference was found between the left
and right quarter skin surface temperature pre- and post- milking. The hind
quarter skin surface temperature pre-milking was not significantly influenced by
milk yield, but exhibited a rising trend along with the increase of milk yield.
The hind quarter skin surface temperature post-milking was significantly
influenced by milk yield. This leads us to conclude that the sensitivity and
specificity of IRT in mastitis detection may be influenced by milk yield and it
may be better to capture the infrared images of cow udders pre-milking.
PMID- 29785910
TI - A large-scale study of indicators of sub-clinical mastitis in dairy cattle by
attribute weighting analysis of milk composition features: highlighting the
predictive power of lactose and electrical conductivity.
AB - Sub-clinical mastitis (SCM) affects milk composition. In this study, we
hypothesise that large-scale mining of milk composition features by pattern
recognition models can identify the best predictors of SCM within the milk
composition features. To this end, using data mining algorithms, we conducted a
large-scale and longitudinal study to evaluate the ability of various milk
production parameters as indicators of SCM. SCM is the most prevalent disease of
dairy cattle, causing substantial economic loss for the dairy industry.
Developing new techniques to diagnose SCM in its early stages improves herd
health and is of great importance. Test-day Somatic Cell Count (SCC) is the most
common indicator of SCM and the primary mastitis surveillance approach worldwide.
However, test-day SCC fluctuates widely between days, causing major concerns for
its reliability. Consequently, there would be great benefit to identifying
additional efficient indicators from large-scale and longitudinal studies. With
this intent, data was collected at every milking (twice per day) for a period of
2 months from a single farm using in-line electronic equipment (346 248 records
in total). The following data were analysed: milk volume, protein concentration,
lactose concentration, electrical conductivity (EC), milking time and peak flow.
Three SCC cut-offs were used to estimate the prevalence of SCM: Australian >= 250
000 cells/ml, European >=200 000 cells/ml and New Zealand >= 150 000 cells/ml. At
first, 10 different Attribute Weighting Algorithms (AWM) were applied to the
data. In the absence of SCC, lactose concentration featured as the most important
variable, followed by EC. For the first time, using attribute weighted modelling,
we showed that the concentration of lactose in milk can be used as a strong
indicator of SCM. The development of machine-learning expert systems using two or
more milk variables (such as lactose concentration and EC) may produce a
predictive pattern for early SCM detection.
PMID- 29785911
TI - Characterisation of mango flavoured curd powder developed using spray drying
technique.
AB - This research communication describes the production of flavoured (Mango) curd
powder. The mango flavoured curd powder was developed in two different
temperatures (150 degrees C and 170 degrees C) in various ratios with one
control curd sample. The final powder was obtained in high quality which can be
used directly or as an ingredient. The percentage of lactic acid in the developed
powder showed the healthy amount of probiotic present in it. The physical
properties and probiotic growth of the mango flavoured curd powder, developed by
spray drying technique, have been evaluated in this article. Various properties
were analysed, including moisture content, water activity, dispersibility, bulk
and tapped density, porosity, particle morphology, colour analysis, pH,
functional group identification using FTIR, identification of the pure culture
and % of lactic acid for 3 different Curd and Mango ratios (1:0.5, 1:1, 0.5:1),
respectively with one control curd sample. The lactobacillus strain found in this
newly developed flavoured powder was Lactobacillus curieae. Lactic acid
percentage showed the powder to contain appropriate and healthy amount of
probiotic and significant flavour. Future studies are focused on storage studies
of the produced powder.
PMID- 29785912
TI - Para-kappa-casein during the ripening and storage of low-pH, high-moisture Feta
cheese.
AB - The hypothesis of this research paper was that the physicochemical conditions in
a low-pH, high-moisture white brined cheese such as Feta would make para-kappa
casein vulnerable to residual chymosin activity during ripening and storage. It
was important to address this hypothesis, since cheese para-kappa-casein could
theoretically be used for the assessment of the origin of cheese milk by means of
various analytical methods. Feta cheese was manufactured from sheep milk and from
four different mixtures of sheep and goat milk in triplicate. The para-kappa
casein of Feta samples taken during 120 d of ripening and storage was estimated
by means of cation-exchange HPLC and proteolysis was determined in terms of free
amino groups. Despite their similarity, sheep and goat para-kappa-casein were
efficiently separated and the changes of their chromatographic areas indicated
that hydrolysis took place during the first stage of ripening. In accordance to
the evolution of free amino groups, para-kappa-caseins remained stable
thereafter. The hydrolysis pattern was not affected by the composition of the
cheese milk mixture and after 120 d at least two thirds of the initial quantity
remained intact. Considering the efficient separation of sheep and goat para
kappa-caseins and their stability during Feta storage, the same method was used
for the evaluation of the percentage of goat milk in the cheese milk. The actual
and the estimated percentage of goat milk within the range 0-40 were strongly
correlated (R = 0.997, n = 60) and the standard error of estimation was 0.914.
PMID- 29785913
TI - Editorial: Watering holes.
PMID- 29785914
TI - Fermented camel milk prevents carbon tetrachloride induced acute injury in kidney
of mice.
AB - Fermented milk is known to possess potent antioxidant activity. The present study
was undertaken to assess the preventive effect of fermented camel milk (FCM)
prepared using lactococcus lactis subsp. cremoris against CCl4 induced kidney
damage in mice. Nephrotoxicity was induced in mice by a single dose of CCl4 (10
ml/kg 0.3% olive oil, ip). Female mice were pretreated daily with FCM for 15 d.
Renal damage was associated with an increase in oxidative stress parameters
(lipid peroxidation, protein carbonyl and changes in antioxidant enzyme
activities and non-enzymatic antioxidant) and nephropathology markers.The renal
injury induced by CCl4 was confirmed by the histological study of the CCl4
intoxicated mice. Pretreatment with FCM significantly prevented renal dysfunction
by reducing oxidative stress, while mice recovered normal kidney histology.
Moreover, FCM prevented toxicity biomarker changes by reducing creatinine, urea,
uric acid, lactate dehydrogenase (LDH) and electrolytes levels in plasma. These
data indicate that FCM is efficient in inhibiting oxidative stress induced by
CCl4, and suggests that the administration of this milk may be helpful in the
prevention of kidney damage.
PMID- 29785915
TI - The effects of a synthetic analogue of the Bovine Appeasing Pheromone on milk
yield and composition in Valdostana dairy cows during the move from winter
housing to confined lowland pastures.
AB - This Research Communication describes the effects of a synthetic analogue of the
Bovine Appeasing Pheromone (BAP) on milk parameters in Valdostana dairy cows
during the first turning out from tie-stalls to confined lowland pastures around
the farms. Thirty healthy lactating Valdostana cows were enroled in the study and
randomly divided into 2 groups: experimental group (EG, n = 15) and control group
(CG, n = 15). The two groups were separately housed in the same farm and managed
outside in two different pens. Treatment (BAP and solution) and control (solution
only) were poured on the nuchal skin area between the horns when the animals were
inside the farm at the feeding rack every 7 d for 28 d (T0-T4). Milk samples were
evaluated at the same time points (T0-T4). Daily milk production (kg/day) was
higher in the EG than in the CG, particularly during the first day after the
turning out to pasture (T1). Somatic Cell Count (103 cells/ml) was higher in the
placebo group than in the EG, especially at T1. Proteins, fat, fat-free dry
matter and casein (g/100 g) were not affected by the treatment. In T1 urea
(mg/dl) content was higher in CG vs. EG, suggesting a more correct metabolic
balance in the group treated with BAP. The use of BAP appears to modulate
adaptation in ways that may improve dairy cow performance in the context of
changes in management routines.
PMID- 29785916
TI - Focusing on fatty acid profile in milk from different species after in vitro
digestion.
AB - We report the fatty acid profile of raw milk and of the corresponding digested
milk from different sources (human milk, formula milk and donkey, bovine, ovine
and caprine milk) to gain information on the nutritional quality of different
milk sources in infant nutrition.Short chain fatty acids (SC-FA) were higher in
bovine and caprine milk, intermediate in ovine and donkey and lower in human and
formula milk. Medium chain fatty acids (MC-FA) showed the highest values for
bovine and caprine milk and the lowest for donkey and formula milk, whereas long
chain fatty acids (LC-FA) were the highest in donkey and formula milk and
intermediate in human milk.The percentage distribution of fatty acids liberated
after in vitro digestion did not reflect the patterns found in the corresponding
milk sources. In particular, MC free fatty acids (MC-FFA) showed the highest and
the lowest values in donkey and in formula milk, LC-FFA showed the highest value
in human milk. The total FFA was highest in human milk, lowest in formula milk
and intermediate in donkey, bovine, ovine, and caprine milk.
PMID- 29785917
TI - Effect of season and breed on physiological and blood parameters in buffaloes.
AB - In this Research Communication we describe the effect of temperature and humidity
index (THI) on various physiological traits, the plasma heat shock protein 70
(HSP70), heat shock protein 90 (HSP90) and cortisol levels and other blood
parameters in crossbred buffalo (Nili-Ravi * Murrah) and Mediterranean buffalo to
compare their tolerance to heat stress. As expected, crossbred buffalo had a
significantly higher rectal temperature (RT), body surface temperature (BT),
respiratory rate (RR), HSP70 and HSP90 levels in summer compared to spring and
winter. RT and BT were also significantly higher in spring compared to winter. A
significant correlation existed between THI and RT (r = 0.81) and RR (r = 0.84).
Importantly, in summer the crossbred buffalo had a significantly lower RT, BT and
RR and higher HSP70, HSP90 and cortisol levels than the Mediterranean buffalo. In
conclusion, higher THI was associated with significant increase in RT, RR, BT,
HSP70, HSP90 and cortisol levels, and the crossbred buffalo were more heat
tolerant than Mediterranean buffalo.
PMID- 29785918
TI - Proteomic analysis of the effects of lutein on mammary gland metabolism in dairy
cows.
AB - The aim of the research reported in this Research Communication was to identify
differentially expressed proteins in dairy cows with normal and lutein diet and
to elucidate the mechanisms of lutein-induced effects on bovine mammary gland
metabolism using a comparative proteomic approach. Thirty-three differentially
expressed proteins were identified from mammary gland of control diet-fed and
lutein diet-fed dairy cows. Among these proteins, 15 were upregulated and 18 were
downregulated in the lutein group. Functional analysis of the differentially
expressed proteins showed that increased blood flow, depressed glycolysis,
enhanced lactose anabolism, decreased fatty acid oxidation and up-regulated beta
lactoglobulin expression were connected with lutein addition. These results
suggested that the increased blood flow, reduced glucose catabolism, enhanced
capacity for milk lactose synthesis, depressed fatty acid catabolism and
increased expression of antioxidantion related protein may be the prime factors
contributing to the increased milk production and enhanced immune status in
lutein-fed dairy cows. This study provides molecular mechanism of dietary lutein
in regulating lactation of dairy cows.
PMID- 29785919
TI - Genomic selection in dairy cattle simulated populations.
AB - Genomic selection is arguably the most promising tool for improving genetic gain
in domestic animals to emerge in the last few decades, but is an expensive
process. The aim of this study was to evaluate the economic impact related to the
implementation of genomic selection in a simulated dairy cattle population. The
software QMSim was used to simulate genomic and phenotypic data. The simulated
genome contained 30 chromosomes with 100 cm each, 1666 SNPs markers equally
spread and 266 QTLs randomly designated for each chromosome. The numbers of
markers and QTLs were designated according to information available from Animal
QTL (http://www.animalgenome.org/QTLdb) and Bovine QTL
(http://bovineqtl.tamu.edu/). The allelic frequency changes were assigned in a
gamma distribution with alpha parameters equal to 0.4. Recurrent mutation rates
of 1.0e-4 were assumed to apply to markers and QTLs. A historic population of
1000 individuals was generated and the total number of animals was reduced
gradually along 850 generations until we obtained a number of 200 animals in the
last generation, characterizing a bottleneck effect. Progenies were created along
generations from random mating of the male and female gametes, assuming the same
proportion of both genders. Than the population was extended for another 150
generations until we obtained 17 000 animals, with only 320 male individuals in
the last generation. After this period a 25 year of selection was simulated
taking into account a trait limited by sex with heritability of 0.30 (i.e. milk
yield), one progeny/cow/year and variance equal to 1.0. Annually, 320 bulls were
mated with 16 000 dams, assuming a replacement rate of 60 and 40% for males and
females, respectively. Selection and discard criteria were based in four
strategies to obtain the EBVs assuming as breeding objective to maximize milk
yield. The progeny replaced the discarded animals creating an overlapping
generation structure. The selection strategies were: RS is selection based on
random values; PS is selection based on phenotypic values; Blup is selection
based on EBVs estimated by BLUP; and GEBV is selection based on genomic estimated
breeding values in one step, using high (GBlup) and low (GBlupi) density panels.
Results indicated that the genetic evaluation using the aid of genomic
information could provide better genetic gain rates in dairy cattle breeding
programs as well as reduce the average inbreeding coefficient in the population.
The economic viability indicators showed that only Blup and GBlup/GBlupi
strategies, the ones that used milk control and genetic evaluation were economic
viable, considering a discount rate of 6.32% per year.
PMID- 29785920
TI - Effects of herd and physiological status on variation of 16 immunological and
inflammatory parameters in dairy cows during drying off and the transition
period.
AB - During drying off and transition period, cows are subject to changes in endocrine
status, metabolic stressors and altered immune functions, which could lead to an
increased risk of disease. To expand our knowledge on the immune/inflammatory
status and to identify markers to define cow status during this interval, the
pattern of 9 different cellular parameters, 5 cytokines, 2 enzymes and 3 cellular
ratios in blood samples were assessed in 15 primiparous cows belonging to three
different dairy herds in Lombardy. Our data showed that the variation of almost
all parameters was influenced by the physiological period in which the samples
were collected, except for apoptosis, IL-1beta, IL-6, lysozyme and
granulocyte/monocyte ratio. Several markers were directly correlated either to
the herd alone (IL-1beta, IL-6, lysozyme, granulocyte/lymphocyte ratio and
granulocyte/monocyte ratio) or in association with the sampling time (white blood
cell count, necrosis, lymphocytes count, CD4+ lymphocytes proportion).
Hierarchical cluster analysis identified three herd-associated sample clusters
showing different frequency along the follow-up period. The results of this field
study highlight the importance of the herd factor in the immune/inflammatory
response. Furthermore, these results suggest that cellular parameters are
probably the most suitable markers to define cow status during drying-off and the
peripartum period.
PMID- 29785921
TI - Case Report: Successful Treatment of Chromoblastomycosis Caused by Fonsecaea
monophora in a Patient with Psoriasis Using Itraconazole and Acitretin.
AB - Chromoblastomycosis is a worldwide chronic subcutaneous infection caused by
dematiaceous fungi and clinically characterized by verrucous lesions. Herein, we
report a rare case of chromoblastomycosis caused by Fonsecaea monophora in a 60
year-old male carpenter with a 40-year history of psoriasis from Shandong in
northern China. A fungal infection coexisting with psoriasis presents a
management dilemma. Our patient responded well to combined itraconazole and
acitretin therapy and the lesions resolved completely after 1 month of treatment.
PMID- 29785922
TI - Karyotype Evolution of Chagas Disease Vectors (Hemiptera, Triatominae).
AB - The Triatominae subfamily is composed of 153 hematophagous species that are
potential vectors of Trypanosoma cruzi, the etiological agent of Chagas disease.
Karyotypic studies in triatomines were initiated in 1909. There are 92 karyotypes
described, all grouped into the tribes Rhodniini and Triatomini. Recently, a
phylogenetic study of the triatomines that combines molecular data with
geological changes was performed. We now discuss how the karyotype evolved with
the diversification of the triatomines.
PMID- 29785923
TI - Cholera Outbreak due to Raw Seafood Consumption in South Korea, 2016.
AB - Three cases of cholera occurred in South Korea during a period of three weeks in
August 2016. All the cases were associated with the consumption of raw seafood in
southern coastal area of South Korea. Epidemiologic investigations were performed
to track the spread of cholera, including persons in contact with the cholera
patients, seafood, and seawater from the fish tank and marine environments. A
microbiological investigation demonstrated that cholera isolated from the three
patients and a seawater sample at the Korea Strait showed identical serotype (O1
Ogawa), biotype (El tor), and toxin (ctx-positive). Pulsed-field gel
electrophoresis analysis showed that the three clinical strains are identical
(100%) and shared 97% identity with the seawater sample.
PMID- 29785924
TI - Preliminary Evidence for the Absence of Cystic Echinococcosis in Gabon: A Cross
Sectional Pilot Survey in Humans and Definitive Hosts.
AB - Cystic echinococcosis (CE) is a globally endemic zoonosis caused by the larval
stage of the Echinococcus granulosus sensu lato (s.l.) complex. Although the
disease is known to be highly prevalent in certain parts of North and East
Africa, data on CE, both in humans and definitive hosts, are extremely scarce for
Central Africa. The present study assessed the epidemiology of CE in humans and
dogs in rural Gabon. An ultrasound and serologic survey was conducted in
volunteers from rural villages in Gabon. A two-step approach was used for
serological testing with an indirect hemagglutination assay as a screening test
and Western Blot as a confirmatory test. Fecal dog samples were analyzed
microscopically, and polymerase chain reaction (PCR) amplification of nad1 and
cox1 genes was performed when taeniid eggs were visible. Regional hospitals and
the national reference center for parasitology in Gabon were contacted for
information about previous cases of CE. Randomly selected communities were
invited to participate. Three hundred and forty-eight human volunteers from these
communities were screened. No suspected cases of CE were detected. Definitive
host screening was performed from 128 fecal samples from representative
subregions, but no eggs from E. granulosus s.l. were found. No documented cases
of echinococcosis were reported from the local health-care institutions and the
national diagnostic reference center in Gabon. Cystic echinococcosis seems to be
very rare or absent in Gabon. The reason for this lack of evidence for
echinococcosis is unknown, but the absence of livestock may play a major role.
PMID- 29785925
TI - Comparison of Two Genotyping Methods for Distinguishing Recrudescence from
Reinfection in Antimalarial Drug Efficacy/Effectiveness Trials.
AB - Genotyping of allelic variants of Plasmodium falciparum merozoite surface
proteins 1 and 2 (msp-1 and msp-2), and the glutamate-rich protein is the gold
standard for distinguishing reinfections from recrudescences in antimalarial drug
trials. We compared performance of the recently developed 24-single-nucleotide
polymorphism (SNP) Barcoding Assay against msp-1 and msp-2 genotyping in a
cluster-randomized effectiveness trial of artemether-lumefantrine and
dihydroartemisinin-piperaquine in Malawi. Rates of recrudescence and reinfection
estimated by the two methods did not differ significantly (Fisher's exact test; P
= 0.887 and P = 0.768, respectively). There was a strong agreement between the
two methods in predicting treatment outcomes and resolving the genetic complexity
of malaria infections in this setting. These results support the use of this SNP
assay as an alternative method for correcting antimalarial efficacy/effectiveness
data.
PMID- 29785926
TI - Intramedullary nail fixation versus locking plate fixation for adults with a
fracture of the distal tibia: the UK FixDT RCT.
AB - BACKGROUND: The best treatment for fractures of the distal tibia remains
controversial. Most of these fractures require surgical fixation, but the
outcomes are unpredictable and complications are common. OBJECTIVES: To assess
disability, quality of life, complications and resource use in patients treated
with intramedullary (IM) nail fixation versus locking plate fixation in the 12
months following a fracture of the distal tibia. DESIGN: This was a multicentre
randomised trial. SETTING: The trial was conducted in 28 UK acute trauma centres
from April 2013 to final follow-up in February 2017. PARTICIPANTS: In total, 321
adult patients were recruited. Participants were excluded if they had open
fractures, fractures involving the ankle joint, contraindication to nailing or
inability to complete questionnaires. INTERVENTIONS: IM nail fixation (n = 161),
in which a metal rod is inserted into the hollow centre of the tibia, versus
locking plate fixation (n = 160), in which a plate is attached to the surface of
the tibia with fixed-angle screws. MAIN OUTCOME MEASURES: The primary outcome
measure was the Disability Rating Index (DRI) score, which ranges from 0 points
(no disability) to 100 points (complete disability), at 6 months with a minimum
clinically important difference of 8 points. The DRI score was also collected at
3 and 12 months. The secondary outcomes were the Olerud-Molander Ankle Score
(OMAS), quality of life as measured using EuroQol-5 Dimensions (EQ-5D),
complications such as infection, and further surgery. Resource use was collected
to inform the health economic evaluation. RESULTS: Participants had a mean age of
45 years (standard deviation 16.2 years), were predominantly male (61%, 197/321)
and had experienced traumatic injury after a fall (69%, 223/321). There was no
statistically significant difference in DRI score at 6 months [IM nail fixation
group, mean 29.8 points, 95% confidence interval (CI) 26.1 to 33.7 points;
locking plate group, mean 33.8 points, 95% CI 29.7 to 37.9 points; adjusted
difference, 4.0 points, 95% CI -1.0 to 9.0 points; p = 0.11]. There was a
statistically significant difference in DRI score at 3 months in favour of IM
nail fixation (IM nail fixation group, mean 44.2 points, 95% CI 40.8 to 47.6
points; locking plate group, mean 52.6 points, 95% CI 49.3 to 55.9 points;
adjusted difference 8.8 points, 95% CI 4.3 to 13.2 points; p < 0.001), but not at
12 months (IM nail fixation group, mean 23.1 points, 95% CI 18.9 to 27.2 points;
locking plate group, 24.0 points, 95% CI 19.7 to 28.3 points; adjusted difference
1.9 points, 95% CI -3.2 to 6.9 points; p = 0.47). Secondary outcomes showed the
same pattern, including a statistically significant difference in mean OMAS and
EQ-5D scores at 3 and 6 months in favour of IM nail fixation. There were no
statistically significant differences in complications, including the number of
postoperative infections (13% in the locking plate group and 9% in the IM nail
fixation group). Further surgery was more common in the locking plate group (12%
in locking plate group and 8% in IM nail fixation group at 12 months). The
economic evaluation showed that IM nail fixation provided a slightly higher
quality of life in the 12 months after injury and at lower cost and, therefore,
it was cost-effective compared with locking plate fixation. The probability of
cost-effectiveness for IM nail fixation exceeded 90%, regardless of the value of
the cost-effectiveness threshold. LIMITATIONS: As wound dressings after surgery
are clearly visible, it was not possible to blind the patients to their treatment
allocation. This evidence does not apply to intra-articular (pilon) fractures of
the distal tibia. CONCLUSIONS: Among adults with an acute fracture of the distal
tibia who were randomised to IM nail fixation or locking plate fixation, there
were similar disability ratings at 6 months. However, recovery across all
outcomes was faster in the IM nail fixation group and costs were lower. FUTURE
WORK: The potential benefit of IM nail fixation in several other fractures
requires investigation. Research is also required into the role of adjuvant
treatment and different rehabilitation strategies to accelerate recovery
following a fracture of the tibia and other long-bone fractures in the lower
limb. The patients in this trial will remain in longer-term follow-up. TRIAL
REGISTRATION: Current Controlled Trials ISRCTN99771224 and UKCRN 13761. FUNDING:
This project was funded by the National Institute for Health Research (NIHR)
Health Technology Assessment programme and will be published in full in Health
Technology Assessment; Vol. 22, No. 25. See the NIHR Journals Library website for
further project information.
PMID- 29785927
TI - PRECICE(r) magnetically-driven, telescopic, intramedullary lengthening nail: pre
clinical testing and first 30 patients.
AB - INTRODUCTION: Femoral/tibial lengthening with a telescopic, magnetically-powered,
intramedullary nail is an alternative to lengthening with external fixation.
METHODS: Pre-clinical testing was conducted of the PRECICE in a human cadaver. A
retrospective review of the first 30 consecutive patients who underwent
unilateral lengthening was also conducted. Nail accuracy was obtained by
comparing the amount of nail distraction to the final bone length achieved at the
end of the distraction process. Relative standard deviation of accuracy was used
to calculate nail precision. RESULTS: Devices performed successfully in a human
cadaver. Thirty consecutive patients (10 females, 20 males; mean age, 23 years)
with limb length discrepancy (LLD) were followed an average of 19 months (range,
12-24 months). Etiology included congenital shortening (14), posttraumatic
deformities (7), Ollier disease (3), osteosarcoma resection (1), prior clubfoot
(2), hip dysplasia (1), post-septic growth arrest of knee (1), and LLD after hip
arthroplasty (1). Twenty-four femoral and eight tibial nails were implanted. Mean
preoperative lengthening goal was 4.4 cm (range, 2-6.5 cm); mean postoperative
length achieved was 4.3 cm (range, 1.5-6.5 cm). Average consolidation index was
36.4 days/cm (range, 12.8-113 days/cm). Mean nail accuracy was 97.3% with a
precision of 92.4%. Average preoperative and 12-month postoperative Enneking
scores were 21.5 and 25.3 (p < 0.001), respectively. The preoperative and 12
month postoperative SF-12 physical and mental component scores were not
statistically different. Nine complications (nine limb segments) resolved: two
partial femoral unions, two suspected deep vein thrombosis (DVT), one delayed
tibial union, one fibular nonunion, one peroneal nerve irritation, one knee joint
subluxation, and one confirmed DVT. Twenty-nine (91%) of 32 limb segments
achieved successful bone healing without revision surgery. DISCUSSION: Limb
lengthening with PRECICE is reliable, but larger trials with longer follow-up
will reveal limitations. Implantable nails prevent problems associated with
external fixation, such as muscle tethering and pin-site infections.
PMID- 29785928
TI - Post-decision biases reveal a self-consistency principle in perceptual inference.
AB - Making a categorical judgment can systematically bias our subsequent perception
of the world. We show that these biases are well explained by a self-consistent
Bayesian observer whose perceptual inference process is causally conditioned on
the preceding choice. We quantitatively validated the model and its key
assumptions with a targeted set of three psychophysical experiments, focusing on
a task sequence where subjects first had to make a categorical orientation
judgment before estimating the actual orientation of a visual stimulus. Subjects
exhibited a high degree of consistency between categorical judgment and estimate,
which is difficult to reconcile with alternative models in the face of late,
memory related noise. The observed bias patterns resemble the well-known changes
in subjective preferences associated with cognitive dissonance, which suggests
that the brain's inference processes may be governed by a universal self
consistency constraint that avoids entertaining 'dissonant' interpretations of
the evidence.
PMID- 29785929
TI - Dissection of the in vitro developmental program of Hammondia hammondi reveals a
link between stress sensitivity and life cycle flexibility in Toxoplasma gondii.
AB - Most eukaryotic parasites are obligately heteroxenous, requiring sequential
infection of different host species in order to survive. Toxoplasma gondii is a
rare exception to this rule, having a uniquely facultative heteroxenous life
cycle. To understand the origins of this phenomenon, we compared development and
stress responses in T. gondii to those of its its obligately heteroxenous
relative, Hammondia hammondi and have identified multiple H. hammondi growth
states that are distinct from those in T. gondii. Of these, the most dramatic
difference was that H. hammondi was refractory to stressors that robustly induce
cyst formation in T. gondii, and this was reflected most dramatically in its
unchanging transcriptome after stress exposure. We also found that H. hammondi
could be propagated in vitro for up to 8 days post-excystation, and we exploited
this to generate the first ever transgenic H. hammondi line. Overall our data
show that H. hammondi zoites grow as stringently regulated, unique life stages
that are distinct from T. gondii tachyzoites, and implicate stress sensitivity as
a potential developmental innovation that increased the flexibility of the T.
gondii life cycle.
PMID- 29785931
TI - First bone-cracking dog coprolites provide new insight into bone consumption in
Borophagus and their unique ecological niche.
AB - Borophagine canids have long been hypothesized to be North American ecological
'avatars' of living hyenas in Africa and Asia, but direct fossil evidence of
hyena-like bone consumption is hitherto unknown. We report rare coprolites
(fossilized feces) of Borophagus parvus from the late Miocene of California and,
for the first time, describe unambiguous evidence that these predatory canids
ingested large amounts of bone. Surface morphology, micro-CT analyses, and
contextual information reveal (1) droppings in concentrations signifying scent
marking behavior, similar to latrines used by living social carnivorans; (2)
routine consumption of skeletons; (3) undissolved bones inside coprolites
indicating gastrointestinal similarity to modern striped and brown hyenas; (4) B.
parvus body weight of ~24 kg, reaching sizes of obligatory large-prey hunters;
and (5) prey size ranging ~35-100 kg. This combination of traits suggests that
bone-crushing Borophagus potentially hunted in collaborative social groups and
occupied a niche no longer present in North American ecosystems.
PMID- 29785932
TI - Cortical thickness correlates of minor neurological signs in patients with first
episode psychosis.
AB - Neurological soft signs (NSS) are subtle abnormalities of motor and sensory
function that are present in the absence of localized brain pathological lesions.
In psychoses they have been consistently associated with a distinct pattern of
cortical and subcortical brain structural alterations at the level of the
heteromodal cortex and basal ganglia. However, a more specific and accurate
evaluation of the cytoarchitecture of the cortical mantle could further advance
our understanding of the neurobiological substrate of psychosis. We investigated
the relationship between brain structure and NSS in a sample of 66 patients at
their first episode of psychosis. We used the Neurological Evaluation Scale for
neurological assessment and high-resolution MRI and Freesurfer to explore
cortical thickness and surface area. Higher rates of NSS were associated with a
reduction of cortical thickness in the precentral and postcentral gyri, inferior
parietal, superior temporal, and fusiform gyri. Higher rates of NSS were also
associated with smaller surface areas of superior temporal gyrus and frontal
regions (including middle frontal, superior and orbito-frontal gyri). Finally,
more sensory integration signs were also associated with larger surface area of
the latero-occipital region. We conclude that the presence of NSS in psychosis is
associated with distinct but widespread changes in cortical thickness and surface
area, in areas crucial for sensory-motor integration and for the fluid execution
of movement. Studying these morphological correlates with advanced neuroimaging
techniques can continue to improve our knowledge on the neurobiological substrate
of these important functional correlates of psychosis.
PMID- 29785930
TI - Shearing in flow environment promotes evolution of social behavior in microbial
populations.
AB - How producers of public goods persist in microbial communities is a major
question in evolutionary biology. Cooperation is evolutionarily unstable, since
cheating strains can reproduce quicker and take over. Spatial structure has been
shown to be a robust mechanism for the evolution of cooperation. Here we study
how spatial assortment might emerge from native dynamics and show that fluid flow
shear promotes cooperative behavior. Social structures arise naturally from our
advection-diffusion-reaction model as self-reproducing Turing patterns. We
computationally study the effects of fluid advection on these patterns as a
mechanism to enable or enhance social behavior. Our central finding is that flow
shear enables and promotes social behavior in microbes by increasing the group
fragmentation rate and thereby limiting the spread of cheating strains. Regions
of the flow domain with higher shear admit high cooperativity and large
population density, whereas low shear regions are devoid of life due to
opportunistic mutations.
PMID- 29785933
TI - A Comparison of Peripheral Imaging Technologies for Bone and Muscle
Quantification: A Review of Segmentation Techniques.
AB - Musculoskeletal science has developed many overlapping branches, necessitating
specialists from 1 area of focus to often require the expertise in others. In
terms of imaging, this means obtaining a comprehensive illustration of bone,
muscle, and fat tissues. There is currently a lack of a reliable resource for end
users to learn about these tissues' imaging and quantification techniques
together. An improved understanding of these tissues has been an important
progression toward better prediction of disease outcomes and better elucidation
of their interaction with frailty, aging, and metabolic disorders. Over the last
decade, there have been major advances into the image acquisition and
segmentation of bone, muscle, and fat features using computed tomography (CT),
magnetic resonance imaging (MRI), and peripheral modules of these systems.
Dedicated peripheral quantitative musculoskeletal imaging systems have paved the
way for mobile research units, lower cost clinical research facilities, and
improved resolution per unit cost paid. The purpose of this review was to detail
the segmentation techniques available for each of these peripheral CT and MRI
modalities and to describe advances in segmentation methods as applied to study
longitudinal changes and treatment-related dynamics. Although the peripheral CT
units described herein have established feasible standardized protocols that
users have adopted globally, there remain challenges in standardizing MRI
protocols for bone and muscle imaging.
PMID- 29785934
TI - Imaging Modalities in the Etiologic Evaluation of Unilateral Vocal Fold
Paralysis.
AB - OBJECTIVE: This study aimed to investigate the roles of computed tomography (CT)
and neck ultrasonography (US) in evaluating unilateral vocal fold paralysis
(UVFP) of unknown etiology and to compare our results with those of other studies
to assess the differences in etiology of UVFP. METHODS: We investigated the
medical records of 202 eligible patients with UVFP. In total, 168 underwent chest
CT, 118 underwent neck CT, and 108 underwent head CT. One hundred and three
patients were also evaluated with high-resolution neck US. The etiologic causes
of UVFP were also determined. RESULTS: Of the 202 eligible patients, the occult
cause of the UVFP was determined in 96 patients (47.5%). Idiopathic causes were
the most common etiologies (n = 106). In occult causes group, chest lesions were
the most common diseases causing paralysis (52 cases) and included lung cancer (n
= 28) and mediastinal malignancy (n = 8). More than half of the neck lesions were
of thyroid origin. Of the 18 thyroid lesions, 12 were thyroid malignancies. Chest
CT had an intermediate yield of 30.9% (52 of 168). Neck US had a diagnostic yield
close to that of neck CT (26.2%). CONCLUSION: UVFP may result mainly from
idiopathic, lung cancer, mediastinal, and thyroid malignancies. The initial use
of neck US as an alternative to CT may be advocated for the determination of
diseases resulting in UVFP.
PMID- 29785935
TI - The Impact of Dysphonic Voices on Children's Comprehension of Spoken Language.
AB - BACKGROUND: This study investigated the effect of teachers' dysphonic voices on
children's listening comprehension. METHODS: One hundred thirty-four grade three
and four students were recruited from local primary schools in Hong Kong. They
were required to listen to six passages, three in Cantonese and three in English,
which were either read in normal, mildly dysphonic, or severely dysphonic voices.
The students were required to complete six multiple-choice comprehension
questions upon listening to each passage. Comprehension performance across
languages, dysphonic severities, genders, and question types were examined.
RESULTS: The results showed that listening comprehension was significantly poorer
even when speaker's voice quality was mildly impaired. Performance in Cantonese
was generally better than that in English but no significant difference in the
pattern of decline was found. Both boys and girls suffered to similar extent
under dysphonic situations. Differences in performance in various question types
were discussed. CONCLUSIONS: These findings support the urgent need to implement
voice care education for the teaching profession.
PMID- 29785936
TI - An Objective Parameter to Classify Voice Signals Based on Variation in Energy
Distribution.
AB - OBJECTIVES: The purpose of this paper is to introduce an iterative nonlinear
weighted method based on the variation in spectral energy distribution present in
a voice signal to differentiate between four voice types: type 1 voice signals
are nearly periodic, type 2 voice signals have strong modulations and
subharmonics, type 3 signals are chaotic, and type 4 signals are dominated by
stochastic noise. STUDY DESIGN: A total of 135 voice signal samples of the
sustained vowel /a/ were obtained from the Disordered Voice Database and then
individually categorized into the appropriate voice types based on the
classification system described in Sprecher et al (2010). Voice samples were
analyzed using the nonlinear methods of spectrum convergence ratio, rate of
divergence, and nonlinear energy difference ratio (NEDR) to investigate
classifier efficacy. METHODS: An iterative nonlinear weighted method based on the
derivative of instantaneous frequency and Fourier transformations is applied to
calculate spectral energy distributions. The distribution is then used to
calculate the NEDR to classify voice signal types. RESULTS: Statistical analysis
revealed that NEDR effectively differentiated between all four voice types (P <
0.001). Subsequent multiclass receiver operating characteristic analysis
demonstrated that NEDR (area under the curve [95% CI] = 0.99 [0.96-1.0])
possessed the greatest classification accuracy relative to spectrum convergence
ratio and rate of divergence. CONCLUSION: NEDR was shown to be an effective
metric for objective differentiation between all four voice signal types. NEDR
calculations occurred approximately instantaneously, constituting a substantial
improvement over the tedious computational time required for calculation of
previous nonlinear parameters. This metric could assist clinicians in the
diagnosis of voice disorders and monitor the efficacy of treatment through
observation of voice acoustical improvement over time.
PMID- 29785937
TI - The effect of enzyme replacement therapy on clinical outcomes in paediatric
patients with Fabry disease - A systematic literature review by a European panel
of experts.
AB - BACKGROUND: Fabry disease is caused by a deficiency of the lysosomal enzyme alpha
galactosidase, resulting in progressive accumulation of globotriaosylceramide (GL
3). The disease can manifest early during childhood and adolescence. Enzyme
replacement therapy (ERT) with recombinant human alpha-galactosidase is the first
specific treatment for Fabry disease and has been available in Europe since 2001.
This paper presents the findings of a systematic literature review of clinical
outcomes with ERT in paediatric patients with Fabry disease. METHODS: A
comprehensive systematic review of published literature on ERT in Fabry disease
was conducted in January 2017. The literature analysis included all original
articles reporting outcomes of ERT in paediatric patients. RESULTS: Treatment
related outcomes in the paediatric population were reported in six publications
derived from open-label clinical trials and in 10 publications derived from
observational or registry-based studies. ERT was shown to significantly reduce
plasma and urine GL-3 levels in paediatric patients with Fabry disease. The
effect of ERT on GL-3 clearance from renal podocytes appeared to be agalsidase
dose-dependent. ERT relieved pain and improved gastrointestinal symptoms and
quality of life. CONCLUSIONS: Based on the published literature, the use of ERT
in paediatric patients can significantly clear GL-3 accumulation, ameliorate the
early symptoms of Fabry disease, and improve quality of life. Treatment with ERT
in paediatric patients with Fabry disease may be important to prevent further
disease progression and overt organ damage.
PMID- 29785938
TI - Decision-making in end of life care. Are we really playing together in the same
team?
AB - BACKGROUND: Limitation of life-sustaining treatment is increasingly common in
critical care units, and controlled donation after circulatory death is starting
to be included as an option within patient care plans. Lack of knowledge and
misunderstandings can place a barrier between healthcare professionals.
OBJECTIVE: To determine the perceptions, knowledge and attitudes of physicians
and nurses working in intensive care units regarding Limitation of life
sustaining treatment and controlled donation after circulatory death. DESIGN,
SETTINGS AND PARTICIPANTS: Cross-sectional study carried out in 13 Spanish
hospitals by means of an ad hoc questionnaire. METHODS: Contingency tables,
Pearson's chi-squared test, Student's t-test and the Mann-Whitney u-test were
used to carry out descriptive, bivariate and multivariate statistical analyses of
responses. RESULTS: Although Limitation of life-sustaining treatment is a
widespread practice, the survey revealed that nurses feel excluded from the
development of protocols and the decision-making process, whilst the perception
of physicians is that they have greater knowledge of the topic, and decisions are
reached in consensus. CONCLUSIONS: Multi-disciplinary training programmes can
help critical healthcare providers to work together with greater coordination,
thus benefitting patients and their next of kin by providing excellent end-of
life care.
PMID- 29785939
TI - Exploring an Integrative Patient-Tailored Complementary Medicine Approach for
Chemotherapy-Induced Taste Disorders.
AB - CONTEXT: Chemotherapy-induced taste disorder (CITD) is a common adverse effect
among patients with cancer, with no effective known treatment. OBJECTIVES:
Exploring the impact of a patient-tailored complementary and integrative medicine
(CIM) treatment program on CITD-related severity. DESIGN: Prospective study on
patients' chart. SETTING: Integrative oncology program operating within the
Clalit Healthcare Oncology Service in northern Israel. PATIENTS: Patients were
referred by their oncology healthcare practitioner to a consultation with a CIM
trained integrative physician (IP). A patient-tailored CIM treatment program was
designed, addressing quality of life (QOL)-related concerns which were evaluated
using the Edmonton symptom assessment scale (ESAS) and the measure yourself
concerns and well-being (MYCAW) questionnaires. RESULTS: A total of 626 patients
were referred to the IP consultation, with CITD-related symptoms identified in
43, 34 of them returning for follow-up. The majority of patients treated with CIM
reported a reduction in symptom severity (n = 29), with only three reporting no
change, 2 an "unclear effect" and none a worsening of CITD-related symptoms.
Acupuncture and herbal medicine (sage, carob, and wheatgrass juice, as mouthwash
or applied to the oral mucosa) were the most frequently CIM modalities used.
Assessment was considered optimal for 18 of the 29 patients who reported an
improvement in ESAS scores for fatigue, drowsiness and depression. We conclude
that a patient-tailored CIM program is a potentially effective and safe
therapeutic option for CITD-related symptoms. Further research is needed in order
to explore the impact of CIM treatments on taste and appetite-related concerns
during chemotherapy.
PMID- 29785940
TI - Metabolic effects, safety, and acceptability of very low-calorie ketogenic
dietetic scheme on candidates for bariatric surgery.
AB - BACKGROUND: Previous studies have demonstrated significant advantages from a
preoperative dietetic regimen for candidates to bariatric procedure. OBJECTIVES:
Evaluation of safety, efficacy, and acceptability of a very low-calorie ketogenic
diet in patients before bariatric surgery. SETTING: University Hospital. METHODS:
A standardized 30-day sequential preoperative diet regimen has been analyzed,
optimizing metabolic response with gradual carbohydrate reintroduction. Patients
were given a dedicated KetoStationkit, for use during the first 10 days of the
scheme, followed by a hypocaloric scheme for 20 days. The study group underwent
routine laboratory tests and anthropometric measurements (percent weight loss,
body mass index, waist circumference) at enrollment (T0), after 10 days (T1), and
after 30 days (T2). Ketone body levels were measured in the plasma and urine.
RESULTS: Between January 2015 and September 2015, 119 patients were included in
the study. Mean body mass index was 41.5 +/- 7.6 kg/m2. Weight, body mass index,
and waist circumference at T0 and T1, T0 and T2, and T1 and T2 decreased
significantly (P<.05). A bioelectrical impedance assay determined a significant
reduction in visceral fat at T1 and T2. We observed a significant (P<.05)
improvement in several clinical parameters, including glycemic and lipid profile
parameters. We also observed a mean 30% reduction in liver volume. The majority
of patients declared satisfied or very satisfied. The adverse effects were mild,
of short duration, and not clinically relevant. CONCLUSION: Our results confirm
the acceptability, safety, and significant advantage of a very low-calorie
ketogenic diet for reducing weight and liver volume of patients in preparation
for bariatric surgery.
PMID- 29785941
TI - Life style and risk of atypical eating disorders in university students: Reality
versus perception.
AB - OBJECTIVE: The objectives of this paper were to determine weight status, eating,
and alcohol drinking and smoking habits of university students, to determine the
association between these variables with negative self-perception of their eating
habits and to assess the risk of developing eating disorders. METHOD: A cross
sectional study was carried out on 422 university students. The parameters
analyzed were: nutritional status, eating habits, alcohol/ tobacco consumption,
and risk of eating disorder. Logistic regression was applied to identify factors
associated with a negative perception of eating habits. RESULTS: Out of the whole
population that was analyzed, 5% were underweight, 16% overweight and 4% obese.
Fifty-five percent of the sample analyzed did not consume five meals a day. The
recommended foods for daily consumption were consumed below recommendations,
while sausages/fatty meats, industrial pastries, lean meats, and fish were over
consumed. Overall, the population perceived their eating habits as good/very good
(63%). Alcohol and tobacco consumption predominated at weekends. The girls were
more image-conscious (80.6% vs. 66%) and fearful of gaining weight (52.5% vs.
23.9%). Almost 30% had a distorted perception of body image. There was a 12.8%
risk of atypical anorexia nervosa and 4.7% of atypical bulimia nervosa.
CONCLUSIONS: College students led unhealthy lifestyles, mainly due to eating
habits that do not conform to the establish recommendations. More than 17% are at
risk of developing an atypical eating disorder. This information may be of
interest in developing preventive actions.
PMID- 29785942
TI - Experience in a community health service learning project in the development of
final degree projects.
PMID- 29785943
TI - [Roles and molecular mechanisms of hypoxia-inducible factors in renal cell
carcinoma].
AB - Renal cancer is a common urologic malignancy. However, the therapeutic options
for metastatic renal cancer patients are limited. Hypoxia (low oxygen) is a
fundamental microenvironmental determinant of solid tumor pathophysiology. Recent
data from molecular and clinical studies indicate that hypoxia-inducible factors
(HIFs) play critical roles in the development and progress of renal cell
carcinoma (RCC). The HIF transcription factor family is a type of heterodimeric
transcription factor consisting of HIF-alpha and HIF-beta subunits and can
transcriptionally activate genes that mediate the hypoxic response. In RCC, HIF
1alpha and HIF-2alpha have opposing effects: HIF-1alpha is a tumor suppressor
while HIF-2alpha acts as an oncogene. In this review, we summarize the current
advances in understanding the roles and molecular mechanisms of HIF signaling in
RCC. We also discuss recent HIF-targeted strategies proposed to improve RCC
treatment, which may provide a foundation for further research, including the
development of precision medicine for the treatment of RCC.
PMID- 29785944
TI - [Overview of the genetic control of horse coat color patterns].
AB - Mammalian coat color is one of the first phenotypic changes resulting from
positive selection by humans, and it serves important roles in genetic and
evolutionary processes. Among them, horses show a broad variety of coat color
patterns, based on which it is difficult to distinguish the real phenotypes,
resulting in confused records in horse breed registration. Thus, research in the
genetic mechanisms on the development of coat color patterns is significant in
horse reproduction and breeding. With the recent establishment of genomics and
sequencing technologies, there are significant advances in research in the
genetics of horse coat colors, which demonstrate that special coat colors could
be associated with certain diseases. In this review, we classify horse coat
colors from the perspective of genetics, and summarize the recent research
progresses of the associated genes and molecular mechanisms on horse coat color
development and its application, thereby providing references to further
systematic research on horse coat color patterns and their practical uses in
horse breeding.
PMID- 29785945
TI - [The molecular mechanism of sheep seasonal breeding and artificial regulatory
techniques for estrus and mating in anestrus].
AB - Seasonal breeding is an important factor limiting sheep production efficiency.
Detailed analysis on the molecular mechanisms of seasonal breeding is the premise
for improving estrus and mating rate of sheep during anestrus. Recent research
showed that under long-photoperiod and short-photoperiod conditions, a series of
changes in signaling molecules and cell morphology could be observed in ovine
seasonal reproduction pathway. Based on the molecular mechanisms of seasonal
reproduction, several technologies or methods for inducing estrus and mating of
ewes in anestrus have been developed. In this review, photoperiod-induced changes
in signaling molecules and cell morphology in pituitary and hypothalamic tissue
are first summarized in terms of the molecular mechanisms and characteristics of
seasonal reproduction. The application effect, advantages and disadvantages for
applying these technologies for inducing estrus and mating of ewes in anestrus
are then discussed, thereby providing the critical insights in identifying a new
technology, which is environmentally friendly and efficient, to improve breeding
rate in anestrus.
PMID- 29785946
TI - [CRISPR/Cas systems in genome engineering of bacteriophages].
AB - Researches on CRISPR/Cas (clustered regularly interspaced short palindromic
repeats/CRISPR-associated genes) systems, that are adaptive immunity systems
encoded by prokaryotes, have promoted the development of new genome-editing
tools. Bacteriophages are not only the driving elements for the evolution of
prokaryotes' CRISPR arrays, but also the targets of the CRISPR/Cas systems.
Studies on functional genomics of bacteriophages have been lagging behind the
discovery of new phage strains and the sequencing of their genomes. CRISPR/Cas
systems-driven genome engineering of bacteriophages provides a novel approach for
bacteriophage functional genomics. This review comments on a few profound cases
of genome engineering of bacteriophages that employed the CRISPR/Cas systems, and
compares multiple procedures illustrating common or distinct features as well as
advantages and disadvantages underlying each procedure. We design new
applications of the CRISPR/Cas systems coupled with bacteriophage recombination
systems, discuss their potential constraints, and offer suggestions for each
option.
PMID- 29785947
TI - [N-WASP regulates cortical neuron migration through its polyPro and VCA domains].
AB - Cortical neuron migration in the developing mouse forebrain is a complex process,
which contains several steps related to cytoskeleton dynamics and remodeling.
Neural Wiskott-Aldrich syndrome protein (N-WASP), a member of the WASP-WAVE
family, regulates actin cytoskeleton reorganization through the binding of its
VCA domain to the Arp2/3 complex. Here we report expression patterns of N-WASP
gene in the mouse developing embryonic cortex (E12.5~ E18.5) and find its
expression levels are decreased during embryonic development. By using in utero
electroporation (IUE) method, we find that either N-WASP overexpression or
knockdown impairs cortical neuron migration, and the defects of cortical neuron
migration caused by N-WASP overexpression are much more severe than that by its
knockdown. N-WASP protein contains four domains: WH1, GBD, polyPro, and VCA. We
generated a series of dominant negative N-WASP mutants by modifying these
domains. Overexpression of N-WASP mutant lacking domain polyPro, VCA, or WH1,
impairs cortical neuron migration. However, overexpression of N-WASP with the
H208D point mutation, which abolishes the Cdc42 binding to N-WASP, causes only a
marginal defect of cortical neuron migration. Finally, overexpression of the
individual domain polyPro or VCA, but not WH1, can recapitulate the defects by N
WASP overexpression. However, overexpression of WH1-GBD fragment has no apparent
effect on cortical neuron migration. In conclusion, our data demonstrate that N
WASP regulates cortical neuron migration mainly through its polyPro and VCA
domains.
PMID- 29785948
TI - [Genetics of fertility restoration in the isocytoplasm allonuclear C-group of
cytoplasmic male sterility in maize].
AB - Maize is one of the first crops to produce hybrids using cytoplasmic male sterile
lines. The C-type cytoplasmic male sterile (CMS-C) line is vital for hybrid seed
production, and the fertility-restoration reaction along with its stability has a
direct bearing on its applications. However, fertility restoration mechanism of
CMS-C is complex and is still not clear so far. To further explore the factors
affecting the fertility restoration of maize CMS, a series of test crosses were
carried out by pollinating the isocytoplasm allonuclear CMS-C lines C48-2,
Chuangzaosi and C478 with the test lines 18 bai, zi 330, 5022 and the restorer
line A619, respectively. Four F2 populations and six double-cross combinations
were obtained from the self-cross of fertility restored F1 and pollinating male
sterility-maintained F1 with the male-fertility-restored F1, respectively.
Meanwhile, we developed the incomplete diallel-cross combinations using the
isocytoplasm allonuclear male sterile lines as maternal parents and their
respective maintainer lines 48-2, huangzaosi and 478 as paternal parents. All the
F1, F2 and double-cross populations were planted at distinct locations in
different years, and the fertility-restoration reaction was scored by field
investigation and pollen staining with I2-IK. The results were as follows: 1) The
same test line could restore the CMS-C line at a certain genetic background, but
failed to restore the CMS-C line at the other genetic backgrounds, suggesting
that the genetic background of CMS-C lines plays an important role in the
fertility restoration. 2) The fertile-to-sterile segregation ratio of (C48
2*A619) F2 population planted in both Sichuan and Yunnan fited well to 15:1 by
the chi 2 test. However, the fertility level of individuals in Yunnan mainly
belonged to the 3 and 4grades, but which in Sichuan mainly belonged to the 5
grade, indicating the environmental factors had effect on the fertility
restoration reaction of (C48-2*A619) F2. 3) In our study, 18 bai could not
restore C478, and 48-2 could not restore C478, but the fertile and sterile
segregated plants were unexpectedly found in their double-cross population
[(C478*18 bai) F1S*(C48-2*18 bai) F1F]. The similar case was also observed in the
double-cross population [(C48-2 * zi 330) F1S * (C478 * zi 330) F1F]. Therefore,
we speculated that there are minor fertility restorer genes not only in the
nuclear background of C48-2 and C478, but also in zi 330 and 18 bai, and when
these minor genes were gathered by hybridization, they could restore the
fertility of C478 and C48-2. This conforms to the restorer genes dose-effect for
fertility restoration in the plant CMS system. These results not only contribute
to our understanding of the complexity and diversity of CMS-C restoration
mechanism, but also provide an important reference for the practical applications
about maize CMS-C.
PMID- 29785949
TI - [The functions of yhcZ gene during Bacillus thuringiensis growth].
AB - yhcZ and yhcY genes constitute a two-component system in Bacillus subtilis and B.
cereus that regulates bacterial growth. However, the exact biological function of
yhcZ gene in B. thuringiensis has not been fully elucidated. In this study, we
proved that HD73_5824 is an yhcZ gene in B. thuringiensis subsp. kurstaki HD73
strain by combining gene functional annotation, analysis of upstream and
downstream genes arrangement, and amino acid sequence alignment. This yhcZ gene
may co-regulate bacterial growth with HD73_5825 gene (yhcY gene) by constituting
a two-component system. Homologous recombination technology was employed to knock
out yhcZ gene of HD73, resulting in a mutant strain HD (DeltayhcZ). The HD
(DeltayhcZ) strain grew slower than wild-type strain HD73 in both LB and SSM
medium. Re-introduction of yhcZ gene in HD (DeltayhcZ) strain can partially
restore the growth, indicating that the deletion of yhcZ gene impacts the cell
growth of HD73 strain. HD (DeltayhcZ) strain grew faster than HD73 strain in M9
medium with 0.4% glucose as the sole carbon source, implying that the yhcZ gene
plays an important role in glucose utilization by HD73 strain. The results of
biolog assay showed that HD (DeltayhcZ) exhibits a lower average well color
development compared to HD73. HD(DeltayhcZ) cells also demonstrated a decreased
capacity for absorbing and utilizing D/L-serine, formic acid, D-gluconic acid, L
histamine, D-methyl lactate, and citric acid, indicating that yhcZ gene could
dramatically influence carbon source utilization of HD73 strains. Additionally,
HD (DeltayhcZ) was less resistant to 8% NaCl, suggesting that yhcZ gene may be
involved in the expression and regulation of genes related to high-salt stress
response in bacterial cells. The results above show that the yhcZ gene
significantly promotes glucose and other carbon sources utilization of HD73
strain during growth. Our findings will lay a foundation not only for analyzing
the regulatory mechanisms of glucose and carbon sources utilization by yhcZ gene,
but also providing a reference for the further research on bacterial growth and
fermentation.
PMID- 29785950
TI - Society's expectations of women contribute to pay gap.
PMID- 29785951
TI - The Grand Social Experiment at 70: Who is really paying for your NHS?
PMID- 29785953
TI - Assisted dying: Guernsey rejects proposal to move towards legalisation.
PMID- 29785954
TI - Women are under-represented in the Clinical Excellence Awards.
PMID- 29785952
TI - Diagnostic accuracy of point-of-care natriuretic peptide testing for chronic
heart failure in ambulatory care: systematic review and meta-analysis.
AB - OBJECTIVE: To assess the diagnostic accuracy of point-of-care natriuretic peptide
tests in patients with chronic heart failure, with a focus on the ambulatory care
setting. DESIGN: Systematic review and meta-analysis. DATA SOURCES: Ovid Medline,
Cochrane Central Register of Controlled Trials, Cochrane Database of Systematic
Reviews, Database of Abstracts of Reviews of Effects, Embase, Health Technology
Assessment Database, Science Citation Index, and Conference Proceedings Citation
Index until 31 March 2017. STUDY SELECTION: Eligible studies evaluated point-of
care natriuretic peptide testing (B-type natriuretic peptide (BNP) or N terminal
fragment pro B-type natriuretic peptide (NTproBNP)) against any relevant
reference standard, including echocardiography, clinical examination, or
combinations of these, in humans. Studies were excluded if reported data were
insufficient to construct 2*2 tables. No language restrictions were applied.
RESULTS: 42 publications of 39 individual studies met the inclusion criteria and
40 publications of 37 studies were included in the analysis. Of the 37 studies,
30 evaluated BNP point-of-care testing and seven evaluated NTproBNP testing. 15
studies were done in ambulatory care settings in populations with a low
prevalence of chronic heart failure. Five studies were done in primary care. At
thresholds >100 pg/mL, the sensitivity of BNP, measured with the point-of-care
index device Triage, was generally high and was 0.95 (95% confidence interval
0.90 to 0.98) at 100 pg/mL. At thresholds <100 pg/mL, sensitivity ranged from
0.46 to 0.97 and specificity from 0.31 to 0.98. Primary care studies that used
NTproBNP testing reported a sensitivity of 0.99 (0.57 to 1.00) and specificity of
0.60 (0.44 to 0.74) at 135 pg/mL. No statistically significant difference in
diagnostic accuracy was found between point-of-care BNP and NTproBNP tests.
CONCLUSIONS: Given the lack of studies in primary care, the paucity of NTproBNP
data, and potential methodological limitations in these studies, large scale
trials in primary care are needed to assess the role of point-of-care natriuretic
peptide testing and clarify appropriate thresholds to improve care of patients
with suspected or chronic heart failure.
PMID- 29785955
TI - Is it time to get out of the car for physical activity benefits?
PMID- 29785956
TI - Using alternatives to the car and risk of all-cause, cardiovascular and cancer
mortality.
AB - OBJECTIVE: To investigate the associations between using alternatives to the car
which are more active for commuting and non-commuting purposes, and morbidity and
mortality. METHODS: We conducted a prospective study using data from 3 58 799
participants, aged 37-73 years, from UK Biobank. Commute and non-commute travel
were assessed at baseline in 2006-2010. We classified participants according to
whether they relied exclusively on the car or used alternative modes of transport
that were more active at least some of the time. The main outcome measures were
incident cardiovascular disease (CVD) and cancer, and CVD, cancer and all-cause
mortality. We excluded events in the first 2 years and conducted analyses
separately for those who regularly commuted and those who did not. RESULTS: In
maximally adjusted models, regular commuters with more active patterns of travel
on the commute had a lower risk of incident (HR 0.89, 95% CI 0.79 to 1.00) and
fatal (HR 0.70, 95% CI 0.51 to 0.95) CVD. Those regular commuters who also had
more active patterns of non-commute travel had an even lower risk of fatal CVD
(HR 0.57, 95% CI 0.39 to 0.85). Among those who were not regular commuters, more
active patterns of travel were associated with a lower risk of all-cause
mortality (HR 0.92, 95% CI 0.86 to 0.99). CONCLUSIONS: More active patterns of
travel were associated with a reduced risk of incident and fatal CVD and all
cause mortality in adults. This is an important message for clinicians advising
people about how to be physically active and reduce their risk of disease.
PMID- 29785958
TI - Association Between Hypertensive Disorders in Pregnancy and Particulate Matter in
the Contiguous United States, 1999-2004.
AB - Hypertensive disorders in pregnancy harm both maternal and infant health and have
been linked to ambient particulate matter. However, existing studies are
restricted to a local scale and remain inconsistent. A large-scale study is
required to enrich the epidemiological evidence and explore the potential sources
of the inconsistency. Making use of US birth certificates (1999-2004), and
monitoring data from the environmental protection agency air quality networks, we
associated hypertensive disorders in pregnancy with maternal exposure to fine
particles or coarse particles using logistic regression analysis after adjusting
for many covariates among >5 million subjects in the contiguous United States.
Additional analyses were conducted to examine variations in the associations
according to (1) census divisions; (2) individual-level factors; (3) a
socioeconomic indicator, county-level poverty; and (4) the concentration of
ambient particles. The results indicated that hypertensive disorders in pregnancy
were robustly linked to maternal exposure to fine particles with an adjusted odds
ratio of 1.10 (95% confidence intervals, 1.08-1.12) per 5 MUg/m3 increment in
terms of the entire pregnancy mean. Stronger associations were found among white
mothers. There were also considerable variations in the association by census
division or poverty level among counties. Nonlinear analysis indicated a
sublinear dose-response function with a threshold concentration of 9 MUg/m3 Based
on the national study, we calculated the population attributable fractions and
found that 8.1% (6.8%-9.4%) of hypertensive disorder cases were attributable to
an entire pregnancy exposure of fine particles. These findings can help
policymakers to plan related interventions.
PMID- 29785959
TI - Common Carotid Artery Diameter and Risk of Cardiovascular Events and Mortality:
Pooled Analyses of Four Cohort Studies.
AB - Carotid arterial diameter enlargement is a manifestation of arterial remodeling
and may be a risk factor for cardiovascular disease (CVD). We evaluated the
association between carotid artery diameter and risk of stroke, coronary heart
disease, CVD, and all-cause mortality and explored whether the associations could
be explained by processes involved in arterial remodeling, that is, blood
pressure-related media thickening, arterial stiffness, arterial wall stress, and
atherosclerosis. We included 4887 participants (mean age 67+/-9 years; 54% women)
from 4 cohort studies: Rotterdam Study, NEPHROTEST, Hoorn Study, and a study by
Blacher et al. Common carotid artery properties were measured using echotracking.
Incident cases were recorded based on medical records. We used Cox proportional
hazard models adjusting for cardiovascular risk factors and estimates of
processes underlying arterial remodeling. During follow-up (mean, 11 years), 379
(8%) individuals had a stroke, 516 had a (11%) coronary heart disease, 807 had a
(17%) CVD, and 1486 (30%) had died. After adjustment for cardiovascular risk
factors, individuals in the highest tertile of carotid diameter (diameter >8 mm)
compared with those in the lowest tertile (diameter <7 mm) had a higher incidence
of stroke (hazard ratio, 1.5; 95% confidence interval, 1.1-2.0). From all
estimates of processes underlying arterial remodeling, adjustment for carotid
intima-media thickness attenuated this association (hazard ratio after adjustment
for intima-media thickness, 1.2; 95% confidence interval, 0.9-1.6). Larger
carotid diameter was associated with risk of CVD and mortality but not clearly
with coronary heart disease risk. We showed that a larger carotid diameter is
associated with incident stroke, CVD, and mortality. Carotid intima-media
thickness, a measure of blood pressure-related media thickening, partially
explained the association with stroke incidence.
PMID- 29785960
TI - Reduction in Regulatory T Cells in Early Pregnancy Causes Uterine Artery
Dysfunction in Mice.
AB - Preeclampsia, fetal growth restriction, and miscarriage remain important causes
of maternal and perinatal morbidity and mortality. These complications are
associated with reduced numbers of a specialized T lymphocyte subset called
regulatory T cells (Treg cells) in the maternal circulation, decidua, and
placenta. Treg cells suppress inflammation and prevent maternal immunity toward
the fetus, which expresses foreign paternal alloantigens. Treg cells are
demonstrated to contribute to vascular homeostasis, but whether Treg cells
influence the vascular adaptations essential for a healthy pregnancy is unknown.
Thus, using a mouse model of Treg-cell depletion, we investigated the hypothesis
that depletion of Treg cells would cause increased inflammation and aberrant
uterine artery function. Here, we show that Treg-cell depletion resulted in
increased embryo resorption and increased production of proinflammatory
cytokines. Mean arterial pressure exhibited greater modulation by NO in Treg cell
deficient mice because the L-NG-nitroarginine methyl ester-induced increase in
mean arterial pressure was 46% greater compared with Treg cell-replete mice.
Uterine artery function, which is essential for the supply of nutrients to the
placenta and fetus, demonstrated dysregulated hemodynamics after Treg-cell
depletion. This was evidenced by increased uterine artery resistance and
pulsatility indices and enhanced conversion of bET-1 (big endothelin-1) to the
active and potent vasoconstrictor, ET-1 (endothelin-1). These data demonstrate an
essential role for Treg cells in modulating uterine artery function during
pregnancy and implicate Treg-cell control of maternal vascular function as a key
mechanism underlying normal fetal and placental development.
PMID- 29785961
TI - Trajectories of Childhood Blood Pressure and Adult Left Ventricular Hypertrophy:
The Bogalusa Heart Study.
AB - This longitudinal study aims to characterize longitudinal blood pressure (BP)
trajectories from childhood and examine the impact of level-independent childhood
BP trajectories on adult left ventricular hypertrophy (LVH) and remodeling
patterns. The longitudinal cohort consisted of 1154 adults (787 whites and 367
blacks) who had repeated measurements of BP 4 to 15 times from childhood (4-19
years) to adulthood (20-51 years) and assessment of echocardiographic LV
dimensions in adulthood. Model-estimated levels and linear slopes of BP at
childhood age points were calculated in 1-year intervals using the growth curve
parameters and their first derivatives, respectively. Linear and nonlinear curve
parameters of BP showed significant race and sex differences from age 15 years
onwards. Adults with LVH had higher long-term BP levels than adults with normal
LVM in race-sex groups. Linear and nonlinear slope parameters of BP differed
consistently and significantly between LVH and normal groups. Associations of
level-independent linear slopes of systolic BP with adult LVH were significantly
inverse (odds ratio=0.75-0.82; P=0.001-0.015) in preadolescent children of 4 to 9
years but significantly positive (odds ratio=1.29-1.46; P=0.001-0.008) in
adolescents of 13 to 19 years, adjusting for covariates. These associations were
consistent across race-sex groups. Of note, the association of childhood BP
linear slopes with concentric LVH was significantly stronger than that with
eccentric LVH during the adolescence period of 12 to 19 years. These observations
indicate that the impact of BP trajectories on adult LVH and geometric patterns
originates in childhood. Adolescence is a crucial period for the development of
LVH in later life, which has implications for early prevention.
PMID- 29785962
TI - Age-Related Blood Pressure Sensitivity to Aldosterone in Blacks and Whites.
AB - Aldosterone sensitivity, defined as the magnitude of the association of plasma
aldosterone concentration with blood pressure (BP), seems to be a function of
plasma volume. It increases as plasma renin activity decreases, and it is more
significant in blacks but less so in whites. Age is a strong determinant of BP,
and an increase in aldosterone sensitivity could contribute to the increase in
BP. In the present study, we tested the hypothesis that aldosterone sensitivity
increases with age. We used observational data collected from normotensive blacks
and whites enrolled in a prospective cohort study. They were studied as children
(248 blacks/357 whites) and again as young adults (74 blacks/125 whites) over an
age range of 7 to 39 years. A varying-coefficient regression analysis was used to
explore the influences of aldosterone on systolic BP. After controlling for body
mass index, race, and sex, both plasma renin activity and plasma aldosterone
concentration were lower in blacks, and their levels declined with age (P<0.001).
In blacks, plasma aldosterone concentration decreased 0.25 ng/dL per year; in
whites, plasma aldosterone concentration decreased 0.18 per year. Aldosterone's
effect on BP, characterized by a smooth function of age, intensified as age
increased, especially in blacks (P<0.01), suggesting an increased aldosterone
sensitivity with age. In comparison to blacks, age-related changes in aldosterone
sensitivity in whites were not statistically significant. These findings extend
the rationale for targeting aldosterone in the treatment of hypertension,
especially in blacks.
PMID- 29785957
TI - Associations of egg consumption with cardiovascular disease in a cohort study of
0.5 million Chinese adults.
AB - OBJECTIVE: To examine the associations between egg consumption and cardiovascular
disease (CVD), ischaemic heart disease (IHD), major coronary events (MCE),
haemorrhagic stroke as well as ischaemic stroke. METHODS: During 2004-2008, over
0.5 million adults aged 30-79 years were recruited from 10 diverse survey sites
in China. Participants were asked about the frequency of egg consumption and were
followed up via linkages to multiple registries and active investigation. Among
461 213 participants free of prior cancer, CVD and diabetes, a total of 83 977
CVD incident cases and 9985 CVD deaths were documented, as well as 5103 MCE.
Stratified Cox regression was performed to yield adjusted hazard ratios for CVD
endpoints associated with egg consumption. RESULTS: At baseline, 13.1% of
participants reported daily consumption (usual amount 0.76 egg/day) and 9.1%
reported never or very rare consumption (usual amount 0.29 egg/day). Compared
with non-consumers, daily egg consumption was associated with lower risk of CVD
(HR 0.89, 95% CI 0.87 to 0.92). Corresponding multivariate-adjusted HRs (95% CI)
for IHD, MCE, haemorrhagic stroke and ischaemic stroke were 0.88 (0.84 to 0.93),
0.86 (0.76 to 0.97), 0.74 (0.67 to 0.82) and 0.90 (0.85 to 0.95), respectively.
There were significant dose-response relationships of egg consumption with
morbidity of all CVD endpoints (P for linear trend <0.05). Daily consumers also
had an 18% lower risk of CVD death and a 28% lower risk of haemorrhagic stroke
death compared to non-consumers. CONCLUSION: Among Chinese adults, a moderate
level of egg consumption (up to <1 egg/day) was significantly associated with
lower risk of CVD, largely independent of other risk factors.
PMID- 29785965
TI - Inflammatory bowel disease increases the risk of Parkinson's disease: a Danish
nationwide cohort study 1977-2014.
AB - OBJECTIVE: Intestinal inflammation has been suggested to play a role in
development of Parkinson's disease (PD) and multiple system atrophy (MSA). To
test the hypothesis that IBD is associated with risk of PD and MSA, we performed
a nationwide population-based cohort study. DESIGN: The cohort consisted of all
individuals diagnosed with IBD in Denmark during 1977-2014 (n=76 477) and non-IBD
individuals from the general population, who were comparable in terms of gender,
age and vital status (n=7 548 259). All cohort members were followed from IBD
diagnosis/index date to occurrence of PD and MSA (according to the Danish
National Patient Register). RESULTS: Patients with IBD had a 22% increased risk
of PD as compared with non-IBD individuals (HR=1.22; 95% CI 1.09 to 1.35). The
increased risk was present independently of age at IBD diagnosis, gender or
length of follow-up. The overall incidence of MSA was low in our study, and the
regression analysis suggested a tendency towards higher risk of developing MSA in
patients with IBD as compared with non-IBD individuals (HR=1.41; 95% CI 0.82 to
2.44). Estimates were similar for women and men. The increased risk of
parkinsonism was significantly higher among patients with UC (HR=1.35; 95% CI
1.20 to 1.52) and not significantly different among patients with Crohn's disease
(HR=1.12; 95% CI 0.89 to 1.40). CONCLUSIONS: This nationwide, unselected, cohort
study shows a significant association between IBD and later occurrence of PD,
which is consistent with recent basic scientific findings of a potential role of
GI inflammation in development of parkinsonian disorders.
PMID- 29785963
TI - SWI/SNF and RSC cooperate to reposition and evict promoter nucleosomes at highly
expressed genes in yeast.
AB - The nucleosome remodeling complex RSC functions throughout the yeast genome to
set the positions of -1 and +1 nucleosomes and thereby determines the widths of
nucleosome-depleted regions (NDRs). The related complex SWI/SNF participates in
nucleosome remodeling/eviction and promoter activation at certain yeast genes,
including those activated by transcription factor Gcn4, but did not appear to
function broadly in establishing NDRs. By analyzing the large cohort of Gcn4
induced genes in mutants lacking the catalytic subunits of SWI/SNF or RSC, we
uncovered cooperation between these remodelers in evicting nucleosomes from
different locations in the promoter and repositioning the +1 nucleosome
downstream to produce wider NDRs-highly depleted of nucleosomes-during
transcriptional activation. SWI/SNF also functions on a par with RSC at the most
highly transcribed constitutively expressed genes, suggesting general cooperation
by these remodelers for maximal transcription. SWI/SNF and RSC occupancies are
greatest at the most highly expressed genes, consistent with their cooperative
functions in nucleosome remodeling and transcriptional activation. Thus, SWI/SNF
acts comparably with RSC in forming wide nucleosome-free NDRs to achieve high
level transcription but only at the most highly expressed genes exhibiting the
greatest SWI/SNF occupancies.
PMID- 29785966
TI - Novel prep-less X-ray imaging capsule for colon cancer screening: a feasibility
study.
PMID- 29785968
TI - Force-activatable coating enables high-resolution cellular force imaging directly
on regular cell culture surfaces.
AB - Integrin-transmitted cellular forces are crucial mechanical signals regulating a
vast range of cell functions. Although various methods have been developed to
visualize and quantify cellular forces at the cell-matrix interface, a method
with high performance and low technical barrier is still in demand. Here we
developed a force-activatable coating (FAC), which can be simply coated on
regular cell culture apparatus' surfaces by physical adsorption, and turn these
surfaces to force reporting platforms that enable cellular force mapping directly
by fluorescence imaging. The FAC molecule consists of an adhesive domain for
surface coating and a force-reporting domain which can be activated to fluoresce
by integrin molecular tension. The tension threshold required for FAC activation
is tunable in 10-60 piconewton (pN), allowing the selective imaging of cellular
force contributed by integrin tension at different force levels. We tested the
performance of two FACs with tension thresholds of 12 and 54 pN (nominal values),
respectively, on both glass and polystyrene surfaces. Cellular forces were
successfully mapped by fluorescence imaging on all the surfaces. FAC-coated
surfaces also enable co-imaging of cellular forces and cell structures in both
live cells and immunostained cells, therefore opening a new avenue for the study
of the interplay of force and structure. We demonstrated the co-imaging of
integrin tension and talin clustering in live cells, and concluded that talin
clustering always occurs before the generation of integrin tension above 54 pN,
reinforcing the notion that talin is an important adaptor protein for integrin
tension transmission. Overall, FAC provides a highly convenient approach that is
accessible to general biological laboratories for the study of cellular forces
with high sensitivity and resolution, thus holding the potential to greatly boost
the research of cell mechanobiology.
PMID- 29785964
TI - Mechanism of selective recruitment of RNA polymerases II and III to snRNA gene
promoters.
AB - RNA polymerase II (Pol II) small nuclear RNA (snRNA) promoters and type 3 Pol III
promoters have highly similar structures; both contain an interchangeable
enhancer and "proximal sequence element" (PSE), which recruits the SNAP complex
(SNAPc). The main distinguishing feature is the presence, in the type 3 promoters
only, of a TATA box, which determines Pol III specificity. To understand the
mechanism by which the absence or presence of a TATA box results in specific Pol
recruitment, we examined how SNAPc and general transcription factors required for
Pol II or Pol III transcription of SNAPc-dependent genes (i.e., TATA-box-binding
protein [TBP], TFIIB, and TFIIA for Pol II transcription and TBP and BRF2 for Pol
III transcription) assemble to ensure specific Pol recruitment. TFIIB and BRF2
could each, in a mutually exclusive fashion, be recruited to SNAPc. In contrast,
TBP-TFIIB and TBP-BRF2 complexes were not recruited unless a TATA box was
present, which allowed selective and efficient recruitment of the TBP-BRF2
complex. Thus, TBP both prevented BRF2 recruitment to Pol II promoters and
enhanced BRF2 recruitment to Pol III promoters. On Pol II promoters, TBP
recruitment was separate from TFIIB recruitment and enhanced by TFIIA. Our
results provide a model for specific Pol recruitment at SNAPc-dependent
promoters.
PMID- 29785967
TI - Eighty routes to a ribonucleotide world; dispersion and stringency in the
decisive selection.
AB - We examine the initial emergence of genetics; that is, of an inherited chemical
capability. The crucial actors are ribonucleotides, occasionally meeting in a
prebiotic landscape. Previous work identified six influential variables during
such random ribonucleotide pooling. Geochemical pools can be in periodic danger
(e.g., from tides) or constant danger (e.g., from unfavorable weather). Such
pools receive Gaussian nucleotide amounts sporadically, at random times, or get
varying substrates simultaneously. Pools use cross-templated RNA synthesis (5'-5'
product from 5'-3' template) or para-templated (5'-5' product from 5'-5'
template) synthesis. Pools can undergo mild or strong selection, and be recently
initiated (early) or late in age. Considering >80 combinations of these
variables, selection calculations identify a superior route. Most likely, an
early, sporadically fed, cross-templating pool in constant danger, receiving >=1
mM nucleotides while under strong selection for a coenzyme-like product, will
host selection of the first encoded biochemical functions. Predominantly
templated products emerge from a critical event, the starting bloc selection,
which exploits inevitable differences among early pools. Favorable selection has
a simple rationale; it is increased by product dispersion (SD/mean), by selection
intensity (mild or strong), or by combining these factors as stringency,
reciprocal fraction of pools selected (1/sfsel). To summarize: chance utility,
acting via a preference for disperse, templated coenzyme-like dinucleotides, uses
stringent starting bloc selection to quickly establish majority encoded/genetic
expression. Despite its computational origin, starting bloc selection is largely
independent of specialized assumptions. This ribodinucleotide route to
inheritance may also have facilitated 5'-3' chemical RNA replication.
PMID- 29785969
TI - Pillared graphite anodes for reversible sodiation.
AB - There has been a major effort recently to develop new rechargeable sodium-ion
electrodes. In lithium ion batteries, LiC6 forms from graphite and desolvated Li
cations during the first charge. With sodium ions, graphite only shows a
significant capacity when Na+ intercalates as a solvated complex, resulting in
ternary graphite intercalation compounds (GICs). Although this chemistry has been
shown to be highly reversible and to support high rates in small test cells,
these GICs can require >250% volume expansion and contraction during cycling.
Here we demonstrate the first example of GICs that reversibly sodiate/desodiate
without any significant volume change. These pillared GICs are obtained by
electrochemical reduction of graphite in an ether/amine co-solvent electrolyte.
The initial gallery expansion, 0.36 nm, is less than half of that in diglyme
based systems, and shows a similar capacity. Thermal analyses suggest the
pillaring phenomenon arises from stronger co-intercalate interactions in the GIC
galleries.
PMID- 29785971
TI - Community noise exposure and annoyance, activity interference, and academic
achievement among university students.
AB - Background: Noise annoyance and effects on academic performance have been
investigated for primary and secondary school students but comparatively little
work has been conducted with university students who generally spend more time in
dormitories or accommodation for their self-study. Objective: To determine, using
a socio-acoustic approach involving face-to-face interviews and actual noise
measurements, the effect of various community noise sources on student activities
in accommodation both inside and outside a university precinct and also
relationships with cumulative grade point average (GPA). Materials and Methods:
The study sample comprised a student group resident off-campus (n = 450) and a
control group resident in dormitories on-campus (n = 336). Noise levels [LA (dB)]
were measured at both locations according to International Organization for
Standardization standards. The extent of community noise interference with the
student activities was examined with bivariate and stratified analyses and
results presented as Mantel-Haenszel weighted odds ratios (ORMH) with 95%
confidence intervals. Binary logistic regression was employed to assess the
association between noise-disturbed student activities and dichotomized GPA
values and derive odds ratios (ORs) for these associations. Results: Measured
noise levels were all significantly (P < 0.05) higher for off-campus students.
This was not reflected in the interviewed students' subjective perceptions of how
"noisy" their respective environments were. The off-campus student cohort was,
however, more annoyed by all community noise categories (P < 0.001) except road
traffic noise. For impact on specific student activities, the largest differences
between on- and off-campus students were found for telephone and personal
communication regardless of the type of community noise. There was no significant
difference in the relationships between perceived annoyance due to community
noise categories and cumulative GPA in the off-campus group compared to those for
on-campus residents with ORMH values ranging from 1.049 to 1.164. The most
important noise-impacted factors affecting off-campus students' cumulative GPA
were reading and mental tasks (OR = 2.801). Rest disturbance had a positive
influence on cumulative GPA for on-campus students. Conclusion: These results
provide support that various contemporary community noise sources affect
university students' activities and possibly influence their educational
achievement as well.
PMID- 29785970
TI - Phenotypic and molecular characteristics of androgen insensitivity syndrome
patients.
AB - Androgen insensitivity syndrome (AIS), an X-linked recessive genetic disorder of
sex development, is caused by mutations in the androgen receptor (AR) gene, and
is characterized by partial or complete inability of specific tissues to respond
to androgens in individuals with the 46,XY karyotype. This study aimed to
investigate AR gene mutations and to characterize genotype-phenotype
correlations. Ten patients from unrelated families, aged 2-31 years, were
recruited in the study. Based on karyotype, altered hormone profile, and clinical
manifestations, nine patients were preliminarily diagnosed with complete AIS and
one with partial AIS. Genetic analysis of AR gene revealed the existence of 10
different mutations, of which five were novel (c.2112 C>G[p.S704R],
c.2290T>A[p.Y764N], c.2626C>T[p.Q876X], c.933dupC[p.K313Qfs*28], and
c.1067delC[p.A356Efs*123]); the other five were previously reported
(c.1789G>A[p.A597T], c.2566C>T[p.R856C], c.2668G>A[p.V890M], c.2679C>T[p.P893L],
and c.1605C>G[p.Y535X]). Regarding the distribution of these mutations, 60.0%
were clustered in the ligand-binding domain of AR gene. Exons 1 and 8 of AR gene
each accounted for 30.0% (3/10) of all mutations. Most of the truncation
mutations were in exon 1 and missense mutations were mainly located in exons 4-8.
Our study expands the spectrum of AR gene mutations and confirms the usefulness
of AR gene sequencing to support a diagnosis of AIS and to enable prenatal or
antenatal screening.
PMID- 29785972
TI - A methodology to objectively assess the performance of sound field amplification
systems demonstrated using 50 physical simulations of classroom conditions.
AB - Introduction: The effect of a sound reinforcement system, in terms of speech
intelligibility, has been systematically determined under realistic conditions.
Different combinations of ambient and reverberant conditions representative of a
classroom environment have been investigated. Materials and Methods: By comparing
the measured speech transmission index metric with and without the system in the
same space under different room acoustics conditions, it was possible to
determine when the system was most effective. A new simple criterion, equivalent
noise reduction (ENR), was introduced to determine the effectiveness of the sound
reinforcement system which can be used to predict the speech transmission index
based on the ambient sound pressure and reverberation time with and without
amplification. Results: This criterion had a correlation, R2 > 0.97. It was found
that sound reinforcement provided no benefit if the competing noise level was
less than 40 dBA. However, the maximum benefit of such a system was equivalent to
a 7.7 dBA noise reduction. Conclusion: Using the ENR model, it would be possible
to determine the suitability of implementing sound reinforcement systems in any
room, thus providing a tool to determine if natural acoustic treatment or sound
field amplification would be of most benefit to the occupants of any particular
room.
PMID- 29785973
TI - Effect of systematic desensitization training on acceptable noise levels in
adults with normal hearing sensitivity.
AB - Context: The willingness of a person to accept noise while listening to speech
can be measured using the acceptable noise level (ANL) test. Individuals with
poor ANL are unlikely to become successful hearing aid users. Hence, it is
important to enhance the individual's ability to accept noise levels. The current
study was an attempt to investigate whether systematic desensitization training
can improve the ANL in individuals having high ANL. Aims: To investigate the
effect of systematic desensitization training on ANLs in individuals with normal
hearing sensitivity. Settings and Design: Observational study design. Materials
and Methods: Thirty-eight normally hearing adults within the age range of 18-25
years participated in the study. Initially, baseline ANL was measured for all
participants. Based on the baseline ANL, participants were categorized into three
groups; low ANL, mid ANL, and high ANL. The participants with high ANL were
trained using systematic desensitization procedure whereas, individuals with low
and mid ANL did not undergo any training and served as the comparison groups.
After the training period, ANL was measured again for all the participants.
Statistical Analysis Used: Repeated measures of analysis of variance with follow
up paired "t" test. Results: Analysis revealed a significant main effect of
systematic desensitization training on ANL. There was a significant improvement
in ANL in participants with high ANL. However, there was no significant
difference in ANL between baseline and follow-up session in individuals with low
and mid ANL. Conclusions: Systematic desensitization training can facilitate ANL,
thereby enhancing the individual's ability to accept the noise levels. This
enhanced ANL can facilitate better hearing aid fitting and acceptance.
PMID- 29785974
TI - Railway noise annoyance on the railway track in northwest slovakia.
AB - Introduction: This paper describes an assessment of noise caused by railway
traffic in a large high-loaded railway track in Northwest Slovakia. Materials and
Methods: The measurements of noise levels generated by trains passing through
residential neighborhoods were taken. Noise maps were also calculated showing
noise pollution generated by the train traffic. In addition, the annoyance level
and sleep disturbance of residents affected by railway noise were evaluated by a
validated questionnaire on a pilot sample of 107 respondents living near the
important railway track. Results: The measurements indicated that the noise
levels generated by the passage of the train were extremely high especially at
night, clearly exceeding the nighttime limits of equivalent sound pressure level
established by the Decree of the Slovak Ministry of Health (No.549/2007) (LAeq =
55 dB). Measurements at one point during the night exceeded the limit values of
up to 17.4 dB. The residents reported feeling affected by the noise generated by
passing trains, which caused irritability, headache, poor concentration, and
insomnia. In addition, 19.64% of the residents claimed that nocturnal noise
pollution was the most distressing. The results of bivariate analysis showed a
higher risk of annoyance especially for railway noise [ORMH = 7.80 (4.02-15.14)]
and the noise from industry [ORMH = 3.08 (1.72-5.50)] in the exposed location.
Discussion: The effects of railway traffic on annoyance/sleep and psychosocial
well-being were evaluated in a few studies. In accordance with our results the
railway noise mostly disturbs sleep and rest of the respondents. Conclusion: The
pilot survey showed the importance of sleep and rest disturbance by railway noise
and the possibilities of getting worse health condition in the future. Noise
abatement measures and strategies should, therefore, be implemented in an
effective and manageable way increasing the environmental advantages of rail
transport.
PMID- 29785975
TI - Utility of otoacoustic emissions and olivocochlear reflex in predicting
vulnerability to noise-induced inner ear damage.
AB - Aim: The aim of the present study was to explore the possible utility of
otoacoustic emissions (OAEs) and efferent system strength to determine
vulnerability to noise exposure in a clinical setting. Materials and Methods: The
study group comprised 344 volunteers who had just begun mandatory basic training
as Hellenic Corps Officers Military Academy cadets. Pure-tone audiograms were
obtained on both ears. Participants were also subjected to diagnostic transient
evoked otoacoustic emissions (TEOAEs). Finally, they were all tested for efferent
function through the suppression of TEOAEs with contralateral noise. Following
baseline evaluation, all cadets fired 10 rounds using a 7.62 mm Heckler & Koch
G3A3 assault rifle while lying down in prone position. Immediately after exposure
to gunfire noise and no later than 10 h, all participants completed an identical
protocol for a second time, which was then repeated a third time, 30 days later.
Results: The data showed that after the firing drill, 280 participants suffered a
temporary threshold shift (TTS) (468 ears), while in the third evaluation
conducted 30 days after exposure, 142 of these ears still presented a threshold
shift compared to the baseline evaluation [permanent threshold shift (PTS) ears].
A receiver operating characteristics curve analysis showed that OAEs amplitude is
predictive of future TTS and PTS. The results were slightly different for the
suppression of OAEs showing only a slight trend toward significance. The curves
were used to determine cut points to evaluate the likelihood of TTS/PTS for OAEs
amplitude in the baseline evaluation. Decision limits yielding 71.6% sensitivity
were 12.45 dB SPL with 63.8% specificity for PTS, and 50% sensitivity were 12.35
dB SPL with 68.2% specificity for TTS. Conclusions: Interestingly, the above data
yielded tentative evidence to suggest that OAEs amplitude is both sensitive and
specific enough to efficiently identify participants who are particularly
susceptible to hearing loss caused by impulse noise generated by firearms.
Hearing conservation programs may therefore want to consider including such tests
in their routine. As far as efferent strength is concerned, we feel that further
research is due, before implementing the suppression of OAEs in hearing
conservations programs in a similar manner.
PMID- 29785976
TI - The study of attenuation levels and the comfort of earplugs.
AB - Introduction: This study aimed to analyze and compare four different types of
earplugs, divided into premolded plugs and foam plug models, in relation to the
level of attenuation, comfort, and the size of the external acoustic meatus (EAM)
in an attempt to identify how these variables influence the choice of specific
hearing protection devices (HPDs). Materials and Methods: A cross-sectional
observational study was performed in a sample of 49 participants, oriented toward
the ideal placement of four HPDs, two premolded and two foam plugs (3MTM). The
procedures included otoscopy, EAM diameter measurement using an otometer, EAM
volume measurement via an acoustic impedance test, and the obtainment of the
bilateral personal attenuation rating (PAR) for each HPD using the E-A-RfitTM
Validation System (3MTM). The Bipolar Comfort Rating Scale (BCRS) instrument was
applied twice for each individual: once after the evaluations with the premolded
HPDs and again after the evaluations with the foam plug HPDs. Then, each
participant was asked which was his/her favorite protector. Results: The volume
of the EAM was not directly related to the diameter of the EAM. The attenuation
did not interfere with the HPD preference, and the PAR of the foam plug was
significantly higher regardless of the preferred HPD. Regarding the BCRS, the
variables "Placement," "Complexity," and "Occlusion Effect" had higher scores for
premolded HPDs and had a direct relationship with the type of preferred HPD.
Conclusion: Attention to the use of HPDs should be personalized, taking into
account the needs of each individual, considering not only the attenuation, but
also the user's reported well-being.
PMID- 29785977
TI - Steps to standardize ophthalmology residency programs in India.
PMID- 29785979
TI - Residency training in India: Time for a course correction.
PMID- 29785978
TI - Elevating ophthalmic education and practice in India.
PMID- 29785980
TI - Transformation of nevus to melanoma, or not?
PMID- 29785981
TI - Education in ophthalmology over the past 60 years.
AB - This paper summarizes the personal experience of an ophthalmologist who has been
involved in medical education for over 60 years. With clinical experience and
leadership positions within ophthalmology and in broad medical organizations,
this paper chronicles the evolution of educational practice and approaches for
over a half-century.
PMID- 29785982
TI - A national curriculum for ophthalmology residency training.
AB - We present a residency curriculum for Ophthalmology in India. The document
derives from a workshop by the All India Ophthalmological Society (AlOS) which
adapted the International Council of Ophthalmology residency curriculum and
refined and customized it based on inputs by the residency program directors who
participated in the work shop. The curriculum describes the course content, lays
down the minimum requirements of infrastructure and mandates diagnostic and
therapeutic procedures required for optimal training. It emphasises
professionalism, management, research methodology, community ophthalmology as
integral to the curriculum. The proposed national ophthalmology residency
curriculum for India incorporates the required knowledge and skills for effective
and safe practice of ophthalmology and takes into account the specific needs of
the country.
PMID- 29785983
TI - Take time to sharpen the saw.
PMID- 29785984
TI - Residency Evaluation and Adherence Design Study III: Ophthalmology residency
training in India: Then and now-Improving with time?
AB - Purpose: To gauge the differences in ophthalmology residency training, academic,
clinical and surgical, in the last three decades of the 20th century and the
first decade of the 21st century. Methods: A survey was conducted by the Academic
and Research Committee of the All India Ophthalmological Society, in 2014-2016,
using a prevalidated questionnaire, which was circulated to ophthalmologists to
gauge the practicality of the teaching protocols of clinical and surgical skills
during postgraduate residency program. Results: Of the 1005 respondents, 320
ophthalmologists who completed residency between 1967 and 2002 (20th century
trained) and 531 who completed a residency in 2003-2012 (21st century trained)
fulfilled the inclusion criteria. The average age was 49.2 years (standard
deviation [SD] 4) and 32.6 years (SD 4), respectively. Twenty-first century
trained ophthalmologists rated their training significantly better than the 20th
century trained ophthalmologists for slit lamp examination (P = 0.001), indirect
ophthalmoscopy, gonioscopy, automated perimetry, optical coherence tomography,
and fundus photography (all having P < 0.001), while the 20th century trained
rated their teaching of refraction, synoptophore, diplopia charting better (all P
< 0.001). The range of grading was 0-10 in all categories. The median number of
surgeries performed independently by 20th century and 21st century trained
(during their training period) were: intracapsular cataract extraction (ICCE) 10,
0; extracapsular cataract extraction (ECCE) 43, 18; small incision cataract
surgery (SICS) 5, 55; phacoemulsification (Phaco) 0, 1; pterygium excision 20,
15; dacryocystectomy 11, 4; dacryocystorhinostomy 11, 2; chalazion 35, 30;
trabeculectomies 5, 0; strabismus correction 0, 0; vitrectomy 0, 0; keratoplasty
0, 0; eyelid surgery 6, 2; and ocular emergencies 18, 20. Conclusion: Teaching of
many clinical skills had improved over decades. Cataract surgery training has
shifted from ICCE and ECCE to SICS and Phaco, but other surgeries were still
taught sparingly. There was an enormous variation across the country in residency
training which needs immediate attention.
PMID- 29785986
TI - Effect of wet-laboratory training on resident-performed manual small-incision
cataract surgery.
PMID- 29785985
TI - Effect of wet-laboratory training on resident performed manual small-incision
cataract surgery.
AB - Purpose: The aim of this study was to study the effect of wet-laboratory training
on the surgical outcome of resident performed manual small-incision cataract
surgery (MSICS). Methods: We conducted a retrospective, comparative observational
study on resident performed MSICS in our institute. We collected data of 464
patients of which Group A had 232 cases performed by residents without prior wet
laboratory training and Group B had 232 resident performed cases after adequate
skill training in the wet laboratory. The demographics, type of cataract,
intraoperative, postoperative complications, and immediate visual outcome were
compared between the two groups. Results: The age, sex, and type of cataract were
similar in both groups of residents. The frequency of intraoperative
complications was higher in Group A (23.7%) than in Group B (15.08%) (P = 0.019).
The occurrence of posterior capsule (PC) rupture and vitreous loss showed a
statistically significant difference, with Group A showing a high rate of 14.3%
PC rent and vitreous loss while only 6.9% (P = 0.01) had this complication in
Group B. The postoperative visual outcome also was better in Group B than in
Group A, with 62.06% of patients in Group B, having a postoperative day 1 vision
of better than 6/18 as compared to only 38.36% in Group A. Conclusion: The wet
laboratory training is an effective method of improving the skills of the
ophthalmology residents in MSICS. The reduction of complications will improve the
quality of surgery and improve the postoperative visual outcome.
PMID- 29785987
TI - Variant myopia: A new presentation?
AB - Purpose: Variant myopia (VM) presents as a discrepancy of >1 diopter (D) between
subjective and objective refraction, without the presence of any accommodative
dysfunction. The purpose of this study is to create a clinical profile of VM.
Methods: Fourteen eyes of 12 VM patients who had a discrepancy of >1D between
retinoscopy and subjective acceptance under both cycloplegic and noncycloplegic
conditions were included in the study. Fourteen eyes of 14 age- and refractive
error-matched participants served as controls. Potential participants underwent a
comprehensive orthoptic examination followed by retinoscopy (Ret), closed-field
autorefractor (CA), subjective acceptance (SA), choroidal and retinal thickness,
ocular biometry, and higher order spherical aberrations measurements. Results: In
the VM eyes, a statistically and clinically significant difference was noted
between the Ret and CA and Ret and SA under both cycloplegic and noncycloplegic
conditions (multivariate repeated measures analysis of variance, P < 0.0001). A
statistically significant difference was observed between the VM eyes, non-VM
eyes, and controls for choroidal thickness in all the quadrants (Univariate ANOVA
P < 0.05). The VM eyes had thinner choroids (197.21 +/- 13.04 MU) compared to the
non-VM eyes (249.25 +/- 53.70 MU) and refractive error-matched controls (264.62
+/- 12.53 MU). No statistically significant differences between groups in root
mean square of total higher order aberrations and spherical aberration were
observed. Conclusion: Accommodative etiology does not play a role in the
refractive discrepancy seen in individuals with the variant myopic presentation.
These individuals have thinner choroids in the eye with variant myopic
presentation compared to the fellow eyes and controls. Hypotheses and clinical
implications of variant myopia are discussed.
PMID- 29785988
TI - Accuracy of noncycloplegic refraction performed at school screening camps.
AB - Purpose: The aim of this study was to compare noncycloplegic refraction performed
in school camp with that performed in eye clinic in children aged 6-16 years.
Methods: A prospective study of children with unaided vision <0.2 LogMAR who
underwent noncycloplegic retinoscopy (NCR) and subjective refraction (SR) in camp
and subsequently in eye clinic between February and March 2017 was performed. A
masked optometrist performed refractions in both settings. The agreement between
refraction values obtained at both settings was compared using the Bland-Altman
analysis. Results: A total of 217 eyes were included in this study. Between the
school camp and eye clinic, the mean absolute error +/- standard deviation in
spherical equivalent (SE) of NCR was 0.33 +/- 0.4D and that of SR was 0.26 +/-
0.5D. The limits of agreement for NCR were +0.91D to - 1.09D and for SR was
+1.15D to -1.06D. The mean absolute error in SE was <=0.5D in 92.62% eyes (95%
confidence interval 88%-95%). Conclusion: A certain degree of variability exists
between noncycloplegic refraction done in school camps and eye clinic. It was
found to be accurate within 0.5D of SE in 92.62% eyes for refractive errors up to
4.5D of myopia, 3D of cylinder, and 1.5D of hyperopia.
PMID- 29785989
TI - Cerebral visual impairment in children: Causes and associated ophthalmological
problems.
AB - Purpose: The aim of this study is to identify common causes, associated
ophthalmological abnormalities, and systemic comorbidities in children in Andhra
Pradesh, India, with cerebral visual impairment (CVI). Methods: A retrospective
review of case records of all children aged <16 years with diagnosis of CVI seen
between January 2016 and December 2016 was carried out. Data were collected for
their age, gender, cause of CVI, refraction, accommodation, anterior and
posterior segment examination findings, and systemic problems. Results: A total
of 124 patients were identified and studied (80 boys and 44 girls, mean age 5.23
years, 44.8% aged <2 years). The most common causes of CVI were hypoxic-ischemic
encephalopathy (HIE) (34.4%), undetermined etiology (32.8%), neonatal seizures,
and infantile spasms (16% each). The most common presenting complaints were poor
vision (76%) and squint (11.2%). Profound visual impairment was seen in 88.8%,
and 11.2% had high functioning CVI. Fifty-eight (46.4%) patients had significant
refractive errors, 40 (32.25%) had strabismus, 4 (3.2%) had visually significant
cataract, and 40 (32%) had optic atrophy. Motor delay was observed in 39.5%,
speech delay was evident in 22.4%, and cognitive delay in 16%. Conclusion: HIE is
the most common cause (one-third) of CVI in our population, and the majority of
them presented at age <2 years (44.8%) with profound visual impairment (88.8%). A
significant number of them have treatable ophthalmic conditions such as
refractive errors (46.4%), accommodative insufficiency (12.1%), and cataract
(3.2%), and more than one-third of them also have delay in other areas of
development.
PMID- 29785990
TI - Continuous intraoperative optical coherence tomography-guided shield ulcer
debridement with tuck in multilayered amniotic membrane transplantation.
AB - Purpose: The aim of this study is to describe a modified surgical technique of
continuous intraoperative optical coherence tomography (iOCT)-guided shield ulcer
debridement with tuck-in multilayered Amniotic membrane transplantation (ML AMT)
in vernal keratoconjunctivitis (VKC) with shield ulcer with plaque. Methods:
Seven eyes of seven patients presenting with VKC with shield ulcer with plaque
were enrolled in this prospective case series and planned for shield ulcer
debridement with ML AMT. Debridement of the ulcer base with double-layered AMT
was done under the continuous guidance of iOCT. The main outcome measure was the
time for complete reepithelialization. Statistical analysis was performed using
the Stata-14.0 program for Windows. Data were presented as mean +/- standard
deviation/median (minimum-maximum) and frequency percentage as applicable.
Results: The surgery could be completed successfully in all cases and iOCT could
provide real-time assessment of the depth of dissection during the entire
procedure. The duration of complete healing and disintegration of amniotic
membrane varied from 7 to 12 days. Recurrence was not seen in any case till 2
months follow-up. Conclusion: iOCT provides continuous monitoring of the depth of
dissection and allows for a safe and complete debridement of the shield ulcer
with plaque.
PMID- 29785991
TI - All India Ophthalmological Society members survey results: Cataract surgery
antibiotic prophylaxis current practice pattern 2017.
AB - Purpose: The purpose of this article is to document the current practice pattern
of Indian ophthalmologists for antibiotic prophylaxis in cataract surgery to
prevent endophthalmitis. Methods: Fifteen structured questions were sent online
to all ophthalmologists registered with the All India Ophthalmological Society.
The questionnaire was divided into three main categories of prophylaxis -
preoperative, intraoperative, and postoperative. A web-based anonymous survey was
conducted, and a unique response link allowed completing the survey only once. We
compared the results with a similar 2014 survey among the members of the American
Society of Cataract and Refractive Surgeons (ASCRS). Results: The response was
received from 30.2% (n = 4292/14,170) ophthalmologists. The results were as
follows: all respondents do not prepare the eye with 5% povidone-iodine (83% of
them use povidone iodine), majority (90%) use topical antibiotic both pre- and
post-operatively, 46% use subconjunctival antibiotic at the end of surgery, and
40% use intracameral antibiotic (46% of them in high-risk patients only).
Moxifloxacin was the preferred antibiotic for topical and intracameral use.
Comparison with the 2014 ASCRS survey results showed a similarity in decision for
pre- and post-operative antibiotics and intracameral antibiotic but dissimilarity
in the choice of intracameral antibiotic and decision for subconjunctival
antibiotic. Conclusion: The antibiotic prophylaxis practice by the Indian
ophthalmologists is not too dissimilar from the practice in North American
Ophthalmologists (ASCRS) though all ophthalmologists in India must be nudged to
preoperative preparation of the eye with povidone-iodine and discontinue the
practice of postoperative subconjunctival and systemic antibiotic.
PMID- 29785992
TI - Antibiotic prophylaxis in cataract surgery: Understanding the trends of the day.
PMID- 29785993
TI - Factors predicting response of pseudophakic cystoid macular edema to topical
steroids and nepafenac.
AB - Purpose: The purpose of this study is to determine factors predicting resolution
of acute pseudophakic cystoid macular edema (PCME) after 6 weeks of topical
prednisolone and nepafenac application. Methods: Case records of patients with a
clinical and optical coherence tomography (OCT)-based diagnosis of acute PCME
were retrospectively reviewed for best-corrected visual acuity and OCT-based
parameters at the time of presentation with PCME. In addition, demographic
variables, intraoperative and early postoperative factors, and type of treatment
prescribed (tapering vs. nontapering prednisolone, generic vs. branded
prednisolone and nepafenac) were recorded from case records for analysis.
Complete and any successes were defined and baseline factors predicting complete
success at 6 weeks were analyzed. Results: We analyzed 69 eyes of 69 patients out
of which complete success with topical medications was seen in 37 eyes (54%) and
any success was seen in 55 eyes (80%) at 6 weeks. Multivariable logistic
regression showed that eyes with lower vision at presentation had a significantly
lower likelihood of experiencing both, complete (odds ratio [OR] = 0.83 with one
line decrement in baseline vision, 95% confidence interval [CI] = 0.61-0.89, P =
0.003) and any success (OR = 0.61, 95% CI = 0.4-0.9, P = 0.007). Baseline OCT
thickness did not influence success rates. Conclusion: Topical prednisolone and
nepafenac lead to resolution in PCME in half of the eyes at 6 weeks. Baseline
vision is the only factor predicting rates of success and PCME resolution with
topical medications.
PMID- 29785994
TI - The efficacy of intravitreal dexamethasone implant as the first-line treatment
for retinal vein occlusion-related macular edema in a real-life scenario.
AB - Purpose: This study evaluated the effect of intravitreal dexamethasone implant
(IDI; Ozurdex) injection for treating macular edema in patients with branch
retinal vein occlusion (BRVO) or central retinal vein occlusion (CRVO). Methods:
This prospective study included 40 eyes of 40 patients with nonischemic BRVO and
31 eyes of 31 patients with nonischemic CRVO who received IDI injection as the
first-line treatment for macular edema. The best-corrected visual acuity (BCVA)
value before and after the treatment; intraocular pressure; optic coherence
tomography findings; and all ocular examination findings, including central
foveal thickness (CFT) measurement and fluorescein angiography findings, were
evaluated for each patient. Results: After the IDI injection, BCVA value
increased (P < 0.001) and CFT value decreased (P < 0.001) in both groups. The
recurrence rates of CFT elevation after the first and the second Ozurdex
injections were 65.0% and 65.3%, respectively, in patients with BRVO and 70.9%
and 68.1%, respectively, in patients with CRVO. A statistically significant
correlation was observed between preinjection CFT value and postinjection
recurrence of CFT elevation (P = 0.017). Conclusion: Treatment with the IDI
injections resulted in significant short-term improvement in CFT and BCVA values
in patients with clinically significant RVO-related macular edema. Moreover, we
observed that high preinjection CFT value was associated with a risk of
postinjection recurrence of CFT elevation.
PMID- 29785996
TI - Phacoemulsification with single-pass four-throw pupilloplasty and pre-Descemet's
endothelial keratoplasty for management of cosmetic iris implant complication.
AB - Placement of an anterior chamber iris implant for cosmetic reasons has been
associated with development of various complications. Even after the implant has
been explanted from the eye, it leaves a trail of after effects that necessitate
surgical management. We describe a technique that comprises of performing
phacoemulsification with single-pass four-throw pupilloplasty and a pre
Descemet's endothelial keratoplasty procedure for this eye with cosmetic iris
implant complication.
PMID- 29785995
TI - Impact on the pattern of ocular injuries and awareness following a ban on
firecrackers in New Delhi: A tertiary eye hospital-based study.
AB - Purpose: To review the nature of firecracker-related ocular injuries at a
tertiary eye hospital in northern India following the firecracker ban and also to
review the level of awareness among the victims. Methods: A cross-sectional
observational study involving the patients presenting with firecracker-related
ocular injuries from October 18 to 27, 2017 were assessed for demographic
distribution, detailed ocular evaluation, and a questionnaire related to the
awareness about the injuries. Results: A total of 68 patients were observed.
Fifty patients (74.5%) were males. This year, a majority of patients were from
outside Delhi. Uttar Pradesh constituted the most 38.23% of the patients followed
by Haryana 30.88%, Delhi 23.5%, and Bihar 7.35%. Visual acuity varied from 6/6 to
no perception of light. Open globe injury was observed in 56 patients (82.35%)
who commonly had zone I injury. A significant number of patients (88.23%) were
aware of firecracker-related injuries, and a large number of such injuries
(58.8%) occurred in those who were not actively involved in the ignition of
firecrackers but were in the vicinity. Conclusion: This year, following a ban,
the number of firecracker-related ocular injuries reported from areas outside
Delhi outnumbered as compared to within Delhi. However, firecracker-related
ocular injuries are still a major cause of significant visual loss, especially
involving the bystanders. Thus, firecracker-related celebrations should be
monitored with a stringent protocol.
PMID- 29785997
TI - Endoillumination (chandelier) and wide-angle viewing-assisted fine-needle
aspiration biopsy of intraocular mass lesions.
AB - Fine-needle aspiration biopsy (FNAB) of intraocular mass lesions is an important
intervention in the presence of diagnostic difficulty. FNAB of intraocular mass
lesions is also likely to become more commonly recommended for prognostication of
tumors such as choroidal melanoma. The most commonly described approach for tumor
localization and visualization during FNAB is transillumination and indirect
ophthalmoscopic viewing. Herein, we report endoillumination (chandelier) and wide
angle viewing assisted, microscope-based approach for FNAB in two patients using
two port minimally invasive vitreoretinal surgical approach. The submission is
supported by a video demonstration. The entire procedure was completed under the
microscope. Adequate sample was obtained. In the first patient, the inflammatory
nature of the lesion was confirmed though magnetic resonance imaging had been
reported as melanoma. In the second patient, a clinical diagnosis of amelanotic
melanoma was confirmed. Endoillumination-assisted FNAB of intraocular mass
lesions is easier to learn and more precise and hence carries lesser risks.
PMID- 29785998
TI - Acute full-thickness macular hole after uneventful femtosecond-assisted cataract
surgery and its spontaneous closure.
PMID- 29786000
TI - The fine art of pseudoexfoliation.
PMID- 29785999
TI - Swept source optical coherence tomography-angiography of an infarct of a small
intra-neural branch of central retinal artery simulating cilio-retinal artery.
PMID- 29786001
TI - Sutural cataract.
PMID- 29786002
TI - Eyelid Kaposi Sarcoma in an HIV-negative Patient.
AB - Kaposi sarcoma (KS) is a low-grade, multicentric vascular neoplasm. Most
commonly, it involves the skin, but it can occur at any site on the body. The
cutaneous lesions are often located on the lower legs, genitalia, oral mucosa,
and face. KS is categorized in four different types: classic, endemic, epidemic
or AIDS associated, and transplantation associated. We report a case of HIV
negative, classic KS located on the eyelid. The eyelid lesion was completely
excised, and after a 1-year follow-up, no recurrences were observed. Ocular
involvement by KS in a patient who is serologically negative for HIV is extremely
rare.
PMID- 29786003
TI - Spontaneous subconjunctival abscess in congenital lamellar ichthyosis.
AB - Congenital lamellar ichthyosis is an autosomal recessive, heterogeneous disorder
presenting at birth with generalized skin involvement. The most common ophthalmic
manifestation noted is bilateral ectropion of the lower eyelids. A 1-month-old
female neonate, the second born of a nonconsanguineous marriage, presented with 4
days' history of redness, discharge, and swelling in the right eye. There was
severe right upper eyelid ectropion, conjunctival injection, chemosis, a
subconjunctival mass on the temporal bulbar conjunctiva spontaneously draining
pus and corneal haze. The anterior chamber, iris, lens and fundus appeared
normal. Congenital lamellar ichthyosis was suspected because of scaling and
excessive dryness of the entire body. The occurrence of a spontaneous
subconjunctival abscess is not known in lamellar ichthyosis. We thus report the
management of a rare case of unilateral upper eyelid ectropion, subconjunctival
abscess with orbital cellulitis in congenital lamellar ichthyosis.
PMID- 29786004
TI - Anterior segment optical coherence tomography of intraocular lens opacification.
AB - Postoperative opacification of a hydrophilic acrylic intraocular lens (IOL) is an
uncommon complication. A 57-year-old diabetic female who had undergone
phacoemulsification with IOL implantation in her right eye 16 years back
presented with diminution of vision in the same eye for 3 years. Significant IOL
opacification was observed clinically and anterior segment optical coherence
tomography clearly delineated the intraoptic deposits, sparing the haptics, and
edges of the optic. IOL explant and exchange was performed leading to restoration
of visual acuity to 6/9. Histochemical evaluation of the IOL confirmed that the
hydrophilic acrylic IOL optic had calcium deposits.
PMID- 29786005
TI - A microinvasive technique for management of corneal edema secondary to glaucoma
drainage device tube-corneal touch.
AB - We present a case of tube endothelial touch where a suture technique for
repositioning of the Ahmed glaucoma valve was performed. Advantage of this
technique is that it is minimally invasive and anterior chamber stability is
maintained during the procedure.
PMID- 29786006
TI - Rebound inflammation after an intravitreal injection in Vogt-Koyanagi-Harada
syndrome.
AB - A 43-year-old male with chronic Vogt-Koyanagi-Harada syndrome (VKH) presented
with subfoveal choroidal neovascular membrane (CNVM) in the right eye with no
evidence of active inflammation. He underwent intravitreal bevacizumab and
dexamethasone injections. Postinjection he developed fresh keratic precipitates
and exudative retinal detachment (RD). He received two more bevacizumab
injections with oral corticosteroids and immunosuppressants causing resolution of
exudative RD with scarred CNVM. We report this case to highlight that
intravitreal injection may act as a trigger for rebound inflammation in VKH
patients and may require anti-inflammatory drugs to be started even in the
absence of an active inflammation.
PMID- 29786007
TI - Bilateral acute retinal necrosis associated with bilateral uveal effusion in an
immunocompetent patient: A challenging association.
AB - Bilateral uveal effusion syndrome associated with bilateral acute retinal
necrosis is a diagnostic and therapeutic challenge. A 52 year old man presented
with bilateral angle closure with choroidal detachment. With restricted fundus
view, parenteral steroid was started. During close follow up bilateral discrete
areas of peripheral retinitis were noted. Parenteral steroids were promptly
stopped and parenteral antivirals with oral steroids were continued. It showed
healing response with nil recurrences till last follow up. Aggressive treatment
of bilateral uveal effusion with parenteral steroids can cause progression of
bilateral acute retinal necrosis leading to phthisis bulbi. However early
diagnosis, prompt intervention and close follow up are the key elements to
therapeutic success even during diagnostic surprises and avoid costly mistakes.
PMID- 29786008
TI - An unexpected complication in bilateral acute iris transillumination: Cystoid
macular edema.
AB - A 47-year-old male presented with bilateral 4 + circulating pigment in the
anterior chamber, diffuse iris transillumination, dilated pupils unresponsive to
light, and high intraocular pressure (IOP) levels in both eyes. Visual acuity and
IOP improved bilaterally with topical steroid and antiglaucomatous therapy. In
the 10th month, bilateral cystoid macular edema (CME) was developed and resolved
after subtenon triamcinolone injections. CME recurred after cataract surgery in
the right eye which was treated with intravitreal dexamethasone implant
injection. CME was recurred in the left eye and treated with intravitreal
dexamethasone implant at the same setting with cataract surgery. CME can be seen
in the course of bilateral acute iris transillumination (BAIT). This is the first
BAIT case presenting with bilateral CME.
PMID- 29786010
TI - Commentary: Comparison of optical coherence tomography angiography and fundus
fluorescein angiography features of retinal capillary hemangioblastoma.
PMID- 29786009
TI - Comparison of optical coherence tomography angiography and fundus fluorescein
angiography features of retinal capillary hemangioblastoma.
AB - The aim of this study is to compare the optical coherence tomography angiography
(OCTA) and fundus fluorescein angiography (FFA) features of retinal capillary
hemangioblastoma (RCH). This is an observational case series of three patients
with von Hippel-Lindau (VHL) disease and one patient with juxtapapillary RCH. All
patients underwent FFA with a mydriatic fundus camera and OCTA with swept-source
angio OCT. The FFA and OCTA characteristics of tumors were compared. In our
series, FFA could identify tumors as small as the width of a third-order retinal
artery, which was missed on clinical examination. OCTA identified these tiny
tumors, but only those closer to the posterior pole. Both FFA and OCTA could
identify the intrinsic vasculature and feeder vessel in juxtapapillary RCH. On
OCTA, the tumors were better defined than in FFA. The depth of the lesion can be
identified on OCTA. Feeder and the draining vessels could be identified precisely
in OCTA than FFA, particularly in small tumors. OCTA can identify tumors in VHL
missed on clinical examination. It can identify the feeder vessel and intrinsic
vasculature of sessile juxtapapillary RCH and aids in its diagnosis. Tumors are
better defined in OCTA than FFA due to the absence of leakage. However, FFA can
identify nearly all the early tumors, but OCTA fails to image the peripheral
tumors due to its smaller field and prolonged acquisition time. Technological
advances and the development of wide-field OCTA in the future can be helpful in
identifying all the unsuspected tumors in VHL disease.
PMID- 29786011
TI - Nontraumatic subperiosteal orbital hemorrhage following upper gastrointestinal
endoscopy.
AB - Subperiosteal hemorrhages are typically the result of blunt orbital or facial
trauma. Nontraumatic subperiosteal hemorrhages are uncommon and are usually
attributed to increase in central venous pressure and bleeding disorders. Here,
we report the case of a 38-year-old female who underwent an upper
gastrointestinal (GI) endoscopy and developed bilateral nontraumatic
subperiosteal hemorrhages that resolved with conservative treatment. Here, we
discuss the source of bleeding and the mechanisms for the occurrence of orbital
subperiosteal bleeds. GI surgeons and ophthalmologists should be sensitive to the
possibility that orbital hemorrhage that can occur following endoscopy,
especially when retching or gagging occurs during the procedure.
PMID- 29786013
TI - Comment on: Limited vitrectomy in phacomorphic glaucoma.
PMID- 29786012
TI - Navigation-guided optic canal decompression for traumatic optic neuropathy: Two
case reports.
AB - Two cases of traumatic optic neuropathy presented with profound loss of vision.
Both cases received a course of intravenous corticosteroids elsewhere but did not
improve. They underwent Navigation guided optic canal decompression via external
transcaruncular approach, following which both cases showed visual improvement.
Postoperative Visual Evoked Potential and optical coherence technology of Retinal
nerve fibre layer showed improvement. These case reports emphasize on the role of
stereotactic navigation technology for optic canal decompression in cases of
traumatic optic neuropathy.
PMID- 29786014
TI - Response to comment on: Limitied Anterior Vitrectomy in Phacomorphic glaucoma.
PMID- 29786015
TI - Toilet pars plana vitrectomy for Surgical cases with shallow anterior chamber.
PMID- 29786016
TI - Response to: Toilet Pars Plana Vitrectomy for surgical cases with shallow
anterior chamber.
PMID- 29786019
TI - Measuring situation awareness in medical education objective structured clinical
examination guides.
AB - Background: Medical errors are among the most prevalent and serious adverse
events in health care. Lack of situation awareness (SA) is an important factor
leading to such errors. SA can be understood using Endsley's three-tier model:
level 1 is perception, level 2 is comprehension, and level 3 is projection. While
there is extensive literature on the theory of SA, it is difficult to measure and
quantify. The purpose of this pilot study was to measure, identify, and
characterize SA in some medical objective structured clinical examination (OSCE)
guides, including a 1st year National University of Ireland, Galway (NUIG) OSCE.
Methods: Two independent observers analyzed two online OSCE guides and a 1st year
OSCE examination using a self-developed tool. This tool was an inferential
measure of SA. The guides were first qualitatively analyzed using NVivo and then
quantitatively analyzed using Excel. Results: The results indicated strong
internal validity and moderate inter-rater reliability. There was limited
statistically significant variance between the observers. The NUIG OSCE had
relatively the fewest relative observations of SA and the Geeky Medics OSCE Guide
had relatively the most observations of SA. In all guides, Level 1 SA was
observed more frequently than Level 2 or 3 SA. Discussion: SA is an important
factor in clinical decision-making and patient safety. The challenging aspect is
how to best teach and assess SA in medical education. Simulations, such as
informative and/or summative OSCEs, are considered a valuable and safe way to do
so. Inter-rater reliability can be improved using tool training sessions.
PMID- 29786020
TI - Use of international foundations of medicine clinical sciences examination to
evaluate students' performance in the local examination at the University of
Sharjah, United Arab Emirates.
AB - Background: Several medical schools around the world are moving away from
isolated, locally developed in-house assessments to the introduction of external
examinations into their curriculum. Although the objective varies, it is
typically done to evaluate, audit, and compare students' performance to
international standards. Similarly, the International Foundations of Medicine
Clinical Sciences Examination (IFOM-CSE) was introduced in the College of
Medicine at the University of Sharjah as an external assessment criterion in
addition to the existing in-house assessments. The aim of this study was to
compare the student performance in this newly introduced IFOM-CSE examination and
the existing in-house final examination in the college. Methods: The scores of
three consecutive final-year undergraduate medical student batches (2013-2015)
who took both the IFOM-CSE and the existing in-house final examination were
analyzed. Pearson correlation and one-way analysis of variance test were
conducted using SPSS 22. Results: The students' scores in the IFOM-CSE and in the
final examination prepared locally were highly correlated with Pearson
correlation coefficients of 0.787 for batch 2013, 0.827 for batch 2014, and 0.830
for batch 2015 (P < 0.0005). Interestingly, while the mean scores of the IFOM-CSE
among the three batches in the years 2013, 2014, and 2015 (475, 492, and 513,
respectively) showed improvement with borderline significance (F[2226] = 2.73, P
= 0.067), local examination scores showed a significant improvement during the
study period (F[2277] = 52.87, P < 0.0005). Discussion: The findings of this
study showed that students' scores in the local examination were consistently
correlated with their scores in the IFOM-CSE over all the three batches. Thus,
introduction of external examination can be an important evaluation tool to a
comprehensive internal assessment system providing evidence of external validity.
PMID- 29786021
TI - Developing and implementing a global emergency medicine course: Lessons learned
from Rwanda.
AB - Background: There is a growing demand by medical trainees for meaningful, short
term global emergency medicine (EM) experiences. EM programs in high-income
countries (HICs) have forged opportunities for their trainees to access this
experience in low-and middle-income countries (LMICs). However, few programs in
LMICs have created and managed such courses. As more LMICs establish EM programs,
these settings are ideal for developing courses beneficial for all participants.
We describe our experience of creating and implementing a short-term global EM
course in Rwanda. Objectives: The objectives of this study were to (1) provide EM
trainees from HICs with an opportunity to observe global clinical practice and to
learn from local experts, (2) provide EM trainees from an LMIC with an
opportunity to share their expert knowledge and skills with HIC trainees, (3)
create a sustainable model for a short-term global EM course in an LMIC context.
Methods: A global EM curriculum and course were developed in Rwanda, entitled EM
in the Tropics Emergency Medicine in the Tropics (EMIT). The following topics
were covered: EM systems development, public health, trauma/triage, pediatrics,
disaster management, and tropical EM. A one-and two-week course program was
created and implemented. Results: EMIT participants rotated through pediatric and
adult EDs, Intensive Care Unit, trauma surgery, internal medicine, emergency
medical services, and ultrasound training. Activities included bedside teaching,
case presentations, ultrasound practice, group lectures, simulation and skills
workshops, and a rotation to a district hospital. A total of 11 participants
attended: six for both weeks and five for 1 week. The course raised $5000 USD,
which was dedicated in full to sponsoring local EM residents to attend
international conferences. Discussion: The EMIT course in Rwanda achieved its
objectives of teaching and learning between all participants. Benefits of this in
person experience for both visiting and local participants are clear in clinical,
intercultural, and professional ways. Conclusion: Our experience of developing
and implementing EMIT in Rwanda demonstrates that EM programs in LMICs can
provide short-term global EM courses that are not only beneficial to all
participants, but also logistically and financially sustainable.
PMID- 29786022
TI - Personality traits predict a medical student preference to pursue a career in
surgery.
AB - Background: In this study, we examined the impact of personality traits, assessed
with the psychopathic personality inventory revised version (PPI-R), on medical
students' likelihood of selecting a surgical specialty. Methods: This is a cross
sectional questionnaire-based study of 360 4th-year medical students at a single
university. We used the PPI-R previously developed to evaluate "adaptive" traits
within nonclinical (student) populations. Students were asked to express their
specialty of choice. Medical specialties were categorized as surgical and
nonsurgical. Logistic regression was used to identify predictors and appropriate
adjustments were made for demographic factors. Results: The survey was completed
by 335 out of 360 students. The prevalence of students aspiring to a surgical
career was 23.6%. They exhibited higher PPI-R total score, self-centered
impulsivity (SCI) factor score, Machiavellian egocentricity, social influence,
and fearlessness content scale scores. Logistic regression showed that SCI score
was a significant predictor for the likelihood of expressing interest toward a
surgical career. Discussion: Our findings expand previous research on the
usefulness of the nonclinical use of psychopathic personality traits to
investigate career choice.
PMID- 29786023
TI - Near peer-assisted learning to improve confidence for medical students'
situational judgment tests.
AB - Background: The situational judgment test (SJT) was introduced for all graduating
United Kingdom medical students in 2013. Students have anxiety over time
pressures and heavy weighting of a single examination. Aims: This study aimed to
examine formal SJT preparation available, perceptions of a near peer-delivered
course, and to measure improvement in students' confidence. Innovation:
Foundation doctors ( first 2 years of postgraduate training) produced a
"Situational Judgment Test Preparation Course" in November 2015. Methods:
Feedback was collected via Likert scores rating teaching, a mock examination, and
pre- and post-course confidence along with free-text responses. Delayed feedback
was collected via an online survey. Results: Forty-four students completed the
feedback. Seventy percent reported <2 h of university SJT preparation. There were
significant post-course improvements in familiarity with structure, scoring
system, knowledge and content, and overall SJT confidence (P < 0.05). Delayed
feedback showed sustained improvement in familiarity with knowledge and content
(P < 0.05). Qualitative analysis revealed themes of improved confidence,
approachable tutors, and identifying question strategies. Discussion: Students
perceived a lack of formal SJT preparation which was reflected in low pre-course
confidence. Improvements in confidence may reflect a unique insight into how to
approach the examination from those having recently undertaken it.
PMID- 29786024
TI - Needs assessment of ophthalmology education for undergraduate medical students -
A study from a medical college in South India.
AB - Background: Adequate ophthalmic diagnostic and clinical skills are essential for
practitioners in primary care settings as well as specialty care physicians. The
objectives of this study were to assess the adequacy of ophthalmology teaching in
undergraduate medical education and to evaluate the comfort of medical students
in diagnosing common eye problems and performing ophthalmic skills. Methods: A
questionnaire based, cross-sectional survey was conducted among third-year
undergraduate students from a medical college in South India at the end of
ophthalmology training from February 2014 to December 2014. The main outcome
measures were hours of classroom-based instruction and clinical exposure to
ophthalmology received by the students and their comfort level in diagnosing
common eye problems and performing ophthalmic skills. Results: 134 students
participated in the study. They had received an average of 96.2 +/- 5.9 and 112.5
+/- 11.3 hours of classroom and clinic-based instruction, respectively. The
participants' comfort in diagnosing eye problems was satisfactory for cataract
and eyelid disorders but not for ophthalmic emergencies. Only 45.5% had
satisfactory knowledge in community ophthalmology. Respondents were more
proficient in visual acuity testing (93.3%) and assessment of pupillary reaction
(80.6%) than direct ophthalmoscopy (41%). Discussion: Undergraduate medical
students from India received a greater amount of ophthalmology instruction
compared to the International Council of Ophthalmology task force
recommendations. Gaps in community ophthalmology and knowledge-skills
discrepancies were noted. Review of curriculum, appropriate training resources,
and effective teaching methods tailored towards primary care may be useful to
improve the training.
PMID- 29786026
TI - Sources of medical student stress.
AB - Background: Key elements in the clinical practice of prevention, health and
wellness are best cultivated in medical professionals during undergraduate
medical training. This study explores students' self-assessed stress relative to
gender, academic expectations, and level of medical training to guide development
of targeted wellness interventions. Methods: In early 2012, undergraduate (M1-M4)
students in four Southeastern U.S. allopathic medical schools were surveyed about
health-related attitudes and behaviors. Results: A total of 575 students returned
completed questionnaires. Students in the preclinical years (M1-M2), especially
females, reported significantly higher stress levels. Academic expectations and
satisfaction were also significantly implicated. Discussion: These findings
highlight the general areas of potential concern regarding stressors associated
with medical training. Future research should guide programmatic efforts to
enhance students' overall health and wellness vis-a -vis curriculum, skills
training, and support services.
PMID- 29786025
TI - Resident perspectives on communication training that utilizes immersive virtual
reality.
AB - Background: Communication skills can be difficult to teach and assess in busy
outpatient settings. These skills are important for effective counseling such as
in cases of influenza vaccine hesitancy. It is critical to consider novel
educational methods to supplement current strategies aimed at teaching relational
skills. Methods: An immersive virtual reality (VR) curriculum on addressing
influenza vaccine hesitancy was developed using Kern's six-step approach to
curriculum design. The curriculum was meant to teach best-practice communication
skills in cases of influenza vaccine hesitancy. Eligible participants included
postgraduate level (PL) 2 and PL-3 pediatric residents (n = 24). Immediately
following the curriculum, a survey was administered to assess residents'
attitudes toward the VR curriculum and perceptions regarding the effectiveness of
VR in comparison to other educational modalities. A survey was administered 1
month following the VR curriculum to assess trainee-perceived impact of the
curriculum on clinical practice. Results: All eligible residents (n = 24)
completed the curriculum. Ninety-two percent (n = 22) agreed or strongly agreed
that VR simulations were like real-life patient encounters. Seventy-five percent
(n = 18) felt that VR was equally effective to standardized patient (SP)
encounters and less effective than bedside teaching (P < 0.001). At 1-month
follow-up, 67% of residents (n = 16) agreed or strongly agreed that the VR
experience improved how they counseled families in cases of influenza vaccine
hesitancy. Discussion: An immersive VR curriculum at our institution was well
received by learners, and residents rated VR as equally effective as SP
encounters. As such, immersive VR may be a promising modality for communication
training.
PMID- 29786027
TI - Curriculum development for a module on noncommunicable diseases for the master of
public health program.
AB - Background: As the burden of noncommunicable diseases (NCDs) has been rising
globally, various educational programs have introduced chronic disease
epidemiology teaching, which is now a component of most of the Master of Public
Health (MPH) programs. However, the process of curriculum development for these
courses has not been adequately documented for use by educators planning such
courses. Methods: A detailed process of curriculum development based on David
Kern's six-step approach was undertaken for a 2-week course on NCDs, as part of
the MPH program of a tertiary institution in South India. The processes were
documented so that the method of curriculum development for such a course could
be made available for educators across this field. Results: The course on NCDs
was carried out over 73 learning hours (2 weeks) for a group of MPH students
including medical, dental, allied health, and nursing graduates. Evaluation of
the revised curriculum at the end of the 2 weeks revealed that mean scores for
knowledge and confidence in skills increased by 50% (11.1-16.6, t-test, P <
0.001) and 79% (3.3-5.9, t-test, P = 0.002), respectively, from baseline scores.
Discussion: The revised curriculum was effective in improving knowledge and
confidence in epidemiological skills. The documented process of curricular
development using standard methods if made publicly available can be of use to
those involved in planning similar educational programs for students of public
health.
PMID- 29786028
TI - Evaluation of a distance learning academic support program for medical graduates
during rural hospital service in India.
AB - Background: Christian Medical College (CMC), Vellore, India, a tertiary care
hospital, designed a year-long Fellowship in Secondary Hospital Medicine (FSHM)
for CMC graduates, with the aim to support them during rural service and be
motivated to consider practicing in these hospitals. The FSHM was a blend of 15
paper-based distance learning modules, 3 contact sessions, community project
work, and networking. This paper reports on the evaluation of the FSHM program.
Methods: The curriculum development process for the FSHM reflected the six-step
approach including problem identification, needs assessment, formulating
objectives, selecting educational strategies, implementation, and evaluation.
Telephone interviews with students were conducted to determine if the program
motivated them to consider working in smaller hospitals. Results: Qualitative
data analysis showed that the program motivated the FSHM students to consider
practicing in secondary hospitals by creating awareness of challenging
opportunities and instilling confidence to provide good quality clinical care
with limited resources. Discussion: We propose rural service for MBBS graduates,
supported by a blend of on-site and distance education as a model for medical
education.
PMID- 29786029
TI - Academics anonymous: A medical student's 12-step guide to scholarly productivity.
AB - An important aspect of academic medicine is publication in peer-reviewed journals
and other media. Early scholarly productivity in medical school may jump-start a
successful academic career. Topic choice, search methodology, writing strategies,
mentorship, and collaboration are all fundamental to successful academic
productivity. The authors reviewed the importance of instituting the germinal
stages of scholarly productivity during medical training and created 12 steps for
facilitating productive academic writing by students.
PMID- 29786030
TI - Women deans' perceptions of the gender gap in American medical deanships.
AB - Background: : Women account for 16% of deans of American medical schools. To
investigate this gender gap, female deans were interviewed about the barriers
facing women advancing toward deanships. Methods: The author conducted semi
structured interviews with eight women deans. Interviews were analyzed using
provisional coding and sub coding techniques. Results: Four main themes emerged
during the interviews: (1) the role of relationships in personal and career
development, (2) leadership challenges, (3) barriers between women and leadership
advancement, and (4) recommendations for improvement. Recommendations included
allocating resources, mentorship, career flexibility, faculty development,
updating the criteria for deanships, and restructuring search committees.
Discussion: The barriers identified by the deans are similar to those found in
previous studies on female faculty and department chairs, suggesting limited
improvement in gender equity progress.
PMID- 29786031
TI - Health policy for health professions students: Building capacity for community
advocacy in developing nations.
PMID- 29786032
TI - Undergraduate research training: E-learning experience in Peru.
PMID- 29786033
TI - A retrospective histological comparison of EUS-guided fine-needle biopsy using a
novel franseen needle and a conventional end-cut type needle.
AB - Background and Objectives: Recently, a 22G Franseen needle for EUS-guided fine
needle biopsy (EUS-FNB) with three novel symmetric heels has been developed to
adequately obtain a core tissue. Methods: All 38 consecutive patients with
pancreatic masses who underwent EUS-FNB using a Franseen needle were investigated
retrospectively to assess the efficacy and safety of EUS-FNB using the Franseen
needle. Then, the EUS-FNB outcomes and histological assessments of the tissue
obtained by EUS-FNB using the Franseen needle and EUS-FNA using the conventional
end-cut type needle for each of the 30 pancreatic ductal adenocarcinoma cases
were compared. Results: An accurate histological diagnosis of the Franseen needle
was achieved with a mean of 2 passes in 97.4% of patients. Although the accurate
histological diagnosis rate of pancreatic ductal adenocarcinoma was not
significantly different (96.7% vs. 93.3%, P = 0.55), the mean number of passes in
the Franseen needle was significantly less than that in the conventional needle
(2.1 +/- 0.4 vs. 3.2 +/- 0.8, P < 0.001). The presence of desmoplastic fibrosis
with neoplastic cellular elements and venous invasion were significantly higher
(96.7% vs. 40.0%, P < 0.001 and 23.3% vs. 0%, P < 0.01, respectively) and the
amount of obtained tissue was significantly larger with the Franseen needle (2.13
mm2 vs. 0.45 mm2, P < 0.001). Conclusions: EUS-FNB using the Franseen needle
enables the acquisition of a larger amount of tissue sample and achieves an
accurate histological diagnosis with a smaller number of passes than the
conventional end-cut type needle.
PMID- 29786034
TI - Mixed ductal-neuroendocrine carcinoma with unique intraductal growth in the main
pancreatic duct.
PMID- 29786035
TI - Initial report of transesophageal EUS-guided intraparenchymal lung mass core
biopsy: Findings and outcomes in two cases.
AB - EUS is most commonly used to evaluate and sample lesions of the abdomen but has
only been used on rare occasions to evaluate and sample lung lesions. Prior
reported cases of EUS sampling of lung lesions were performed by fine-needle
aspiration. We present what is believed to be the first reported cases of EUS
guided core biopsy of intraparenchymal lung lesions through two separate case
reports. Both patients had the upper lobe lesions not amenable to bronchoscopy or
endobronchial ultrasound, and both patients underwent core biopsy without adverse
event. This report of two cases shows that EUS-guided core biopsy of
intraparenchymal lung lesions is technically possible and may not necessarily
result in adverse events such as hemorrhage, pneumothorax, or infection.
PMID- 29786036
TI - Overall Blastocyst Quality, Trophectoderm Grade, and Inner Cell Mass Grade
Predict Pregnancy Outcome in Euploid Blastocyst Transfer Cycles.
AB - Background: Despite recent advances that have improved the pregnancy success
rates that can be achieved via in vitro fertilization (IVF) therapy, it is not
yet clear which blastocyst morphological parameters best predict the outcomes of
single blastocyst transfer. In addition, most of the previous studies did not
exclude the effect of embryo aneuploidy on blastocysts transfer. Thus, the
present study investigated the predictive value of various parameters on the
pregnancy outcomes achieved via the transfer of frozen euploid blastocysts.
Methods: The study retrospectively analyzed 914 single euploid blastocyst
transfer cycles that were performed at the Peking University Third Hospital
Reproductive Medical Center between June 2011 and May 2016. The expansion,
trophectoderm (TE), and inner cell mass (ICM) quality of the blastocysts were
assessed based on blastocyst parameters, and used to differentiate between
"excellent", "good", "average", and "poor"-quality embryos. The relationship
between these embryo grades and the achieved pregnancy outcomes was then analyzed
via the Chi-square and logistic regression tests. Results: For embryo grades of
excellent, good, average and poor, the clinical pregnancy rates were 65.0%,
59.3%, 50.3% and 33.3%, respectively; and the live-birth rates were 50.0%, 49.7%,
42.3% and 25.0%, respectively. Both the clinical pregnancy rate (chi2 = 21.28, P
= 0.001) and live-birth rate (chi2 = 13.50, P < 0.001) increased with the overall
blastocyst grade. Both rates were significantly higher after the transfer of a
blastocyst that exhibited either an A-grade or B-grade TE, and similarly, an A
grade ICM, than after the transfer of a blastocyst that exhibited a C-grade TE
and/or ICM. The degree of blastocyst expansion had no apparent effect on the
clinical pregnancy or live-birth rate. All odds ratio were adjusted for patient
age, body mass index, length (years) of infertility history, and infertility
type. Conclusions: A higher overall euploid blastocyst quality is shown to
correlate most strongly with optimal pregnancy outcomes. The study thus supports
the use of the described TE and ICM morphological grades to augment current
embryo selection criteria.
PMID- 29786037
TI - Preliminary Outcome of Individualized Abdominoperineal Excision for Locally
Advanced Low Rectal Cancer.
AB - Background: The introduction of individualized abdominoperineal excision (APE)
may minimize operative trauma and reduce the rate of complications. The purpose
of this study was to evaluate the safety and efficacy of individualized APE for
low rectal cancer. Methods: Fifty-six patients who underwent individualized APE
from June 2011 to June 2015 were evaluated retrospectively in Beijing Chaoyang
Hospital, Capital Medical University. The main outcome measures were
circumferential resection margin (CRM) involvement, intraoperative perforation,
postoperative complications, and local recurrence. Statistical analysis was
performed using SPSS version 16.0. Results: Fifty (89%) patients received
preoperative chemoradiotherapy: 51 (91%) patients were treated with the
sacrococcyx preserved; 27 (48%) patients with the levator ani muscle partially
preserved bilaterally; 20 (36%) patients with the levator ani muscle partially
preserved unilaterally and the muscle on the opposite side totally preserved; 7
(13%) patients with intact levator ani muscle and part of the ischioanal fat
bilaterally dissected; and 2 (4%) patients with part of the ischioanal fat and
intact lavator ani muscle dissected unilaterally and the muscle on the opposite
side partially preserved. The most common complications included sexual
dysfunction (12%), perineal wound complications (13%), urinary retention (7%),
and chronic perineal pain (5%). A positive CRM was demonstrated in 3 (5%)
patients, and intraoperative perforations occurred in 2 (4%) patients. On
multiple logistic regression analysis, longer operative time (P = 0.032) and more
intraoperative blood loss (P = 0.006) were significantly associated with perineal
procedure-related complications. The local recurrence was 4% at a median follow
up of 53 months (range: 30-74 months). Conclusion: With preoperative
chemoradiotherapy, individualized APE may be a relatively safe and feasible
approach for low rectal cancer with acceptable oncological outcomes.
PMID- 29786038
TI - A Predictive Model for Estimation Risk of Proliferative Lupus Nephritis.
AB - Background: Lupus nephritis (LN) is classified by renal biopsy into proliferative
and nonproliferative forms, with distinct prognoses, but renal biopsy is not
available for every LN patient. The present study aimed to establish an alternate
tool by building a predictive model to evaluate the probability of proliferative
LN. Methods: In this retrospective cohort with biopsy-proven LN, 382 patients in
development cohort, 193 in internal validation cohort, and 164 newly diagnosed
patients in external validation cohort were selected. Logistic regression model
was established, and the concordance statistics (C-statistics), Akaike
information criterion (AIC), integrated discrimination improvement, Hosmer
Lemeshow test, and net reclassification improvement were calculated to evaluate
the performance and validation of models. Results: The prevalence of
proliferative LN was 77.7% in the whole cohort. A model, including age, gender,
systolic blood pressure, hemoglobin, proteinuria, hematuria, and serum C3,
performed well on good-of-fit and discrimination in the development chohort to
predict the risk of proliferative LN (291 for AIC and 0.84 for C-statistics). In
the internal and external validation cohorts, this model showed good capability
for discrimination and calibration (0.84 and 0.82 for C-statistics, and 0.99 and
0.75 for P values, respectively). Conclusion: This study developed and validated
a model including demographic and clinical indices to evaluate the probability of
presenting proliferative LN to guide therapeutic decisions and outcomes.
PMID- 29786039
TI - Role of Soluble ST2 Levels and Beta-Blockers Dosage on Cardiovascular Events of
Patients with Unselected ST-Segment Elevation Myocardial Infarction.
AB - Background: Serum soluble ST2 (sST2) levels are elevated early after acute
myocardial infarction and are related to adverse left ventricular (LV) remodeling
and cardiovascular outcomes in ST-segment elevation myocardial infarction
(STEMI). Beta-blockers (BB) have been shown to improve LV remodeling and
survival. However, the relationship between sST2, final therapeutic BB dose, and
cardiovascular outcomes in STEMI patients remains unknown. Methods: A total of
186 STEMI patients were enrolled at the Wuhan Asia Heart Hospital between January
2015 and June 2015. All patients received standard treatment and were followed up
for 1 year. Serum sST2 was measured at baseline. Patients were divided into four
groups according to their baseline sST2 values (high >56 ng/ml vs. low <=56
ng/ml) and final therapeutic BB dose (high >=47.5 mg/d vs. low <47.5 mg/d). Cox
regression analyses were performed to determine whether sST2 and BB were
independent risk factors for cardiovascular events in STEMI. Results: Baseline
sST2 levels were positively correlated with heart rate (r = 0.327, P = 0.002),
Killip class (r = 0.408, P = 0.000), lg N-terminal prohormone B-type natriuretic
peptide (r = 0.467, P = 0.000), lg troponin I (r = 0.331, P = 0.000), and lg C
reactive protein (r = 0.307, P = 0.000) and negatively correlated to systolic
blood pressure (r = -0.243, P = 0.009) and LV ejection fraction (r = -0.402, P =
0.000). Patients with higher baseline sST2 concentrations who were not titrated
to high-dose BB therapy (P < 0.0001) had worse outcomes. Baseline high sST2
(hazard ratio [HR]: 2.653; 95% confidence interval [CI]: 1.201-8.929; P = 0.041)
and final low BB dosage (HR: 1.904; 95% CI, 1.084-3.053; P = 0.035) were
independent predictors of cardiovascular events in STEMI. Conclusions: High
baseline sST2 levels and final low BB dosage predicted cardiovascular events in
STEMI. Hence, sST2 may be a useful biomarker in cardiac pathophysiology.
PMID- 29786041
TI - Fixation versus Excision of Osteochondral Fractures after Patellar Dislocations
in Adolescent Patients: A Retrospective Cohort Study.
AB - Background: Patellar dislocation is one of the most common knee injuries in the
adolescent population. It is often combined with osteochondral fracture. The
purpose of this study was to compare the outcomes between fixation and excision
of osteochondral fractures not involving the bearing surface in adolescent
patients with patellar dislocations. Methods: Patients who underwent surgery for
osteochondral fracture following patellar dislocation in our institution from
2007 to 2014 were retrospectively evaluated. Visual analog scale (VAS) of pain
and the International Knee Documentation Committee (IKDC) form were used to
assess knee pain and function at follow-up. Patient satisfaction was evaluated.
Differences in the values of variables among groups were assessed using t-test if
equal variance or Mann-Whitney U-test if not equal variance. The Pearson's Chi
square test was applied for dichotomous variables if expected frequency was >5 or
Fisher's exact test was applied if not. A value of P < 0.05 was considered
statistically significant. Results: Forty-three patients were included, with the
average age of 14.1 +/- 2.3 (range, 9.0-17.0) years. Nineteen underwent fixation
of osteochondral fractures and 24 did not. The average follow-up time was 28 +/-
10 months. There was no significant difference in age, gender, follow-up time,
causes of injury, times of dislocation, and location of osteochondral fracture
between fixation and excision groups. The fixation group had a significantly
longer surgery time (82 +/- 14 min) and larger size of osteochondral fracture
(2.30 +/- 0.70 cm2) than the excision group (43 +/- 10 min, 1.88 +/- 0.62 cm2,
respectively, t = 10.77, P < 0.01 and t = 0.84, P < 0.05). At the last follow-up,
the average IKDC score in the fixation group (82.52 +/- 8.71) was significantly
lower than that in the excision group (89.51 +/- 7.19, t = 2.65, P < 0.01). There
was no significant difference in VAS of pain and patients' satisfaction. There
were 7 (16%) patients with recurrent dislocation. Conclusion: Excision of
osteochondral fractures has equivalent or better outcomes compared to fixation in
adolescent patients with patellar dislocations when these fractures do not
involve the bearing surface.
PMID- 29786042
TI - Early Immunosuppressive Exposure of Enteric-Coated-Mycophenolate Sodium Plus
Tacrolimus Associated with Acute Rejection in Expanded Criteria Donor Kidney
Transplantation.
AB - Background: Immunosuppressive agents are still inefficient in preventing biopsy
proven acute rejection (BPAR) after expanded criteria donor (ECD) kidney
transplantation. The aim of this study was to investigate the relationships
between early immunosuppressive exposure and the development of BPAR. Methods: We
performed a retrospective study of 58 recipients of ECD kidney transplantation
treated with enteric-coated-mycophenolate sodium, tacrolimus (Tac), and
prednisone. The levels of mycophenolic acid-area under the curve (MPA-AUC)0-12h
and Tac C0were measured at the 1st week and the 1st month posttransplant,
respectively. The correlation was assessed by multivariate logistic regression.
Results: The occurrence rates of BPAR and antibody-mediated rejection were 24.1%
and 10.3%, respectively. A low level of MPA-AUC0-12h at the 1st week
posttransplant was found in BPAR recipients (38.42 +/- 8.37 vs. 50.64 +/- 13.22,
P < 0.01). In addition, the incidence of BPAR was significantly high (P < 0.05)
when the MPA-AUC0-12hlevel was <30 mg.h-1.L-1 at the 1st week (15.0% vs. 44.4%)
or the Tac C0was <4 ng/ml at the 1st month posttransplant (33.3% vs. 21.6%).
Multivariable logistic regression analysis showed that the MPA-AUC0-12h at the
1st week (OR: 0.842, 95% CI: 0.784-0.903) and the Tac C0at the 1st month (OR:
0.904, 95% CI: 0.822-0.986) had significant inverse correlation with BPAR (P <
0.05). Conclusions: Low-level exposure of MPA and Tac C0in the early weeks
posttransplant reflects an increased acute rejection risk, which suggested that
MPA-AUC0-12h <30 mg.h-1.L-1 and Tac C0 <4 ng/ml should be avoided in the first
few weeks after transplantation.
PMID- 29786040
TI - Human Leukocyte Antigen-A Allele Distribution in Nasopharyngeal Carcinoma
Patients Showing Anti-Melanoma-Associated Antigen A or Synovial Sarcoma X-2 T
Cell Response in Blood.
AB - Background: Development of innovative immunotherapy is imperative to improve the
poor survival of the nasopharyngeal carcinoma (NPC) patients. In this study, we
evaluated the T cell response to melanoma-associated antigen (MAGE)-A1, MAGE-A3,
or synovial sarcoma X-2 (SSX-2) in the peripheral blood of treatment-naive NPC
patients. The relationship of responses among the three proteins and the human
leukocyte antigen (HLA)-A types were analyzed to provide evidence of designing
novel therapy. Methods: Sixty-one NPC patients admitted into the Tumor Hospital
affiliated to the Xinjiang Medical University between March 2015 and July 2016
were enrolled. Mononuclear cells were isolated from the peripheral blood before
any treatment. HLA-A alleles were typed with Sanger sequence-based typing
technique. The T cell response to the MAGE-A1, MAGE-A3, or SSX-2 was evaluated
with the Enzyme-Linked ImmunoSpot assay. Mann-Whitney U-test was used to compare
the T cell responses from different groups. Spearman's rank correlation was used
to analyze the relationship of T cell responses. Results: HLA-A*02:01, A*02:07,
and A*24:02 were the three most frequent alleles (18.9%, 12.3%, and 11.5%,
respectively) among the 22 detected alleles. 31.1%, 19.7%, and 16.4% of the
patients displayed MAGE-A1, MAGE-A3, or SSX-2-specific T cell response,
respectively. The magnitudes of response to the three proteins were 32.5, 38.0,
and 28.7 SFC/106 peripheral blood mononuclear cells, respectively. The T cell
response against the three proteins correlated with each other to different
extent. The percentage of A*02:01 and A*24:02 carriers were significantly higher
in patients responding to any of the three proteins compared to the
nonresponders. Conclusion: MAGE-A1, MAGE-A3, or SSX-2-specific T cell responses
were detectable in a subgroup of NPC patients, the frequency and magnitude of
which were correlated.
PMID- 29786043
TI - Risk Factors for Recurrence of Ovarian Endometriosis in Chinese Patients Aged 45
and Over.
AB - Background: When considering the issue of recurrence, perimenopausal women may
have more dilemma during management comparing with young women, for example,
whether to retain the uterus and ovary during surgery, whether it is necessary to
add adjuvant medicine treatment after operation, and there is no evidence for
reference about using of gonadotropin-releasing hormone agonist. This study aimed
to study the risk factors for the recurrence of ovarian endometriosis (EM) in
patients aged 45 and over. Methods: This is a retrospective nested case-control
study. We reviewed the medical records of patients aged over 45 years who
underwent surgical treatments for ovarian EM from 1994 to 2014, in Peking Union
Medical College Hospital of Chinese Academy of Medical Sciences. By following up
to January 2016, 45 patients were found to have relapses and regarded as the
recurrence group. The patients with no recurrence during the same follow-up
period were randomly selected by the ratio of 1:4 as the nonrecurrence group (180
patients in total). Stratified Cox regression was used to analyze the risk
factors of the recurrence. Results: Univariate analysis showed that there was a
significant difference in the postoperative treatment (the percentage of patients
who received postoperative treatment in non-recurrence group and recurrence
group, 23.9% vs. 40.0%, chi2 = 4.729, P = 0.030) and ovarian preservation (the
percentage of patients who received surgery of ovarian preservation in non
recurrence group and recurrence group, 25.0 % vs. 44.4%, chi2 = 19.462, P <
0.001) between the nonrecurrence group and the recurrence group. There was no
correlation between recurrence and the following factors including patient's age,
menarche age, gravidity, parity, CA125 level, ovarian lesions, menopausal status,
combined benign gynecological conditions (such as myoma and adenomyoma) and
endometrial abnormalities, and surgical approach or surgical staging (all P >
0.05). Multivariate analysis indicated that whether to retain the ovary was the
only independent risk factor of recurrence for patients aged 45 years and over
with ovarian EM (odds ratio: 5.594, 95% confidence interval: 1.919-16.310, P =
0.002). Conclusion: Ovarian preservation might be the only independent risk
factor of recurrence for patients aged 45 years and over with ovarian EM.
PMID- 29786045
TI - Corrigendum: Association of Source of Memory Complaints and Increased Risk of
Cognitive Impairment and Cognitive Decline: A Community-Based Study.
AB - [This corrects the article DOI: 10.4103/0366-6999.229904].
PMID- 29786044
TI - Prognostic Value of Model for End-Stage Liver Disease Incorporating with Serum
Sodium Score for Development of Acute Kidney Injury after Liver Transplantation.
AB - Background: Contribution of model for end-stage liver disease incorporating with
serum sodium (MELD-Na) score in predicting acute kidney injury (AKI) after
orthotopic liver transplantation (OLT) is yet to be identified. This study
assessed the prognostic value of MELD-Na score for the development of AKI
following OLT. Methods: Preoperative and surgery-related variables of 321 adult
end-stage liver disease patients who underwent OLT in Fuzhou General Hospital
were collected. Postoperative AKI was defined and staged in accordance with the
clinical practice guidelines developed by Kidney Disease: Improving Global
Outcomes. Univariate and multivariate analysis was performed to determine the
risk factors for AKI following OLT. The discriminating power of MELD/MELD-Na
score on AKI outcome was evaluated by receiver operating characteristic (ROC)
curve. Spearman's correlation analysis was used for identifying the correlated
relationship between MELD/MELD-Na score and the severity levels of AKI. Results:
The prevalence of AKI following OLT was in 206 out of 321 patients (64.2%). Three
risk factors for AKI post-OLT were presented, preoperative calculated MELD score
(odds ratio [OR] = 1.048, P = 0.021), intraoperative volume of red cell
suspension transfusion (OR = 1.001, P = 0.002), and preoperative liver cirrhosis
(OR = 2.015, P = 0.012). Two areas under ROC curve (AUCs) of MELD/MELD-Na score
predicting AKI were 0.688 and 0.672, respectively; the difference between two
AUCs was not significant (Z = 1.952, P = 0.051). The Spearman's correlation
coefficients between MELD/MELD-Na score and the severity levels of AKI were 0.406
and 0.385 (P = 0.001, 0.001), respectively. Conclusions: We demonstrated that
preoperative MELD score, intraoperative volume of red cell suspension transfusion
and preoperative liver cirrhosis were risk factors for AKI following OLT.
Furthermore, we preliminarily validated that MELD score seemed to have a stronger
power discriminating AKI post-OLT than that of novel MELD-Na score.
PMID- 29786046
TI - Effect of Double-Balloon Enteroscopy on Diagnosis and Treatment of Small-Bowel
Diseases.
AB - Background: The diagnosis and treatment of small-bowel diseases is clinically
difficult. The purpose of this study was to evaluate the diagnostic and
therapeutic value of double-balloon enteroscopy in small-bowel diseases. Methods:
The history and outcomes of 2806 patients who underwent double-balloon
enteroscopy from July 2004 to April 2017 were reviewed, which included 562
patients with obscure digestive tract bleeding, 457 patients with obscure
diarrhea, 930 patients with obscure abdominal pain, 795 patients with obscure
weight loss, and 62 patients with obscure intestinal obstruction. Examinations
were performed through the mouth and/or anus according to the clinical symptoms
and abdominal images. If a lesion was not detected through one direction,
examination through the other direction was performed as necessary. Eighty-four
patients with small-bowel polyps, 26 with intestinal obstruction caused by
enterolith, and 18 with bleeding from Dieulafoy's lesions in the small intestine
were treated endoscopically. Results: A total of 2806 patients underwent double
balloon enteroscopy, and no serious complications occurred. An endoscopic
approach through both the mouth and anus was used in 212 patients. Lesions were
detected in 1696 patients, with a detection rate of 60.4%; the rates for obscure
digestive tract bleeding, diarrhea, abdominal pain, weight loss, and intestinal
obstruction were 85.9% (483/562), 73.5% (336/457), 48.2% (448/930), 49.1%
(390/795), and 62.9% (39/62), respectively. For patients with small-bowel polyps
who underwent endoscopic therapy, no complications such as digestive tract
bleeding and perforation occurred. Intestinal obstruction with enteroliths was
relieved with endoscopic lithotripsy. Among the 18 patients with bleeding from
small-bowel Dieulafoy's lesions, 14 patients were controlled with endoscopic
hemostasis. Conclusion: Double-balloon enteroscopy is useful for diagnosing and
treating some small-bowel disease.
PMID- 29786047
TI - Establishment of a Quantitative Medical Technology Evaluation System and
Indicators within Medical Institutions.
AB - Background: The development and application of medical technologies reflect the
medical quality and clinical capacity of a hospital. It is also an effective
approach in upgrading medical service and core competitiveness among medical
institutions. This study aimed to build a quantitative medical technology
evaluation system through questionnaire survey within medical institutions to
perform an assessment to medical technologies more objectively and accurately,
and promote the management of medical quality technologies and ensure the medical
safety of various operations among the hospitals. Methods: A two-leveled
quantitative medical technology evaluation system was built through a two-round
questionnaire survey of chosen experts. The Delphi method was applied in
identifying the structure of evaluation system and indicators. The judgment of
the experts on the indicators was adopted in building the matrix so that the
weight coefficient and maximum eigenvalue (lambda max), consistency index (CI),
and random consistency ratio (CR) could be obtained and collected. The results
were verified through consistency tests, and the index weight coefficient of each
indicator was conducted and calculated through analytical hierarchy process.
Results: Twenty-six experts of different medical fields were involved in the
questionnaire survey, 25 of whom successfully responded to the two-round
research. Altogether, 4 primary indicators (safety, effectiveness,
innovativeness, and benefits), as well as 13 secondary indicators, were included
in the evaluation system. The matrix is built to conduct the lambda max, CI, and
CR of each expert in the survey, and the index weight coefficients of primary
indicators were 0.33, 0.28, 0.27, and 0.12, respectively, and the index weight
coefficients of secondary indicators were conducted and calculated accordingly.
Conclusions: As the two-round questionnaire survey of experts and statistical
analysis were performed and credibility of the results was verified through
consistency evaluation test, the study established a quantitative medical
technology evaluation system model and assessment indicators within medical
institutions based on the Delphi method and analytical hierarchy process.
Moreover, further verifications, adjustments, and optimizations of the system and
indicators will be performed in follow-up studies.
PMID- 29786048
TI - Cardiac Hypertrophy is Positively Regulated by MicroRNA-24 in Rats
AB - Background: MicroRNA-24 (miR-24) plays an important role in heart failure by
reducing the efficiency of myocardial excitation-contraction coupling. Prolonged
cardiac hypertrophy may lead to heart failure, but little is known about the role
of miR-24 in cardiac hypertrophy. This study aimed to preliminarily investigate
the function of miR-24 and its mechanisms in cardiac hypertrophy. Methods: Twelve
Sprague-Dawley rats with a body weight of 50 +/- 5 g were recruited and randomly
divided into two groups: a transverse aortic constriction (TAC) group and a sham
surgery group. Hypertrophy index was measured and calculated by echocardiography
and hematoxylin and eosin staining. TargetScans algorithm-based prediction was
used to search for the targets of miR-24, which was subsequently confirmed by a
real-time polymerase chain reaction and luciferase assay. Immunofluorescence
labeling was used to measure the cell surface area, and 3H-leucine incorporation
was used to detect the synthesis of total protein in neonatal rat cardiac
myocytes (NRCMs) with the overexpression of miR-24. In addition, flow cytometry
was performed to observe the alteration in the cell cycle. Statistical analysis
was carried out with GraphPad Prism v5.0 and SPSS 19.0. A two-sided P < 0.05 was
considered as the threshold for significance. Results: The expression of miR-24
was abnormally increased in TAC rat cardiac tissue (t = -2.938, P < 0.05).
TargetScans algorithm-based prediction demonstrated that CDKN1B (p27, Kip1), a
cell cycle regulator, was a putative target of miR-24, and was confirmed by
luciferase assay. The expression of p27 was decreased in TAC rat cardiac tissue
(t = 2.896, P < 0.05). The overexpression of miR-24 in NRCMs led to the decreased
expression of p27 (t = 4.400, P < 0.01), and decreased G0/G1 arrest in cell cycle
and cardiomyocyte hypertrophy. Conclusion: MiR-24 promotes cardiac hypertrophy
partly by affecting the cell cycle through down-regulation of p27 expression.
PMID- 29786049
TI - Lipoxin A4 Ameliorates Lipopolysaccharide-Induced A549 Cell Injury through
Upregulation of N-myc Downstream-Regulated Gene-1.
AB - Background: Lipoxin A4 (LXA4) can alleviate lipopolysaccharide (LPS)-induced
acute lung injury (ALI) and acute respiratory distress syndrome through promoting
epithelial sodium channel (ENaC) expression in lung epithelial cells. However,
how LXA4 promote ENaC expression is still largely elusive. The present study
aimed to explore genes and signaling pathway involved in regulating ENaC
expression induced by LXA4. Methods: A549 cells were incubated with LPS and LXA4,
or in combination, and analyzed by quantitative real-time polymerase chain
reaction (qRT-PCR) of ENaC-alpha/gamma. Candidate genes affected by LXA4 were
explored by transcriptome sequencing of A549 cells. The critical candidate gene
was validated by qRT-PCR and Western blot analysis of A549 cells treated with LPS
and LXA4 at different concentrations and time intervals. LXA4 receptor (ALX)
inhibitor BOC-2 was used to test induction of candidate gene by LXA4. Candidate
gene siRNA was adopted to analyze its influence on A549 viability and ENaC-alpha
expression. Phosphoinositide 3-kinase (PI3K) inhibitor LY294002 was utilized to
probe whether the PI3K signaling pathway was involved in LXA4 induction of
candidate gene expression. Results: The A549 cell models of ALI were constructed
and subjected to transcriptome sequencing. Among candidate genes, N-myc
downstream-regulated gene-1 (NDRG1) was validated by real-time-PCR and Western
blot. NDRG1 mRNA was elevated in a dose-dependent manner of LXA4, whereas BOC-2
antagonized NDRG1 expression induced by LXA4. NDRG1 siRNA suppressed viability of
LPS-treated A549 cells (treatment vs. control, 0.605 +/- 0.063 vs. 0.878 +/-
0.083, P = 0.040) and ENaC-alpha expression (treatment vs. control, 0.458 +/-
0.038 vs. 0.711 +/- 0.035, P = 0.008). LY294002 inhibited NDRG1 (treatment vs.
control, 0.459 +/- 0.023 vs. 0.726 +/- 0.020, P = 0.001) and ENaC-alpha
(treatment vs. control, 0.236 +/- 0.021 vs. 0.814 +/- 0.025, P < 0.001)
expressions and serum- and glucocorticoid-inducible kinase 1 phosphorylation
(treatment vs. control, 0.442 +/- 0.024 vs. 1.046 +/- 0.082, P = 0.002),
indicating the PI3K signaling pathway was involved in regulating NDRG1 expression
induced by LXA4. Conclusion: Our research uncovered a critical role of NDRG1 in
LXA4 alleviation of LPS-induced A549 cell injury through mediating PI3K signaling
to restore ENaC expression.
PMID- 29786052
TI - Function of Rho GTPase Activating Protein 11A in Tumors.
PMID- 29786050
TI - Diagnostic Performance of Intestinal Fusobacterium nucleatum in Colorectal
Cancer: A Meta-Analysis.
AB - Background: Increasing evidence has supported the link of intestinal
Fusobacterium nucleatum infection to colorectal cancer (CRC). However, the value
of F. nucleatum as a biomarker in CRC detection has not been fully defined. In
order to reduce the random error and bias of individual research, this meta
analysis aimed to evaluate the diagnostic performance of intestinal F. nucleatum
in CRC patients and provide evidence-based data to clinical practice. Methods: An
article search was performed from PubMed, Embase, Cochrane Library, and Web of
Science databases up to December 2017, using the following key words:
"Fusobacterium nucleatum", "Fusobacterium spp.", "Fn", "colorectal cancer(s)",
"colorectal carcinoma(s)", "colorectal neoplasm(s)", and "colorectal tumor(s)".
Articles on relationships between F. nucleatum and CRC were selected according to
the preestablished inclusion and exclusion criteria. This meta-analysis was
performed using STATA 12.0 software, which included mapping of forest plots,
heterogeneity tests, meta-regression, subgroup analysis, sensitivity analysis,
and publication bias. The sensitivity, specificity, positive likelihood ratio
(LR), negative LR, diagnostic odds ratio (DOR), and their corresponding 95%
confidence interval (CI) of each eligible study were summarized. Results:
Finally, data for 1198 participants (629 CRC and 569 healthy controls) in 10
controlled studies from seven articles were included. The summary receiver
operator characteristic curve was mapped. The diagnostic performance of
intestinal F. nucleatum infection on CRC was as follows: the area under the
curve: 0.86 (95% CI: 0.83-0.89), the pooled sensitivity: 0.81 (95% CI: 0.64
0.91), specificity: 0.77 (95% CI: 0.59-0.89), and DOR: 14.00 (95% CI: 9.00
22.00). Conclusion: Intestinal F. nucleatum is a valuable marker for CRC
diagnosis.
PMID- 29786053
TI - Pancreaticojejunal Bridge Stent Internal Drainage as a Safe Reconstruction
Technique after Middle Segmental Pancreatic Resection.
PMID- 29786054
TI - Molecular Investigation of an Anthrax Epidemic in Guizhou Province, China.
PMID- 29786051
TI - Urinary Extracellular Vesicle: A Potential Source of Early Diagnostic and
Therapeutic Biomarker in Diabetic Kidney Disease.
AB - Objective: Diabetic kidney disease (DKD) has become one of the major causes of
end-stage renal disease. Urinary extracellular vesicles (uEVs) contain rich
biological information which could be the ideal source for noninvasive biomarkers
of DKD. This review discussed the potential early diagnostic and therapeutic
values of proteins and microRNAs in uEVs in DKD. Data Sources: This review was
based articles published in PubMed, Embase, Cochrane, and Google Scholar
databases up to November 20, 2017, with the following keywords: "Diabetic kidney
disease", "Extracellular vesicle", and "Urine". Study Selection: Relevant
articles were carefully reviewed, with no exclusions applied to the study design
and publication type. Results: There is no "gold standard" technology to separate
and/or purify uEVs. The uEVs contain a variety of proteins and RNAs and
participate in the physiological and pathological processes of the kidney. UEVs,
especially urinary exosomes, may be useful biomarkers for early diagnosis and
treatment to DKD. Furthermore, the uEVs has been used as a therapeutic target for
DKD. Conclusion: Proteins and nucleic acids in uEVs represent promising biomarker
for the diagnosis and treatment of DKD.
PMID- 29786055
TI - Successful Ablation of Moderator Band-Originated Ventricular Tachycardia at Its
Ventricle Insertion Sites.
PMID- 29786056
TI - Cavernous Transformation of the Portal Vein Secondary to Cholecystolithiasis.
PMID- 29786057
TI - Pulmonary Hypertension in Glycogen Storage Disease Type II.
PMID- 29786058
TI - Non-Hodgkin's Lymphoma: An Important Differential Diagnosis in Inflammatory Bowel
Disease.
PMID- 29786059
TI - Recurrent Cardiac Tamponade from Right Atrial Angiosarcoma.
PMID- 29786060
TI - Super-Flexible Through-the-Scope Self-Expandable Metallic Stent Insertion for the
Management of Malignant Tortuous Hepatic or Splenic Flexure Colonic Obstruction.
PMID- 29786061
TI - Leprosy in Children: Needs for Active Intervention.
PMID- 29786062
TI - Reply to the Comments from Readers.
PMID- 29786063
TI - Induction of prolonged natural lifespans in mice exposed to acoustic
environmental enrichment.
AB - We investigated the effect of acoustic environmental enrichment (EE) on the
lifespans and behaviours of mice to the end of their natural lifespan in
different acoustic environments. Acoustic EE induced a significantly prolonged
natural lifespan (nearly 17% longer) and was associated with increased voluntary
movements. However, no correlation between lifespan and voluntary movements was
detected, suggesting that increased voluntary movements are not a primary cause
of lifespan prolongation. Analyses of individual differences in lifespan
demonstrated that lifespan extension induced by acoustic EE could be related to
changes in social relationships (e.g., reduction of social conflict) among
individuals kept within a cage. Therefore, an acoustic component may be an
important factor inducing the positive effects of EE.
PMID- 29786064
TI - Fabrication of aluminum alloy functionally graded material using directional
solidification under an axial static magnetic field.
AB - Aluminum alloy in situ functionally graded materials (FGMs) have been
successfully fabricated using directional solidification under an axial static
magnetic field. Al-Zn, Al-Ni and Al-Cu alloys with a hypereutectic composition
were selected to produce FGMs. Experimental results show that the graded
composition of the primary phases (i.e., Zn, Al3Ni and Al2Cu) is obvious along
the longitudinal section of the sample. The graded composition of the primary
phases could be controlled by the value of the magnetic field, growth rate and
temperature gradient. A proposed model and simulations are carried out to explain
the origin of the graded composition of the primary phases in FGMs during
directional solidification under an axial static magnetic field. It should be
attributed to the combined actions of heavier species migration under gravity
force and thermoelectric (TE) magnetic convection under magnetic field.
Furthermore, it can be found that the magnetic field can induce the columnar FGMs
to change into equiaxed FGMs. This work not only presents a new approach to
fabricate FGMs using the directional solidification under an axial static
magnetic field but also deeply understands the effect of the solute migration and
temperature distribution on the crystal growth during directional solidification.
PMID- 29786065
TI - Real-time precise point positioning-based zenith tropospheric delay for
precipitation forecasting.
AB - GPS-based Zenith Tropospheric Delay (ZTD) estimation should be easily obtained in
a cost-effective way, however, the most previous studies focus on post-processed
ZTD estimates using satellite orbit and clock products with at least 3-9 hours
latency provided by International GNSS Service (IGS), which limits the GNSS
meteorological application for nowcasting. With the development of IGS's real
time pilot project (RTPP), this limitation was removed by April, 2013 as real
time satellite orbit and clock products can be obtained on-line. In this paper,
on the one hand, the GPS-derived ZTD estimation was evaluated using the IGS final
and real-time satellite products based on independently developed PPP software.
On the other hand, the analysis of the time series of GPS-derived ZTD by least
square fitting of a broken line tendency for a full year of observations, and a
forecasting method for precipitation is proposed based on the ZTD slope in the
ascending period. The agreement between ZTD slope and the ground rainfall records
suggested that the proposed method is useful for the assisted forecasting,
especially for short-term alarms.
PMID- 29786067
TI - Disrupting reconsolidation: memory erasure or blunting of emotional/motivational
value?
AB - When memories are retrieved they become labile, and subject to alteration by a
process known as reconsolidation. Disruption of memory reconsolidation decreases
the performance of learned responses, which is often attributed to erasure of the
memory; in the case of Pavlovian learning, to a loss of the association between a
conditioned stimulus (CS) and unconditioned stimulus (US). However, an
alternative interpretation is that disrupting reconsolidation does not erase
memories, but blunts their emotional/motivational impact. It is difficult to
parse the predictive vs. emotional/motivational value of CSs in non-human
animals, but studies on variation in the form of conditioned responses (CRs) in a
Pavlovian conditioned approach task suggest a way to do this. In this task a
lever-CS paired with a food reward (US) acquires predictive value in all rats,
but is attributed with emotional/motivational value to a greater extent in some
rats (sign-trackers) than others (goal-trackers). We report that the post
retrieval administration of propranolol selectively attenuates a sign-tracking
CR, and the associated neural activation of brain "motive circuits", while having
no effect on conditioned orienting behavior in sign-trackers, or on goal-tracking
CRs evoked by either a lever-CS or a tone-CS. We conclude that the disruption of
reconsolidation by post-retrieval propranolol degrades the emotional/motivational
impact of the CS, required for sign-tracking, but leaves the CS-US association
intact. The possibility that post-retrieval interventions can reduce the
emotional/motivational aspects of memories, without actually erasing them, has
important implications for treating maladaptive memories that contribute to some
psychiatric disorders.
PMID- 29786068
TI - Mitochondrial PIP3-binding protein FUNDC2 supports platelet survival via AKT
signaling pathway.
AB - Platelets undergo apoptosis in response to a variety of stimuli in the
circulation. Mitochondria in platelets are essential for their apoptosis.
Specifically, pro-survival protein BCL-xL on mitochondria is the key regulator of
platelet lifespan. Here we identify an outer mitochondrial membrane protein
FUNDC2 for platelet survival. FUNDC2 knockout mice carrying excessively apoptotic
platelets exhibit thrombocytopenia in response to hypoxia. Mechanistically,
FUNDC2 binds the lipid PIP3 via its unique, highly conserved N-terminal motif.
FUNDC2 deficiency abrogates the phosphorylation of AKT and its substrate BAD in a
PIP3/PI3K-dependent manner, which suppresses BCL-xL. Indeed, FUNDC2 deficiency
shortens the platelet lifespan under stress. Thus, this FUNDC2/AKT/BCL-xL axis
signifies a balance between platelet survival and apoptosis at the single
organelle level and provides new insight for platelet-related diseases as well.
PMID- 29786069
TI - VE-cadherin promotes vasculogenic mimicry by modulating kaiso-dependent gene
expression.
AB - Aberrant extra-vascular expression of VE-cadherin (VEC) has been observed in
metastasis associated with vasculogenic mimicry (VM); however, the ultimate
reason why non-endothelial VEC favors the acquisition of this phenotype is not
established. In this study, we show that human malignant melanoma cells have a
constitutively high expression of phoshoVEC (pVEC) at Y658; pVEC is a target of
focal adhesion kinase (FAK) and forms a complex with p120-catenin and the
transcriptional repressor kaiso in the nucleus. FAK inhibition enabled kaiso to
suppress the expression of its target genes and enhanced kaiso recruitment to KBS
containing promoters. Finally we have found that ablation of kaiso-repressed
genes WNT11 and CCDN1 abolished VM. Thus, identification of pVEC as a component
of the kaiso transcriptional complex establishes a molecular paradigm that links
FAK-dependent phosphorylation of VEC as a major mechanism by which ectopical VEC
expression exerts its function in VM.
PMID- 29786066
TI - Repeated social defeat-induced neuroinflammation, anxiety-like behavior and
resistance to fear extinction were attenuated by the cannabinoid receptor agonist
WIN55,212-2.
AB - Psychosocial stress contributes to the development of psychiatric disorders.
Repeated social defeat (RSD) is a murine stressor that causes a release of
inflammatory monocytes into circulation. Moreover, RSD-induced anxiety-like
behavior is dependent on the recruitment of these monocytes to the brain.
Activation of the endocannabinoid (ECB) system may modulate both neuroendocrine
and inflammatory responses mediated by stress. Therefore, we hypothesized that a
cannabinoid receptor agonist would attenuate RSD-induced inflammation, anxiety,
and stress sensitization. To test this hypothesis, mice received an injection of
the synthetic cannabinoid1/2 receptor agonist, WIN55,212-2 (WIN; 1 mg/kg,
intraperitoneally) daily for six consecutive days, 30 min before each exposure to
RSD. Anxiety-like behavior, immune activation, neuroinflammation, and microglial
reactivity were determined 14 h after RSD. RSD-induced anxiety-like behavior in
the open field and in the EPM was reversed by WIN55,212-2. Moreover, WIN55,212-2
reduced the accumulation of inflammatory monocytes in circulation and brain after
RSD and attenuated RSD-induced interleukin-1beta (IL-1beta) messenger RNA (mRNA)
expression in microglia/macrophages. Increased ex vivo reactivity of
microglia/monocytes to lipopolysaccharides (LPS) after RSD was also attenuated by
WIN55,212-2. Next, fear expression, extinction, and recall were evaluated 24 and
48 h, respectively, after contextual fear conditioning, which took place 7 days
after RSD. Here, RSD caused prolonged fear expression and impaired fear
extinction recall, which was associated with increased IL-1beta mRNA in the
brain. Moreover, these stress-induced effects were reversed by WIN55,212-2. In
conclusion, activation of cannabinoid receptors limited the immune and
neuroinflammatory responses to RSD and reversed the short-term and long-term
behavioral deficits associated with RSD.
PMID- 29786070
TI - Selective elimination of senescent cells by mitochondrial targeting is regulated
by ANT2.
AB - Cellular senescence is a form of cell cycle arrest that limits the proliferative
potential of cells, including tumour cells. However, inability of immune cells to
subsequently eliminate senescent cells from the organism may lead to tissue
damage, inflammation, enhanced carcinogenesis and development of age-related
diseases. We found that the anticancer agent mitochondria-targeted tamoxifen
(MitoTam), unlike conventional anticancer agents, kills cancer cells without
inducing senescence in vitro and in vivo. Surprisingly, it also selectively
eliminates both malignant and non-cancerous senescent cells. In naturally aged
mice treated with MitoTam for 4 weeks, we observed a significant decrease of
senescence markers in all tested organs compared to non-treated animals.
Mechanistically, we found that the susceptibility of senescent cells to MitoTam
is linked to a very low expression level of adenine nucleotide translocase-2
(ANT2), inherent to the senescent phenotype. Restoration of ANT2 in senescent
cells resulted in resistance to MitoTam, while its downregulation in non
senescent cells promoted their MitoTam-triggered elimination. Our study documents
a novel, translationally intriguing role for an anticancer agent targeting
mitochondria, that may result in a new strategy for the treatment of age-related
diseases and senescence-associated pathologies.
PMID- 29786072
TI - MPTP-driven NLRP3 inflammasome activation in microglia plays a central role in
dopaminergic neurodegeneration.
AB - Parkinson's disease (PD) is a progressive neurodegenerative disease characterized
by the loss of dopaminergic neurons in the substantia nigra (SN) and the
reduction of dopamine levels in the striatum. Although details of the molecular
mechanisms underlying dopaminergic neuronal death in PD remain unclear,
neuroinflammation is also considered a potent mediator in the pathogenesis and
progression of PD. In the present study, we present evidences that microglial
NLRP3 inflammasome activation is critical for dopaminergic neuronal loss and the
subsequent motor deficits in the 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine
(MPTP) mouse model of PD. Specifically, NLRP3 deficiency significantly reduces
motor dysfunctions and dopaminergic neurodegeneration of MPTP-treated mice.
Furthermore, NLRP3 deficiency abolishes MPTP-induced microglial recruitment,
interleukin-1beta production and caspase-1 activation in the SN of mouse brain.
In primary microglia and mixed glial cell cultures, MPTP/ATP treatment promotes
the robust assembly and activation of the NLRP3 inflammasome via producing
mitochondrial reactive oxygen species. Consistently, 1-methyl-4-phenyl-pyridinium
(MPP+) induces NLRP3 inflammasome activation in the presence of ATP or nigericin
treatment in mouse bone-marrow-derived macrophages. These findings reveal a novel
priming role of neurotoxin MPTP or MPP+ for NLRP3 activation. Subsequently, NLRP3
inflammasome-active microglia induces profound neuronal death in a microglia
neuron co-culture model. Furthermore, Cx3Cr1CreER-based microglia-specific
expression of an active NLRP3 mutant greatly exacerbates motor deficits and
dopaminergic neuronal loss of MPTP-treated mice. Taken together, our results
indicate that microglial NLRP3 inflammasome activation plays a pivotal role in
the MPTP-induced neurodegeneration in PD.
PMID- 29786071
TI - Dopamine D2 receptor restricts astrocytic NLRP3 inflammasome activation via
enhancing the interaction of beta-arrestin2 and NLRP3.
AB - Astrocytes are involved in the neuroinflammation of neurodegenerative diseases,
such as Parkinson's disease (PD). Among the numerous inflammatory cytokines,
interleukin-1beta (IL-1beta) produced by astrocytic Nod-like receptor protein
(NLRP) inflammasome is crucial in the pathogenesis of PD. beta-arrestin2-mediated
dopamine D2 receptor (Drd2) signal transduction has been regarded as a potential
anti-inflammatory target. Our previous study revealed that astrocytic Drd2
suppresses neuroinflammation in the central nervous system. However, the role of
Drd2 in astrocytic NLRP3 inflammasome activation and subsequent IL-1beta
production remains unclear. In the present study, we used 1-methyl-4-phenyl
1,2,3,6-tetrahydropyridine-induced PD mouse model to investigate whether Drd2
could suppress astrocytic NLRP3 inflammasome activation. We showed that Drd2
agonist inhibited NLRP3 inflammasome activation, evidenced by decreased caspase-1
expression and reduced IL-1beta release in the midbrain of wild type mice. The
anti-inflammasome effect of Drd2 was abolished in beta-arrestin2 knockout and
beta-arrestin2 small interfering RNA-injected mice, suggesting a critical role of
beta-arrestin2 in Drd2-regulated NLRP3 inflammasome activation. We also found
that Drd2 agonists suppressed the upregulation of caspase-1 and IL-1beta
expression in primary cultured mouse astrocytes in response to the activation of
NLRP3 inflammasome induced by lipopolysaccharide plus adenosine triphosphate.
Furthermore, we demonstrated that beta-arrestin2 mediated the inhibitory effect
of Drd2 on NLRP3 inflammasome activation via interacting with NLRP3 and
interfering the inflammasome assembly. Collectively, our study illustrates that
astrocytic Drd2 inhibits NLRP3 inflammasome activation through a beta-arrestin2
dependent mechanism, and provides a new strategy for treatment of PD.
PMID- 29786073
TI - Integrin alpha6beta4-Src-AKT signaling induces cellular senescence by
counteracting apoptosis in irradiated tumor cells and tissues.
AB - Cellular senescence refers to an irreversible growth arrest that is triggered by
various intrinsic and extrinsic stresses. Many recent studies have demonstrated
that cellular senescence plays a crucial role in the regression of tumors exposed
to ionizing radiation (IR), but the underlying mechanism remains unknown. Here we
show that the activation of integrin beta4 is essential for IR-induced cellular
senescence. IR treatment results in the phosphorylation of integrin beta4 at
tyrosine residue 1510, leading to activation of the integrin alpha6beta4-Src-AKT
signaling pathway. We further reveal that the IR-induced phosphorylation of
integrin beta4 is regulated by the cholesterol content and membrane fluidity. We
also find that IR-induced p53-caspase signaling is independent of integrin
alpha6beta4-Src-AKT signaling. Finally, we show that siRNA- or inhibitor-mediated
blockade of integrin alpha6beta4-Src-AKT signaling switches the post-irradiation
fate from senescence to apoptosis, under p53 activated condition, in both cancer
cells and tumor tissues of xenograft mice. On the basis of our finding that,
integrin alpha6beta4 is specifically activated and acts primarily to induce
premature senescence in irradiated cancer cells, we propose that this integrin
may be a valuable target and biomarker for radiotherapy.
PMID- 29786074
TI - Constitutive interferon signaling maintains critical threshold of MLKL expression
to license necroptosis.
AB - Interferons (IFNs) are critical determinants in immune-competence and
autoimmunity, and are endogenously regulated by a low-level constitutive feedback
loop. However, little is known about the functions and origins of constitutive
IFN. Recently, lipopolysaccharide (LPS)-induced IFN was implicated as a driver of
necroptosis, a necrotic form of cell death downstream of receptor-interacting
protein (RIP) kinase activation and executed by mixed lineage kinase like-domain
(MLKL) protein. We found that the pre-established IFN status of the cell, instead
of LPS-induced IFN, is critical for the early initiation of necroptosis in
macrophages. This pre-established IFN signature stems from cytosolic DNA sensing
via cGAS/STING, and maintains the expression of MLKL and one or more unknown
effectors above a critical threshold to allow for MLKL oligomerization and cell
death. Finally, we found that elevated IFN-signaling in systemic lupus
erythematosus (SLE) augments necroptosis, providing a link between pathological
IFN and tissue damage during autoimmunity.
PMID- 29786075
TI - TP53 gain-of-function mutation promotes inflammation in glioblastoma.
AB - Glioblastoma (GBM), the most severe and common brain tumor in adults, is
characterized by multiple somatic mutations and aberrant activation of
inflammatory responses. Immune cell infiltration and subsequent inflammation
cause tumor growth and resistance to therapy. Somatic loss-of-function mutations
in the gene encoding tumor suppressor protein p53 (TP53) are frequently observed
in various cancers. However, numerous studies suggest that TP53 regulates
malignant phenotypes by gain-of-function (GOF) mutations. Here we demonstrate
that a TP53 GOF mutation promotes inflammation in GBM. Ectopic expression of a
TP53 GOF mutant induced transcriptomic changes, which resulted in enrichment of
gene signatures related to inflammation and chemotaxis. Bioinformatics analyses
revealed that a gene signature, upregulated by the TP53 GOF mutation, is
associated with progression and shorter overall survival in GBM. We also observed
significant correlations between the TP53 GOF mutation signature and inflammation
in the clinical database of GBM and other cancers. The TP53 GOF mutant showed
upregulated C-C motif chemokine ligand 2 (CCL2) and tumor necrosis factor alpha
(TNFA) expression via nuclear factor kappa B (NFkappaB) signaling, consequently
increasing microglia and monocyte-derived immune cell infiltration. Additionally,
TP53 GOF mutation and CCL2 and TNFA expression correlated positively with tumor
associated immunity in patients with GBM. Taken together, our findings suggest
that the TP53 GOF mutation plays a crucial role in inflammatory responses,
thereby deteriorating prognostic outcomes in patients with GBM.
PMID- 29786076
TI - c-Myc inhibits myoblast differentiation and promotes myoblast proliferation and
muscle fibre hypertrophy by regulating the expression of its target genes, miRNAs
and lincRNAs.
AB - The transcription factor c-Myc is an important regulator of cellular
proliferation, differentiation and embryogenesis. While c-Myc can inhibit
myoblast differentiation, the underlying mechanisms remain poorly understood.
Here, we found that c-Myc does not only inhibits myoblast differentiation but
also promotes myoblast proliferation and muscle fibre hypertrophy. By performing
chromatin immunoprecipitation and high-throughput sequencing (ChIP-seq), we
identified the genome-wide binding profile of c-Myc in skeletal muscle cells. c
Myc achieves its regulatory effects on myoblast proliferation and differentiation
by targeting the cell cycle pathway. Additionally, c-Myc can regulate cell cycle
genes by controlling miRNA expression of which dozens of miRNAs can also be
regulated directly by c-Myc. Among these c-Myc-associated miRNAs (CAMs), the
roles played by c-Myc-induced miRNAs in skeletal muscle cells are similar to
those played by c-Myc, whereas c-Myc-repressed miRNAs play roles that are
opposite to those played by c-Myc. The cell cycle, ERK-MAPK and Akt-mediated
pathways are potential target pathways of the CAMs during myoblast
differentiation. Interestingly, we identified four CAMs that can directly bind to
the c-Myc 3' UTR and inhibit c-Myc expression, suggesting that a negative
feedback loop exists between c-Myc and its target miRNAs during myoblast
differentiation. c-Myc also potentially regulates many long intergenic noncoding
RNAs (lincRNAs). Linc-2949 and linc-1369 are directly regulated by c-Myc, and
both lincRNAs are involved in the regulation of myoblast proliferation and
differentiation by competing for the binding of muscle differentiation-related
miRNAs. Our findings do not only provide a genome-wide overview of the role the c
Myc plays in skeletal muscle cells but also uncover the mechanism of how c-Myc
and its target genes regulate myoblast proliferation and differentiation, and
muscle fibre hypertrophy.
PMID- 29786077
TI - Tumor-derived exosomes promote tumor self-seeding in hepatocellular carcinoma by
transferring miRNA-25-5p to enhance cell motility.
AB - Tumor self-seeding occurs when circulating malignant cells reinfiltrate the
original tumor. The process may breed more aggressive tumor cells, which may
contribute to cancer progression. In this study, we observed tumor self-seeding
in mouse xenograft models of hepatocellular carcinoma (HCC) for the first time.
We confirmed that circulating tumor cell uptake of tumor-derived exosomes, which
are increasingly recognized as key instigators of cancer progression by
facilitating cell-cell communication, promoted tumor self-seeding by enhancing
the invasive and migration capability of recipient HCC cells. Horizontal transfer
of exosomal microRNA-25-5p to anoikis-resistant HCC cells significantly enhanced
their migratory and invasive abilities, whereas inhibiting microRNA-25-5p
alleviated these effects. Our experiments delineate an exosome-based novel
pathway employed by functional microRNA from the original tumor cells that can
influence the biological fate of circulating tumor cells.
PMID- 29786079
TI - Dissection of DNA double-strand-break repair using novel single-molecule forceps.
AB - Repairing DNA double-strand breaks (DSBs) by nonhomologous end joining (NHEJ)
requires multiple proteins to recognize and bind DNA ends, process them for
compatibility, and ligate them together. We constructed novel DNA substrates for
single-molecule nanomanipulation, allowing us to mechanically detect, probe, and
rupture in real-time DSB synapsis by specific human NHEJ components. DNA-PKcs and
Ku allow DNA end synapsis on the 100 ms timescale, and the addition of PAXX
extends this lifetime to ~2 s. Further addition of XRCC4, XLF and ligase IV
results in minute-scale synapsis and leads to robust repair of both strands of
the nanomanipulated DNA. The energetic contribution of the different components
to synaptic stability is typically on the scale of a few kilocalories per mole.
Our results define assembly rules for NHEJ machinery and unveil the importance of
weak interactions, rapidly ruptured even at sub-picoNewton forces, in regulating
this multicomponent chemomechanical system for genome integrity.
PMID- 29786078
TI - Targeting the upstream transcriptional activator of PD-L1 as an alternative
strategy in melanoma therapy.
AB - Programmed cell death ligand 1 (PD-L1) interacts with programmed cell death
protein-1 (PD-1) as an immune checkpoint. Reactivating the immune response by
inhibiting PD-L1 using therapeutic antibodies provides substantial clinical
benefits in many, though not all, melanoma patients. However, transcriptional
suppression of PD-L1 expression as an alternative therapeutic anti-melanoma
strategy has not been exploited. Here we provide biochemical evidence
demonstrating that ultraviolet radiation (UVR) induction of PD-L1 in skin is
directly controlled by nuclear factor E2-related transcription factor 2 (NRF2).
Depletion of NRF2 significantly induces tumor infiltration by both CD8+ and CD4+
T cells to suppress melanoma progression, and combining NRF2 inhibition with anti
PD-1 treatment enhanced its anti-tumor function. Our studies identify a critical
and targetable PD-L1 upstream regulator and provide an alternative strategy to
inhibit the PD-1/PD-L1 signaling in melanoma treatment.
PMID- 29786081
TI - Distinct learning-induced changes in stimulus selectivity and interactions of
GABAergic interneuron classes in visual cortex.
AB - How learning enhances neural representations for behaviorally relevant stimuli
via activity changes of cortical cell types remains unclear. We simultaneously
imaged responses of pyramidal cells (PYR) along with parvalbumin (PV),
somatostatin (SOM), and vasoactive intestinal peptide (VIP) inhibitory
interneurons in primary visual cortex while mice learned to discriminate visual
patterns. Learning increased selectivity for task-relevant stimuli of PYR, PV and
SOM subsets but not VIP cells. Strikingly, PV neurons became as selective as PYR
cells, and their functional interactions reorganized, leading to the emergence of
stimulus-selective PYR-PV ensembles. Conversely, SOM activity became strongly
decorrelated from the network, and PYR-SOM coupling before learning predicted
selectivity increases in individual PYR cells. Thus, learning differentially
shapes the activity and interactions of multiple cell classes: while SOM
inhibition may gate selectivity changes, PV interneurons become recruited into
stimulus-specific ensembles and provide more selective inhibition as the network
becomes better at discriminating behaviorally relevant stimuli.
PMID- 29786082
TI - mTORC2, but not mTORC1, is required for hippocampal mGluR-LTD and associated
behaviors.
AB - The mechanistic target of rapamycin complex 1 (mTORC1) has been reported to be
necessary for metabotropic glutamate receptor-mediated long-term depression
(mGluR-LTD). Here we found that mTORC1-deficient mice exhibit normal hippocampal
mGluR-LTD and associated behaviors. Moreover, rapamycin blocks mGluR-LTD in
mTORC1-deficient mice. However, both rapamycin and mGluR activation regulate mTOR
complex 2 (mTORC2) activity, and mTORC2-deficient mice show impaired mGluR-LTD
and associated behaviors. Thus, mTORC2 is a major regulator of mGluR-LTD.
PMID- 29786080
TI - Atomic structures of TDP-43 LCD segments and insights into reversible or
pathogenic aggregation.
AB - The normally soluble TAR DNA-binding protein 43 (TDP-43) is found aggregated both
in reversible stress granules and in irreversible pathogenic amyloid. In TDP-43,
the low-complexity domain (LCD) is believed to be involved in both types of
aggregation. To uncover the structural origins of these two modes of beta-sheet
rich aggregation, we have determined ten structures of segments of the LCD of
human TDP-43. Six of these segments form steric zippers characteristic of the
spines of pathogenic amyloid fibrils; four others form LARKS, the labile amyloid
like interactions characteristic of protein hydrogels and proteins found in
membraneless organelles, including stress granules. Supporting a hypothetical
pathway from reversible to irreversible amyloid aggregation, we found that
familial ALS variants of TDP-43 convert LARKS to irreversible aggregates. Our
structures suggest how TDP-43 adopts both reversible and irreversible beta-sheet
aggregates and the role of mutation in the possible transition of reversible to
irreversible pathogenic aggregation.
PMID- 29786084
TI - Beyond the maternal epigenetic legacy.
PMID- 29786083
TI - Attention improves memory by suppressing spiking-neuron activity in the human
anterior temporal lobe.
AB - We identify a memory-specific attention mechanism in the human anterior temporal
lobe, an area implicated in semantic processing and episodic memory formation.
Spiking neuron activity is suppressed and becomes more reliable in preparation
for verbal memory formation. Intracranial electroencephalography signals
implicate this region as a source of executive control for attentional selection.
Consistent with this interpretation, its surgical removal causes significant
memory impairment for attended words relative to unattended words.
PMID- 29786085
TI - Chronic CRH depletion from GABAergic, long-range projection neurons in the
extended amygdala reduces dopamine release and increases anxiety.
AB - The interplay between corticotropin-releasing hormone (CRH) and the dopaminergic
system has predominantly been studied in addiction and reward, while CRH-dopamine
interactions in anxiety are scarcely understood. We describe a new population of
CRH-expressing, GABAergic, long-range-projecting neurons in the extended amygdala
that innervate the ventral tegmental area and alter anxiety following chronic CRH
depletion. These neurons are part of a distinct CRH circuit that acts
anxiolytically by positively modulating dopamine release.
PMID- 29786087
TI - A comparison of 23-gauge and 20-gauge vitrectomy for proliferative sickle cell
retinopathy - clinical outcomes and surgical management.
AB - AIMS: To report anatomical and functional outcomes in patients with proliferative
sickle retinopathy (PSR) who underwent 23-gauge (23G) and 20-gauge (20G)
vitrectomy. METHODS: Retrospective consecutive case series of patients who
underwent vitreoretinal intervention for complications of PSR between April 2009
and February 2015. Operations were performed at a tertiary referral centre,
Moorfields Eye Hospital. Visual acuity and anatomical success rates were
evaluated for PSR complicated by retinal detachment, tractional vitreous
haemorrhage and macular hole. Proliferative diabetic retinopathy cases were
excluded. RESULTS: A total of 71 eyes (63 patients) underwent vitreoretinal
surgery for PSR complications with 26 months mean follow-up. Primary indications
were: tractional retinal detachment (TRD, n = 17), TRD with rhegmatogenous
retinal detachment (n = 16), rhegmatogenous retinal detachment (n = 5, macula-on:
1, macula-off: 4), vitreous haemorrhage (n = 19), epiretinal membrane (n = 6),
and full thickness macula hole (n = 8). Thirty-nine cases underwent 20G
vitrectomy, and 23G surgery was performed in 32 eyes. Mean best corrected visual
acuity (BCVA) improved from pre-operative 1.30 LogMAR to final BCVA of 0.74
LogMAR (p < 0.01, paired t-test). 23G vitrectomy yielded slightly better 32 vs.
25 ETDRS-letter improvement compared with 20G vitrectomy (p = 0.60, NS, unpaired
t-test). 23G was associated with fewer per-operative complications (23G, 18% vs.
20G,13%). The subset of 38 eyes with retinal detachment demonstrated 79% primary
reattachment rate, and a smaller BCVA improvement of 3 lines (p = 0.07, paired t
test). CONCLUSIONS: Surgical intervention for complicated PSR can preserve and/or
improve vision although the degree of visual acuity stabilisation remains guarded
in tractional/rhegmatogenous detachments. 23G vitrectomy may give a better
functional outcome with lower per-operative complication rates.
PMID- 29786086
TI - The effect of autoimmune retinopathy on retinal vessel oxygen saturation.
AB - PURPOSE: To study the retinal vessel oxygen saturation alterations in patients
with autoimmune retinopathy (AIR) and patients with autoimmune retinopathy
associated with retinitis pigmentosa (AIR-RP) in comparison with healthy controls
and patients with isolated retinitis pigmentosa (RP). DESIGN: Prospective, cross
sectional, and non-interventional study. SUBJECTS: Retinal vessel oximetry (RO)
was performed on a total of 139 eyes: six eyes suffering from AIR and four eyes
with AIR-RP were compared to 59 healthy control eyes and to 70 eyes with RP.
METHODS: A computer-based program of the retinal vessel analyser unit (IMEDOS
Systems UG, Jena, Germany) was used to evaluate retinal vessel oxygen saturation.
The mean oxygen saturation in the first and second branch retinal arterioles (A
SO2) and venules (V-SO2) were measured and their difference (A-V SO2) was
calculated. In addition, we measured the diameter of the retinal arterioles (D-A)
and venules (D-V). MAIN OUTCOME MEASURES: Oxygen metabolism is altered in
patients with isolated AIR and AIR-RP. RESULTS: Both, AIR and AIR-RP groups,
differed from healthy controls showing significantly higher V-SO2 values and
significantly lower A-V SO2 values (p < 0.025). In addition, the AIR-RP group
could be differentiated from eyes suffering from isolated RP by means of
significantly higher V-SO2 values. Comparing retinal vessel diameters, both, the
AIR and AIR-RP groups, presented with significant arterial (p = 0.05) and venular
(p < 0.03) vessel attenuation than the healthy control group. CONCLUSIONS: Based
on our results, in analogy to patients suffering from RP, oxygen metabolism seems
to be altered in AIR patients.
PMID- 29786088
TI - Reply to 'Comment on: Carotid-cavernous fistula: current concepts in aetiology,
investigation and management'.
PMID- 29786089
TI - Spectral analysis of fundus autofluorescence pattern as a tool to detect early
stages of degeneration in the retina and retinal pigment epithelium.
AB - PURPOSE: The aim of this work is the determination of quantitative diagnostic
criteria based on the spectral characteristics of fundus autofluorescence to
detect early stages of degeneration in the retina and retinal pigment epithelium
(RPE). METHODS: RPE cell suspension samples were obtained from the cadaver eyes
with and without signs of age-related macular degeneration (AMD). Fluorescence
analysis at an excitation wavelength of 488 nm was performed. The fluorescence
lifetimes of lipofuscin-granule fluorophores were measured by counting time
correlated photon method. RESULTS: Comparative analysis of fluorescence spectra
of RPE cell suspensions from the cadaver eyes with and without signs of AMD
showed a significant difference in fluorescence intensity at 530-580 nm in
response to fluorescence excitation at 488 nm. It was notably higher in eyes with
visual pathology than in normal eyes regardless of the age of the eye donor.
Measurements of fluorescence lifetimes of lipofuscin fluorophores showed that the
contribution of photooxidation and photodegradation products of bisretinoids to
the total fluorescence at 530-580 nm of RPE cell suspensions was greater in eyes
with visual pathology than in normal eyes. CONCLUSION: Because photooxidation and
photodegradation products of bisretinoids are markers of photodestructive
processes, which can cause RPE cell death and initiate degenerative processes in
the retina, quantitative determination of increases in these bisretinoid products
in lipofuscin granules may be used to establish quantitative diagnostic criteria
for degenerative processes in the retina and RPE.
PMID- 29786090
TI - CRISPR off-target analysis in genetically engineered rats and mice.
AB - Despite widespread use of CRISPR, comprehensive data on the frequency and impact
of Cas9-mediated off-targets in modified rodents are limited. Here we present
deep-sequencing data from 81 genome-editing projects on mouse and rat genomes at
1,423 predicted off-target sites, 32 of which were confirmed, and show that high
fidelity Cas9 versions reduced off-target mutation rates in vivo. Using whole
genome sequencing data from ten mouse embryos, treated with a single guide RNA
(sgRNA), and from their genetic parents, we found 43 off-targets, 30 of which
were predicted by an adapted version of GUIDE-seq.
PMID- 29786091
TI - Automated, parallel mass spectrometry imaging and structural identification of
lipids.
AB - We report a method that enables automated data-dependent acquisition of lipid
tandem mass spectrometry data in parallel with a high-resolution mass
spectrometry imaging experiment. The method does not increase the total image
acquisition time and is combined with automatic structural assignments. This
lipidome-per-pixel approach automatically identified and validated 104 unique
molecular lipids and their spatial locations from rat cerebellar tissue.
PMID- 29786092
TI - Mapping the physical network of cellular interactions.
AB - A cell's function is influenced by the environment, or niche, in which it
resides. Studies of niches usually require assumptions about the cell types
present, which impedes the discovery of new cell types or interactions. Here we
describe ProximID, an approach for building a cellular network based on physical
cell interaction and single-cell mRNA sequencing, and show that it can be used to
discover new preferential cellular interactions without prior knowledge of
component cell types. ProximID found specific interactions between megakaryocytes
and mature neutrophils and between plasma cells and myeloblasts and/or
promyelocytes (precursors of neutrophils) in mouse bone marrow, and it identified
a Tac1+ enteroendocrine cell-Lgr5+ stem cell interaction in small intestine
crypts. This strategy can be used to discover new niches or preferential
interactions in a variety of organs.
PMID- 29786093
TI - Author Correction: High-speed volumetric imaging of neuronal activity in freely
moving rodents.
AB - In the version of this Brief Communication originally published online, ref. 21
included details for a conference paper (Pegard, N. C. et al. Paper presented at
Novel Techniques in Microscopy: Optics in the Life Sciences, Vancouver, BC,
Canada, 12-15 April 2015). The correct reference is the following: Pegard, N. C.
et al. Optica 3, 517-524 (2016). This error has been corrected in the print, HTML
and PDF versions of the paper.
PMID- 29786094
TI - A protein activity assay to measure global transcription factor activity reveals
determinants of chromatin accessibility.
AB - No existing method to characterize transcription factor (TF) binding to DNA
allows genome-wide measurement of all TF-binding activity in cells. Here we
present a massively parallel protein activity assay, active TF identification
(ATI), that measures the DNA-binding activity of all TFs in cell or tissue
extracts. ATI is based on electrophoretic separation of protein-bound DNA
sequences from a highly complex DNA library and subsequent mass-spectrometric
identification of the DNA-bound proteins. We applied ATI to four mouse tissues
and mouse embryonic stem cells and found that, in a given tissue or cell type, a
small set of TFs, which bound to only ~10 distinct motifs, displayed strong DNA
binding activity. Some of these TFs were found in all cell types, whereas others
were specific TFs known to determine cell fate in the analyzed tissue or cell
type. We also show that a small number of TFs determined the accessible chromatin
landscape of a cell, suggesting that gene regulatory logic may be simpler than
previously appreciated.
PMID- 29786097
TI - Spot urine and 24-h diet recall estimates of dietary sodium intake from the
2008/09 New Zealand Adult Nutrition Survey: a comparison.
AB - BACKGROUND: We aimed to test the difference between estimates of dietary sodium
intake using 24-h diet recall and spot urine collection in a large sample of New
Zealand adults. METHODS: We analysed spot urine results, 24-h diet recall,
dietary habits questionnaire and anthropometry from a representative sample of
3312 adults aged 15 years and older who participated in the 2008/09 New Zealand
Adult Nutrition Survey. Estimates of adult population sodium intake were derived
from 24-h diet recall and spot urine sodium using a formula derived from analysis
of INTERSALT data. Correlations, limits of agreement and mean difference were
calculated for the total sample, and for population subgroups. RESULTS: Estimated
total population 24-h urinary sodium excretion (mean (95% CI)) from spot urine
samples was 3035 mg (2990, 3079); 3612 mg (3549, 3674) for men and 2507 mg (2466,
2548) for women. Estimated mean usual daily sodium intake from 24-h diet recall
data (excluding salt added at the table) was 2564 mg (2519, 2608); 2849 mg (2779,
2920) for men and 2304 mg (2258, 2350) for women. Correlations between estimates
were poor, especially for men, and limits of agreement using Bland-Altman mean
difference analysis were wide. CONCLUSIONS: There is a poor agreement between
estimates of individual sodium intake from spot urine collection and those from
24-hour diet recall. Although, both 24-hour dietary recall and estimated urinary
excretion based on spot urine indicate mean population sodium intake is greater
than 2 g, significant differences in mean intake by method deserve further
investigation in relation to the gold standard, 24-hour urinary sodium excretion.
PMID- 29786096
TI - Reversal of siRNA-mediated gene silencing in vivo.
AB - We report rapid, potent reversal of GalNAc-siRNA-mediated RNA interference (RNAi)
activity in vivo with short, synthetic, high-affinity oligonucleotides
complementary to the siRNA guide strand. We found that 9-mers with five locked
nucleic acids (LNAs) have the highest potency across several targets. Our
modular, sequence-specific approach, named REVERSIR, may enhance the therapeutic
profile of any long-acting GalNAc-siRNA (short interfering RNA) conjugate by
enabling control of RNAi pharmacology.
PMID- 29786095
TI - High-throughput creation and functional profiling of DNA sequence variant
libraries using CRISPR-Cas9 in yeast.
AB - Construction and characterization of large genetic variant libraries is essential
for understanding genome function, but remains challenging. Here, we introduce a
Cas9-based approach for generating pools of mutants with defined genetic
alterations (deletions, substitutions, and insertions) with an efficiency of 80
100% in yeast, along with methods for tracking their fitness en masse. We
demonstrate the utility of our approach by characterizing the DNA helicase SGS1
with small tiling deletion mutants that span the length of the protein and a
series of point mutations against highly conserved residues in the protein. In
addition, we created a genome-wide library targeting 315 poorly characterized
small open reading frames (smORFs, <100 amino acids in length) scattered
throughout the yeast genome, and assessed which are vital for growth under
various environmental conditions. Our strategy allows fundamental biological
questions to be investigated in a high-throughput manner with precision.
PMID- 29786098
TI - Syntheses, structures, and magnetic properties of three new MnII-[MoIII(CN)7]4-
molecular magnets.
AB - By reaction of K4[MoIII(CN)7].2H2O, Mn(ClO4)2.6H2O and bidentate chelating
ligands, three new cyano-bridged compounds, namely Mn2(3
pypz)(H2O)(CH3CN)[Mo(CN)7] (1), Mn2(1-pypz)(H2O)(CH3CN)[Mo(CN)7] (2) and
Mn2(pyim)(H2O)(CH3CN)[Mo(CN)7] (3) (3-pypz = 2-(1H-pyrazol-3-yl)pyridine, 1-pypz
= 2-(1H-pyrazol-1-yl)pyridine, pyim = 2-(1H-imidazol-2-yl)pyridine), have been
synthesized and characterized structurally and magnetically. Single crystal X-ray
analyses revealed that although the chelating ligands are different, compounds 1
to 3 are isomorphous and crystallize in the same monoclinic space group C2/m.
Connected by the bridging cyano groups, one crystallographically unique
[Mo(CN)7]4- unit and three crystallographically unique MnII ions of different
coordination environments form similar three-dimensional frameworks, which have a
four-nodal 3,4,4,7-connecting topological net with a vertex symbol of
{43}{44.62}2{410.611}. Magnetic measurements revealed that compounds 1-3 display
long-range magnetic ordering with critical temperatures of 64, 66 and 62 K,
respectively. These compounds are rare examples of a small number of chelating co
ligand coordinated [Mo(CN)7]4--based magnetic materials. Specifically, the
bidentate chelating ligands were successfully introduced into the
heptacyanomolybdate system for the first time.
PMID- 29786099
TI - Cluster-to-cluster transformation among Au6, Au8 and Au11 nanoclusters.
AB - We present the cluster-to-cluster transformations among three gold nanoclusters,
[Au6(dppp)4]2+ (Au6), [Au8(dppp)4Cl2]2+ (Au8) and [Au11(dppp)5]3+ (Au11). The
conversion process follows a rule that states that the transformation of a small
cluster to a large cluster is achieved through an oxidation process with an
oxidizing agent (H2O2) or with heating, while the conversion of a large cluster
to a small one occurs through a reduction process with a reducing agent (NaBH4).
All the reactions were monitored using UV-Vis spectroscopy and ESI-MS. This work
may provide an alternative approach to the synthesis of novel gold nanoclusters
and a further understanding of the structural transformation relationship of gold
nanoclusters.
PMID- 29786100
TI - A multifunctional Eu-CP as a recyclable luminescent probe for the highly
sensitive detection of Fe3+/Fe2+, Cr2O72-, and nitroaromatic explosives.
AB - A novel 2D lanthanide coordination polymer {Eu-CP (1)} based on a 3-bis(3
carboxyphenyl)imidazolium (L) ligand was successfully assembled through a
solvothermal method. Luminescence tests indicate that 1 has excellent selectivity
and sensitivity to detect Fe3+/Fe2+, Cr2O72-, and a series of nitroaromatic
explosives. Interestingly, 1 can effectively distinguish CrVI anions (CrO42
/Cr2O72-), which is difficult for most of the reported CPs. Furthermore, in the
detection process, 1 can be simply and quickly regenerated and reused at least
five times. As far as we know, 1 is the first multifunctional Eu-CP used as a
luminescent probe to detect Fe3+/Fe2+, Cr2O72-, and a series of nitroaromatic
explosives (NAEs) based on the imidazolium derivative.
PMID- 29786101
TI - A PEG/copper(i) halide cluster as an eco-friendly catalytic system for C-N bond
formation.
AB - The catalytic activities of eight copper(i) halide clusters assembled from
copper(i) halide and ferrocenyltelluroethers, 1-8, were investigated in C-N
formation under various conditions. A catalytic procedure using poly(ethylene
glycol) (PEG-400) as a greener alternative organic solvent has been developed.
The PEG-400/5 system can achieve 99% targeted yield with a mild reaction
temperature and short reaction time. After the isolation of the products by
extraction with diethyl ether, this PEG-400/cluster system could be easily
recycled. Spectroscopic studies elucidate a stepwise mechanism: firstly, proton
coupled electron transfer (PCET) involving the transfer of an electron from Cu+
and a proton from imidazole results in the formation of a labile penta
coordinated Cu2+ and aryl radical; the following effective electron transfer from
the ferrocene unit reduces Cu2+ and forms the target product; finally, the
ferrocenium unit is reduced by the I- anion. The merits of this eco-friendly
synthesis are the efficient utilization of reagents and easy recyclability.
PMID- 29786103
TI - True polyploid meiosis in the human male.
AB - Polyploidy does not usually occur in germinal cells of mammals and other higher
vertebrates. We describe a unique example of mosaic autotetraploidy in the
meiosis of a human male. Although the original observations were made in the late
1960s, we did not publish them at that time, because we expected to detect
further examples that could be described together. However, this did not occur
and we have now decided to make the observations available to demonstrate that
polyploidy in mammalian male meiosis can arise at a higher frequency than
expected by random polyploidization of individual meiotic cells, by either DNA
duplication or cell fusion prior to synapsis. This is the first description of a
population of primary spermatocytes exhibiting multivalent formation at leptotene
/diakinesis in human spermatogenesis, with ring, chain, frying pan and other
types of quadrivalents, typical of autotetraploidy. As many of the polyploid
configurations showed apoptotic breakdown, it is likely that diploid and/or
aneuploid spermatozoa would have rarely or never resulted from this mosaic
autotetraploid meiosis.
PMID- 29786102
TI - The UCP2 -866G/A, Ala55Val and UCP3 -55C/T polymorphisms are associated with
premature coronary artery disease and cardiovascular risk factors in Mexican
population.
AB - We examined the role of UCP gene polymorphisms as susceptibility markers for
premature coronary artery disease (pCAD). The UCP2 Ala55Val (C/T rs660339), UCP2
866G/A (rs659366), and UCP3 -55C/T (rs1800849) polymorphisms were genotyped in
948 patients with pCAD, and 763 controls. The distribution of the UCP2 A55V (C/T
rs660339) and UCP3 -55 (rs1800849) was similar in patients and controls. However,
under a recessive model, the UCP2 -866 (rs659366) A allele was associated with
increased risk of developing pCAD (OR = 1.43, Pc = 0.003). On the other hand,
patients with pCAD and UCP2 A55V (rs660339) TT showed high levels of visceral
abdominal fat (VAF) (Pc = 0.002), low levels of subcutaneous abdominal fat (SAF)
(Pc = 0.001) and high VAT/SAT ratio (Pc < 0.001). Also, patients with UCP2 -866
(rs659366) AA showed increased levels of VAF (Pc = 0.003), low levels of SAF (Pc
= 0.001) and a high VAT/SAT ratio (Pc = 0.002), whereas patients with the UCP3
55 (rs1800849) TT presented high levels of VAF (Pc = 0.002). The results suggest
the association of the UCP2 -866 (rs659366) polymorphism with risk of developing
pCAD. Some polymorphisms were associated with abdominal fat levels and
cardiovascular risk factors.
PMID- 29786104
TI - Correlation between the expression of IL-18 and deep venous thrombosis.
AB - The present study aimed to investigate the effect of the expression of
interleukin (IL)-18 and related markers on deep venous thrombosis (DVT) to
examine their correlation. Sprague-Dawley rats of different models were
established and were randomly assigned into three groups. The expression of IL
18, nuclear factor (NF)-kappaB and von Willebrand factor (vWF) were detected in
blood samples. The inferior vena cava (IVC) was ligated to establish the DVT
model. Rat IL-18 overexpression and inhibition vectors were constructed. The
expression levels of IL-18 and related markers in the venous wall were compared
between the model group and the control group using reverse transcription
quantitative polymerase chain reaction and western blot analyses. Following the
culture of human umbilical vein endothelial cells (HUVECs), IL-18 was added to
the cells, following which the growth of the HUVECs, and changes in vWF and other
endothelial functional markers were analyzed. The IVC model demonstrated complete
thrombosis at 8 h and stable thrombosis at 24 h. At 24 h following model
establishment, the expression levels of IL-18, NF-kappaB and vWF were high in the
blood samples with the occurrence and development of thrombosis (P<0.05). The
weight, length and weight/length ratio of thrombi in each model group showed
significant differences from those in the control group (P<0.05) with the
overexpression of IL-18, and the expression levels of NF-kappaB and vWF in venous
tissues were altered with abnormal expression levels of IL-18. IL-18 damaged
HUVECs and significantly increased viability in early-stage apoptosis, promoted
the upregulation of vWF and P-selectin, and reduced tissue plasminogen activator.
IL-18 and the related markers were closely associated with the occurrence and
development of DVT.
PMID- 29786105
TI - Cannabinoid WIN-55,212-2 mesylate inhibits tumor necrosis factor-alpha-induced
expression of nitric oxide synthase in dorsal root ganglion neurons.
AB - Tumor necrosis factor-alpha (TNF-alpha) is an established pain modulator in the
peripheral nervous system. Elevated levels of TNF-alpha in dorsal root ganglion
(DRG) neurons reportedly is critical for neuropathic pain processing. It has been
shown that the production of nitric oxide, a key player in the development and
maintenance of nociception, depends on the expression of nitric oxide synthases
(NOSs) and their activities. Accumulating evidence also supports an important
role of cannabinoids in modulating neuropathic pain. In this study, we explored
the effects and the underlying mechanisms of crosstalk between TNF-alpha and
cannabinoid on the expression/activity of NOS in DRG neurons. With or without
knockdown of p38 mitogen-activated protein kinase (MAPK), DRG neurons were
treated with TNF-alpha in the presence or absence of synthetic cannabinoid WIN
55,212-2 mesylate (WIN-55) and selective cannabinoid receptor (CB) antagonists.
TNF-alpha significantly increased the NOS activity as well as the mRNA stability
and expression of neuronal NOS (nNOS) in DRG neurons; this was abolished by
inhibiting p38 MAPK signaling. WIN-55 inhibited TNF-alpha-induced p38 MAPK
activity as well as TNF-alpha-induced increase of mRNA stability and
expression/activity of nNOS; the inhibitory effect of WIN-55 was blocked by a
selective CB2 antagonist. Our findings suggest that TNF-alpha induces the
expression/activity of nNOS in DRG neurons by increasing its mRNA stability by a
p38 MAPK-dependent mechanism; WIN-55 inhibits this effect of TNF-alpha by
inhibiting p38 MAPK via CB2. By linking the functions of TNF-alpha, NOS and
cannabinoid in DRG neurons, this study adds new insights into the molecular
mechanisms underlying the pharmacologic effects of cannabinoids on neuropathic
pain as well as into the pathophysiology of neuropathic pain.
PMID- 29786106
TI - Efficient delivery of HBV NLS siRNAs into HepG2.2.15 cells for HBV inhibition
through novel recombinant preS1-tP proteins.
AB - Hepatitis B virus (HBV) infection and related liver complications remain severe
public health problems worldwide. Previous investigations have shown that small
interfering (si)RNAs can offer an effective strategy for the treatment of chronic
hepatitis B. The present study aimed to develop a novel siRNA-delivering system
of therapeutic HBV nuclear localization sequence (NLS) siRNAs using the
recombinant preS1-truncated protamine (tP) proteins. The preS1 region of the LHB
was used in place of scFv to construct the recombinant preS1-tP proteins, which
were applied to deliver siRNAs targeting the HBV NLS to inhibit HBV replication
and infection in HepG2.2.15 cells overexpressing sodium taurocholate
cotransporting polypeptide (NTCP). The results revealed that HepG2.2.15 cells
with stable NTCP expression (HepG2.2.15-NTCP cells) transfected with the
recombinant lentivirus showed increased expression of NTCP genes. The HBV NLS
siRNAs significantly suppressed HBV mRNA content and levels of HBsAg and HBeAg in
the HepG2.2.15-NTCP cells. Recombinant preS1-tP proteins tagged with His and
glutathione S-transferase were found to enter into HepG2.2.15-NTCP cells and bind
with DNA. The HBV NLS siRNAs were delivered into HepG2.2.15-NTCP cells by
recombinant preS1-tP proteins, which resulted in decreased expression of HBV
mRNA, HBsAg and HBeAg, HBV DNA and covalently closed circular DNA in the
HepG2.2.15-NTCP cells. Therefore, the recombinant preS1-tP proteins successfully
delivered NLS siRNAs into HepG2.2.15 cells and repressed HBV infection and
replication.
PMID- 29786107
TI - E3 ubiquitin ligase Hakai regulates cell growth and invasion, and increases the
chemosensitivity to cisplatin in non-small-cell lung cancer cells.
AB - Hakai was originally identified as an E3 ubiquitin ligase of the E-cadherin
complex implicated in cell adhesion and invasion. Recently, emerging evidence has
strongly suggested that Hakai serves a pivotal role in the tumorigenesis of
certain tumors. However, the role of Hakai in non-small-cell lung cancer (NSCLC)
and its underlying molecular mechanism have not been clarified. In the present
study, it was observed that Hakai was highly expressed in NSCLC cell lines
compared with human normal bronchial epithelial cells, and transfection with
Hakai small interfering RNA significantly inhibited the growth of A549 and NCI
H460 NSCLC cells. In addition, the inhibition of Hakai suppressed NSCLC cell
migration and invasion through upregulation of E-cadherin and downregulation of N
cadherin. Notably, it was also revealed that knockdown of Hakai led to a decrease
in the expression of phosphorylated AKT (Ser473), and a significant enhancement
of chemosensitivity to cisplatin was observed following Hakai suppression. In
conclusion, the present study demonstrated for the first time that knockdown of
Hakai inhibited the proliferation, migration and invasion of NSCLC cells, and
sensitized NSCLC cells to cisplatin. Thus, Hakai may serve as a potential
therapeutic target for the treatment of NSCLC.
PMID- 29786108
TI - miR-494 inhibits cancer-initiating cell phenotypes and reverses resistance to
lapatinib by downregulating FGFR2 in HER2-positive gastric cancer.
AB - In gastric cancer, >15% of cases are associated with the amplification of human
epidermal growth factor receptor 2 (HER2), which leads to poor clinical outcomes.
Lapatinib, a potent ATP-competitive inhibitor, is a small, orally active
molecule, which inhibits the tyrosine kinases of HER2 and epidermal growth factor
receptor type 1. The activation of receptor tyrosine kinases can contribute to
lapatinib resistance in HER2-positive gastric cancer. The aim of the present
study was to explore the effects of miR-494 and FGFR2 in regulation of cancer
initiating cell phenotypes and therapeutic efficiency of lapatinib in HER2
positive gastric cancer. Western blot analysis was used to identify that the
expression of fibroblast growth factor receptor 2 (FGFR2), a receptor tyrosine
kinase, was upregulated in gastric cancer tissues. Formation of cancer initiating
cells (CICs) and resistance to lapatinib were determined using sphere growth
assay and MTT assay, respectively. The overexpression of FGFR2 promoted the
generation of cancer-initiating cells (CICs) and resistance to lapatinib in HER2
positive gastric cancer YCC1 cells. In addition, it was observed that
overexpression of microRNA (miR)-494 downregulated the protein expression of
FGFR2, inhibited the formation of CICs and reversed lapatinib resistance in YCC1
F cells (HER2-positive, FGFR2 overexpressing and lapatinib-resistant gastric
cancer cells). Therefore, it was concluded that miR-494 inhibited the CIC
phenotype and reversed resistance to lapatinib by inhibiting FGFR2 in HER2
positive gastric cancer.
PMID- 29786109
TI - Engulfment of platelets delays endothelial cell aging via girdin and its
phosphorylation.
AB - Endothelial cells are critical in angiogenesis and maintain the homeostasis of
the blood-brain barrier (BBB). Platelets (PLTs) are essential in vascular
biology, including angiogenesis. The present study aimed to investigate the
effect of PLTs on the aging of endothelial cells. Human brain microvascular
endothelial cells (HBMECs) and human astrocytes were co-cultured to mimic the
BBB. Transmission electron microscopy was used to observe the engulfment of PLTs.
Confocal microscopy was used to observe the co-localization of PLTs, girders of
actin filament (girdin) and phosphorylated (p-)girdin. Senescence-associated beta
galactosidase (beta-gal) staining, 3-(4,5-dimethylthiazol-2-yl)-2,5
diphenyltetrazolium bromide and flow cytometry were performed to examine the cell
senescence, viability and apoptosis, respectively. Transwell assays were
performed to examine cell invasion and migration. Western blot analysis was
performed to detect the expression of girdin, AKT and p-AKT. PLTs delayed
senescence, and promoted the viability and resistance to apoptosis of the HBMECs.
Cell invasion and migration were enhanced by PLTs. In addition, girdin and p
girdin were essential to the engulfment of HBMECs to PLTs. Mechanically, the
inhibition of AKT signals reversed the effect of PLTs on HBMECs by increasing the
activity of beta-gal, decreasing the cell viability, and inhibiting the invasion
and migration of the HBMECs. The engulfment of PLTs assisted in delaying the
aging of endothelial cells via girdin and p-girdin, in which the AKT signal was
involved. The present study indicated a potential strategy for delaying
endothelial cell aging in the treatment of central nervous system diseases.
PMID- 29786111
TI - High glucose suppresses the viability and proliferation of HTR-8/SVneo cells
through regulation of the miR-137/PRKAA1/IL-6 axis.
AB - The aim of the present study was to investigate the mechanism underlying the high
glucose (HG)-associated regulation of HTR-8/SVneo cell viability and
proliferation during gestational diabetes mellitus (GDM), and to verify the
association of microRNA (miR)-137, protein kinase AMP-activated catalytic subunit
alpha1 (PRKAA1) and interlukin-6 (IL-6). miR-137-overexpressing and negative
control HTR-8/SVneo cells were established by lentiviral vector infection. Cell
Counting Kit-8 and colony formation assays were used to analyze the viability and
proliferation of HTR-8/SVneo cells. Reverse transcription-quantitative polymerase
chain reaction analysis was used to determine the transcriptional activity of miR
137, PRKAA1 and Il-6, and ELISA and western blot analysis were used to measure
the protein levels of IL-6 and PRKAA1, respectively. It was demonstrated that
PRKAA1 was decreased in the placental tissues of women with GDM and HG-treated
HTR-8/SVneo cells, and that HG upregulated miR-137 and IL-6 in trophoblasts. The
overexpression of miR-137 decreased levels of PRKAA1 and increased levels of IL-6
in the HTR-8/SVneo cells. An inhibitor of PRKAA1 promoted the secretion of IL-6,
whereas an agonist of PRKAA1 suppressed the production of IL-6. HG treatment and
the overexpression of miR-137 reduced the viability and proliferation of HTR
8/SVneo cells in vitro, whereas the activation of PRKAA1 or incubation with IL-6
antibody reversed these effects. Overall, it was concluded that HG suppressed the
viability and proliferation of trophoblast cells through the miR-137/PRKAA1/IL-6
axis, which may contribute to pathological changes of placental tissues in GDM.
PMID- 29786110
TI - Multi-layered prevention and treatment of chronic inflammation, organ fibrosis
and cancer associated with canonical WNT/beta-catenin signaling activation
(Review).
AB - beta-catenin/CTNNB1 is an intracellular scaffold protein that interacts with
adhesion molecules (E-cadherin/CDH1, N-cadherin/CDH2, VE-cadherin/CDH5 and alpha
catenins), transmembrane-type mucins (MUC1/CD227 and MUC16/CA125), signaling
regulators (APC, AXIN1, AXIN2 and NHERF1/EBP50) and epigenetic or transcriptional
regulators (BCL9, BCL9L, CREBBP/CBP, EP300/p300, FOXM1, MED12, SMARCA4/BRG1 and
TCF/LEF). Gain-of-function CTTNB1 mutations are detected in bladder cancer,
colorectal cancer, gastric cancer, liver cancer, lung cancer, pancreatic cancer,
prostate cancer and uterine cancer, whereas loss-of-function CTNNB1 mutations are
also detected in human cancer. ABCB1, ALDH1A1, ASCL2, ATF3, AXIN2, BAMBI, CCND1,
CD44, CLDN1, CTLA4, DKK1, EDN1, EOMES, FGF18, FGF20, FZD7, IL10, JAG1, LEF1,
LGR5, MITF, MSX1, MYC, NEUROD1, NKD1, NODAL, NOTCH2, NOTUM, NRCAM, OPN, PAX3,
PPARD, PTGS2, RNF43, SNAI1, SP5, TCF7, TERT, TNFRSF19, VEGFA and ZNRF3 are
representative beta-catenin target genes. beta-catenin signaling is involved in
myofibroblast activation and subsequent pulmonary fibrosis, in addition to other
types of fibrosis. beta-catenin and NF-kappaB signaling activation are involved
in field cancerization in the stomach associated with Helicobacter pylori (H.
pylori) infection and in the liver associated with hepatitis C virus (HCV)
infection and other etiologies. beta-catenin-targeted therapeutics are
functionally classified into beta-catenin inhibitors targeting upstream
regulators (AZ1366, ETC-159, G007-LK, GNF6231, ipafricept, NVP-TNKS656,
rosmantuzumab, vantictumab, WNT-C59, WNT974 and XAV939), beta-catenin inhibitors
targeting protein-protein interactions (CGP049090, CWP232228, E7386, ICG-001, LF3
and PRI-724), beta-catenin inhibitors targeting epigenetic regulators (PKF118
310), beta-catenin inhibitors targeting mediator complexes (CCT251545 and
cortistatin A) and beta-catenin inhibitors targeting transmembrane-type
transcriptional outputs, including CD44v6, FZD7 and LGR5. Eradicating H. pylori
and HCV is the optimal approach for the first-line prevention of gastric cancer
and hepatocellular carcinoma (HCC), respectively. However, beta-catenin
inhibitors may be applicable for the prevention of organ fibrosis, second-line
HCC prevention and treating beta-catenin-driven cancer. The multi-layered
prevention and treatment strategy of beta-catenin-related human diseases is
necessary for the practice of personalized medicine and implementation of
precision medicine.
PMID- 29786112
TI - CTLA-4 interferes with the HBV-specific T cell immune response (Review).
AB - Hepatitis B virus (HBV) infection is a major cause of hepatic inflammation.
Successful HBV clearance in patients is associated with sustained viral control
by effector T cells. Compared with acute hepatitis B, chronic HBV infection is
associated with the depletion of T cells, resulting in weak or absent virus
specific T cells reactivity, which is described as 'exhaustion'. This exhaustion
is characterized by impaired cytokine production and sustained expression of
multiple coinhibitory molecules. Cytotoxic T lymphocyte-associated antigen-4
(CTLA-4) is one of many coinhibitory molecules that can attenuate T cell
activation by inhibiting costimulation and transmitting inhibitory signals to T
cells. Persistent HBV infection results in the upregulation of CTLA-4 on hepatic
CD8+ T cells. This prompts CD8+ T cell apoptosis, and the activation of cytotoxic
T lymphocytes is blocked. Similar to CD8+ T cells, CD4+ T helper (Th) cell
proliferation is hindered following CTLA-4 upregulation. In addition, the
differentiation of CD4+ Th is polarized toward the Th2/peripherally-inducible T
regulatory cell types, increasing the levels of anti-inflammatory cytokines.
Conversely, the activation of proinflammatory cells (Th1 and follicular helper T)
is blocked, and the levels of proinflammatory cytokines decline. This review
summarizes the current literature relevant to T cell exhaustion in patients with
HBV-related chronic hepatitis, and discusses the roles of CTLA-4 in T cell
exhaustion.
PMID- 29786113
TI - Overexpression of C-sis inhibits H2O2-induced Buffalo rat liver cell apoptosis in
vitro and alleviates liver injury in a rat model of fulminant hepatic failure.
AB - The present study aimed to investigate the role of the C-sis gene in the
apoptosis of hepatocytes in vitro and in the liver function of a rat model of
fulminant hepatic failure (FHF). Buffalo rat liver (BRL) cells were treated with
hydrogen peroxide (H2O2) to induce apoptosis and then transfected with a C-sis
overexpression vector. A rat model of FHF was established, and C-sis was
overexpressed. The mRNA and protein expression of C-sis were examined using
reverse transcription-polymerase chain reaction and western blot analyses,
respectively. Cell viability was assessed by CCK8, and a TUNEL assay was used to
examine cell apoptosis. Flow cytometry was used for cell cycle detection.
Hematoxylin and eosin staining was used for histological examination. The levels
of alanine transaminase (ALT) and aspartate transaminase (AST) were also examined
in the rats. The results showed that C-sis was successfully overexpressed in the
cells and rat model. Compared with H2O2-treated BRL cells, the overexpression of
C-sis significantly inhibited cell apoptosis, promoted cell viability, and
decreased the expression of cleaved caspase-3. Similar results were observed in
the FHF rats treated with the C-sis overexpression plasmid, compared with those
treated with empty plasmids. In addition, in the FHF rats overexpressing C-sis,
histological examination showed that liver injury was alleviated, the levels of
ALT and AST were significantly decreased, and mortality rate was significantly
decreased, compared with those observed in the rats treated with empty plasmids.
In conclusion, the overexpression of C-sis inhibited the H2O2-induced apoptosis
of BRL cells in vitro, and alleviated liver injury, improved liver function, and
decreased mortality rates in rat models of FHF.
PMID- 29786114
TI - Endothelium-dependent vasodilation in the cerebral arterioles of rats
deteriorates during acute hyperglycemia and then is restored by reducing the
glucose level.
AB - PURPOSE: Acute hyperglycemia in patients with traumatic brain injury correlates
with a poor neurological outcome. We investigated the endothelium function of rat
cerebral arterioles during acute hyperglycemia and after reducing the glucose
levels using insulin. We also examined whether or not oxidative stress was
involved in the cerebral arteriole response to acute hyperglycemia. METHODS: In
isoflurane-anesthetized, mechanically ventilated rats, we used closed cranial
window preparation to measure the changes in the pial arteriolar diameter
following the topical application of acetylcholine (ACh) or adenosine. We
examined the pial arteriolar vasodilator response before hyperglycemia, during
hyperglycemia, and after reducing the glucose level using insulin. After
intravenous pretreatment with an NADPH oxidase inhibitor (apocynin or diphenylene
iodonium), we reexamined the pial arteriolar vasodilator response following the
topical application of ACh. RESULTS: Under control conditions, the topical
application of ACh dose-dependently dilated the cerebral arterioles. The
vasodilatory responses to topical ACh were impaired during hyperglycemia and
improved after the administration of insulin. The vasodilatory responses to
topical adenosine were not affected by the glucose levels. In the apocynin or
diphenylene iodonium pretreatment group, the topical application of ACh dilated
the cerebral arterioles during hyperglycemia. CONCLUSION: Acute hyperglycemia
induces a dysfunction of the endothelium-dependent vasodilation of rat cerebral
arterioles. The dysfunction can be reversed by improving the acute hyperglycemia
and it can be prevented entirely by the administration of NADPH oxidase
inhibitors. These results could suggest that controlling the glucose levels works
protectivity to endothelium function of cerebral arterioles.
PMID- 29786115
TI - Effects of cardiac output on the onset of rocuronium-induced neuromuscular block
in elderly patients.
AB - PURPOSE: The aim of this study was to elucidate the relationship between the
onset of rocuronium-induced neuromuscular block and arterial pressure-based
cardiac output (CO) in elderly patients. METHODS: Forty elderly patients aged 65
83 years were enrolled in this study. After induction of anesthesia, contractions
of the adductor pollicis muscle to ulnar nerve train-of-four stimulation were
acceleromyographically evaluated and 1 mg/kg rocuronium was administered
following CO measurement. The correlation between onset of rocuronium action and
CO was analyzed. RESULTS: The mean [SD] CO reduced after induction of anesthesia
from 5.1 [1.8] L/min to 3.8 [1.1] L/min. The onset time of rocuronium-induced
neuromuscular block was 110.3 [23.9] s (range 60-165). There was a statistically
significant inverse correlation between the onset time of rocuronium and CO
[onset time (s) = - 13.2.CO + 159.7, R2 = 0.376]. CONCLUSIONS: In the elderly, CO
influences the onset of action of rocuronium.
PMID- 29786116
TI - Is childbirth-induced PTSD associated with low maternal attachment?
AB - Few studies examined maternal attachment in childbirth-related postpartum
posttraumatic stress disorder (PP-PTSD). We studied 685 postpartum women,
assessing for PP-PTSD, non-childbirth PTSD, maternal attachment, pre-birth,
birth, and post-birth factors. Attachment was lower in PP-PTSD than in non
childbirth PTSD and no PP-PTSD. Hierarchical regression showed that PP-PTSD
predicted less maternal attachment above and beyond pre-birth psychiatric
conditions, acute distress in birth, and lack of breastfeeding. Childbirth
induced posttraumatic stress may interfere with the formation of maternal
attachment, warranting screening of at-risk women.
PMID- 29786117
TI - The relevance of the Hippocratic Oath to the ethical and moral values of
contemporary medicine. Part II: interpretation of the Hippocratic Oath-today's
perspective.
AB - This is the second part of a paper on the relevance and significance of the
Hippocratic Oath to modern medical ethical and moral values with the aim at
answering questions on controversial issues related to the Oath. Part I argued
that the general attributes and ethical values of the Oath are relevant to the
modern world. Part II attempts to elucidate the interpretation of the specific
injunctions of the Oath from today's perspective in relation to ethical values
concerning the duties of physicians to patients and society. The objective is to
prove that the Oath has established the general context of medical ethics of the
physician-patient relationship, which reflects long-lasting moral values that
still define the medical profession. The Oath has exemplified the fundamental
modern ethical principles of beneficence, non-maleficence and confidentiality.
Its foremost message focuses on patients' best interests and not on the
prohibition of surgery, euthanasia or abortion, as is generally believed.
Furthermore, the Oath as a code of professional identity has had a powerful
impact on modem judicial opinions regarding medical ethics. In a lot of ways, it
is as relevant of the values of contemporary medicine as it was for ancient
medicine. These slides can be retrieved under Electronic Supplementary Material.
PMID- 29786118
TI - An optimized fed-batch culture strategy integrated with a one-step fermentation
improves L-lactic acid production by Rhizopus oryzae.
AB - In previous work, we proposed a novel modified one-step fermentation fed-batch
strategy to efficiently generate L-lactic acid (L-LA) using Rhizopus oryzae. In
this study, to further enhance efficiency of L-LA production through one-step
fermentation in fed-batch cultures, we systematically investigated the initial
peptone- and glucose-feeding approaches, including different initial peptone and
glucose concentrations and maintained residual glucose levels. Based on the
results of this study, culturing R. oryzae with initial peptone and glucose
concentrations of 3.0 and 50.0 g/l, respectively, using a fed-batch strategy is
an effective approach of producing L-LA through one-step fermentation. Changing
the residual glucose had no obvious effect on the generation of L-LA. We
determined the maximum LA production and productivity to be 162 g/l and 6.23
g/(l.h), respectively, during the acid production stage. Compared to our previous
work, there was almost no change in L-LA production or yield; however, the
productivity of L-LA increased by 14.3%.
PMID- 29786119
TI - Full-length and defective enterovirus G genomes with distinct torovirus protease
insertions are highly prevalent on a Chinese pig farm.
AB - Recombination occurs frequently between enteroviruses (EVs) which are classified
within the same species of the Picornaviridae family. Here, using viral
metagenomics, the genomes of two recombinant EV-Gs (strains EVG 01/NC_CHI/2014
and EVG 02/NC_CHI/2014) found in the feces of pigs from a swine farm in China are
described. The two strains are characterized by distinct insertion of a papain
like protease gene from toroviruses classified within the Coronaviridae family.
According to recent reports the site of the torovirus protease insertion was
located at the 2C/3A junction region in EVG 02/NC_CHI/2014. For the other variant
EVG 01/NC_CHI/2014, the inserted protease sequence replaced the entire viral
capsid protein region up to the VP1/2A junction. These two EV-G strains were
highly prevalent in the same pig farm with all animals shedding the full-length
genome (EVG 02/NC_CHI/2014) while 65% also shed the capsid deletion mutant (EVG
01/NC_CHI/2014). A helper-defective virus relationship between the two co
circulating EV-G recombinants is hypothesized.
PMID- 29786120
TI - Identification and characterization of a tombusvirus isolated from Japanese
gentian.
AB - The DECS (dsRNA isolation, exhaustive amplification, cloning and sequencing)
analysis technique for viral diagnosis detected a tombusvirus in Japanese gentian
not displaying severe symptoms. We tentatively named this virus "gentian virus A"
(GeVA). GeVA systemically but inefficiently infected Japanese gentian without
causing visible symptoms, while it led to severe symptoms in some other plants.
The complete genome sequence of GeVA indicated a typical tombusvirus-like
structure. Phylogenetic analysis of the deduced amino acid sequences of four
tombusvirus-encoded proteins did not reveal other known tombusviruses that were
closely-related to GeVA, suggesting that it is a novel tombusvirus.
PMID- 29786121
TI - Genome sequence of the novel virulent bacteriophage PMBT14 with lytic activity
against Pseudomonas fluorescens DSM 50090R.
AB - Psychrotrophic gram-negative Pseudomonas spp. represent a serious problem in the
dairy industry as they can cause spoilage of milk and dairy products.
Bacteriophages have moved into focus as promising biocontrol agents for such food
spoilage bacteria. The virulent Siphoviridae phage PMBT14 was isolated on a
mutant variant of P. fluorescens DSM 50090 challenged with an unrelated virulent
P. fluorescens DSM 50090 Podoviridae phage (i.e., mutant strain DSM 50090R).
PMBT14 has a 47,820-bp dsDNA genome with 76 predicted open reading frames (ORFs).
Its genome shows no significant sequence similarity to that of known phages,
suggesting that PMBT14 represents a novel phage. Phage PMBT14 could be a
promising biocontrol agent for P. fluorescens in milk or dairy foods.
PMID- 29786122
TI - Complete genomic sequence of a novel macluravirus, alpinia oxyphylla mosaic virus
(AloMV), identified in Alpinia oxyphylla.
AB - A macluravirus, tentatively named alpinia oxyphylla mosaic virus (AloMV), was
identified in Alpinia oxyphylla, and its complete genomic sequence determined.
The positively single-stranded RNA genome is comprised of 8213 nucleotides
excluding the poly (A) tail, and contains one large open reading frame encoding a
polyprotein of 2,626 amino acids. Blastp search showed that the polyprotein of
AloMV shared 48%~68% aa sequence identities with other reported macluraviruses.
Phylogenetic analysis based on the nucleotide sequence of the polyprotein showed
that AloMV, together with all other macluraviruses, clustered into the same group
most closely related to cardamom mosaic virus, sharing 66.3% nt and 68% aa
sequence identities, respectively. These data above suggest that AloMV represents
an isolate of a putative new member within the genus Macluravirus.
PMID- 29786123
TI - Genetic variations in LTA gene and PDCD1 gene and intrauterine infection of
hepatitis B virus: a case-control study in China.
AB - Intrauterine infection with hepatitis B virus (HBV) has been suggested to
accounting for most cases of chronic HBV infection, which cannot be blocked by
combined immunoprophylaxis. The fact that the genetic background might impact the
susceptibility to intrauterine infection of HBV has been identified by recent
researches. A case-control study included sixty-nine HBsAg-positive mother
newborn pairs with intrauterine infection as cases compared to 138 mother-newborn
pairs without intrauterine infection as controls. We studied the correlations
between HBV intrauterine transmission and 15 maternal SNPs in eight genes (LTA,
LTBR, TNFSF14, PDCD1, APOBEC3B, CD274, CD40 and CD40LG). There was a
substantially significantly decreased risk of intrauterine infection of HBV in
mothers with the rs2227981 TT genotype in PDCD1 gene compared to those with the
rs2227981 GG genotype (OR 0.11, 95% CI 0.01-0.95, P = 0.045). Under recessive
model (OR 0.51, 95% CI 0.26-1, P = 0.050) and additive model (OR 0.50, 95% CI
0.28-0.88, P = 0.017), we also found a marginally significantly decreased risk of
intrauterine infection of HBV. Furthermore, under additive model, maternal
genotype for rs2239704 in LTA gene was marginally significantly related to an
increased risk of intrauterine HBV infection (OR 1.62, 95% CI 1-6.66, P = 0.055).
However, there were no statistically significant associations among the remaining
13 SNPs and the risk of intrauterine infection of HBV. The examination implied
that hereditary variants of PDCD1 and LTA genes were associated with intrauterine
infection of HBV.
PMID- 29786124
TI - Evaluation of the Relationship Between Flap Tension and Tissue Perfusion in
Implant-Based Breast Reconstruction Using Laser-Assisted Indocyanine Green
Angiography.
AB - BACKGROUND: For implant-based breast reconstruction, inadequate tissue perfusion
may cause devastating complications. Tissue tension by inadequate implant volume
may reduce tissue perfusion by stretching and collapsing the capillaries. The SPY
system is used to perform intraoperative fluorescence angiography with
indocyanine green to assess visually the blood flow and evaluate tissue
perfusion. However, there is no report yet about how mastectomy flap perfusion
changes with the expander-filling volume. Therefore, to analyse the changes of
tissue perfusion of the mastectomy flap according to the tension level, we used
the SPY system and adjusted the filling volume of the tissue expander to change
the tension on the skin flap. METHODS: Ten breasts of ten patients who underwent
immediate two-stage, implant-based breast reconstructions were included. The
expander-filling volume just before mastectomy flap blanching was set as 100%.
Based on this, the expander-filling volume was reduced to 50% and increased to
150%. Ingress and egress rates were evaluated using the SPY system at each
condition and analysed by a linear mixed model using least square means. RESULTS:
The mean ingression rates were 138, 100, and 65%, and the mean egression rates
were 145, 100, and 66% at 50, 100, and 150% inflation, respectively. CONCLUSIONS:
It was objectively proven that tissue perfusion deteriorates as the tension
applied on the flap increases. On the basis of this finding, we can control the
amount of inflation volume of the expander or remove the skin in the pre
ischaemic condition to reduce complications of implant-based breast
reconstruction.
PMID- 29786125
TI - Neoadjuvant Chemotherapy Use in Breast Cancer is Greatest in Excellent
Responders: Triple-Negative and HER2+ Subtypes.
AB - BACKGROUND: While breast cancer has historically been treated with surgery
followed by adjuvant chemotherapy (AC) and radiation when indicated, neoadjuvant
chemotherapy (NAC) use is thought to be increasing; however, the trends of its
use in various biological subtypes have not been evaluated. We sought to evaluate
the trend of NAC use over time by biological subtype. METHODS: We identified all
patients with invasive breast cancer who underwent curative intent surgery and
were treated with chemotherapy from 2010 to 2015 from the National Cancer
Database. An unadjusted analysis of trends in proportions over time was performed
using Cochran-Armitage trend tests stratified by hormone receptor (HR) and human
epidermal growth factor receptor 2 (HER2) status. RESULTS: Of 315,264 patients
who received chemotherapy, 251,726 (79.8%) received AC and 63,538 (20.2%)
received NAC. From 2010 to 2015, significant increases in NAC use were seen in
all biologic subtypes (all p < 0.001). The highest proportions and greatest
increases in proportions of NAC were seen among triple-negative breast cancers
(TNBC; 19.5-33.7%) and HER2+ (HR-/HER2+, 21.5-39.8%; HR+/HER2+, 17.0-33.7%)
tumors. HR+/HER2- tumors also had a statistically significant increase in use but
this increase was less dramatic (13.0-16.8%) and NAC use in recent years was
significantly lower than in other subtypes (p < 0.001). CONCLUSION: Within
patients receiving chemotherapy for breast cancer, its receipt in the neoadjuvant
setting has been increasing among all biologic subtypes. The highest use of NAC
is in TNBC and HER2+ disease, with use in these subgroups being twice as frequent
as in HR+/HER2- disease.
PMID- 29786126
TI - Prophylactic Central Compartment Neck Dissection in Papillary Thyroid Cancer and
Effect on Locoregional Recurrence.
AB - BACKGROUND: Prophylactic central compartment neck dissection (pCCND) in addition
to total thyroidectomy (TT) includes removal of central compartment lymph nodes
in the absence of clinical involvement on preoperative and intraoperative
evaluation. The data regarding the influence of pCCND on oncologic outcomes and
surgical complication rates is mixed and, therefore, is the focus of this
analysis. METHODS: A systematic review of the literature on total thyroidectomy
with prophylactic central compartment neck dissection (TT + pCCND) from January
1990 to October 2017 identified 221 abstracts of which 17 met inclusion criteria
and were reviewed (1 randomized-control trial, 13 retrospective cohort studies,
and 3 meta-analyses). RESULTS: TT + pCCND was found to detect occult lymph node
metastasis in approximately 50% of patients who had no clinical evidence of lymph
node metastasis on preoperative imaging. Permanent hypoparathyroidism occurs more
frequently following TT + pCCND (TT = 1.55% vs. TT + pCCND = 3.45%), but the
rates of permanent recurrent laryngeal nerve dysfunction are similar (TT = 0.89%
vs. TT + pCCND = 0.96%). The locoregional recurrence rates across all 14 studies
included in this analysis was 6.75% for TT alone and 4.55% for TT + pCCND. The
rate of locoregional recurrence was significantly lower in patients who underwent
pCCND in a few studies and one meta-analysis, but were not significantly
different in the majority of studies. CONCLUSIONS: TT + pCCND in clinically node
negative papillary thyroid cancer will detect occult lymph node metastasis in
approximately half of patients. This may change their postoperative management
with regard to adjuvant radioiodine therapy. There is a higher risk of
hypoparathyroidism with pCCND, and the effect on rates of locoregional recurrence
remains uncertain.
PMID- 29786127
TI - Crystal Ball or Magic8 Ball? Reply Hazy, Try Again.
PMID- 29786128
TI - Long-Term Oncologic Outcomes of Laparoscopic Sentinel Node Navigation Surgery in
Early Gastric Cancer: A Single-Center, Single-Arm, Phase II Trial.
AB - BACKGROUND: Sentinel node navigation surgery (SNNS) in early gastric cancer (EGC)
is technically feasible according to previous literature, however its long-term
oncologic safety has not been reported. METHODS: A single-center, single-arm,
phase II trial was conducted to determine the oncologic outcomes of laparoscopic
sentinel node (SN) biopsy in clinical stage T1N0M0 gastric cancer patients. Cases
with positive SNs on intraoperative pathologic examination underwent conventional
gastrectomy with radical lymphadenectomy (SN-positive group), whereas those with
negative SNs underwent laparoendoscopic-limited gastric resections without
further lymph node dissections (SN-negative group). The primary endpoint was 3
year relapse-free survival. RESULTS: Between July 2010 and April 2013, 113
patients were enrolled, with 100 patients being included in the final analysis.
SNs were detected in 99 patients. The mean number of identified SNs was 6.1 +/-
3.9. Eleven patients were included in the SN-positive group and 89 in the SN
negative group. After a median follow-up period of 46.4 months, four patients
died and three showed cancer recurrence. All recurrences occurred on the remnant
stomach after endoscopic submucosal resection or wedge resection in the SN
negative group. The 3-year relapse-free and overall survival rates were 96.0%
(95% confidence interval [CI] 92.2-100.0%) and 98.0% (95% CI 95.2-100.0%),
respectively. CONCLUSIONS: Our results indicate that laparoscopic SNNS may be
oncologically safe in EGC. Limited gastric resections should be carefully
performed to prevent local recurrence in SN-negative cases. A randomized
controlled trial is needed based on the present study.
PMID- 29786130
TI - RAS Mutation is Associated with Unsalvageable Recurrence Following Hepatectomy
for Colorectal Cancer Liver Metastases.
AB - BACKGROUND: RAS mutation status predicts survival after hepatectomy for
colorectal liver metastases (CRLM) and survival after repeat hepatectomy for
intrahepatic recurrence. This study was aimed at determining the impact of RAS
mutation on amenability of recurrence to local therapy and on post-recurrence
survival following hepatectomy. METHODS: CRLM patients with recurrence at any
location after curative intent hepatectomy during the period 2006-2015 were
retrospectively analyzed. Factors associated with recurrence not amenable to
local therapy and with post-recurrence survival were evaluated. RESULTS: Of 566
patients with recurrence, 309 (54.6%) underwent chemotherapy only, 189 (33.4%)
underwent surgical resection, 47 (8.3%) underwent ablation, and 21 (3.7%)
underwent radiation therapy. Median post-recurrence survival was significantly
longer in patients with local therapy than in those with chemotherapy only (65.1
vs. 26.5 months, p < 0.0001). RAS mutation (p = 0.01), presence of extrahepatic
metastases (p = 0.0006), and positive surgical margin at prior hepatectomy (p =
0.01) were associated with recurrence not amenable to local therapy. RAS mutation
[hazard ratio (HR) 1.49, p = 0.0012], disease-free interval < 12 months (HR 1.76,
p < 0.0001), recurrence at multiple organs (HR 1.71, p < 0.0001), and recurrence
not amenable to local therapy (HR 4.11, p < 0.0001) were independent risk factors
for shorter post-recurrence survival. RAS mutation was associated with poor post
recurrence survival in both patients who received local therapy and those who
received chemotherapy only. CONCLUSIONS: RAS mutation predicts recurrence not
amenable to any local therapy and shorter post-recurrence survival after
hepatectomy for CRLM.
PMID- 29786129
TI - Surgeon Variability and Factors Predicting for Reoperation Following Breast
Conserving Surgery.
AB - BACKGROUND: Reoperation after breast-conserving surgery (BCS) is common and has
been partially associated with the lack of consensus on margin definition. We
sought to investigate factors associated with reoperations and variation in
reoperation rates across breast surgeons at our cancer center. METHODS:
Retrospective analyses of patients with clinical stage I-II breast cancer who
underwent BCS between January and December 2014 were conducted prior to the
recommendation of 'no ink on tumor' margin. Patient demographics and tumor and
surgical data were extracted from medical records. A multivariate regression
model was used to identify factors associated with reoperation. RESULTS: Overall,
490 patients with stage I (n = 408) and stage II (n = 89) breast cancer
underwent BCS; seven patients had bilateral breast cancer and underwent bilateral
BCS procedures. Median invasive tumor size was 1.1 cm, reoperation rate was 22.9%
(n = 114) and varied among surgeons (range 15-40%), and, in 100 (88%) patients,
the second procedure was re-excision, followed by unilateral mastectomy (n = 7,
6%) and bilateral mastectomy (n = 7, 6%). Intraoperative margin techniques
(global cavity or targeted shaves) were utilized in 50.1% of cases, while no
specific margin technique was utilized in 49.9% of cases. Median total specimen
size was 65.8 cm3 (range 24.5-156.0). In the adjusted model, patients with
multifocal disease were more likely to undergo reoperation [odds ratio (OR) 5.78,
95% confidence interval (CI) 2.17-15.42]. In addition, two surgeons were found to
have significantly higher reoperation rates (OR 6.41, 95% CI 1.94-21.22; OR 3.41,
95% CI 1.07-10.85). CONCLUSIONS: Examination of BCS demonstrated variability in
reoperation rates and margin practices among our breast surgeons. Future trials
should look at surgeon-specific factors that may predict for reoperations.
PMID- 29786131
TI - CA19-9 on Postoperative Surveillance in Pancreatic Ductal Adenocarcinoma:
Predicting Recurrence and Changing Prognosis over Time.
AB - BACKGROUND: Serum carbohydrate antigen 19-9 (CA19-9) correlates with response to
therapy and overall survival (OS) for patients with pancreatic ductal
adenocarcinoma (PDAC). This study aimed to define the chronologic relationship
between CA19-9 elevation and radiographic recurrence to develop a model that can
predict the risk of recurrence (RFS) and prognosis during interval surveillance
for patients with resected PDAC. METHODS: A retrospective review examined
patients undergoing surgery for pancreatic adenocarcinoma from January 2010 to
May 2016. Their CA19-9 levels were classified at diagnosis, after surgery, and at
6-month surveillance intervals. Recurrence was defined by radiographic evidence.
The CA19-9 levels were correlated with RFS and OS at every time point using
multivariate analysis. RESULTS: The study examined 525 patients. Five patterns of
CA19-9 were identified: normal ("nonsecretors," 18.5%), always elevated, and high
at diagnosis but normal after resection involving three patterns with varied
behavior during surveillance. These five patterns had implications for RFS and
OS. When elevation of CA19-9, as assessed at 6-month intervals, was analyzed
relative to detection of radiographic disease, CA19-9 had poor positive
predictive value (average, 35%) but high negative predictive value (average, 92%)
for radiographic recurrence. Conditional RFS showed that CA19-9 elevation did not
equal radiographic recurrence but predicted subsequent RFS. Additionally,
conditional OS showed that CA19-9 elevation alone was predictive at each time
point. CONCLUSION: This study showed that CA19-9 patterns beyond the post
resection period predict RFS and OS. High CA19-9 frequently is discordant with
recurrence on imaging and may precede it by more than 6 months. At each
surveillance interval, CA19-9 is predictive of prognosis, which may help in
counseling patients and could be used to direct protocols of salvage
chemotherapy.
PMID- 29786133
TI - Editorial: Effectiveness Research in Couple and Family Therapy.
PMID- 29786132
TI - The Economic Case for a Pandemic Fund.
AB - The rapid urban spread of Ebola virus in West Africa in 2014 and consequent
breakdown of control measures led to a significant economic impact as well as the
burden on public health and wellbeing. The US government appropriated $5.4
Billion for FY2015 and WHO proposed a $100 Million emergency fund largely to
curtail the threat of future outbreaks. Using epidemiological analyses and
economic modeling, we propose that the best use of these and similar funds would
be to serve as global insurance against the continued threat of emerging
infectious diseases. An effective strategy would involve the initial investment
in strengthening mobile and adaptable capacity to deal with the threat and
reality of disease emergence, coupled with repeated investment to maintain what
is effectively a 'national guard' for pandemic prevention and response. This
investment would create a capital stock that could also provide access to safe
treatment during and between crises in developing countries, lowering risk to
developed countries.
PMID- 29786134
TI - Early receptive language comprehension ability in children with cerebral palsy.
PMID- 29786135
TI - Scientific update on nanoparticles in dentistry.
AB - Nanoparticles having a size from 1 to 100 nm are present in nature and are
successfully used in many products of daily life. In dental materials,
nanoparticles are typically embedded but they may also exist as by-products from
milling processes. Possible adverse effects of nanoparticles have gained
increased interest, with the lungs being the main target organ. Exposure to
nanoparticles in the dental laboratory is addressed by legal regulations. In
dental practice, nanoparticles are mainly produced by intra-oral
grinding/polishing and removal of materials, by wear of restorations or release
from dental implants. Based on worst-case mass-based calculations, the additional
risk as a result of exposure to nanoparticles is considered to be low. However,
more research is needed, especially on vulnerable groups (patients with asthma or
chronic obstructive pulmonary disease). An assessment of risks for the
environment is not possible because of lack of data. Exposure-reduction measures
mainly include avoidance of abrasive processes (for example, by proper
sculpturing), cooling by the use of water spray and sufficient ventilation of
treatment areas.
PMID- 29786136
TI - Temporal trends in the epidemiology of cervical cancer in South Africa (1994
2012).
AB - Cervical cancer (CC) is the leading cause of cancer death among female South
Africans (SA). Improved access to reproductive health services following multi
ethnic democracy in 1994, HIV epidemic, and the initiation of CC population-based
screening in early 2000s have influenced the epidemiology of CC in SA. We
therefore evaluated the trends in CC age-standardised incidence (ASIR) (1994
2009) and mortality rates (ASMR) (2004-2012) using data from the South African
National Cancer Registry and the Statistics South Africa, respectively. Five-year
relative survival rates and average per cent change (AAPC) stratified by
ethnicity and age-groups was determined. The average annual CC cases and
mortalities were 4,694 (75,099 cases/16 years) and 2,789 (25,101 deaths/9 years),
respectively. The ASIR was 22.1/100,000 in 1994 and 23.3/100,000 in 2009, with an
average annual decline in incidence of 0.9% per annum (AAPC = -0.9%, p-value <
0.001). The ASMR decreased slightly by 0.6% per annum from 13.9/100,000 in 2004
to 13.1/100,000 in 2012 (AAPC = -0.6%, p-value < 0.001). In 2012, ASMR was 5.8
fold higher in Blacks than in Whites. The 5-year survival rates were higher in
Whites and Indians/Asians (60-80%) than in Blacks and Coloureds (40-50%). The
incidence rate increased (AAPC range: 1.1-3.1%, p-value < 0.001) among young
women (25-34 years) from 2000 to 2009. Despite interventions, there were minimal
changes in overall epidemiology of CC in SA but there were increased CC rates
among young women and ethnic disparities in CC burden. A review of the CC
national policy and directed CC prevention and treatment are required to
positively impact the burden of CC in SA.
PMID- 29786138
TI - Association of different immunosuppressive medications with periodontal condition
in patients with rheumatoid arthritis: Results from a cross-sectional study.
AB - BACKGROUND: The aim of this cross-sectional study was to investigate clinical
periodontal findings as well as prevalence of selected potentially periodontal
pathogenic bacteria in patients with rheumatoid arthritis (RA) treated with
different immunosuppressive rheumatic medications. METHODS: One hundred sixty
eight patients with RA undergoing different immunosuppressive medications were
included and divided into subgroups according to their medication, which was
taken in the past 6 months, in detail, 1) non-steroidal anti-inflammatory drugs
(NSAID) and glucocorticoids combined, and the following different disease
modifying anti-rheumatic drugs (DMARDs): 2) methotrexate (MTX), 3) leflunomide,
4) MTX and TNF-alpha antagonists combined, 5) interleukin-6 (IL-6) antagonist, 6)
MTX and rituximab combined, and 7) combination therapies of > 2 of these DMARDs.
Periodontal examination consisted of papilla bleeding index (PBI), periodontal
status with periodontal probing depth (PD), bleeding on probing (BOP), and
clinical attachment loss (AL). Periodontitis was classified as none/mild,
moderate, or severe. Samples obtained from gingival crevicular fluid were
analyzed for presence of 11 periodontal pathogenic bacteria. RESULTS: Patients
with MTX + TNF-alpha antagonists therapy showed higher PBI and BOP values
compared with leflunomide (P < 0.01) and higher BOP than MTX + rituximab (P =
0.02). Porphyromonas gingivalis (P < 0.01), Treponema denticola (P < 0.01),
Fusobacterium nodatum (P = 0.02) and Capnocytophaga species (P = 0.05) was
associated with medication subgroup, whereby post hoc testing confirmed singular
differences for several medication subgroups. CONCLUSIONS: RA medication is
associated with periodontal inflammation, without differences in periodontal
disease severity. Thereby, combination of MTX + TNF-alpha shows an increased
potential to periodontal inflammation. Additionally, several differences in
prevalence of selected bacteria were detected.
PMID- 29786137
TI - Longitudinal growth of receptive language in children with cerebral palsy between
18 months and 54 months of age.
AB - AIM: We examined receptive language developmental trajectories between 18 months
and 54 months for three clinical speech-language profile groups of children with
cerebral palsy (those with speech motor involvement, without speech motor
involvement, and with anarthria) and quantified differences from age-level
expectations. We identified latent classes of comprehension development, related
these classes to clinical profile groups, and examined how well early receptive
language predicted outcomes. METHOD: We used a prospective longitudinal design.
Eighty-five children with cerebral palsy (43 females, 42 males) were followed
longitudinally from 18 to 54 months of age. Children were seen two to eight times
(322 data points). Children were classified into clinical profile groups.
Language comprehension age-equivalent scores were the primary measures of
interest. RESULTS: Children with anarthria had significant language delays,
limited developmental change over time, and comprised their own latent class.
Children with speech motor impairment had slight receptive language delays over
time. Children with no speech motor impairment had age-appropriate receptive
language over time. Early language comprehension scores were highly predictive of
later latent profile group membership. INTERPRETATION: Early language
comprehension abilities are highly predictive of language comprehension growth
trajectory and suggest that children with early language delay, particularly
those who are non-speaking, should receive language intervention to support
development. WHAT THIS PAPER ADDS: There are two growth trajectories for language
comprehension among children with cerebral palsy. Children with speech motor
impairment had a constant 6-month receptive language delay. Children without
speech motor impairment had age-appropriate receptive language. Non-speaking
children had significant receptive language delay. Early language comprehension
change was highly predictive of later trajectory group.
PMID- 29786139
TI - One-carbon metabolite ratios as functional B-vitamin markers and in relation to
colorectal cancer risk.
AB - One-carbon metabolism biomarkers are easily measured in plasma, but analyzing
them one at a time in relation to disease does not take into account the
interdependence of the many factors involved. The relative dynamics of major one
carbon metabolism branches can be assessed by relating the functional B-vitamin
marker total homocysteine (tHcy) to transsulfuration (total cysteine) and
methylation (creatinine) outputs. We validated the ratios of tHcy to total
cysteine (Hcy:Cys), tHcy to creatinine (Hcy:Cre), and tHcy to cysteine to
creatinine (Hcy:Cys:Cre) as functional markers of B-vitamin status. We also
calculated the associations of these ratios to colorectal cancer (CRC) risk.
Furthermore, the relative contribution of potential confounders to the variance
of the ratio-based B-vitamin markers was calculated by linear regression in a
nested case-control study of 613 CRC cases and 1190 matched controls. Total B
vitamin status was represented by a summary score comprising Z-standardized
plasma concentrations of folate, cobalamin, betaine, pyridoxal 5'-phosphate, and
riboflavin. Associations with CRC risk were estimated using conditional logistic
regression. We found that the ratio-based B-vitamin markers all outperformed tHcy
as markers of total B-vitamin status, in both CRC cases and controls.
Additionally, associations with CRC risk were similar for the ratio-based B
vitamin markers and total B-vitamin status (approximately 25% lower risk for high
versus low B-vitamin status). In conclusion, ratio-based B-vitamin markers were
good predictors of total B-vitamin status and displayed similar associations as
total B-vitamin status with CRC risk. Since tHcy and creatinine are routinely
clinically analyzed, Hcy:Cre could be easily implemented in clinical practice.
This article is protected by copyright. All rights reserved.
PMID- 29786140
TI - Gender differences in the association of periodontitis and type 2 diabetes.
AB - AIMS: THE OBJECTIVE WAS TO INVESTIGATE IF GENDER DIFFERENCES EXIST IN THE
ASSOCIATIONS BETWEEN PERIODONTITIS AND TYPE 2 DIABETES. DISPROPORTIONATE
DISPARITIES BY GENDER WERE FOUND TO EXIST IN RATES OF BOTH PERIODONTITIS AND
DIABETES WITH RESPECT TO DEMOGRAPHICS AND BEHAVIOURAL PREDICTORS THAT CANNOT BE
EXPLAINED SOLELY BY THE WELL-ESTABLISHED ASSOCIATION BETWEEN THESE TWO DISEASES.
MATERIALS AND METHODS: MULTIPLE DATASETS WERE EXTRACTED FROM THE NATIONAL HEALTH
AND NUTRITION EXAMINATION SURVEY (NHANES) 2009-2014, WHICH USED A STRATIFIED
MULTISTAGE PROBABILITY SAMPLING TO OBTAIN SAMPLES FROM ALL CIVILIAN NON
INSTITUTIONALISED PEOPLE IN THE USA. BIVARIATE RELATIONSHIPS BETWEEN EACH
EXPLANATORY VARIABLE AND PERIODONTITIS LEVEL WERE ASSESSED WITH ODDS RATIOS (OR)
AND THEIR 95% CONFIDENCE INTERVALS (CI). A SET OF WEIGHTED LOGISTIC REGRESSION
MODELS WAS USED TO INVESTIGATE THE ASSOCIATION DIFFERENTIATIONS BETWEEN
PERIODONTITIS AND DIABETES BY GENDER. C-STATISTICS MEASURED THE GOODNESS-OF-FIT
OF WEIGHTED LOGISTIC REGRESSION MODELS. RESULTS: THE PREVALENCE OF MODERATE
SEVERE PERIODONTITIS WAS 36.39% AND 22.71% AMONG PARTICIPANTS WITH TYPE 2
DIABETES AND WITHOUT DIABETES, RESPECTIVELY. TYPE 2 DIABETES WAS SIGNIFICANTLY
ASSOCIATED WITH MODERATE-SEVERE PERIODONTITIS OR (OR = 1.47, 95% CI: 1.18-1.82)
AMONG MALES EVEN AFTER ADJUSTING FOR DEMOGRAPHICS, SOCIOECONOMIC STATUS AND ORAL
HEALTH BEHAVIOURS. THE AFOREMENTIONED RELATIONSHIP WAS NOT FOUND IN FEMALES.
FURTHERMORE, DIFFERENT RELATIONSHIPS OF MODERATE-SEVERE PERIODONTITIS WITH BODY
MASS INDEX AND THE USE OF MOUTHWASH WERE FOUND BETWEEN THE MALES AND FEMALES.
CONCLUSIONS: THE CURRENT FINDINGS SUGGEST THAT IMPORTANT IMPROVEMENTS IN THE
DEVELOPMENT OF GENDER-SPECIFIC STRATEGIES IN PREVENTION, SUCH AS ORAL HOME-CARE,
TO REDUCE THE HIGH PREVALENCE OF PERIODONTAL DISEASE AND MAINTAIN GOOD ORAL
HEALTH ARE VITAL, AND ARE ESPECIALLY IMPORTANT FOR MALE DIABETIC PATIENTS AND
THOSE WHO ARE AT HIGH RISK OF DEVELOPING DIABETES, SUCH AS THOSE WHO ARE OBESE.
PMID- 29786142
TI - The bone marrow is not only a primary lymphoid organ: The critical role for T
lymphocyte migration and housing of long-term memory plasma cells.
AB - In immunology and anatomy textbooks the bone marrow is described as a typical
"primary lymphoid organ" producing lymphoid cells independent of antigens. The
hematopoietic bone marrow is largely age-dependent organ with great anatomical
and functional differences among various species. There are estimates that about
12% of all lymphoid cells in the human body are found in the bone marrow at any
given time (2% in the peripheral blood). Enormous numbers of T lymphocytes
migrate to the bone marrow and partly return later to the blood. Many of these
lymphocytes are memory CD4+ and CD8+ T cells. A few days after immunization a
wave of plasma cells and their precursors migrate to the bone marrow where they
lose their migratory response to CXCL-12 and CXCL9. There is a relative
enrichment of CD19+ B cells in the bone marrow outnumbering those in the blood
and secondary lymphoid organs. This is not due to local production. The
proliferation and migration kinetics of these lymphoid cells in the bone marrow
have to be studied in more detail as this is of major clinical relevance.
PMID- 29786141
TI - An inhibitory antibody targeting carbonic anhydrase XII abrogates chemoresistance
and significantly reduces lung metastases in an orthotopic breast cancer model in
vivo.
AB - Carbonic anhydrase XII (CAXII) is a membrane-tethered ectoenzyme involved in
intracellular pH regulation and overexpressed across various types of human
cancer. Because CAXII inhibition shows antitumor activity in vitro, it is thought
that the enzyme is mandatory for maximum tumor growth, above all under hypoxic
conditions. Recently, it has been shown that CAXII is co-expressed along with the
P-glycoprotein (P-GP) on many tumor cells and that both proteins physically
interact. Of interest, blocking CAXII activity also decreases P-GP activity in
cancer cells both in vitro and in vivo. Previously, we have reported on the
development of a monoclonal antibody, termed 6A10, which specifically and
efficiently blocks human CAXII activity. Here, we demonstrate that 6A10 also
indirectly reduces P-GP activity in CAXII/P-GP double-positive chemoresistant
cancer cells, resulting in enhanced chemosensitivity as revealed by enhanced
accumulation of anthracyclines and increased cell death in vitro. Even more
important, we show that mice carrying human triple-negative breast cancer
xenografts co-treated with doxorubicin (DOX) and 6A10 show a significantly
reduced number of metastases. Collectively, our data provide evidence that the
inhibition of CAXII with 6A10 is an attractive way to reduce chemoresistance of
cancer cells and to interfere with the metastatic process in a clinical setting.
PMID- 29786143
TI - Impact of commensal flora on periodontal immune response to lipopolysaccharide.
AB - BACKGROUND: Commensal flora are involved in the appropriate development of the
mature immune system. However, it is unclear how commensal flora contribute to
immune responses against periodontal pathogens, including the response to
lipopolysaccharide (LPS). The purpose of this study was to evaluate the
expression of immune responses after topical application of LPS in germ-free (GF)
and specific-pathogen-free (SPF) mice. METHODS: GF and SPF mice at 8 weeks of age
were randomly divided into four groups each: a baseline group (n = 4/group) and
three experimental groups (n = 6/group). Experimental groups received topical
application of Porphyromonas gingivalis LPS (10 MUg/MUL) into the palatal
gingival sulcus. Sampling was performed before LPS application (baseline) and at
3, 24, or 72 hours after LPS application. The numbers of neutrophils, CD4+ , and
CD8+ T cells in periodontal tissue were evaluated by immunohistochemistry.
Expression of genes encoding cytokines, chemokines, and a transcription factor
was determined by real-time PCR. RESULTS: SPF mice, but not GF mice, showed an
increased number of CD4+ T cells in the periodontal tissue at 3 hours after LPS
application, compared with the number at baseline (p < 0.05). Gene expressions of
tumor necrosis factor-alpha (Tnf-alpha) and forkhead box protein p3 (Foxp3) was
also significantly higher in the SPF mice than in the GF mice at 3 hours after
LPS application (p < 0.05). The number of neutrophils peaked at 24 hours in both
GF and SPF mice. CONCLUSIONS: LPS-exposed SPF mice exhibited increases in the
number of CD4+ T cells and in Tnf-alpha and Foxp3 gene expression in periodontal
tissue compared with LPS-exposed GF mice.
PMID- 29786144
TI - Self-initiated use of topical cannabidiol oil for epidermolysis bullosa.
AB - Epidermolysis bullosa is a rare blistering skin disorder that is challenging to
manage because skin fragility and repeated wound healing cause itching, pain,
limited mobility, and recurrent infections. Cannabidiol, an active cannabinoid
found in cannabis, is postulated to have antiinflammatory and analgesic effects.
We report 3 cases of self-initiated topical cannabidiol use in patients with
epidermolysis bullosa in an observational study. One patient was weaned
completely off oral opioid analgesics. All 3 reported faster wound healing, less
blistering, and amelioration of pain with cannabidiol use. Although these results
demonstrate promise, further randomized, double-blind clinical trials are
necessary to provide scientific evidence of our observed benefits of cannabidiol
for the treatment of epidermolysis bullosa.
PMID- 29786145
TI - Pilot study demonstrates that placental histology can provide an additional tool
for diagnosing early-onset neonatal sepsis.
AB - AIM: We explored whether placental histology could help to diagnose early-onset
neonatal sepsis (EONS), guide clinical decision-making 48 hours after birth and
reduce antibiotic use. METHODS: This study comprised 109 infants born at less
than 32 weeks of gestation, who were admitted to the neonatal intensive care unit
of Isala, Zwolle, The Netherlands, between January 2013 and December 2013. EONS
was defined as clinical symptoms plus raised serial C-reactive protein (CRP) >10
mg/L and a positive (proven EONS) or a negative (suspected EONS) blood culture.
Placentas were studied for a histological inflammatory response and scored
according to Redline's criteria. RESULTS: A histological inflammatory response
was seen in 15/88 (17%) placentas and this occurred significantly more often in
infants with a high suspicion of EONS (p < 0.05). No histological inflammatory
response was seen if maternal risk factors for EONS were absent, despite a raised
CRP level. Based on placental histology, the duration of antibiotic therapy was
reduced from more than five days to 48 hours in 20/27 infants (74%). CONCLUSION:
Histological examination of the placenta helped to diagnose EONS and guide
clinical decision-making 48 hours after birth and led to a clinically relevant
reduction in antibiotic use.
PMID- 29786146
TI - Awareness of and phobias about topical corticosteroids in parents of infants with
eczema in Hangzhou, China.
AB - BACKGROUND/OBJECTIVES: Knowledge of and phobias about topical corticosteroids in
parents of infants with eczema affect efficacy of eczema treatment. METHODS: We
surveyed 300 parents of children with eczema using a questionnaire to investigate
knowledge of and phobias about topical corticosteroids. RESULTS: Overall, 85.7%
(n = 257) of children had used topical corticosteroids, with 12.8% (n = 33) of
children having steroids applied for only 1-3 days per episode; 79.4% (n = 204)
of patients discontinued topical steroid therapy immediately after improvement.
Twenty-five percent (n = 75) of parents refused a prescription of steroid
ointment, and 32.3% (n = 97) did not use the steroid ointment on their children
despite having received a prescription. During steroid treatment, 95.7% (246) of
parents were very concerned about the side effects of topical steroids. One
hundred eight parents (42%) did not use a topical steroid ointment for recurrence
of eczema because of concerns about using topical corticosteroids. CONCLUSION:
Most parents of infants with eczema had excessive concerns about topical
corticosteroids, leading to inadequate treatment of atopic dermatitis. In
clinical practice, physicians should reinforce parents' understanding of steroid
treatment for eczema and guide rational use of topical steroids for infantile
eczema.
PMID- 29786147
TI - Timing and frequency of sublethal exposure modifies the induction and retention
of increased insecticide tolerance in wood frogs (Lithobates sylvaticus).
AB - Although the paradigm for increased tolerance to pesticides has been by selection
on constitutive (naive) traits, recent research has shown it can also occur
through phenotypic plasticity. However, the time period in which induction can
occur, the duration of induced tolerance, and the influence of multiple induction
events remain unknown. We hypothesized that the induction of increased pesticide
tolerance is limited to early sensitive periods, the magnitude of induced
tolerance depends on the number of exposures, and the retention of induced
tolerance depends on the time elapsed after an exposure and the number of
exposures. To test these hypotheses, we exposed wood frog tadpoles to either a no
carbaryl control (water) or 0.5 mg/L carbaryl at 4 time periods, and later tested
their tolerance to carbaryl using time-to-death assays. We discovered that
tadpoles induced increased tolerance early and midway but not late in our
experiment and their constitutive tolerance increased with age. We found no
difference in the magnitude of induced tolerance after a single or 2 exposures.
Finally, induced pesticide tolerance was reversed within 6 d but was retained
only when tadpoles experienced all 4 consecutive exposures. Phenotypic plasticity
provides an immediate response for sensitive amphibian larvae to early pesticide
exposures and reduces phenotypic mismatches in aquatic environments contaminated
by agrochemicals. Environ Toxicol Chem 2018;37:2188-2197. (c) 2018 SETAC.
PMID- 29786148
TI - Ecotoxicological Assessment of Immersion Samples from Facade Render Containing
Free or Encapsulated Biocides.
AB - To protect house facades from fouling by microorganisms, biocides can be added to
a render or paint before it is applied. During driving rain events, these
biocides gradually leach out and have the potential to pollute soil or aquatic
ecosystems. We studied the leaching behavior of biocides and toxicity of
leachates from renders with either free or encapsulated biocides. Both render
types contained equal amounts of terbutryn, 2-octyl-3(2H)-isothiazolinone (OIT),
and 4,5-dichloro-2-n-octyl-4-isothiazolino-3-one (DCOIT). Nine leachate samples
were generated over 9 immersion cycles according to a European standard, and
biocides were quantified. The first and ninth leachate samples were tested using
bioassays with algae, bacteria, and water fleas, the first sample was also tested
with earthworms and springtails. Encapsulation reduced leaching of terbutryn,
OIT, and DCOIT by 4-, 17-, and 27-fold. For aquatic organisms, the toxicity of
water from render containing encapsulated biocides was always lower than that of
render with free biocides. Furthermore, toxicity decreased by 4- to 5-fold over
the 9 immersion cycles. Inhibition of photosynthesis was the most sensitive
endpoint, followed by algal growth rate, bacterial bioluminescence, and water
flea reproduction. Toxicity to algae was due to terbutryn and toxicity to
bacteria was due to OIT. None of the samples affected soil organisms. Results
demonstrate that combining standardized leaching tests with standardized
bioassays is a promising approach to evaluate the ecotoxicity of biocides that
leach from facade renders. Environ Toxicol Chem 2018;37:2246-2256. (c) 2018
SETAC.
PMID- 29786149
TI - National survey found that managing childhood nephrotic syndrome in Nigeria
varied widely and did not comply with the best evidence.
AB - AIM: This study explored any variations in managing childhood nephrotic syndrome
between specialist centres in Nigeria and how closely the care reflected the best
available evidence. METHODS: In 2016, the heads of Nigerian paediatric nephrology
units were asked to complete a study questionnaire that focused on managing
nephrotic syndrome. RESULTS: Of the 31 clinicians we approached, 81% returned the
completed questionnaire. The majority (64%) had received paediatric nephrology
training and 40% had practised for at least 10 years. We found that 60%
prescribed an initial daily prednisolone for four weeks before reducing the dose
and 32% prescribed it for six weeks. However, more marked variations were
observed with the total steroid duration for new-onset nephrotic syndrome, with
16%, 44% and 40% prescribing prednisolone for 8, 12 and at least 16 weeks,
respectively. Similarly, 56% prescribed prednisolone for less than eight weeks
before diagnosing steroid-resistant nephrotic syndrome (SRNS) and 12% rarely
requested a kidney biopsy for SRNS. In addition, 32% of the respondents preferred
cyclophosphamide to calcineurin inhibitors for SRNS. CONCLUSION: There were
significant variations in the management of childhood nephrotic syndrome in
Nigeria and the diagnosis and treatment of SRNS differed substantially from the
best available evidence.
PMID- 29786150
TI - Stem cells, blood vessels, and angiogenesis as major determinants for
musculoskeletal tissue repair.
AB - This manuscript summarizes 20 years of research from my laboratories at the
University of Pittsburgh and more recently, at the University of Texas Health
Science Center at Houston and the Steadman Philippon Research Institute in Vail,
Colorado. The discovery of muscle-derived stem cells (MDSCs) did not arise from a
deliberate search to find a novel population of muscle cells with high
regenerative potential, but instead was conceived in response to setbacks
encountered while working in muscle cell transplantation for Duchenne muscular
dystrophy (DMD). DMD is a devastating inherited X-linked muscle disease
characterized by progressive muscle weakness due to lack of dystrophin expression
in muscle fiber sarcolemma. Although the transplantation of normal myoblasts into
dystrophin-deficient muscle can restore dystrophin, this approach has been
hindered by limited survival (less than 1%) of the injected cells. The fact that
99% of the cells were not surviving implantation was seen as a major weakness
with this technology by most. My research team decided to investigate which cells
represent the 1% of the cells surviving post-implantation. We have subsequently
confirmed that the few cells which exhibit high survival post-implantation also
display stem cell characteristics, and were termed "muscle-derived stem cells" or
MDSCs. Herein, I will describe the origin of these MDSCs, the mechanisms of MDSC
action during tissue repair, and finally the development of therapeutic
strategies to improve regeneration and repair of musculoskeletal tissues. (c)
2018 Orthopaedic Research Society. Published by Wiley Periodicals, Inc. J Orthop
Res 9999:1-9, 2018.
PMID- 29786151
TI - Time dependent loss of trabecular bone in human tibial plateau fractures.
AB - We investigated if time between injury and surgery affects cancellous bone
properties in patients suffering tibial plateau fractures (TPF), in terms of
structural integrity and gene expression controlling bone loss. A cohort of 29
TPF, operated 1-17 days post-injury, had biopsies from the fracture and an
equivalent contralateral limb site, at surgery. Samples were assessed using micro
computed tomography and real-time RT-PCR analysis for the expression of genes
known to be involved in bone remodeling and fracture healing. Significant
decreases in the injured vs control side were observed for bone volume fraction
(BV/TV, -13.5 +/- 6.0%, p = 0.011), trabecular number (Tb.N, -10.5 +/- 5.9%, p =
0.041) and trabecular thickness (Tb.Th, -4.6 +/- 2.5%, p = 0.033). Changes in
these parameters were more evident in patients operated 5-17 days post-injury,
compared to those operated in the first 4 days post-injury. A significant
negative association was found between Tb.Th (r = -0.54, p < 0.01) and BV/TV (r =
-0.39, p < 0.05) in relation to time post-injury in the injured limb. Both BV/TV
and Tb.Th were negatively associated with expression of key molecular markers of
bone resorption, CTSK, ACP5, and the ratio of RANKL:OPG mRNA. These
structure/gene expression relationships did not exist in the contralateral tibial
plateau of these patients. This study demonstrated that there is a significant
early time-dependent bone loss in the proximal tibia after TPF. This bone loss
was significantly associated with altered expression of genes typically involved
in the process of osteoclastic bone resorption but possibly also bone resorption
by osteocytes. The mechanism of early bone loss in such fractures should be a
subject of further investigation. (c) 2018 Orthopaedic Research Society.
Published by Wiley Periodicals, Inc. J Orthop Res.
PMID- 29786152
TI - Ureteral endometriosis: Correlation between ultrasonography and laparoscopy.
AB - Ultrasound for deep infiltrating endometriosis was shown to be at least as
accurate as magnetic resonance although the largest efforts aimed at evaluating
the posterior pelvic compartment1 . The lateral pelvic compartment is less
studied although endometriosis of the broad ligaments represents the most
frequent site where endometriosis lies in advanced stages2 . This article is
protected by copyright. All rights reserved.
PMID- 29786153
TI - Vasa previa screening strategies: decision and cost-effectiveness analysis.
AB - OBJECTIVE: To perform a decision and cost-effectiveness analysis comparing four
screening strategies for the antenatal diagnosis of vasa previa in singleton
pregnancies. METHODS: A decision-analytic model was constructed comparing vasa
previa screening strategies. Published probabilities and costs were applied to
four transvaginal screening scenarios that were carried out at the time of mid
trimester ultrasound: no screening, ultrasound-indicated screening, screening
only pregnancies conceived by in-vitro fertilization (IVF) and universal
screening. Ultrasound-indicated screening was defined as performing transvaginal
ultrasound at the time of the routine anatomy ultrasound scan in response to one
of the following sonographic findings associated with an increased risk of vasa
previa: low-lying placenta, marginal or velamentous cord insertion or bilobed or
succenturiate lobed placenta. The primary outcome was cost per quality-adjusted
life year (QALY) in US$. The analysis was performed from a healthcare system
perspective with a willingness-to-pay threshold of $100 000 per QALY selected.
One-way and multivariate sensitivity analysis (Monte-Carlo simulation) was
performed. RESULTS: This decision-analytic model demonstrated that screening
pregnancies conceived by IVF was the most cost-effective strategy, with an
incremental cost effectiveness ratio (ICER) of $29186.50/QALY. Ultrasound
indicated screening was the second most cost-effective, with an ICER of
$56096.77/QALY. These data were robust to all one-way and multivariate
sensitivity analyses performed. CONCLUSIONS: Within the baseline assumptions,
transvaginal ultrasound screening for vasa previa appears to be most cost
effective when performed among IVF pregnancies. However, both IVF and ultrasound
indicated screening strategies fall within contemporary willingness-to-pay
thresholds, suggesting that both strategies may be appropriate to apply in
clinical practice. Copyright (c) 2018 ISUOG. Published by John Wiley & Sons Ltd.
PMID- 29786154
TI - Topical polyhydroxy acid treatment for autosomal recessive congenital ichthyosis
in the golden retriever: a prospective pilot study.
AB - BACKGROUND: Autosomal recessive congenital ichthyosis (ARCI) in golden retrievers
is due to a PNPLA1 gene mutation, which plays a role in epidermal lipid
organization and metabolism. Topical therapies are used to reduce scaling;
however, there are few published efficacy studies. OBJECTIVES: To examine the
efficacy of topical treatment based on gluconolactone, a polyhydroxy acid with
known beneficial effects on stratum corneum structure. ANIMALS: Sixteen golden
retriever dogs with clinical signs of ARCI and PCR-confirmed PNPLA1 gene
mutation. METHODS: This was a prospective, multicentre, noncontrolled study. Dogs
were treated with a shampoo and lotion containing gluconolactone and other
hydroxyl acids. Treatments were administered initially twice weekly for two
weeks, then once weekly for two weeks and finally once monthly. Examinations were
performed prior to and at 14 and 30 days of treatment to assess scaling, presence
of other skin lesions and pruritus. In two dogs, pre- and 30 day post-treatment,
skin biopsies were obtained. RESULTS: The extent and size of the scales were
reduced by 60% and 75% after 14 and 30 days of treatment, respectively (P <
0.001). In 20% of the dogs, scaling was no longer observed after the first 30
days of treatment. No other skin lesions or pruritus were observed in any dog.
Post-treatment biopsies showed normalization of the stratum corneum morphology
and reduced hyperpigmentation. CONCLUSION AND CLINICAL IMPORTANCE: The frequent
use of a shampoo and lotion containing gluconolactone may be an effective measure
to improve skin scaling in golden retrievers with ARCI.
PMID- 29786155
TI - Is home blood-pressure monitoring in hypertensive disorders of pregnancy
consistent with clinic recordings?
AB - OBJECTIVE: To assess the agreement between home blood-pressure monitoring (HBPM)
and blood-pressure measurements in a clinic setting, in a cohort of pregnant
women with hypertensive disorders of pregnancy (HDP). METHODS: This was a cohort
study of 147 pregnant women with HDP conducted at St George's Hospital,
University of London, London, UK, between 2016 and 2017. Inclusion criteria were
chronic hypertension, gestational hypertension or high risk of developing pre
eclampsia, no significant proteinuria and no hematological or biochemical
abnormalities. Each included patient was prescribed a personalized schedule of
hospital visits and blood-pressure measurements, according to their individual
risk as per UK National Institute for Health and Care Excellence guidelines. The
blood-pressure measurement at the clinic and the HBPM reading obtained closest to
that hospital visit were paired for analysis. Only one pair of measurements was
used per patient. Differences between home and clinic blood-pressure measurements
were tested using the Wilcoxon signed rank test or paired t-test, and were also
assessed visually using Bland-Altman plots. Comparison of the binary outcomes was
performed using McNemar's chi-square test. Subgroup analysis was performed in the
following gestational-age windows: < 14 weeks, 15-22 weeks, 23-32 weeks and 33-42
weeks' gestation. RESULTS: A total of 294 blood-pressure measurements from 147
women were included in the analysis. Median systolic HBPM measurements were
significantly lower than clinic measurements (132.0 (interquartile range (IQR),
123.0-140.0) mmHg vs 138.0 (IQR, 132.0-146.5) mmHg; P < 0.001). When stratified
according to gestational age, systolic blood-pressure measurements obtained at
home were significantly lower than those at clinic in all gestational-age periods
except 23-32 weeks' gestation (P = 0.057). Median diastolic blood-pressure
measurements at home were also significantly lower than those at clinic (85.0
(IQR, 77.0-90.0) mmHg vs 89.0 (IQR, 82.0-94.0) mmHg; P < 0.001). When stratified
according to gestational age, diastolic HBPM measurements were significantly
lower in the periods 5-14 weeks (P < 0.001), 15-22 weeks (P = 0.008) and 33-42
weeks (P < 0.001), compared with clinic measurements. The incidence of clinically
significant systolic and diastolic hypertension based on clinic blood-pressure
measurements was four to five times higher than that based on HBPM measurements
(P < 0.001 and P = 0.005, respectively). CONCLUSIONS: Our study shows that, in
women with HDP, blood pressure measured at home is lower than that measured in a
clinic setting. This is consistent with observations in non-pregnant adults, in
whom home and ambulatory monitoring of hypertensive patients is recommended. As
such, HBPM has the potential to reduce the number of false-positive diagnoses of
severe hypertension and unnecessary medical interventions in women with HDP. This
must be carefully weighed against the risk of missing true-positive diagnoses.
Prospective studies investigating the use of HBPM in pregnant women are urgently
needed to determine the relevant blood-pressure thresholds for HBPM, and interval
and frequency of monitoring. Copyright (c) 2018 ISUOG. Published by John Wiley &
Sons Ltd.
PMID- 29786156
TI - SMAD2 as a risk locus for human left atrial isomerism detected by mother-fetus
pair exome sequencing and image studies including fetal ultrasound.
AB - Left atrial isomerism (LAI) is one of the two subdivisions of heterotaxy syndrome
(also called situs ambiguous). It is caused by disruption of the normal left
right (LR) distribution of the thoracoabdominal organs during the embryonic
stage, resulting in bilateral left-sided morphology of the atrial appendages of
the heart without the right-sided structures. This article is protected by
copyright. All rights reserved.
PMID- 29786157
TI - The antioxidants neopterin/7,8-dihydroneopterin: Novel biomarker and muscle
protectant in Duchenne muscular dystrophy.
PMID- 29786158
TI - Observational studies investigating hip fracture risk: a fundamental
methodological issue?
PMID- 29786159
TI - Gestational dyslipidaemia and adverse birthweight outcomes: a systematic review
and meta-analysis.
AB - BACKGROUND: Low and high birthweight is known to increase the risk of acute and
longer-term adverse outcomes, such as stillbirth, infant mortality, obesity, type
2 diabetes and cardiovascular diseases. Gestational dyslipidaemia is associated
with a numbers of adverse birth outcomes, but evidence regarding birthweight is
still inconsistent to reliably inform clinical practice and treatment
recommendations. OBJECTIVE: The aim of this study was to explore the relationship
between maternal gestational dyslipidaemia and neonatal health outcomes, namely,
birthweight, metabolic factors and inflammatory parameters. METHODS: We searched
systematically Embase, MEDLINE, PubMed, CINAHL Plus and Cochrane Library up to 1
August 2016 (with an updated search in MEDLINE at the end of July 2017) for
longitudinal studies that assessed the association of maternal lipid levels
during pregnancy with neonatal birthweight, or metabolic and inflammatory
parameters up to 3 years old. RESULTS: Data from 46 publications including 31,402
pregnancies suggest that maternal high triglycerides and low high-density
lipoprotein cholesterol levels throughout pregnancy are associated with increased
birthweight, higher risk of large for gestational age and macrosomia and lower
risk of small-for-gestational age. The findings were consistent across the
studied populations, but stronger associations were observed in women who were
overweight or obese prior to pregnancy. CONCLUSIONS: This meta-analysis suggested
that the potential under-recognized adverse effects of intrauterine exposure to
maternal dyslipidaemia may warrant further investigation into the relationship
between maternal dyslipidaemia and birthweight in large prospective cohorts or in
randomized trials.
PMID- 29786160
TI - Aberrant learning in Parkinson's disease: A neurocomputational study on
bradykinesia.
AB - Parkinson's disease (PD) is a neurodegenerative disorder characterized by a
progressive decline in motor functions, such as bradykinesia, caused by the
pathological denervation of nigrostriatal dopaminergic neurons within the basal
ganglia (BG). It is acknowledged that dopamine (DA) directly affects the
modulatory role of BG towards the cortex. However, a growing body of literature
is suggesting that DA-induced aberrant synaptic plasticity could play a role in
the core symptoms of PD, thus recalling for a "reconceptualization" of the
pathophysiology. The aim of this work was to investigate DA-driven aberrant
learning as a concurrent cause of bradykinesia, using a comprehensive,
biologically inspired neurocomputational model of action selection in the BG. The
model includes the three main pathways operating in the BG circuitry, that is the
direct, indirect and hyperdirect pathways, and use a two-term Hebb rule to train
synapses in the striatum, based on previous history of rewards and punishments.
Levodopa pharmacodynamics is also incorporated. Through model simulations of the
Alternate Finger Tapping motor task, we assessed the role of aberrant learning on
bradykinesia. The results show that training under drug medication (levodopa)
provides not only immediate but also delayed benefit lasting in time. Conversely,
if performed in conditions of vanishing levodopa efficacy, training may result in
dysfunctional corticostriatal synaptic plasticity, further worsening motor
performances in PD subjects. This suggests that bradykinesia may result from the
concurrent effects of low DA levels and dysfunctional plasticity and that
training can be exploited in medicated subjects to improve levodopa treatment.
PMID- 29786161
TI - Evidence for horizontal gene transfer and separation of effector recognition from
effector function revealed by analysis of effector genes shared between cape
gooseberry- and tomato-infecting formae speciales of Fusarium oxysporum.
AB - RNA sequencing (RNAseq) reads from cape gooseberry plants (Physalis peruviana)
infected with Fusarium oxysporumf. sp. physali (Foph) were mapped against the
lineage-specific transcriptome of Fusarium oxysporumf. sp. lycopersici (Fol) to
look for putative effector genes. Homologues of Fol SIX1(designated SIX1a and
SIX1b), SIX7, SIX10, SIX12, SIX15 and Ave1were identified. The near identity of
the Foph and Fol SIX7, SIX10 and SIX12genes and their intergenic regions suggest
that this gene cluster may have undergone recent lateral transfer. Foph SIX1a and
SIX1bwere tested for their ability to complement a SIX1 knockout mutant of Fol.
This mutant shows reduced pathogenicity on susceptible tomato plants, but is able
to infect otherwise resistant tomato plants carrying the I-3 gene for Fusarium
wilt resistance (SIX1 corresponds to Avr3). Neither SIX1a nor SIX1b could restore
full pathogenicity on susceptible tomato plants, suggesting that any role they
may play in pathogenicity is likely to be specific to cape gooseberry. SIX1b, but
not SIX1a, was able to restore avirulence on tomato plants carrying I-3.These
findings separate the recognition of SIX1 from its role as an effector and
suggest direct recognition by I-3. A hypervariable region of SIX1undergoing
diversifying selection within the F. oxysporum species complex is likely to play
an important role in SIX1 recognition. These findings also indicate that I-3could
potentially be deployed as a transgene in cape gooseberry to protect this
emerging crop from Foph.Alternatively, cape gooseberry germplasm could be
explored for I-3homologues capable of providing resistance to Foph.
PMID- 29786162
TI - Hospitals and the generic versus brand-name prescription decision in the
outpatient sector.
AB - Health care payers try to reduce costs by promoting the use of cheaper generic
drugs. We show strong interrelations in drug prescriptions between the inpatient
and outpatient sectors by using a large administrative dataset from Austria.
Patients with prior hospital visits have a significantly lower probability of
receiving a generic drug in the outpatient sector. The size of the effect depends
on both the patient and doctor characteristics, which could be related to the
differences in hospital treatment and heterogeneity in the physicians' adherence
to hospital choices. Our results suggest that hospital decisions create spillover
costs in health care systems with separate funding for inpatient and outpatient
care.
PMID- 29786163
TI - Embryonal tumour of posterior cerebral fossa false-negative diagnosis by fetal MR
related to misinterpretation of decreased apparent diffusion coefficient.
AB - We report a case of misinterpretation of decreased apparent diffusion coefficient
(ADC) on diffusion-weighted fetal MR, interpreted as a vascular clastic lesion of
the posterior fossa, which turned to be caused by an embryonic hypercellular
tumour. This article is protected by copyright. All rights reserved.
PMID- 29786164
TI - Air Activated Self-Decontaminating Polydicyclopentadiene PolyHIPE Foams for Rapid
Decontamination of Chemical Warfare Agents.
AB - The threat of chemical warfare agents (CWA) compels research into novel self
decontaminating materials (SDM) for the continued safety of first-responders,
civilians, and active service personnel. The capacity to actively detoxify, as
opposed to merely sequester, offending agents under typical environmental
conditions defines the added value of SDMs in comparison to traditional
adsorptive materials. Porous polymers, synthesized via the high internal phase
emulsion (HIPE) templating, provide a facile fabrication method for materials
with permeable open cellular structures that may serve in air filtration
applications. PolyHIPEs comprising polydicyclopentadiene (polyDCPD) networks form
stable hydroperoxide species following activation in air under ambient
conditions. The hydroperoxide-containing polyDCPD materials react quickly with
CWA simulants, Demeton-S and 2-chloroethyl ethyl sulfide, forming oxidation
products as confirmed via gas chromatography mass spectrometry. The simplicity of
the detoxification chemistry paired with the porous foam form factor presents an
exciting opportunity for the development of self-decontaminating filter media.
PMID- 29786166
TI - A label-free fluorescence method for detection of ureC gene and diagnosis of
Helicobacter pylori infection.
AB - The feasibility of using a polymerase chain reaction (PCR)-based label-free DNA
sensor for the detection of Helicobacter pylori is investigated. In particular,
H. pylori ureC gene, a specific H. pylori nucleic acid sequence, was selected as
the target sequence. In the presence of ureC gene, the target DNA could be
amplified to dsDNA with much higher detectable levels. After added the SYBR green
I (SGI), the sensing system could show high fluorescence. Thus, the target DNA
can be detected by monitoring the change of fluorescence intensity of sensing
system. The clinical performance of this method was determined by comparing it
with another conventional technique urea breath test (UBT). The result also
showed good distinguishing ability between negative and positive patient, which
was in good agreement with that obtained by the UBT. It suggests that the label
free fluorescence-based method is more suitable for infection confirmation test
of H. pylori. This approach offers great potential for simple, sensitive and cost
effective identification of H. pylori infection.
PMID- 29786165
TI - Comparative study of 1-year clinical and radiological outcomes using robot
assisted pedicle screw fixation and freehand technique in posterior lumbar
interbody fusion: A prospective, randomized controlled trial.
AB - BACKGROUND: The purpose of this study was to compare the clinical outcome of
surgery using robot-assisted posterior interbody fusion with that using freehand
posterior interbody fusion in patients with degenerative spinal disease. METHODS:
78 participants with degenerative spinal disease were randomly allocated (1:1) to
the minimally invasive (MIS), posterior lumbar interbody fusion (Robot-PLIF) or
conventional, freehand, open-approach, posterior lumbar interbody fusion
(Freehand-PLIF). RESULTS: The baseline-adjusted scores on the Oswestry Disability
Index (ODI) in both groups at 1 year after surgery were not different (P =
0.688). However, the decrease in disc height at the proximal adjacent segment was
significantly less in the Robot-PLIF group than in the Freehand-PLIF group (P =
0.039). CONCLUSION: One-year surgical outcomes including Visual Analog Scale, ODI
and Short Form-36 did not differ between the two groups. The disc height in the
proximal adjacent segment was significantly less decreased in the Robot-PLIF
group than in the Freehand-PLIF group.
PMID- 29786167
TI - Neuroplasticity in stroke recovery. The role of microglia in engaging and
modifying synapses and networks.
AB - Neuroplasticity after ischaemic injury involves both spontaneous rewiring of
neural networks and circuits as well as functional responses in neurogenic
niches. These events involve complex interactions with activated microglia, which
evolve in a dynamic manner over time. Although the exact mechanisms underlying
these interactions remain poorly understood, increasing experimental evidence
suggests a determining role of pro- and anti-inflammatory microglial activation
profiles in shaping both synaptogenesis and neurogenesis. While the inflammatory
response of microglia was thought to be detrimental, a more complex profile of
the role of microglia in tissue remodelling is emerging. Experimental evidence
suggests that microglia in response to injury can rapidly modify neuronal
activity and modulate synaptic function, as well as be beneficial for the
proliferation and integration of neural progenitor cells (NPCs) from endogenous
neurogenic niches into functional networks thereby supporting stroke recovery.
The manner in which microglia contribute towards sculpting neural synapses and
networks, both in terms of activity-dependent and homeostatic plasticity,
suggests that microglia-mediated pro- and/or anti-inflammatory activity may
significantly contribute towards spontaneous neuronal plasticity after ischaemic
lesions. In this review, we first introduce some of the key cellular and
molecular mechanisms underlying neuroplasticity in stroke and then proceed to
discuss the crosstalk between microglia and endogenous neuroplasticity in
response to brain ischaemia with special focus on the engagement of synapses and
neural networks and their implications for grey matter integrity and function in
stroke repair.
PMID- 29786168
TI - Variation in electrode redox potential selects for different microorganisms under
cathodic current flow from electrodes in marine sediments.
AB - Extracellular electron transport (EET) is a microbial process that allows
microorganisms to transport electrons to and from insoluble substrates outside of
the cell. Although progress has been made in understanding how microbes transfer
electrons to insoluble substrates, the process of receiving electrons has largely
remained unexplored. We investigated redox potentials favourable for donating
electrons to dissolved and insoluble components in Catalina Harbor marine
sediment by combining electrochemical techniques with geochemistry and molecular
methods. Working electrodes buried in sediment microcosms were poised at seven
redox potentials between -300 and -750 mV versus Ag/AgCl using a three-electrode
system. In electrode biofilms recovered after 2-month incubations, overall
community diversity increased with more negative redox potentials. Abundances of
known EET-capable groups (e.g., Alteromonadales and Desulfuromonadales) varied
with redox potential. Motility and chemotaxis genes were found in greater
abundance in electrode communities, suggesting a possible selective advantage of
these pathways for colonization and utilization of the electrode. Our enrichments
demonstrated the validity of this approach in capturing groups known, as well as
novel groups (e.g., Campylobacterales) that perform EET. The diverse nature of
the enriched cathode communities suggest that insoluble substrate oxidation may
be a critical, although poorly described microbial metabolic process in marine
sediment.
PMID- 29786169
TI - Bangladeshi children with acute diarrhoea show faecal microbiomes with increased
Streptococcus abundance, irrespective of diarrhoea aetiology.
AB - We report streptococcal dysbiosis in acute diarrhoea irrespective of aetiology.
Compared with 20 healthy local controls, 71 Bangladeshi children hospitalized
with acute diarrhoea (AD) of viral, mixed viral/bacterial, bacterial and unknown
aetiology showed a significantly decreased bacterial diversity with loss of
pathways characteristic for the healthy distal colon microbiome (mannan
degradation, methylerythritol phosphate and thiamin biosynthesis), an increased
proportion of faecal streptococci belonging to the Streptococcus bovis and
Streptococcus salivarius species complexes, and an increased level of E. coli
associated virulence genes. No enteropathogens could be attributed to a subgroup
of patients. Elevated lytic coliphage DNA was detected in 2 out of 5 investigated
enteroaggregative E. coli (EAEC)-infected patients. Streptococcal outgrowth in AD
is discussed as a potential nutrient-driven consequence of glucose provided with
oral rehydration solution.
PMID- 29786170
TI - Immunopeptidomic Profiling of HLA-A2-Positive Triple Negative Breast Cancer
Identifies Potential Immunotherapy Target Antigens.
AB - The recent development in immune checkpoint inhibitors and chimeric antigen
receptor (CAR) T-cells in the treatment of cancer has not only demonstrated the
potency of utilizing T-cell reactivity for cancer therapy, but has also
highlighted the need for developing new approaches to discover targets suitable
for such novel therapeutics. Here we analyzed the immunopeptidomes of six HLA-A2
positive triple negative breast cancer (TNBC) samples by nano-ultra performance
liquid chromatography tandem mass spectrometry (nUPLC-MS2 ). Immunopeptidomic
profiling identified a total of 19 675 peptides from tumor and adjacent normal
tissue and 130 of the peptides were found to have higher abundance in tumor than
in normal tissues. To determine potential therapeutic target proteins, we
calculated the average tumor-associated cohort coverage (aTaCC) that represents
the percentage coverage of each protein in this cohort by peptides that had
higher tumoral abundance. Cofilin-1 (CFL-1), interleukin-32 (IL-32),
proliferating cell nuclear antigen (PCNA), syntenin-1 (SDCBP), and ribophorin-2
(RPN-2) were found to have the highest aTaCC scores. We propose that these
antigens could be evaluated further for their potential as targets in breast
cancer immunotherapy and the small cohort immunopeptidomics analysis technique
could be used in a wide spectrum of target discovery. Data are available via
ProteomeXchange with identifier PXD009738.
PMID- 29786171
TI - Root bacterial endophytes confer drought resistance and enhance expression and
activity of a vacuolar H+ -pumping pyrophosphatase in pepper plants.
AB - It has been previously shown that the transgenic overexpression of the plant root
vacuolar proton pumps H+ -ATPase (V-ATPase) and H+ -PPase (V-PPase) confer
tolerance to drought. Since plant-root endophytic bacteria can also promote
drought tolerance, we hypothesize that such promotion can be associated to the
enhancement of the host vacuolar proton pumps expression and activity. To test
this hypothesis, we selected two endophytic bacteria endowed with an array of in
vitro plant growth promoting traits. Their genome sequences confirmed the
presence of traits previously shown to confer drought resistance to plants, such
as the synthesis of nitric oxide and of organic volatile organic compounds. We
used the two strains on pepper (Capsicuum annuum L.) because of its high
sensitivity to drought. Under drought conditions, both strains stimulated a
larger root system and enhanced the leaves' photosynthetic activity. By testing
the expression and activity of the vacuolar proton pumps, H+ -ATPase (V-ATPase)
and H+ -PPase (V-PPase), we found that bacterial colonization enhanced V-PPase
only. We conclude that the enhanced expression and activity of V-PPase can be
favoured by the colonization of drought-tolerance-inducing bacterial endophytes.
PMID- 29786172
TI - Serial measurements of cardiac troponin I (cTnI) in dogs treated with
doxorubicin.
AB - The study aimed to evaluate whether cardiac troponin I (cTnI) and pulsed-wave
tissue Doppler imaging (TDI) measurements can detect cardiac changes during
doxorubicin therapy in dogs with various types of cancers compared to
conventional echocardiography. Serial measurements of cTnI and conventional and
pulsed-wave TDI echocardiography were performed in 12 dogs diagnosed with various
types of cancers at day 0, weeks 3, 6, 9, and 12 prior to each doxorubicin
injection. After treatment with doxorubicin, dogs had significantly increased
cTnI levels at week 9 (p = 0.027) and 12 (p = 0.027) compared to normal untreated
dogs. Dogs had increased cTnI levels during doxorubicin therapy (p = 0.004).
Percent left ventricular ejection fraction (LVEF) and fractional shortening (FS)
assessed by 2-dimensional and M-mode echocardiography significantly decreased at
weeks 9 and 12. Pulsed-wave TDI derived myocardial performance index (MPI)
increased significantly at weeks 9 and 12 compared to day 0 (p = 0.028 and 0.040,
respectively). In conclusion, dogs treated with doxorubicin had increased cTnI
levels. An increase in cTnI levels was detected before echocardiographic value
changes. Serum cTnI can be a sensitive marker for detection of cardiotoxicity in
dogs treated with doxorubicin.
PMID- 29786173
TI - Cryopreservation of stallion semen: laboratory assessment of sperm injuries after
cushioned centrifugation and freezing with conventional and alternative
directional freezing methods.
AB - Fresh 36 ejaculates of 13 stallions were split into two volumes, centrifuged with
and without cushion and frozen with Conventional and two prototype, Drum and
Directional, methods using 0.5 ml straws for the Conventional and Drum, and 2 ml
flat straws for both the Drum and Directional. Cushioned centrifugation increased
total motility (61.2 +/- 18.6% vs. 57.5 +/- 18.6%; P < 0.001) and mean velocity
(84.3 +/- 15.6% vs. 83.2 +/- 13.8%; P < 0.05) when compared to not cushioned
centrifugation, estimated after cooling the sperm at 40C for 90 min before
freezing. Cushioned centrifugation also increased (P < 0.001) spermatozoa with
polarized mitochondrial membranes (46.8 +/- 11.4% vs. 43.4 +/- 10.6%) and intact
plasmatic/acrosomal membranes (41.0 +/- 11.2% vs. 38.5 +/- 11.3%) of
frozen/thawed sperm, with respect to not cushioned centrifugation. However, no
effects of the centrifugation were evidenced for classical kinetic parameters.
Flat straws had negative effect for almost all the parameters analyzed at thawing
(T,) and after 3 hours' incubation at 370C (T1), while the Drum method with
Paillettes did not show appreciable affects. The variability among stallions was
relevant (5% to 69% variance for kinetics and membrane status), while the
variability among ejaculates was minor (9% to 28%). Factorial analysis identified
three relevant, factors with different informational content: Factor 1
represented by membranes status, Factor 2 by kinetics estimated at T0, and Factor
3 by kinetics estimated at T1. Cushioned centrifugation had some beneficial
effects for the membrane status of the frozen/thawed sperm, while the use of flat
straws needs to be improved.
PMID- 29786174
TI - Effect of beta-hydroxy-beta-methylbutyrate acid on meat performance traits and
selected indicators of humoral immunity in goats.
AB - The aim of this study was to determine the effect of beta-hydroxy-beta
methylbutyrate acid, on parameters of meat performance in goats as well as on
selected parameters of non-specific humoral defense. An experiment was performed
on 24 Alpine kids divided into two equal groups: I - control and II -
experimental. Over a period of 60 days, the animals were fed an HMB-supplemented
diet. The following meat performance parameters were determined: body weight,
daily gains, growth rate, the dimensions of musculus longissimus dorsi (m.l.d.)
sections and fat thickness over the loin "eye". Selected indicators of non
specific humoral immunity were determined in the blood serum of kids: lysozyme
activity, ceruloplasmin activity and gamma globulin content. It was found that
the kids administered HMB had a significantly higher body weight on days 30 and
60 of the experiment compared to the control group. The kids in this group also
had a significantly more favorable musculature development. Simultaneously, a
significant impact of HIMB on the examined immunological indices was found. The
significance of differences in relation to the control group was confirmed
statistically for lysozyme activity and ceruloplasmin activity on days 30 and 60,
while the content of gammaglobulins was confirmed statistically on days 15, 30
and 60 of the study. It was also found that the addition of HMB had a stimulating
impact on immunity and growth rate as well as on the development of muscles. It
is thus justified to administer HMB to early-weaned kids to enhance their rearing
parameters.
PMID- 29786175
TI - Regulation of p16 gene expression by histone H3 acetylation in canine lymphoid
tumor cell lines.
AB - In order to investigate whether suppression of the p16 gene is mediated by
histone H3 acetylation in 4 canine lymphoid tumor cell lines, the gene's
acetylation status was examined. In 2 canine lymphoid tumor cell lines with low
p16 mRNA expression (GL-1 and UL-1), the acetylation level was lower than that in
CL-1 cells with high p16 mRNA expression. The expression of the p16 gene in these
2 cell lines was markedly restored after culture in the presence of a histone
deacetylase inhibitors trichostatin A, indicating that p16 was inactivated by
hypoacetylation. Findings obtained this study will add new insights and lead to
the better understanding of the disease pathogenesis and future development of
epigenetic therapeutic strategies.
PMID- 29786176
TI - Does the routine handling affect the phenotype of disease model mice?
AB - The three different mouse handling methods, picking up by tails, tunnels, and
open hands were performed using the ICGN glomerulonephritis mouse and the
severity of symptoms was evaluated. The handling groups exhibited a tendency of
more severe symptoms than the non-handling control group. Female mice handled by
their tails showed significantly more severe symptoms than the control group. In
addition, we subjected the normal laboratory mice, C57BL/6 and BALB/c mice to
tail and tunnel handling to assess the stress conditions. The plasma
corticosterone level in the tail-handled mice was higher than that in control
mice. These results indicate that handling causes stress and may affect the
phenotype of disease model mice.
PMID- 29786179
TI - [Update 2017 of the KDIGO guidelines on Chronic Kidney Disease-Mineral and Bone
Disorder (CKD-MBD). What are the real changes?]
AB - Guidelines for the assessment, diagnosis and therapy of the alterations that
characterize the CKD-MBD are an important support in the clinical practice of the
nephrologist. Compared to the KDIGO guidelines published in 2009, the 2017 update
made changes on some topics on which there was previously no strong evidence both
in terms of diagnosis and therapy. The recommendations include the diagnosis of
bone anomalies in CKD-MBD and the treatment of mineral metabolism abnormalities
with particular regard to hyperphosphataemia, calcium levels, secondary
hyperparathyroidism and anti-resorptive therapies. The Italian Study Group on
Mineral Metabolism, in reviewing the 2017 recommendations, aimed to assess the
weight of the evidence that led to this update. In fact, on some topics there has
not been a substantial difference on the degree of evidence compared to the
previous guidelines. The Italian Study Group emphasizes the points that may still
reserve critical issues, including interpretation, and invites an evaluation that
is articulated and personalized for each patient.
PMID- 29786178
TI - Using Nassarius stolatus as a potential heavy metal biomonitor.
AB - Nassarius stolatus was evaluated as the potential heavy metal (Cd, Fe, Mn, Ni and
Pb) accumulator in Don Hoi Lot sandbar, Samut Songkhram province, Thailand. This
selected species belongs to the Gastropoda, which is widely distributed in the
coastal areas from the upper Gulf through the southern part of Thailand. From our
findings, the heavy metal accumulations in N. stolatus tissues were Fe > Pb > Mn
> Ni > Cd. The retrieved bioaccumulation factor (BAF) indicated that N. Stolatus
has high potential to be a biomonitor for the contaminations of Fe and Mn in
water and Cd, Ni and Pb in sediment.
PMID- 29786177
TI - Usefulness of an anesthetic mixture of medetomidine, midazolam, and butorphanol
in cotton rats (Sigmodn hispidus).
AB - Tne cotton rat (Sigmodon hispidus) is a laboratory rodent used for studying human
infectious diseases. However, a lack of suitable anesthetic agents inconveniences
the use of cotton rats in surgical manipulation. This study demonstrated that
subcutaneous injection of the mixture of medetomidine, midazolam, and butorphanol
(0.15, 2.0, and 2.5 mg/kg, respectively), which is a suitable anesthetic agents
for mice and rats, produced an anesthetic duration of more than 50 min in cotton
rats. We also demonstrated that 0.15 mg/kg of atipamezole, an antagonist of
medetomidine, produced a quick recovery from anesthesia in cotton rats. This
indicated that the anesthetic mixture of medetomidine, midazolam, and
butorphanol, functioned as a useful and effective anesthetic for short-term
surgery in cotton rats.
PMID- 29786180
TI - [Bartter syndrome, severe rare orphan kidney disease: a step towards therapy
through pharmacogenetic and epidemiological studies].
AB - Bartter syndromes (BS) types 1-5 are rare salt-losing tubulopathies presenting
with overlapping clinical phenotypes including marked salt wasting and
hypokalemia leading to polyuria, polydipsia, volume contraction, muscle weakness
and growth retardation. These diseases are due to an impairment of sodium,
potassium, chloride reabsorption caused by mutations in genes encoding for ion
channel or transporters expressed in specific nephron tubule segments.
Particularly, BS type 3 is a clinically heterogeneous form caused by mutations in
CLCNKB gene which encodes the ClC-Kb chloride channel involved in NaCl
reabsorption in the renal tubule. Specific therapy for BS is lacking and the only
pharmacotherapy up today available is purely symptomatic and characterized by
limiting side effects. The improvement of our understanding of the
phenotype/genotype correlation and of the precise pathogenic mechanisms
associated with BS type 3 as well as the pharmacological characterization of ClC
K chloride channels are fundamental to design therapies tailored upon patients'
mutation. This mini review focused on recent studies representing relevant
forward steps in the field as well as noteworthy examples of how basic and
clinical research can cooperate to gain insight into the pathophysiology of this
renal channelopathy, paving the way for a personalized therapy.
PMID- 29786181
TI - [New scenarios in secondary hyperparathyroidism: etelcalcetide. Position paper of
Nephrologists form Lombardy].
AB - Bone mineral abnormalities (defined as Chronic Kidney Disease Mineral Bone
Disorder; CKD-MBD) are prevalent and associated with a substantial risk burden
and poor prognosis in CKD population. Several lines of evidence support the
notion that a large proportion of patients receiving maintenance dialysis
experience a suboptimal biochemical control of CKD-MBD. Although no study has
ever demonstrated conclusively that CKD-MBD control is associated with improved
survival, an expanding therapeutic armamentarium is available to correct bone
mineral abnormalities. In this position paper of Lombardy Nephrologists, a
summary of the state of art of CKD-MBD as well as a summary of the unmet clinical
needs will be provided. Furthermore, this position paper will focus on the
potential and drawbacks of a new injectable calcimimetic, etelcalcetide, a drug
available in Italy since few months ago.
PMID- 29786183
TI - [Hyperkalemia as a limiting factor in the use of drugs that block the Renin
Angiotensin Aldosterone System (RAAS)].
AB - Angiotensin-converting enzyme (ACE-I) inhibitors and ARBs have shown real
efficacy in reducing blood pressure, proteinuria, in slowing the progression of
chronic kidney disease (MRC) and in clinical improvement. in patients with heart
failure, diabetes mellitus and ischemic heart disease. However, their use is
limited by some side effects such as the increase in serum potassium (K), which
can be particularly severe in patients with renal insufficiency. In the 23,000
patients followed by the PIRP project of the Emilia-Romagna Region, hyperkalaemia
at the first visit (K> 5.5 mEq / L) was present in about 7% of all patients. The
prevalence of K values> 5.5 mEq / L increased in relation to the CKD stage,
reaching 11% in patients in stage 4 and 5. Among patients with values of K> 5.5
at baseline, 44.8% were in therapy with ACE-I / ARB inhibitors, 3.8% with anti
mineralcortoid and a further 3.9% concurrently taking SRAA-blocking agents and K
sparing diuretics. Counter-measures to avoid the onset of hyperkalemia during
treatment with drugs that block the RAAS range from the low-K diet, to diuretics
and finally to drugs that promote fecal elimination of K. Among these,
polystyrene sulfonates, which have more than 50 years of life, exchange K with
sodium or calcium. These drugs, however, in chronic use, can lead to sodium or
calcium overload and cause dangerous intestinal necrosis. Recently two new highly
promising drugs have been introduced on the market for the treatment of
hyperkalemia, the patiromer and sodium zirconium cyclosilicate. The patiromer,
which is a potassium-calcium exchanger, acts at the level of the colon where
there is a higher concentration of K and where the drug is most ionized. Sodium
zirconium cyclosilicate (ZS-9) is a resin with micropores of well-defined
dimensions, placed in the crystalline structure of the zirconium silicate. The
trapped K is exchanged with other protons and sodium. However, even these drugs
will have to demonstrate their long-term efficacy and safety to be considered
true partners of RAAS blockers in some categories of patients.
PMID- 29786182
TI - [Medicine and Nephrology from Social Networks].
PMID- 29786184
TI - [Protein carbamylation: what it is and why it concerns nephrologists].
AB - Spontaneous urea dissociation in water solution is a prominent source of protein
carbamylation in our body. Protein carbamylation is a well-known phenomenon since
early seventies. Some years ago, much interest in the diagnostic power of
carbamylated protein arouse. Recently the target of the researches focused on its
potential cardiovascular pathogenicity. Some authors claimed that this could be a
reason for higher cardiovascular mortality in uremic patients. Nutritional
therapy, amino acids supplementation and intensive dialysis regimen are some of
the therapeutic tools tested to lower the carbamylation burst in this population.
PMID- 29786185
TI - [An unusual presentation of Amyloidosis AL].
AB - We describe the case of a 74-year-old man admitted to our Nephrology Unit with
nephrotic syndrome and mild kidney disease. A complete panel of laboratoristic
and instrumental tests did not provide useful information for diagnosis. No
specific signs or symptoms suggested the presence of AL amyloidosis. As a matter
of fact, diagnosis was reached thanks to the hystopathologic examination of renal
tissue and bone marrow, since the associated B-cell lymphoproliferative disorder
had not revealed itself through serum and urine electrophoresis and
immunofixation. This recent case provides the opportunity to review about the
disease and to revaluate the renal biopsy as a first line exam in a clinical
context where laboratoristic and instrumental tests offer us poor information.
PMID- 29786186
TI - [EBV-ASSOCIATED PNEUMONIA IN PATIENT WITH GRANULOMATOSIS WITH POLYANGIITIS (GPA)
IN IMMUNOSOPPRESSIVE THERAPY TREATED WITH ACICLOVIR].
AB - Granulomatosis polyangiitis (GPA) is an ANCA-related vasculitis (AAV) whose
clinical manifestations mainly concern the respiratory tract (upper and lower)
and the kidney. The treatment of GPA (as well as other AAV) includes the use of
immunosuppressive drugs with numerous side effects; the most frequent
complications are infectious and neoplastic. GPA frequently relapses. Epstein
Barr Virus (EBV) is a ubiquitous virus; it is estimated that about 90% of the
world's population has BEEN EXPOSED TO with this pathogen and has subsequently
developed a latent infection. Under certain conditions including
immunosuppression EBV may reactivate. We report the clinical case of a 67-year
old woman who presented with GPA involving the upper respiratory tract and renal
failure with the need for hemodialysis treatment. The fourth month of induction
therapy with cyclophosphamide and methylprednisone she presented with dyspnea and
respiratory failure. After excluding pulmonary embolism and heart failure, a
series of investigations including high resolution tomography and fibroscopy with
broncoalveolar lavage (BAL) were performed which excluded recurrence of pulmonary
vasculitis including alveolar haemorrhage A BAL demonstrated EBV-DNA. On this
basis EBV pneumonia was diagnosed, and antiviral therapy with acyclovir was
begun, followed by clinical and radiological improvement. In patients with GPA
treated with immunosuppressive drugs pulmonary involvement may not only be due to
the underlying vasculitis, but also to opportunistic agents, which must always be
considered.
PMID- 29786187
TI - [Steroid-resistant focal segmental glomerulosclerosis treated with cascade
plasmafiltration and rituximab].
AB - A 39-year man with primary steroid resistant focal segmental glomerulosclerosis
(FSGS) was treated with mycophenolate mofetil and ACE-inhibitors. After six
months a different therapeutics approach was mandatory due to the worsening of
renal function and the relapse of proteinuria at the nephrotic range. The
combination of cascade plasmafiltration and single dose of rituximab (375 mg/m2)
achieved clinical remission and improved renal function in six months follow up.
Cascade plasmafiltration in association with rituximab can be considered as a
salvage method for primary steroid-resistant FSGS. Clinical trials should be
carried out for protocol approval.
PMID- 29786188
TI - [A young girl with recurrent calculosis and hypercalcemia].
AB - Mutations of the CYP24A1 gene are associated with alterations in the activity of
the enzyme 25-OH-D-24-hydroxylase, resulting in dysfunction of the metabolism of
vitamin D. This enzymatic deficiency may cause hypercalcemia, low parathyroid
hormone levels, hypercalciuria, nephrolithiasis and nephrocalcinosis. The
clinical case of a young woman with recurrent renal lithiasis, hypercalcemia and
hypercalciuria is described. These features are linked to deficiency of the
enzyme 25-OH-D-24-hydroxylase, therefore to a biallelic mutation of the CYP24A1
gene.
PMID- 29786189
TI - [Renal Infarction: multicentric cases in Piedmont].
AB - : We describe factors associated to renal infarction, clinical, instrumental and
laboratoristic features, and therapeutic strategies too. This is an
observational, review and polycentric study of cases in Nephrologic Units in
Piedmont during 2013-2015, with diagnosis of renal infarction by Computed
Tomography Angiography (CTA). We collected 48 cases (25 M, age 57+/-16i; 23 F age
70+/-18, p = 0.007), subdivided in 3 groups based on etiology: group 1: cardio
embolic (n=19) ; group 2: coagulation abnormalities (n= 9); group 3: other causes
or idiopathic (n=20). Median time from symptoms to diagnosis, known only in 38
cases, was 2 days (range 2 hours- 8 days). Symptoms of clinical presentation
were: fever (67%), arterial hypertension (58%), abdominal o lumbar pain (54%),
nausea/vomiting (58%), neurological symptoms (12%), gross hematuria (10%). LDH
were increased (>530 UI/ml) in 96% of cases (45 cases out of 47), PCR (>0.5
mg/dl) in 94% of cases (45 out of 48), and eGFR <60 ml/min in 56% of cases (27
out of 48). Comparison of the various characteristics of the three groups shows:
significantly older age (p=0.0001) in group 1 (76+/-12 years) vs group 2 (54+/-17
years) and group 3 (56+/-17 years); significantly more frequent cigarette smoking
(p = 0.01) in group 2 (67%; 5 cases out of 9) and group 3 (60%; 12 cases out of
20) than group 1 (17%). No case has been subjected to endovascular thrombolysis.
In 40 out of 48 cases, anticoagulant therapy was performed after diagnosis: in 12
(32%) cases no treatment, in 12 cases (30%) heparin, in 8 cases (20%) low
molecular weight heparin, in 4 cases (10%) oral anticoagulants, in 3 cases
fondaparinux (7%), in 1 case (2%) dermatan sulfate. CONCLUSIONS: Although some
characteristics may guide the diagnosis, latency between onset and diagnosis is
still moderately high and is likely to affect timely therapy.
PMID- 29786190
TI - [Identification of a new mutation of the NPHP1 gene].
AB - Kidney cystic diseases are inherited disorders causing chronic renal failure.
According to the genetic defect they are classified as diseases of the primary
ciliary complex and uromodulin-associated diseases. Mutations in genes coding for
ciliary proteins are the basis of a broad category of genetic diseases, called
ciliopathies. To date, three important ciliopathies are known: the autosomal
dominant form and the recessive shape of the polycystic kidney and the
nephronophthisis (NPHP). Juvenile Nephronophthisis (NPHP) is a progressive renal
tubulo-interstitial disorder with a form of autosomal recessive inheritance that
progresses inexorably towards terminal renal failure. Three different forms have
been distinguished: juvenile (NPH1), infantile (NPH2) and adolescent (NPH3).
Juvenile Nephronophthisis or nephronophthisis type 1 (NPH1), is the most frequent
form. In most patients with a suspected diagnosis of NPHP, based primarily on
clinical and radiological data, the deletion in homozygous NPHP1 is present in 20
40% of cases. Heterozygous deletions are found in 6% of patients, with
concomitant mutation of the NPHP1 gene on the second allele. In this study we
subjected to genetic screening 6 patients with suspected NPHP causing chronic
renal failure, belonging to 6 families. The genetic screening identified in 2/6
patients a deletion of exons 5-7-20 and in 4/6 patients an heterozygous deletion
of exon 20 and an heterozygous deletion on exon 17 not yet described in
literature. Our results suggest that genetic screening should be included in the
diagnostic procedure of patients with suspected nephronophthisis and that it may
be used alternatively to renal biopsy.
PMID- 29786191
TI - [Waiting for General Data Protection Regulation].
PMID- 29786193
TI - ?
PMID- 29786192
TI - ?
PMID- 29786194
TI - [CLINICAL RESEARCH OF INDIVIDUAL PERCUTANEOUS CANNULATED SCREWS FIXATION FOR
TREATMENT OF Herbert TYPE Ib SCAPHOID FRACTURE].
AB - OBJECTIVE: To investigate the effectiveness of individual percutaneous cannulated
screws fixation of computer-assisted design combined with three-dimensional (3D)
guide plate by comparing with cast immobilization and open internal fixation for
treatment of Herbert type Ib scaphoid fracture. METHODS: Between January 2010 and
June 2015, 56 patients with fresh Herbert type Ib scaphoid fracture were treated
with cast immobilization in 16 cases (external fixation group), with open
reduction and internal fixation in 20 cases (open reduction group), and with
individual percutaneous cannulated screws fixation of computer-assisted design
combined 3D guide plate in 20 cases (minimal invasion group). There was no
significant difference in gender, age, injury cause, side, disease duration, and
classification of fractures between groups P>0.05).The time of bone union, bone
nonunion rate, return-to-work time, wrist range of motion (ROM), and Mayo
function score were recorded and compared. RESULTS: Primary healing of incision
was obtained in open reduction group and minimally invasion group, without
related complications. The cases were followed up 10-24 months (mean, 16.6
months). The time of bone union and return-to-work time of minimal invasion group
were significantly shorter than those of the other 2 groups (P<0.05), and the
rate of bone nonunion was significantly lower than that of the other 2 groups
(P<0.05). At last follow-up, the wrist ROM of minimal invasion group[(104.40+/
3.46) degrees ] was significantly larger than that of external group[(94.20+/
2.42) degrees ] and open reduction group[(96.40+/-2.66) degrees ] (P<0.05).
According to Mayo function score, the results were excellent in 6 cases, good in
5 cases, fair in 2 cases, and poor in 3 cases in external fixation group, with an
excellent and good rate of 69%; the results were excellent in 9 cases, good in 7
cases, fair in 2 cases, and poor in 2 cases in open reduction group, with an
excellent and good rate of 80%; the results were excellent in 16 cases, good in 3
cases, and fair in1 case in minimal invasion group, with an excellent and good
rate of 95%; there was significant difference in excellent and good rate among
groups (P<0.05). CONCLUSIONS: Individual percutaneous cannulated screws fixation
of computer-assisted design combined with 3D guide plate has satisfactory
effectiveness in the treatment of Herbert type Ib scaphoid fractures, with the
advantages of mini-invasion, high accuracy, high rate of bone union, less
complication, early return-to-work time.
PMID- 29786196
TI - [IMAGING STUDY ON DESIGN AND GEOMETRIC MATCH OF A NEW TYPE OF SHORT FEMORAL
INTERTROCHANTERIC NAIL WITH ANTERIOR CURVATURE].
AB - OBJECTIVE: To design a new type of short femoral intertrochanteric nail (FITN)
with anterior curvature (19.5 cm in length and 120 cm in radius) and observe the
geometric match with medullary cavity of the femur. METHODS: Between November
2015 and June 2016, 25 geriatric patients with femoral intertrochanteric
fractures were treated with the newly designed FITN. There were 7 males and 18
females with an average age of 82.3 years (range, 65-94 years). According to
AO/OTA fracture classification, 3 cases were rated as A1.2, 2 cases as A1.3, 5
cases as A2.1, 8 cases as A2.2, and 7 cases as A2.3. The interval between injury
and operation was 3.2 days (range, 2-7 days). Postoperatively, the nail entry
point position (anterior, central, and posterior) on sagittal plane, the nail tip
position (6-grade scale) and the nail tail level (3-grade scale) were measured
using fluoroscopy and X-ray films to evaluate the geometric match of the nail
with medullary cavity of the femur. RESULTS: One patient with Parkinson disease
died of asphyxia and pulmonary infection. The other 24 patients were followed up
1-8 months (mean, 4 months). Fracture union time was 6-10 weeks (mean, 8 weeks).
The mean Parker-Palmer mobility score was 5.5 (range, 4-8). No patient had hip
thigh pain. The nail entry point was positioned anterior in 2 cases (8%), central
in 18 cases (72%), and posterior in 5 cases (20%). The distal nail tip located at
the central canal axis (grade 0) in 15 cases (60%), at anterior without contact
with the anterior inner cortex (grade 1) in 8 cases (32%), at posterior (grade
1) in 1 case (4%), and at anterior with slight nail-tip impingement with the
anterior cortex (grade 2) in 1 case (4%). The proximal nail tail level did not
protrude out beyond the greater trochanter (grade 0) in 13 cases (52%), protruded
out less than 5 mm (grade 1) in 9 cases (36%), and more than 5 mm (grade 2) in 3
cases (12%). CONCLUSIONS: The newly designed FITN has a good geometric match with
the femur medullary canal in Chinese population, which may reduce the nail
related complications.
PMID- 29786195
TI - [EFFECTIVENESS OF PROXIMAL HUMERAL INTERNAL LOCKING SYSTEM PLATE FOR PROXIMAL
HUMERUS FRACTURES].
AB - OBJECTIVE: To analyze the effectiveness of proximal humeral internal locking
system (Philos) plate for proximal humerus fracture. METHODS: Thirty-three
patients with proximal humerus fracture were treated with open reduction and
internal fixation with Philos plate between January 2009 and January 2014. There
were 19 males and 14 females, aged 23-89 years (mean, 56.6 years). The left side
was involved in 15 cases, and the right side in 18 cases. The injury causes
included falling in 20 cases and traffic accident in 13 cases. All cases received
X-ray and CT scan and three-dimensional reconstruction before operation.
According to the Neer classification, 8 cases were rated as two-part fractures,
15 cases as three-part fractures, and 10 cases as four-part fractures. The
interval time between injury and surgery was 1-7 days (mean, 3.67 days).
Postoperative functional outcome was evaluated using the Constant-Murley score.
RESULTS: Infection and liquefaction occurred in 2 cases respectively, which was
cured after corresponding treatment; primary healing of wound was obtained in the
other 29 cases. Five patients had shoulder pain. The patients were followed up 11
47 months (mean, 33 months). The mean time of fracture union was 7.5 months
(range, 5-9 months) on the X-ray films. Humeral head necrosis occurred in 2
patients with Neer four-part fractures, and internal fixation failure occurred in
3 patients (2 screw broken and 1 plate broken) with four-part fractures (2 cases)
and three-part fractures (1 case), which was cured after conservative treatment.
At last follow-up, the mean Constant-Murley score was 70.5 (range, 42-90); the
results were excellent in 3 cases, good in 21 cases, moderate in 6 cases, and
poor in 3 cases. CONCLUSIONS: Satisfactory results can be expected for proximal
humeral fracture by using of the proximal humeral internal locking system plate
based on strict indication and early rehabilitation training.
PMID- 29786197
TI - [ARTHROSCOPICALLY ASSISTED TREATMENT OF POSTERIOR CRUCIATE LIGAMENT AVULSION
FRACTURE WITH MENISCUS POSTERIOR HORN TEAR].
AB - OBJECTIVE: To evaluate the effectiveness of arthroscopically assisted treatment
of posterior cruciate ligament (PCL) tibial eminence avulsion fractures
associated with meniscus posterior horn tear. METHODS: Between January 2012 and
December 2014, 21 patients with PCL avulsion fracture and meniscus posterior horn
tear were arthroscopically treated with polyester suture and hollow screw
fixation. There were 10 males (10 knees) and 11 females (11 knees), aged 14-53
years (mean, 35.7 years). The causes included sport injury in 11 cases, traffic
accident injury in 9 cases, and daily life injury in 1 case. Based on the
anteroposterior and lateral X-ray films, CT, and MRI, PCL avulsion fractures were
diagnosed, and 2 cases had anterior cruciate ligament avulsion fractures. The
results of posterior drawer test were positive in all patients, with no end point
in 14 cases and with soft end point in 7 cases; all patients showed tibial sink.
The preoperative International Knee Documentation Committee (IKDC) score and
Lysholm score were 46.5+/-5.5 and 43.3+/-4.5 respectively. The time from injury
to operation was 6-22 days (mean, 10 days). RESULTS: The operation time was 60
100 minutes (mean, 75 minutes). Primary healing of incision was obtained in all
patients, without no complication of infection. The mean follow-up time was 27.4
months (range, 12-46 months). The results of posterior drawer test were negative
in 19 cases, and positive in 2 cases (having hard end point). Tibial sink
disappeared. At last follow-up, X-ray film showed good healing of fracture, and
no displacement. The patients had no locking knee, snapping or tenderness of
joint space, and the Mcmurray sign was negative; the IKDC score and Lysholm score
were significantly improved to 92.0+/-2.5 and 92.7+/-2.6 respectively (t=-39.903,
P=0.000; t=-43.242, P=0.000). The range of motion was normal in 20 patients (0
130 degrees ) except 1 patient having limited flexion (0-80 degrees ), whose
range of motion returned to 0-120 degrees after release. CONCLUSIONS: The
arthroscopic fixation technique has satisfactory results for the reduction and
fixation of PCL avulsion fracture associated with meniscus posterior horn tear
because of easy operation, firm fixation, and economic price.
PMID- 29786198
TI - [EFFECTIVENESS OF SPRING HOOK PLATE FOR POSTERIOR MALLEOLUS FRACTURE].
AB - OBJECTIVE: To investigate the effectiveness of spring hook plate for posterior
malleolus fracture by comparing with cannulated screw. METHODS: Between March
2012 and September 2013, 100 cases of posterior malleolus fracture were treated.
Fracture was fixed with spring hook plate in 50 cases (research group) or with
cannulated screw in 50 cases (control group). There was no significant difference
in gender, age, cause of injury, associated injury, and injury to operation time
between 2 groups P>0.05). The operation time, intraoperative blood loss, union
time of fracture, ankle range of motion (ROM), and complications were recorded
and compared. The ankle joint function was evaluated by the ankle hindfoot scale
of American Orthopedic Foot and Ankle Society (AOFAS). RESULTS: There was no
significant difference in operation time and intraoperative blood loss between 2
groups P>0.05). The patients were followed up 6-12 months (mean, 9.8 months) in
the control group and 6-12 months (mean, 9.2 months) in the research group. The X
ray films showed that fracture union was achieved in 2 groups; the union time of
the research group[(9.5+/-1.4) weeks] was significantly shorter than that of the
control group[(10.5+/-1.3) weeks] (t=2.029, P=0.017). The ROM was (25.1+/-3.2)
degrees for dorsal extension and was (45.3+/-2.3) degrees for plantar flexion
in the research group at 6 months after operation, which were significantly
better than those of the control group[(22.2+/-2.3) degrees and (41.2+/-2.5)
degrees ] (t=-3.950, P=0.001; t=-5.212, P=0.000). The ankle hindfoot scale of
AOFAS was 85.1+/-8.6 in the control group at 6 months; the results were excellent
in 15 cases, good in 20 cases, and moderate in 15 cases with an excellent and
good rate of 70%. The ankle hindfoot scale of AOFAS was 89.4+/-7.9 in the
research group; the results were excellent in 20 cases, good in 22 cases, and
moderate in 8 cases with an excellent and good rate of 84%; there was significant
difference between 2 groups (t=-2.191, P=0.042; chi2=0.413, P=0.018). Incision
infection occurred in 3 cases of the control group and in 2 cases of the research
group, which was cured after dressing change; screw loosening and fracture
displacement occurred in 3 and 4 cases of the control group, but did not in the
research group. The complication rate of the control group and the research group
was 20% and 4% respectively, showing significant difference between 2 groups
(chi2=6.061, P=0.028). CONCLUSIONS: Spring hook plate can shorten the time of
union, increase the ROM of the ankle after operation, get good functional
restoration, and decrease the rate of complication compared with cannulated screw
fixation.
PMID- 29786199
TI - [DESIGN AND BIOMECHANICAL STUDY OF TRANSARTICULAR CUBOID BONE PLATE].
AB - OBJECTIVE: To design a new type of transarticular cuboid bone plate by measuring
and collecting the anatomic data of the articular surface around cuboid, and to
carry out comparative biomechanical study. METHODS: The angle alpha (between the
fifth metatarsocuboid joint and the fifth metatarsal bone) and the angle beta
(between the fifth metatarsocuboid joint and the calcaneocuboid joint) were
measured in 100 adults on medial oblique X-ray film and 30 adult foot specimens.
Based on literature data, the transarticular cuboid bone plate was designed with
an angle alpha of 70 degrees and an angle beta of 30 degrees . Six adult cadaver
feet were chosen and were transected approximately 15 cm proximal to the ankle.
Five strain gauges were placed at the calcaneus, cuboid, and the fourth and fifth
metatarsal bones. The vertical pressure was loaded on the foot at 0-600 N, the
strain value was measured. Then the cuboid fracture model was established and 600
N load was given on foot to measure the strain value and compare with the strain
value before fracture. The specimens were randomly divided into groups A and B
(n=3). Fracture was fixed with transarticular cuboid bone plate and 9 screws in
group A and with double plate and 8 screws in group B, then the 600 N load was
given to measure the strain value and vertical displacement of the fracture
fragments. RESULTS: After loads of 0-600 N on the foot, the strain value of the 5
points showed an increased trend. No significant difference was found in the
strain value between pre- and post-fracture at a, d, and e points P>0.05), but it
was significantly larger at post-fracture than pre-fracture at b and c points
(P<0.05). After fracture fixation, no significant difference was shown in the
strain value at 5 points between groups A and B when loads of 0-600 N was given
P>0.05). The experimental data showed that the strain value change mainly focused
on the fracture site under the same load, so the strain value after fracture
fixation was similar to that before fracture at b and c points P>0.05). The
vertical displacement values of medial and lateral fracture fragments in group
A[(0.804+/-0.011) mm and (0.672+/-0.036) mm] were significantly less than those
in group B[(1.126+/-0.083) mm and (1.007+/-1.103) mm] (t=-6.711, P=0.003; t=
5.307, P=0.006). CONCLUSIONS: Through biomechanical study, a new type of
transarticular cuboid bone plate has better fixation effect.
PMID- 29786200
TI - [COMPARISON OF TWO ANIMAL MODELS WITH CARTILAGE DEFECT].
AB - OBJECTIVE: To compare difference in the establishment of animal model of
cartilage defect by resection of medial collateral ligament and meniscus and by
cartilage excavation so as to provide a proper way for the choose of animal model
preparation of catilage defect. METHODS: Ten healthy beagles, male or female,
weighing 5.0-10.0 kg, were randomly divided into 3 groups. Resection of knee
collateral ligament and meniscus was performed on 4 beagles of group A, cartilage
excavation of knee-joints in 4 beagles of group B, and no treatment on 2 beagles
of group C as controls. At 16 weeks after modeling, MRI, gross observation, HE
staining, Safranin O staining, and toluidine blue staining were performed, and
Osteoarthritis Research Society International (OARSI) score was recorded.
RESULTS: MRI and histology observation showed no obvious cartilage defect in
group A; obvious cartilage defects were observed in group B and gross observation
showed dramatic dark red cartilage defects. OARSI score was significantly lower
in group A (0.940+/-0.574) than group B (4.500+/-0.516) (t=18.461, P=0.000).
CONCLUSIONS: The cartilage excavation is better than resection of both meniscus
and medial collateral ligament, which provides a good method of establishing an
animal model of cartilage defect at 16 weeks after operation.
PMID- 29786201
TI - [CHANGES OF SEMAPHORIN 3A EXPRESSION IN HEALING OF TIBIA FRACTURE AFTER TRAUMATIC
BRAIN INJURY].
AB - OBJECTIVE: To investigate the mechanism of Semaphorin 3A (Sema3A) in fracture
healing after nerve injury by observing the expression of Sema3A in the tibia
fracture healing after traumatic brain injury (TBI). METHODS: A total of 192
Wistar female rats, 8-10 weeks old and weighing 220-250 g, were randomly divided
into tibia fracture group (group A, n=48), TBI group (group B, n=48), TBI with
tibia fracture group (group C, n=48), and control group (group D, n=48). The
tibia fracture model was established at the right side of group A; TBI model was
made in group B by the improved Feeney method; the TBI and tibia fracture model
was made in group C; no treatment was given in group D. The tissue samples were
respectively collected at 3, 5, 7, 14, 21, and 28 days after operation; HE
staining, immunohistochemistry staining, and Western blot method were used for
the location and quantitative detection of Sema3A in callus tissue. RESULTS: HE
staining showed that no obvious changes were observed at each time point in
groups B and D. At 3 and 5 days, there was no obvious callus growth at fracture
site with inflammatory cells and fibrous tissue filling in groups A and C. At 7
and 14 days, fibrous tissue grew from periosteum to fracture site in groups A and
C; the proliferation of chondrocytes in exterior periosteum gradually formed
osteoid callus at fracture site in groups A and C. The chondrocyte had bigger
size, looser arrangement, and more osteoid in group C than group A. Group B had
disorder periosteum, slight subperiosteal bone hyperplasia, and no obvious change
of bone trabecula in group B when compared with group D. At 21 and 28 days,
cartilage callus was gradually replaced by new bone trabecula in groups A and C.
Group C had loose arrange, disorder structure, and low density of bone trabecula,
big callus area and few chondrocyte and osteoid when compared with group A; group
B was similar to Group D. Immunohistochemistry staining showed that Sema3A
expression in chondrocytes in group C was higher than that in group A,
particularly at 7, 14, and 21 day. Sema3A was significantly higher in osteoblasts
of new bone trabecula in group A than group C, especially at 14 and 21 days
(P<0.05). Western blot results showed that the Sema3A had the same expression
trend during fracture healing in groups A and C. However, the expression of
Sema3A protein was significantly higher in group C than group A (P<0.05) and in
group B than group D (P<0.05) at 7, 14, 21, and 28 days. CONCLUSIONS: Abnormal
expression of Sema3A may play a role in fracture healing after nerve injury by
promoting the chondrocytes proliferation and reducing the distribution of sensory
nerve fibers and osteoblast differentiation.
PMID- 29786202
TI - [EXPRESSION OF HUMAN TRANSFORMING GROWTH FACTOR beta1 GENE MEDIATED BY ADENOVIRUS
IN HAMSTRING TENDON AFTER ANTERIOR CRUCIATE LIGAMENT RECONSTRUCTION IN RABBITS].
AB - OBJECTIVE: To detect the expression of human transforming growth factor beta1
(hTGF-beta1) gene mediated by adenovirus (Ad) in hamstring tendon after anterior
cruciate ligament (ACL) reconstruction in rabbits. METHODS: Ad-hTGF-beta1 and Ad
green fluorescent protein (GFP) were diluted to 5*108 PFU/mL with DMEM. Forty
eight New Zealand white rabbits were divided into 3 groups randomly (n=16) for
ACL reconstruction with hamstring tendon autograft. Hamstring tendon was cultured
and transfected with Ad-hTGF-beta1 (group A) and Ad-GFP (group B) for 12 hours
before ACL reconstruction, and was cultured with DMEM in group C. After 12 hours
of transfection, green fluorescence was observed in groups A and B under
fluorescence microscopy. At 2, 4, 6, and 8 weeks after operation, the hamstring
tendon was harvested to detect the mRNA and protein expressions of hTGF-beta1 by
real time fluorescence quantitative PCR and Western blot. RESULTS: Green
fluorescence was observed after 12 hours of transfection in groups A and B. TGF
beta1 protein level reached (221.0+/-12.2) ng/mL at 12 hours in group A. The hTGF
beta1 mRNA expression could be detected in group A, but it could not be detected
in group B and group C. The mRNA expression levels of hTGF-beta1 were 1.004+/
0.072 at 2 weeks, 0.785+/-0.038 at 4 weeks, 0.469+/-0.053 at 6 weeks, and 0.172+/
0.021 at 8 weeks in group A, showing significant difference (P<0.05). Western
blot results showed weakly positive band in groups B and C; the protein
expression of TGF-beta1 in group A was significantly higher than that in groups B
and C (P<0.05), but no significant difference was found between groups B and C
P>0.05). The protein expression of TGF-beta1 gradually reduced with time, showing
significant difference between different time points (P<0.05). CONCLUSIONS: Ad
hTGF-beta1 can transfect the hamstring tendon successfully, and it can
effectively express for a long time after ACL reconstruction.
PMID- 29786203
TI - [ROLES OF SIGNAL MOLECULE p38 INVOLVED IN MINERALIZATION AND MATURATION OF
OSTEOBLASTS PROMOTED BY LOW FREQUENCY PULSED ELECTROMAGNETIC FIELDS].
AB - OBJECTIVE: To investigate whether signal molecule mitogen-activated protein
kinases (MAPKs) involves in the process of the mineralization and maturation of
rat calvarial osteoblasts promoted by 50 Hz, 0.6 mT pulsed electromagnetic
fields. METHODS: Rat calvarial osteoblasts were obtained by enzyme digestion from
the skull of 6 neonatal Wistar rats of SPF level. The primary osteoblasts were
treated in 50 Hz and 0.6 mT pulsed electromagnetic fields for 0, 5, 10, 20, 40,
60, and 120 minutes; the protein expression of phosphorylated MAPKs was detected
by Western blot. The osteoblasts were randomly divided into group A (control
group), group B (low frequency pulse electromagnetic fields treatment group),
group C (SB202190 group), and group D (SB202190+low frequency pulse
electromagnetic fields treatment group); the alkaline phosphatase (ALP)
activities were tested after corresponding treatment for 1, 4, and 7 days. The
corresponding treated more than 90% confluenced osteoblasts were cultured under
condition of osteogenic induction, then ALP staining and alizarin red staining
were carried out at 9 and 12 days respectively. RESULTS: The results of Western
blot showed that there was no significant changes in the protein expressions of
phosphorylated level of extracellular signal-related kinases 1/2 and c-Jun amino
N-terminal kinases 1/2 in 50 Hz, 0.6 mT pulsed electromagnetic fields P>0.05),
but the phosphorylated level of p38 began to increase at 5 minutes, peaked at 40
minutes, then gradually decreased, and it was significantly higher at 5-120
minutes than at 0 minute (P<0.05). After the activities of p-p38 was inhibited by
inhibitor SB202190, the ALP activities, positive colonies and area of ALP and
calcified nodules of group B were significantly higher than groups A, C, and D
(P<0.05). CONCLUSIONS: p38 is one of the signal molecules involved in the process
of the mineralization and maturation of rat calvarial osteoblasts promoted by 50
Hz, 0.6 mT pulsed electromagnetic fields.
PMID- 29786205
TI - [CLINICAL APPLICATION OF PEDICLED THORACOACROMIAL ARTERY PERFORATOR FLAP FOR
PHARYNGOCUTANEOUS FISTULA REPAIR AFTER RADIOTHERAPY AND SALVAGE TOTAL
LARYNGECTOMY].
AB - OBJECTIVE: To explore the effectiveness of pedicled thoracoacromial artery
perforator (TAAP) flap for pharyngocutaneous fistula repair after total
laryngectomy and radiotherapy. METHODS: Between February 2012 and January 2015,
TAAP flap was used to repair pharyngocutaneous fistula after total laryngectomy
and radiotherapy in 8 patients. The eight patients were male, aged from 46 to 72
years (mean, 51.8 years). The disease duration was 8-62 months (mean, 27.5
months). Fistula size ranged from 4.0 cm*2.5 cm to 6.0 cm*4.0 cm and the skin
defect size ranged from 4.0 cm*3.0 cm to 6.0 cm*4.0 cm. The flap size ranged from
7.0 cm*4.0 cm*0.3 cm to 9.5 cm*6.0 cm*0.5 cm. The length of pedicle was (8.3+/
0.5)mm. The distance from pivot point of flap to central point of recipient site
was (94.5+/-1.9) mm. RESULTS: All 8 flaps survived and all incisions healed
smoothly. The hospitalization time was 7-14 days (mean, 9.6 days). The barium
meal examination showed no fistula or stenosis. The patients were followed up 8
42 months (mean, 28.5 months). During follow-up, the neck appearance was good,
and no fistulas or stenosis occurred. Only linear scars were observed at the
donor sites, pectoralis major muscle function was normal in all patients.
CONCLUSIONS: Pharyngocutaneous fistula should be repaired as early as possible
after total laryngectomy. TAAP flap is suitable for the reconstruction of
pharyngocutaneous fistula after total laryngectomy.
PMID- 29786204
TI - [STUDY ON MOLECULAR MECHANISM OF OSTEOCLAST DIFFERENTIATION INDUCED BY
STAPHYLOCOCCAL PEPTIDOGLYCAN].
AB - OBJECTIVE: To investigate the molecular mechanism of osteoclast differentiation
induced by Staphylococcal peptidoglycan (PGN-sa). METHODS: Raw264.7 cells were
stimulated with PGN-sa and with PGN-sa+SC75741[a potent inhibitor of nuclear
factor kappaB (NF-kappaB) activation] in a concentration of 200 ng/mL. The
protein expression of nuclear factor of activated T-cells cytoplasmic 1 (NFATc1)
was tested at 0, 1, 2, and 3 days; the proteins related to osteoclast
differentiation of extracellular regulated protein kinases (ERK), p38, c-Jun N
terminal kinase (JNK), NF-kappaB, inhibitor of NF-kappaB (IkappaB-alpha), Akt,
and the phosphorylation forms of p38, ERK, JNK, Akt, NF-kappaB were measured at
0, 5, 10, 20, 40, and 60 minutes by Western blot. In addition, Raw264.7 cells
were stimulated with PGN-sa in the concentrations of 100 ng/mL (group A), 200
ng/mL (group B), 400 ng/mL (group C), and with PBS (group D) for 1, 2, and 3
days; the expression levels of tumor necrosis factor alpha (TNF-alpha),
interleukin 1alpha (IL-1alpha), and IL-6 were detected by ELISA. RESULTS: The
results of Western blot showed that the expression of NFATc1 increased gradually
with time, showing significant difference between different time points (P<0.05).
However, after SC75741 was added, the expression of NFATc1 was inhibited at 2 and
3 days, showing significant difference when compared with no addition of SC75741
(P<0.001). After stimulation of PGN-sa, the expression of IkB-alpha decreased
significantly at 5 and 10 minutes when compared with those at the other time
points (P<0.001), and returned to normal at 20 minutes. Meanwhile, the expression
of p-NF-kappaB increased significantly at 5 and 10 minutes when compared with
those at the other time points (P<0.001), and returned to normal at 20 minutes;
and the expression of p-NF-kappaB at 5 minutes was significantly higher than that
at 10 minutes (P<0.001). After the addition of SC75741, there was no change in
the expressions of IkappaB-alpha and p-NF-kappaB, showing no significant
difference between different time points P>0.05). Moreover, the expressions of
ERK, p38, JNK, NF-kappaB, Akt, p-p38, p-ERK, p-JNK, and p-Akt showed no
significant change between different time points P>0.05). ELISA results showed
that there were no expressions of TNF-alpha and IL-1alpha in groups A-D at
different time points. The expression of IL-6 had an increasing trend with time
prolonged in each group, showing significant differences between different time
points (P<0.05). Moreover, at 1 day after culture, the expression of IL-6 showed
no significant difference among groups P>0.05). At 2 and 3 days after culture,
the expression of IL-6 in groups A-C showed an increasing trend and was
significantly higher than that in group D, showing significant difference among
groups (P<0.05). CONCLUSIONS: PGN-sa can promote osteoclast differentiation
through NF-kappaB signaling pathway, and IL-6 may play a role in this process.
PMID- 29786206
TI - [PRIMARY STUDY ON CONTRALATERAL BREAST SYMMETRIZATION MAMMAPLASTY IN BREAST
RECONSTRUCTION].
AB - OBJECTIVE: To explore the techniques and short-term effectivness of contralateral
breast symmetrization mammaplasty in breast reconstruction. METHODS: Between
February 2014 and December 2015, 11 patients received immediate or delayed breast
reconstruction after nipple-sparing mastectomy (6 and 5 cases respectively) for
contralateral breast symmetrization mammaplasty. The age ranged 36-55 years
(mean, 45 years). The disease duration was from 7 days to 6 months (mean, 2.5
months) in 6 patients undergoing immediate breast reconstruction. According to
tumor TNM staging, 2 cases were rated as TisN0M0, 3 cases as T1N0M0, and 1 case
as T2N0M0. The duration was from 2 to 25 years (mean, 8 years) in 5 patients
undergoing delayed breast reconstruction. The implant (7 cases) and latissimus
dorsi (4 cases) were used for breast reconstruction; and breast augmentation (6
cases) and breast reduction (5 cases) were performed for contralateral breast
symmetrization. RESULTS: One patient had local poor wound healing postoperatively
and was cured; primary healing was obtained in the other patients, and no other
postoperative complication of infection, implant exposure or capsular contracture
was found. The patients were followed up 3 to 24 months (mean, 12 months). The
reconstructive outcomes were excellent in 9 cases and good in 2 cases, with an
excellent and good rate of 100%. There was no recurrence or metastasis.
CONCLUSIONS: Simultaneous contralateral symmetrization with
augmentation/reduction mammaplasty after breast reconstruction can obtain
satisfactory symmetric outcomes.
PMID- 29786207
TI - [RELATED FACTORS ANALYSIS OF DISTAL ADDING-ON PHENOMENON IN MAJOR THORACIC CURVE
AFTER POSTERIOR SELECTIVE FUSION].
AB - OBJECTIVE: To identify the prevalence of distal adding-on phenomenon after
posterior selective fusion in type Lenke 1A idiopathic scoliosis, to analyze its
risk factors so as to find the reasonable choice for lowest instrumented vertebra
(LIV). METHODS: A retrospective study was made on the clinical data of 43
patients with type Lenke 1A idiopathic scoliosis undergoing posterior selection
fusion with pedicle screw instrumentation between July 2011 and December 2015.
There were 15 males and 28 females, aged 12-18 years (mean, 16 years). The
preoperative Cobb angle was (50.1+/-11.3) degrees . The anteroposterior and
lateral radiographs were taken at preoperation, immediate after operation, and
last follow-up to measure the radiographic parameters. Forty-three patients were
divided into adding-on group and control group according to whether or not the
occurrence of distal adding-on phenomenon on anteroposterior radiographs of the
spine at last follow-up. All the factors that maybe cause distsal adding-on were
evaluated by statistical analysis. RESULTS: The patients were followed up 12-50
months (mean, 26.5 months). At last follow-up, distal adding-on was observed in
10 of 43 patients (23.3%), including 2 males (13.3%) and 8 females (28.6%).
Univariate analyses showed following several factors associated with adding
on:preoperative lumbar flexibility, preoperative pelvic tilt in coronal plane,
preoperative LIV+1 deviation from center sacral vertical line, L4 subtype, the
difference between LIV and last touching vertebra (LTV) (LIV-LTV), the difference
between LIV and lower end vertebra (LEV) (LIV-LEV), and the difference between
LIV and stable vertebra (SV) (LIV-SV). The risk factors above were brought into
Logistic regression model, the results showed that preoperative LIV+1 deviation
(deviation>10 mm, odds ratio=10.812, P=0.026), LIV-LTV (LIV-LTV<1, odds
ratio=9.017, P=0.04), and L4 subtype (1A-R, odds ratio=9.744, P=0.047) were
significantly associated with adding-on. CONCLUSIONS: Preoperative LIV+1
deviation of >10 mm, L4 subtype (1A-R) and LIV-LTV of <1 are independent
predictive factors of adding-on after surgery. As high risk of adding-on was
closely related with the incorrect fusion level, it plays an important role for
surgeons to decide the level of the fusion before surgery thoroughly. The LIV
should be extended at least to LTV+1 to avoid adding-on phenomenon.
PMID- 29786208
TI - [EFFECTS OF Tempol ON SURVIVAL OF RANDOM PATTERN SKIN FLAPS IN RATS].
AB - OBJECTIVE: To study the effects of the new small molecular oxygen free radical
scavenger Tempol on the survival and vasculogenesis of the long random pattern
skin flap (LRPSF) and its mechanism. METHODS: Eighty-four male Sprague Dawley
rats were randomly divided into control and Tempol groups (42 rats in each
group). LRPSF of 9 cm*3 cm in size were prepared on the backs of rats in two
groups based on the Mcfarlane flap. Rats were administered with Tempol (100
mg/kg) in the Tempol group and with normal saline in the control group by
intraperitoneal injection at 15 minutes before operation and at 1-7 day after
operation. The rat and the skin flap survival conditions were observed after
operation; the survival rate of skin flap was measured, and the vascular
structure, vascular volume, and total length of blood vessels were analyzed with
Micro-CT three-dimensional imaging after 7 days; HE staining was used to observe
the structure of the skin flaps and inflammation, immumohistochemical staining to
observe vascular endothelial growth factor (VEGF) expression; water-soluble
tetrazolium-1 method was used to measure the content of superoxide dismutase
(SOD) and malondialdehyde (MDA), and ELISA to detect the expressions of tumor
necrosis factor alpha (TNF-alpha) and interleukin 6 (IL-6) after 1, 3, and 7
days. RESULTS: All of rats survived after operation, without hemorrhage, edema,
and infection. With the extension of time, necrosis occurred in the distal part
of the skin flaps in 2 groups, but the necrosis degree of the Tempol group was
lower than that of control group; meanwhile, the blood vessel distribution and
continuity were better than those of control group. The skin flaps survival rate,
vascular volume, and total length of blood vessels of Tempol group were
significantly higher than those of control group after 7 days (P<0.05). The
clearer skin flaps structure, lighter inflammation reaction and inflammation cell
infiltration, and higher VEGF staining intensity were observed in the Tempol
group than the control group after 7 days. There was no significant difference in
SOD, MDA, and TNF-alpha, and IL-6 contents between the 2 groups at immediate
after operation. SOD significantly increased, but MDA, TNF-alpha, and IL-6
contents significantly decreased in the Tempol group when compared with control
group after 1, 3, and 7 days (P<0.05). CONCLUSIONS: Tempol can significantly
promote the LRPSF survival rates, its mechanism is closely related to the
promotion of vasculogenesis and reduction of oxidative stress and inflammation.
PMID- 29786209
TI - [EFFECTS OF CO-TRANSFECTION BY BONE MORPHOGENETIC PROTEIN 14 AND Noggin SHORT
HAIRPIN RNA LENTIVIRAL VECTORS ON OSTEOGENIC DIFFERENTIATION OF ADIPOSE DERIVED
STEM CELLS IN RATS].
AB - OBJECTIVE: To explore the effects on osteogenic differentiation of adipose
derived stem cells (ADSCs) by simultaneously down-regulating Noggin combined with
up-regulating bone morphogenetic protein 14 (BMP-14) in vitro. METHODS: Primary
ADSCs were isolated and expanded in vitro from 5 Sprague Dawley rats (weighing,
250-300 g). ADSCs were transfected with lentiviral (Lv)-enhanced green
fluorescent protein in group A (control group), with Lv-BMP-14 in group B, and
with Lv-BMP-14 and Lv-Noggin shRNA in group C. BMP-14 and osteogenesis-related
genes[collagen type I, alkaline phosphatase (ALP), and osteocalcin (OCN)] mRNA
expression levels were detected by real time fluorescence quantitative PCR at 3,
7, and 14 days after transfection. Alizarin red staining for calcium nodules was
also employed to assess the osteogenic ability of co-transfected ADSCs. RESULTS:
At 3 days after transfection, no significant difference was found in BMP-14 mRNA
expression among groups P>0.05). At 7 and 14 days after transfection, BMP-14 mRNA
expression was significantly higher in group C than groups A and B, and in group
B than group A (P<0.05). At 3 days after transfection, collagen type I, ALP, and
OCN mRNA expressions of group C were significantly higher than those of groups A
and B (P<0.05), but no significant difference was shown between groups A and B
P>0.05). At 7 and 14 days, collagen type I, ALP, and OCN mRNA expressions were
higher in group C than groups A and B, and in group B than group A, showing
significant difference (P<0.05) except collagen type I mRNA expression at 7 days
between groups A and B P>0.05). The results of alizarin red staining showed that
the amount of calcium nodules presented an increased tendency in the order of
group A, group B, and group C. CONCLUSIONS: BMP-14 is capable of enhancing
osteogenic differentiation of ADSCs. A combination of inhibiting Noggin gene
expression and enhancing BMP-14 gene expression in ADSCs can significantly
strengthen osteogenic differentiation capability, showing significant synergistic
effect.
PMID- 29786210
TI - [ROLE OF FORKHEAD/FOX TRANSCRIPTION FACTOR 2 OVER-EXPRESSION IN REGULATING
OSTEOGENIC DIFFERENTIATION OF BONE MARROW MESENCHYMAL STEM CELLS BY Wnt SIGNALING
PATHWAYS].
AB - OBJECTIVE: To investigate the role of the forkhead/Fox transcription factor 2
(Foxc2) over-expression in regulating osteogenic differentiation of bone marrow
mesenchymal stem cells (BMSCs) by Wnt-beta-catenin signaling pathways in vitro so
as to provide the experimental basis for repairing osteonecrosis of the femoral
head. METHODS: The recombinant lentivirus carrying green fluorescent protein
(group A) or Foxc2 (group B) were used to transfect the fifth generation rabbit
BMSCs, and untransfected BMSCs served as a control (group C). The cell viability
was measured with water soluble tetrazolium-1 (WST-1) regent at 72 hours after
transfection. After 2 weeks of transfection, the expression of beta-catenin in
BMSCs was detected by real time fluorescence quantitative PCR, Western blot, and
immunofluorescence staining. Meanwhile, the beta-catenin inhibitors XAV-939 (0,
0.1, and 1.0 MUmol/L) was added in group B; at 2 weeks after osteogenic and
adipogenic induction, the gene and protein expressions of collagen type I (COL
I), osteocalcin (OCN), and peroxisome proliferator activated receptor gamma 2
(PPARgamma-2) were detected by real time PCR and Western blot. RESULTS: WST-1
results showed that the cell viability of group B (130.85%+/-0.15%) was
significantly higher than that of group A (100.45%+/-0.35%) (t=7.500, P=0.004) at
72 hours after transfection. At 2 weeks after transfection, the gene and protein
expressions of beta-catenin in group B were significantly higher than those in
group A (P<0.01). After XAV-939 was added in group B, the mRNA and protein
expressions of OCN and COL I gradually decreased; the mRNA and protein
expressions of PPARgamma-2 significantly increased (P<0.05), showing a dose
dependent manner. CONCLUSIONS: The over-expression of Foxc2 gene in BMSCs may
promote osteogenic differentiation by Wnt-beta-catenin signaling pathway.
PMID- 29786211
TI - [EVALUATION OF AN OPTIMIZING PROTOCOL FOR FABRICATING A SCAFFOLD DERIVED FROM
PORCINE SKELETAL MUSCLE EXTRACELLULAR MATRIX].
AB - OBJECTIVE: To explore an optimized protocol of decellularization to fabricate an
ideal scaffold derived from porcine skeletal muscle acellular matrix. METHODS:
Serial-step protocol of homogenating-milling-detergent method was used to
fabricate decellularized porcine muscle tissue (DPMT) derived from native porcine
skeletal muscle tissue from adult pig waist. Histological method was used to
assess the effects of decellularization and degreasing. Sirius red staining was
used to analyze collagen components. Scanning electron microscopy, BCA assay, and
PicoGreen assay were used to evaluate the ultrastructure, total protein content,
and DNA content in DPMT. The adipose derived stem cells (ADSCs), NIH3T3 cells,
and human umbilical vein endothelial cells (HUVECs) were cultured in extraction
liquor of DPMT in different concentrations for 1, 3, and 5 days, then the
relative growth rate was calculated with cell counting kit 8 to assess the
toxicity in vitro. Live/dead cell staining was used to evaluate the
cytocompatibility by seeding HUVECs on the surface of DPMT and co-cultured in
vitro for 3 days. For in vivo test, DPMT was subcutaneously implanted at dorsal
site of male specific-pathogen free Sprague Dawley rats and harvested after 3, 7,
14, and 28 days. Gross obersvation was done and transverse diameter of remained
DPMT in vivo was determined. HE staining and immunohistochemical staining of CD31
were used to assess inflammatory response and new capillary rings formation.
RESULTS: Decellularization of the porcine skeletal muscle tissue by homogenating
milling-detergent serial steps protocol was effective, time-saving, and simple,
which could be finished within only 1 day. The decellularizarion and degreasing
effect of DPMT was complete. The main component of DPMT was collagen type I and
type IV. The DNA content in DPMT was (15.902+/-1.392) ng/mg dry weight, the total
protein content was 68.94% of DPMT dry weight, which was significantly less than
those of fresh skeletal muscle tissue[(140.727+/-10.422) ng/mg and 93.14%]
(P<0.05). The microstructure of DPMT was homogeneous and porous. The result of
cytocompatibility revealed that the cytotoxicity of DPMT was 0-1 grade, and
HUVECs could stably grow on DPMT. In vivo study revealed DPMT could almost
maintain its structural integrity at 14 days and it degraded completely at 28
days after implantation. The inflammatory response peaked at 3 days after
implantation, and reduced obviously at 7 days. Difference was significant in the
number of inflammatory cells between 2 time points (P<0.05). Neovascularization
was observed at 7 days after implantation and the number of new vessels increased
at 14 days, showing significant difference between at 7 and 14 days (P<0.05).
CONCLUSIONS: The homogenating-milling-detergent serial-steps protocol is
effective, time-saving, and reproducible. The DPMT reveals to be cell and lipid
free, with highly preserved protein component. DPMT has good biocompatibility
both in vitro and in vivo and may also have potential in promoting
neovascularization.
PMID- 29786213
TI - [RESEARCH PROGRESS OF REGULATION EFFECT OF HYPOXIA INDUCIBLE FACTOR ON
INTERVERTEBRAL DISC].
AB - OBJECTIVE: To summarize the research progress of the regulation effect of hypoxia
inducible factor (HIF) on intervertebral disc. METHODS: The domestic and foreign
related literature about the regulation effect of HIF on intervertebral disc was
reviewed, summarized, and analyzed. RESULTS: HIF is a key transcription factor
that is in response to hypoxia by cells, which is widely distributed in tissues
and organs, including intervertebral disc. Hypoxia inducible factor is expressed
highest in the nucleus pulposus which has the lowest oxygen concentration in the
intervertebral disc. The effects of HIF include the regulation of nucleus
pulposus differentiation and development, maintenance of the survival, energy
metabolism, and anabolism of nucleus pulposus cells, and maintenance of the
stability of extracellular matrix. CONCLUSIONS: HIF plays a vital role in the
development and differentiation of intervertebral disc and maintenance of
physiological function, which may become a target for the research of the
mechanism and the treatment of intervertebral disc degeneration.
PMID- 29786212
TI - [PROMOTION EFFECT OF CHONDROITIN SULFATE ON PROLIFERATION OF MYOBLASTS].
AB - OBJECTIVE: To research the effect of chondroitin sulfate (CS) on the
proliferation of myoblasts and the formation of myotube. METHODS: The myoblasts
at passage 5 were used to prepare the cells suspension (1*108 cells/mL), and the
experiment was divided into 4 groups based on CS concentration in the
medium:group A (0 MUg/mL), group B (50 MUg/mL), group C (100 MUg/mL), and group D
(200 MUg/mL). The cell morphology and myotube formation were observed by inverted
microscope at 4, 5, and 8 days after treatment; MTT was used to detect the cell
proliferation at 6 days, and the number of myotube was calculated by HE staining
at 8 days. RESULTS: Cells showed spindle shape after adherent, with ovoid nuclei
and dense cytoplasm under inverted microscope. When the cell adherent rate was
90%, cells arranged in whorls swirled and showed long fusiform adherent growth;
and then nuclei fusion resulted in formation of multincleated myotubes. At 8
days, most myoblasts fused to form myotube in group A, but less myotube was
observed in groups B and C, and the least myotube in group D. The absorbance (A)
values of groups A, B, C, and D were 0.045 2+/-0.004 4, 0.540 4+/-0.096 7, 0.660
9+/-0.143 4, and 1.069 0+/-0.039 0 respectively, showing significant difference
between other groups (P<0.05) except between groups B and C P>0.05). HE staining
observation showed that most myoblasts fused to form myotube in group A, but less
myotube in groups B and C, and the least myotube in group D. The number of
myotube of groups A, B, C, and D were 222.01+/-30.02, 193.13+/-42.46, 170.26+/
11.96, and 136.88+/-16.78 respectively, showing no significant difference among
groups (F=1.658, P=0.252). CONCLUSIONS: CS can significantly promote the
proliferation of myoblast, the promotion is the biggest when CS concentration is
200 MUg/mL.
PMID- 29786214
TI - [RESEARCH PROGRESS OF INTERSPINOUS DISTRACTION DEVICES FOR TREATMENT OF
DEGENERATIVE LUMBAR SPINAL STENOSIS].
AB - OBJECTIVE: To review the research status in respect of interspinous distraction
devices (IDD) in the treatment of degenerative lumbar spinal stenosis (DLSS).
METHODS: Recent original articles related to IDD in the treatment of DLSS were
retrieved extensively, and the effectiveness was analyzed and summarized.
RESULTS: Its short-term effectiveness was superior to that of conservative
treatment, no significant difference was found when compared with decompression
or fusion alone. Its complication rate was higher than that of decompression or
fusion alone, although complication dose not significantly affect treatment
results, it still will increase the medical care cost of patients. CONCLUSIONS:
IDD is appropriate for patients who have failed to nonoperative treatment
measures and can not tolerate open surgery, but the long-term effectiveness and
durability of IDD need further research.
PMID- 29786215
TI - [APPLICATION OF THREE-DIMENSIONAL DIGITAL TECHNOLOGY IN KNEE ARTHROPLASTY].
AB - OBJECTIVE: To review the recent progress in the application of three-dimensional
digital technology in knee arthroplasty. METHODS: The relevant literature at home
and abroad about the three-dimensional digital technology in the applications of
knee arthroplasty in recent years was extensively reviewed. RESULTS: The three
dimensional digital technology can obtain arthroplasty knee morphology and
biomechanics, and can estimate preoperative planning osteotomy and the sizes of
prostheses, so it can guide knee arthroplasty precisely. CONCLUSIONS: Three
dimensional digital technology can reduce the operation error, improve the
operation precision, and improve the effectiveness in knee arthroplasty.
PMID- 29786216
TI - [ADVANCES IN NERVE RECONSTRUCTION OF OBSTETRIC BRACHIAL PLEXUS PALSY].
AB - OBJECTIVE: To review the advances in the diagnosis and treatment of obstetric
brachial plexus palsy (OBPP). METHODS: The incidence, risk factors,
classification, and imaging tests of OBPP and indication, technique, and results
of surgery were reviewed and summarized. RESULTS: The incidence of OBPP is not
declining in recent years. Birth weight of >=4 kg, forceps delivery, and
prepregnancy body mass index of >=21 are considered to be major risk factors, and
caesarean section delivery seems to be a protective factor. Neurophysiological
investigations can be applied to qualitative diagnosis of OBPP, but can not to
quantitative one. Sensitivity and specificity of both CT and MRI myelography are
about 0.7 and 0.97, respectively. Narakas classification is widely used:C5, 6
injury as type I, C5-7 injury as type II, C5-T1 injury as type III, C5-T1 injury
with Horner's syndrome as type IV. It is generally considered that the brachial
plexus exploration should be undertaken for infants without spontaneous recovery
of elbow flexion by a maximum of 3 months old; and 10% to 30% of patients may
need nerve reconstruction surgery. It is advocated that traumatic neuroma of the
upper trunk should be resected with nerve reconstruction. The final evaluation
for surgical results should be at minimal 4 years for upper roots and 8 years for
total roots. Scales of Mallet, Gilbert, and Raimondi are mostly used for
assessing shoulder function, elbow function, and hand function. CONCLUSIONS:
Brachial plexus exploration should be undertaken for infants without flexion of
elbow at the age of 3 months. Traumatic neuroma (even neuroma-in-continuity)
resection followed by microsurgical reconstruction of the brachial plexus is
favored.
PMID- 29786217
TI - [APPLICATION OF DOUBLE PEDICLED FLAP AND TECHNIQUE OF GEOMETRIC BROKEN LINE FOR
PRIMARY REPAIR OF SERIOUS DOG BITE WOUNDS].
AB - OBJECTIVE: To evaluate the effectiveness of double pedicled flap and technique of
geometric broken line (GBL) for primary repair of serious dog bite wound.
METHODS: Between October 2006 and December 2014, 9 patients with serious dog bite
wound were treated. There were 3 males and 6 females with an average age of 34.5
years (range, 8-68 years), and with a mean disease duration of 4 hours (range, 30
minutes to 24 hours). The defect ranged from 1.7 cm*0.5 cm to 15.0 cm*8.0 cm,
with the mean depth of 0.5 cm (range, 0.3-0.8 cm). Deep tissue exposure was
observed. After routine debridement, a vacuum sealing drainage (VSD) was equipped
to suck and irrigate for 1 day, then wound was repaired. The superomedial edge of
defect was trimmed, then a GBL shape mainly with square and rectangular was
designed. According to defect size, a double pedicled flap was designed at the
lateroinferior edge of defect, which size ranged from 1.7 cm*1.5 cm to 18.0
cm*15.0 cm. The donor site was sutured directly. VSD was used for 3 days after
operation. RESULTS: All flaps survived and wound healed, and donor site incision
healed well, with invisible scar. All patients were followed up 6 months to 8
years (mean, 4 years). The wounds were repaired well, and the patients had good
facial appearance. No rabies attack was observed during follow-up. CONCLUSIONS:
It is an ideal repair method to chose double pedicled flap and technique of GBL
for primary repair of dog bite wounds. Satisfactory cosmetic appearance can be
obtained.
PMID- 29786218
TI - [CLINICAL EFFICACY OF MODIFIED POLYTETRAFLUOROETHYLENE PROSTHESIS RHINOPLASTY].
AB - OBJECTIVE: To explore the clinical efficacy of modified polytetrafluoroethylene
(PTFE) prosthesis rhinoplasty for correction of low nose and short columella.
METHODS: Between August 2012 and August 2015, modified PTFE prosthesis
rhinoplasty was used to correct low nose and short columella in 52 patients.
There were 4 males and 48 females, aged 19 to 45 years (mean, 27 years). Primary
rhinoplasty was performed in 47 cases; secondary rhinoplasty was performed in 5
cases, and it was 12-18 months from the first operation (mean, 15 months). During
operation, a scaly sag ventral side was made by carving and moving cap shaped
nose prosthesis was prepared, and nasal dorsumnasal columella prosthesis covered
by tension-free flap was designed. At pre-operation and last follow-up, Image
ProPlus 6.0 software was used to measure the nose length, nose depth, nose tip
width, nostril/nose tip, nasolabial angle, and nasal tip rotation for evaluation
of external nose shape correction; and ultrasound was used to measure the alar
cartilage angle, alar two vertex distance, and nose tip to vertex distance for
evaluation of internal soft tissue changes; the prosthesis position was observed
by CT scan at 12 months. RESULTS: All incisions healed by first intention, with
no complications. All patients were followed up 7-36 months (mean, 20.4 months).
At 12 months after operation, CT scans showed that prosthesis located in the
middle of the nose and above nasal bone, septal cartilage and crus mediale
cartilaginis alaris majoris in 45 patients; no prosthesis displacement was
observed. At last follow-up, image measurement and ultrasound results showed
external nose shape parameters (except nostril/nose tip) and internal soft tissue
structures were significantly improved when compared with preoperative values
(P<0.05). CONCLUSIONS: Modified PTFE prosthesis rhinoplasty can effectively
correct low nose and short columella, with small surgical trauma and good
appearance.
PMID- 29786219
TI - [MODIFIED INTRAMEDULLARY FIXATION WITH TWO Kirschner WIRES FOR EXTRA-ARTICULAR
FRACTURE OF PROXIMAL PHALANGEAL BASE].
AB - OBJECTIVE: To evaluate the effectiveness of the modified intramedullary fixation
with two Kirschner wires for extra-articular fracture of the proximal phalangeal
base. METHODS: Between June 2012 and November 2015, 18 cases (18 fingers) of
fresh extra-articular fracture of the proximal phalangeal base were treated,
including 16 males and 2 females with an average age of 31 years (range, 21-57
years). The causes included strike injury in 10 cases, fall injury in 4 cases,
mechanical injury in 1 case, twist injury in 1 case, crush injury in 1 case, and
cutting injury in 1 case. The injured fingers were little finger in 16 cases,
ring finger in 1 case, and index finger in 1 case. Of the 18 cases, 17 had closed
fractures and 1 had open fracture. X-ray film showed transverse fracture in 14
cases and transverse-oblique fracture in 4 cases. The time between injury and
operation was 3 hours to 4 days (mean, 2 days). After anatomical reduction, two
Kirschner wires were used for intramedullary fixation. Functional exercises were
done at 2 days after operation. The Kirschner wires were removed at 4 weeks after
operation. RESULTS: All the wounds healed by first intention. There were no
deformation, loosening or breakage of Kirschner wires, and pin tract infection.
Postoperative X-ray films showed anatomical reduction of fracture in all cases;
no re-displacement happened, and clinical healing was obtained at 4 weeks; bony
union was obtained at 8 weeks. All patients were followed up 6-12 months with an
average of 8 months. There was no pain in the metacarpophalangeal joint; the
range of motion was (88.1+/-2.3) degrees at 3 months after operation, showing no
significant difference when compared with normal side [(88.8+/-2.6) degrees ]
(t=1.73, P=0.10). The finger flexion and extension were normal; according to the
criteria of total active motion of finger, the results were excellent in all
cases. CONCLUSIONS: The technique of modified intramedullary fixation with two
Kirschner wires for extra-articular fractures of the proximal phalangeal base has
the merits of convenient operation, little injury, reliable fixation,and
excellent effectiveness. This technique is conducive to the recovery of hand
function due to the early functional exercises.
PMID- 29786220
TI - [EFFECTIVENESS OF PART LONG THUMB EXTENSOR TENDON DORSAL ULNAR ARTERY CHIMERIC
FLAP FOR REPAIR OF Doyle TYPE III MALLET FINGER OF THUMB].
AB - OBJECTIVE: To investigate the effectiveness of part long thumb extensor tendon
dorsal ulnar artery chimeric flap for repair of Doyle type III mallet finger of
thumb. METHODS: Between June 2013 and April 2015, 9 cases of Doyle type III
mallet finger of thumb were treated, which were caused by planer injury. There
were 6 males and 3 females, aged from 15 to 65 years (mean, 36 years). The time
from injury to operation was 3-8 hours (mean, 5 hours). All cases had
interphalangeal joint dorsal skin and soft tissue defects of the thumb; the skin
defects ranged from 2.0 cm*1.5 cm to 2.3 cm*2.3 cm; the extensor tendon defect
ranged from 0.5 to 1.5 cm in length (mean, 1.0 cm). The part long thumb extensor
tendon dorsal ulnar artery chimeric flap of 3.0 cm*2.5 cm to 3.5 cm*3.0 cm in
size was used to reconstruct extensor tendon and wound. The donor site was
repaired with nasopharyngeal fossa perforating branches pedicled V-Y relay flap.
RESULTS: All flaps survived completely and incisions healed by first intention.
All patients were followed up 4-12 months (mean, 6 months). The flaps had good
color, texture, and contour. At 6 months after operation, the two-point
discrimination of chimeric flap was 10-12 mm (mean, 11 mm), and two-point
discrimination of relay flap was 12-14 mm (mean, 13 mm). The interphalangeal
joint flexion of thumb was 0-40 degrees , and the thumb opposition function was
normal. CONCLUSIONS: Part long thumb extensor tendon dorsal ulnar artery chimeric
flap can repair the Doyle type III mallet finger of thumb, which has no injury to
the artery and nerve. At the same time the relay flap can achieve linear healing,
so good appearance and function of the thumb can be obtained.
PMID- 29786221
TI - [REVERSED ARTHROSC OPIC SUBACROMIAL DEC OMPRESSION FOR TREATMENT OF ROTATOR CUFF
TEARS].
AB - OBJECTIVE: To investigate the effectiveness of reversed arthroscopic subacromial
decompression in the treatment of rotator cuff tears. METHODS: Between November
2012 and January 2015, 53 patients with rotator cuff tears were treated with
reversed arthroscopic subacromial decompression and rotator cuff repair. Of 53
patients, 38 were male and 15 were female, with the age of 47-61 years (mean,
53.4 years). The injury was caused by falling in 36 cases and other in 17 cases
without an obvious history of trauma. All patients had shoulder pain and limited
shoulder movement before operation. Visual analogue scale (VAS) was 6.4+/-0.9,
and University of California at Los Angeles (UCLA) score was 16.3+/-1.9. MRI
showed that distal supraspinatus tear was observed in 41 cases and distal
infraspinatus tear in 12 cases; partial-thickness rotator cuff tear was observed
in 9 cases and full-thickness tear in 44 cases. And the tear size was from 1 to 3
cm (mean, 1.9 cm). Combined injuries included tendinitis of long head of biceps
brachii in 31 cases, Bankart lesion in 5 cases, and superior labrum anterior and
posterior lesion in 2 cases. RESULTS: Incision healed by first intention in all
patients; no infection or nerve injury occurred. Forty-nine cases were followed
up from 12 to 35 months (mean, 22.8 months). After operation, shoulder pain
relief was achieved in 42 cases; 7 cases had anterior shoulder pain at 3 months
after operation, which was relieved after symptomatic treatment. At last follow
up, VAS score was significantly decreased to 0.5+/-0.6 (t=40.565, P=0.000). UCLA
score was significantly increased to 33.8+/-1.7 (t=-79.799, P=0.000). The results
were excellent in 42 cases, good in 6 cases, and fair in 1 case; the excellent
and good rate was 98.0%. CONCLUSIONS: Reversed arthroscopic subacromial
decompression can avoid coracoacromial arch injury and achieve good recovery of
joint function, so it can be used in rotator cuff tears procedure.
PMID- 29786223
TI - [PROSPECTIVE RANDOMIZED CONTROLLED STUDY ON TREATMENT OF DISPLACED FEMORAL NECK
FRACTURES WITH PERCUTANEOUS COMPRESSION PLATE].
AB - OBJECTIVE: To compare the effectiveness of percutaneous compression plate (PCCP)
and hollow compression screw in the treatment of displaced femoral neck
fractures. METHODS: Between January 2010 and June 2014, 70 patients with
displaced femoral neck fractures were randomly divided into 2 groups. After
reduction, fracture was fixed with PCCP in 35 cases (group A) and with hollow
compression screw in 35 cases (group B). There was no significant difference in
the gender, age, cause, side and type of fractures, time from injury to
operation, associated disease, pre-operative Harris score and visual analogue
scale (VAS) score between 2 groups (P>0.05). The operation time, intra-operative
blood loss, fracture healing time, fracture reduction quality, time of
rehabilitation and weightloading; complication, post-operative Harris score and
post-operative VAS score were compared between 2 groups. RESULTS: The incisions
healed by first intention. All patients were followed up 13-34 months (mean, 23.7
months). There were significant differences in operation time, intra-operative
blood loss, and fracture healing time between 2 groups (P<0.05). There was no
significant in the fracture reduction quality between 2 groups (P>0.05).
Avascular necrosis of the femoral head occurred in 2 cases of group A after
operation (fracture reduction quality: grade IV); and avascular necrosis of the
femoral head occurred in 4 cases of group B after operation (fracture reduction
quality: grade I in 2 cases, grade II in 1 case, grade III in 1 case, and grade
IV in 1 case), nonunion in 1 case, and screw loosening in 3 cases; and there was
significant difference in the incidence of bone nonunion and avascular necrosis
of the femoral head between 2 groups (chi2=-3.997, P=0.046). Difference was
significant in fracture reduction quality in the patients with avascular necrosis
of the femoral head and nonunion between 2 groups (chi2=1.991, P=0.047). The time
of rehabilitation and weight-loading of group A was significantly earlier than
that of group B (P<0.05); the Harris and VAS scores of group A were significantly
better than those of group B at 12 months after operation (P<0.05). CONCLUSIONS:
PCCP for treatment of displaced femoral neck fractures has better static
stability and better sliding dynamic pressure effect than hollow compression
screw, and it can provide earlier rehabilitation and weight-loading
postoperatively and obviously decrease the incidence of bone nonunion and
avascular necrosis of the femoral head, but avascular necrosis of the femoral
head still occur in patients with poor reduction or comminuted fracture.
PMID- 29786222
TI - [CEMENTLESS TOTAL HIP ARTHROPLASTY FOR TREATMENT OF Crowe TYPE III DEVELOPMENTAL
DYSPLASIA OF HIP IN ADULTS].
AB - OBJECTIVE: To investigate the value of cementless total hip arthroplasty (THA)
for the treatment of Crowe type III developmental dysplasia of hip (DDH) in
adults. METHODS: Between September 2013 and September 2015, 50 patients (51 hips)
with Crowe type III DDH were treated. There were 20 males (20 hips) and 30
females (31 hips), with the average age of 39 years (range, 19-55 years). The
left side was involved in 34 cases, the right side in 15 cases, and both sides in
1 case. All patients had the symptoms of limp walking and hip pain. The disease
duration was 10-47 months (mean, 26 months). The sign of "4" number test and
Trendenleburg sign were positive; the Harris score was 38.9+/-7.1. The bilateral
lower extremities discrepancy was 2.5-4.0 cm (mean, 3.3 cm) before operation. All
the patients underwent cementless THA, and acetabulum by structural femoral head
autograft was performed in 28 cases (28 hips). RESULTS: After operation, the
incision healed by first intention. Only 2 patients (2 hips) had femoral nerve
palsy, and 7 patients (7 hips) had leg swelling, which were cured after
symptomatic treatment. All the patients were followed up 6-18 months (mean, 10
months). The sign of limp walking was improved after operation, hip pain was
relieved in 46 patients (46 hips) and only 4 patients (5 hips) still had mild
pain. The X-ray films showed bony healing at 3-6 months (mean, 5 months) after
operation. At last follow-up, the patients had equal limb length with the
discrepancy less than 1 cm (mean, 0.4 cm). At last follow-up, the Harris score
was significantly increased to 91.2+/-2.8 (t=-79.77, P=0.00). CONCLUSIONS: The
cementless THA is an effective method to treat Crowe type III DDH in adults.
PMID- 29786224
TI - [TREATMENT OF SEVERE MEDIAL COLLATERAL LIGAMENT RUPTURE IN MULTI-LIGAMENT
INJURY].
AB - OBJECTIVE: To compare the clinical efficacy between medial collateral ligament
(MCL) repair and MCL reconstruction in multi-ligament injury. METHODS: Thirty-one
patients with MCL rupture and multi-ligament injury of knee joint were treated
between August 2008 and August 2012, and the clinical data were retrospectively
analyzed. Of 31 patients, 11 cases underwent MCL repair (repair group), and 20
cases underwent MCL reconstruction (reconstruction group). There was no
significant difference in gender, age, body mass, injury side, injury cause, and
preoperative knee Lyshlom score, International Knee Documentation Committee
(IKDC) subjective score, range of motion, and medial joint opening between 2
groups (P>0.05). The postoperative knee subjective function and stability were
compared between 2 groups. RESULTS: All incisions healed by first intention, and
no postoperative complication occurred. All patients were followed up 2-4 years
(mean, 3.2 years). At 2 years after operation, the IKDC subjective score, Lyshlom
score, and range of motion were significantly increased in 2 groups when compared
with preoperative ones (P<0.05). The range of motion of reconstruction group was
significantly better than that of repair group (P<0.05). No significant
difference was found in IKDC subjective score and Lyshlom score between 2 groups
(P>0.05). The medial joint opening was significantly improved in 2 groups at 2
years after operation when compared with preoperative one (P<0.05), but no
significant difference was found between 2 groups (P>0.05). CONCLUSIONS: Both the
MCL reconstruction and MCL repair can restore medial stability in multi-ligament
injury, but MCL reconstruction is better than MCL repair in range of motion.
PMID- 29786225
TI - [SIMULTANEOUS REPAIR OF TIBIA BONE DEFECT AND REFRACTORY SOFT TISSUE DEFECT BY
BONE TRANSPORT TECHNIQUE].
AB - OBJECTIVE: To explore the feasibility and effectiveness of bone transport
technique for simultaneous repair of tibia defect and refractory soft tissue
defect. METHODS: Thirty-five patients with tibia bone defect combined with
refractory soft tissue defect were treated between January 2010 and December
2014, and the clinical data were retrospectively analyzed. There were 21 males
and 14 females with an average age of 29 years (range, 18-47 years). All patients
had Gustilo type III open tibial fractures, which were caused by traffic
accident. Fracture located at the upper 1/3 of the tibia in 1 case, at the middle
1/3 of the tibia in 19 cases, and at the lower 1/3 of the tibia in 15 cases. All
patients underwent external fixation after 4-10 hours of trauma, and tibial skin
necrosis, infection, and purulent exudation were observed after 5-10 days of
operation. The time from injury to admission was 21 days to 5 months (mean, 2
months). After debridement, the average length of tibia defect was 8 cm (range, 6
11 cm); the area of soft tissue defect was 6 cm*5 cm to 10 cm*8 cm. Orthofix
external fixation was applied to tract the bone and soft. RESULTS: All 35
patients were followed up 12-22 months (mean, 16 months). The average time of
bony healing was 15 months (range, 9-20 months), and no obvious force line offset
was found. Osteotomy segment was extended from 6 to 11 cm (mean, 8 cm); after
treatment, the external fixation support was retained for 2 to 10 months (mean, 5
months). No blood vessel and nerve injuries were found during treatment, and no
osteomyelitis and refracture happened after operation. The skin and soft tissue
defects healed, and the healing time was 1 to 3 months (mean, 1.3 months).
Different degrees of infection occurred in 5 cases, and was cured after dressing
change. According to Johner-Wruhs' evaluation criteria after external fixator was
removed, the results were excellent in 26 cases, good in 5 cases, and moderate in
4 cases, with an excellent and good rate of 88.6%. CONCLUSIONS: Bone transport
technique can simultaneously repair tibia bone defect and soft tissue defect by
continuous bone and soft tissue traction.
PMID- 29786226
TI - [Masquelet TECHNIQUE COMBINED WITH TISSUE FLAP GRAFTING FOR TREATMENT OF BONE
DEFECT AND SOFT TISSUE DEFECT].
AB - OBJECTIVE: To explore the therapeutic effect of Masquelet technique combined with
tissue flap grafting for bone defect and soft tissue defect. METHODS: Between
August 2012 and April 2015, 18 cases of bone defect and soft tissue defect were
treated by using Masquelet technique combined with tissue flap grafting. There
were 11 males and 7 females, aged from 23 to 59 years (mean, 37.1 years). The
time between injury and treatment was 15 days to 39 months (mean, 0.9 months).
Defect located at the proximal tibia in 4 cases, at the middle tibia in 8 cases,
at the distal tibia in 4 cases, and at the lateral heel in 2 cases. All patients
were treated with debridement. After debridement, the bone defect was (5.7+/-1.8)
cm in length, and the soft tissue defect was 5 cm*4 cm to 13 cm*11 cm in size. In
one-stage operation, bone defect was repaired with the antibiotic cement and
fracture was fixed by temporary external fixation. The surfaces of wound were
repaired with tissue flap. After 6 to 8 weeks when wounds healed with no sign of
infection, bone grafting from iliac bone and/or fibula was performed in two-stage
operation. RESULTS: Eighteen patients followed up 10-38 months (mean, 24.3
months). After one-stage operation, 6 cases had pin track infection, which was
cured by strengthened disinfection and oral antibiotics. All the tissue flaps
survived. Bone healing was observed on X-ray film at 15-57 weeks (mean, 25.3
weeks) after two-stage operation. The function of the knee and ankle joint
recovered well. According to the American Orthopaedic Foot and Ankle Society
(AOFAS) score for the ankle joint, the scores of ankle function ranged from 74 to
98, with an average of 89.7; the results were excellent in 7 cases, good in 10
cases, and fair in 1 case. CONCLUSIONS: The Masquelet technique combined with
tissue flap grafting is an effective method to treat bone defect and soft tissue
defect.
PMID- 29786227
TI - [SHORT-TERM EFFECTIVENESS OF TightRope SYSTEM FOR TREATMENT OF SYNDESMOTIC
TIBIOFIBULAR DIASTASIS].
AB - OBJECTIVE: To summarize the short-term effectiveness of TightRope system for the
treatment of syndesmotic tibiofibular diastasis. METHODS: Between June 2013 and
June 2015, 23 patients with syndesmotic tibiofibular diastasis were treated by
TightRope system, including 15 males and 8 females with an average age of 32.3
years (range, 16-63 years). Injury was caused by sports in 13 cases, by traffic
accident in 6 cases, and by falling from height in 4 cases. The locations were
the left side in 13 cases and the right side in 10 cases. The time from injury to
operation was 6 hours to 12 days (mean, 3.8 days). According to Lauge-Hansen
classification, 13 cases were rated as supination-external rotation type, 7 cases
as pronation-abduction type, and 3 cases as pronation-external rotation type;
according to Weber classification, 5 cases were rated as type A, 11 cases as type
B, and 7 cases as type C. RESULTS: The mean operative time was 68.1 minutes
(range, 48-93 minutes); the mean intraoperative blood loss was 70.3 mL (range, 20
150 mL); and the mean hospitalization days were 7 days (range, 5-13 days).
Superficial local skin necrosis occurred in 6 cases, and primary healing of
incision was obtained in the others. All patients were followed up 8-30 months
(mean, 16.4 months). X-ray films showed bone union was achieved within 6-12 weeks
(mean, 9.4 weeks). No related complications of reduction failure and re-fracture
occurred. The internal fixators were removed at 10-18 months postoperatively
(mean, 13.3 months). According to American Orthopaedic Foot and Ankle Society
(AOFAS) score for ankle function evaluation, the results were excellent in 19
cases and good in 4 cases at last follow-up. CONCLUSIONS: TightRope system is a
good method to treat syndesmotic tibiofibular diastasis, because of safety,
convenient operation, and satisfactory short-term effectiveness.
PMID- 29786229
TI - [CLINICAL OBSERVATION OF POSTERIOR CERVICAL DECOMPRESSIVE LAMINECTOMY AND LATERAL
MASS SCREW FIXATION COMBINED WITH FORAMINOTOMY FOR CERVICAL RADICULO-MYELOPATHY].
AB - OBJECTIVE: To evaluate the effectiveness of posterior cervical decompressive
laminectomy and lateral mass screw fixation combined with foraminotomy for
treating cervical radiculo-myelopathy. METHODS: Between January 2010 and January
2012, 58 patients with cervical radiculo-myelopathy were treated by posterior
cervical decompressive laminectomy and lateral mass screw fixation combined with
foraminotomy. There were 31 males and 27 females, with an average age of 52.7
years (range, 41-72 years). The mean disease course was 5.4 years (range, 3-15
years). The preoperative Japanese Orthopaedic Association (JOA) score was 7.8+/
1.3, and visual analogue scale (VAS) score was 6.8+/-1.7. There were 37 cases of
inter-vertebral disc herniation and ligamentum flavum hypertrophy, 11 cases of
vertebral osteophyte formation with the osteophyte spinal canal occupational
ratio of 51.7%+/-18.1%, and 10 cases of inter-vertebral disc herination with
cervical instability. Preoperative cervical curvature was (-5.5+/-12.5) degrees .
The fixed segments included C3-7 in 29 cases, C4-7 in 19 cases, and C3-6 in 10
cases. Foraminotomy was performed in 135 nerve foramina (mean, 2.33 foramina).
RESULTS: The mean operation time was 204 minutes (range, 167-260 minutes), and
the mean blood loss was 273 mL (range, 210-378 mL). No injury of vertebral artery
or nerve root occurred during operation. Postoperative subcutaneous hematoma and
cervical axial pain occurred in 1 case and 8 cases, respectively; and no nerve
root palsy was observed. The patients were followed up 2.1-4.3 years (mean, 3.4
years). The postoperative JOA score was significantly increased to 14.1+/-1.7 (t=
27.672, P=0.000), with an improvement rate of 68.5%+/-21.9%. Postoperative VAS
score was significantly decreased to 2.1+/-1.1 (t=15.168, P=0.000). The imaging
examination showed adjacent segmental degeneration in 1 patient, who had no
clinical symptom. There was no screw loosening or pseudoarthrosis formation
during follow-up. The cervical curvature was (13.6+/-5.1) degrees at 5 days and
was (13.2+/-4.8) degrees at 2 years, showing significant difference when
compared with preoperative one (P<0.05). The osteophyte spinal canal occupational
ratio was 36.5%+/-10.4% at 2 years, showing significant difference when compared
with preoperative one (t=6.921, P=0.000). CONCLUSIONS: The procedure of posterior
cervical decompressive laminectomy and lateral mass screw fixation combined with
foraminotomy is effect in treating cervical radiculo-myelopathy. The spinal cord
and nerve root can be adequately decompressed by laminectomy and foraminotomy.
The lateral mass screw fixation can correct the cervical curvature and further
reduce the tension to spinal cord.
PMID- 29786228
TI - [SHORT-TERM EFFECTIVENESS OF Hyprocure SUBTALAR STABILIZATION IN TREATMENT OF
ADOLESCENT FLEXIBLE FLATFOOT].
AB - OBJECTIVE: To evaluate the short-term effectiveness of Hyprocure subtalar
stabilization for adolescent flexible flatfoot. METHODS: Between December 2013
and September 2015, 14 cases (26 feet) of adolescent flexible flatfoot were
treated with Hyprocure subtalar stabilization. There were 10 males (18 feet) and
4 females (8 feet) with the average age of 14.5 years (range, 12-18 years). There
were 12 cases (24 feet) of congenital flatfoot and 2 cases (2 feet) of flatfoot
caused by neurological diseases. The preoperative pain visual analogue scale
(VAS) was 4.2+/-0.4; the American Orthopaedic Foot and Ankle Society (AOFAS)
ankle and foot function score was 72.2+/-12.3. Simple Hyprocure subtalar
stabilization was used in 8 feet, and a combination of minimally invasive
percutaneous Achilles tendon lengthening or gastrocnemius lysis, preposing of
posterior tibial tendon and spring ligament tightening surgery, or open dorsal
medial cuneiform osteotomy and bone graft in 18 feet. The VAS score and AOFAS
ankle and foot function score were used to evaluate the effectiveness. The talus
the first metatarsal angle (T1MT), the talus-the second metatarsal angle (T2MT),
and talonavicular coverage angle (TCA) were measured on the anteroposterior X-ray
film; the Meary's angle, calcaneal inclination angle (CI), and the talar
declination (TD) were measured on the lateral X-ray film. RESULTS: All incisions
healed well. Two cases (2 feet) had tarsal sinus pain, which was cured after
symptomatic treatment. All patients were followed up 5-24 months (mean, 14.5
months). Pain was obviously relieved. At last follow-up, VAS score was
significantly decreased to 1.4+/-0.3 (t=27.676, P=0.000). AOFAS ankle and foot
function score was significantly increased to 94.5+/-10.8 (t=7.765, P=0.000). The
postoperative X-ray film showed that medial arch was elevated after the Hyprocure
subtalar stabilization was placed in tarsal sinus. At last follow-up, the T1MT,
T2MT, TCA, Meary's angle, and TD were significantly improved when compared with
preoperative ones (P<0.05); CI has no significant improvement (t=0.109, P=0.598).
CONCLUSIONS: Hyprocure subtalar stabilization is simple, effective for adolescent
flexible flatfoot, the short-term effectiveness is good. But the indications
should be strictly controlled, treatment should be individualized, corresponding
auxiliary soft tissue and bone surgery is needed. The long-term effectiveness
needs further follow-up.
PMID- 29786230
TI - [MINIMALLY INVASIVE PASSAGE IN POSTERIOR LAMINOTOMY DECOMPRESSION AND
INTERVERTEBRAL BONE GRAFTING COMBINED WITH PERCUTANEOUS PEDICLE SCREW FIXATION
FOR TREATMENT OF Denis TYPE B THORACOLUMBAR BURST FRACTURES].
AB - OBJECTIVE: To evaluate the feasibility and the effectiveness of minimally
invasive passage in posterior laminotomy decompression and intervertebral bone
grafting combined with percutaneous pedicle screw fixation for the treatment of
Denis type B thoracolumbar burst fractures. METHODS: Between January 2013 and
March 2015, 53 patients with Denis type B thoracolumbar burst fractures were
treated by minimally invasive passage in posterior laminotomy decompression and
intervertebral bone grafting combined with percutaneous pedicle screw fixation.
There were 37 males and 16 females with a mean age of 43 years (range, 16-57
years). The causes included falling injury from height in 23 cases, traffic
accident injury in 15 cases, heavy pound injury in 7 cases, and falling injury in
8 cases. The time between injury and operation was 7 hours to 12 days (mean, 6.7
days). The involved segments included T11 in 2 cases, T12 in 7 cases, L1 in 20
cases, L2 in 18 cases, and L3 in 6 cases; based on the neurological
classification of spinal cord injury by American Spinal Injury Association
(ASIA), 3 cases were rated as grade A, 5 cases as grade B, 12 cases as grade C,
24 cases as grade D, and 9 cases as grade E. The operation time, bleeding volume,
and postoperative drainage were recorded; postoperative visual analogue scale
(VAS) was used for pain evaluation, and ASIA for neurological function
assessment; CT and X-ray films were taken to observe fracture healing, bone
fusion, and grafted bone absorption; The vertebral canal patency rate was
calculated; the relative height of fractured vertebrae and Cobb angle were
measured. RESULTS: The operation was successfully completed in all patients; the
average operation time was 150 minutes (range, 90-240 minutes); the average
bleeding volume was 350 mL (range, 50-500 mL); the average postoperative drainage
was 80 mL (range, 20-150 mL); and the average VAS score was 2.3 (range, 1.5-4.7)
at 3 days after operation. The incisions healed primarily. All the patients were
followed up 12-19 months (mean, 15 months). All fractures healed at 3-9 months
(mean, 6 months). No complications of broken nails, broken rod, and screw
loosening occurred. At last follow-up, the vertebral canal patency rate was
significantly improved when compared with preoperative value (t=27.395, P=0.000).
The Cobb angle, and the anterior and posterior heights of of traumatic vertebra
were significantly improved at 1 week, 1 year, and last follow-up when compared
with preoperative ones (P<0.05), but there was no significant difference between
different time points after operation (P>0.05). The neurological function was
improved in different degrees; 1 case was rated as grade A, 4 cases as grade B, 7
cases as grade C, 15 cases as grade D, and 26 cases as grade E, showing
significant difference when compared with preoperative one (Z=-5.477, P=0.000).
CONCLUSIONS: Minimally invasive passage in posterior laminotomy decompression,
bone graft in the injured vertebrae combined with percutaneous pedicle screw
fixation is an effective method to treat Denis type B thoracolumbar burst
fractures, which not only can fully decompression, but also can effectively
maintain the postoperative injured vertebral height, reduce the postoperative
failure risk of internal fixation and decrease operation trauma.
PMID- 29786231
TI - [BIOMECHANICAL STUDY ON UPPER THORACIC SPINE BASED ON PRESSURE SENSITIVE FILM
MATERIALS IN CHINESE MINI PIGS].
AB - OBJECTIVE: To analyze the pressure change and distribution of the intervertebral
disc of upper thoracic spine in vertical pressure and 5 degrees flexion,
extension, or lateral bending. METHODS: Twelve thoracolumbar spinal specimens
were harvested from mini pigs and were divided into 2 groups (n=6). T1, 2, T3, 4,
T5, 6, and T7, 8 segments were included in one group, and T2, 3, T4, 5, T6, 7,
and T8, 9 segments were included in the other group. The data from both groups
represented the complete upper thoracic vertebra data. Biomechanical machine and
pressure sensitive film were used to measure the pressure on the vertebral
columns under loadings of 100, 150, and 200 N in vertical pressures and 5 degrees
flexion, extension, or lateral bending. The pressure change of each
intervertebral disc under different loads and in different movement conditions
was analyzed. RESULTS: In flexion, the anterior annulus pressure of the upper
thoracic vertebra increased (P<0.05), whereas the posterior annulus pressure
showed no significant change (P>0.05) or an increasing trend (P<0.05). In
extension, the anterior annulus pressure of the upper thoracic vertebra decreased
(P<0.05), whereas the posterior annulus pressure decreased (P<0.05) or had no
obvious change (P>0.05). In lateral bending, the pressure on the concave side of
the annulus increased significantly (P<0.05). CONCLUSIONS: The upper thoracic
vertebra has unique biomechanical characteristics under different loadings;
moreover, the posterior vertebral structure plays an important role in the
movement of the upper thoracic vertebral segment and pressure distribution. In
lateral bending of the upper thoracic vertebra, the concave side pressure will
increase significantly, which suggests that asymmetrical force is an important
cause of scoliosis progression. Gravity plays an important role in the
progression of scoliosis.
PMID- 29786232
TI - [EFFECT OF MACROPHAGE MIGRATION INHIBITORY FACTOR ON VASCULAR REPAIR OF STEROID
INDUCED AVASCULAR NECROSIS OF FEMORAL HEAD IN VITRO].
AB - OBJECTIVE: To interpret the mechanisms of vascular repair disorders in steroid
induced avascular necrosis of the femoral head (SANFH) via detection of the
changes of proliferation, migration, and macrophage migration inhibitory factor
(MIF)/vascular endothelial growth factor (VEGF) expressions of endothelial cells
(ECs) under hypoxia/glucocorticoid. METHODS: According to culture conditions,
human umbilical vein ECs (HUVECs) at passage 3 were divided into group A
(normal), group B (1.0*10-6 mol/L dexamethasone), group C (hypoxia), and group D
(hypoxia+1.0*10-6 mol/L dexamethasone). The cell activity was detected by
AlamarBlue; the number of viable cells was detected in live/dead cell staining;
the cell morphology was observed after cytoskeleton staining; cell migration
ability was compared by scratch test; and the levels of MIF and VEGF expressions
were detected by ELISA. RESULTS: At 24 hours after culture, the cell activity and
the number of living cells in group C were significantly higher than those in the
other 3 groups, showing significant difference between groups (P<0.05), and group
D had the worst cell activity and least living cells. Cytoskeleton staining
showed that cells had normal morphology in groups A and B; cells had rich
cytoskeleton and secretion granules in group C; cytoskeleton form disorder and
nucleus pyknosis were observed in group D. Scratch test showed that the cell
migration ability of group C was strongest while cell migration ability of group
D was weakest. Accumulated concentration of MIF and VEGF in 4 groups
significantly increased with time extending. Accumulated concentration of MIF in
group C were significantly higher than that in other 3 groups at each time point
(P<0.05). Within 24 hours after intervention, stage concentration of MIF during 1
8 hours was significantly lower than that during 0-1 hour and 8-24 hours in every
group (P<0.05). Stage concentration of MIF in group C was significantly higher
than other groups during 0-1 hour and 8-24 hours (P<0.05). Within 2 hours after
intervention, stage concentration of MIF in 4 groups during 0.5-1 hour was
significantly higher than that during other stages (P<0.05). Accumulated
concentration of VEGF in group C was significantly higher than that in other
groups at 8 and 24 hours (P<0.05). The stage concentration of VEGF in groups C
and D during 8-24 hours was significantly higher than that during 0-1 hour and 1
8 hours (P<0.05). There was no significant difference in the stage concentration
of VEGF within and among group A, B, C, and D at every stage within 2 hours after
intervention (P>0.05). CONCLUSIONS: In hypoxia environment, the proliferation and
migration of ECs is enhanced, and the secretion of VEGF and MIF is increased.
High concentration of dexamethasone will suppress the process above, which
induces vascular repair disorders and aggravating SANFH.
PMID- 29786233
TI - [EFFECT OF STAPHYLO CO CCAL PEPTID O GLYCAN ON OSTEO CL AST DIFFERENTIATION].
AB - OBJECTIVE: To investigate the effect of Staphylococcal peptidoglycan (PGN-sa) on
raw264.7 cells differentiating into osteoclasts. METHODS: There were 5 groups in
the experiment: 100 ng/mL PGN-sa group, 200 ng/mL PGN-sa group, 400 ng/mL PGN-sa
group, positive control group [100 ng/mL receptor activator of nuclear factor
kappaB ligand (RANKL)], and blank control group (PBS). Raw264.7 cells were
cultured with different concentrations of PGN-sa, RANKL, or PBS for 5 days, and
then tartrate resistant acid phosphatase (TRAP) staining was used to detect the
formation of osteoclast-like cells; Image-Pro Plus 6.0 software was used to
detect the bone resorption areas of osteoclast-like cells; and MTT assay was used
to observe the proliferation activity of raw264.7 cells. RESULTS: TRAP staining
showed that PGN-sa and RANKL can induce raw264.7 cells to differentiate into
osteoclast-like cells; different concentrations of PGNsa groups had more
osteoclast-like cells formation than blank control group (P<0.05), and the number
of osteoclast-like cells significantly increased with the increase of PGN-sa
concentrations (P<0.05). Bone resorption cavity experiment showed that bone
resorption cavities were obvious in different concentrations of PGN-sa groups and
in positive control group, and the area of bone absorption cavities was increased
with the increasing PGN-sa concentrations, showing significant difference between
groups (P<0.05). MTT assay showed that no significant difference was found in the
absorbance (A) value between different concentrations of PGN-sa groups and blank
control group, and between different concentrations of PGN-sa groups (P>0.05).
CONCLUSIONS: PGN-sa can promote raw264.7 cells to differentiate into osteoclasts
with bone resorption activity.
PMID- 29786234
TI - [ROLE OF EXTRACELLULAR SIGNAL-RELATED PROTEIN KINASE 1/2 PATHWAY IN GINSENOSIDE
Rg1 MEDIATED ANTI-APOPTOTIC EFFECT ON NEURON AFTER HYPOXIA ISCHEMIA BRAIN DAMAGE
IN NEONATAL RATS].
AB - OBJECTIVE: To investigate the anti-apoptotic effect of ginsenoside Rg1 in
neonatal rats with hypoxia ischemia brain damage (HIBD), and to explore the
possible signaling pathway involved in anti-apoptosis. METHODS: Forty-eight 10
day-old Sprague Dawley (SD) rats (weighing 17-21 g, male or female) were randomly
allocated into 4 groups (12 rats in each group): sham-operation group (sham
group), HIBD group (HI group), HIBD+ginsenoside Rg1 group (HI+Rg1 group), and
HIBD+ginsenoside Rg1+U0126 group (HI+Rg1+U0126 group). SD rats in HI group,
HI+Rg1 group, and HI+Rg1+U0126 group underwent ligation of the right common
carotid artery (CCA) and hypoxic ventilation (8%O2+92%N2) for 2.5 hours to
prepare the HIBD model, and rats in sham group underwent only separation of the
right CCA. SD rats in HI+Rg1+U0126 group received intraventricular injection of 5
MUL phosphate buffer saline (PBS) containing U0126 (25 MUg/kg) at 1 hour before
HIBD, and rats in the other three groups received intraventricular injection of
PBS at the same time. The rats in HI+Rg1 group and HI+Rg1+U0126 group received
intraperitoneal injection of 0.1 mL normal saline (NS) containing Rg1 (40 mg/kg)
at immediate after HIBD, while rats in HI group and sham group received
intraperitoneal injection of 0.1 mL NS at immediate after HIBD. At 4 and 24 hours
after HIBD, the right hemisphere and hippocampus were collected to detect the
protein expression and distribution of extracellular signal-related protein
kinase 1/2 (Erk1/2), phospho-Erk1/2 (p-Erk1/2), hypoxia inducible factor 1alpha
(HIF-1alpha), and cleaved Caspase-3 (CC3) by Western blot and
immunohistochemistry staining. TUNEL staining was used to evaluate neural
apoptosis in situ. RESULTS: Western blot results showed that there were
expressions of Erk1/2, p-ERK1/2, HIF-1alpha, and CC3 proteins at 4 and 24 hours
after HIBD in each group. The expressions of HIF-1alpha and CC3 protein at 4 and
24 hours, and expression of p-Erk1/2 protein at 4 hours were significantly
increased in HI group when compared with sham group (P<0.05). When compared with
HI group, the expressions of p-Erk1/2 and HIF-1alpha protein in HI+Rg1 group were
significantly increased (P<0.05), while the expression of CC3 protein was
significantly decreased at 4 and 24 hours (P<0.05). When compared with HI+Rg1
group, the expressions of p-Erk1/2 and HIF-1alpha proteins in HI+Rg1+U0126 group
were significantly decreased (P<0.05), while expression of CC3 protein was
significantly increased at 4 and 24 hours (P<0.05). There was no significant
difference in Erk1/2 protein expression between groups at different time points
(P>0.05). Immunohistochemistry staining displayed that HIF-1alpha and CC3
proteins mainly distributed in the nucleus and cytoplasma, while Erk1/2 and p
Erk1/2 proteins mainly distributed in the cytoplasma. The expression levels of
protein by immunohistochemistry results were similar to the results by Western
blot. TUNEL staining showed that the apoptotic neurons were characterized by
yellow or brown particle in the nucleus. The apoptotic index (AI) of neurons at 4
and 24 hours was significantly increased in HI group when compared with sham
group (P<0.05), and the AI of neurons was significantly decreased in HI+Rg1 group
when compared with HI group and HI+Rg1+U0126 group at 24 hours (P<0.05).
CONCLUSIONS: Rg1 could enhance HIBD induced HIF-1alpha expression and inhibit
activation of Caspase-3 by Erk1/2 signaling pathway, and play an anti-apoptotic
role in neonatal rats with HIBD.
PMID- 29786236
TI - [EFFECT OF RECOMBINANT CO-EXPRESSION ADENOVIRUS OF NERVE GROWTH FACTOR AND MYELIN
ASSOCIATED GLYCOPROTEIN GENES ON RAT SCIATIC NERVE INJURY].
AB - OBJECTIVE: To construct recombinant adenovirus expressing nerve growth factor
(NGF) and myelin associated glycoprotein (MAG) (Ad-NGF-MAG) and to investigate
its effect on repair and regeneration of sciatic nerve injury in rats. METHODS:
NGF and MAG gene sequences were cloned into shuttle plasmid pCA13 of adenovirus
type 5. After packed in HEK293 cells, the recombinant Ad-NGF-MAG underwent
sequence and identification. Thirty-two male Sprague Dawley rats were randomly
divided into 4 groups (n=8): control group (normal control), adenovirus vector
group (Ad group), Ad-NGF group, and Ad-NGF-MAG group. The sciatic nerve injury
model was established by transection of the right sciatic nerve; then, the empty
adenovirus vector, Ad-NGF, and Ad-NGF-MAG were injected into the gastrocnemius
muscle of the affected limb at a dose of 1*108 PFU every other day for 3 times in
Ad group, AdNGF group, and Ad-NGF-MAG group, respectively. The right sciatic
nerve was exposed only, and then the incision was closed in the control group.
The sciatic nerve function index (SFI) was measured, and neuro-electrophysiology
was observed; mRNA and protein expressions of NGF and MAG were detected by RT-PCR
and Western blot; and histological examination was performed at 31 days after
operation. RESULTS: Recombinant adenovirus vectors of Ad-NGF and Ad-NGF-MAG were
constructed successfully. All rats survived and incision healed by first
intension. The SFI, nerve conduction velocity, evoked potential amplitude, and
latent period of Ad-NGF-MAG group were significantly better than those of Ad
group and Ad-NGF group (P<0.05). MAG mRNA and protein expressions of Ad-NGF-MAG
group were the highest in all the groups (P<0.05). The expressions of NGF mRNA
and protein increased in Ad-NGF group and AdNGF-MAG group when compared with
control group and Ad group (P<0.05). Histological examination showed that the
nerve had good continuity in control group; nerve fibers disarranged in Ad group;
neurons connections formed in some nerve fibers of Ad-NGF group, but nerve fibers
arrange disorderly; and the growth of the nerve were ordered and wellstructured
in Ad-NGF-MAG group. CONCLUSIONS: Ad-NGF-MAG can effectively promote the growth
of the nerve and inhibit the form of abnormal branches, facilitating the repair
of sciatic nerve injury in rats.
PMID- 29786235
TI - [NEUROPROTECTIVE EFFECTS OF MANGIFERIN ON ACUTE SPINAL CORD INJURY IN RATS AND
ITS MECHANISM].
AB - OBJECTIVE: To investigate the protective effect of mangiferin on acute spinal
cord injury (SCI) in rats and its mechanism. METHODS: Ninety Sprague Dawley rats
were randomly divided into 5 groups, 18 rats in each group. SCI was induced by
using the Allen's method (60 g/cm) at T9 level in the rats of groups B, C, D, and
E; laminectomy was performed at T8-10 in group A. The rats were injected
intraperitoneally with saline in groups A and B, and with mangiferin in groups C
(10 mg/kg), D (25 mg/kg), and E (50 mg/kg) every day for 30 days. The survival
condition of rats was observed after operation; at 24, 48, and 72 hours after
operation, the motor function of the hind limb was evaluated by the Basso,
Beattie, Bresnahan (BBB) scores. The spinal cord edema was assessed by measuring
the water content in spinal cord tissues at 72 hours. Meanwhile, malondialdehyde
(MDA), catalase (CAT), superoxide dismutase (SOD), and glutathione peroxidase
(GSH) were detected by ELISA; nuclear factor kappaB (NF-kappaB), tumor necrosis
factor alpha (TNF-alpha), interleukin 1beta (IL-1beta), and IL-6 were measured
via ELISA at the same time. Caspase-3 and Caspase-9 were also detected by ELISA
after mangiferin treatment for 30 days. The expressions of Bax and Bcl-2 proteins
were detected by Western blot. Pathological changes of the spinal cord was
observed by HE staining. And Caspase-3 protein expression was detected by
immunohistochemical staining. RESULTS: All rats survived to the end of
experiment. BBB scores of groups B, C, D, and E were significantly less than that
of group A (P<0.05), and it showed an increase trend from groups B to E (P<0.05).
The content of water of groups B, C, D, and E were significantly greater than
that of group A (P<0.05), and it showed a decrease trend from groups B to E
(P<0.05). ELISA showed that the activities of MDA, NF-kappaB, TNF-alpha, IL
1beta, IL-6, Caspase-3, and Caspase-9 in groups B, C, D, and E were significantly
greater than that in group A (P<0.05), and they showed decrease trends from
groups B to E (P<0.05). Meanwhile, the activities of CAT, SOD, and GSH in groups
B, C, D, and E were significantly less than that in group A (P<0.05), and they
showed increase trends from groups B to E (P<0.05). Western blot showed that the
relative expression of Bax protein in groups B, C, D, and E were significantly
greater than that in group A (P<0.05), and it showed a decrease trend from groups
B to E (P<0.05). Meanwhile, the relative expression of Bcl-2 protein in groups B,
C, D, and E were significantly less than that in group A (P<0.05), and it showed
an increase trend from groups B to E (P<0.05). Histological observation showed
that the pathological changes in group B were accord with that in SCI, and the
degree of necrosis in groups C, D, and E were significantly improved when
compared with that in group B, and the effect was better in group E than group D,
and group D than group C. Immunohistochemical staining showed that the absorbance
(A) value of Caspase-3 in groups B, C, D, and E were significantly greater than
that in group A (P<0.05), and it showed a decrease trend from groups B to E
(P<0.05). CONCLUSIONS: Mangiferin has neuroprotective effects on acute SCI in
rats by alleviating edema of spinal cord, inhibiting oxidative stress and
inflammation response, and regulating the Bcl-2 and Bax pathway.
PMID- 29786237
TI - [EFFECT OF alpha-LIPOIC ACID IN INHIBITING OXIDATIVE STRESS AND PROMOTING
DIABETIC WOUND HEALING BY SUPPRESSING EXPRESSION OF miR-29b IN MICE].
AB - OBJECTIVE: To investigate the effect of alpha-lipoic acid on the oxidative stress
of wound tissues and diabetic wound healing in mice with diabetic feet. METHODS:
Sixty male C57BL/6J mice weighting 200-300 g were randomly divided into model
group (control group, n=15), alpha-lipoic acid-treated model group (n=15), miR
29b mimic group (n=15), and miR-29b mimic negative control group (NC group,
n=15). All animals received intraperitoneal injection of streptozocin to
establish the diabetic model. Then, a full thickness wound of 5 mm*2 mm in size
was created at 4 weeks after modeling. All mice were administrated with high
sugar-fat-diet. At the same day after modeling, alpha-lipoic acid-treated model
group was continuously given intravenous injection of 100 mg/(kg.d) alpha-lipoic
acid for 14 days; miR-29b mimic group and NC group received the tail intravenous
injection of lentiviral vector for miR-29b mimic and miR-29b mimic negative
control (a total of 2*107 TU), respectively, with the treatment of alpha-lipoic
acid. The wound healing was observed and wound area was measured at 7 and 14
days. The wound tissues were harvested to detect the levels of superoxide
dismutase (SOD) and glutathione (GSH) using xanthine oxidase method and 5, 5
dithiobis-2-nitrobenzoic acid staining method at 14 days. At the same day, 7, and
14 days after modeling, the relative miR-29b expression in wound tissues from
control and alpha-lipoic acid-treated model groups was detected by real-time
fluorescence quantitative PCR. RESULTS: All mice survived to the experiment end.
The wound healing was faster in alpha-lipoic acid-treated group than control
group. At 7 and 14 days, the relative wound area and miR-29b expression level
were significantly lower, while the contents of SOD and GSH were significantly
higher in alpha-lipoic acid-treated group than control group (P<0.05). In
addition, miR-29b mimic group had significantly increased relative wound area and
significantly decreased the contents of SOD and GSH when compared with NC group
at 7 and 14 days (P<0.05). CONCLUSIONS: alpha-lipoic acid could inhibit oxidative
stress and promote diabetic wound healing by suppressing expression of miR-29b in
mice.
PMID- 29786238
TI - [REGUL ATORY EFFECT OF SIMVASTATIN ON MIDDLE/L ATE STAGES OSTEOGENIC
DIFFERENTIATION OF BONE MARROW MESENCHYMAL STEM CELLS VIA p38MAPK PATHWAY].
AB - OBJECTIVE: To investigate the regulatory effect of simvastatin on osteogenic
differentiation of bone marrow mesenchymal stem cells (BMSCs) at middle/late
stages by p38MAPK pathway under condition of osteoinductive environment. METHODS:
The bone marrow of bilateral femur and tibia were harvested from 20 4-week-old
female Sprague Dawley rats. BMSCs were isolated and cultured with whole bone
marrow culture method; the second generation of cells were randomly divided into
5 groups: control group (complete medium, CM), simvastatin group (simvastatin
medium, SIM), osteogenic induction group (osteogenic induction medium, OM),
simvastatin and osteogenic induction group (simvastatin+osteogenic induction
medium, OM+SIM), and blocker group (SB203580+simvastatin+osteogenic induction
medium, OM+SIM+SB). MTT assay was used to detect the cell activity in CM group
and SIM group at 2, 3, 4, 5, and 6 days, ELISA method to measure the content of
alkaline phosphatase (ALP) in OM group and OM+SIM group at 7 and 14 days. The
mRNA and protein expressions of osteocalcin (OCN) were detected by real-time
quatitative PCR and Western blot after 1, 12, and 24 hours of osteogenic
induction at 21 and 28 days. The protein expressions of phospho-p38 (p-p38) and
p38 in OM group, OM+SIM group, and OM+SIM+SB group were detected by Western blot
at the best induction time of simvastatin. RESULTS: MTT assay showed that no
significant difference was found in absorbance (A) value between CM group and SIM
group at each time point (P>0.05), indicating no effect of 1*10-7 mol/L
simvastatin on cell viability. ELISA results showed that ALP content
significantly increased in OM+SIM group when compared with OM group at 7 and 14
days; the ALP content was significantly higher at 7 days than 14 days in OM group
and OM+SIM group (P<0.05). OCN mRNA and protein expressions at 12 hours were
significantly higher than those at other time points in each group (P<0.05), and
the expressions of OM+SIM group was significantly higher than those of OM group
(P<0.05). The best induction time of simvastatin was 12 hours. At 12 hours after
blocking intervention, the p-p38/p38 in OM+SIM+SB group was significantly lower
than that in OM group and OM+SIM group (P<0.05), and the p-p38/p38 in OM+SIM
group was significantly higher than that in OM group (P<0.05). CONCLUSIONS:
Simvastatin can increase the mRNA and protein expression levels of OCN and the
protein of p-p38 in osteogenic differentiation of BMSCs at middle/ late stages,
and its best induction time is 12 hours.
PMID- 29786239
TI - [RESEARCH PROGRESS OF SURGICAL SELECTION OF FUSION LEVELS FOR DEGENERATIVE
SCOLIOSIS].
AB - OBJECTIVE: To summarize the progress of the surgical selection of fusion levels
for degenerative scoliosis. METHODS: The domestic and foreign related literature
about degenerative scoliosis, including clinical features, classification,
surgical treatment, and the fused segment, was summarized. RESULTS: Degenerative
scoliosis is very complicated. Short segment fusion and long segment fusion are
the main surgical types. The long segment fusion is better in terms of
reconstructing the stability of spine; however, it has more related
complications. The short segment fusion has been used widely in clinical, but it
causes degenerative disease easily. W/AL value can be used to direct the
selection of short or long segment fusion for degenerative scoliosis.
CONCLUSIONS: The key to success surgery is choosing reasonable fused segment. Now
there is no unified selection standard. With more knowledge about degenerative
scoliosis, greater development can be expected in the future.
PMID- 29786240
TI - [Technical summary and modified instruments of free vascularized fibular grafting
for osteonecrosis of femoral head].
AB - Objective: To summarize retrospectively the clinical technology of repairing
osteonecrosis of femoral head (ONFH) by free vascularized fibular grafting
(FVFG), and the value of modified instruments in operation. Methods: Between
March 2011 and January 2013, 35 patients with ONFH (47 hips) who underwent FVFG
with modified instruments. There were 24 males (32 hips) and 11 females (15
hips), aged 34 years on average (range, 22-43 years). The unilateral hip was
involved in 23 cases and the bilateral hips in 12 cases. The disease duration
ranged from 5 to 9 months (mean, 7 months). Based on etiology, 25 hips were
classified as alcohol ONFH, 12 hips as corticosteroids ONFH, 3 hips as trauma
ONFH, and 7 hips as idiopathic ONFH. According to the Association Research
Circulation Osseous(ARCO) stage, 3 hips were rated as stage I, 39 hips as stage
II, and 5 hips as stage III on the X-ray films. The preoperative Harris score was
58.2+/-6.1. Results: The time to get fibula was 15-35 minutes (mean, 25 minutes).
The operation time was 90-200 minutes (mean, 130 minutes), and the blood loss
during operation was 150-500 mL (mean, 270 mL). All the patients achieved primary
healing of incision, without complication of infection or deep vein thrombosis.
All 35 patients were followed up 12-42 months, with an average of 28 months. The
Harris score at final follow-up was 87.3+/-5.7, showing significant difference
when compared with preoperative score ( t=102.038, P=0.000). Radiographic results
at final follow-up showed good position of fibula; and necrosis was improved in 9
hips, had no changes in 36 hips, and aggravated in 2 hips. Conclusion: FVFG for
ONFH can improve hip function effectively, and modified instruments can improve
operation efficiency.
PMID- 29786241
TI - [Effectiveness of distal femoral osteotomy assisted by three-dimensional printing
technology for correction of valgus knee with osteoarthritis].
AB - Objective: To evaluate the effectiveness of distal femoral osteotomy aided by
three-dimensional (3D) printing cutting block for correction of vaglus knee with
osteoarthritis. Methods: Between January 2014 and January 2016, 12 patients (15
knees) with vaglus deformity and lateral osteoarhritis underwent medial closing
wedge distal femoral osteotomy. There were 5 males and 7 females, aged 30-60
years (mean, 43.8 years). The mean disease duration was 6.6 years (range, 1-12
years). The unilateral knee was involved in 9 cases and bilateral knees in 3
cases. According to Koshino's staging system, 1 knee was classified as stage I, 9
knees as stage II, and 5 knees as stage III. The X-ray films of bilateral lower
extremities showed that the femorotibial angle (FTA) and anatomical lateral
distal femoral angle (aLDFA) were (160.40+/-2.69) degrees and (64.20+/-2.11)
degrees respectively. Mimics software was used to design and print the cutting
block by 3D printing technique. During operation, the best location of distal
femoral osteotomy was determined according to the cutting block. After osteotomy,
internal fixation was performed using a steel plate and screws. Results: All
incisions healed primarily; no complication of infection or deep vein thrombosis
was observed. All patients were followed up 6-18 month (mean, 12.2 months). At 6
months after operation, the hospital for special surgery (HSS) score for knee was
significantly improved to 89.07+/-2.49 when compared with preoperative score
(65.27+/-1.49, t=-28.31, P=0.00); the results were excellent in 10 knees, good in
4 knees, and fair in 1 knee with an excellent and good rate of 93.3%. The bony
union time was 2.9-4.8 months (mean, 3.3 months). Bone delayed union occurred in
1 case (1 knee). The postoperative FTA and aLDFA were (174.00+/-1.41) degrees
and (81.87+/-1.06) degrees respectively, showing significant differences when
compared with preoperative ones ( t=-18.26, P=0.00; t=-25.19, P=0.00). The
percentage of medial tibial plateau in whole tibial plateau was 49.78%+/-0.59%,
showing no significant difference when compared with intraoperative measurement
(49.82%+/-0.77%, t=0.14, P=0.89). Conclusion: 3D printing cutting block can
greatly improve the accuracy of distal femoral osteotomy, and ensure better
effectiveness for correction of vaglus knee with osteoarthritis.
PMID- 29786242
TI - [Effect of Jumbo cups for total hip arthroplasty revision on femoral offset and
hip center of rotation].
AB - Objective: To investigate the change of the femoral offset and hip center of
rotation (COR) after using Jumbo cups in total hip arthroplasty (THA) revision.
Methods: The clinical data of 23 patients who underwent THA revision using Jumbo
cups between January 2010 and May 2015 were retrospectively analyzed. Morselized
bone graft was performed on 8 cases, morselized bone graft combined with
structural bone graft on 10 cases. There were 10 males and 13 females, aged 65.4
years on average (range, 51-77 years). The disease duration was 1-24 years (mean,
8.57 years). The reasons for revision included aseptic loosening in 21 cases and
periprosthetic infection in 2 cases. The Harris hip score and visual analogue
scale (VAS) were 43.04+/-5.05 and 5.70+/-0.97 before operation respectively.
According to the Paprosky acetabular defect classification, there were 5 cases of
type I, 5 cases of type II A, 3 cases of type II B, 6 cases of type II C, and 4
cases of type III A. The X-ray films showed that the femoral offset was (40.65+/
4.09) mm for normal side and was (44.04+/-5.08) mm for affected side at
preoperation, showing significant difference ( t=4.098, P=0.000). Ten patients
underwent femoral offset reconstruction (43.48%) but 13 patients did not (56.52%)
before operation. The COR was reconstructed in 10 cases (43.48%); COR elevation
was observed in 11 cases (47.83%), and COR decline in 2 cases (8.69%) before
operation. Results: Primary healing of incision was obtained in all patients,
with no complication of infection, vascular injury, deep vein thrombosis,
dislocation of the joint, or fracture around prosthesis. All the patients were
followed up 12-76 months (mean, 22.48 months). The Harris hip score and VAS were
82.09+/-4.53 and 0.74+/-0.62 at 1 year after operation respectively, showing
significant differences when compared with preoperative scores ( t=37.831,
P=0.000; t=22.318, P=0.000). The X-ray films showed that the femoral offset was
(43.87+/-3.57) mm for affected side at 1 year after operation, showing no
significant difference when compared with preoperative one ( t=0.250, P=0.805),
but significant difference was found between affected side and normal side (
t=5.591, P=0.000). The femoral offset was restored in 16 patients (69.57%) and
was not restored in 7 patients (30.43%) after operation. The COR was restored in
15 patients (65.22%) and was not restored in 8 patients (34.78%). Conclusion:
Using Jumbo cups or combined with morselized or structural bone graft is
effective in restoring hip COR and femoral offset at the maximum limit in THA
revision, with good short-term outcome and improved stability of acetabular
prosthesis.
PMID- 29786243
TI - [Mid- and long-term effectiveness and failure causes analysis of large-head metal
on-metal total hip arthroplasty].
AB - Objective: To explore the effectiveness and failure causes of large-head metal-on
metal total hip arthroplasty (large-head MoM THA). Methods: Between March 2007
and May 2010, 159 patients (183 hips) underwent large-head MoM THA, and the
clinical data were analyzed. There were 50 females (54 hips) and 109 males (129
hips) with an average age of 50 years (range, 20-78 years). Single hip was
involved in 135 cases (left hip in 69 cases and right hip in 66 cases) and double
hips in 24 cases. The causes included femoral head necrosis in 74 cases (93
hips), Legg-Calve-Perthes in 1 case (1 hip), osteoarthritis in 18 cases (19
hips), developmental dysplasia of the hip in 17 cases (18 hips), osteoarthritis
after hip septic infection in 8 cases (8 hips), traumatic arthritis of the hip in
6 cases (6 hips), femoral neck fracture in 17 cases (17 hips), ankylosing
spondylitis in 8 cases (11 hips), rheumatoid arthritis of hip in 9 cases (9
hips), and adult onset Still's disease in 1 case (1 hip). Before operation,
visual analogue scale (VAS) was 6.59+/-0.87; Harris score was 45.99+/-8.07.
Results: Healing of incisions by first intention was achieved, and no operative
complication occurred. The patients were followed up 1.2-8.2 years (mean, 6.1
years). Implant failure was observed in 15 cases (17 hips), and the 5-year
survival rate of large-head MoM THA was 91.80% (168/183). The causes of implant
failure after THA were inflammatory pseudotumor in 4 cases (4 hips), acetabular
aseptic loosening in 3 cases (3 hips), osteolysis in 4 cases (5 hips), acetabular
aseptic loosening combined with inflammatory pseudotumor in 3 cases (3 hips), and
functional disused in 1 case (2 hips). Of them, 9 cases (11 hips) did not receive
revision surgery for various reasons, while 6 cases (6 hips) underwent revision
surgery at 1.2-5.4 years (mean 3.7 years) after large-head MoM THA. At last
follow-up, VAS and Harris score were 1.72+/-1.48 and 81.37+/-10.75 respectively,
showing significant differences when compared with preoperative scores ( t=
35.547, P=0.000; t=33.823, P=0.000). The function was excellent in 44 hips, good
in 89 hips, fair in 33 hips, and poor in 17 hips. Conclusion: Large-head MoM THA
has a high revision rate during mid- and long-term follow-up because of
inflammatory pseudotumor, acetabular aseptic loosening, and osteolysis. Early
revision can effectively improve the function of the hip and improve
patients'quality of life.
PMID- 29786244
TI - [Effectiveness of arthroscopic debridement and release for post-traumatic
arthritis of subtalar joint].
AB - Objective: To evaluate the effectiveness of arthroscopic debridement and release
for post-traumatic arthritis of subtalar joint. Methods: Between January 2011 and
December 2014, 14 cases of post-traumatic arthritis of the subtalar joint
underwent arthroscopic debridement and release, and the clinical data were
retrospectively analyzed. All patients were male, aged 42 years on average
(range, 32-62 years). Calcaneus fracture was caused by falling from height; 8
cases received conservative treatment and the other 6 cases received open
reduction and internal fixation. The mean interval from injury to operation was
3.4 years (range, 2-7 years). The arthroscopic debridement and release were
performed through lateral portals. The injury degree of articular cartilage was
classified as grade 3 in 4 cases and grade 4 in 10 cases based on Outerbridge
rating. The degree of pain was assessed by visual analogue scale (VAS) and the
function of joint was assessed by the American Orthopaedic Foot & Ankle Society
(AOFAS) Ankle Hindfoot Scale. Results: All incisions healed by first intention
and no complication occurred. The follow-up time was 18 months. Pain was relieved
obviously; VAS was significantly decreased to postoperative 3.8+/-0.9 at 18
months from preoperative 7.7+/-1.2 ( t=9.728, P=0.000), and AOFAS Ankle Hindfoot
Scale was significantly increased to postoperative 59.1+/-8.8 from preoperative
37.6+/-8.2 ( t=6.688, P=0.000). During follow-up, no patient was given
arthrodesis and no worsened sign was found on the postoperative CT and MRI.
Conclusion: Arthroscopic debridement and release for post-traumatic arthritis of
the subtalar joint can relieve clinical symptoms and delay subtalar arthrodesis.
PMID- 29786246
TI - [Tissue flap combined with sequential bone lengthening technique for repairing
severe soft tissue and bone defects of lower extremity after burn injury].
AB - Objective: To investigate the effectiveness of tissue flap grafting and
sequential bone lengthening for repairing severe soft tissue and bone defects of
the lower extremity after burn injury. Methods: Between January 2010 and December
2015, 11 cases of large segmental bone and soft tissue defects in the leg were
treated. There were 10 males and 1 female, with a mean age of 28 years (range, 19
37 years). The causes included traffic accident in 8 cases, high voltage electric
burn in 2 cases, CO poisoning burn in 1 case. The time from injury to admission
was 3-14 days (mean, 6.5 days). The bone defect length was 8-18 cm (mean,14 cm);
the skin soft tissue defect ranged from 13 cm * 8 cm to 25 cm * 19 cm. After
complete removal of necrotic tissue and lesions of the femur or the tibia, the
tissue flaps were used to repair soft tissue defect of the lower extremity in one
stage operation; bone defect was treated by Orthofix single side external
fixation or Ilizarov ring external fixation in two-stage operation. Results:
Eleven flaps survived completely, primary healing of incision was obtained in the
others except for 1 patient who had necrotic bone infection, which was cured
after removing necrosed femoral bone and filling with antibiotic bone cement
spacer. During bone lengthening, pin tract infection occurred in 1 patient, and
infection was controlled after dressing change. Bone lengthening ranged from 8 to
18 cm, with an average of 14 cm. After prolonged extension, the external fixator
was retained for 4-12 months (mean, 6.5 months). All bone defects were repaired
with bone healing time of 12-22 months (mean, 17 months). All patients were
followed up 8-24 months (mean, 15 months). No vascular and neurological
complication occurred during operation; no osteomyelitis or re-fracture occurred
after operation, and the recovery of the lower extremity function was good.
Conclusion: Tissue flap grafting combined with bone lengthening is an effective
method to repair severe bone and soft tissue defects of lower extremity.
PMID- 29786245
TI - [Treatment of traumatic ulnar styloid impaction syndrome by Sauve-Kapandji
procedure].
AB - Objective: To evaluate the effectiveness of Sauve-Kapandji procedure in the
treatment of traumatic ulnar styloid impaction syndrome. Methods: Between June
2010 and January 2013, 12 patients with traumatic ulnar styloid impaction
syndrome were treated by Sauve-Kapandji procedure. There were 4 men and 8 women,
with an average age of 58.9 years (range, 50-69 years). The disease was caused by
traffic accident in 1 case, and by falling from height in 11 cases. All patients
had dislocation of the distal radioulnar joint, and 7 patients also had old
fractures of the distal radius. The main clinical symptoms were pain and limited
activity of the wrist joint, and the disease duration was 2-4 months (mean, 3.5
months). The visual analogue scale (VAS) was 6.2+/-1.4. The clinical outcomes
were assessed by VAS, range of motion (ROM) of the wrist, grip strength, Evans
score, and X-ray film of wrist joint during follow-up. Results: All patients
obtained healing of incision by first intention and were followed up 37-73 months
(mean, 58.4 months); no complication of infection, blood vessel injury, or nerves
injury occurred. VAS was 1.2+/-1.0 at the final follow-up, showing significant
difference when compared with preoperative one ( t=9.950, P=0.000). The ROM of
the affected wrist joint in flexion, extension, ulnar deviation, forearm
pronation and supination were improved, but the ROM of the affected side were
significantly less than those of normal side ( P<0.05). No significant difference
was found in the grip strength and Evans score between the affected side and
normal side ( t=-0.885, P=0.386; t=-1.969, P=0.062). According to Evans scores,
the results were excellent in 8 cases, good in 3 cases, and fair in 1 case, with
an excellent and good rate of 91.7%. Postoperative radiographs showed bony
healing in all patients, with the average healing time of 3.5 months (range, 3-6
months). The instability of proximal ulna occurred in 3 cases. Conclusion: Sauve
Kapandji procedure is a reliable remedy method for traumatic ulnar styloid
impaction syndrome, with favorable improvement in wrist pain and forearm
rotation. However, the surgical indications for Sauve-Kapandji procedure should
be strictly controlled.
PMID- 29786247
TI - [Biomechanical assessment of newly-designed proximal femoral medial buttress
plate for treatment of reverse oblique femoral intertrochanteric fracture].
AB - Objective: To evaluate the biomechanical properties of proximal femoral medial
buttress plate (PFMBP) for fixing the reverse oblique intertrochanteric fractures
by comparing with proximal femoral locking compression plate (PFLCP) and proximal
femoral nail antirotation (PFNA). Methods: Eighteen synthetic femoral bone models
(Synbone) were divided into 3 groups (group PFLCP, group PFNA, and group PFMBP),
6 models in each group; an AO 31-A3.1 reverse oblique femoral intertrochanteric
fracture was made based on the same criterion. After being fixed and embeded, the
axial load testing, torsion testing, and axial load-to-failure testing were
performed on each model. The axial displacement of different loads, torque of
different torsion angles, and failure load of each model were recorded, and the
stiffness of axial load and torsion were calculated. Results: The axial stiffness
in groups PFLCP, PFNA, and PFMBP were (109.42+/-30.14), (119.13+/-29.14), and
(162.05+/-22.05) N/mm respectively, showing significant differences between
groups ( P<0.05). There were significant differences in torque between different
torsion angles in the same group, as well as in the torque between groups at the
same torsional angle ( P<0.05). The torsion stiffness in groups PFLCP, PFNA, and
PFMBP were (1.45+/-0.44), (1.10+/-0.13), and (1.36+/-0.32) N.mm/deg respectively;
there were significant differences when compared groups PFLCP and PFMBP with
group PFNA ( P<0.05), but no significant difference was found between group PFLCP
and group PFMBP ( P>0.05). The failure loads of groups PFLCP, PFNA, and PFMBP
were (1 408.88+/- 0.17), (1 696.56+/-0.52), and (2 154.65+/-0.10) N respectively,
showing significant differences between groups ( P<0.05). Conclusion: The newly
designed PFMBP is better than PFNA and PFLCP in axial load stiffness and torsion
stiffness for fixing reverse oblique intertrochanteric fracture by biomechanical
test, indicating that reconstruction of medial stability is a key element for
unstable intertrochanteric fracture.
PMID- 29786248
TI - [Biomechanical evaluation of the first carpometacarpal joint stability by using
different reconstruction methods].
AB - Objective: To study the biomechanical differences of the first carpometacarpal
joint stability by using different reconstruction methods so as to provide
theoretical basis for the clinical choice of reconstruction method. Methods: The
upper limb specimens were selected from 12 fresh adult cadavers, which had no
fracture, bone disease, dislocation of wrist joint, deformity, degeneration, or
ligament injury on the anteroposterior and lateral X-ray films. The specimens
were randomly divided into 5 groups: normal group, injury group, palmar
carpometacarpal ligaments reconstruction group, dorsal carpometacarpal ligaments
reconstruction group, and palmar and dorsal carpometacarpal ligaments
reconstruction group. Three normal specimens were used as normal group, and then
were made of the first carpometacarpal joint dislocation models (injury group);
after the first carpometacarpal joint dislocation was established in the other 9
specimens; the volar ligament, dorsal ligament, and volar-dorsal ligaments were
reconstructed with Eaton-Little method, Yin Weitian method, and the above two
methods in 3 construction groups. The biomechanical test was done to obtain the
load-displacement curve and to calculate the elastic modulus. Results: During
biomechanical test, ligament rupture and loosening of Kirschner wire occurred in
1 case of injury group and palmar carpometacarpal ligaments reconstruction group;
no slipping was observed. The elastic modulus values were (11.61+/-0.20), (5.39+/
0.12), (6.33+/-0.10), (7.12+/-0.08), and (8.30+/-0.10) MPa in normal group,
injury group, palmar carpometacarpal ligaments reconstruction group, dorsal
carpometacarpal ligaments reconstruction group, and palmar and dorsal
carpometacarpal ligaments reconstruction group respectively, showing significant
differences among groups ( P<0.05). Conclusion: Volar ligament reconstruction,
dorsal ligament reconstruction, and volar-dorsal ligament reconstruction all can
greatly improve the stability of the first carpometacarpal joint. And the effect
of volar-dorsal ligament reconstruction is the best, but the stability can not
restore to normal.
PMID- 29786249
TI - [Biomechanical effect of anteromedial coronoid facet fracture and lateral
collateral ligament complex injury on posteromedial rotational stability of
elbow].
AB - Objective: To investigate the effect of anteromedial coronoid facet fracture and
lateral collateral ligament complex (LCLC) injury on the posteromedial rotational
stability of the elbow joint. Methods: The double elbows were obtained from 4
fresh adult male cadaveric specimens. Complete elbow joint (group A, n=8), simple
LCLC injury (group B, n=4), simple anteromedial coronoid facet fracture (group C,
n=4), and LCLC injury combined with anteromedial coronoid facet fracture (group
D, n=8). The torque value was calculated according to the load-displacement
curve. Results: There was no complete dislocation of the elbow during the
experiment. The torque values of groups A, B, C, and D were (10.286+/-0.166),
(5.775+/-0.124), (6.566+/-0.139), and (3.004+/-0.063) N.m respectively, showing
significant differences between groups ( P<0.05). Conclusion: Simple LCLC injury,
simple anteromedial coronoid facet fracture, and combined both injury will affect
the posteromedial rotational stability of the elbow.
PMID- 29786250
TI - [Effect of staphylococcal lipoteichoic acid on differentiation of RAW264.7 cells
into osteoclasts].
AB - Objective: To investigate the effect of staphylococcal lipoteichoic acid (LTA-sa)
on RAW264.7 cells differentiation into osteoclasts. Methods: RAW264.7 cells were
cultured with LTA-sa of 100 ng/mL (group A), LTA-sa of 200 ng/mL (group B), LTA
sa of 400 ng/mL (group C), receptor activator of nuclear factor kappaB (NF
kappaB) ligand (RANKL) of 100 ng/mL as positive control (group D), and equal
volume of PBS as blank control (group E) respectively for 5 days. And then,
tartrate resistant acid phosphatase staining (TRAP) was used to detect the
formation of osteoclast-like cells, Image-Pro Plus 6.0 software to measure the
areas of bone resorption pits in Corning Osteo Assay Surface (COAS) wells, and
MTT assay to observe the proliferation activity of RAW264.7 cells in group A, B,
C, and E. Results: After cultured for 5 days, the formation of osteoclast-like
cells and bone resorption pits were observed in all groups. The number of
osteoclast-like cells and the area of bone resorption pits in groups A, B, C, and
D were more than those in group E. And with the increased concentration of LTA
sa, the indexes in groups A, B, and C increased gradually, but were lower than
those in group D, and differences were significant between groups ( P<0.05). At 5
days after culture, there was no significant difference in absorbance value among
the experimental groups (groups A, B, C, and E) ( P>0.05). Conclusion: LTA-sa has
promoting effect on RAW264.7 cells differentiation into osteoclasts.
PMID- 29786251
TI - [Effectiveness comparison between two operations in treatment of unstable type
Kummell's disease].
AB - Objective: To compare the effectiveness between short segmental fixation combined
with vertebroplasty (SSF+VP) and short segmental pedicle screw fixation combined
with bone graft (SSF+BG) in the treatment of unstable type Kummell's disease so
as to provide a reference for the selection of the surgical method. Methods:
Between March 2013 and February 2015, 48 patients with unstable type Kummell's
disease who were in accordance with the inclusive criteria were included in the
study. SSF+VP were used in 25 cases (SSF+VP group) and SSF+BG in 23 cases (SSF+BG
group). There was no significant difference in gender, age, disease duration,
bone mineral density, fracture segment, and preoperative visual analogue scale
(VAS), Oswestry disability index (ODI), and kyphotic Cobb angle between 2 groups
( P>0.05). The operation time and complications related to operation were
recorded; the effectiveness was evaluated by VAS, ODI, and kyphotic Cobb angle.
Results: The operation time was (107.7+/-18.8) minutes in SSF+VP group and was
(113.7+/-22.4) minutes in SSF+BG group, showing no significant difference between
2 groups ( t=-1.045, P=0.302). Bone cement leakage occurred in 6 cases of SSF+VP
group, and incision delayed healing occurred in 1 case of SSF+BG group. All
patients achieved bone graft fusion on X-ray films. The bone graft fusion time
was (15.1+/-1.3) weeks in SSF+VP group and (15.7+/-1.8) weeks in SSF+BG group,
showing no significant difference between 2 groups ( t=-1.361, P=0.180). The VAS,
ODI, and kyphotic Cobb angle at immediate after operation and at last follow-up
were significantly lower than preoperative ones ( P<0.05). The VAS, ODI, and
kyphotic Cobb angle had no significant difference between at immediate and at
last follow-up in SSF+VP group ( P>0.05). In SSF+BG group, VAS at last follow-up
was significantly lower than that at immediate after operation ( P<0.05), but no
significant difference was found in kyphotic Cobb angle and ODI ( P>0.05). SSF+VP
group was significantly better than SSF+BG group in VAS at immediate after
operation ( P<0.05), but SSF+BG group was significantly better than SSF+VP group
at last follow-up ( P<0.05). There was no significant difference in kyphotic Cobb
angle and ODI between 2 groups at immediate after operation and at last follow-up
( P>0.05). Conclusion: SSF+BG can achieve satisfactory effectiveness in the
treatment of unstable type Kummell's disease, and it has the advantages of good
bony healing, obvious improvement of pain, and low complication incidence when
compared with SSF+VP.
PMID- 29786253
TI - [Application of improved injecting tube in percutaneous kyphoplasty through
unipedicular puncturing].
AB - Objective: To research the feasibility and effectiveness of percutaneous
kyphoplasty (PKP) by improved injecting tube through unipedicular puncturing.
Methods: Between January 2012 and Junuary 2016, 60 cases (68 vertebrae) of
osteoporotic vertebral compression fractures (OVCF) were treated. PKP was
performed through unipedicular puncturing with routine injecting tube in 30 cases
(34 vertebrae, routine group), and with improved injecting tube in 30 cases (34
vertebrae, improved group). There was no significant difference in age, gender,
disease duration, fracture level, preoperative visual analogue scale (VAS), or
vertebral height between 2 groups ( P>0.05). The operation time, the volume of
bone cement injected, preoperative and postoperative VAS, and preoperative and
postoperative vertebral height, and postoperative distribution coefficient of
bone cement were recorded and compared between 2 groups. Results: Good healing of
puncture points was achieved in 2 groups after PKP, and no serious complication
occurred. There was no significant difference in operation time and the volum of
bone cement injected between 2 groups ( t=0.851, P=0.399; t=1.672, P=0.101). Bone
cement leakage was observed in 2 cases of 2 groups respectively. The distribution
coefficient of bone cement in routine group was significantly less than that in
improved group ( t=13.049, P=0.000). All patients were followed up 12-36 months
(mean, 19 months). The postoperative VAS and vertebral height were significantly
improved when compared with preoperative ones in 2 groups ( P<0.05), but there
was no significant difference in VAS between at 2 days after operation and at
last follow-up, in vertebral height between at 2 days after operation and at 1
year after operation, and between 2 groups after operation ( P>0.05). X-ray films
showed vertebral compression fractures in 6 cases of routine group and in 1 case
of improved group during follow-up. Conclusion: PKP by improved injecting tube
through unipedicular puncturing can improve the distribution of bone cement,
restore the height and strength of vertebral body, and reduce the incidence of re
fracture.
PMID- 29786252
TI - [Percutaneous transforaminal endoscopic discectomy combined with Coflex
interspinous process dynamic reconstruction system in treatment of youth lumbar
disc herniation].
AB - Objective: To evaluate the effectiveness of percutaneous transforaminal
endoscopic discectomy (PTED) combined with Coflex interspinous process dynamic
reconstruction system for the treatment of youth lumbar disc herniation (LDH).
Methods: The clinical data of 52 patients with LDH treated by PTED combined with
Coflex were retrospectively analyzed between February 2013 and March 2015. The
involved segments were L 4, 5 in 30 cases and L 5, S 1 in 22 cases. In 30
patients at L 4, 5 level, there were 18 males and 12 females with an average age
of 25 years (range, 18-34 years) and a mean disease duration of 10 months (range,
6-16 months). In 22 patients at L 5, S 1 level, there were 10 males and 12
females with an average age of 25.5 years (range, 19-32 years) and a mean disease
duration of 12 months (range, 6-18 months). The operation time and intraoperative
blood loss were recorded. Oswestry disability index (ODI) and Japanese
Orthpoaedic Association (JOA) score were used for effectiveness assessment.
Radiograpic indexes were calculated on X-ray films before operation and final
follow-up, including ventral intervertebral space height (VH), dorsal
intervertebral space height (DH), intervertebral foramen height (IFH), the range
of motion (ROM) of involved segment, and the ROM of upper adjacent segment.
Results: The operations were successfully completed in 52 patients. The operation
time and intraoperative blood loss were (89.7+/-16.5) minutes and (42.7+/-11.3)
mL in patients at L 4, 5 level, and were (94.6+/-18.2) minutes and (47.6+/-13.4)
mL in patients at L 5, S 1 level. Incisions healed by first intention. All
patients were followed up 12-18 months (mean,16 months) in patients at L 4, 5
level and 12-20 months (mean, 17 months) in patients at L 5, S 1 level. At final
follow-up, ODI, and JOA score were significantly improved when compared with
preoperative ones in all patients ( P<0.05). X-ray films showed no complication
of Coflex loosening, spinous process fracture, or articular process fracture
occurred. At final follow-up, VH, DH, and IFH were significantly improved when
compared with preoperative ones in all patients ( P<0.05), and the ROM of
involved segment was significantly reduced compared with preoperative one (
P<0.05), but the ROM of upper adjacent segment showed no significant difference
when compared with preoperative one ( P>0.05). Conclusion: PTED combined with
Coflex is a safe and effective minimally invasive surgery in treating youth LDH;
however, it still needs further clinical studies.
PMID- 29786254
TI - [Clinical application of accurate placement of lumbar pedicle screws using three
dimensional printing navigational templates under Quadrant system].
AB - Objective: To explore the feasibility and the effectiveness of the accurate
placement of lumbar pedicle screws using three-dimensional (3D) printing
navigational templates in Quadrant minimally invasive system. Methods: The L 1-5
spines of 12 adult cadavers were scanned using CT. The 3D models of the lumbar
spines were established. The screw trajectory was designed to pass through the
central axis of the pedicle by using Mimics software. The navigational template
was designed and 3D-printed according to the bony surface where the soft tissues
could be removed. The placed screws were scanned using CT to create the 3D model
again after operation. The 3D models of the designed trajectory and the placed
screws were registered to evaluate the placed screws coincidence rate. Between
November 2014 and November 2015, 31 patients with lumbar instability accepted
surgery assisted with 3D-printing navigation module under Quadrant minimally
invasive system. There were 14 males and 17 females, aged from 42 to 60 years,
with an average of 45.2 years. The disease duration was 6-13 months (mean, 8.8
months). Single segment was involved in 15 cases, two segments in 13 cases, and
three segments in 3 cases. Preoperative visual analogue scale (VAS) was 7.59+/
1.04; Oswestry disability index (ODI) was 76.21+/-5.82; and the Japanese
Orthopaedic Association (JOA) score was 9.21+/-1.64. Results: A total of 120
screws were placed in 12 cadavers specimens. The coincidence rate of placed screw
was 100%. A total of 162 screws were implanted in 31 patients. The operation time
was 65-147 minutes (mean, 102.23 minutes); the intraoperative blood loss was 50
116 mL (mean, 78.20 mL); and the intraoperative radiation exposure time was 8-54
seconds (mean, 42 seconds). At 3-7 days after operation, CT showed that the
coincidence rate of the placed screws was 98.15% (159/162). At 4 weeks after
operation, VAS, ODI, and JOA score were 2.24+/-0.80, 29.17+/-2.50, and 23.43+/
1.14 respectively, showing significant differences when compared with
preoperative ones ( t=14.842, P=0.006; t=36.927, P=0.002; t=-36.031, P=0.001).
Thirty-one patients were followed up 8-24 months (mean, 18.7 months). All
incision healed by first intention, and no complication occurred. During the
follow-up, X-ray film and CT showed that pedicle screw was accurately placed
without loosening or breakage, and with good fusion of intervertebral bone graft.
Conclusion: 3D-printing navigational templates in Quadrant minimally invasive
system can help lumbar surgery gain minimal invasion, less radiation, and
accurate placement.
PMID- 29786255
TI - [Imaging and biomechanics researches of reconstructing lumbosacral stability
after L 5 vertebrectomy via anterolateral approach].
AB - Objective: To investigate the feasibility of anterolateral approach for L 5
vertebral resection, bone grafting, and screw rod fixation by imaging and
biomechanics researches. Methods: Twenty formalized adult cadavers (12 males and
8 females) were randomly divided into 2 groups; L 5 vertebral resection, bone
graft, and screw rod fixation was performed on 10 specimens by using
anterolateral approach (experimental group), and on the other 10 specimens by
combined anterior and posterior approach. CT scanning and three-dimensional
reconstruction were performed in the experimental group; preoperative maximal
safe entry angle and depth of screws and intraoperative actual entry angle and
depth of screws were measured; the sacral screw position was observed after
operation. The biomechanical test was done in 2 groups. Results: Twenty specimens
smoothly underwent L 5 excision and reconstruction. CT scan showed that there was
no significant difference in maximal safe entry angle and depth of screws between
males and females in experimental group before operation ( P>0.05); the maximal
safe entry angle and depth were 51.93 degrees and 47.88 mm for anterior screw,
and were 37.04 degrees and 46.28 mm for posterior screw. After operation, depth
of the sacral anterior and posterior screws were appropriate, which did not
pierce into the spinal canal. The biomechanical test results indicated that the
flexion, extension, and lateral flexion displacements, and vertical compression
stiffness showed no significant difference between 2 groups ( P>0.05).
Conclusion: For L 5 lesions not invading posterior column, to use L 5 vertebral
resection, bone graft, and screw rod fixation by anterolateral approach is a safe
and feasible method to reconstruct lumbosacral stability, with the advantages of
no changing posture, less operation time and incision, and prevention of bone
graft shift, but effectiveness need further be identified.
PMID- 29786256
TI - [Effectiveness of combined Pregabalin and Celecoxib for treatment of neuropathic
pain after percutaneous endoscopic lumbar discectomy].
AB - Objective: To investigate the effectiveness of combined Pregabalin and Celecoxib
for neuropathic pain after percutaneous endoscopic lumbar discectomy. Methods:
Between January and June 2014, 178 patients with lumbar disc herniation underwent
percutaneous endoscopic interlaminar discectomy (PEID). Ninety patients who met
the inclusion criteria were recruited in this study. Every case in group A was
recruited to match its counterpart in group B and group C according to gender,
disease duration, herniated level, smoking history, preoperative Leeds assessment
of neuropathic symptoms and signs (LANSS), and Oswestry disability index (ODI).
Nine patients were excluded due to incomplete study or loss of follow-up. In each
group, 27 cases were included in the final analysis. There was no significant
difference in gender, age, height, body mass index, herniated level, disease
duration, smoking history, preoperative LANSS, ODI, and visual analogue scale
(VAS) between groups ( P>0.05). All patients of 3 groups received oral
administration of Celecoxib from preoperative 3rd day to postoperative 14th day.
Pregabalin was taken orally from preoperative 3rd day to postoperative 14th day
in group A, and from postoperative 1st to 14th day in group B. Adverse drug
reactions were observed during medication. The LANSS score and VAS score in rest
state and active state were conducted before operation and at 1 day, 1 month, and
3 months after operation. ODI was conducted before operation and at 1, 3 months
after operation. The number of neuropathic pain cases was recorded, and the
effectiveness was evaluated by modified Macnab criteria at 3 months after
operation. Results: During period of increasing Pregabalin dose, 1 patient of
group A suffered severe dizziness, and 1 patient of group B suffered sleepiness,
who were eliminated from this research. Another 2 cases (1 case of group A and 1
case of group C) suffered dry mouth, and 1 case of group B suffered muscle
weakness. At 1 day after operation, the LANSS score and VAS in rest state and
active state of group A were significantly lower than those of groups B and C (
P<0.05). At 1 month after operation, the LANSS score, ODI, and VAS in rest state
and active state of group A and group B were significantly lower than those of
group C ( P<0.05). At 3 months after operation, the LANSS score, ODI, and VAS in
active state of group A and group B were significantly lower than those of group
C ( P<0.05). There was no significant difference in the above indicators at the
other time points between groups ( P>0.05). Neuropathic pain occurred at 3 months
after operation in 1 case (3.7%) of group A and 6 cases (22.2%) of group C,
showing significant differences in incidence of neuropathy pain between groups A,
B and group C ( P<0.05), but no significant difference was found between group A
and group B ( P>0.05). The excellent and good rate of modified Macnab criteria
was 92.6% in group A, was 88.9% in group B, and was 85.2% in group C at 3 months
after operation, showing no significant difference between groups ( P>0.05).
Conclusion: Combined use of Pregabalin and Celecoxib during perioperative period
can reduce postoperative pain and incidence of postoperative neuropathic pain.
Preoperative oral Pregabalin can reduce the incidence of acute postoperative
neuropathic pain.
PMID- 29786257
TI - [Clinical application of free thoracoacromial artery perforator flap in
reconstruction of tongue and mouth floor defects after resection of tongue
carcinoma].
AB - Objective: To investigate the clinical outcome of free thoracoacromial artery
perforator (TAAP) flap in the reconstruction of tongue and mouth floor defects
after radical resection of tongue carcinoma. Methods: Between May 2010 and
February 2015, 11 cases of tongue carcinoma underwent radical resection and
reconstruction of tongue and mouth floor defects with free TAAP flaps. The
locations of tongue carcinoma were the lingual margin in 7 cases, the ventral
tongue in 2 cases, and the mouth floor in 2 cases. According to Union for
International Cancer Control (UICC) TNM stage, 3 cases were classified as T 4N 0M
0, 3 cases as T 4N lM 0, 2 cases as T 3N 1M 0, 2 cases as T 3N 2M 0, and 1 case
as T 3N 0M 0. The disease duration ranged from 3 to 28 months, 10.6 months on
average. The tumor size ranged from 6.0 cm*3 cm to 10 cm*5 cm. The TAAP flap
ranged from 7.0 cm*4.0 cm to 11.0 cm*5.5 cm in size, and 0.6-1.2 cm (0.8 cm on
average) in thickness, with a pedicle length of 6.8-9.9 cm (7.2 cm on average).
Results: All 11 flaps survived, the donor site was closed directly and healed
primarily in all cases. The patients were followed up 12-24 months (17.2 months
on average). The reconstructed tongue had satisfactory appearance and good
functions of swallowing and language. No local recurrence was observed during
follow-up. Only linear scar was left at the donor site, and the function of
pectoralis major muscle was normal. Conclusion: The TAAP flap is an ideal choice
in the reconstruction of tongue defect after resection of tongue carcinoma, which
has good texture, appearance, and function results.
PMID- 29786258
TI - [Clinical application of changeable cross-leg style sural neurovascular flap in
repairing contralateral fairly large soft tissue defect on dorsum of forefoot].
AB - Objective: To explore the effectiveness of changeable cross-leg style sural
neurovascular flap in repairing contralateral fairly large soft tissue defects on
dorsum of forefoot. Methods: Between June 2006 and June 2015, 12 patients with
fairly large soft tissue defect on dorsum of forefoot were treated. There were 8
males and 4 females, with an average age of 35.6 years (range, 18-57 years).
Defects were caused by traffic accident injury in 4 cases, machine crush injury
in 3 cases, and heavy object crush injury in 3 cases, with a median disease
duration of 11 days (range, 5 hours to 28 days) in the 10 cases; the defect cause
was atrophic scar in 2 cases, with disease duration of 2 years and 3 years
respectively. The wound size of soft tissue ranged from 6.2 cm*4.1 cm to 11.5
cm*7.4 cm; combined injuries included tendon exposure in all cases and bone
exposure in 6 cases. The changeable cross-leg style sural neurovascular flaps
were used to repair defects. The width and length of flap pedicle were increased.
The cross-leg position was maintained with the elastic net bandage. The size of
flaps was 16 cm*7 cm to 21 cm*11 cm, with a pedicle of 8-16 cm in length and 5-6
cm in width. Results: After operation, 10 flaps survived, and wound healed by
first intention. Extravasated blood occurred at the flap edge in 2 cases and was
cured after symptomatic treatment. No pressure sore occurred. All patients were
followed up 3-24 months (mean, 7 months). The appearance and function of the
affected legs were good, and the flaps had soft texture and normal color.
Conclusion: Changeable cross-leg style sural neurovascular flap can achieve good
effectiveness in repairing fairly large soft tissue defect on dorsum of forefoot.
Some drawbacks of single cross-leg style can be avoided.
PMID- 29786259
TI - [Application of vascular repair and reconstruction in surgical treatment of
superior vena cava syndrome caused by thoracic tumor].
AB - Objective: To summarize the clinical experience of vascular repair and
reconstruction for treating superior vena cava syndrome (SVCS) caused by thoracic
tumor. Methods: Between October 2008 and June 2016, 26 patients with thoracic
tumor and SVCS were admitted. There were 18 males and 8 females, aged from 27 to
70 years (mean, 45.9 years). Tumor was typed as B1-B3 thymoma in 13 cases, thymic
carcinoma in 6 cases, large B-cell lymphoma in 3 cases, T lymphocytic lymphoma in
1 case, malignant teratoma in 1 case, right lung squamous cell carcinoma in 1
case, and carcinoid in 1 case. The tumor diameter ranged from 8 to 15 cm with an
average of 10 cm. The patients had different degrees of neck, face, and upper
extremity edema, jugular vein distention, and chest wall collateral venous
filling. The superior vena cava pressure was 2.45-5.39 kPa. After excision of
tumor and invading superior vena cava, 7 patients underwent superior vena cava
reconstruction and 19 patients underwent artificial vascular replacement.
Results: There was no perioperative death, and the symptoms of superior vena cava
obstruction were eliminated. Postoperative pulmonary infection, respiratory
muscle weakness, and right chylothorax occurred in 4 cases, 1 case, and 1 case
respectively. Twenty-four patients were followed up 2-92 months (mean, 37
months), and 2 patients failed to be followed up. At 1, 3, and 5 years, the
survival rate was 83.3% (20/24), 41.7% (10/24), and 25% (6/24), respectively. In
6 patients with 5-year survival, there were 1 case of type B1 thymoma, 3 cases of
type B3 thymoma, and 2 cases of large B-cell lymphoma. Conclusion: For
preoperative evaluation of SVCS caused by resectable thoracic tumors, vascular
repair and recons-truction technique can be used to quickly and effectively
relieve the clinical symptoms and improve the quality of life.
PMID- 29786260
TI - [Mechanism of lipolytic and smooth effects of D980-nm laser treatment on skin
tissue in rats].
AB - Objective: To determine the efficacy of D980-nm laser in dissolving fat and
renewing skin, and to explore the clinical application of D980-nm laser in
reconstruction of photodamaged skin. Methods: Eighteen 12-14 month-old male
Sprague-Dawley rats, weighing 400-450 g, were randomly divided into 3 groups (
n=6). The rat skin at the left side was exposed to D980-nm laser irradiation at a
density of 20 J/cm 2, a power of 8 W, a pulse width of 20 ms, and a pulse
frequency of 40 Hz for 1 time (group A), 2 times of 5-minute interval (group B),
and 3 times of 5-minute interval (group C) as a treatment course, for 4 treatment
courses with an interval of 1 week; the other side of the skin was not treated as
the control groups (groups A1, B1, and C1, respectively). After 8 weeks, the skin
was harvested for HE staining and immunohistochemical staining to observe the
structure changes of skin, to measure the dermal thickness, to count the number
of fibroblasts, and detect the expressions of transforming growth factor beta 1
(TGF-beta 1) and basic fibroblast growth factor (bFGF). Results: Compared with
groups A1, B1, and C1, the skin structure was significantly improved in groups A,
B, and C. After D980-nm laser irradiation, the number of fat cells decreased;
local angiogenesis was observed; the total number of fibroblasts and fibers
increased; the collagen fiber had large diameter, and arranged closely and
regularly; the dermal thickness and the number of the fibroblasts increased; and
the expressions of TGF-beta 1 and bFGF were significantly enhanced, showing
significant differences ( P<0.05). With increased D980-nm laser irradiation
times, the above indexes increased, showing significant differences between group
C and groups A, B ( P<0.05). Conclusion: D980-nm laser treatment has lipolytic
and tender effect on the skin, and the frequency of the treatment is an important
factor in skin renewal.
PMID- 29786262
TI - [Progress of anatomic research and clinical application of facial artery
perforator flap].
AB - Objective: To summarize the present status and progress of the anatomy researches
and clinical application of the facial artery perforator flap (FAPF). Methods:
The relative researches focused on the anatomy researches and clinical
application of FAPF were extensively reviewed, analyzed, and summarized. Results:
The facial artery perforator (FAP) has constant anatomy. There are more facial
artery perforators in the perioral region, and each FAP has two accompanying
veins. Distinguished by inferior mandibular border, the upper and the lower flaps
both are used for reconstruction of defects on the face. The most widely-used
upper flap was FAPF, which is excellent choice of defects in mid-face.
Additionally, angular artery perforator flap and lateral nasal artery perforator
flap are becoming more and more popular. While submental artery perforator flap,
whose pedicle locates under inferior mandibular border, is most used for
reconstruction of lower face. FAPF for face defect repair can achieve good
outcomes of function and appearance in clinical application. Conclusion: Based on
multiple advantages of good aesthetics and function outcomes, high survival rate,
flexible design, and simple operation, FAPF is an optimal choice for defect
repair of perioral region and nasal ala. However, Further study is needed to
determine whether retaining tissue around the pedicle will affect vein reflux of
the flap.
PMID- 29786261
TI - [Effects of interleukin 10 gene modified bone marrow mesenchymal stem cells on
expression of inflammatory cytokines and neuronal apoptosis in rats after
cerebral ischemia reperfusion injury].
AB - Objective: To explore the effects of interleukin 10 (IL-10) gene modified bone
marrow mesenchymal stem cells (BMSCs) on the expression of inflammatory cytokines
and neuronal apoptosis in rats after cerebral ischemia reperfusion injury.
Methods: BMSCs were cultured by whole bone marrow adherence screening method. The
properties of BMSCs were identified by immunocytochemical methods. BMSCs at
passage 3 were transfected with recombinant adenovirus IL-10 gene (AdIL-10
BMSCs). The model of middle cerebral artery occlusion was made in 40 adult male
Sprague Dawley rats by thread embolism method. The rats were randomly divided
into 4 groups ( n=10). At 3 hours after modelling, the rats of groups A, B, C,
and D received tail intravenous injection of 1 mL L-DMEM medium containing 10%
FBS, 61.78 ng IL-10, 1 mL BMSCs suspension (2*10 6 cells/mL), and 1 mL AdIL-10
BMSCs cell suspension (2*10 6 cells/mL), respectively. The cells were labelled
with BrdU before cell transplantation in groups C and D. At 7 days after
reperfusion, the brain tissue was harvested to detect the expression of OX42 by
immunohistochemical assay, to determine the concentration of tumor necrosis
factor alpha (TNF-alpha) and IL-1beta by ELISA, and to detect the apoptosis by
TUNEL assay. BrdU labelled cells were observed by immunofluorescence staining in
groups C and D. Results: BrdU labelled positive cells with green fluorescence
were observed in the brain tissue of groups C and D, which mainly distributed in
the striatum, cerebral cortex, and subcortex around the infarction area. The
number of OX42 positive cells was significantly less in groups B, C, and D than
group A ( P<0.05), and in group D than groups B and C ( P<0.05). Compared with
the other 3 groups, the contents of TNF-alpha and IL-1beta significantly
decreased in group D ( P<0.05). TUNEL assay showed that the apoptotic cells
(TUNEL positive cells) were mainly seen in the striatum and fronto parietal
subcortical tissues (equivalent to ischemic penumbra). The number of TUNEL
positive cells in group D was significantly less than that in groups A, B, and C
( P<0.05). Conclusion: AdIL-10-BMSCs can inhibit secretion of TNF-alpha and IL
1beta from microglial cells and inhibit the nerve cell apoptosis around infarct
brain tissue, which might contribute to its protective role upon cerebral
ischemia reperfusion injury.
PMID- 29786263
TI - [EFFECT AND MECHANISM RESEARCH OF HYDROCHLORIC PROPRANOLOL CREAM ON WOUND HEALING
IN DIABETIC MICE].
AB - OBJECTIVE: To investigate the effect of hydrochloric propranolol cream and its
possible mechanism on wound healing in diabetic mice. METHODS: Eighteen 8-week
old BKS.Cg-Dock7m+/+Leprdb/JNju diabetic mice were randomly divided into control
group (n=9) and experimental group (n=9). After full-thickness dermal wounds
(0.6?cm in diameter) was made, wounds were treated with cream containing
hydrochloric propranolol (experimental group) or not containing hydrochloric
propranolol (control group) at 2, 5, 7, 10, 14, and 17 days. At 2, 5, 7, 10, 14,
17, and 21?days, wound healing was observed, and healing rate was calculated; HE
staining, Masson staining, and toluidine?blue staining were used to observe wound
re-epithelialization, collagen fibers, and mast cells distribution. Western blot
was applied to detect the expressions of interleukin 1beta (IL-1beta) and
angiogenin 2 (Ang2) in wound tissue. RESULTS: Wounds healed in 2 groups, but the
wounds healing rate of experimental group was significantly higher than that of
control group at other time points (P < 0.05) except 21 days (P > 0.05). The
histological observation showed that re-epithelialization rate was higher in
experimental group than control group, there were less mast cells in the wound.
The experimental group was lower than control group in IL-1beta expression at 2,
5, 7, 14, 17, and 21 days and in Ang2 expression at 2, 5, 7, 10, 14, 17, and 21
days. CONCLUSIONS: Hydrochloric?propranolol cream can promote wound healing in
diabetic mice, which?potential?mechanism?is?that propranolol can promote
epidermal cell proliferation, reduce inflammation, and benefit angiogenesis.
PMID- 29786264
TI - [APPLICATION OF DIRECT LATERAL INTERBODY FUSION FOR LUMBAR DEGENERATIVE
DISEASES].
AB - OBJECTIVE: To investigate the effectiveness of direct lateral interbody fusion
(DLIF) for lumbar degenerative diseases. METHODS: A retrospective study was done
on 25 cases of lumbar degenerative diseases treated with DLIF between May 2013
and May 2014. There were 15 males and 10 females with an average age of 61.4
years (range, 36-78 years), including 14 cases of lumbar disc herniation, 2 cases
of degenerative lumbar scoliosis, 3 cases of lumbar spondylolisthesis, and 6
cases of lumbar instability with spinal stenosis. The disease duration was 8
months to 20 years (mean, 5.7 years). The involved segments included L4, 5 in 10
cases, L3, 4 in 6 cases, L2, 3 in 2 cases, L2-4 in 2 cases, L3-5 in 3 cases, and
L2-5 in 2 cases. The operation time, intraoperative bleeding volume,
postoperative hospitalization time, and complications were recorded. The visual
analogue scale (VAS) and Oswestry disability index (ODI) criteria were used to
assess the effectiveness; X-ray film and CT were used to evaluate the bone
fusion. RESULTS: The mean operation time was 105?minutes (range, 85-155 minutes),
and mean intraoperative bleeding volume was 158 mL (range, 80-300?mL). The
postoperative hospitalization time was 2-5 days (mean, 3.2 days). All incisions
healed by first intension. There was no complication of wound infection, vascular
injuries, or intraoperative visceral injuries. All cases were followed up 24.6
months on average (range, 18-30 months). Four cases had iliopsoas weakness, 5
cases had iliopsoas weakness and anterior thigh hypoesthesia, which disappeared
within 6 months after operation. No retrograde ejaculation, Cage displacement, or
loosening was found after operation. Full bony fusion was observed in 20 segments
and partial bony fusion in 12 segments. The mean bony fusion time was 10.8 months
(mean, 6-18 months). The height of intervertebral space was significantly
increased to (11.98+/-1.20)?mm at 2 days after operation and to (11.80+/-1.33) mm
at last follow-up from preoperative (6.20+/-0.88) mm (P < 0.05), but no
significant difference was found between at 2 days and last follow-up (P > 0.05).
At last follow-up, VAS score was significantly decreased to 3.43+/-0.53 from
preoperative 8.26+/-0.49 (t=26.275, P=0.000), and ODI was significantly decreased
to 15.41%+/-3.91% from preoperative 40.80%+/-3.10% (t=22.902, P=0.000).
CONCLUSIONS: DLIF is a safe and effective treatment for lumbar degenerative
diseases, which has the advantages of less tissue damage, less blood loss, and
fast rehabilitation.
PMID- 29786265
TI - [CLINICAL STUDY ON MODIFIED LAMINOPLASTY WITH POSTERIOR COLUMN RESERVATION FOR
TREATMENT OF LUMBAR SPINAL STENOSIS].
AB - OBJECTIVE: To investigate the technique and effectiveness of modified
laminoplasty for lumbar spinal stenosis (LSS) and to explore the application
value of modified laminoplasty in maintaining the stability of the spine by
comparing with the conventional laminectomy. METHODS: Fifty-six patients with LSS
were included between June 2012 and July 2013, and they were divided into 2
groups: 27 patients underwent modified laminoplasty in group A, and 29 patients
received conventional laminectomy in group B. There was no significant difference
in sex, age, disease duration, narrow segment, visual analogue scale (VAS) score
of low back pain and leg pain, Japanese Orthopaedic Association (JOA) score, and
walking tolerance between 2 groups (P > 0.05). The postoperative VAS score of low
back pain and leg pain, JOA score, walking tolerance, X-ray film, and CT were
used to evaluate the clinical results. RESULTS: Dural tear occurred in 2?cases of
group A and 1 case of group B and were repaired during operation. All incisions
primarily healed without infection. The patients were followed up 24-31 months
(mean, 24.7 months) in group A, and 24-37 months (mean, 26.2 months) in group B.
The bone healing time was 6-12 months (mean, 9 months) in group A. CT showed
healing at the junction of spinous process and vertebral plate in group A at 12
months after operation; new scar in varying degre es was observed in group B. At
last follow-up, lumbar spondylolisthesis and instability occurred in 4?and 2
cases of group B respectively, and received re-operation. The change value of
slip distance was (0.27+/-0.23) mm in group A and was (0.83+/-1.22) mm in group
B, showing significant difference (t=-2.405, P=0.023). The postoperative JOA
score, VAS score, and walking tolerance were significantly improved when compared
with preoperative ones in 2 groups (P < 0.05).?At?last follow-up, group A was
better than group B in VAS score of low back pain (P < 0.05), but no
significant?difference?was?found in the other indexes between 2 groups (P >
0.05). CONCLUSIONS: The modified laminoplasty?is better than the conventional
laminectomy in relieving low back pain and maintaining the stability of the
lumbar spine.
PMID- 29786266
TI - [EFFECTIVENESS OF PREPERITONEAL HERNIORRHAPHY WITH Ultrapro Plug MESH FOR
UMBILICAL HERNIA REPAIR IN ADULTS].
AB - OBJECTIVE: To explore the effectiveness of preperitoneal herniorrhaphy with
Ultrapro Plug (UPP) mesh for umbilical hernia repair in adults. METHODS: Between
September 2011 and June 2015, 71 patients with umbilical hernia underwent
preperitoneal herniorrhaphy with UPP mesh. There were 26 males and 45 females,
aged 19-92 years (mean, 54.3 years). The disease duration was 45 days to 30 years
(median, 18 months). Umbilical hernia was diagnosed through physical examination,
ultrasound, and other relevant auxiliary examination. According to American
Society of Anesthesiologists (ASA) classification, 12 cases were rated as grade
I, 34 cases as grade II, 21 cases as grade III, and 4?cases as grade IV. The
operation time, postoperative hospitalization time, complication, and recurrence
were recorded. RESULTS: The diameter of hernia ring ranged 0.5-3.0 cm (mean, 1.8
cm). There was no vessel or intestine injury. The operation time was 12-35
minutes (mean, 22.4 minutes); postoperative hospitalization time was 12-48 hours
(mean, 16.3 hours). Fat liquefaction of incision occurred in 2 cases, and primary
healing of incision was obtained in the other cases. Sixty-nine patients were
followed up 8-51 months (median, 28 months). Hernia recurrence and patch
infection occurred in 1 case respectively during follow-up. No postoperative
foreign body sensation and chronic pain occurred. CONCLUSIONS: Repairing
umbilical hernia in adults with UPP mesh should be safe and reliable, because it
has the advantages of short operation time, short hospital stay, less
complication, and lower incidence of recurrence.
PMID- 29786267
TI - [SHORT-TERM EFFECTIVENESS OF PERCUTANEOUS PEDICLE SCREW GUIDED WITH PHOTOELECTRIC
NAVIGATION FOR THORACOLUMBAR FRACTURES].
AB - OBJECTIVE: To investigate the short-term effectiveness of percutaneous pedicle
screw (PPS) guided with photoelectric navigation for thoracolumbar fractures.
METHODS: Between May 2013 and June 2015, the clinical data of 39 patients with
thoracolumbar fractures in accordance with the selection criteria were
retrospectively analyzed. The patients were divided into photoelectric navigation
PPS group (trial group, 20 cases) and C-arm X-ray guidance PPS group (control
group, 19 cases). There was no significant difference in gender, age, injury
cause, fracture vertebrae, AO classification, operation time after injury, visual
analogue scale (VAS) score, and vertebral compression ratio (VCR) between 2
groups (P > 0.05). The operation time, bleeding amount, perspective times, VAS
score, and one-time success rate (OSR) of screw placement were recorded; VCR and
endplate-screw angle (ESA) were measured; and pedicle-screw relationship (PSR)
was assessed by Ringel's method in radiographic result. RESULTS: Differences in
operation time and bleeding amount were not significant between 2 groups (P >
0.05); perspective times of control group was significantly more than t hat of
trial group (t=-15.658, P=0.000). The OSR of trial group (95.60%, 87/91) was
significantly better than that of control group (86.75%, 72/83) (chi2=4.323,
P=0.038). The patients were followed up 6-11 months (mean, 7.6 months) in trial
group, and 7-11 months (mean, 7.8 months) in control group. No neurovascular
complications associated with screw insertion occurred. Difference was not
significant in VAS score at 7 days and 6 months after operation between 2 groups
(P > 0.05), but VAS scores at 7 days and 6 months were significantly improved
when compared with preoperative score in 2 groups (P < 0.05), and significant
difference in VAS score was shown between at 7 days and 6 months in 2 groups (P <
0.05). VCR of trial group and control group were significantly improved to
94.75%+/-5.10% and 92.40%+/-5.09% at 6 months after operation from preoperative
71.97%+/-5.66% and 73.50%+/-5.97% (t=11.865, P=0.000; t=11.359, P=0.000), but
there was no significant difference between 2 groups (t=1.442, P=0.158). ESA of
trial group and control group were (1.82+/-1.13) degrees and (3.36+/-2.43)
degrees at 6 months after operation, showing significant difference (t=5.421,
P=0.000). At 6 months after operation, according to PSR classification, 83 screws
rated as grade I, 6 as grade II, and 2 as grade III, and excellent and good rate
of screw replacement was 97.80% in trial group; 54 screws were rated as grade I,
19 as grade II, 7 as grade III, and 3 as grade IV, and excellent and good rate of
screw replacement was 87.95% in control group; difference was significant between
2?groups (chi2=18.347, P=0.000). CONCLUSIONS: Application of photoelectric
navigation can guide screws placement by the two-dimensional multi pl ane dynamic
image, has better accuracy of screws position in thoracolumbar fractures, reduces
the introperative X-ray perspective times, maintains good reduction of vertebral
body, and achieves satisfactory effectiveness.
PMID- 29786268
TI - [ENDOSCOPIC CALCANEOPLASTY FOR Haglund's DEFORMITY WITH HINDFOOT PAIN].
AB - OBJECTIVE: To analyze the effectiveness of endoscopic calcaneoplasty (ECP) for
treating hindfoot pain in patients with Haglund's deformity by comparing with
conservative treatment. METHODS: According to the included standard, 64 hindfoot
pain patients (77 feet) with Haglund's deformity treated between January 2007 and
October 2013 were enrolled. Based on the patient's sports habit, 39 patients (49
feet) who had no requirement on sports were given conservative treatment (control
group) and 25 patients (28 feet) who had stable sports habit were given ECP (ECP
group). There was no significant difference in age, gender, disease duration,
disease side, Fowler-Philip angle, and preoperative American Orthopaedic Foot and
Ankle Society (AOFAS) ankle-hindfoot score between 2 groups (P > 0.05). RESULTS:
The patients were followed up 16-44 months (mean, 33.7 months) in ECP group, and
12-40 months (mean, 37.5 months) in control group. In control group, the syndrome
in 34 cases (43 feet) disappeared after 2 weeks; pain was improved in 5?cases (6
feet), and pain disappeared at 3 weeks after orthesis immobilization; hindfoot
pain recurred in 24 cases (30 feet) during following-up, 11 cases (13 feet)
underwent ECP after 1 year. In ECP group, all incisions healed by first intention
without nerve injury; no edema or pain was observed during follow-up. AOFAS ankle
hindfoot score was significantly improved in 2 groups when compared with score at
pre-treatment (P < 0.05). With time, AOFAS ankle-hindfoot score gradually
decreased in control group, but it gradually increased in ECP group. The AOFAS
ankle-hindfoot score of ECP group was significantly higher than that of control
group after treatment (P < 0.05). According to Ogilvie-Harris score system at 12
months, the results were excellent in 9 cases, good in 12 cases, and poor in 4
cases, with the excellent and good rate of 84.00% in ECP group; and the results
were excellent in 8 cases, good in 14 cases, and poor in 17 cases, with the
excellent and good rate of 56.41% in control group. There was significant
difference in the excellent and good rate between 2 groups (Z=-2.194, P=0.028).
CONCLUSIONS: Under the premise of strict control of surgical indications, the ECP
can bring satisfactory effectiveness for treatment of hindfoot pain in patients
with Haglund's deformity.
PMID- 29786269
TI - [PREPARATION AND BIOCOMPATIBILITY OF IN SITU CROSSLINKING HYALURONIC ACID
HYDROGEL].
AB - OBJECTIVE: To fabricate in situ crosslinking hyaluronic acid hydrogel and
evaluate its biocompatibility in vitro. METHODS: The acrylic acid chloride and
polyethylene glycol were added to prepare crosslinking agent polyethylene glycol
acrylate (PEGDA), and the molecular structure of PEGDA was analyzed by Flourier
transformation infrared spectroscopy and 1H nuclear magnetic resonance
spectroscopy. Hyaluronic acid hydrogel was chemically modified to prepare
hyaluronic acid thiolation (HA-SH). And the degree of HA-SH was analyzed
qualitatively and quantitatively by Ellman method. HA-SH solution in
concentrations (W/V) of 0.5%, 1.0%, and 1.5% and PEGDA solution in concentrations
(W/V) of 2%, 4%, and 6% were prepared with PBS. The two solutions were mixed in
different ratios, and in situ crosslinking hyaluronic acid hydrogel was obtained;
the crosslinking time was recorded. The cellular toxicity of in situ crosslinking
hyaluronic acid hydrogel (1.5% HA-SH and 4% PEGDA mixed) was tested by L929
cells. Meanwhile, the biocompatibility of hydrogel was tested by co-cultured with
human bone mesenchymal stem cells (hBMSCs). RESULTS: Flourier transformation
infrared spectroscopy showed that most hydroxyl groups were replaced by acrylate
groups; 1H nuclear magnetic resonance spectroscopy showed 3 characteristic peaks
of hydrogen representing acrylate and olefinic bond at 5-7 ppm. The thiolation
yield of HA-SH was 65.4%. In situ crosslinking time of hyaluronic acid hydrogel
was 2 to 70 minutes in the PEGDA concentrations of 2%-6% and HA-SH concentrations
of 0.5%-1.5%. The hyaluronic acid hydrogel appeared to be transparent. The
toxicity grade of leaching solution of hydrogel was grade 1. hBMSCs grew well and
distributed evenly in hydrogel with a very high viability. CONCLUSIONS: In situ
crosslinking hyaluronic acid hydrogel has low cytotoxicity, good
biocompatibility, and controllable crosslinking time, so it could be used as a
potential tissue engineered scaffold or repairing material for tissue
regeneration.
PMID- 29786270
TI - [APPLICATION OF NASOLABIAL FLAP AND EAR CARTILAGE IN REPAIRING DEFECTS AFTER
NASAL ALA BASAL CELL CARCINOMA RESECTION].
AB - OBJECTIVE: To investigate the effectiveness of nasolabial flap and ear cartilage
in repairing defects after nasal ala basal cell carcinoma resection. METHODS:
Between January 2012 and August 2014, 8 patients with nasal ala basal cell
carcinoma underwent tumor resection?and defect repair with nasolabial flap and
ear cartilage. Among the 8 patients, 5 were male and 3 were female, with an
average age of 65 years (range, 45-76 years). The left side and right side were
involved in 3 cases and 5 cases respectively. Carcinoma confirmed by pathological
examination in all patients. The time between first biopsy and resection was 7-14
days (mean, 10 days). The defect ranged from 1.5 cm*1.5 cm to 2.0 cm*1.5?cm after
tumor resection, and the size of nasolabial flaps ranged from 4.0 cm*1.5 cm to
5.0 cm*2.0 cm. The operations of cutting off the pedicle and thinning skin flap
were performed at 6 months after first operation. RESULTS: All flaps survived.
Incisions healed by first intention, and no related complication occurred. No
carcinoma recurred after cutting off the pedicle. All patients were followed up
for 6 months. All patients were satisfied with the nasal contour, symmetrical
projection of the alar dome, and no obvious scar. CONCLUSIONS: Nasolabial flap
transfer and ear cartilage transplant method not only can repair the nasal ala
defects, but also can avoid obvious scar and obtain good nasal ala contour
profile. The shortcoming is that patients have to receive two operations.
PMID- 29786271
TI - [EFFECTIVENESS OF MODIFIED Ishiguro TECHNIQUE WITH STRENGTHENING PRESSURE IN
TREATMENT OF BONY MALLET FINGERS].
AB - OBJECTIVE: To investigate the effectiveness of modified Ishiguro technique with
strengthening pressure in the treatment of bony mallet finger by comparing with
the traditional Ishiguro technique. METHODS: Between May 2013 and May 2015, 31
cases of bony mallet finger were treated with traditional Ishiguro technique in
16 cases (control group) and with modified Ishiguro technique in 15 cases
(improved group, the two Kirschner wires were bound, which were used to fix the
distal interphalangeal joint and blocking avulsion fracture block in the
classical Ishiguro technique, and play a continuous elastic compression).
Difference was not significant in gender, age, cause of injury, injury finger,
and the time from injury to operation between 2 groups (P > 0.05). RESULTS: The
wound healing was delayed in 2 cases of the control group and 1 case of the
improved group, and the other patients obtained healing by first intension. The
follow-up time was 8-23 months (mean, 11 months) in the improved group and was 9
24 months (mean, 12 months) in the control group. Bending deformation of the
Kirschner wire occurred in 2 cases of the control group, obvious separation was
found between fracture fragment and the distal phalanx; after manual reduction,
brace was used to fix, and distal interphalangeal arthritis occurred during
follow-up. The fracture healing time was (6.8+/-0.8) weeks in the control group,
and was (5.7+/-1.5) weeks in the improved group. There was significant difference
in the healing time between 2 groups (t=-2.439, P=0.021). At last follow-up,
according to Crawford criteria, the results were excellent in 9 cases, good in 4
cases, fair in 2 cases, and poor in 1 case with an excellent and good rate of
81.25% in the control group; the results were excellent in 10 cases, good in 3
cases, and fair in 2 case with an excellent and good rate of 86.67% in the
improved group. There was no significant difference in excellent and good rate
between 2 groups (Z=-0.636, P=0.525). CONCLUSIONS: Compared with traditional
Ishiguro technique, the modified Ishiguro technique with strengthening pressure
in treatment of bony mallet finger can facilitate the fracture healing, reduce
Kirschner wire loosening and deformation, and decrease the rates of operation
failure and complications.
PMID- 29786272
TI - [EFFECT OF BLOOD MICROENVIRONMENT OF RATS WITH HEPATIC FIBROSIS ON
DIFFERENTIATION OF HUMAN UMBILICAL CORD MESENCHYMAL STEM CELLS INTO HEPATOCYTES
AND ITS MECHANISMS].
AB - OBJECTIVE: To investigate the effect of blood microenvironment of rats with
hepatic fibrosis on differentiation of human umbilical cord mesenchymal stem
cells (HUCMSCs) into hepatocytes and its mechanisms. METHODS: Eighteen male adult
Sprague Dawley rats [weighing, (200+/-20) g] were used, liver fibrosis was
induced in 12 rats by repeated intraperitoneal injections of thioacetamide. The
serum was separated after successful model preparation, and the serum of 6 normal
rats was collected. ELISA assay was used to detect the concentrations of
epidermal growth factor (EGF), hepatocyte growth factor (HGF), oncostatin M
(OSM), and basic fibroblastic growth factor (bFGF). Passage 3 HUCMSCs were
divided into 3 groups: cells were cultured for 7 days in DMEM/F12 containing 10%
fetal bovine serum and 5?mL/ L serum from rats with hepatic fibrosis (group A),
in DMEM/F12 containing 10% fetal bovine serum and 5 mL/ L serum from normal rats
(group B), and in DMEM/F12 containing 10% fetal bovine serum (group C). The
morphological changes of the cells were observed. The expressions of alpha
fetoprotein (AFP) and cytokeratin 18 (CK18) were detected by immunofluorescence.
The protein levels of albumin (ALB), tryptophan 2, 3-dioxygenase (TPH2), and
CYP3A4 and MAPK/ERK signal pathway protein (P-ERK) were detected using Western
blot. The content of blood urea nitrogen (BUN) was measured by diacetyl m onoxime
method. RESULTS: HE staining showed that the liver tissue of rats was in
accordance with the change of fibrosis, indicating successful model preparation.
In serum of normal rats and rats with hepatic fibrosis, the concentrations of EGF
were (21.42+/-0.32) pg/mL and (17.57+/-0.31) pg/mL respectively, showing
significant difference (t=14.989, P=0.000); the concentrations of OSM were
(129.96+/-0.65) pg/mL and (98.44+/-1.32) pg/mL respectively, showing significant
difference (t=37.172, P=0.000); the concentrations of HGF were below the
detection limit and (1.03+/-0.12)?ng/ mL respectively; and the concentrations of
bFGF were lower than the detection limit in both groups. No morphological changes
of cells were observed in both groups at 7 days, and there was no significant
difference between groups. At 7 days after culture, the cells in group A could
express human hepatocyte biomarkers of AFP, CK18 and hepatocyte-specific-function
proteins of ALB, TPH2, and CYP3 A4 while cells in groups B and C did not. Western
blot showed that cells in each group could express P-ERK protein. The relative
level of P-ERK protein in group A was significantly higher than that in groups B
and C (P < 0.05), but no significant difference was found between groups B and C
(P > 0.05). The BUN concentration of group A [(0.74+/-0.07)?mmol/ L] was
significantly higher than that of groups B [(0.40+/-0.04)?mmol/ L] and C [(0.38+/
0.04) mmol/L] (P < 0.05), but no significant difference was shown between groups
B and C (P > 0.05). CONCLUSIONS: Under the condition of hepatic fibrosis, the
level of HGF will increase while EGF and OSM will decrease. The formed blood
microenvironment?will activate MAPK/ERK signal pathway in HUCMSCs, induce them
differentiate into hepatocytes.
PMID- 29786273
TI - [RESEARCH PROGRESS OF IN VIVO TWO-PHOTON IMAGING IN SPINAL CORD].
AB - OBJECTIVE: To review the in vivo imaging research progress of two-photon
microscopy (TPM) in spinal cord. METHODS: The recent literature concerning in
vivo two-photon imaging of axon, microglia, and calcium in transgenic mice spinal
cord was extensively consulted and reviewed. RESULTS: In vivo two-photon imaging
of spinal cord provide dynamic information about axonal degeneration and
regeneration, microglial accumulation, and calcium influx after spinal cord
injury. CONCLUSIONS: TPM in vivo imaging study on spinal cord will provide
theoretical foundation for pathophysiologic process of spinal cord injury.
PMID- 29786274
TI - [EFFICACY OF BIOACTIVE GLASS AND ALLOGENIC BONE IN REPAIR OF BONE DEFECT AFTER
BENIGN BONE TUMOR CURETTAGE].
AB - OBJECTIVE: To compare the healing process and clinical results of bioactive glass
and allogenic bone in the repair of bone defects after benign bone tumor
curettage. METHODS: Between November 2011 and December 2012, 20 patients with
benign bone tumor received bioactive glass and allogenic bone for repair of bone
defects after benign bone tumor curettage. There were 17 males and 3 females,
aged 9-68 years (median, 18.5 years). The mean course of disease was 3.3 months
(range, 1-9 months). Pathological examination revealed that there were 7 cases of
chondroblastoma, 5 cases of bone cyst, 2 cases of non-ossifying fibroma, 2 cases
of enchondroma, 1 case of vascular tumor of bone, 1 case of lipoma of bone, 1
case of osteoid osteoma, and 1 case of chondromyxoid fibroma. The lesion located
at the femur in 5 cases, at the tibia in 11 cases, at the humerus in 1 case, at
the calcaneus in 2 cases, and at the talus in 1 case. The bioactive glass and
allogenic cancellous bone were implanted in the cavity at the same time. The
Musculoskeletal Tumor Society (MSTS) function evaluation score was used for
evaluation of postoperative limb function. According to the imaging and clinical
benefit, the healing processes of two kinds of implants were evaluated. The
healing rate and healing time were compared. The distribution of the bioactive
glass was divided into two layers: the layer close to host bone and the layer
close to allogenic bone. The bone ingrowth time and bone resorption time in
different layers were evaluated and compared. RESULTS: All cases were followed up
12-42 months (mean, 34.5 months). All incisions healed by first intention. There
were no complications of wound infection or deep infection, rejection, nonunion
of bone, fracture at bone graft site, and collapsing of articular surface. There
was no tumor recurrence during follow-up. The mean MSTS functional score was 29.5
(range, 28-30) at last follow-up. Complete healing was observed in 11 cases and
healing in 9 cases. The healing rates of two kinds of implants were both 100%.
The healing time of bioactive glass and allogenic bone was (4.7+/-1.3) months and
(5.2+/-1.6) months, respectively, showing no significant difference (t=-1.240,
P=0.244). The bone ingrowth time and the bone absorption time were (3.6+/-0.9)
months and (3.7+/-1.0) months in the layer close to host bone and were (4.2+/
1.3) months and (4.2+/-1.3) months in the layer close to allogenic bone, all
showing no significant difference (t=1.785, P=0.097; t=1.476, P=0.172).
CONCLUSIONS: For the repair of bone defects after benign bone tumor curettage,
bioactive glass can achieve satisfactory healing result and has good safety.
PMID- 29786275
TI - [EFFICACY COMPARISON OF RECONSTRUCTION BELT AND RECONSTRUCTION PLATE FOR
COMPLICATED ACETABULAR FRACTURE BY COMBINED ANTERIOR AND POSTERIOR APPROACHES].
AB - OBJECTIVE: To explore the advantage of reconstruction belt for treating
complicated acetabular fracture by combined anterior and posterior approaches
through the comparison with reconstruction plate. METHODS: A retrospective
analysis was made on the clinical data of 39 patients with acetabular fractures
who met the selection criteria. After open reduction by combined anterior and
posterior approaches was performed, fracture was fixed by reconstruction belt in
20 cases (trial group), and by reconstruction plate in 19 cases (control group).
There was no significant difference in gender, age, cause of injury, time from
injury to hospital, type of fracture, and preoperative visual analogue scale
(VAS) score between 2 groups (P > 0.05). The number of plate shaping, plate
shaping time, operation time, bleeding amount, perspective times, VAS score,
modified Merled'Aubigne-Postel hip score, and related complications were recorded
and compared. According to Matta standard, the fracture displacement was measured
to evaluate the fracture reduction and fracture healing. RESULTS: The number of
plate shaping, plate shaping time, operation time, bleeding amount, and
perspective times in the trial group were significantly less than those in the
control group (P < 0.05). The patients were followed up 12-29 months (mean, 21.1
months) in the trial group, and 12-27 months (mean, 20.5?months) in the control
group. The VAS score was significantly lower at 7 days and 6 months after
operation than at pre-operation, and at 6 months than at 7 days in 2 groups (P <
0.05), but difference was not significant between 2?groups (P > 0.05). At
6?months after operation, the Merled'Aubigne-Postel score of hip function in the
trial group was 15.950+/-1.504, showing no significant difference when compared
with the control group (15.895+/-1.629) (t= -0.110, P=0.913). The fracture
displacement was (0.750+/-1.070) mm in the trial group and was (0.842+/-1.068) mm
in the control group, showing no significant difference (t= -0.269, P=0.789). The
X-ray films showed that all fractures healed in 2?groups. The healing time was
(16.10+/-2.07) weeks in the trial group and was (15.84+/-2.14) weeks in the
control group, showing no significant difference (t =0.382, P=0.075).
CONCLUSIONS: Reconstruction belt for complicated acetabular fracture by combined
anterior and posterior approaches has similar effectiveness to reconstruction
plate, but the number of plate shaping, plate shaping time, and perspective times
are fewer.
PMID- 29786276
TI - [COMPARATIVE STUDY ON FIXATION WITH PERCUTANEOUS CANNULATED SCREWS ASSISTED BY
ROBOT NAVIGATION AND CONVENTIONAL SURGERY WITH MANUAL POSITIONING FOR FEMORAL
NECK FRACTURES].
AB - OBJECTIVE: To investigate the effectiveness and the advantage of fixation with
percutaneous cannulated screws assisted by robot navigation in the treatment of
femoral neck fractures by comparing with the conventional surgery. METHODS:
Between January 2013 and December 2014, 20 patients with femoral neck fracture
were treated by internal fixation with percutaneous cannulated screws assisted by
robot navigation (navigation group), another 18 patients undergoing conventional
surgery with manual positioning were chosen as the control group. There was no
significant difference in gender, age, cause of injury, the injury side, time
from injury to operation, and the classification of fractures between 2 groups (P
> 0.05). The operation time, X-ray fluoroscopy time, blood loss, frequency of
guide pin insertion, and healing time were recorded. At 1 week after operation,
the parallel degree of screws was measured on the anteroposterior and lateral X
ray films; the Harris score was used to evaluate the hip function. RESULTS: All
incisions of 2?groups healed by first intention after operation. There was no
significant difference in operation time between 2?groups (t= -1.139, P=0.262).
The blood loss, frequency of guide pin insertion, and X-ray fluoroscopy time of
navigation group were significantly less than those of control group (P < 0.05).
There were 2 screws penetrating into the joint cavity in control group. The
patients were followed up 12-24 months with an average of 18 months. The
navigation group got significantly better parallel degree of screws than control
group on the anteroposterior and lateral X-ray films (t=25.021, P=0.000;
t=18.659, P=0.000). Fractures healed in all patients of navigation group (100%),
and the healing time was (21.8+/-2.8) weeks; fracture healed in 16 patients of
control group (88.9%), and the healing time was (24.0 +/- 3.7) weeks. There was
no significant difference in healing rate and healing time between 2 groups
(chi2=2.346, P=0.126; t=1.990, P=0.055). The Harris score of navigation group
(87.1+/-3.7) was significantly higher than that of control group (79.3+/-4.7) at
last follow-up (t= -5.689, P=0.000). CONCLUSIONS: Cannulated screw fixation
assisted by robot navigation is a good method to treat femoral neck fractures,
which has the advantages of more accurate positioning, better hip function
recovery, less surgical trauma, and shorter X-ray exposure time.
PMID- 29786277
TI - [EFFECTIVENESS OF ONE-STAGE REPAIR AND RECONSTRUCTION FOR KNEE DISLOCATION WITH
MULTIPLE LIGAMENT INJURIES].
AB - OBJECTIVE: To evaluate the surgical procedure and short-term effectiveness of one
stage repair and reconstruction of knee dislocation with multiple ligament
injuries (KDMLI). METHODS: Between September 2010 and April 2014, 9 cases (9
knees) of KDMLI were treated. There were 7 males and 2 females with an average
age of 42 years (range, 27-57 years). Injury was caused by traffic accident in 3
cases, heavy-weight crushing in 3 cases, sports sprain in 2 cases, and falling
from height in 1 case. The average time from injury to operation was 11 days
(range, 3-19 days). The results of posterior drawer test and Lachman test were
positive in all patients. The results of varus stress testing were three-degree
positive in 4 cases, and the results of valgus stress testing were three-degree
positive in 6 cases. The Lysholm score of knee was 27.2+/-6.3; the International
Knee Documentation Committee (IKDC) score was 29.7+/-6.5; and the range of motion
(ROM) was (52.6+/-12.8) degrees . All patients suffered from posterior cruciate
ligament (PCL) injury and femoral avulsion injury of anterior cruciate ligament
(ACL). Combined injuries included medial collateral ligament (MCL) injury in 4
cases (medial meniscus injury in 1 case), lateral collateral ligament (LCL)
injury in 2 cases, and MCL and LCL injuries in 2 cases (medial meniscus and
lateral meniscus injuries in 1 case). Autologous harmstring tendon was used to
reconstruct PCL under arthroscopy combined with limited open in situ suture for
repair of femoral avulsion injury of ACL, and repair of MCL, LCL, and other
injury in one-stage operation. RESULTS: All incisions healed by first intention.
Joint effusion of knee occurred in 1 case and was cured after removal of fluid
combined with pressure bandage. All patients were followed up 12-36 months with
an average of 22 months. At last follow-up, the result of posterior drawer test
was negative in all patients. The results of Lachman test were one-degree
positive in 2 cases; the result of varus stress testing was one-degree positive
in 1 case; the results of valgus stress testing were one-degree positive in
2?cases; and flexion dysfunction of the knee was observed in 1 case. The Lysholm
score of knee was 87.3+/-6.6; the IKDC score was 88.9+/-6.8; and the ROM was
(121.7+/-12.3) degrees , all showing significant differences when compared with
preoperative ones (t=44.246, P=0.000; t=37.903, P=0.000; t=19.894, P=0.000).
CONCLUSIONS: For KDMLI, one-stage repair and reconstruction using autologous
harmstring tendon to reconst ruct PCL under arthroscopy combined with limited
open in situ suture repair of femoral avulsion injury of ACL, and repair MCL,
LCL, and other injury has such advantages as minimal invasiveness, reliable
fixation, less complications, and fast recovery, which can significantly improve
the stability, ROM, and function of knee and obtain good short-term
effectiveness.
PMID- 29786278
TI - [BRIDGED DURAL SUSPENSION FOR CRANIOPLASTY BY USING THREE- DIMENSIONAL MOULDING
TITANIUM MESH].
AB - OBJECTIVE: To investigate the value of bridged dural suspension for cranioplasty
by using three- dimensional moulding titanium mesh. METHODS: A retrospective
analysis was made on the clinical data of 156 patients with skull defects, who
underwent cranioplasty using three-dimensional moulding titanium mesh between
April 2012 and October 2015. Bridged dural suspension was performed in 73
patients (bridging group) and routine cross mesh dural suspension in 83 patients
(routine group). There was no significant difference in gender, age, and causes,
duration, and area of skull defects between 2 groups (P > 0.05), and they were
comparable. The operation time, hospitalization time, and postoperative
complication were recorded for analysis. RESULTS: The operation time was (86.7+/
13.5) minutes in bridging group and was (84.3+/-16.3) minutes in routine group,
showing no significant difference (t=1.061, P=0.290); but hospitalization time of
bridging group [(16.8+/-2.7) days] was significantly shorter than that of routine
group [(18.7+/-5.7) days] (t=-2.661, P=0.009). Postoperative complications
occurred in 16 patients of routine group (19.3%), including epidural hydrops in
13 cases, epidural hematoma in 2 cases, and epidural infection in 1 case, while
epidural hydrops occurred in 4 cases of bridging group (5.5%). There was
significant difference in complication rate between 2 groups (chi2=6.616,
P=0.010). All patients were followed up 5-46 months (mean, 25.2 months). The
satisfactory cosmetic results of the skull were obtained, and CT images showed
good coverage of defect. CONCLUSIONS: Bridged dural suspension for cranioplasty
is more simple and effective than routine cross mesh suspension, and it is
beneficial to reduction of postoperative complications.
PMID- 29786279
TI - [CONDITIONED MEDIUM OF BONE MARROW MESENCHYMAL STEM CELLS ALLEVIATE INHIBITING
EFFECT OF DEXAMETHASONE ON OSTEOGENETIC CAPABILITY OF OSTEOBLAST].
AB - OBJECTIVE: To explore the paracrine effect of bone marrow mesenchymal stem cells
(BMSCs) on dexamethasone-induced inhibition of osteoblast function in vitro.
METHODS: The serum free conditioned medium of mouse BMSCs cultured for 24 hours
was prepared for spare use. The 3rd passage of MC3T3-E1 cells were divided into 4
groups: the control group (group A), dexamethasone group (group B),
dexamethasone+BMSCs conditioned medium (1:1) group (group C), and BMSCs
conditioned medium group (group D). After 24 hours of culture, the alkaline
phosphatase (ALP) content was determined; the protein expressions of RUNX2 and
Osteocalcin were detected by Western blot; and the gene expressions of collagen
type I-alpha 1 (COL1A1), RUNX2, ALP, and Osteocalcin were detected by real-time
fluorescence quantitative PCR (RT-qPCR); alizarin red staining was used to
observe calcium nodules formation at 21 days. RESULTS: After cultured for 24
hours, ALP content was significantly lower in groups B, C, and D than group A,
and in group B than groups C and D (P < 0.05), but no significant difference was
found between groups C and D (P > 0.05). The relative protein expression of RUNX2
of group B was significantly lower than that of groups A, C, and D (P < 0.05),
but difference was not significant between groups A, C, and D (P > 0.05). The
relative protein expression of Osteocalcin was significantly lower in group B
than groups A, C, and D, in groups A and C than group D (P < 0.05), but
difference had no significance between groups A and C (P > 0.05). The relative
gene expressions of RUNX2, Osteocalcin, COL1A1, and ALP of groups B, C, and D
were significantly lower than those of group A (P < 0.05); the relative gene
expressions of RUNX2, Osteocalcin, and ALP were significantly higher in group D
than groups B and C, in group C than group B (P < 0.05). The gene expression of
COL1A1 was significantly higher in group D than group B (P < 0.05), but
difference was not significant between groups B and C, and between groups C and D
(P > 0.05). The cells of group A all died at 6 days after culture; at 21 days,
the calcium no dule staining was positive by alizarin red in groups B, C and D,
and the degree of the staining gradually increased from groups B to D.
CONCLUSIONS: BMSCs conditioned medium can alleviate the inhibitory effect of
dexamethasone on osteoblasts function.
PMID- 29786280
TI - [ARTHROSCOPIC SINGLE-BUNDLE ANTERIOR CRUCIATE LIGAMENT RECONSTRUCTION USING SIX
STRAND AUTOGRAFT HAMSTRING TENDON PLUS TightRope SUSPENSION PLATE].
AB - OBJECTIVE: To explore the effectiveness of arthroscopic single-bundle anterior
cruciate ligament (ACL) reconstruction using six-strand autograft hamstring
tendon plus TightRope suspension plate. METHODS: Between September 2014 and
August 2015, 24 patients with ACL rupture accepted arthroscopic single-bundle ACL
reconstruction using six-strand autograft hamstring tendon plus TightRope
suspension plate. There were 16 males and 8 females with an age range of 18-54
years (mean, 36.2 years). The disease causes included sport injury in 15 cases,
traffic accident injury in 4 cases, and sprain in 5 cases. The mean time from
injury to operation was 3.9 months (range, 18 days to 8 months). The results of
anterior drawer test, pivot shift test, and Lachman test were positive before
operation. The preoperative International Knee Documentation Committee (IKDC)
score was 38.69+/-3.85 and Lysholm knee functional score was 36.45+/-7.85.
RESULTS: The incisions healed by first intention. No infection, deep vein
thrombosis, or vessel and nerve injuries occurred. MRI showed the good tunnel
position and ACL morphology. All patients were followed up 6-16?months with an
average of 12 months. At last follow-up, Lysholm and IKDC scores were
significantly increased to 81.31+/-7.58 and 79.62+/-5.39 respectively (P < 0.05).
The results of anterior drawer test were negative in 17 cases and positive degree
I in 7 cases; the results of Lachman test were negative in 20 cases and positive
degree I in 4?cases; the results of pivot shift test were negative in 21 cases
and positive degree I in 3 cases; and all showed significant differences compared
with preoperative results (P < 0.05). CONCLUSIONS: Arthroscopic single-bundle
reconstrustion using six-strand autograft hamstring tendon plus TightRope
suspension plate is a simple technique for ACL reconstruction, which can obtain
satisfactory short-term effectiveness.
PMID- 29786281
TI - [EXPERIMENTAL STUDY ON RECONSTRUCTION OF PARTIAL DEFECTS OF CERVICAL TRACHEA WITH
BACTERIAL CELLULOSE PATCH IN RABBITS].
AB - OBJECTIVE: To investigate the feasibility of bacterial cellulose patch to repair
and reconstruct rabbit tracheal defect, so as to lay the foundation for the
development of bacterial cellulose artificial trachea. METHODS: Thirty adult
rabbits (weighing, 2.5-3.5 kg) were selected to establish full-thickness defect
of the cervical trachea (1.0 cm*0.6 cm in size) which involved the anterior and
side walls and 2-3 rings. Defect was repaired with sheet bacterial cellulose
(1.2?cm in diameter and 6 layers) in experimental group (n=15), and with
autologous cervical fascia in control group (n=15). The general condition of the
animals was observed after operation; after 4, 8, and 12 weeks, the samples were
obtained for measuring the tracheal stenosis degree, counting new microvessels,
and observing lumen reconstruction situation by scanning electron microscope.
RESULTS: Three rabbits died of infection, tracheal stenosis, or asphyxia caused
by airway secretions retention in 2 groups respectively, and the other rabbits
survived to the end of experiment. Gross observation showed that reconstruction
materials gradually adhered?to?adjacent?tissue and were enwrapped?by connective
tissue, small blood vessels like nutrient vessel were seen in 2 groups; no
significant difference was found in the tracheal lumen stenosis degree between 2
groups (P > 0.05). Histological observation showed that the continuous growth of
mucosal epithelial cells was observed near patch and anastomosis site with time,
and cell morphology gradually turned mature in experimental group; while mucosal
epithelial cells arranged loosely in control group. At each time point, new
capillaries of experimental group were significantly more than those of control
group (P < 0.05). Scanning electron microscope observation showed the continuous
epithelioid cells were observed at anastomosis site with time, and gradually grew
into the middle of the patch in experimental group; while less and discontinuous
epithelioid cells were observed in control group. CONCLUSIONS: Bacterial
cellulose patch is feasible to reconstruct cervical tracheal defect in rabbits,
and the new mucosa is formed early and completely, so it is expected to be used
as artificial trachea material.
PMID- 29786282
TI - [APOPTOSIS IN HUMAN OSTEOSARCOMA CELL LINE MG63 INDUCED BY PYROPHEOPHORBIDE-a
METHYL ESTER-MEDIATED PHOTODYNAMIC THERAPY].
AB - OBJECTIVE: To explore the effect of pyropheophorbide-a methyl ester-mediated
photodynamic therapy (MPPa-PDT) on the apoptosis in human osteosarcoma cell line
MG63 and the underlying mechanism. METHODS: Human osteosarcoma MG63 cells in
logarithmic growth phase were divided into 4 groups: blank control group (control
group), the MPPa treatment group (MPPa group), the light irradiation group (LED
group), and MPPa-PDT treatment group (MPPa-PDT group). MPPa-PDT group and MPPa
group were incubated with MPPa (0.75?MUmol/ L) for 20 hours in dark condition;
control group and LED group were incubated with equal volume of fresh medium for
20 hours in the same condition. After washing with PBS and replacement with fresh
culture medium, LED group and MPPa-PDT group cells were exposed to light (4.8
J/cm2) for 120 seconds. After light exposure, all groups were cultured in dark
condition again. Then cellular morphology changes were observed by an inverted
phase contrast microscopy, endoplasmic reticulum morphology changes were observed
by transmission electron microscopy, cellular apoptosis was detected by
Hoechst33258 nuclear staining, cell apoptotic rate and the levels of Ca in cells
were analyzed by flow cytometry, the expression of p-PERK, C/EBP homologous
protein (CHOP), cleaved-Caspase-12 were assayed by Western blot. RESULTS: In MPPa
PDT group, the retracted and round cells were observed; Hoechst33258 nuclear
staining showed nuclear condensation, fragmentation, and other typical apoptotic
morphological changes; the cell apoptotic rate (48.76%+/-3.54%) was significantly
higher than that of control group (5.04%+/-0.41%), MPPa group (5.33%+/-0.38%),
and LED group (6.48%+/-0.46%) (P < 0.05); the levels of Ca2+ in cells (485.29+/
58.77) was also significantly higher than that of control group (97.24+/-4.77),
MPPa group (97.95+/-6.30), and LED group (101.17+/-5.26) (P < 0.05); swelling
endoplasmic reticulum was observed under transmission electron microscope; the
expressions of p-PERK, CHOP, and cleaved-Caspase-12 gradually increased at 1, 3,
and 6 hours after treatment respectively, which were significantly higher than
those of the other groups (P < 0.05). There was no typical apoptotic
morphological changes and endoplasmic reticulum morphological changes in control
group, MPPa group, and LED group, and there was no significant difference in the
above indexes among 3 groups (P > 0.05). CONCLUSIONS: MPPa-PDT can significantly
induce apoptosis in MG63 cells. The endoplasmic reticulum stress pathway is
involved in the MPPa-PDT induced apoptosis.
PMID- 29786283
TI - [EFFECT OF Wnt/beta-catenin SIGNAL PATHWAY ON APOPTOSIS IN STEROID-INDUCED
AVASCULAR NECROSIS OF FEMORAL HEAD IN RATS].
AB - OBJECTIVE: To investigate the effect of Wnt/beta-catenin signal pathway on the
apoptosis in steroid-induced avascular necrosis of femoral head (SANFH) in rats.
METHODS: Seventy-two male Sprague Dawley rats (weighing, 200-230 g) were randomly
divided into the control group (group A, n=24), the model group (group B, n=24),
and the intervening group (group C, n=24). The rats in groups B and C were
injected with lipopolysaccharide and methylprednisolone (MPS) to establish the
SANFH model. The rats in group C were injected intramuscularly with human
recombinant secreted frizzled related protein 1 (SFRP1) [1 MUg/(kg.d)] at the
first time of MPS administration for 30 days. The rats in group A received saline
injection at the same injection time of group B. The general condition of rats in
groups B and C was observed during modeling and after modeling. At 2, 4, and 8
weeks after last injection of MPS, 8?rats were sacrificed to harvest the femoral
head. Histological staining was performed to evaluate osteonecrosis. Apoptosis
was detected via TUNEL staining. The expressions of Wnt/beta-cate nin pathway
signaling molecules (activated beta-catenin and c-Myc) were detected by
immunohistochemistry and Western blot. RESULTS: Six rats were added in groups B
and C because of 6 deaths. The other rats survived to the end of experiment.
Normal bone structure was observed in group A; osteonecrosis of bone structure
disturbance and disruption of the trabecula were found with time in groups B and
C. Group C had the highest empty lacuna rate and apoptosis rate, followed by
groups B and A, showing significant difference between groups (P < 0.05). The
expression levels of activated beta-catenin and c-Myc were significantly lower in
group C than groups A and B (P < 0.05), and in group B than group A (P < 0.05).
CONCLUSIONS: Wnt/beta-catenin signal pathway is involved in the pathogenesis in
early SANFH model and its?possible mechanism?is to affect the cell cycle and cell
apoptosis by the regulation of c-Myc expression.
PMID- 29786284
TI - [CLASSIFICATION AND SURGICAL TREATMENT OF MUCOUS CYSTS OF DISTAL INTERPHALANGEAL
JOINT].
AB - OBJECTIVE: To investigate the self-made classification criterion for mucous cysts
of distal interphalangeal joint and the effectiveness of the surgical treatment.
METHODS: Between July 2008 and August 2015, 33 patients with mucous cysts of
distal interphalangeal joint were treated, and the clinical data were
retrospectively analyzed. Among 33 cases, 15 were male and 18 were female, aged
from 45 to 74 years (mean, 58 years). The disease duration ranged from 3 weeks to
1 year (mean, 5 months). The cyst located at thumb in 18 cases, index finger in 4
cases, middle finger in 6 cases, ring finger in 4 cases, and little finger in 1
case. According to location, mucous cysts were typed: proximity to one side of
the finger extensor tendon and deviation from the midline of the finger named
lateral type (22 cases); and at both sides of the finger extensor tendon named
intermediate type (11 cases). The bilateral digital dorsal incision was made in
the intermediate type patients, and the reverse L-shaped incision was made in the
lateral type patients, then the degenerative tissue was resected, the osteophyte
was removed, and the capsule was repaired; finally, mucous cysts were resected.
RESULTS: All the patients were followed up 4 months to 2 years (mean, 14.5
months). The incision healed by first intention without infection after
operation. Clinical symptoms obtained improvement and no recurrence was observed
in 29 patients except 4 patients who died of other diseases during follow-up.
CONCLUSIONS: A surgical treatment for mucous cysts of distal interphalangeal
joint is feasible according to the self-made classification criterion and it has
the advantage of simple operation and definite effectiveness.
PMID- 29786286
TI - [TOE FIBULAR-DORSAL ARTERY FLAP ANASTOMOSED TO DORSAL DIGITAL ARTERY IN REPAIRING
DORSAL SOFT TISSUE DEFECT OF FINGERS].
AB - OBJECTIVE: To discuss the effectiveness of free toe fibular-dorsal artery flap
anastomosed to dorsal digital artery in repairing dorsal soft tissue defect of
fingers. METHODS: Between May 2010 and September 2015, 28 patients with dorsal
soft tissue defect of fingers were treated, including 17 males and 11 females
with an average age of 23.8 years (range, 15-55 years). The reasons of injuries
were machine twist (15 cases), heavy pound (4 cases), the sharp cut (5 cases),
and hot crush (4 cases). The time from injury to admission was 30 minutes to 12
hours (mean, 1.5 hours). The involved fingers included thumb (3 cases), index
finger (8 cases), middle finger (6 cases), ring finger (6 cases), and little
finger (5 cases). The area of soft?tissue?defects ranged from 2.0 cm*1.5 cm to
3.5 cm*2.5 cm, and the area of free toe fibular-dorsal artery flap ranged from
2.8 cm*1.7 cm to 3.8 cm*2.8 cm. The blood supply of the flaps were reconstructed
by anastomosing the toe fibular-dorsal artery, vein, and nerve to the dorsal
digital artery, vein, and nerve, respectively. The donor site was repaired by
free skin graft. RESULTS: The operation time was 1.5-5.5 hours (mean, 2.5 hours);
the blood loss during operation was 10-50 mL (mean, 30 mL).
Vessel?crisis?occurred?in?1 case, and the flap survived after symptomatic
treatment. The other flaps survived and the wounds healed with stage I; the skin
grafts at donor site survived and the incisions healed with stage I. Twenty-eight
cases were followed up 6-24 months (mean, 8 months). The appearance of flaps was
good, and two-point discrimination was 3.5-12.0 mm (mean, 5.3 mm) at 6 months
after operation. The fingers function of grab and pinch recovered. According to
the standard functional evaluation issued by Hand Surgery Association of Chinese
Medical Association, the results were excellent in 20 cases and good in 8 cases,
with an excellent and good rate of 100% at 6 months after operation. The donor
sites of toe were smooth and had no depression. The patients had normal gait.
CONCLUSIONS: Free toe fibular-dorsal artery flap anastomosed to dorsal digital
artery in repairing dorsal soft tissue defect of fingers can obtain satisfactory
effectiveness in appearance and function of fingers, and has the advantages of
modified repair and less injury at donor site.
PMID- 29786285
TI - [RESEARCH PROGRESS OF FENESTRATED PEDICLE SCREW].
AB - OBJECTIVE: To review the research background, biomechanical characteristics, and
clinical application of fenestrated pedicle screw (FPS). METHODS: The recent
literature about the study and application of FPS was reviewed, analyzed, and
summarized. RESULTS: Compared with the technique of conventional pedicle screw
augmentation, FPS can be augmented with polymethyl methacrylate (PMMA) through
inner central pore and side holes. Hence, the augmentation process of FPS is more
convenient during operation. The biomechanics of PMMA augmented FPS is strong
enough for instrumentation in osteoporotic vertebra body and the way of FPS PMMA
augmentation can reduce the risk of PMMA leakage. CONCLUSIONS: FPS is an
innovation in the technology of spinal instrumentation, which is expected to
improve the clinical outcome of PMMA augmented pedicle screw in osteoporotic
vertebra body fixation.
PMID- 29786287
TI - [PHYSICOCHEMICAL PROPERTIES AND BIOLOGICAL CHARACTERISTICS OF POROUS TANTALUM AND
ITS APPLICATION PROGRESS IN SPINAL SURGERY].
AB - OBJECTIVE: To summarize the physicochemical properties, manufacturing technique,
and biological characteristics of porous tantalum and its application progress
and related problems in spinal surgery. METHODS: The domestic and foreign related
literature about porous tantalum was summarized and analyzed. RESULTS: Porous
tantalum is characterized by high porosity, high coefficient of friction, low
elastic modulus, good biocompatibility, and superior osseointegration capability.
Its manufacture methods include chemical vapor deposition and infiltration
technique, foam impregnation and powder metallurgy technique, and heat treatment
method. Good clinical efficacy has achieved in the application of porous tantalum
interbody fusion Cage in cervical and lumbar fusion surgery, but there is
controversy in spinal fusion rate, especially in cervical fusion rate. Surface
modification can increase the osseointegration capability of porous tantalum and
intervertebral bony fusion. CONCLUSIONS: Good clinical efficacy has achieved in
the application of porous tantalum interbody fusion Cage in lumbar fusion
surgery, while there is a dispute in cervical fusion surgery. In order to further
observation, studies with more patients and longer follow-up would be needed.
PMID- 29786288
TI - [SURGICAL TREATMENT OF Seymour FRACTURES IN CHILDREN AND ADOLESCENTS].
AB - OBJECTIVE: To discuss the treatment method and effectiveness of Seymour fracture
in children and adolescents. METHODS: Between January 2013 and November 2015, 26
children and adolescents with Seymour fractures were treated. There were 18 males
and 8 females, aged from 1 year and 1 month to 17 years (median, 8.2 years). The
injury causes included crush in 14 cases, bruise in 10 cases, and puncture in 2
cases. The thumb was involved in 2 cases, index finger in 1 case, middle finger
in 12 cases, ring finger in 6 cases, and little finger in 5 cases. The time from
injury to operation was 1-15 hours (mean, 3.2 hours). The patients underwent
debridement, nail removal, nail matrix repair, closed reduction and
osteosynthesis with Kirschner wires, and splinting in emergency. Kirschner wires
and splints were removed at 4 weeks after surgery, and functional exercises were
done. RESULTS: All wounds healed by first intention without infection. The follow
up duration was 2-24 months (mean, 12.3 months). The fracture healing was
obtained at 1-2 months (mean, 1.4 months) on X-ray film, and no complications of
nonunion, malunion, re-displacement, premature epiphyseal closure, or no growth
of the nails occurred. Nail deformity developed in 1 case and no re-operation was
given. At last follow-up, 1 patient had 10 degrees extension limitation of the
distal interphalangeal joint, but the flexion was normal. The motion range of
distal interphalangeal joint was 0-75 degrees , showing no significant difference
when compared with that of contralateral side (0-78 degrees ). CONCLUSIONS: The
effectiveness for treating Seymour fracture is satisfactory by debridement, nail
removal, nail matrix repair, closed reduction and osteosynthesis with Kirschner
wires, and splinting in emergency.
PMID- 29786290
TI - [AN ANTERIOR NEUROVASCULAR INTERVAL APPROACH FOR FIXATION OF ULNA CORONOID
PROCESS FRACTURE].
AB - OBJECTIVE: To investigate the advantages and effectiveness of anterior
neurovascular interval approach for fixation of ulna coronoid process fracture.
METHODS: Between February 2011 and April 2015, 8 patients with ulna coronoid
process fracture were treated with open reduction and internal fixation by
anterior neurovascular interval approach. There were 5 males and 3 females, aged
from 14 to 62 years (mean, 34 years). Fractures were caused by falling in 5
cases, traffic accident in 2 cases, and crashing in 1 case. The time between
injury and operation was 1-6 days (mean, 3.5 days). According to Adams
classification, there were 4 cases of type II, 1 case of type III, 2 cases of
type IV, and 1 case of type V. In 1 patient with joint instability, lateral
collateral ligament repair was given through another incision after fixation of
coroniod fracture and the hinged external fixator, and plast splin was used to
fix in the other patients; function exercise was done after removal of external
fixtion. RESULTS: All incisions healed by first intention, and no complications
of neurovascular injury and deep infection occurred. All patients were followed
up 6-48 months (mean, 22 months). The healing time of fracture was 8-15 weeks
(mean, 12.6 weeks). Mild myositis ossificans occurred in 1 case. The
flexionextension arc of the elbow was (125.00+/-7.07) degrees and the forearm
rotation was (135.00+/-7.07) degrees , showing no significant difference when
compared with those of normal side[(126.88+/-7.53) degrees and (139.38+/-8.21)
degrees ] (t=0.469, P=0.654; t=2.198, P=0.054). According to Morrey's scale, the
results were excellent in 6 cases, good in 2 cases; the excellent and good rate
was 100%. CONCLUSIONS: Anterior neurovascular interval approach for reduction and
internal fixation of ulna coroniod fractures has the advantages of simple
operation, less trauma, and larger operative field. It can be used alone or
combined with other surgical approaches.
PMID- 29786289
TI - [EFFECTIVENESS COMPARISON OF CORACOCLAVICULAR LIGAMENT RECONSTRUCTION BETWEEN BY
AUTOLOGOUS AND ALLOGENEIC TENDON GRAFTS COMBINED WITH HOOK PLATE FIXATION FOR
TREATING ACROMIOCLAVICULAR JOINT DISLOCATION].
AB - OBJECTIVE: To compare the effectiveness of coracoclavicular ligament
reconstruction between by using autologous plantaris tendon graft combined with
hook plate fixation and allogeneic tendon graft combined with hook plate fixation
for treating acromiocavicular joint dislocation. METHODS: Thirty-three patients
with acromioclavicular joint dislocation who accorded with the inclusion criteria
between January 2013 and June 2014 were assigned into 2 groups. The patients were
treated with autologous plantaris tendon graft combined with hook plate fixation
in group A (n=17), and with allogeneic tendon graft combined with hook plate
fixation in group B (n=16). Thirteen-one patients was followed up more than 12
months (15 in group A and 16 in group B). There was no significant difference in
gender, age, cause of injury, sides, time between injury and surgery, and type of
dislocation (P>0.05). The assessments included operation time, hospitalization
time, hospitalization expenses, shoulder range of motion, gap of
acromioclavicular, Constant-Murley scores, and visual analogue scale (VAS) for
pain. RESULTS: The operation time of group A was significantly longer than that
of group B, and the hospitalization expense was significantly lower than that of
group B (P<0.05). There was no significant difference in hospitalization time
(t=1.046, P=0.316). The incisions healed by first intention, and hook plate was
removed after 3 months. The mean follow-up time was 21.3 months (range, 19-34
months) in group A and was 23.7 months (range, 18-37 months) in group B. X-ray
examination showed no osteolysis. There was no significant difference in gap of
acromiocavicular between 2 groups at preoperation, 1 week after operation, and
last follow-up (P>0.05). No redislocation of acromioclavicular joint and
rejection reaction occurred during follow-up. At last follow-up, there was no
significant difference in shoulder range of motion, Constant-Murley score, and
VAS score between 2 groups (P>0.05). CONCLUSIONS: Coracoclavicular ligament
reconstruction by autologous plantaris tendon or allogeneic tendon graft combined
with hook plate fixation for the treatment of acromioclavicular joint dislocation
can achieve good effectiveness. The appropriate treatment should be chosen
according to the patient's economic situation.
PMID- 29786291
TI - [CLINICAL OBSERVATION OF ROTATING-PLATFORM PROSTHESIS FOR VALGUS KNEE DEFORMITY].
AB - OBJECTIVE: To investigate the effectiveness of rotating-platform prosthesis for
valgus knee deformity in total knee arthroplasty (TKA). METHODS: A retrospective
analysis was made on the clinical date of 25 cases (28 knees) of valgus deformity
undergoing primary TKA by using Gemini MK II rotating-platform prosthesis. There
were 6 males (7 knees) and 19 females (21 knees), aged from 47 to 82 years, with
an average age of 64.8 years. The unilateral knee was involved in 22 cases and
the bilateral knees in 3 cases. The causes included osteoarthritis in 20 cases
(22 knees), rheumatoid arthritis in 4 cases (5 knees), and traumatic arthritis in
1 case (1 knee). The disease duration was 2-22 years (mean, 10.4 years). The main
clinical symptoms were arthralgia combined with limited movement. According to
the Keblish grade, there were 13 knees of mild deformity, 11 knees of moderate
deformity, and 4 knees of severe deformity. RESULTS: All incisions healed by
first intension. No complications of infection, cutaneous necrosis, deep venous
thrombosis, and pulmonary embolism occurred. The postoperative follow-up duration
was 12-60 months (mean, 25.4 months). Medial instability and palsies of nervus
peroneus communis occurred in 1 and 2 cases respectively, and all were cured
after symptomatic treatment. No patellar and polysthylene insert dislocation,
prosthetic loosening or infection was observed. The Hospital for Special Surgery
(HSS) score, femoral tibial angle, maximun flexion and extension angles, knee
range of motion, and patellar score were significantly improved at last follow-up
when compared with preoperative ones (P<0.01). CONCLUSIONS: The rotating-platform
prosthesis for valgus deformity can obtain satisfactory effectiveness, but it
requires precise soft tissue balancing technology, and the long-term
effectiveness remains further observation.
PMID- 29786292
TI - [CLINICAL OBSERVATION OF IMPROVING AXIAL OFFSET BY USING Ilizarov BONE TRANSPORT
TECHNOLOGY].
AB - OBJECTIVE: To explore the effectiveness of Ilizarov technique in improving bone
transport axial offset. METHODS: Between January 2010 and December 2014, 14
patients with tibial fracture were treated by using Ilizarov technique. Of 14
cases, 11 were male and 3 were female, aged 18-70 years (mean, 38.8 years); there
were 10 cases of infective bone defect and 4 cases of non-infective bone defect.
According to Paley typing, 7 cases were rated as type B1(bone defect without
shortening) and 7 cases as type B3(bone defect with shortening). The injury to
operation time was 1 to 72 months (mean, 11.9 months). Ilizarov fixation was used
for type architecture and adjusting fixed bone removal of half the distance
between the needle and the ring, changing the auadrilateral edges, adjusting the
convolution relationship between the bone removal section and bone segment
involution, and adjusting the two force lines of bone segment involution end so
as to make the limb lines of force satisfactory. RESULTS: The patients were
followed up 9-31 months (mean, 19.1 months). Four cases achieved natural bone
healing at last follow-up, bone healing was obtained in 10 cases after bone
graft. At 1 week after operation, X-ray films showed angulation in the coronal
plane in 10 cases (3-12 degrees , 4.9 degrees on average) and in the sagittal
plane in 9 cases (2-12 degrees , 3.8 degrees on average); axial offset was
observed in 6 cases (43%), which was corrected in 5 cases except 1 case. At last
followup, angulation in the coronal plane was observed in 5 cases (2-4 degrees ,
2.6 degrees on average), angulation in the sagittal plane in 6 cases (2-6
degrees , 4.1 degrees on average), and axial offset in 1 case (7%), which were
significantly improved when compared with ones at 1 week. According to Paley
evaluation criteria, the osseous results were excellent in 12 cases and good in 2
cases; the functional results were excellent in 12 cases and good in 2 cases at
last follow-up. CONCLUSIONS: Axial offset in the Ilizarov bone transport
relatively common. By adjusting fixed bone removal of half the distance between
the needle and the ring, the axial offset can be improved.
PMID- 29786293
TI - [CLASSIFICATION OF ADULT CUBOID FRACTURE AND EFFECTIVENESS ANALYSIS].
AB - OBJECTIVE: To study the classification criteria of adult cuboid fracture and its
guidance feasibility and effect of treatment. METHODS: A retrospective analysis
was made on the clinical data of 415 adult patients (416 feet) with cuboid
fractures who had complete CT data treated between May 2009 and April 2014. There
were 337 males and 78 females, aged 19 to 64 years (mean, 38.8 years). The left
foot, right foot, and bilateral feet were involved in 220 cases, 194 cases, and 1
case respectively. The causes of injury were sprain in 106 cases, traffic
accident in 65 cases, falling from height in 129 cases, and heavy crushing in 115
cases. The interval of injury and hospitalization was 2 hours to 3 days (mean,
8.5 hours). Based on CT findings, the classification criteria of cuboid fracture
was proposed and methods of treatment was statistically analyzed. The external
fixation surgery was performed in patients of type I (285 feet), type IIa (18
feet), and type III (5 feet); open reduction and internal fixation were performed
in patients of type IIb (41 feet) and type III (67 feet), and bone grafting was
used to repair defects in 58 feet (type III). RESULTS: All patients were followed
up 1 year to 5 years and 11 months (mean, 2 years and 3 months). Primary healing
of incision was obtained. In patients with type I fracture, fracture healed in
165 feet at 4-6 weeks (mean, 5.5 weeks), fracture did not heal in the other 120
feet; the American Orthopaedic Foot and Ankle Society (AOFAS) score was 95
100(mean, 96.7) at last follow-up. In patients with type II fracture, fracture
healed in all feet at 6-8 weeks (mean, 6.5 weeks); the AOFAS score was 92
100(mean, 95.5) at last follow-up. In patients with type III fracture, malunion
was observed at 6-8 weeks in 5 feet undergoing external fixation, and in 9 feet
undergoing open reduction and internal fixation with foot lateral column
shortening, forefoot abduction deformity, osteoarthritis, lateral foot pain;
fracture healed at 8-12 weeks in 58 feet undergoing open reduction and internal
fixation, without osteoarthritis, cuboid bone shortening, and pain at cuboid
bone; and AOFAS score was 75-97(mean,93.5) at last follow-up. CONCLUSIONS: The
classification criteria of cuboid fracture proposed based on CT examination is
feasible and has guiding significance to the choice of treatment method.
PMID- 29786294
TI - [CLINICAL EXPERIENCE OF RETROGRADE REPLANTATION FOR AMPUTATED TOE].
AB - OBJECTIVE: To summarize the clinical experience of the retrograde replantation
for amputated toe. METHODS: Between January 2010 and August 2015, 11 cases of
amputated toes (15 toes) were treated by the retrograde replantation. All
patients were male, with a mean age of 31 years (range, 18-45 years). The causes
included cutting injury in 6 cases (9 toes) and crush injury in 5 cases (6 toes).
One case had amputated great toe and distal segment of the second toe combined
with the third toe nail bed contusion; 1 case had amputated proximal great toe
and middle segment of the second and third toes; 1 case had amputated proximal
segment of great toe and middle segment of the second toe; 7 cases had amputated
distal segment of the great toe; and 1 case had amputated middle segment of the
fifth toe. The time from injury to hospital was 1-3 hours (mean, 2 hours).
RESULTS: Thirteen toes survived completely after operation. Toe necrosis occurred
in 1 toe; partial dorsal skins necrosis and nail bed necrosis occurred in 1 toe,
and was cure after repaired with dorsalis pedis island flap. The rate of success
for replantation of amputated toes was 93.33% (14/15). X-ray examination showed
fracture healing of all survival toes at 8-12 weeks after operation (mean, 10
weeks); internal fixation was removed. Eleven cases were followed up 3-12 months
(mean, 7.5 months). The survival toes had good appearance and toenail. The two
point discrimination was 9-12 mm (mean, 10 mm) at last follow-up. The patients
could walk and run normally. CONCLUSIONS: It is an ideal surgical method to use
retrograde replantation to treat amputated toe, with the advantages of simple
operation and high survival rate.
PMID- 29786295
TI - [DIAGNOSTIC SIGNIFICANCE OF MEDIAL MENISCUS INJURY IN ANTERIOR CRUCIATE LIGAMENT
RECONSTRUCTION FAILURE].
AB - OBJECTIVE: To explore the relationship between anterior cruciate ligament (ACL)
reconstruction failure and medial meniscus injury and decide whether medial
meniscus injury could be the judgment index for ACL reconstruction failure
without trauma history. METHODS: Between March 2011 and December 2015, 117
patients underwent ACL reconstruction, and the clinical data were analyzed
retrospectively. All patients had no trauma history after ACL resconstruction.
MRI examination showed medial meniscus injury in 56 cases (observation group) and
no medial meniscus injury in 61 cases (control group). There was no significant
difference in gender, age, side, reconstructive surgery, and follow-up time
between 2 groups (P>0.05). The KT-2000 arthrometer was used to measure the
difference value of tibial anterior displacement between two knees in 30 degrees
knee flexion. Then wether the ACL reconsruction failure was judged according to
the evaluation criteria proposed by Rijke et al. RESULTS: In observation group,
the difference value of tibial anterior displacement was <3 mm in 7 patients, 3-5
mm in 11 patients, and >5 mm in 38 patients. In control group, the difference
value of tibial anterior displacement was <3 mm in 31 patients, 3-5 mm in 18
patients, and >5 mm in 12 patients. The ACL reconstruction failure rate of
observation group (67.9%) was significantly higher than that of control group
(19.7%) (chi2=27.700, P=0.000). CONCLUSIONS: After ACL reconstruction, medial
meniscus injury occurs under no trauma history circumstances, indicating ACL
reconstruction failure.
PMID- 29786296
TI - [PROTECTIVE EFFECT OF GLUCOSAMINE-HYDROCHLORIDE ON CARTILAGE IN BLOOD-INDUCED
JOINT DAMAGE IN VIVO].
AB - OBJECTIVE: To discuss the effect of glucosamine-hydrochloride (Glu/Ch) in
protecting and repairing the cartilage in blood-induced joint damage (BJD) in
vivo. METHODS: Thirty-two adult New Zealand rabbits were randomly divided into 4
groups (n=8):high-dose Glu/Ch treated group (group A), low-dose Glu/Ch treated
group (group B), positive control group (group C), and negative control group
(group D). A joint bleeding model was established by blood injection into
articular cavity in groups A, B, and C. Glu/Ch was given by gavage in groups A
(250 mg/kg) and B (21.5 mg/kg) once a day for 8 weeks, and the same dosage of
saline was given in groups C and D. The serum cartilage oligomeric matrix protein
(COMP), serum chondroitin sulfate 846(CS846), and urinary C-terminal telopepide
of type II collagen (CTX-II) were measured at 3 days, 7 days, 2 weeks, and 8
weeks after modeling. The expressions of cytokines such as interleukin 1beta (IL
1beta) and tumor necrosis factor alpha (TNF-alpha) in synovial fluid were
analyzed by ELISA at 8 weeks after modeling. The expression of matrix
metalloproteinase 13(MMP-13) was detected by immunohistochemistry. Alcian blue
staining and Safranin-O staining were performed to calculate the percentage of
the positive staining areas. The proteoglycan content was detected by semi
quantitative analysis in the articular cartilage. RESULTS: The COMP concentration
was significantly higher in groups A, B, and C than group D, and in groups B and
C than group A at 3 days after modeling (P<0.05); no significant difference was
found among groups A, B, and D at 7 days (P>0.05), and it was significantly lower
in groups A, B, and D than group C (P<0.05); there was no significant difference
among 4 groups after 2 and 8 weeks (P>0.05). Difference in CS846 concentration
had no significance among 4 groups at each time point (P>0.05). The CTX-II
concentration of groups A, B, and C was significantly higher than that of group D
at each time point (P<0.05); it was significantly lower in group A than groups B
and C at 7 days, 2 weeks, and 8 weeks (P<0.05). The TNF-alpha concentration of
groups A and B was significantly higher than group D, and was significantly lower
than group C at 8 weeks (P<0.05), but no significant difference was observed
between groups A and B (P>0.05). The IL-1beta concentration was significantly
higher in group C than the other groups (P<0.05), and in group B than groups A
and D (P<0.05), but there was no significant difference between groups A and D
(P>0.05). The MMP-13 expression was significantly higher in group C than groups
A, B, and D (P<0.05), in groups A and B than group D (P<0.05). A significant
decrease in the area stained with Alcian blue and Safranin-O was observed in
group C. There were significant differences in the percentage of the positive
stained areas of Alcian blue and Safranin-O among 4 groups (P<0.05). The relative
quantities of proteoglycan from small to large in order was groups C, B, A, and
D, respectively, showing significant differences (P<0.05). CONCLUSIONS: The
metabolism disorder of cartilage matrix and synovium inflammatory reaction can be
observed in rat joint bleeding model. Glu/Ch has certain protective effect on the
cartilage after BJD by down-regulating IL-1beta, TNF-alpha, and MMP-13, as well
as increasing proteoglycan content in the cartilage.
PMID- 29786297
TI - [EFFECTIVENESS EVALUATION OF PERCUTANEOUS MONOAXIAL SCREW COMBINED WITH INJURED
VERTEBRAE POLYAXIAL PEDICAL SCREW FIXATION FOR TREATMENT OF THORACOLUMBAR
FRACTURES].
AB - OBJECTIVE: To explore the effectiveness percutaneous monoaxial screw combined
with polyaxial pedical screw for treating thoracolumbar fracture by comparing
with simple polyaxial pedicle screw fixation. METHODS: Between January 2012 and
June 2014, 56 cases of thoracolumbar fractures were treated by percutaneous
pedicle screw fixation, the clinical data were retrospectively analyzed. Of 56
cases, 30 were treated with percutaneous monoaxial screw combined with
percutaneous polyaxial pedical screw fixation (group A), 26 patients with only
percutaneous polyaxial pedicle screw fixation (group B). There was no significant
difference in gender, age, body mass index, injury causes, time from injury to
admission, involved segments, fracture type, and preoperative American Spinal
Injury Association (ASIA) stage, visual analogue scale (VAS), the anterior height
of the injured vertebrae, Cobb angle, and sagittal index between 2 groups
(P>0.05). The operation time, intraoperative blood loss, and complications were
recorded and compared between the 2 groups. The VAS score was used to evaluate
the improvement of the pain. The sagittal kyphosis Cobb angle, the anterior
height of the injured vertebrae, sagittal index, and the average correction
(difference between 3 days after oeration and preoperation) and loss degrees
(difference between last follow-up and 3 days after operation) were measured on
the X-ray films at preoperation, 3 days after operation, and last follow-up.
RESULTS: Incision healing at stage I was obtained, no related complications
occurred. The operation time and intraoperative blood loss showed no significant
difference between 2 groups (P>0.05). The patients were followed up 20-42 months
(mean, 32 months) in group A and 21-44 months (mean, 30 months) in group B. VAS
score of group A was significantly lower than that of group B at 3 days after
operation (t=-2.277, P=0.027), but no significant difference was found at last
follow-up (t=-0.289, P=0.774). X-ray examination showed good position of internal
fixation, with no broken nails or exit of nail. There were significant
differences in the anterior height of the injured vertebrae, Cobb angle, and
sagittal index between at preoperation and at 3 days and last follow-up, and
between at 3 days and last follow-up in 2 groups (P<0.05). The anterior height of
the injured vertebrae, Cobb angle, and sagittal index of group A were
significantly better than those of group B at 3 days and last follow-up (P<0.05),
and correction degree were significantly higher than those of group B (P<0.05),
but loss degree was not significant between 2 groups (P>0.05). CONCLUSIONS:
Percutaneous monoaxial screw combined with polyaxial pedicle screw fixation is
better than simply polyaxial pedicle screw in effects of treating thoracolumbar
fracture under the premise of strictly holding indications.
PMID- 29786298
TI - [PEDICLE SUBTRACTION OSTEOTOMY ASSISTED WITH ANTERIOR COLUMN RECONSTRUCTION FOR
TREATMENT OF CHRONIC OSTEOPOROTIC VERTEBRAL COMPRESSION FRACTURE].
AB - OBJECTIVE: To evaluate the effectiveness of pedicle subtraction osteotomy (PSO)
assisted with anterior column reconstruction in the treatment of chronic
osteoporotic vertebral compression fracture (OVCF). METHODS: Between January 2008
and October 2014, 11 cases of chronic OVCF were treated. There were 2 males and 9
females, aged 65-76 years (mean, 72.3 years). The vertebral compression fracture
segment involved T11 in 2 cases, T12 in 2 cases, L1 in 4 cases, L2 in 2 cases,
and L3 in 1 case. At preoperation, the Oswestry disability index (ODI) score was
31.1+/-10.2; kyphosis Cobb angle of fractured vertebrae was (36.5+/-10.2) degrees
on the lateral X-ray films of the spine; and distance between C7 plumb vertical
line (C7 PL) and sagittal vertical axis (SVA) of the S1 superior border was
(5.2+/-2.5) cm. Six cases had spinal cord injury (SCI), including 4 cases of
Frankel grade C and 2 cases of grade D. At last follow-up, ODI score, kyphosis
Cobb angle of fractured vertebrae, and distance between C7 PL and SVA were
recorded and compared with preoperative values. Postoperative Frankle scores were
recorded in SCI cases. X-ray film and CT scan were taken to evaluate bone fusion
at 12 months after operation. RESULTS: The operation was completed successfully
without serious complications. Nerve root radiation symptoms occurred in 2 cases
undergoing lumbar PSO, which was relieved after conservative treatment.
Cerebrospinal fluid leakage occurred in 1 case and was cured after 2 weeks. All
cases were followed up 12-24 months (mean, 15.6 months). No internal fixation
failure or pseudarthrosis was found postoperatively.Screw loosening was found in
1 case (2 screws of the upper level) and titanium Cage cutting vertebral body was
found in 1 case. Bone fusion was obtained in all cases at 12 months after
operation. At last follow-up, ODI score was significantly improved to 13.7+/
5.7(t=4.417, P=0.018), kyphosis Cobb angle of fractured vertebrae to (7.0+/-15.2)
degrees (t=5.113, P=0.009), and the distance between C7 PL and SVA to (2.8+/
2.2) cm (t=3.285, P=0.032). In 6 SCI cases, Frankle grade was recovered to E (1
case), to D (1 case), and no improvement (2 cases) from C, and to E from D (2
cases). CONCLUSIONS: PSO assisted anterior column reconstruction was an effective
method in treatment of chronic OVCF.
PMID- 29786299
TI - [BIOMECHANICAL STUDY ON ADJACENT UPPER AND LOWER AND UNILATERAL FRACTURED
VERTEBRAL PEDICLE SCREW FIXATION IN TREATMENT OF THORACOLUMBAR FRACTURE].
AB - OBJECTIVE: To investigate the stability of unilateral fractured vertebral pedicle
screw fixation in the treatment of thoracolumbar fracture. METHODS: Eighteen
fresh calf thoracolumbar spine specimens (T11-L3) were obtained to establish the
L1 burst fracture models with Panjabi's high speed trauma apparatus, and were
divided into 3 groups:pedicle fixation for adjacent upper and lower vertebrae of
fractured vertebra (4 pedicle screws in group A), combined with pedicle screw
fixation for unilateral fractured vertebrae (5 pedicle screws in group B), and
three-level 6 pedicle fixation (group C). Under normal, fractured, and
reconstructed conditions, the range of motion (ROM) of adjacent upper and lower
vertebrae of fractured vertebra were measured in flexion, extension, bilateral
bending, and axial rotation. Axial stiffness under flexion-compression was
measured on the MTS-858 testing system. RESULTS: There was no significant
difference in ROM and axial stiffness under normal and fractured conditions in
all directions among groups (P<0.05), indicating load balance in the groups. ROM
of groups B and C were significantly less than that of group A under
reconstructed condition in all directions (P<0.05), but no significant difference
was found between group B and group C (P>0.05). Biomechanical test showed that
group B was significantly higher than group A in the axial stiffness value
(P<0.05), but difference was not significant between group B and group C
(P>0.05). CONCLUSIONS: Transpedicular fixation of fractured vertebrae can enhance
the stability of the spine. Both unilateral and bilateral fractured vertebral
pedicle screw fixations have the same stability.
PMID- 29786300
TI - [ANTERIOR APPROACH DEBRIDEMENT AND BONE GRAFTING WITH SELF-LOCKED TITANIUM PLATE
INTERNAL FIXATION FOR TREATING LUMBOSACRAL TUBERCULOSIS].
AB - OBJECTIVE: To explore the effectiveness of stage I anterior approach debridement
and autologous iliac crest graft with single self-locked titanium plate internal
fixation for the treatment of lumbosacral tuberculosis. METHODS: Seven cases of
lumbosacral tuberculosis were treated by stage I anterior approach debridement,
autologous iliac crest graft, and single self-locked titanium plate internal
fixation between February 2010 and October 2014. There were 5 males and 2
females, aged 18-65 years (mean, 41.6 years). The disease duration was 5-21
months (mean, 8 months). The patients had signs and symptoms of pain in
lumbosacral region, radiating pain in unilateral lower limb or bilateral lower
limbs, decreased muscular strength and disorders of superficial sensation.
According to Frankel classification for spinal injury, 1 case was rated as grade
C, 3 cases as grade D, and 3 cases as grade E. Preoperative imaging examination
suggested L5, S1 lesions in line with the manifestations of tuberculosis; the
lumbosacral angle was 16.4-28.5 degrees (mean, 18.6 degrees ). The erythrocyte
sedimentation rate was 28-105 mm/1 hour (mean, 61 mm/1 hour). All patients
received 4-drug antituberculosis therapy. RESULTS: All patients underwent the
operation successfully and all incisions healed at stage I, without relevant
complication. All patients were followed up 14-70 months (mean, 25.6 months). All
symptoms of tuberculosis disappeared and the erythrocyte sedimentation rate
returned to normal. At last follow-up, Frankel classification was returned to E
from D in 3 cases, returned to D from C in 1 case. Two cases suffered from pains
in the lumbosacral region and at the donor site, 1 case suffered from upper
abdominal discomfort and poor appetite,but these symptoms disappeared after
symptomatic treatment. At last follow-up, X-ray examination indicated that the
lumbosacral angle was 23.4-34.2 degrees (mean, 28.6 degrees ). According to
Bridwell criteria, 5 cases gained grade I bone fusion, and 2 cases gained grade
II bone fusion, without migration of bone graft, or loosening or breakage of
titanium plate or bolt. CONCLUSIONS: Stage I anterior approach debridement and
autologous iliac crest graft with single self-locked titanium plate internal
fixation is safe and effective in treating lumbosacral tuberculosis. It can
achieve good bone fusion and stable lumbosacral stability, and maintain good
deformity correction.
PMID- 29786302
TI - [Duckett URETHROPLASTY-URETHROTOMY FOR STAGED HYPOSPADIAS REPAIR].
AB - OBJECTIVE: To explore the surgical outcome of Duckett urethroplasty-urethrotomy
for staged hypospadias repair. METHODS: Fifty-three patients with hypospadias
were treated by 2 stages between August 2013 and September 2014. The age ranged
from 10 months to 24 years and 3 months (median, 1 year and 10 months). There
were 5 cases of proximal penile type, 2 cases of penoscrotal type, 36 cases of
scrotal type, and 10 cases of perineal type. Urethroplasty was performed with
tubed transverse preputial island flap only in 27 cases or combined with urethral
plate in the other 26 cases, thus a urethrocutaneous fistula was intentionally
created; stage II fistula repair was carried out at 1 year after stage I repair.
RESULTS: The length of the new urethra ranged from 2 to 8 cm with an average of
3.6 cm. The patients were followed up 5-17 months with an average of 8 months
after stage II repair. After stage I repair, urethral fistula was noted at other
site in 3 cases, skin necrosis in 1 case, glandular stricture in 2 cases,
cicatric curvature in 1 case, and position and morphology of urethral orifice not
ideal in 4 cases. After stage II repair, urethral fistula was noted in 2 cases,
mild urethral diverticulum in 2 cases, and stricture at temporary repair site in
1 case. HOSE score was 12-16 at 3 months after stage II repair (mean, 14.5). At 3
14 months after stage II repair, the maximum flow rate ranged from 3.9 to 22.7
mL/s with an average of 8.6 mL/s. CONCLUSIONS: Duckett urethroplasty-urethrotomy
can be used as staged repair for primary treatment of hypospadias because of high
safety, low complication incidence, and satisfactory appearance.
PMID- 29786301
TI - [OBSERVATION OF EFFECTIVENESS OF THORACOSCOPIC SURGERY FOR LATEPRESENTING
CONGENITAL DIAPHRAGMATIC HERNIA].
AB - OBJECTIVE: To explore the effectiveness of thoracoscopic surgery for treating
late-presenting congenital diaphragmatic hernias and summarize the experience.
METHODS: Between October 2012 and February 2015, 21 children with late-presenting
congenital diaphragmatic hernias underwent thoracoscopic surgery. Of the 21
cases, 12 were girls and 9 were boys with a median age of 1 year and 3 months
(range, 2 months to 8 years). Eight patients had obvious symptom in the initial
stage:shortness of breath and dyspnea; 13 cases were found occasionally through
chest radiography. Of 21 cases, 17 had left diaphragmatic hernias and 4 had right
diaphragmatic hernias. The emergency surgery was performed in 5 cases because
oppressed obviously and selective operation in 16 cases. Hernial sac existed in 5
cases; there were 19 cases of Bochdalek's hernia and 2 cases of Morgagni's
hernia. The size of diaphragmatic defect ranged from 3 cm*2 cm to 5 cm*5 cm.
RESULTS: The operation time was 35-80 minutes (mean, 50 minutes), and
intraoperative blood loss was 3-5 mL (mean, 3.8 mL). Primary healing of incision
was obtained. Postoperative abdominal distension and pneumothorax occurred in 12
and 2 cases respectively. The follow-up time was 1-3 years (mean, 20 months). All
the cases had a good recovery and satisfactory appearance of the thoracic
incision. The symptoms and signs of shortness of breath and dyspnea disappeared.
There was no recurrence and chest infection. CONCLUSIONS: Under the conditions of
mastering operative indications strictly, thoracoscopic repair for late
presenting congenital diaphragmatic hernia is safe and feasible. It can
facilitate the procedure and decrease the recurrence rate relying on
intraoperative application of hernia repair needle, knot pusher-assistant, and
reasonable processing defect periphery.
PMID- 29786303
TI - [EFFECTIVENESS EVALUATION OF THORACIC ENDOVASCULAR AORTIC REPAIR FOR BLUNT
THORACIC AORTIC INJURY WITH HOSTILE STENT-GRAFT PROXIMAL LANDING ZONE].
AB - OBJECTIVE: To explore the effectiveness of thoracic endovascular aortic repair
(TEVAR) for blunt thoracic aortic injury (BTAI) with hostile stent-graft proximal
landing zone. METHODS: A retrospective analysis was made on the clinical data of
13 patients with BTAI with hostile stent-graft proximal landing zone treated by
TEVAR between December 2007 and December 2014. There were 10 males and 3 females
with the mean age of 44 years (range, 24-64 years). The imaging examination
indicated Stanford type B aortic dissection in 7 cases, pseudoaneurysm in 3
cases, aneurysm in 1 case, and penetrating ulcer in 2 cases. According to the
partition method of thoracic aortic lesion by Mitchell, 8 cases underwent stent
graft with left subclavian artery (LSA) coverage, 3 underwent chimney stents for
LSA, and 2 for left common carotid artery (LCCA). In 2 cases receiving chimney
TEVAR involving LCCA, one underwent steel coils at the proximal segment of LSA to
avoid type II endoleak and the other underwent in situ fenestration for
endovascular reconstruction of LSA. RESULTS: All TEVAR procedures were
successfully performed. The mean operation time was 1.8 hours (range, 1-3 hours);
the mean intraoperative blood loss was 120 mL (range, 30-200 mL); and the mean
hospitalization time was 15 days (range, 7-37 days). No perioperative death and
paraplegia occurred. The patients were followed up 3-30 months (mean, 18 months).
Type I endoleak occurred in 1 case during operation and spontaneously healed
within 6 months. Hematoma at brachial puncture site with median nerve compression
symptoms occurred in 1 case at 3 weeks after operation; ultrasound examination
showed brachial artery pseudoaneurysm and thrombosis, and satisfactory recovery
was obtained after pseudoaneurysmectomy. No obvious chest pain, shortness of
breath, left upper limbs weakness, numbness, and dizziness symptoms were
observed. Imaging examination revealed that stentgraft and branched stent
remained in stable condition. Meanwhile the blood flow was unobstructed. No
lesions expanded and ruptured. No new death, bacterial infection, or other
serious complications occurred. CONCLUSIONS: According to Mitchell method,
individualized plan may be the key to a promising result. More patients and
further follow-up need to be included, studied, and observed.
PMID- 29786304
TI - [ANTERIOR SUBCUTANEOUS TRANSPOSITION OF ULNAR NERVE AND HAND INTRINSIC MUSCLES
FUNCTION RECONSTRUCTION FOR SEVERE CUBITAL TUNNEL SYNDROME].
AB - OBJECTIVE: To study the effectiveness of anterior subcutaneous transposition of
ulnar nerve with reconstruction of hand intrinsic muscle in the treatment of
severe cubital tunnel syndrome. METHODS: Between March 2006 and May 2015, 22
cases (23 hands) of severe cubital tunnel syndrome were treated by use of
anterior subcutaneous transposition of ulnar nerve with reconstruction of hand
intrinsic muscle. There were 15 males and 7 females, aged 45-60 years (mean, 55
years). The causes were valgus deformity of elbow joint in 12 cases, ulnar nerve
subluxation in 4 cases, and osteoarthritis in 6 cases. The disease duration was
10 months to 3 years (mean, 17 months). According to Akahori classification, 14
cases were rated as type 4 and 9 cases as type 5. The ring/little finger's
numbness, hand intrinsic muscle atrophy, recovery of thumb adduction function,
and improvement of claw hand deformity were observed after operation. Thumb and
index finger's pinch strength was measured by use of pinch device; postoperative
hand function was evaluated by the standards of Chinese Medical Society of Hand
Surgery of upper limb assessment protocol. RESULTS: All incisions healed well and
all cases were successfully followed up 8 to 24 months (mean, 14 months).
Numbness of ring/little finger was significantly reduced at 1 day after operation
in 10 hands; numbness disappeared completely at 1 month after operation in 12
hands; mild numbness remained at 14 months after operation in 11 hands. At last
follow-up, hand intrinsic muscle atrophy partially improved (+++) in 1 hand, no
improvement in 22 hands; improvement of claw hand deformity was achieved in 17
hands, no improvement in 6 hands; pinch strength of thumb and index finger was
significantly improved to (5.07+/-1.11) kg from preoperative (2.91+/-0.63) kg (t=
12.340, P=0.032). At last follow-up, the results were excellent in 11 hands, good
in 8 hands, fair in 3 hands, and poor in 1 hand, and the excellent and good rate
was 82.6%. CONCLUSIONS: Anterior subcutaneous transposition of ulnar nerve with
reconstruction of hand intrinsic muscle is a simple, effective, and reliable
surgical treatment for severe cubital tunnel syndrome.
PMID- 29786305
TI - [COMPARISON OF HEALING RESULTS BETWEEN TIBIAL NERVE AND COMMON PERONEAL NERVE
AFTER SCIATIC NERVE INJURY REPAIR IN RHESUS MONKEY].
AB - OBJECTIVE: To investigate the regularity of myelin degeneration and regeneration
and the difference of axonal density between tibial nerve and common peroneal
nerve after sciatic nerve injury repair in rhesue monkey. METHODS: Nine adult
rhesue monkeys (male or female, weighing 3.5-4.5 kg) were selected to establish
the model of rat sciatic nerve transaction injury. The tibial nerve and common
peroneal nerve of 5 mm in length were harvested at 5 mm from injury site as
controls in 3 monkeys; the distal tibial nerve and common peroneal nerve were
repaired with 9-0 suture immediately in the other 6 monkeys. And the gross
observation and neural electrophysiological examination were performed at 3 and 8
weeks after repair respectively. Then, distal tibial nerve and common peroneal
nerve at anastomotic site were harvested to observe the myelin sheath changes,
and to calculate the number of axon counts and axonal density by staining with
Luxol Fast Blue. RESULTS: Atrophy of the lower limb muscle and various degrees of
plantar ulcer were observed. Gross observation showed nerve enlargement at
anastomosis site, the peripheral connective tissue hyperplasia, and obvious
adhesion. The compound muscle action potential (CMAP) of tibial nerve and common
peroneal nerve could not be detected at 3 weeks; the CMAP amplitude of common
peroneal nerve was less than that of the tibial nerve at 8 weeks. Different
degrees of axonal degeneration was shown in the tibial nerve and common peroneal
nerve, especially in the common peroneal nerve. The average axonal density of
common peroneal nerve was lower than that of tibial nerve at 3 weeks (13.2% vs.
44.5%) and at 8 weeks (10.3% vs. 35.3%) after repair. CONCLUSIONS: The
regeneration of tibial nerve is better and faster than that of common peroneal
nerve, and gastrocnemius muscle CMAP recovers quicker, and amplitude is higher,
which is the reason of better recovery of tibial nerve.
PMID- 29786306
TI - [EFFECT OF PASSIVE MOVEMENT ON EXPRESSION OF miRNA-1 AND DIFFERENTIATION OF
MYOBLASTS IN DENERVATION-INDUCED SKELETAL MUSCLE ATROPHY IN RATS].
AB - OBJECTIVE: To investigate the expression of miRNA-1 in denervated skeletal muscle
at different periods, and to explore effects of passive movement on the
expression of miRNA-1 and differentiation of myoblasts in denervation-induced
skeletal muscle atrophy in rats. METHODS: Twenty-seven Sprague Dawley rats,
weighing (200+/-10) g, were randomly divided into sham-operated group (group A,
n=3), denervated group (group B, n=12), and passive movement group (group C,
n=12). After the right sciatic nerve was exposed and dissociated, the sciatic
nerve of 1 cm in length was removed in groups B and C; resection was not
performed in group A. At 1 day after operation, passive flexion and extension
movement was performed on the right hind limb in group C. At 6 hours in group A
and at 3, 7, 14, and 28 days in groups B and C, 3 rats were sacrificed to measure
the wet weight ratio of gastrocnemius muscle, to observe the diameter of the
gastrocnemius muscle cell and evaluate the muscle atrophy by HE staining; RT-PCR
was used to detect the mRNA expression of miRNA-1 and myocyte differentiation
factor (MyoD), and immunohistochemistry to determine the protein expression of
MyoD. RESULTS: Atrophy in various degrees was observed in denervated
gastrocnemius muscle of groups B and C. The muscle fiber arranged in disorder and
the diameter of the muscle cells decreased gradually with the time, without
normal structure and morphology. The wet weight ratio and the cell diameter of
the gastrocnemius in groups B and C were significantly less than those in group A
(P<0.05); the wet weight ratio at 7, 14, 28 days and the cell diameter at 7, 14
days of group B were significantly greater than those of group A (P<0.05). The
expressions of miRNA-1 and MyoD mRNA gradually increased with time in groups B
and C, but were significantly less than those of group A at each time point
(P<0.05). At 7, 14, and 28 days after operation, the expressions of miRNA-1 and
MyoD mRNA in group C were significantly higher than those in group B (P<0.05).
Immunohistochemical staining showed positive expression of MyoD in groups A, B,
and C at each time point, but higher expression was observed in groups B and C
than group A; the expression increased with time in groups B and C, and it was
significantly higher in group C than group B. The correlation analysis results
showed that the overall change trend of miRNA-1 and MyoD had no relation with the
gastrocnemius wet weight ratio at 3 and 7 days (P>0.05), and had positive
correlation at 14 and 28 days (P<0.05); positive correlation was found between
the relative expression of MyoD and miRNA-1 mRNA (P<0.05). CONCLUSIONS: Passive
movement can prevent amyotrophy by increasing the expression of miRNA-1 and
promoting the differentiation of myoblasts.
PMID- 29786307
TI - [EFFECT OF HUMAN PLACENTAL DECIDUA BASALIS DERIVED MESENCHYMAL STEM CELLS IN
REPAIR OF NUDE MICE SKIN WOUND].
AB - OBJECTIVE: To investigate the effectiveness of human placental decidua basalis
derived mesenchymal stem cells (PDB-MSCs) in repairing full-thickness skin defect
of nude mice. METHODS: Human placenta samples were obtained from healthy donor
mothers with written informed consent. PDB-MSCs were isolated through enzymic
digestion and density gradient centrifugation; the 4th passage cells were
identified by cellular morphology, cell adipogenic and osteogenic
differentiation, and phenotype evaluation. Forty-two 4-5-week-old BALB/c female
nude mice were randomly divided into experimental group (n=21) and control group
(n=21). The 4th passage PDB-MSCs solution (200 MUL, 5*106/mL) was injected into
the mice of experimental group via caudal vein; the mice of control group were
given equal volume of PBS. The full-thickness skin defect model of 1.5 cm*1.5 cm
in size was made after 3 days. The wound healing was observed generally at 1, 2,
4, 7, 14, 18, 21, 25, and 30 days after operation, and the wound healing rate was
calculated after wound decrustation. HE staining was used to observe the wound
repair at 1, 7, 14, 21, and 31 days; immunofluorescent staining was used for
cellular localization at 7, 14, and 31 days after operation. RESULTS: Cells
isolated from human placenta were MSCs which had multipotential differentiation
ability and expressed MSCs phenotype. Animals survived to the end of the
experiment. The general observation showed that the experimental group had a
faster skin repairing speed than the control group; the time for decrustation was
12-14 days in experimental group and was 14-17 days after operation in the
control group. The wound healing rate of experimental group was significantly
higher than that of control group at 14, 18, and 21 days (t=4.001, P=0.016;
t=3.380, P=0.028; t=3.888, P=0.018), but no significance was found at 25 and 30
days (t=1.565, P=0.193; t=1.000, P=0.423). HE staining showed lower inflammatory
reaction, and better regeneration of the whole skin and glands with time in the
experimental group. The immunofluorescent staining was positive in skin defect
area of experimental group at different time points which displayed that human
PDB-MSCs existed. CONCLUSIONS: Through enzymic digestion and density gradient
centrifugation, PDB-MSCs can be obtained. Pre-stored PDB-MSCs can mobilize to the
defect area and participate in repair of nude mice skin.
PMID- 29786308
TI - [EXPERIMENTAL STUDY ON BONE DEFECT REPAIR WITH COMPOSITE OF ATTAPULGITE/COLLAGEN
TYPE I/POLY (CAPROLACTONE) IN RABBITS].
AB - OBJECTIVE: To investigate the effect of repairing radial bone defect with
scaffold material of attapulgite/collagen type I/poly (caprolactone) (ATP/Col
I/PCL) in rabbits and the possibility as bone graft substitutes. METHODS: ATP/Col
I/PCL materials were prepared via adding ATP to hexafluoroisopropanol after
dissolved Col I/PCL (3:2), and Col I/PCL materials via dissolving Col I/PCL (3:2)
in hexafluoroisopropanol served as control. The structure of scaffolds was
observed under scanning electron microscope (SEM). Twenty-four Japanese white
rabbits (male, 2 months old) were used to establish the bilateral radius defect
model of 15 mm in length, and randomly divided into group A (6 rabbits, 12
defects), group B (9 rabbits, 18 defects), and group C (9 rabbits, 18 defects);
then the Col I/PCL scaffold was implanted in the bone defect area in group B, the
ATP/Col I/PCL scaffold in group C, no treatment was done in group A as control.
The general condition of rabbits was observed after operation, and bone defect
repair was evaluated by X-ray at 4, 8, and 12 weeks. At 12 weeks, the tissue of
defect area was harvested for the general, SEM, Micro-CT, histological, and
immunohistochemical staining to observe defect repair and material degradation.
RESULTS: SEM observation showed that two kinds of materials were porous
structure, ATP/Col I/PCL structure was more dense than Col I/PCL. All animals
survived to the end of experiment, and no incision infection occurred during
repair process.X-ray films showed that the bone marrow cavity was re-opened in
defect area of group C with time, the repair effect was superior to that of
groups A and B. At 12 weeks after operation, general observation showed that
scaffold material had good fusion with the surrounding tissue in groups B and C,
defect was filled with connective tissue in group A. SEM indicated that the
surface and pore of the scaffold were covered with a large number of cells and
tissues in groups B and C. Micro-CT demonstrated that the new bone volume, bone
mineral content, tissue mineral content, and connectivity density of group C were
significantly higher than those of groups A and B (P<0.05). The observation of
histology and immunohistochemical staining indicated that there were lots of
connective tissues in defect area of group A, and ALP, Col I, and OPN were weakly
expressed; there were many collagen fibers in scaffold degradation area in group
B, and the expression levels of ALP, Col I, and OPN were higher than those of
group A; there was few new bone in group C, the degradation rate of the scaffold
was slower than that of group B, and the expression of Col I and OPN were
enhanced, while ALP was weakened when compared with groups A and B. CONCLUSIONS:
ATP/Col I/PCL composite scaffold material can degrade in vivo, and has dense
three-dimensional porous structure, good biocompatibility, and high potentiality
of bone repair, so it can be used as bone substitute material.
PMID- 29786309
TI - [EXPERIMENTAL STUDIES ON EFFECTS OF SALIDROSIDE/COLLAGEN/ POLYCAPROLACTONE NERVE
GUIDE CONDUITS FOR REPAIRING SCIATIC NERVE DEFECT IN RATS].
AB - OBJECTIVE: To fabricate salidroside/collagen/polycaprolactone (PCL) nerve conduit
composite and to investigate the effect of composite nerve conduits for repairing
sciatic nerve defect. METHODS: The salidroside microspheres were prepared by
W/O/W method, and the sustained release rate of microspheres was detected. The
microspheres containing 10, 20, and 40 MUg salidroside were mixed with collagen
to prepare the nerve conduit core layer by freeze-drying method. The shell layer
of collagen/PCL scaffold material was fabricated by electrospinning technology.
The genipin cross-linked salidroside/collagen/PCL nerve conduit composite was
prepared. The structure of nerve conduit was observed before and after cross
linked by scanning electron microscope. Thirty-eight Wistar rats were used to
make the right sciatic nerve defect model of 15 mm in length, and randomly
divided into groups A, B, C, D (n=9), and group E (n=2), then defect was repaired
with the collagen/PCL conduit in group A, autologous nerve in group E, the 10,
20, and 40 MUg/mL salidroside/collagen/PCL conduit in groups B, C, and D,
respectively. The survival of rats was observed. The sciatic functional index
(SFI) was evaluated at 1, 3, and 6 months after operation. At 6 months, the
tissue of defect area was harvested for the general, electrophysiology,
histological, and immunohistochemical[S-100 and peripheral myelin protein 0(P0)]
staining observations. RESULTS: Salidroside microspheres showed burst release at
3 days, and then it tended to be stable at 13 days and lasted for 16 days, with a
cumulative release rate of 76.59%. SEM showed that the disordered fiber of nerve
conduit shell layer after crosslinking became conglutination, shrinkage, and
density, and had void. The channels of core layer were clearly visible before and
after crosslinking. The rats had no infection or death after operation. The SFI
of group E was significantly higher than that of groups A, B, C, and D at 1, 3,
and 6 months (P<0.05); it was significantly higher in groups B, C, and D than
group A (P<0.05), but no significant difference was found among groups B, C, and
D at 1 month (P>0.05); there was no significant difference in SFI among groups A,
B, C, and D at 3 months (P>0.05); SFI was significantly higher in group C than
groups A, B, and D and in groups A and B than group D (P<0.05), but no
significant difference between groups A and B (P>0.05) at 6 months. In addition,
no significant difference was shown among different time points in the other
groups (P>0.05) except groups C and E at 1, 3, and 6 months (P<0.05). The general
observation showed that good connection with the thick nerve in groups B and C,
and connection with the fine nerves in groups A and D. The conduit materials
obviously degraded. Nerve electrophysiological examination showed that the
latency/conduction velocity of groups C and E were significantly lower than those
of groups A, B, and D (P<0.05), but difference was not significant between groups
C and E, and among groups A, B, and D (P>0.05). The histological observation
showed that the nerve fiber tissue of groups B, C, and E was obviously more than
that of groups A and D, and group C was similar to group E in the nerve fiber
arrangement, and the core layer material of each group was completely degraded.
Immunohistochemical staining showed that S-100 and P0 proteins expressed in all
groups; and the expression level of groups B, C, and E was significantly higher
than that of groups A and D, and gradually increased (P<0.05); difference in S
100 expression level was not significant between groups A and D (P>0.05), and P0
expression level of group A was significantly lower than that of group D
(P<0.05). CONCLUSIONS: Salidroside/collagen/PCL nerve conduit can promote sciatic
nerve defect repair.
PMID- 29786310
TI - [ROLE OF Smad4 ON FIBROSIS OF TENDON DERIVED FIBROBLASTS INDUCED BY TRANSFORMING
GROWTH FACTOR beta1 BY TARGETED REGULATION OF miRNA219-5P].
AB - OBJECTIVE: To investigate the effect of Smad4 on the fibrosis of tendon derived
fibroblasts (TDFs) induced by transforming growth factor beta1(TGF-beta1) by
targeted regulation of miRNA219-5P (miR219-5P). METHODS: The tendons donated by
the volunteers were harvested to isolate and culture TDFs. The 3rd generation
cells were used for experiment. Chemically synthesized miR219-5P mimics, miR219
5P inhibitor, and negative control sequences were transfected into TDFs. The gene
expression of miR219-5P in TDFs was detected by real-time PCR, and the protein
expression of Smad4 in TDFs was detected by Western blot at 48 hours after
transfection. The combining sites of miR219-5P and Smad4 in 3'UTR district were
predicted by informatics software. Wild type and mutant type reporter gene
expression vectors were constructed and then targeted verification was carried
out by the luciferase reporter gene test. Transfected TDFs were then induced by
TGF-beta1. The proliferation activity of the cells were measured by the cell
counting kit 8 after culturing for 24, 48, and 72 hours. The expressions of
fibrosis related proteins in TDFs were detected by Western blot at 72 hours.
RESULTS: After TDFs were transfected by miR219-5P mimics, miR219-5P expression
was significantly up-regulated, but the expressions of Smad4 was decreased
subsequently (P<0.05). Intracellular expression of miR219-5P was inhibited by
miR219-5P mimics inhibitor, however, the protein expression of Smad4 was
significantly increased (P<0.05). Luciferase reporter gene test showed that
luciferase activities were significantly decreased in pGL3-WT-Smad4+mimics group,
but were significantly increased in pGL3-WT-Smad4+inhibitor group when compared
with pGL3-WT-Smad4 transfected group (P<0.05), but no significant difference was
found between GL3-MT-Smad4+mimics and pGL3-MT-Smad4+inhibitor groups (P>0.05).
Cell proliferation and the fibrosis related proteins were increased in TGF-beta1
induced TDFs, however, decreased in TGF-beta1 induced TDFs after transfected by
miR219-5P inhibitor (P<0.01). CONCLUSIONS: miR219-5P can significantly inhibit
fibrosis of TDFs induced by TGF-beta1 by down-regulating Smad4 expression.
PMID- 29786311
TI - [RESEARCH PROGRESS IN LOWER LIMB LENGTHENING BY INTRAMEDULLARY NAIL].
AB - OBJECTIVE: To summarize the research progress in the lower limb lengthening by
intramedullary nail. METHODS: The domestic and foreign related literature about
the lower limb lengthening by intramedullary nail was reviewed, summarized, and
analyzed. RESULTS: The intramedullary nail lengthening systems can be divided
into 3 types:distraction by mechanical strength, by motorized electronic power,
or by motorized magnetic force. The new technology has obvious advantages in
complication incidence, limb function, bone healing rate, comfortable and
cosmetic degree of the patients, and hospitalization days compared with the
external fixation, but it also has the disadvantages including lengthening
failure of system breakdown, intramedullary infection, injuries of vessel and
nerve, limited distraction length, and expensive price of the apparatus. And the
method also has several contraindications:narrow medulla, multiple curves of
medulla, osteomyelitis, skin infection, and unclosed epiphyseal plate.
CONCLUSIONS: The lower limb lengthening by intramedullary nail is a major
improvement of Ilizarov technology. Although the method has been used in limited
cases, the preliminary clinical results are excellent, and it can be regarded as
a new trend of the limb lengthening, bone reconstruction, and deformity
correction.
PMID- 29786312
TI - [Minimally invasive osteosynthesis using helical plate for complex humeral shaft
fractures involved proximal metaphysis].
AB - OBJECTIVE: To evaluate the effectiveness of minimally invasive osteosynthesis
using the helical plate for complex humeral shaft fractures involved proximal
metaphysis, and to explore its feasibility and security. METHODS: A retrospective
analysis was made on the clinical data of 16 patients with complex humeral shaft
fractures involved proximal metaphysis who underwent minimally invasive
osteosynthesis with the helical plate between December 2009 and May 2015. There
were 11 males and 5 females, aged from 18 to 56 years (mean, 34.6 years). The
causes of fracture included falling injury in 5 cases, falling injury from height
in 3 cases, traffic accident injury in 4 cases, sports injury in 3 cases, and
belts twisted injury in 1 case. Accroding to Orthopaedic Trauma Association (OTA)
classifications, 6 cases were rated as type 12-C1, 3 cases as type 12-C2, and 7
cases as type 12-C3. The time between injury and operation was 2-13 days (mean,
7.2 days). The operation time, intraoperative blood loss, complications, union
time were recorded, the functional outcome of the elbow joint was evaluated by
Mayo elbow performance score, and the function of the shoulder was assessed by
the University of California at Los Angeles (UCLA) shoulder rating scale.
RESULTS: The mean operation time was 92 minutes (range, 51-127 minutes), and the
mean intraoperative blood loss was 212 mL (range, 100-450 mL). All incisions
healed by first intention without neurologic complications or wound infection.
All patients were followed up 8-28 months (mean, 16.6 months), and bony union was
obtained at 13-36 weeks (mean, 19.2 weeks). No loosening or breakage of internal
fixation occurred. The Mayo elbow performance score was 90-100 (mean, 99), and
the UCLA shoulder rating scale was 31-35 (mean, 34.6). CONCLUSIONS: The technique
of minimally invasive osteosynthesis using the helical plate is safe and feasible
for humeral shaft fracture, especially for complex humeral shaft fractures
involved proximal metaphysis, and it has the advantages of minimal invasion and
low risk for iatrogenic nerve injury and satisfactory effectiveness.
PMID- 29786313
TI - [A biomechanical study on reconstruction of forearm interosseous membrane using
extensor carpi radialis longus combined with radial head replacement for
restoring forearm longitudinal stability].
AB - OBJECTIVE: To evaluate the effect of reconstruction of forearm interosseous
membrane (IOM) using extensor carpi radialis longus combined with radial head
replacement for restoring the forearm longitudinal stability. METHODS: Ten fresh
frozen adult cadaveric forearms were selected, including 8 males and 2 females
with a mean age of 38.2 years (range, 29-74 years). Each forearm was treated as
following steps: radial head excision (group A), radial head excision+the distal
ulnar radial joints separation (group B), radial head excision+the distal ulnar
radial joints separation+IOM central band excision (group C), reconstructed IOM
with extensor carpi radialis longus tendon (group D), radial head prothesis
replacement (group E), and reconstructed IOM with extensor carpi radialis longus
tendon+radial head prothesis replacement (group F). The distance between ulna and
radius and radioulnar joint displacement were observed under load and non load.
The force loading on both ends of specimen was recorded when the radius shifted 5
mm proximally. RESULTS: Restoring the radial length could maintain normal
distance between radius and ulna. The interosseous membrance reconstruction could
restore the load transmission between radius and ulna. The force loading specimen
was (74.507+/-4.967), (49.227+/-1.940), (17.827+/-1.496), (24.561+/-1.390),
(140.247+/-8.029), and (158.423+/-9.142)N in groups A, B, C, D, E, and F
respectively when the radius shifted 5 mm proximally, showing significant
difference among groups (P<0.01). CONCLUSIONS: Reconstruction of the IOM with the
extensor carpi radialis longus tendon is insufficient to restore the forearm
longitudinal stability. Reconstruction using extensor carpi radialis longus
tendon combined with radial head replacement may be a new choice for treatment of
forearm longitudinal instability.
PMID- 29786314
TI - [Strategies of closed reduction in treatment of femoral neck fracture using
cannulated screw fixation].
AB - OBJECTIVE: To study intraoperative reduction strategy in treatment of femoral
neck fracture using cannulated screw fixation and the relationship between
excellent and good rate of reduction and postoperative effectiveness. METHODS: A
retrospective analysis was made on the clinical data of 174 cases of femoral neck
fracture treated between August 2005 and March 2015. There were 78 males and 96
females with an average age of 53.8 years (range, 23-75 years). The injury causes
were falling in 85 cases, traffic accident in 61 cases, and falling from height
in 28 cases. According to Garden typing, there were 35 cases of type I, 56 cases
of type II, 47 cases of type III, and 36 cases of type IV. The time from injury
to operation was 1-7 days (mean, 2.74 days). Based on reduction strategy, closed
3 hollow compression screws were used; evaluating standards for fracture
reduction effect were also established. Harris score was used for effectiveness
evaluation. RESULTS: All the cases received follow-up of 12-42 months (mean, 36.5
months). Bone healing was obtained in 152 cases at 6-12 months (mean, 9 months).
Non-union and internal fixation failure occurred in 9 and 3 patients
respectively, who underwent hemiarthroplasty; femoral head necrosis occurred in 6
patients after removing internal fixator at 15-26 months (mean, 18.5 months)
after operation, who underwent total hip arthroplasty; 4 patients with non-union
received vascular pedicle iliac flap transplantation. No other serious
complications were observed. At last follow-up, the excellent and good rate of
reduction was 94.29% for Garden type I, 91.07% for type II, 87.23% for type III,
and 75.00% for type IV, with a total excellent and good rate of 87.36%; the
excellent and good rate of Harris score was 97.14% for Garden type I, 78.57% for
type II, 68.09% for type III, and 50.00% for type IV, with a total excellent and
good rate of 73.56%. CONCLUSIONS: To obtain high Harris score in fixation of
femoral neck fractures by using hollow screws, surgeons not only need reasonable
technology, but also follow correct and good reduction strategy and
assessment,fracture complexity is inversely proportional to excellent and good
rate of reduction. Higher excellent and good reduction rate of complexity
fracture should be obtained as much as possible in order to achieve good
prognosis.
PMID- 29786315
TI - [Effect of three-dimensional printing navigation templates assisting
reconstruction with personalized unrestricted total knee prosthesis for treating
maliganant bone tumors around knees].
AB - OBJECTIVE: ?To evaluate the effectiveness of three-dimensional (3D) printing
navigation templates assisting reconstruction with personalized unrestricted
total knee prosthesis to treat maliganant bone tumors around the knee. METHODS:
?Between March 2007 and September 2014, 43 patients with malignant tumor around
the knee were divided into 2 groups: 3D printing navigation templates assisting
reconstruction with personalized unrestricted total knee prosthesis were used in
21 cases (trial group), and conventional tumor resection and reconstruction with
hinged total knee prosthesis were used in 22 cases (control group). There was no
significant difference in age, gender, tumor location, pathological diagnosis,
tumor stage, diameter of tumor, disease duration, and preoperative visual
analogue scale (VAS) score between 2 groups (P>0.05), which were comparable.
Intraoperative length of tumor resection and blood loss were recorded and
compared, and the postoperative complications and tumor recurrence were observed.
The Musculoskeletal Tumor Society (MSTS) score was used to evaluate knee joint
function. RESULTS: ?The operations were completed successfully in the patients of
2 groups and postoperative pathological results were negative in tumor resection
margin. All the patients were followed up 12-77 months (mean, 36.7 months). There
was no significant difference in the length of tumor resection and blood loss
between trial group and control group (t=1.01, P=0.32; t=-0.76, P=0.45). In trial
group, the resection range and reconstruction results were consistent with
preoperative computer simulation; postoperative complications happened in 2 cases
(9.52%); 1 case of immunological rejection and 1 case of infection); 2 cases
(9.52%) had recurrence of osteosarcoma and pulmonary metastasis at 1 year after
operation. In the control group, complication occurred in 6 cases (27.27%; 2
cases of periprosthetic fractures, 2 cases of infection, 1 case of incision
nonunion, and 1 case of common peroneal nerve injury); 4 cases (18.18%) had
recurrence of osteosarcoma and metastasis at 1 year after operation. There was no
significant difference in postoperative complication and recurrence rate between
2 groups (chi2=2.24, P=0.14; chi2=0.67, P=0.41). At last follow-up, distal femur
score of tumor and proximal tibial score of tumor in trial group were
significantly better than those in control group (t=4.89, P=0.00; t=3.94,
P=0.00). The mean flexion and extension range of motion of the knee joint was
(115.45+/-12.25) degrees in trial group and was (101.49+/-11.96) degrees in
control group, showing significant difference (t=3.78, P=0.00). CONCLUSIONS: ?The
effectiveness using 3D printing navigation templates assisting reconstruction
with personalized unrestricted prosthesis for maliganant bone tumors around the
knee is better than conventional tumor resection and reconstruction with hinged
total knee prosthesis. It can improve the joint function better and the patients'
quality of life.
PMID- 29786316
TI - [Arthroscopic treatment of both anterior and posterior cruciate ligament tibial
insertion avulsion fractures with suture and absorbable screw double fixation].
AB - OBJECTIVE: ?To evaluate the effectiveness of arthroscopic suture and absorbable
screw double fixation for both anterior and posterior cruciate ligament avulsion
fractures of tibial insertions. METHODS: ?Between June 2006 and September 2013, 8
patients with anterior and posterior cruciate ligament avulsion fractures of the
tibial eminence underwent arthroscopic treatment with suture and absorbable screw
double fixation. There were 5 males and 3 females, with a mean age of 28.9 years
(range, 18-43 years). The causes of injury included traffic accident in 5 cases
and falling from height in 3 cases. The time from injury to operation was 3-10
days (mean, 6.2 days). The Lysholm knee score, International Knee Documentation
Committee (IKDC) score, and Tegner rating scales were used to evaluated the knee
function. RESULTS: ?Primary healing of incision was obtained, without infection
or deep vein thrombosis. The mean follow-up period was 42.4 months (range, 24 to
65 months). At 3 months after operation, X-ray films showed good reduction and
healing of fracture. The anterior and posterior drawer tests were negative. The
knee range of motion was normal (0-125 degrees ), and it recovered to
preoperative level in 7 cases. The IKDC score, Tegner score, and Lysholm score
were significantly improved to 90.4+/-5.2, 7.5+/-1.6, and 89.2+/-3.5 from
preoperative 52.1+/-3.3, 3.3+/-1.0, and 51.9+/-3.5 respectively (t=-38.680,
P=0.000; t=-39.520, P=0.000; t=-41.150, P=0.000). CONCLUSIONS: ?A combined injury
of anterior and posterior cruciate ligament avulsion fractures of tibial
insertions is rare. Arthroscopic treatment with suture and absorbable screw
double fixation is a useful technique to restore tibial avulsion injuries with
well-documented radiographic healing, good clinical outcomes, and low
complication rates.
PMID- 29786317
TI - [Effect of distal tibial varus and valgus deformity on joint contact].
AB - OBJECTIVE: ?To study the effect of different degrees of distal tibial varus and
valgus deformities on the tibiotalar joint contact, and to understand the role of
fibular osteotomy. METHODS: ?Eight cadaveric lower legs were used for
biomechanical study. Nine conditions were included: normal ankle joint (group A),
10 degrees varus (group B), 5 degrees varus (group C), 5 degrees valgus (group
D), 10 degrees valgus (group E) with fibular preserved, and 10 degrees varus
(group F), 5 degrees varus (group G), 5 degrees valgus (group H), and 10
degrees valgus (group I) after fibular osteotomy. The joint contact area,
contact pressure, and peak pressure were tested; and the translation of contact
force center was observed. RESULTS: ?The joint contact area, contact pressure,
and peak pressure had no significant difference between group A and groups B to E
(P>0.05). After fibular osteotomy, the contact area decreased significantly in
groups F and I when compared with group A (P<0.05); the contact pressure
increased significantly in groups F, H, and I when compared with group A
(P<0.05); the peak pressure increased significantly in groups F and I when
compared with group A (P<0.05). There were two main anterior-lateral and anterior
medial contact centers in normal tibiotalar joint, respectively; and the force
center was in anterior-lateral part, just near the center of tibiotalar joint.
While the fibula was preserved, the force center transferred laterally with
increased varus angles; and the force center transferred medially with increased
valgus angles. However, the force center transferred oppositely to the medial
part with increased varus angles, and laterally with increased valgus angles
after fibular osteotomy. CONCLUSIONS: ?Fibular osteotomy facilitates the
tibiotalar contact pressure translation, and is helpful for ankle joint
realignment in suitable cases.
PMID- 29786318
TI - [Sinus tarsi approach combined with medial distraction technique for treatment of
intra-articular calcaneus fractures].
AB - OBJECTIVE: ?To discuss the effectiveness of limited open reduction via sinus
tarsi approach using medial distraction technique in the treatment of intra
articular calcaneus fractures by comparing with open reduction and internal
fixation via extensile L-shaped incision. METHODS: ?A retrospective analysis was
made on the clinical data of 21 patients with intra-articular calcaneus fractures
treated by sinus tarsi approach combined with medial distraction technique
between April 2013 and November 2014 (minimally invasive group), and 32 patients
treated by extensile L-shaped incision approach between June 2012 and September
2014 (extensile incision group). No significant difference was found in gender,
age, injury pattern, fracture classification, time from injury to operation,
preoperative Bohler angle, Gissane angle, calcaneal varus angle, the ankle and
hind-foot score of American Orthopaedic Foot and Ankle Society (AOFAS), and
visual analogue scale (VAS) score between 2 groups (P>0.05), which was
comparable. The operation time, wound complications, and bone healing time were
recorded. The postoperative function was also evaluated by AOFAS score and VAS
score. The pre-and post-operative Bohler angle, Gissane angle, and calcaneal
varus angle were measured on the X-ray films, and the corrective angle was
calculated. RESULTS: ?Sixteen patients were followed up 6-18 months (mean, 11.5
months) in the minimally invasive group, and 23 patients for 6-24 months (mean,
13.5 months) in the extensile incision group. Difference was not significant in
operation time between 2 groups (t=0.929, P=0.796). No complication occurred in
the minimally invasive group; partial skin flap necrosis occurred in 3 cases of
the extensile incision group, was cured after dressing change. There was no
loosening of implants or reduction loss in 2 groups at last follow-up. Subtalar
joint stiffness occurred in 1 case of the minimally invasive group and 4 cases of
the extensile incision group, and 1 patient had discomfort for the implants in
the extensile incision group. The bone healing time was (9.9+/-0.8) weeks in the
minimally invasive group, and was (10.1+/-0.7) weeks in the extensile incision
group, showing no significant difference (t=0.613, P=0.845 ). Bohler angle,
Gissane angle, calcaneal varus angle, AOFAS score, and VAS score were
significantly improved at last follow-up when compared with preoperative values
in 2 groups (P<0.05), but there was no significant difference between 2 groups
(P>0.05), and the corrective value of angle showed no significant difference
between 2 groups (P>0.05). CONCLUSIONS: ?Limited open reduction via sinus tarsi
approach for intra-articular calcaneus fractures could reduce the incidence of
wound complications effectively. Meanwhile, the medial distraction technique is
helpful to correct the heel varus deformity.
PMID- 29786319
TI - [Domestic porous tantalum loaded with bone morphogenetic 7 in repairing
osteochondral defect in rabbits].
AB - OBJECTIVE: ?To investigate the ability to repair osteochondral defect and the
biocompatibility of porous tantalum loaded with bone morphogenetic protein 7 (BMP
7) by observing the effect of porous tantalum loaded with BMP-7 in repairing
articular cartilage and subchondral bone defect. METHODS: ?The cartilage defect
models of medial femoral condyle were established in 48 New Zealand white
rabbits, which were randomly divided into 3 groups (n=16): porous tantalum
material+BMP-7 (group A) and porous tantalum material (group B) were implanted
into the right side of the medial femoral condyle; and no material was implanted
as control (group C). The general condition of animals was observed after
operation, then the specimens were harvested for gross observation, histological
observation, and scanning electron microscope (SEM) observation at 4, 8, and 16
weeks after implantation, micro-CT was used to observe the cartilage and bone
ingrowth and bone formation around porous tantalum at 16 weeks after
implantation. RESULTS: ?No animal died after operation and wound healed well.
Gross observation showed that defects of groups A and B were covered with new
cartilage with time, but earlier new cartilage formation and better repair were
observed in group A than group B, no repair occurred at the site of bone defects,
and defect surface was filled with fibrous tissue in group C. Cartilage repair
gross score of group A was significantly higher than that of group B at 8 and 16
weeks (P<0.05) but no significant difference was found between groups A and B at
4 weeks (P>0.05). SEM observation showed that the number of new cartilage and
osteoblasts increased gradually with time, and the implanted material was
gradually covered with the extracellular matrix, and the new bone tissue grew
into the pores of the material; the neonatal bone tissue and extracellular matrix
secretion of group A were significantly more than those of group B. The toluidine
blue staining results showed that new cartilage and bone tissue gradually
increased in the porous tantalum interface, and new bone trabecula formed and
grew in the pores, the bone and the porous tantalum contact tended to close, and
cartilage defect was gradually covered with cartilage like tissue, cartilage
tissue and porous tantalum combined more closely in groups A and B at 4, 8 and 16
weeks. New cartilage and bone tissue of group A was more than that of group B.
Micro-CT analysis indicated that the bone mineral density, trabecular thickness,
trabecular number, and bone volume fraction of group A were significantly higher
than those of group B at 16 weeks (P<0.05), but the trabecular bone space was
significantly lower than that of group B (P<0.05). CONCLUSIONS: ?The domestic
porous tantalum has good biocompatibility, domestic porous tantalum loaded with
BMP-7 can promote the formation of a stable connection with the host and has a
good effect on cartilage and subchondral bone defect repair.
PMID- 29786320
TI - [Therapeutic evaluation of intertransverse bone graft for single segmental
thoracic spinal tuberculosis].
AB - OBJECTIVE: ?To explore the effectiveness of intertransverse bone graft after
debridement and fusion combined with posterior instrumentation in patients with
single segmental thoracic tuberculosis. METHODS: ?Between March 2014 and May
2015, 17 cases of thoracic tuberculosis were treated by the surgery of
intertransverse bone graft after debridement and fusion combined with posterior
instrumentation. There were 10 males and 7 females with an average age of 48.5
years (range, 18-70 years), and with a mean disease duration of 4 months (range,
1-9 months). The affected segments included T4, 5 in 2 cases, T6, 7 in 5 cases,
T7, 8 in 3 cases, T9, 10 in 2 cases, T10, 11 in 4 cases, and T11, 12 in 1 case.
The operation time, intraoperative blood loss, and hospitalization time were
recorded. Postoperative plain radiography was taken to assess the decompression
and internal fixation, and the fusion effect was evaluated by X-ray or CT
examination. The erythrocyte sedimentation rate (ESR), C reactive protein (CRP),
visual analogue scale (VAS), Oswestry disability index (ODI), and Kyphosis angle
were recorded and compared; the nerve function was evaluated by American Spinal
Injury Association (ASIA). RESULTS: ?The mean operation time, intraoperative
blood loss, and hospitalization time were 184 minutes (range, 165-220 minutes),
231 mL (range, 150-800 mL), and 18 days (range, 12-26 days) respectively. No
complication of hematoma or wound dehiscence was found. All patients were
followed up 17.9 months on average (range, 9-22 months). No bone graft failure,
internal fixation broken, pleural effusion, cerebrospinal fluid leakage, wound
infection, fistula formation, and other complications occurred. Satisfactory
intervertebral fusion was obtained in all patients at 3-8 months (mean, 5.3
months) after surgery. The ESR, CRP, VAS score, ODI score, and Kyphosis angle
were significantly improved at immediate after operation and last follow-up when
compared with preoperative ones (P<0.05), and the ESR, CRP, VAS score and ODI
score at last follow-up were significantly better than those at immediate after
operation (P<0.05). At last follow-up, the nerve function was recovered to ASIA
grade E from grade C (1 case) and grade D (6 cases). CONCLUSIONS:
?Intertransverse bone graft is a reliable, safe, and effective way of bone graft
applied to the single segmental thoracic spinal tuberculosis.
PMID- 29786321
TI - [One-stage posterior retaining part facet joint in laminectomy and debridement
combined with short segmental pedicle screw fixation for lumbosacral spinal
tuberculosis].
AB - OBJECTIVE: ?To evaluate the feasibility and effectiveness of one-stage posterior
retaining part facet joint in laminectomy, bone graft and debridement combined
with short segmental pedicle screw fixation for lumbosacral spinal tuberculosis.
METHODS: ?Between January 2010 and December 2014, 32 cases of lumbosacral spinal
tuberculosis (L4-S1) were treated by one-stage posterior retaining part facet
joint in laminectomy, bone graft and debridement combined with short segmental
pedicle screw fixation. There were 20 males and 12 females, aged 17-62 years
(mean, 43 years). The disease duration was 12-48 months (mean, 18 months). The
involved segments included L5, S1 level in 19 cases and L4, 5 level in 13 cases.
The effectiveness was evaluated by Oswestry disability index (ODI) and imaging
parameters (lumbar-sacral angle correction and Bridwell classification and CT
fusion criteria) after operation. RESULTS: ?The operation was successfully
completed in all patients; the average operation time was 180 minutes, and the
average intraoperative blood loss was 400 mL. All cases were followed up 12 to 67
months (mean, 15.6 months). At last follow-up, common toxic symptom of
tuberculosis disappeared, and no internal fixation failure occurred. Neurological
function was recovered to normal in 7 patients with neurological symptoms, and
American Spinal Injury Association (ASIA) scale was improved to grade E from
grade C (2 cases) and grade D (5 cases) before operation. At 1 year and last
follow-up, the ODI scores were significantly improved when compared with
preoperative score (P<0.05), but no significant difference was found between at 1
year and last follow-up (P>0.05). The lumbarsacral angle was significantly
increased at 7 days, 1 year and last follow-up when compared with preoperative
one (P<0.05), but there was no significant difference between different time
points after operation (P>0.05). The bone graft fusion time was 9-24 months
(mean, 12 months). At 1 year after operation and last follow-up, X-ray Bridwill
bone fusion rates were 87.50% (28/32) and 93.75% (30/32) respectively, and CT
fusion rates were 87.50% (28/32) and 90.63% (29/32) respectively; and there was
significant difference in interbody fusion between at 1 year and last follow-up
(P<0.05). Drug resistance was observed in 4 cases; Bridwill grade III and grade
IV fusion was shown in 3 cases and 1 case after adjusting the anti-tuberculosis
scheme after 1 year. CONCLUSIONS: ?One-stage posterior retaining part facet joint
in laminectomy and debridement can effectively clear the tuberculose focus,
intervertebral bone graft combined with short segment pedicle screw fixation can
maintain postoperative spinal reliable stability and get satisfactory bone fusion
rate, so it is an effective method for the treatment of lumbosacral tuberculosis.
PMID- 29786323
TI - [Individualized surgical management of secondary rhinoplasty for cleft lip].
AB - OBJECTIVE: ?To explore the effectiveness of transplantation of engraved
autologous costal cartilage for individualized surgical management in secondary
rhinoplasty for cleft lip. METHODS: ?Between September 2009 and January 2014, 350
patients with secondary nasal deformity of cleft lip were treated, including 160
males and 190 females with a mean age of 18.2 years (range, 16-56 years). Nasal
deformity was caused by unilateral cleft lip in 200 cases and by bilateral cleft
lip in 150 cases. The interval of lip repair and nasal deformity correction was 2
50 years (mean, 12 years). About a 2-6 cm cartilage was harvested from the 6th or
7th costal cartilage, and was engraved to the shape of "ge" in Chinese. The upper
part was strengthened on both sides of the alar cartilage; the lower part was
fastened to columella and nasal septum cartilages. The rest of cartilages was
diced into 0.1 mm*0.1 mm*0.1 mm cubes. The columella incision was designed to "Z"
plasty, and was stretched to the nasion along alar edge. The engraved autologous
costal cartilage was transplanted and fixed to the collapse of nostril. The
cartilage cube was transplanted and filled into the collapse of nasal root to
achieve the aesthetic effect of nasal augmentation. The effectiveness was
evaluated according to the grade of secondary rhinoplasty for cleft lip by ZHANG
Li et al. at 1, 6, and 12 months after operation. RESULTS: ?All incisions were
primary healing. All patients were followed up 1-12 months (mean, 6 months).
After rhinoplasty, the collapse of nostrils was lifted, and the shape and height
of collapse of nostrils were symmetrical to normal side. The deflection of
columella nasi was corrected. A beautiful shape of nose was achieved. The
excellent and good rates were 98.6% at 1 month, 97.4% at 6 months, and 97.1% at
12 months after operation, showing no significant difference (chi2=0.545,
P=0.761). CONCLUSIONS: ?The technique of transplantation of engraved autologous
costal cartilage for individualized surgical management in secondary rhinoplasty
for cleft lip can achieve excellent surgery effect.
PMID- 29786322
TI - [Influence of isobar ttl dynamic internal fixation system on adjacent segment
degeneration by mri measurement of lumbar nucleus pulposus volume].
AB - OBJECTIVE: ?To investigate the influence of ISOBAR TTL dynamic internal fixation
system on degeneration of adjacent intervertebral disc by MRI measurement of
lumbar nucleus pulposus volume in treating lumbar degenerative disease after
operation. METHODS: ?Between March 2010 and October 2011, 34 patients with lumbar
intervertebral disc herniation (23 cases of paracentral type and 11 cases of
lateral type) underwent operation with ISOBAR TTL dynamic internal fixation
system for fixation of single segment, and the clinical data were analyzed
retrospectively. There were 20 males and 14 females, aged 39-62 years (mean, 47.5
years). The disease duration was 6-18 months (mean, 14 months). Involved segments
included L4, 5 in 21 cases and L5, S1 in 13 cases. The X-ray films and MRI images
were taken at 6, 12, 18, 24, 36, and 48 months after surgery. Based on X-ray
films, the height of intervertebral space was measured using angle bisectrix
method. The nucleus pulposus volume was measured based on the MRI scan. The
postoperative change of nucleus pulposus volume and intervertebral disc height
were used to evaluate the influence of ISOBAR TTL system on degeneration of
adjacent intervertebral disc nucleus pulposus. RESULTS: ?Thirty patients were
followed up 48 months. The height of intervertebral space showed no significant
difference between at pre-and post-operation (P>0.05). The nucleus pulposus
volume increased after operation, showing no significant difference at 6, 12, and
18 months when compared with preoperative value (P>0.05), but significant
difference was found at 24, 36, and 48 months when compared with preoperative
value (P<0.05). The height of nucleus pulposus increased after operation but the
width was decreased; the values showed no significant difference at 6, 12, and 18
months when compared with preoperative ones, but showed significant difference at
24, 36, and 48 months when compared with preoperative ones (P<0.05). The diameter
of nucleus pulposus at 18, 24, 36, and 48 months after operation was
significantly langer than that at preoperation (P<0.05). CONCLUSIONS: ?ISOBAR TTL
dynamic internal fixation system can prevent or delay the degeneration of
intervertebral discs.
PMID- 29786324
TI - [Short-term effectiveness of hypospadias repair with free inner prepuce tube].
AB - OBJECTIVE: ?To explore the short-term effectiveness of hypospadias repairs with
free inner prepuce tube. METHODS: ?Forty-two males with hypospadias were repaired
with free inner prepuce tube between May and October 2015. The age ranged from 1
year and 1 month to 28 years and 2 months with a median of 2 years and 9 months.
Initial operation for hypospadias was performed in 41 cases and re-operation in 1
case of chordee after 16 months of hypospadias repair. There were 36 cases of
hypospadias ( 6 cases of proximal penile type, 1 case of penile scrotal type, 26
cases of scrotal type, and 3 cases of perineal type) and 6 cases of type IV
chordee according to Donnahoo classification criteria. RESULTS: ?The length of
reconstructed urethra was 2.0-5.5 cm (mean, 3.6 cm). The patients were followed
up 6-10 months (mean, 8 months). Urinary fistulae was noted in 10 patients, which
healed spontaneously in 5 cases within 3 months after surgery, and was cured
after repair in 5 cases. Urethral stenosis occurred in 4 cases (2 external
orifice stricture and 2 distal urethral stricture) and urethral incision was
performed. Wound infection was noted in 3 cases, 2 of them needed further surgery
for a permanent fistula. Skin ischemic necrosis was found in 3 cases, 1 of them
had infection and fistula, and needed further surgical repair. Diverticulum of
scrotum developed in 1 case. Ten patients need re-operation, and the success rate
was 76.2% (32/42). At 3 months after surgery, the HOSE score ranged from 11 to 16
(mean, 14.7). The maximum urinary flow rate was 1.9-28.4 mL/s (mean, 10.5 mL/s)
in 22 cases of outpatient at 3 months after surgery. CONCLUSIONS: ?Free inner
prepuce tube can survive and play a role of normal neourethra. The short-term
effectiveness has shown a relatively high complication rate and suggests this
procedure should be carefully applied.
PMID- 29786325
TI - [Effects of over-expressing smad7 gene on keloid fibroblasts].
AB - OBJECTIVE: ?To explore if Smad7 protein can inhibit growth of keloids by
observing the gene and protein expressions of Smad7, collagen type I, and
collagen type III and cell proliferation after over-expression vectors of Smad7
transfecting keloid fibroblasts (KFb). METHODS: ?Fibroblasts were acquired from
10 male patient with keloids at the age of 20 to 25 years. After in vitro
culture, KFb were divided into 3 groups: untransfected group (group A), pcDNA3.1
(-) transfected group (group B), and pcDNA3.1 (-) -smad7 transfected group (group
C). The mRNA and protein expression levels of Smad7, collagen type I, and
collagen type III were detected by real-time fluorescence quantitative PCR and
Western blot at 48 hours after transfection. The cell proliferation ability was
detected by MTT assay at 24 hours after transfection. RESULTS: ?The relative
expression levels of mRNA and protein of Smad7 in group C were significantly
higher than those in group A and group B (P<0.01). The relative expression levels
of mRNA and protein of collagen type I and collagen type III in group C were
significantly lower than those in group A and group B (P<0.01). The relative
expression levels of mRNA of collagen type I and collagen type III in group B
were significantly higher than those in group A (P<0.01); and the relative
expression levels of proteins of Smad7, collagen type I, and collagen type III
were significantly lower than those in group A (P<0.01). The cell proliferation
ability in group C was significantly lower than that in group A and group B at
each time point by MTT assay (P<0.05), but no difference was found between group
A and group B (P>0.05). CONCLUSIONS: ?Gene expressions of collagen type I, and
collagen type III and cell proliferation will be inhibited after KFb are
transfected by over-expression vector of Smad7.
PMID- 29786326
TI - [Effect of estradiol on biofilm formation of staphylococcus epidermidis after
breast implant surgery].
AB - OBJECTIVE: ?To investigate the effect of the estradiol hormones on biofilm
formati on and structure of Staphylococcus epidermidis after breast implant
surgery. METHODS: ?The concentration of Staphylococcus epidermidis strains
ATCC35984 was adjusted to 1*107 CFU/mL or 1*108 CFU/mL, and the type strains were
incubated on the surface of silica gel in 125 pmol/L estradiol suspensions to
prepare bacterial biofilms model in vitro. After cultured in vitro for 4, 6, 12,
24, 48, and 72 hours, bacteria growth and biofilm formation ability were assessed
by means of the XTT and crystal violet staining respectively. According to the
above results, the bacterial suspension concentration was selected for
experiments. The experimental concentration of Staphylococcus epidermidis
ATCC35984 suspension and the concentrations of 50, 125, 250, 500 pmol/L estradiol
suspensions were mixed with silica gel respectively to prepare biofilm model in
vitro, no estradiol suspension served as control group. The experimental
concentration of Staphylococcus epidermidis ATCC12228 suspension was used to
prepare the same model in the negative control. After cultured in vitro for 4, 6,
12, 24, 48, and 72 hours, the same methods were used to assess the bacteria
growth dynamics and biofilm forming ability, and the scanning electron microscope
(SEM) was used to observe bacterial biofilm structure cultured on the surface of
silica gel; the laser scanning confocal microscope (CLSM) was used to measure
bacterial biofilm thickness on the surface of silica gel after 6, 12, and 24
hours. RESULTS: ?According to the results of semi quantitative detection of
crystal violet stain and XTT methods, the bacterial suspension of 1*107 CFU/mL
was selected for the experiment. XTT results indicated that the growth rates of
ATCC12228 strain (at 4, 6, 12, 24, and 72 hours ) and ATCC35984 strain (at 4, 6,
24, and 72 hours) in 125, 250, and 500 pmol/L estradiol were significantly faster
than those in 0 and 50 pmol/L (P<0.05). The growth rate of 500 pmol/L group was
significantly faster than 125 and 250 pmol/L groups at 4, 6, and 72 hours
(P<0.05), and the growth rate of 250 pmol/L group was significantly faster than
that of 125 pmol/L group at 72 hours (P<0.05), but there was no significant
difference between 0 and 50 pmol/L groups (P>0.05). At the same time point and
same estradiol concentration, the growth rates showed no significant difference
between 2 strains (P>0.05). Semi quantitative detection of crystal violet
staining showed no biofilm formed in ATCC12228 strain in all estradiol
concentration groups at different time points. In ATCC35984 strain, the biofilm
was found at 4 hours and gradually thickened with time, reached the peak at 24
hours. After cultured for 4 and 6 hours, the biofilm of 0 pmol/L groups were
significantly thicker than that of 125, 250, and 500 pmol/L groups (P<0.05). At
12 hours, the 125 pmol/L group had the thickest biofilm, showing significant
difference when compared with other groups (P<0.05). The CLSM showed ATCC35984
biofilm thickness of 125, 250, and 500 pmol/L was significantly less than that of
0 and 50 pmol/L groups at 6 hours (P<0.05), but difference was not significant
between other groups (P>0.05). Then the thickness of the biofilm increased
gradually, and the thickness of 125 pmol/L group was significantly larger than
that of other concentration groups at 12 and 24 hours (P<0.05). The SEM
observation showed that the biofilm of 125 pmol/L group was denser and thicker
than that of the other concentration groups at each time point. CONCLUSIONS:
?High level estradiol can promote bacteria growth, biofilm formation, and biofilm
maturity of Staphylococcus epidermidis.
PMID- 29786327
TI - [In vivo degradation of magnesium alloys and poly (lactic-co-glycolic acid) and
degradation evaluation of magnesium alloys using micro-ct].
AB - OBJECTIVE: ?To explore the degradation of AZ31 magnesium alloy and poly (lactic
co-glycolic acid) (PLGA) in the femoral condyle, and then evaluate the laws of
degradation of AZ31 magnesium alloy by Micro-CT images and data. METHODS: ?Forty
3-month-old male New Zealand white rabbits (weighing, 2.5 kg) were randomly
divided into 4 groups, 10 rabbits each group. Forty micro-arc-oxidized AZ31
magnesium alloy pins and 40 PLGA pins were implanted into the right and left
femoral condyle, respectively. Micro-CT images and data analysis were used to
evaluate the degradation at 4, 8, 12, and 16 weeks after operation (n=10).
Degradation was evaluated by weight difference between pre-and post-implantation.
The inflammatory response was observed around the implants by HE staining. The
weight loss of magnesium alloy and Micro-CT results were compared. RESULTS: ?The
Micro-CT images showed that PLGA pins had gray low signal, which was similar to
the soft tissue around. At 4 weeks after operation, no signs of degradation were
observed, and there were little corrosion pitting on the magnesium alloy. At 8
weeks, corrosion pitting gradually expanded, the boundary between the
longitudinal axis and the cross section became blurred; at 16 weeks, corrosion
pitting became bigger, and the boundary was discontinuous. Micro-CT quantitative
analysis showed that the volume fraction of magnesium pins decreased slowly at 4
and 8 weeks; it was significantly lower at 12 and 16 weeks than 4 and 8 weeks
(P<0.05). The magnesium cylinder mineral density continuously decreased during
the study period, it had a rapidly speed from 12 to 16 weeks (P<0.05). However,
the magnesium CT image density showed a slight change (P>0.05). The surface-to
volume ratio of the pins constantly increased, and the ratio was significantly
larger at 12 and 16 weeks than 4 and 8 weeks, and at 16 weeks than 12 weeks
(P<0.05). There was more and more corrosion pitting on the surface with time,
which resulted in a decrease in the radius that mean trabecular thickness
gradually decreased, showing significant difference between different time points
after 8 weeks (P<0.05). The weight loss detection showed that the degradation of
magnesium pin and PLGA gradually increased with time (P<0.05), and the
degradation rate of magnesium pin was significantly lower than that of PLGA at 8
12 weeks (P<0.05), but the degradation rate of magnesium pin was higher than that
of PLGA at 16 weeks. At each time point, the weight loss of magnesium alloy was
similar to that by Micro-CT, but mass fraction was lower than volume fraction and
had significant differences at 8, 12, and 16 weeks (P<0.05). HE staining revealed
that slight inflammatory response was observed around the magnesium pins at 4
weeks, and inflammatory reaction gradually reduced with time and disappeared at
16 weeks, but no inflammatory reaction was seen around PLGA. CONCLUSIONS: ?Micro
CT has the advantages of non-trauma, in vivo detection, quantitative analysis,
and precise data in evaluating the degradation of AZ31 magnesium alloy. Regarding
the degradation of the magnesium alloy and PLGA in vivo, the degradation rate is
slow in the early stage, and then increases with time. The degradation of PLGA is
faster and earlier but it is then overtaken by AZ31 magnesium alloy at 16 weeks.
During the degradation, the density of the magnesium has almost no change. The
biomaterials can not firmly attach to the surrounding tissues due to inadequate
holding forces.
PMID- 29786328
TI - [Effect of indianhedgehog gene transfection into rabbit bone marrow mesenchymal
stem cells in promoting chondrogenic differentiation and inhibiting cartilage
aging in rotary cell culture system].
AB - OBJECTIVE: ?To investigate the effect of overexpressing the Indianhedgehog (IHH)
gene on the chondrogenic differentiation of rabbit bone marrow mesenchymal stem
cells (BMSCs) in a simulated microgravity environment. METHODS: ?The 2nd
generation BMSCs from rabbit were divided into 2 groups: the rotary cell culture
system (RCCS) group and conventional group. Each group was further divided into
the IHH gene transfection group (RCCS 1 group and conventional 1 group), green
fluorescent protein transfection group (RCCS 2 group and conventional 2 group),
and blank control group (RCCS 3 group and conventional 3 group). RCCS group cells
were induced to differentiate into chondrocytes under simulated microgravity
environment; the conventional group cells were given routine culture and
chondrogenic induction in 6 well plates. During differentiation induction, the
ELISA method was used to detect IHH protein expression and alkaline phosphatase
(ALP) activity, and quantitative real-time PCR to detect cartilage and cartilage
hypertrophy related gene expressions, and Western blot to detect collagen type
II, agreecan (ANCN) protein expression; and methylene blue staining and Annexin V
cy3 immunofluorescence staining were used to observe cell slide. RESULTS: ?After
transfection, obvious green fluorescence was observed in BMSCs under fluorescence
microscopy in RCCS groups 1 and 2, the transfection efficiency was about 95%. The
IHH protein levels of RCCS 1 group and conventional 1 group were significantly
higher than those of RCCS 2, 3 groups and conventional 2, 3 groups (P<0.05); at
each time point, ALP activity of conventional 1 group was significantly higher
than that of conventional 2, 3 groups (P<0.05); ALP activity of RCCS 1 group was
significantly higher than that of RCCS 2 and 3 groups only at 3 and 7 days
(P<0.05). Conventional 1 group expressed high levels of cartilage-related genes,
such as collagen type II and ANCN at the early stage of differentiation
induction, and expressed high levels of cartilage hypertrophy-related genes, such
as collagen type X, ALP, and Annexin V at the late stage (P<0.05). RCCS 1 group
expressed high levels of cartilage-related genes and low levels of cartilage
hypertrophy-related genes at all stages. The expression of collagen type II
protein in conventional 1 group was significantly lower than that of conventional
2 and 3 groups at 21 days after induction (P<0.05); RCCS 1 group expressed high
levels of collagen type II and ANCN proteins at all stages (P<0.05). Methylene
blue staining indicated conventional 1 group was stained lighter than
conventional 2 and 3 groups at 21 days after induction; while at each time point
RCCS 1 group was significantly deeper than RCCS 2 and 3 groups. Annexin V-cy3
immunofluorescence staining indicated the red fluorescence of conventional 1
group was stronger than that of conventional 2 and 3 groups at each time point.
The expression of red fluorescence in each RCCS subgroup was weak and there was
no significant difference between the subgroups. CONCLUSIONS: ?Under the
simulated microgravity environment, transfection of IHH gene into BMSCs can
effectively promote the generation of cartilage and inhibit cartilage aging and
osteogenesis. Therefore, this technique is suitable for cartilage tissue
engineering.
PMID- 29786329
TI - [Comparative study between hypoxia and hypoxia mimetic agents on osteogenesis of
bone marrow mesenchymal stem cells in mouse].
AB - OBJECTIVE: ?To compare the effects on the osteogenesis of bone marrow mesenchymal
stem cells (BMSCs) between hypoxia and hypoxia mimetic agents
dimethyloxalylglycine (DMOG) under normal oxygen condition. METHODS: ?BMSCs were
isolated and cultured from healthy 3-4 weeks old Kunming mouse. Cell phenotype of
CD29, CD44, CD90, and CD34 was assayed with flow cytometry; after osteogenic,
adipogenic, and chondrogenic induction, alizarin red staining, oil red O
staining, and toluidine blue staining were performed. The passage 3 BMSCs were
cultured under normal oxygen in control group (group A), under 1%O2 in hypoxia
group (group B), and under normal oxygen and 0.5 mmol/L DMOG in DMOG intervention
group (group C). BMSCs proliferation was estimated by methyl thiazolyl
tetrazolium assay at 1, 2, 3, and 4 days. Alkaline phophatase (ALP) expression
was determined at 7 and 14 days after osteogenic induction. Western blot was
employed for detecting hypoxia inducible factor-1alpha (HIF-1alpha) at 24 hours.
Real time fluorescence quantitative PCR was employed for detecting the mRNA
expression of runt-related transcription factor 2 (RUNX2) and Osterix at 3 and 7
days. Alizarin red staining was applied to assess the deposition of calcium
tubercle at 21 days. RESULTS: ?The BMSCs presented CD29(+), CD44(+), CD90(+), and
CD34(-); and results of the alizarin red staining, oil red O staining, and
toluidine blue staining were positive after osteogenic, adipogenic, and
chondrogenic induction. No significant difference in BMSCs proliferation was
observed among 3 groups at 1 day (P>0.05); compared with group A, BMSCs
proliferation was inhibited in group C at 2, 3, and 4 days, but no significant
difference was observed (P>0.05); compared with group A, BMSCs proliferation was
significantly promoted in group B (P<0.05). At each time point, compared with
group A, the ALP expression, HIF-1alpha protein relative expression, and mRNA
relative expressions of RUNX2 and Osterix were significantly up-regulated in
groups B and C (P<0.05); compared with group B, the ALP expression, the RUNX2 and
Osterix mRNA relative expression were significantly up-regulated in group C
(P<0.05); compared with group C, the HIF-1alpha protein relative expression was
significantly up-regulated in group B (P<0.05). The alizarin red staining showed
little red staining materials in group A, some red staining materials in group B,
and a large number of red staining materials in group C. CONCLUSIONS: ?Hypoxia
can promote BMSCs proliferation, DMOG can not influence the BMSCs proliferation;
both hypoxia and DMOG can improve osteogenic differentiation of BMSCs, and DMOG
is better than hypoxia in improving the BMSCs osteogenesis.
PMID- 29786330
TI - [Reseach development of vascular anatomy and preoperative design technology of
anterolateral thigh flap].
AB - OBJECTIVE: ?To summarize the present status and progress of vascular anatomy and
preoperative design technology of the anterolateral thigh flap. METHODS: ?The
relative researches focused on vascular anatomy and preoperative design
technology of the anterolateral thigh flap were extensively reviewed, analyzed,
and summarized. RESULTS: ?Vascular anatomy of the anterolateral thigh flap has
been reported by numerous researchers, but perforators' location, origin, course,
and the variation of the quantity have been emphasized. Meanwhile, the variation
of descending branch, oblique branch, and lateral circumflex femoral artery has
also been widely reported. Preoperative design technology of the anterolateral
thigh flap includes hand-held Doppler, Color Doppler, CT angiography (CTA),
magnetic resonance angiography, digital subtraction angiography, and digital
technology, among which the hand-held Doppler is most widely used, and CTA is the
most ideal, but each method has its own advantages and disadvantages.
CONCLUSIONS: ?There is multiple variation of vascular anatomy of the
anterolateral thigh flap. Though all kinds of preoperative design technologies
can offer strong support to operation of anterolateral thigh flap, a simple,
quick, precise, and noninvasive technology is the direction of further research.
PMID- 29786331
TI - [APPLICATION OF THREE-DIMENSIONAL PRINTING CUSTOMIZED BONE CEMENT MODELS ON
REPAIR OF BONE DEFECT OF LIMBS].
AB - OBJECTIVE: To determine the feasibility of fabricating molds using a three
dimensional (3D) printer for producing customized bone cement for repairing bone
defect. METHODS: Between February 2015 and March 2016, 13 patients with bone
defects were treated. There were 9 males and 4 females with an average age of
38.4 years (range, 20-58 years), including 7 cases of chronic osteomyelitis, 3
cases of bone tuberculosis, 2 cases of bone tumor, and 1 case of ischemic
necrosis. The defect located at the humerus in 3 cases, at the femur in 4 cases,
and at the tibia in 6 cases. The defect ranged from 4.5 to 8.9 cm in length
(mean, 6.7 cm). Before operation, Mimics10.01 software was used to design cement
prosthesis, 3-matic software to design shaping module which was printed by 3D
technology. After removal of the lesion bone during operation, bone cement was
filled into the shaping module to prepare bone cement prosthesis for repairing
defect. RESULTS: The measurement result from Image J software showed that the
match index of interface between the mirror restored digital and bone interface
was 95.1%-97.4% (mean, 96.3%); the match index of interface between bone cement
prosthesis and bone interface was 91.2%-94.7% (mean, 93.2%). It was one time
success during separation between formed bone cement and shaping module without
any shatter or fall off. All incisions healed by first intention. The cases were
followed up 5-17 months (mean, 9.4 months). X-ray films and CT scans showed good
position of bone cement prosthesis without any fracture; no peripheral fracture
occurred. CONCLUSIONS: 3D printing customized bone cement shaping module can
shorten the operation time, and customized bone cement prothesis has good match
with bone interface, so it can avoid further adjustment and accord with the
biomechanical rules of surgical site.
PMID- 29786332
TI - [Ilizarov TECHNIQUE FOR TREATMENT OF INFECTION AFTER LIMB SALVAGE OPERATION OF
PRIMARY BONE TUMOR].
AB - OBJECTIVE: To investigate the application value of the Ilizarov technique for
infection after the limb salvage operation of primary bone tumor. METHODS: A
retrospective analysis was made on the clinical data of 6 patients with infection
treated with Ilizarov technique after limb salvage operation of primary bone
tumor between July 2012 and April 2015. There were 4 males and 2 females, aged 18
40 years (mean, 28 years). Tumor types included 3 cases of osteosarcoma and 3
cases of giant cell tumor of bone. Tumor located at the left distal femur in 2
cases, at the right distal femur in 1 case, at the left proximal tibia in 1 case,
and at the right proximal tibia in 2 cases. Six cases had recurring infection
after debridement. The patients underwent operation 2 to 5 times (mean, 3.5
times). The time from tumor resection to visiting was 8-20 months (mean, 14.3
months). During operation, the internal implant was removed; infection and
necrotic tissue was removed thoroughly; and the Ilizarov external fixator was
installed. After operation, gentamycin normal saline was used for 2 to 3 weeks,
and the sensitive antibiotic intravenous infusion was performed at the same time.
After 1 week, the osteotomy lengthening was used. RESULTS: All 6 patients were
followed up for 6 to 18 months (mean, 12.2 months). Pin tract infection occurred
in 1 case after operation; primary healing of incision was obtained in the other
patients, and no related complications occurred. The external fixation time
ranged from 6 to 16 months (mean, 11.5 months). The healing indexes ranged from
34 to 62 days/cm (mean, 52.0 days/cm). After removal of the external fixator, the
knee range of motion ranged from 0 to 5 degrees (mean, 3 degrees ) in extension,
and from 120 to 130 degrees (mean, 125 degrees ) in flexion. The American
musculo-skeletal tumor society system (MSTS) function scoring was excellent in 3
patients, good in 2 patients, and fair in 1 patient, with an excellent and good
rate of 83.3%. During follow-up period, there was no recurrence of infection; and
no recurrence or metastasis was found in 3 patients with osteosarcoma.
CONCLUSIONS: Infection can be cured by Ilizarov technique after limb salvage
operation of bone tumor.
PMID- 29786333
TI - [EFFECTIVENESS OF Ilizarov TECHNOLOGY FOR INFECTED FOREARM NONUNION].
AB - OBJECTIVE: To explore the effectiveness and method of Ilizarov technology for the
treatment of infected forearm nonunion. METHODS: Between January 2004 and March
2014, 19 patients with infected forearm nonunion were treated, including 12 males
and 7 females with a mean age of 37.4 years (range, 18-62 years). The injury
causes included traffic accident in 11 patients, falling from height in 4
patients, and machine twist injury in 4 patients. The patients had received
surgical treatment for 1-5 times (mean, 2.7 times). Bone defects located at the
radius in 10 cases, at the ulna in 7 cases, and at the radius and ulna in 2
cases. The mean time of chronic infection was 8.3 months (range, 4-16 months).
The mean length of the bone defects after debridement was 3.54 cm (range, 2.2-7.5
cm). Under the guidance of C-arm fluoroscope, the Orthofix unilateral external
fixator was used to fix. Distraction was performed at 7-10 days after operation,
and X-ray film was taken regularly to detect the osteogenesis. RESULTS: The mean
external fixation time was 6.5 months (range, 3-12 months), and the mean external
fixation index was 1.72 months/cm (range, 1.14-2.15 months/cm). All patients were
followed up for 35.4 months on average (range, 24-55 months). The bone union time
was 3-11 months (mean, 6 months); and no recurrence of infection was observed. At
last follow-up, the mean wrist range of motion (ROM) were 52.78 degrees (range,
42-55 degrees ) in flexion and 46.53 degrees (range, 40-60 degrees ) in
extension; the mean elbow ROM were 139.23 degrees (range, 130-150 degrees ) in
flexion and 3.57 degrees (range, 0-20 degrees ) in extension; and the mean
forearm ROM were 76.68 degrees (range, 68-90 degrees ) in pronation and 81.75
degrees (range, 72-90 degrees ) in supination. CONCLUSIONS: Ilizarov technology
for infected forearm nonunion can acquire satisfactory clinical results. Radical
debridement is the key to control bone infection.
PMID- 29786334
TI - [EFFECTIVENESS OF POSTEROMEDIAL DOUBLE PLATES IN TREATMENT OF COMPLEX OLECRANAL
FRACTURE].
AB - OBJECTIVE: To evaluate the effectiveness of posteromedial double plates in the
treatment of complex olecranal fracture. METHODS: Between September 2011 and July
2015, 13 patients with complex olecranal fractures were treated with posterior
olecranon locking compression plate and medial mini-plate. There were 8 males and
5 females with an average age of 41.6 years (range, 22-68 years). Injury was
caused by traffic accident in 4 cases, falling from height in 6 cases, and crush
by object in 3 cases. According to the Mayo classification, fracture was rated as
Mayo type IIB in 5 cases and as Mayo type IIIB in 8 cases. Of 13 cases, 7 had
Regan-Morrey type III coronoid fractures, including 5 anterior dislocations of
the elbow joint and 2 posterior dislocations. The time between injury and
admission ranged from 1.5 to 10.0 hours (mean, 5.7 hours). At last follow-up, the
elbow function was assessed according to the Broberg-Morrey evaluation criteria.
X-ray films was performed to observe fracture healing. RESULTS: All incisions
healed at first stage and no neural complications occurred. The patients were
followed up 9-38 months (mean, 22.1 months). All patients achieved bone union at
3.0-5.5 months (mean, 3.7 months) according to X-ray results. Subluxation of
radial head and mild heterotopic ossification occurred in 1 patient respectively,
who had no uncomfortable symptoms of movement disorder, elbow instability and
pain, and no special management was performed. At last follow-up, the flexion and
extension range of motion (ROM) of the elbow was 95-130 degrees (mean, 116.4
degrees ); the rotation ROM of the forearm was 150-175 degrees (mean, 170.8
degrees ); and the elbow function was excellent in 4 cases, good in 7 cases, and
fair in 2 cases, and the excellent and good rate was 84.6%. No internal fixation
failure, elbow stiffness, or traumatic arthritis occurred. CONCLUSIONS: For
complex olecranal fractures, an early and stable anatomic reconstruction of
trochlear notch in the olecranon with posterior olecranon locking compression
plate and medial mini-plate can obtain good effectiveness in joint functions.
PMID- 29786335
TI - [COMPARISON OF HISTOACRYL TOPICAL SKIN ADHESIVE AND TRADITIONAL METHOD FOR WOUND
CLOSURE IN TOTAL HIP ARTHROPLASTY].
AB - OBJECTIVE: To compare the effectiveness between histoacryl topical skin adhesive
and traditional method for wound closure in total hip arthroplasty (THA).
METHODS: A total of 159 patients undergoing THA were divided into 2 groups
between January and October in 2015. Subcuticular suture and histoacryl topical
skin adhesive (cyanoacrylate adhesive) were used in 80 patients (100 hips) (test
group), and traditional method (skin staples and wound drainage) was used in 79
patients (100 hips) (control group) for wound closure. There was no significant
difference (P>0.05) in gender, age, body mass index, and etiology between 2
groups. The operation time, length of stay, incision pain visual analogue scale
(VAS) score and healing of incision, and incision satisfaction evaluation, and
classification were compared between 2 groups. RESULTS: All patients were
followed up 3-6 months (mean. 4.7 months). There was no significant difference in
operation time between 2 groups (Z=-0.527, P=0.598); but the length of stay of
test group was less than that of control group (Z=-2.004, P=0.045). Incision
exudation and dehiscence occurred in 1 case (1 hip) and 1 case (1 hip) of test
group respectively; incision swollen, persistent wound exudation, exudation, and
sutured drainage tube occurred in 2 cases (2 hips), 2 cases (2 hips), 4 cases (4
hips), and 1 case (1 hip) of control group. No statistically significant
difference was found in VAS score between 2 groups at 15 days after operation (Z=
0.125, P=0.901), but wound healing score of test group was significantly higher
than that of control group (Z=-2.943, P=0.003). Wound healing was class A in 98
hips and class B in 2 hips in test group, and was class A in 92 hips and class B
in 8 hips in control group, and difference was statistically significant
(chi2=3.789, P=0.048). The incision satisfaction of test group was significantly
higher than that of control group (Z=-2.626, P=0.009). CONCLUSIONS: Compared with
traditional method, histoacryl topical skin adhesive has decreased wound
complication rate, and it is an easy and safe method with high satisfaction.
PMID- 29786336
TI - [CURATIVE EFFECT OF HUMAN UMBILICAL CORD MESENCHYMAL STEM CELLS BY INTRA
ARTICULAR INJECTION FOR DEGENERATIVE KNEE OSTEOARTHRITIS].
AB - OBJECTIVE: To investigate the safety and efficacy of human umbilical cord
mesenchymal stem cells (MSCs) by intra-articular injection for degenerative knee
osteoarthritis. METHODS: Between January 2015 and January 2016, 36 patients with
moderate or severe degenerative knee osteoarthritis were randomly devided into 2
groups (n=18). Intra-articular injection of 2.5-3.0 mL human umbilical cord MSCs
suspension containing (2-3)*107 cells was performed once a month for 2 times as a
course of treatment in the cell treatment group; sodium hyaluronate by intra
articular injection was used once a week for 5 times as a course of treatment in
the control group. There was no significant difference in gender, age, body mass
index, side, stage of osteoarthritis, course of disease, and preoperative Lysholm
score of the knee joint, the Western Ontario and McMaster Universities
osteoarthritis index (WOMAC), and SF-36 scale score between 2 groups (P>0.05).
The clinical efficacy was evaluated by SF-36 scale score, Lysholm score, and
WOMAC score. RESULTS: All patients of 2 groups received a course of treatment.
The patients were followed up for 6 months. After injection, the incidences of
pain and swelling in the cell treatment group were significantly higher than
those in the control group (chi2=16.200, P=0.000; chi2=11.688, P=0.000), but no
significant difference was found in the incidence of effusion (chi2=2.118,
P=0.146). In the cell treatment group, Lysholm score at 1-6 months after
treatment, WOMAC score and SF-36 scale score at 2-6 months after treatment were
significantly better when compared with scores before treatment (P<0.05), and no
recurrence of knee pain was observed during follow-up. In the control group,
there was no significant difference in Lysholm score and SF-36 scale score
between pre- and post-treatment (P>0.05); there were significant differences in
WOMAC score between pre-treatment and at 1, 2, 3 months after treatment (P<0.05);
at 3 months after treatment, 11 patients had joint pain symptoms again. No
significant difference was found in the knee joint function score and SF-36 scale
score at 1 and 2 months after treatment between 2 groups (P>0.05), but the scores
of the cell treatment group were significantly better than those of the control
group at 3 and 6 months (P<0.05). CONCLUSIONS: It can significantly improve the
joint function and quality of life to use intra-articular injection of human
umbilical cord MSCs for treating degenerative knee osteoarthritis. It takes
effect after 1 month and the treatment effect can be sustained for 6 months.
PMID- 29786338
TI - [IMPROVED DOUBLE OPERATIVE APPROACHES FOR TREATMENT OF Pilon FRACTURES
ACCOMPANIED WITH FIBULA FRACTURES].
AB - OBJECTIVE: To investigate the clinical effect of surgical treatment of Pilon
fractures accompanied with fibula fractures with the improved double operative
approaches. METHODS: Between January 2012 and June 2015, 19 patients with closed
Pilon fractures accompanied with fibula fractures (Ruedi-Allgower type III)
underwent open reduction and internal fixation with improved anterior-median
incision and posterior-lateral incision. There were 13 males and 6 females, aged
35 years on average (range, 23-68 years). Injury was caused by traffic accident
in 11 cases, falling from height in 7 cases, and crash injury of heavy object in
1 case. According to AO/OTA classification, there were 4 cases of type C2, and 15
cases of type C3. According to Tscherne-Gotzen classification of soft tissue
defect, 6 cases were rated as grade 1 and 13 cases as grade 2. The interval of
injury and operation was 6-18 days (mean, 10.3 days). After operation, reduction
of Pilon fracture was evaluated by the Burwell-Charnley radiological evaluation
criteria, and the ankle joint function was evaluated by the American Orthopaedic
Foot and Ankle Society (AOFAS) ankle-hindfoot score. RESULTS: The operation time
was 1.8-4.6 hours (mean, 2.4 hours); the intraoperative blood loss was 200-500 mL
(mean, 310 mL). All the 19 patients were followed up for 13.7 months on average
(range, 12-18 months). The fracture healing time was 3.6 months on average
(range, 2.5-8.0 months). Postoperative complications included anterior-median
incision necrosis in 2 cases, traumatic arthritis in 2 cases, and ankle
instability in 1 case. According to Burwell-Charnley radiological evaluation
criteria, anatomical reduction was obtained in 15 cases and satisfactory
reduction in 4 cases. According to AOFAS score, the excellent and good rate was
84.2% (excellent in 11 cases, good in 5 cases, and fair in 3 cases). CONCLUSIONS:
The improved anterior-median incision combined with posterior-lateral incision is
a safe and effective method to treat complex Pilon fractures accompanied with
fibula fractures, which has the advantages of simple operation, adequate exposure
and minimal invasion.
PMID- 29786337
TI - [ASSOCIATION OF ANTERIOR CRUCIATE LIGAMENT DEGENERATION WITH MEDIAL MENISCUS TEAR
AND INTERCONDYLAR NOTCH IMPINGEMENT].
AB - OBJECTIVE: To explore the association of anterior cruciate ligament (ACL)
degeneration with intercondylar notch impingement and the medial meniscus tear in
knee osteoarthritis (KOA). METHODS: Between July 2014 and February 2016, 55 KOA
patients (55 knees) with ACL degeneration (degeneration group) and 55 KOA
patients (55 knees) without ACL degeneration (control group) were included in the
study. No significant difference was found in gender, age, body mass index, and
side between 2 groups (P>0.05). The notch width index was measured on
preoperative MRI to evaluate whether the intercondylar notch was narrow. The
location of the medial and lateral meniscus tear and osteophyte of the ACL tibial
insertion were observed under arthroscopy, and the incidences of the meniscus
tear and osteophyte were calculated. RESULTS: There was no significant difference
in anterior horn and body tear of the medial meniscus and in anterior horn, body,
posterior horn, and root tear of the lateral meniscus (P>0.05). Significant
difference was found in the posterior horn and root tear of the medial meniscus,
osteophyte of the ACL tibial insertion, narrow intercondylar notch, and the notch
width index between 2 groups (P<0.05). The incidence of root tear of the medial
meniscus was 53.8% (7/13) in 13 knees with osteophyte of the ACL tibial insertion
and was 16.5% (16/97) in 97 knees without osteophyte, showing significant
difference (chi2=9.671, P=0.002). CONCLUSIONS: There is a strong association of
ACL degeneration with posterior horn and root tear of the medial meniscus and
intercondylar notch impingement in KOA. And the high incidence of root tear of
the medial meniscus in knee is correlated with osteophyte of the ACL tibial
insertion.
PMID- 29786339
TI - [APPLICATION OF POSTEROLATERAL APPROACH FOR TREATMENT OF BIMALLEOLAR AND
TRIMALLEOLAR FRACTURES].
AB - OBJECTIVE: To evaluate the advantage of single posterolateral approach for
exposing the fragments of lateral malleolus and posterior malleolus in treating
bimalleolar and trimalleolar fractures. METHODS: Between January 2013 and June
2015, 47 patients with ankle fractures were treated. Of 47 cases, 16 were male
and 31 were female with an average age of 47.7 years (range, 25-65 years); the
locations were the left side in 21 cases and the right side in 26 cases.
According to types system of Lauge-Hansen, 11 cases were rated as pronation
external rotation and 36 cases as supination-external rotation. There were 9
cases of bimalleolar fractures and 38 cases trimalleolar fractures. CT
examination showed that posterior malleolus fracture involved more than 35% of
the joint surface in 11 cases, and 9 cases had comminuted posterior malleolus
fracture. Of 47 cases, 44 had fresh fractures with a mean disease course of 4
days (range, 8 hours to 7 days), and 3 had old fracture with the disease course
of 43, 58, and 62 days respectively. Posterior malleolus fractures were fixed
with T-type plates in 12 cases, one-third tubular plates in 10 cases, and
cannulated screws in 25 cases. RESULTS: The operation time was 60-100 minutes
(mean, 80 minutes); the bleeding volume was 50-100 mL (mean, 72 mL). Primary
healing of incision was obtained in all patients, and no postoperative
complications of infection, cutaneous necrosis, deep venous thrombosis, and sural
nerve injury occurred. The CT examinations after operation showed anatomical
reduction of fractures. All patients were followed up from 12 to 20 months (mean,
16 months). No fixation failure or reduction loss was found at last follow-up.
All patients could walk normally. The active dorsiflexion of the affected ankles
was within 5 degrees less than normal side in 43 cases (91.5%) and 5-10 degrees
less than normal side in 4 cases (8.5%). The passive dorsiflexion of the affected
ankles was within 5 degrees less than normal side in 44 cases (93.6%) and 5-10
degrees less than normal side in 3 cases (6.4%). According to the Olerud
Molander scoring system, the results were excellent in 40 cases, good in 5 cases,
and fair in 2 cases; and the excellent and good rate was 95.7% at last follow-up.
CONCLUSIONS: The single posterolateral approach could simultaneously expose the
fragments of lateral malleolus and posterior malleolus, with less complication of
the incision, short operation time, and clear exposure of posterior malleolus.
The surgery could be performed under direct vision. It especially is suitable for
bimalleolar and trimalleolar fractures with lateral malleolus and posterior
malleolus.
PMID- 29786340
TI - [EXPERIMENTAL STUDY ON EFFECT OF NICOTINE INTAKE ON IMPACT OF BONE MICROSTRUCTURE
AND OXIDATIVE STRESS IN RATS].
AB - OBJECTIVE: To evaluate the influence of nicotine intake on bone microstructure,
bone biomechanics, and oxidative stress state in rats. METHODS: Thirty-six 6-week
old male Sprague Dawley rats (weight, 160-180 g) were randomly divided into
control group, low dose group, and high dose group, 12 rats each group. The rats
in high dose group and low dose group were given respectively 6.0 mg/kg and 0.4
mg/kg nicotine gavage intervention for 12 months; no intervention was made in the
control group. The survival of rats was observed during experiment, and the
weight of rats was measured every month. At 12 months after modeling, the L1
vertebral body was harvested to measure the bone mineral density (BMD), bone
volume fraction (BVF), trabecular thickness (TT), trabecular number (TN), and
trabecular spacing (TS) by Micro-CT three-dimensional reconstruction; the left
femur was harvested for biomechanical tests of maximal load, stiffness, and the
maximal fracture energy; and arterial blood was extracted to measure the
malonyldialdehyde (MDA), superoxide dismutase (SOD), catalase (CAT), and
cotinine. RESULTS: During the experiment, two rats and one rat were added in the
high dose group and the low dose group because of death, and no death in the
control group. The body weight of the rats in the high and low dose groups
gradually decreased with time when compared with one in the control group, and
significant difference was found between two dose groups and the control group at
8-12 months (P<0.05); the body weight of the high dose group was significantly
lower than that of the low dose group at 11 and 12 months (P<0.05). At 12 months
after modeling, BMD, BVF, TT, and TN were significantly lower in the high dose
group than the control group and the low dose group, but TS was significantly
increased (P<0.05). Difference in BVF, TN, and TS was significant between the low
dose group and the control group (P<0.05). The maximal load, stiffness, and
maximal fracture energy of femoral shaft were significantly lower in the high
dose group than the control group and the low dose group, and in the low dose
group than the control group (P<0.05). Compared with the control group, the
levels of cotinine and MDA were significantly increased, and the levels of CAT
and SOD were significantly decreased in the high and low dose groups (P<0.05),
and there were significant differences between the high and low dose groups
(P<0.05). CONCLUSIONS: Nicotine intake can cause micro-structural changes of the
bone, decreased bone mechanical properties, and imbalance of oxidation
antioxidant levels in rats. High-dose nicotine intake may be one of the causes of
osteoporosis.
PMID- 29786341
TI - [APPLICATION OF PEDICLED LATISSIMUS DORSI KISS FLAP TO REPAIR CHEST WALL SKIN
DEFECTS AFTER TUMOR RESECTION].
AB - OBJECTIVE: To investigate the clinical value of pedicled latissimus dorsi Kiss
flap in repairing chest wall large skin defect after tumor operation. METHODS: A
retrospective analysis was made on the clinical data from 15 cases of chest wall
tumors treated between December 2010 and December 2015. There were 2 males and 13
females with an average age of 51.8 years (range, 43-60 years); there were 11
cases of locally advanced breast cancer, 3 cases of fibrosarcoma in chest wall,
and 1 case of chest wall radiation ulcer with a median disease duration of 24.1
months (range, 6 months to 8 years). The area of skin defects was 17 cm*12 cm to
20 cm*18 cm after primary tumor resection; the pedicled latissimus dorsi Kiss
flap was designed to repair wounds. The flap was a two-lobed flap at a certain
angle on the surface of latissimus dorsi based on the thoracodorsal artery, with
a size of 17 cm*6 cm to 20 cm*9 cm for each lobe. The donor site was sutured
directly. RESULTS: Fourteen flaps survived with primary healing of wound; delayed
healing was observed in 1 flap because of distal necrosis; and healing by first
intention was obtained at the donor sites. The follow-up time was from 6 months
to 3 years (mean, 21.6 months). The flap had good appearance with no bloated
pedicle. The shoulder joint activities were normal. No local recurrence occurred,
but distant metastasis in 2 cases. No obvious scar was found at donor sites.
CONCLUSIONS: The application of pedicled latissimus dorsi Kiss flap to repair
chest wall skin defects after tumor resection has important clinical value,
because of the advatages of simple operation, minor donor site damage and rapid
postoperative recovery, especially for late stage cancer patients.
PMID- 29786342
TI - [DESIGN AND CLINICAL APPLICATIONS OF DISTALLY BASED ANTEROMEDIAL THIGH FLAPS
PEDICLED WITH PERFORATING VESSELS FROM RECTUS FEMORIS BRANCH].
AB - OBJECTIVE: To explore the feasibility and technical essentials of soft tissue
defect reconstruction of the lower extremity using the distally based
anteromedial thigh flap (dAMT) pedicled with perforating vessels from rectus
femoris branch. METHODS: Between July 2008 and December 2015, 6 patients
underwent defect reconstruction of the lower extremity using the dAMT flap
pedicled with perforating vessels from rectus femoris branch. There were 4 males
and 2 females with an average age of 34 years (range, 4-55 years). The etiologies
included liposarcoma in 1 case, malignant fibrous histocytoma in 1 case, post
burn scar contracture around the ankle in 1 case, and post-burn scar contracture
around the knee in 3 cases. The disease duration ranged from 3 to 28 months
(mean, 13 months). After resection of lesion tissues, the defect size ranged from
13 cm*7 cm to 24 cm*12 cm. The flap size ranged from 15 cm*8 cm to 24 cm*12 cm.
The length of the pedicle ranged from 10 to 25 cm (mean, 19.8 cm). The distance
from the flap pivot point to the superolateral border of the patella ranged from
8 to 13 cm (mean, 11.3 cm). The donor sites were directly sutured. RESULTS: All
flaps survived postoperatively without any complications. All wounds at the donor
and the recipient sites healed primarily. The patients were followed up from 5 to
36 months (mean, 17.8 months). The color, texture, and thickness of the flaps
were similar to those of the surrounding skin. No tumor recurrence was observed.
The range of motion of flexion and extension of the joint were greatly improved
in the patients with scar contracture. CONCLUSIONS: If the rectus femoris branch
gives off cutaneous branch to the anteromedial thigh region and arises from the
descending branch of the lateral circumflex femoral artery, a dAMT flap could be
raised to reconstruct soft tissue defects of the lower extremity.
PMID- 29786343
TI - [CLINICAL APPLICATION OF LIQUID WOUND DRESSING IN TREATMENT OF CHRONIC ULCER
WOUNDS].
AB - OBJECTIVE: To evaluate the effectiveness of liquid wound dressing in the
treatment of chronic ulcer wounds. METHODS: Between January 2014 and October
2015, 84 patients with chronic ulcer wounds were included and divided into 2
groups randomly. The chronic ulcer wounds were covered with liquid wound dressing
in the treatment group (n=44) and were managed with iodophor in the control group
(n=40). There was no significant difference in age, gender, causes, location,
wound area, and disease duration between 2 groups (P>0.05). The frequency of
dress changing, effective rate of treatment, wound healing time, wound healing
rate at 5, 10, and 20 days, positive rate of bacteria culture at 1, 5, and 10
days, and the rate of side effect were recorded and compared between 2 groups.
Vancouver scar scale was used to evaluate scar formation. RESULTS: The effective
rate of the treatment group (100%) was significantly higher than that of the
control group (85%) (P=0.009). The frequency of dress changing in the treatment
group[(11.36+/-3.40) times] was significantly lower than that in the control
group[(16.94+/-4.51) times] (t=-6.231, P=0.000). The wound healing rates at 5,
10, and 20 days were significantly increased (P<0.05) and the wound healing time
was significantly decreased (t=-6.627, P=0.000) in the treatment group when
compared with the control group. The positive rates of bacteria culture at 5 and
10 days in the treatment group were significantly lower than those in the control
group (chi2=12.313, P=0.000; P=0.005), but no significant difference was found at
1 day (chi2=0.066, P=0.797). Side effect was observed in 4 cases of the control
group. Vancouver scar scale score was 8.59+/-1.32 in the treatment group and was
9.85+/-1.65 in the control group, showing significant difference (t=-3.752,
P=0.000). CONCLUSIONS: The application of the liquid wound dressing in the
treatment of chronic ulcer wound can improve the wound healing rate, shorten the
healing time and decrease the frequency of dress change, which could promote the
wound healing process.
PMID- 29786344
TI - [EXPERIMENTAL STUDY ON TISSUE ENGINEERED BONES CONSTRUCTED BY HUMAN BONE
MORPHOGENETIC PROTEIN 2 GENE-MODIFIED HUMAN BONE MARROW MESENCHYMAL STEM CELLS].
AB - OBJECTIVE: To investigate the bone regeneration potential of cell-tissue
engineered bone constructed by human bone marrow mesenchymal stem cells (hBMSCs)
expressing the transduced human bone morphogenetic protein 2 (hBMP-2) gene
stably. METHODS: The full-length hBMP-2 gene was cloned from human muscle tissues
by RT-PCR and connected into a vector to consturct a eukaryotic expression
system. And then the gene expression system was transduced to hBMSCs with
lipidosome. hBMSCs were transfected by hBMP-2 gene (experimental group) and by
empty plasmid (negative control group), untransfected hBMP-2 served as blank
control group. RT-PCR, dot-ELISA, immunohistochemical analysis and ALP activity
were performed to compare and evaluate the situation of hBMP-2 expression and
secretion after transfection. hBMSCs transfected by hBMP-2 gene were seeded on
hydroxyapatite (HA) and incubated for 4 days to construct the hBMP-2 gene
modified tissue engineered bone, and then the tissue engineered bone was observed
by the inverted phase contrast microscope and scanning electron microscope. Then
the hBMP-2 gene modified tissue engineered bone (group A, n=3), empty plasmid
transfected hBMSCs seeded on HA (group B, n=3), hBMSCs suspension transfected by
hBMP-2 gene (group C, n=3), and hBMP-2 plasmids and lipidosome (group D, n=3)
were implanted into bilateral back muscles of nude mice. The osteogenic activity
was detected by HE staining and alcian blue staining after 4 weeks. RESULTS: At
48 hours and 3 weeks after transfection, RT-PCR and dot-ELISA results indicated
that the transfected hBMSCs could express and secrete active and exogenous hBMP-2
stably. The immunohistochemical staining was positive, and the ALP activity in
the transfected hBMSCs was significantly higher than that in two control groups
(P<0.05). The transfected hBMSCs had a good attaching and growing on the three
demension suface of HA under inverted phase contrast microscope and scanning
electron microscope. In vivo study indicated that a lot of new bone formation was
obviously found at 4 out of 6 sides of back muscles in group A. Some new bone
formation at both sides of back muscles was observed in 1 of 3 mice in group B.
No new bone formation was found in group C. A few new bone formation was observed
at one side of back muscles in group D. CONCLUSIONS: The tissue engineered bone
constructed by hBMP-2 gene modified hBMSCs and HA is able to express and secrete
active hBMP2 stably and can promote new bone formation effectively in muscles of
nude mice.
PMID- 29786345
TI - [EXPERIMENTAL STUDY ON OSTEOGENIC ACTIVITY OF RABBIT BONE MARROW MESENCHYMAL STEM
CELLS INDUCED BY KLD-12 POLYPEPTIDE/RECOMBINANT HUMAN BONE MORPHOGENETIC PROTEIN
2 GEL].
AB - OBJECTIVE: To investigate the effect of KLD-12 polypeptide complexed with
recombinant human bone morphogenetic protein 2 (rhBMP-2) on osteogenic activity
of rabbit bone marrow mesechymal stem cells (BMSCs). METHODS: Bone marrow was
harvested from 3-month-old New Zealand white rabbit, and density gradient method
was used to isolate and culture BMSCs. The third generation BMSCs were used for
three-dimensional culture of KLD-12 polypetide/rhBMP-2 in vitro (experimental
group) and KLD-12 polypeptide (control group). The morphology of the cells in the
gel was observed by inverted phase contrast microscope at 7 days; alkaline
phosphatase (ALP) and osteocalcin protein content were dectected at 3, 7, 10, 14,
and 21 days; collagen type I immunofluorescence staining was done and real-time
fluorescent quantitative PCR was performed to detect the relative expression of
collagen type I and osteocalcin gene at 14 days. RESULTS: Under the inverted
phase contrast microscope, the BMSCs in the gel of the experimental group and the
control group showed circular growth, and the distribution was uniform at 7 days.
There was no significant difference in the expressions of ALP and osteocalcin
protein content between 2 groups at 3 and 7 days (P>0.05); the above indexes in
experimental group were significantly higher than those in the control group at
10-21 days (P<0.05). Laser scanning confocal microscope observation showed that
immunofluorescence staining for collagen type I was positive in the experimental
group, and the expression was higher than that in the control group at 14 days.
Real-time fluorescence quantitative PCR detection showed that the collagen type I
and osteocalcin gene expressions were significantly higher than those in the
control group (t=15.902, P=0.000; t=12.998, P=0.000). CONCLUSIONS: BMSCs can
normally grow and proliferate in the KLD-12 polypeptide, and KLD-12
polypeptide/rhBMP-2 has good biological activity to induce BMSCs differentiation
into osteoblasts.
PMID- 29786346
TI - [EFFECT OF CHANGE OF TISSUE INTERFACE STIFFNESS ON OSTEOGENIC DIFFERENTIATION OF
RAT BONE MARROW MESENCHYMAL STEM CELLS].
AB - OBJECTIVE: To investigate the effect of tissue interface stiffness change on the
spreading, proliferation, and osteogenic differentiation of rat bone marrow
mesenchymal stem cells (BMSCs), and to find the suitable stiffness range for stem
cell differentiation. METHODS: Bone marrow of male Sprague Dawley rats (4 weeks
old) were selected to isolate and culture BMSCs by whole bone marrow cell
adherent method. The third generation BMSCs (1*105 cells/mL) were inoculated into
the ordinary culture dishes covered with polyacrylamide hydrophilic gel (PA)
which elastic modulus was 1, 4, 10, 40, and 80 kPa (cells seeded on PA), and
ordinary culture dish (75 MPa extreme high elastic modulus) as control. Spreading
of cells in different stiffness of PA was observed under light microscope. The
elastic modulus values of 4, 10, and 40 kPa PA were selected as groups A, B, and
C respectively; the ordinary culture dish (75 MPa extreme high elastic modulus)
was used as control group (group D). Cell counts was used to detect the growth
conditions of BMSCs, alkaline phosphatase (ALP) kit to detect the concentration
of ALP, alizarin red staining technique to detect calcium deposition status, and
real-time quatitative PCR technique to detect the expressions of bone gla protein
(BGP), Runx2, and collagen type I mRNA. RESULTS: With increased PA stiffness,
BMSCs spreading area gradually increased, especially in 10 kPa and 40 kPa. At 1
and 2 days after culture, the growth rate showed no significant difference
between groups (P>0.05); at 3-5 days, the growth rate of groups B and C was
significantly faster than that of groups A and D (P<0.05), but difference was not
statistically significant between groups A and D (P<0.05); at 5 days, the
proliferation of group C was significantly higher than that of group B (P<0.05).
ALP concentrations were (53.69+/-0.89), (97.30+/-1.57), (126.60+/-14.54), and
(12.93+/-0.58) U/gprot in groups A, B, C, and D respectively; groups A, B, and C
were significantly higher than group D, and group C was significantly higher than
groups A and B (P<0.05). Alizarin red staining showed that the percentages of
calcium nodules was 20.07%+/-4.24% in group C; group C was significantly higher
than groups A, B, and D (P<0.05). The expression levels of BGP and collagen type
I mRNA were significantly higher in groups A, B, and C than group D, and in group
C than groups A and B (P<0.05). The expression level of Runx2 mRNA was
significantly higher in groups B and C than group D, and in group C than group B
(P<0.05), but no significant difference was found between groups A and D
(P>0.05). CONCLUSIONS: PA elastic modulus of 10-40 kPa can promote the
proliferation and osteogenic differentiation of BMSCs, and the higher the
stiffness, the stronger the promoting effect.
PMID- 29786347
TI - [THREE-DIMENSIONAL CULTURED ADIPOSE-DERIVED STEM CELLS BASED ON MICROBIAL
TRANSGLUTAMINASE ENZYME CROSSLINKED GELATIN HYDROGEL].
AB - OBJECTIVE: To study the growth of adipose-derived stem cells (ADSCs) planted in
three-dimensional (3D) materials, a 3D cultured ADSCs system based on microbial
transglutaminase (mTG) enzyme crosslinked gelatin hydrogel was constructed.
METHODS: ADSCs were isolated from the subcutaneous adipose tissue of a Sprague
Dawley rat by collagenase digestion and centrifugation, and were cultured for
passage. The mTG enzyme crosslinked gelatin hydrogel was firstly synthesized by
mixing gelatin and mTG, and then the ADSCs were encapsulated in situ (2D
environment) and cultured in the 3D materials (3D environment). The morphology
and adhesion of cells were observed by inverted phase contrast microscope. In
addition, HE staining and Masson staining were carried out to observe the
distribution of cells in the material. Living and death situation of ADSCs in the
materials was observed by fluorescence microscope and laser scanning confocal
microscopy. Scanning electron microscopy was used to observe the adhesion of
ADSCs on hydrogel surface. Alamar-Blue method was used to detect the
proliferation of ADSCs in the hydrogel. Moreover, the results were compared
between the cells cultured in 2D environment and those in 3D environment.
RESULTS: The result of 2D culture showed that ADSCs grew well on the hydrogel
surface with normal functioning and had good adhesion. The results of 3D culture
showed that ADSCs grew well in 3D cultured mTG enzyme crosslinked gelatin
hydrogel, and presented 3D shape. Cells obviously extended in all directions. The
number of apoptotic cells was very small. The cells of 3D culture at each time
point was significantly less than that of the conventional culture cells,
difference was statistically significant (P<0.05). But after 8 days culture, the
proliferation of the cells cultured in the mTG enzyme crosslinked gelatin
hydrogel increased more quickly. CONCLUSIONS: ADSCs can grow well with good
adhesion and show high viability in 3D culture system constructed by mTG enzyme
crosslinked gelatin hydrogel.
PMID- 29786348
TI - [REGULATORY EFFECT OF OLFACTORY ENSHEATHING CELLS ON INFLAMMATORY CYTOKINES IN
REPAIR OF RAT SCIATIC NERVE DEFECT].
AB - OBJECTIVE: To investigate the expression regulation of inflammation cytokines
interleukin 4 (IL-4), IL-6, IL-13, and tumor necrosis factor alpha (TNF-alpha) in
rats with sciatic nerve defect following olfactory ensheathing cell (OEC)
transplantation. METHODS: The primary OEC for cell culture and identification was
dissociated from the olfactory bulb of the green fluorescent protein-Sprague
Dawley (GFP-SD) rat. One hundred SD rats were randomly divided into 2 groups, and
the right sciatic nerve defect (10 mm in length) model was made, then repaired
with poly (lactic acid-co-glycolic acid) (PLGA). The mixture of equivalent
cultured GFP-OEC and extracellular matrix (ECM) was injected into both ends of
PLGA nerve conduit in the experimental group (n=55), and the mixture of DMEM and
ECM in the control group (n=45). The general situation of rats was observed after
operation. At 6 hours, 1 day, 3 days, 1 week, 2 weeks, 3 weeks, 4 weeks, and 6
weeks, the inflammatory cytokines were detected by Western blot. At 2, 4, and 6
weeks, the survival of GFP-OEC was observed in the experimental group. At 9
weeks, HE staining was used to observe the morphology of nerve tissue, and the
sensory and motor function and the electrophysiological index were detected.
RESULTS: The cultured primary cells were GFP-OECs by immunofluorescence staining.
Compared with the control group, the experimental group showed significantly
increased expression level of IL-4 at 2-6 weeks (P<0.05), significantly decreased
expression level of IL-6 and TNF-alpha at 3 days and 1 week (P<0.05) and
significantly increased expression level of IL-13 at 1 day and 3-6 weeks (P<0.05)
by Western blot detection. At 2, 4, and 6 weeks, the surviving GFP-OEC of
regenerative nerve end was observed in the experimental group under the
fluorescence microscope. At 9 weeks, regenerative nerve tissue was loose, and
cell morphology was irregular in the experimental group, while the regenerative
nerve tissue had vesicular voids and the cell number decreased significantly in
the control group. At 9 weeks, the functional recovery of sciatic nerve in the
experimental group was better than that of the control group, showing significant
difference in the lateral foot retraction time, sciatic nerve function index,
muscle action potential latency, and the amplitude of compound muscle action
potential (P<0.05). CONCLUSIONS: OEC can promote the anti-inflammation cytokines
expression of IL-4 and IL-13 and inhibit the pro-inflammatory cytokines
expression of IL-6 and TNF-alpha, which can improve the local inflammatory
microenvironment of sciatic nerve and effectively promote the structure and
function recovery of sciatic nerve.
PMID- 29786349
TI - [RESEARCH PROGRESS OF Hedgehog SIGNALING PATHWAY IN REGULATING BONE FORMATION AND
OSTEOGENIC DIFFERENTIATION OF BONE MESENCHYMAL STEM CELLS].
AB - OBJECTIVE: To summarize the research progress of the effects and mechanisms of
Hedgehog signaling pathway in regulating bone formation and osteogenic
differentiation of bone marrow mesenchymal stem cells (BMSCs). METHODS: The
related literature concerning the regulations and mechanism of Hedgehog signaling
pathway in osteogenic differentiation of BMSCs and bone formation in vivo, in
vitro, and ex vivo studies in recent years was analyzed and summarized. RESULTS:
The in vitro studies indicate that Hedgehog signaling pathway can promote
osteogenic differentiation of BMSCs via activation of key molecules Smoothened
(Smo) and Gli1 which are downstream of Hedgehog signaling, and Hedgehog signaling
can activate mTORC2-Akt signaling by upregulation of insulin-like growth factor
which has similar effects. Hedgehog signaling regulates osteoblast
differentiation via activation of Hh-Smo-Ptch1-Gli signaling pathway and
inhibition of Hh-Galphai-RhoA stress fibre signaling. Hedgehog signaling can
regulate key molecules of osteogenesis Runx2 for promoting osteogenic
differentiation and matrix mineralization by synergism of bone morphogenetic
protein and Wnt signaling, and promotes bone formation and repair and healing for
bone defect and bone graft model in vivo. CONCLUSIONS: Hedgehog signaling can
regulate bone formation and osteogenic differentiation of BMSCs via activation of
Hedgehog signaling and other signaling pathways. Hedgehog signaling pathway may
be a potential target for developing treatment for bone related diseases of
osteoporosis and fracture healing disorders.
PMID- 29786350
TI - [ADVANCES IN ANATOMICAL REPAIR OF CHRONIC LATERAL ANKLE INSTABILITY].
AB - OBJECTIVE: To summarize the current status and progress of the treatment of
chronic lateral ankle instability (CLAI). METHODS: The literature about the
anatomical repair of CLAI at home and abroad was reviewed and summarized.
RESULTS: Brostrom and its modified operations are the most common surgical
treatment of CLAI. The operations showed satisfactory clinical outcomes in the
short-, medium-, and long-term follow-up and low complication rate. Suture anchor
technique and arthroscopic techniques are gradually used in Brostrom and its
modified operations with satisfactory short-term effectiveness, but long-term
effectiveness needs further observation because of the limitation of the short
clinical application time. CONCLUSIONS: Brostrom and its modified operations are
effective, convenient, and safe to treat CLAI. Based on the researches of
biomechanics and dynamic anatomy, the more personalized design of the
rehabilitation program is the further research direction.
PMID- 29786351
TI - [RESEARCH PROGRESS OF PATHOLOGY OF ENDOCHONDRAL OSSIFICATION IN OSTEOARTHRITIS].
AB - OBJECTIVE: To summarize the research progress of pathological manifestations and
mechanism of endochondral ossification in osteoarthritis (OA). METHODS: The
literature about endochondral ossification, bone-cartilage remodeling in OA, and
joints development was reviewed, analyzed, and summarized. RESULTS: Chondrocyte
hypertrophy and apoptosis, vascular invasion, replication of the tidemark,
thickening calcified cartilage, and thinning superficial cartilage are the
characteristics of cartilage degeneration in OA. Articular cartilage and growth
plate are similar in structure, and cartilage degeneration in OA is similar to a
process of endochondral ossification of the growth plate. CONCLUSIONS: Loss of
stability characterization from resting metabolic balance to a high conversion
state of temporary cartilage in stimulation of abnormal mechanical stresses and
cytokines would subsequently contributed to continual calcification and
remodeling of articular cartilage, which may be the key link of the initiation
and development of OA.
PMID- 29786352
TI - [RESEARCH PROGRESS OF MAGNESIUM AND MAGNESIUM ALLOYS IMPLANTS IN ORTHOPEDICS].
AB - OBJECTIVE: To summarize the research and application progress of magnesium and
magnesium alloys implants in the orthopedics. METHODS: The domestic and foreign
related literature about the research progress and application of magnesium and
magnesium alloys implants in the orthopedics was reviewed. RESULTS: Currently
approved and commonly used metallic implants in orthopedics include stainless
steels, titanium alloys, and chromium alloys having many disadvantages of poor
biocompatibility, mismatch with the biomechanical properties of the bone tissue,
and need of second surgical procedure to remove. Compared with traditional
implants, magnesium and magnesium alloys have biomechanical properties closer to
natural bone tissue, and in vivo degradation, which have the potential to serve
as new biocompatible and degradable implants. Although magnesium and magnesium
alloy materials have their own advantages, but the degradation rate is still too
fast and so on. At present, the research and development of medical magnesium and
magnesium alloy materials are to improve their corrosion resistance and control
the rate of degradation. CONCLUSIONS: Magnesium and magnesium alloys have great
potential as a implant material in the orthopedics, through further systematic
and in-depth study, it is expected to become a new generation of degradation
biological implant materials.
PMID- 29786353
TI - [PROGRESS OF TREATMENT AND PREVENTION OF BREAST CANCER RELATED LYMPHEDEMA].
AB - OBJECTIVE: To review the progress of treatment and prevention of breast cancer
related lymphedema. METHODS: The recent literature concerning treatment and
prevention of breast cancer related lymphedema was extensively consulted and
reviewed. RESULTS: The treatment of lymphedema is now based on complete
decongestive therapy, supplemented with medicine and surgery. Those procedures
have been proved to be safe and effective. Sentinel lymph node biopsy, axillary
reverse mapping, and lymphaticovenous anastomoses have been used to decrease the
incidence of lymphedema. They show promising effectiveness in short term, but the
long-term effectiveness needs further tests. CONCLUSIONS: In clinical practice,
many treatment methods are used to decrease lymphedema, and lymphedema prevention
is playing an increasingly important role. Lymphaticovenous anastomoses shows a
promising effectiveness in reducing lymphedema.
PMID- 29786354
TI - [ACETABULUM RECONSTRUCTION AND TOTAL HIP ARTHROPLASTY FOR TREATMENT OF OLD
CENTRAL DISLOCATION OF HIP JOINT].
AB - OBJECTIVE: To explore the clinical effect of total hip arthroplasty (THA) in the
treatment of old central dislocation of the hip joint. METHODS: Between January
2010 and June 2014, 21 patients (21 hips) with old central dislocation of the hip
joint underwent THA and autologous bone graft for acetabulum reconstruction.
There were 13 males and 8 females, aged 60-75 years (mean, 67.4 years). The left
hip was involved in 12 cases and the right hip in 9 cases, with a disease course
of 1-20 years (mean, 9.6 years). The causes were falling from height in 6 cases,
traffic accident in 9 cases, crushing in 4 cases, and others in 2 cases. Hip
pain, limited activity, and lameness were the main clinical symptoms.
Preoperative Harris score was 32.95+/-2.06 and visual analogue scale (VAS) was
8.14+/-0.73. RESULTS: Primary healing of incision was obtained in all patients.
The patients were followed up 1.9-6.4 years (mean, 4.6 years). The X-ray films
showed bone graft fusion at 4-24 months (mean, 12.5 months); there were no
complications of grafted bone absorption, osteolysis, and infection. During
follow-up, no prosthetic loosening or sinking, and no translucent zones around
the prosthesis were observed. Harris score was significantly improved to 87.67+/
2.01 at 1 week and 92.10+/-1.95 at 1 year; and VAS score was significantly
decreased to 2.57+/-0.81 at 1 week and 0.19 +/-0.51 at 1 year (P<0.05).
CONCLUSIONS: THA is an effective surgical treatment for old central dislocation
of the hip joint, because it can restore normal hip rotation center and
reconstruct the hip joint function.
PMID- 29786355
TI - [USE OF SMARTPHONE IN ACETABULAR COMPONENT ANGLE MEASUREMENT DURING TOTAL HIP
ARTHROPLASTY].
AB - OBJECTIVE: To evaluate the accuracy of using smartphone to measure the angle of
acetabular component in total hip arthroplasty (THA). METHODS: Between June 2012
and September 2015, the acetabular abduction and anteversion angles were measured
in 50 patients undergoing THA. There were 24 males and 26 females, aged 37 to 83
years (mean, 71 years). The left hip was involved in 22 cases and the right hip
in 28 cases. Of 50 patients, 34 suffered from fracture of the femoral neck, and
16 suffered from avascular necrosis of the femoral head. Acetabular dysplasia was
excluded in all cases. A smartphone was used to measure the acetabular abduction
and anteversion angles during operation; standard Picture Archiving and
Communication Systems (PACS) was used to measured the acetabular abduction and
anteversion angles on the X-ray film at 1 week after operation. It was defined as
positive that the component angle values by PACS measurement were greater than
those by the smartphone measurement, whereas as negative. The two measurement
methods were compared, and intra-observer variability was assessed by analyzing
the intraclass correlation coefficient (ICC), the Mann-Whitney U-test was used to
analyze difference. RESULTS: The ICC was 0.84 in the acetabular component angles
by smartphone and PACS measurement methods. The acetabular component abduction
angle was (44.02+/-1.33) degrees and the anteversion angle was (17.62+/-2.20)
degrees by smartphone measurement. The acetabular component abduction angle was
(44.74+/-4.05) degrees and the anteversion angle was (17.22+/-5.57) degrees by
PACS measurement. There was no significant difference between two measurement
methods (Z=-1.977, P=0.482; Z=-0.368, P=0.713). The acetabular component angle
was in the safe zone in 44 cases; and the acetabular component anteversion angle
was beyond safe range of 1 to 5 degrees , and the abduction angle was beyond safe
range of 1 to 3 degrees in 6 cases. Intra-measurement variability was -21 to +10
degrees for the anteversion angle and -10 to + 9 degrees for the abduction
angle, indicating that the acetabular component anteversion angle by smartphone
measurement was greater than that by the PACS measurement, and the abduction
angle was less than that by PACS measurement. CONCLUSIONS: Smartphone is a
convenient tool to measure the acetabular component angle in THA.
PMID- 29786356
TI - [EFFICACY OF SEQUENTIAL TREATMENT WITH ADDUCTOR CANAL NERVE BLOCK AND
CYCLOOXYGENASE 2 SELECTIVE INHIBITOR AFTER TOTAL KNEE ARTHROPLASTY].
AB - OBJECTIVE: To investigate the efficacy of sequential treatment with adductor
canal nerve block (ACNB) and cyclooxygenase 2 (COX-2) selective inhibitor
(parecoxib and celecoxib) after primary total knee arthroplasty (TKA). METHODS:
Between January 2015 and December 2015, 90 osteoarthritis patients who met the
inclusion criteria were treated, and randomly divided into 3 groups:ACNB+COX-2
group (group A, n=30), COX-2 group (group B, n=30), and control group (group C,
n=30). There was no significant difference in gender, age, body mass index, side,
and osteoarthritis duration between groups (P>0.05), and the data were
comparable. ACNB was used in group A at the end of TKA. Intravenous injection of
parecoxib (40 mg per 12 hours) was administrated at the first three postoperative
days, and followed by oral celecoxib (200 mg per 12 hours) until 6 weeks after
operation in groups A and B; while placebo was given at the same time point in
group C. Oral tramadol or intravenous morphine, as remedial measures, were
introduced when patients had a visual analogue scale (VAS) score more than 4. The
following indicators were compared between groups:the operative time, drainage
volume at 24 hours after operation, length of hospital stay, and incidence of
side effect; VAS pain scores, morphine consumption, range of motion (ROM) of the
knee joint, and inflammatory cytokines levels at pre-operation and at 1 day, 2
days, 3 days, 1 week, 2 weeks, 4 weeks, and 6 weeks after operation; morphine
consumption within first 24 hours and at 24 hours to 6 weeks after operation; the
American Hospital for Special Surgery (HSS) score at 1, 2, 4, and 6 weeks after
operation; and the serum coagulation parameters at pre-operation, and at 1, 3,
and 14 days after operation. RESULTS: The length of hospital stay was
significantly shorter and the incidence of postoperative nausea and vomiting was
significantly lower in groups A and B than group C (P<0.05). The VAS scores at
rest (VASR) of groups A and B were significantly lower than that of group C at 1,
2, and 3 days after operation (P<0.05); difference in the VAS scores at walking
(VASW) was significant between groups at 1 day after operation (P<0.05), and
group A had the lowest VASW; and the VASW of groups A and B were significantly
lower than that of group C at 2 and 3 days and at 1, 2, 4, and 6 weeks after
operation (P<0.05). The difference in morphine consumption was significant
between groups within the first 24 hours after operation (P<0.05), and group A
exhibited the lowest consumption; and the morphine consumption in groups A and B
was significantly lower than that in group C at 24 hours to 6 weeks after
operation (P<0.05). Significant difference was found in HSS scores between groups
at 1, 2, 4, and 6 weeks after operation, and group A showed the highest score
(P<0.05). At 1 and 2 days after operation, group A showed the highest ROM
(P<0.05), and ROM of groups A and B was significantly higher that of group C at 3
days, 1 week, 2 weeks, 4 weeks, and 6 weeks after operation (P<0.05). Groups A
and B were significantly lower than group C in the serum erythrocyte
sedimentation rate at 2 days, 3 days, 1 week, 2 weeks, 4 weeks, and 6 weeks, in C
reactive protein levels at 1, 2, and 3 days, in interleukin 6 (IL-6) and TNF
alpha levels at 1 day to 6 weeks, and in IL-8 level at 2 and 3 days (P<0.05). The
drainage volume within the first 24 hours and the serum coagulation parameters
within the 2 weeks after operation showed no significant difference between
groups (P>0.05). CONCLUSIONS: Sequential treatment with ACNB and COX-2 selective
inhibitor is a safe and effective approach for postoperative pain management
after primary TKA, and it can alleviate postoperative pain, promote the joint
function recovery, and reduce the risk of adverse reactions.
PMID- 29786357
TI - [MINIMALLY INVASIVE TREATMENT OF POSTERIOR CRUCIATE LIGAMENT AVULSION FRACTURE IN
A FLOPPY LATERAL POSITION].
AB - OBJECTIVE: To conclude the effectiveness of arthroscopy combined with Burks and
SchaVer's approach in the treatment of posterior cruciate ligament (PCL) avulsion
fractures in a floppy lateral position. METHODS: Between May 2010 and March 2014,
21 patients with PCL avulsion fractures were treated. There were 13 males and 8
females, aged 21 to 62 years (mean, 39.1 years). The causes included traffic
accident injury in 10 cases, sports injury in 5 cases, and falling injury from
height in 6 cases. The time from injury to hospital was 1-6 days (mean, 2.5
days). The results of posterior drawer test were all positive, and the results of
anterior drawer test and lateral stress test were all negative. The Lysholm score
was 28.0+/-5.5 before operation. And the American Orthopaedic Foot and Ankle
Society (IKDC) score was 46.2+/-7.6 before operation. According to Meyer
standards for fractures classification, 11 cases were rated as type II and 10
cases as type III. Arthroscopy was used to inspect and treat the intra-articular
lesions, then avulsion fracture was fixed by Burks and SchaVer's approach in
lateral position. Postoperative functional exercises were performed. RESULTS:
Primary healing of incision was obtained, without nerve and vascular injury or
joint infection. All patients were followed up 18-36 months (mean, 27.2 months).
The X-ray films of the knee joint showed good fractures reduction and healing at
3 months after operation. The results of posterior drawer test and reverse
Lachman test were negative. The knee range of motion was recovered to normal
level. At last follow-up, the Lysholm score of the knee joint was significantly
improved to 90.9+/-1.4 from preoperative one (t=54.584, P=0.000), and the IKDC
score was significantly increased to 90.5+/-5.3 from preoperative one (t=15.638,
P=0.000), including 19 cases of grade A and 2 cases of grade B. CONCLUSIONS: A
combination of arthroscopy and Burks and SchaVer's approach for the treatment of
PCL avulsion fractures in a floppy lateral position has the advantages of minimal
invasion and safe approach, short operative time, and early postoperative
rehabilitation exercises, so it can provide satisfactory function recovery of the
knee joint.
PMID- 29786358
TI - [MODIFIED POSTEROLATERAL COUNTER-CURVED INCISION WITH DOUBLE INTERMUSCULAR
APPROACH IN TREATING POSTEROLATERAL TIBIAL PLATEAU FRACTURES].
AB - OBJECTIVE: To evaluate the effectiveness of the modified posterolateral counter
curved incision with double intermuscular approach for the treatment of
posterolateral tibial plateau fractures. METHODS: A retrospective analysis was
made on the clinical data of 32 patients with posterolateral tibial plateau
fractures between September 2012 and October 2014. There were 22 males and 10
females, aged 19 to 55 years (mean, 40.5 years). The causes of injury included
traffic accident in 17 cases, falling from height in 9 cases, and falling in 6
cases. They had fresh closed fracture; injury to hospitalization time was 3 hours
to 5 days (mean, 2 days). According to Schatzker tibial plateau fracture
classification criteria, 20 cases were rated as type II, and 12 cases as type
III. All patients underwent a modified posterolateral counter-curved incision
with double intermuscular approach to expose tibial posterolateral condyle and
anterolateral condyle. After a good visual control of fracture reduction, the
anterolateral and posterolateral fractures were fixed with two-dimensional
buttress plate respectively. RESULTS: The incisions healed at stage I, with no
major neurovascular injury. According to radiological assessment of the DeCoster
score, the results were excellent in 21 cases, and fair in 11 cases. All of the
32 patients were followed up 18 to 30 months (mean, 20.5 months). The X-ray films
showed that all patients obtained good fracture union, and the mean time of
fracture union was 12.3 weeks (range, 10-16 weeks). No fixation failure or no
obvious loss of articular surface reduction was observed during follow-up. The
range of motion of the affected knees was 2-135 degrees (mean, 120 degrees ).
The mean American Hospital for Special Surgery (HSS) score was 90.05 (range, 83
96) at 18 months after operation. CONCLUSIONS: The modified posterolateral
counter-curved incision with double intermuscular approach could fully expose
posterolateral tibia plateau, and good fracture reduction and reliable fixation
can be obtained under direct vision.
PMID- 29786359
TI - [TREATMENT OF PRONATION EXTERNAL ROTATION ANKLE FRACTURE COMBINED WITH SEPARATION
OF DISTAL TIBIOFIBULAR SYNDESMOSIS].
AB - OBJECTIVE: To evaluate the difference between using and not using syndesmotic
screw to treat pronation external rotation (PER) ankle fracture combined with
separation of distal tibiofibular syndesmosis. METHODS: Between April 2011 and
October 2014, 46 cases of PER ankle fracture combined with separation of distal
tibiofibular syndesmosis were treated, and syndesmotic screw was used in 24 cases
(fixation group) and syndesmotic screw was not used in 22 cases (non-fixation
group). There was no significant difference in gender, age, weight, cause of
injury, side, injury to operation time, and fracture type between 2 groups
(P>0.05). The time for full weight-bearing, fracture healing time, and
complications were recorded after operation. Anteroposterior and lateral X-ray
films were taken to measure the tibiofibular overlap (TBOL) and tibiofibular
clear space (TBCS). Baird-Jackson score was used to evaluate functional recovery
of the ankle. RESULTS: All incision healed by first intention without
complications. The cases were followed up 13-18 months (mean, 15.2 months) in 2
groups. The time for full weight-bearing was 8-12 weeks (median, 11 weeks) in
fixation group, which was significantly later than that in non-fixation group
(range, 6-10 weeks; median, 8 weeks) (Z=-5.049, P=0.000). X-ray examination
showed reduction of separation of distal tibiofibular syndesmosis. All fractures
healed. The fracture healing time was (13.83+/-1.37) weeks in fixation group, and
was (13.91+/-1.31) weeks in non-fixation group, showing no significant difference
(t=-0.191, P=0.945). No separation of distal tibiofibular syndesmosis, delayed
union, nonunion, loosening, or breakage of fixation devices was observed in 2
groups. There was no significant difference in TBOL, TBCS, Baird-Jackson score
and the excellent and good rate between 2 groups (P>0.05). CONCLUSIONS: If the
medial, lateral, and posterior structures of the ankle could be repaired
according to injury, no significant influence on functional outcome of ankle or
radiologic findings could be detected whether syndesmotic fixation is given or
not in treating PER ankle fracture (exclude Maisonneuve fracture) combined with
separation of distal tibiofibular syndesmosis.
PMID- 29786360
TI - [CLINICAL APPLICATION OF AXIAL LOAD MECHANICAL TESTING IN REMOVING EXTERNAL
FIXATOR AFTER TIBIA AND FIBULA FRACTURES SURGERY].
AB - OBJECTIVE: To explore the efficacy and safety of the axial load mechanical
testing for removing external fixator. METHODS: Between January 2014 and August
2015, 27 patients with tibia and fibula fractures caused by trauma underwent an
external fixation. Of 27 patients, 21 were male and 6 were female with the
average age of 45 years (range, 19-63 years), including 7 cases of closed
fracture and 20 cases of open fracture. X-ray film results showed spiral unstable
fracture in 4 cases and comminuted unstable fracture in 23 cases. All patients
underwent an external fixation. Bone nonunion occurred in 3 cases because of
infection, and bone nonunion combined with bone defect occurred in 1 case, who
received tibial osteotomy lengthening surgery. When X-ray film showed continuity
high density callus formation at fracture site, axial load mechanical test was
performed. If the axial load ratio of external fixator was less than 10%, the
external fixator was removed. RESULTS: At 21-85 weeks after external fixation
(mean, 44 weeks), axial load mechanical test was performed. The results showed
that the axial load ratio of external fixation was less than 10% in 26 cases, and
the external fixator was removed; at 6 weeks after removal of external fixator,
the patients could endure full load and return to work, without re-fracture. The
axial load ratio was 14% in 1 case at 85 weeks, and the X-ray film result showed
that fracture did not completely heal with angular deformity; re-fracture
occurred after removing external fixator, and intramedullary fixation was used.
CONCLUSIONS: External fixator axial load mechanical testing may objectively
reveal and quantitatively evaluate fracture healing, so it is safe and reliable
to use for guiding the external fixator removal.
PMID- 29786361
TI - [POSTEROLATERAL AND POSTEROMEDIAL APPROACHES FOR TREATMENT OF POSTERIOR Pilon
FRACTURES IN ELDERLY PATIENTS].
AB - OBJECTIVE: To explore the clinical outcomes of open reduction and internal
fixation by posterolateral and posteromedial approaches for treating posterior
Pilon fractures in elderly patients. METHODS: Between August 2009 and August
2014, 20 elderly patients with posterior Pilon fractures were treated with open
reduction and internal fixation by posterolateral and posteromedial approaches.
There were 14 males and 6 females, aged from 66 to 83 years (mean, 72.7 years).
The causes were falling injury in 11 cases and traffic accident injury in 9
cases. All the patients had lateral malleolus and medial malleolus fractures. The
time from injury to operation was 7-14 days (mean, 8.6 days). The posterolateral
incision was made to expose the posterolateral bone fragments of posterior
malleolus and lateral malleolus fracture, and the posteromedial incision was made
to expose the posteromedial fracture fragments of posterior malleolus and medial
malleolus fracture. After reduction, fracture was fixed with locking plate or
cannulated screw. All the patients began to functional exercise at 1 day after
operation. RESULTS: The operation time was 60-110 minutes (mean, 92 minutes). The
incisions healed primarily in all patients. There were no complications of
incision dehiscence, infection, implant exposure, and nerve damage. No irritation
sign of tendon was observed. All 20 cases were followed up for 12-18 months
(mean, 13 months). The X-ray films showed that fracture healed at 3-9 months,
with an average of 5.2 months. During follow-up period, no loosening or breakage
of the implant was observed. The other patients could walk normally except 2
patients (over 80 years old) who could walk with crutch. According to American
Orthopaedic Foot and Ankle Society (AOFAS) ankle-hindfoot score, the results were
excellent in 12 cases, good in 4 cases, and fair in 4 cases; the excellent and
good rate was 80%. CONCLUSIONS: A combination of posterolateral approach and
posteromedial approach for open reduction and fixation of posterior Pilon
fractures can achieve satisfactory effect in elderly patients. It has the
advantages of protecting ankle blood supply and avoiding the soft tissue necrosis
and implants exposure.
PMID- 29786362
TI - [EFFECTIVENESS OF SHARP TEETH HOOK PLATE FOR TREATMENT OF OLECRANON FRACTURES].
AB - OBJECTIVE: To investigate the effectiveness of sharp teeth hook plate by cutting
for the treatment of olecranon fractures by comparison with Kirschner wire
tension belt and locking plate. METHODS: Between January 2011 and April 2015, 32
cases of olecranon fractures were treated. Fracture was fixed with sharp teeth
hook plate by cutting in 12 cases (trial group) and with Kirschner wire tension
belt or locking plate in 20 cases (control group). There was no significant
difference in gender, age, side and type of fracture, and time from injury to
operation between 2 groups (P>0.05). The healing time of fractures and
complications were recorded. At 1 year after operation, the subjective function
results were evaluated according to Disability of Arm, Shoulder, and Hand (DASH)
score, and objective function results by Mayo Elbow Score (MEPS); visual analogue
scale (VAS) was used for elbow joint pain, and range of motion of flexion and
extension of elbow joint was measured. RESULTS: All incisions healed by first
intention, with no vascular and nerve injuries. All patients were followed up 12
36 months with an average of 18 months. All fractures healed, and there was no
significant difference in the healing time between 2 groups (P>0.05). Loosening
of Kirschner wire occurred in 2 cases of control group, but no loosening of
internal fixation was observed in trial group after operation. There was no
significant difference in the incidence of complications between 2 groups
(P>0.05). The DASH, MEPS, VAS score, and range of motion of flexion in trial
group were superior to those in control group, showing significant differences
(P<0.05) at 1 year after operation. There was no significant difference in range
of motion of extension between 2 groups (P>0.05). CONCLUSIONS: Sharp teeth hook
plate for treatment of olecranon fractures overcomes the shortcomings that
Kirschner wire tension is easy to slide and locking plate has a compression
effect on triceps tendon, so it has good effectiveness.
PMID- 29786363
TI - [EXPRESSION AND SIGNIFICANCE OF HYPOXIA INDUCIBLE FACTOR 1alpha IN RAT MODEL OF
HETEROTOPIC OSSIFICATION AFTER Achilles TENOTOMY].
AB - OBJECTIVE: To explore the role and significance of hypoxia inducible factor
lalpha (HIF-lalpha) and hypoxia microenvironment in the pathogenesis of post
traumatic heterotopic ossification by detecting the expression of HIF-lalpha in
rat model of heterotopic ossification after Achilles tenotomy. METHODS: A total
of 140 male Sprague Dawley rats, aged 8-10 weeks, and weighing (210.1+/-10.6) g,
were randomly divided into experimental group (n=70) and control group (n=70). In
experimental group, the Achilles tendon was cut off and clamped to prepare post
traumatic heterotopic ossification model; in control group, only Achilles tendon
was exposed. The general condition of rats was observed after operation, and at
2, 3, 4, 5, 6, 7, 8, 10, 12, and 14 days after operation, the Achilles tendon
tissue was harvested from 6 rats for gross observation, histological observation,
and immunohistochemical staining observation, and real-time fluorescence
quantitative PCR and Western blot were used to detect the expressions of HIF
lalpha gene and protein at different time points in 2 groups. The X-ray films
were taken and histological examination was done at 10 weeks after operation to
evaluate the formation of heterotopic ossification. RESULTS: During the
experiment, 1 rat died in experimental group at 3 days after operation, and the
other rats survived to the end of the experiment. Gross and histological staining
showed that the Achilles tendon had no obvious change, with normal tendon
structure in control group at each time point. In experimental group, atrophy and
necrosis of Achilles tendon stump were observed, with infiltration of
inflammatory cells; and the hardness of Achilles tendon tissue gradually
increased with the time; there were a large number of irregular connective tissue
and cartilage cells. When compared with control group, the HIF-lalpha mRNA and
protein expressions were significantly increased in experimental group at each
time point (P<0.05). Immunohistochemical staining showed that HIF-lalpha was
positive in experimental group. According to the results of X-ray films and
histological examination at 10 weeks after operation, heterotopic ossification
was found in experimental group, but no heterotopic ossification in control
group. CONCLUSIONS: The expression of HIF-lalpha significantly increases at early
stage of post-traumatic heterotopic ossification after Achilles tenotomy,
suggesting that the local hypoxia microenvironment plays an important role in the
pathogenesis of heterotopic ossification.
PMID- 29786364
TI - [COMPARISON OF EFFECTIVENESS BETWEEN PERCUTANEOUS VERTEBROPLASTY AND PERCUTANEOUS
KYPHOPLASTY FOR TREATMENT OF OSTEOPOROTIC VERTEBRAL COMPRESSION FRACTURE WITH
INTRAVERTEBRAL VACUUM CLEFT].
AB - OBJECTIVE: To compare the clinical efficacy and safety between percutaneous
vertebroplasty (PVP) and percutaneous kyphoplasty (PKP) in the treatment of
osteoporotic vertebral compression fracture (OVCF) with intravertebral vacuum
cleft (IVC). METHODS: Between January 2010 and December 2013, 68 patients with
single OVCF and IVC were treated, and the clinical data were retrospectively
analyzed. Of 68 patients, 48 underwent PVP (PVP group) and 20 underwent PKP (PKP
group). There was no significant difference in age, gender, disease duration,
fracture level, bone mineral density (BMD), visual analogue scale (VAS), Oswestry
disability index (ODI), and preoperative radiological parameters between 2 groups
(P>0.05). The intraoperative incidence of cement leakage, cement volume, and
operative time were compared between 2 groups; VAS score was used for evaluation
of back pain and ODI for evaluation of dysfunction; the incidence of adjacent
vertebral fracture was observed within 2 years. The vertebral height and kyphotic
angle were measured on X-ray films; the rate of vertebral compression (CR),
reduction rate (RR), progressive height loss (PHL), reduction angle (RA), and
progressive angle (PA) were calculated. RESULTS: There was no significant
difference in cement volume and the incidence of cement leakage between 2 groups
(P>0.05). The operative time in PVP group was shorter than that in PKP group,
showing significant difference (t=-8.821, P=0.000). The mean follow-up time was
2.4 years (range, 2.0-3.1 years). The VAS scores and ODI were significantly
reduced at 1 day, 1 year, and 2 years after operation when compared with
preoperative scores (P<0.05), but there was no significant difference between
different time points after operation in 2 groups (P>0.05). Adjacent vertebral
fracture occurred in 5 cases (10.4%) of PVP group and in 2 cases (10.0%) of PKP
group, showing no significant difference (chi2=0.003, P=0.963). BMD was
significantly increased at 1 year and 2 years after operation when compared with
preoperative BMD (P<0.05), but no significant difference was found between 2
groups (t=0.463, P=0.642; t=0.465, P=0.646). The X-ray films showed that CR and
kyphotic angle were significantly restored at immediate after operation in 2
groups (P<0.05); but vertebral height and kyphotic angle gradually aggravated
with time, showing significant difference between at immediate and at 1 and 2
years after operation (P<0.05); there was no significant difference in CR and
kyphotic angle between 2 groups at each time point (P>0.05). RR, RA, PHL, and PA
showed no significant difference between 2 groups (P>0.05). CONCLUSIONS: There is
similar clinical and radiological efficacy between PVP and PKP for treatment of
OVCF with IVC. Re-collapse could happen after operation, so strict observation
and follow-up are needed.
PMID- 29786365
TI - [COMPARISON OF SHORT SEGMENTAL FIXATION WITH AND WITHOUT FUSION IN TREATMENT OF
THORACOLUMBAR BURST FRACTURE BY POSTERIOR APPROACH].
AB - OBJECTIVE: To compare the effectiveness of short segmental pedicle screw fixation
with and without fusion in the treatment of thoracolumbar burst fracture.
METHODS: A retrospective analysis was made on the clinical data of 57 patients
with single segment thoracolumbar burst fractures, who accorded with the
inclusion criteria between February 2012 and February 2014. The patients
underwent posterior short segmental pedicle screw fixation with fusion in 27
cases (fusion group) and without fusion in 30 cases (non-fusion group). There was
no significant difference in gender, age, cause of injury, time between injury
and admission, fracture segment and classification, and neurologic function
America Spinal Injury Association (ASIA) classification between 2 groups, which
had the comparability (P>0.05). The operative time, blood loss, and
hospitalization days were compared between 2 groups. The height of the injured
vertebra, the kyphotic angle, and the range of motion (ROM) were measured on the
X-ray film. The functional outcomes were evaluated by using the Greenough low
back outcome score and the visual analogue scale (VAS) for back pain. The
neurologic functional recovery was assessed by ASIA grade. RESULTS: The operative
time was significantly shortened and the blood loss was significantly reduced in
the non-fusion group when compared with the fusion group (P<0.05), but no
significant difference was found in hospitalization days between 2 groups
(P>0.05). The patients were followed up for 2.0-3.5 years (mean, 3.17 years) in
the fusion group and for 2-4 years (mean, 3.23 years) in the non-fusion group. X
ray films showed that 2 cases failed bone graft fusion, the fusion time was 12-17
weeks (mean, 15.6 weeks) in the other 25 cases. Complication occurred in 2 cases
of the fusion group (1 case of incision deep infection and 1 case of hematoma at
iliac bone donor site) and in 1 case of the non-fusion group (fat liquefaction);
primary healing of incision was obtained in the others. The Cobb angle, the
height of injured vertebrae showed no significant difference between 2 groups at
pre-operation, immediate after operation, and last follow-up (P>0.05). The ROM of
injured vertebrae showed no significant difference between 2 groups at 1 year
after operation (before implants were removed) (P>0.05). The implants were
removed at 1 year after operation in all cases of the non-fusion group, and in 11
cases of the fusion group. At last follow-up, the ROM of injured vertebrae in the
non-fusion group was significantly higher than that in the fusion group (P<0.05),
but no significant difference was found in Greenough low-back outcome score, VAS
score, and ASIA grade between 2 groups (P>0.05). CONCLUSIONS: Fusion is not
necessary when thoracolumbar burst fracture is treated by posterior short
segmental pedicle screw fixation, which can preserve regional segmental motion,
shorten the operative time, decrease blood loss, and eliminate bone graft donor
site complications.
PMID- 29786366
TI - [POSTEROLATERAL FUSION AND PEDICLE SCREW FIXATION FOR TREATING OLD THORACOLUMBAR
FRACTURE COMBINED WITH KYPHOSIS IN ELDERLY PATIENTS].
AB - OBJECTIVE: To investigate the clinical efficacy and the indications of
posterolateral fusion and pedicle screw short-segment fixation via injured
vertebra for treating old thoracolumbar fracture combined with kyphosis in
elderly patients. METHODS: Between January 2012 and December 2014, 24 patients
with old thoracolumbar fracture and kyphosis received posterolateral fusion and
pedicle screw short-segment fixation via injured vertebra. Of 24 cases, 8 were
male and 16 were female with an average age of 66.3 years (range, 56-79 years).
The mean disease course was 17.5 months (range, 5-36 months). There were 13 cases
of osteoporosis, 9 cases of osteopenia, and 2 cases of normal bone. The visual
analogue scale (VAS) was 6.53+/-0.95, and Oswestry disability index (ODI) was
52.63%+/-5.74% preoperatively. The thoracolumbar kyphosis located at T10 to L2,
and the kyphotic Cobb angle was (28.79+/-5.04) degrees before operation.
RESULTS: The operation was completed successfully without related complications.
The operative time was 1.2-2.3 hours (mean, 1.6 hours), and intraoperative blood
loss was 80-210 mL (mean, 158 mL). No nerve injury occurred. Poor healing of
incision was observed in 1 patient with diabetes, and primary healing of incision
was obtained in the other patients. Nineteen patients were followed up 6-30
months (mean, 14.4 months), and there were 2 deaths. Pain relief and function
recovery were obtained in 19 patients after operation. The VAS score and ODI were
significantly decreased to 2.4+/-0.7 and 32.14%+/-5.12% at last follow-up
(t=8.542, P=0.000; t=9.826, P=0.000). The kyphotic Cobb angle was significantly
decreased to (21.23+/-4.30) degrees at immediate after operation (P<0.05) and to
(23.68+/-4.35) degrees at last follow-up (P<0.05), but no significant difference
was found between at immediate and last follow-up (P>0.05). No loosening or
breakage of internal fixation was observed during follow-up. CONCLUSIONS:
Posterolateral fusion and pedicle screw short-segment fixation via injured
vertebra is a safe and effective treatment for elderly patients with old
thoracolumbar fracture combined with kyphosis (Cobb angle less than 40 degrees ).
PMID- 29786367
TI - [V-Y ADVANCEMENT OF MEDIAL GASTROCNEMIUS MUSCLE FLAP FOR REPAIRING SOFT TISSUE
DEFECTS IN MIDDLE AND LOWER SEGMENTS OF ANTERIOR TIBIA].
AB - OBJECTIVE: To investigate the effectiveness of V-Y advanced medial gastrocnemius
muscle flap to repair soft tissue defects in the middle and lower segments of the
anterior tibia. METHODS: Between March 2008 and March 2014, 8 patients with skin
and soft tissue defects of the anterior tibia were treated, including 6 males and
2 females with an average age of 36.2 years (range, 28-47 years). The soft tissue
defects located at the left leg in 5 cases and at the right leg in 3 cases. The
causes included traffic accident injury in 6 cases, and heavy pound injury in 2
cases. Three cases had simple soft tissue defects, and the disease course was 5
hours, 6 days, and 14 days, respectively. Five cases had soft tissue defects and
fractures, including 1 case of Pilon fracture, and 4 cases of middle and distal
tibial fracture; open reduction and internal fixation were performed in 3 cases,
the implementation of external fixation in 2 cases; 1 case had chronic
osteomyelitis at 11 months after operation, and 4 cases had skin necrosis and
wound infection at 1 to 2 weeks after operation; the duration was 1-12 months
(mean, 3.4 months). The skin and soft tissue defect area was 5.2 cm*2.5 cm to
13.0 cm*5.5 cm. Debridement was given, and vacuum sealing drainage was used in 6
cases, and then V-Y advancement of medial gastrocnemius muscle flap was used to
cover the wound. Because of light wound contamination, the wound was repaired by
the flap after emergency debridement in 1 case; 1 patient with osteomyelitis
underwent flap repair at immediate after sensitive antibiotics use and
debridement. The size of medial gastrocnemius muscle flaps ranged from 15 cm*6 cm
to 26 cm*15 cm. The donor site was sutured in 3 cases or repaired with skin graft
in 5 cases. RESULTS: One case had tension blisters in the distal flap, which was
cured after symptomatic treatment. The flap and skin graft survived, and primary
healing was obtained in the other cases. Seven patients were followed up 6-18
months (mean, 9 months). The texture and appearance of the flaps were
satisfactory. At 6 months after operation, two-point discrimination ranged from
12 to 18 mm (mean, 16 mm). The plantar flexion was weaker than that of normal
side, but the patients could normally walk and had normal gait. CONCLUSIONS: The
V-Y advancement of medial gastrocnemius muscle flap is recommendable to repair
soft tissue defects in the middle and lower segments of the anterior tibia for
the advantages of reliable blood supply, simple operation, high survival rate of
the flap, and satisfactory appearance.
PMID- 29786368
TI - [SURGICAL TREATMENT OF CONGENITAL TYPE V THUMB SYNDACTYLY].
AB - OBJECTIVE: To study the effectiveness of surgical treatment of congenital type V
thumb syndactyly. METHODS: Between March 2010 and May 2015, 12 cases of
congenital type V thumb syndactyly were treated. There were 7 males and 5
females, aged from 1 to 25 years (mean, 8 years). The right thumb was involved in
8 cases, and the left thumb in 4 cases. There were 2 cases of radial type, and 10
cases of ulnar type. The basement of polydactylism was far away from the
carpometacarpal joint in 7 cases, and was close to the carpometacarpal joint in 5
cases (slight ulnar deviation in 1 case). X-ray films showed that the main first
thumb metacarpal bone and trapezium fitted well, and 2 cases had the first
metacarpal bone deformity. Preoperative individualized treatment plan was made,
and polydactylism was excised by the "S" or "Z" incision and simultaneous
reconstruction of thenar muscle insertions or adductor muscle insertions was
performed; if necessary, wedge osteotomy was used for correction. RESULTS: All
incisions healed by first intention with no complication. All cases were followed
up 6 to 24 months (mean, 12 months). The thumb appearance, flexion and extension,
the function of opposition, abduction function were improved significantly in 11
cases with no scar contracture deformity, small first web space, and deviation
deformity. One case had slightly narrow first web space. According to hand
function criterion, the results were excellent in 10 cases, good in 1 case, poor
in 1 case; excellent and good rate was 91.7%. CONCLUSIONS: Based on the condition
of the type V thumb syndactyly, the individualized treatment plan is made, which
can better restore the shape and function of the thumb.
PMID- 29786369
TI - [COMBINED THREE OPERATIONS FOR ONE STAGE DEFECTS REPAIR AFTER RESECTION OF LARGE
XANTHELASMA PALPEBRARUM WITH EPICANTHUS].
AB - OBJECTIVE: To investigate the effectiveness of combined three operations (rotated
total upper eyelid skin flap, construction of double eyelid, and "Z" flap
epicanthal plasty) for one stage defect repair after resection of xanthelasma
palpebrarum with epicanthus. METHODS: Between December 2013 and December 2015, 12
female patients with large xanthelasma palpebrarum and epicanthus underwent
rotated total upper eyelid skin flap, construction of double eyelid, and "Z" flap
epicanthal plasty for one stage defect repair. The age ranged from 36 to 59 years
(mean, 43 years). The course of disease was 3 to 16 years, with an average of 11
years. The initial resection was performed in 6 cases, second resection of
residual xanthelasma palpebrarum in 4 cases, and 2 cases had recurrence after
resection. The maximum diameter of xanthelasma palpebrarum was 0.5-1.3 cm (mean,
1.0 cm). According to CHE Junmin et al criterion, epicanthus was rated as mild in
7 cases, moderate in 3 cases, and severe in 2 cases. The blood lipid level was in
normal range. RESULTS: Primary healing of incision was obtained, and the flaps
survived in all patients; no complication occurred. Scar hyperplasia was found in
4 cases at 1 month after operation, and the comprehensive treatment of scar was
performed. All patients were followed up for 3 months to 2 years, with an average
of 1.5 years. Double eyelid effects were good, and no xanthelasma palpebrarum
recurred. CONCLUSIONS: A combination of rotated total upper eyelid skin flap,
construction of double eyelid, and "Z" flap epicanthal plasty is an effective
operative procedure to repair defect after resection of xanthelasma palpebrarum
with epicanthus; and better curve of double eyelid, better shape of endocanthion,
and less tension of flap can be got.
PMID- 29786370
TI - [CLITOROPLASTY FOR GENITAL VIRILIZATION IN GIRLS WITH CONGENITAL ADRENAL
HYPERPLASIA].
AB - OBJECTIVE: To investigate the effectiveness of clitoroplasty of reserving the
clitoris dorsal neurovascular bundle and the ventral complete urethral plate for
genital virilization in girls with congenital adrenal hyperplasia (CAH). METHODS:
Between June 2000 and October 2015, 27 girls with genital virilization were
treated with clitoroplasty of reserving the clitoris dorsal neurovascular bundle
and the ventral complete urethral plate. The chromosomal karyotype was 46, XX in
all patients, whose age ranged from 3 to 11 years (mean, 3.9 years). According to
Parder's virilization scale, 5 cases were classified as stage II, 18 cases as
stage III, and 4 cases as stage IV. The hormone tests showed decreased cortisol
level and increased testosterone and 17-hydroxyprogesterone levels. They were
diagnosed with non-salt-wasting CAH. RESULTS: All of the patients underwent
clitoroplasty successfully. The mean operation time was 74 minutes (range, 58-95
minutes). Incision healed primarily. The patients were followed up 6 months to 10
years (median, 19 months). The external genitalia had good appearance, without
necrosis of flap and the glans clitoris, abnormal sensation of the glans
clitoris, or clitorism recurrence. Five girls had breast development and normal
menstrual cycle during adolescence, and 1 patient who was followed up for 10
years gave birth to a son. CONCLUSIONS: Clitoroplasty of reserving the clitoris
dorsal neurovascular bundle and the ventral complete urethral plate is a
relatively ideal method for treating genital virilization in girls. It has less
complications, good aesthetic and functional results. There are satisfactory
outcomes when combining with the endocrine treatment.
PMID- 29786371
TI - [ESTABLISHING AN ANIMAL MODEL OF DEFECATION RECONSTRUCTION AFTER SPINAL CORD
INJURY IN RATS BY MECHANICAL POLISHING METHOD].
AB - OBJECTIVE: To study the feasibility and advantages of preparing an animal model
of defecation reconstruction after spinal cord injury in rats by mechanical
polishing method. METHODS: Forty adult female Sprague Dawley rats (weighing, 250
300 g) were randomly divided into 2 groups (n=20). The lamina was opened by
mechanical polishing method to expose the cauda equina in experimental group,
then bilateral L5 and S1 nerve roots end-to-end anastomosis was done under 10
times microscope, and finally cauda equina between the L5 and L6 (except S1) was
cut. The lamina was opened by traditional bites method in control group, and the
other treatment methods were in agreement with the experimental group. The
operative time, intra-operative blood loss, and situation of rats at
postoperative 3 days were recorded. RESULTS: The operative time of experimental
group[(93.05+/-7.60) minutes] was significantly shorter than that in control
group[(131.30+/-11.68) minutes] (t=12.279, P=0.000); intra-operative blood loss
in experimental group[(4.33+/-0.46) mL] was significantly lower than that in
control group[(7.36+/-0.58) mL] (t=18.293, P=0.000). At 3 days after operation,
18 rats (90%) survived in experimental group, and 12 rats (60%) survived in
control group; difference was significant in the survival rate between 2 groups
(chi2=4.800, P=0.028). CONCLUSIONS: To establish an animal model of defecation
reconstruction after spinal cord injury in rats by mechanical polishing method is
feasible, and it has shorter operative time, less blood loss, and lower
postoperative mortality than the traditional bites method. But there is a certain
learning curve and requirement to master microsurgical techniques.
PMID- 29786372
TI - [EXPRESSION AND SIGNIFICANCE OF PEROXISOME PROLIFERATOR ACTIVATED RECEPTOR gamma
IN KELOID].
AB - OBJECTIVE: To investigate the expression and significance of peroxisome
proliferator activated receptor gamma(PPAR-gamma) in human keloid. METHODS:
Twenty-three keloid samples were harvested from the patients undergoing keloid
and auto-skin grafting operation as the experimental group (keloid group), and
the residual normal skin after auto-skin grafting operation was collected as the
control group. The expression of PPAR-gamma protein was examined by
immunohistochemistry staining in both keloid and normal skin. Referring to
Shimizu immunohistochemical standard, the result was graded; the positive rate of
samples and the rate of positive cells were calculated. RESULTS:
Immunohistochemistry staining showed that PPAR-gamma protein was expressed in
both keloid and normal skin. In keloid, it located in the pricle cell layer, and
granular layer of epidermis, and the dermal vessel; the degree of dyeing was very
light. However, in normal skin, it located in the base layer of epidermis, dermal
vessel walls, sweat glands and sebaceous glands; the dyeing degree was deeper.
Immunohistochemical staining score in the keloid group (2.65+/-0.78) was
significantly lower than that in the control group (3.65+/-1.19) (t=5.030,
P=0.000). The positive rate of samples in the keloid group (52.17%, 12/23) was
significantly lower than that in the control group (82.61%, 19/23) (chi2=4.847,
P=0.028). The rate of positive cells was 46.04%+/-8.61% in the keloid group,
which was significantly lower than that in the control group (59.39%+/-11.26%)
(t=5.974, P=0.000). CONCLUSIONS: Compared with normal skin, the expression of
PPAR-gamma protein in keloid is down-regulated in in human keloid, indicating
that PPAR-gamma may be related to the formation of keloid.
PMID- 29786373
TI - [EFFECT OF ACTIVED RAW264.7 INDUCED BY H2O2 ON MIGRATION, PROLIFERATION AND
OSTEOGENESIS GENE EXPRESSION OF MC3T3-E1].
AB - OBJECTIVE: To explore the effect of H2O2-actived RAW264.7 macrophages on the
migration, proliferation, and osteogenesis gene expression of MC3T3-E1 in mice.
METHODS: MC3T3-E1 cells and RAW264.7 cells were cultured to the 7th generation.
RAW264.7 macrophages were stimulated with 0, 25, 50 and 100 MUmol/L H2O2, the
cell proliferation rate was detected by MTS at 1, 3, and 6 hours after
stimulated, and superoxide dismutase (SOD) content by SOD assay kit at 1 hour
after stimulated. The appropriate concentration and action time of H2O2-actived
RAW264.7 were obtained. The supernatant of RAW264.7 macrophages stimulated by
H2O2 or not was collected at 24 hours. Then, the supernatant was used to culture
MC3T3-E1 cells in groups B (not stimulated by H2O2) and C (stimulated by H2O2),
and DMEM was used as a control in group A. The migration of MC3T3-E1 cells was
detected at 12 and 24 hours by cell scratch test, the proliferation of MC3T3-E1
cells at 24, 48, and 72 hours by MTS assay. MC3T3-E1 cells were cultured with
only complete medium in blank control group, with complete medium containing 50
MUg/mL vitamin C + 10 nmol/L beta sodium glycerophosphate in positive group,
normal control group (adding the supernatant not stimulated by H2O2), and
experimental group (adding the supernatant stimulated by H2O2). At 3, 7, and 14
days, RT-PCR was used to determine the osteogenesis related mRNA expressions of
alkaline phosphatase (ALP), Runx2, osteopontin (OPN), osteocalcin (OC), bone
sialoprotein (BSP), and collagen type I (COL-I). RESULTS: The results of MTS and
SOD assay showed that the appropriate concentration and action time of H2O2
actived RAW264.7 macrophages were 25 MUmol/L and 1 hour, respectively. MTS assay
showed that the proliferation rate of MC3T3-E1 cells was significant higher in
groups B and C than group A (P<0.05), in group B than group C, and significant
difference was shown between groups at 2 and 3 days (P<0.05). The cell scratch
test indicated that the migration of MC3T3-E1 cells was significantly faster in
groups B and C than group A, and in group C than group B at 12 hours (P<0.05);
many migrated cells were observed in all scratch sites of groups B and C at 24
hours. When compared with positive control group, the mRNA expressions of ALP,
Runx2, OC and BSP in experimental group were significantly down-regulated at 7
and 14 days (P<0.05). When compared blank control group, the mRNA expressions of
OPN and COL-I in experimental group were significantly down-regulated at 7 and 14
days (P<0.05). CONCLUSIONS: The appropriate concentration and action time of H2O2
actived RAW264.7 macrophages are 25 MUmol/L and 1 hour. The H2O2-actived RAW264.7
cells can promote MC3T3-E1 cells migration, and suppress MC3T3-E1 cells
proliferation and expressions of osteogenesis related genes.
PMID- 29786375
TI - [RESEARCH PROGRESS OF THREE-DIMENSIONAL PRINTING TECHNIQUE FOR SPINAL IMPLANTS].
AB - OBJECTIVE: To summarize the current research progress of three-dimensional (3D)
printing technique for spinal implants manufacture. METHODS: The recent original
literature concerning technology, materials, process, clinical applications, and
development direction of 3D printing technique in spinal implants was reviewed
and analyzed. RESULTS: At present, 3D printing technologies used to manufacture
spinal implants include selective laser sintering, selective laser melting, and
electron beam melting. Titanium and its alloys are mainly used. 3D printing
spinal implants manufactured by the above materials and technology have been
successfully used in clinical. But the problems regarding safety, related
complications, cost-benefit analysis, efficacy compared with traditional spinal
implants, and the lack of relevant policies and regulations remain to be solved.
CONCLUSIONS: 3D printing technique is able to provide individual and customized
spinal implants for patients, which is helpful for the clinicians to perform
operations much more accurately and safely. With the rapid development of 3D
printing technology and new materials, more and more 3D printing spinal implants
will be developed and used clinically.
PMID- 29786374
TI - [EXPERIMENTAL STUDY ON LENTIVIRUS-MEDIATED MULTI-GENES CO-TRANSFECTION IN BONE
MARROW MESENCHYMAL STEM CELLS FOR TREATMENT OF KNEE OSTEOARTHRITIS IN CYNOMOLGUS
MONKEY].
AB - OBJECTIVE: To observe the effect of lentivirus-mediated cyclooxygenase 2 (COX-2)
and Aggrecanase-1 silencing and insulin-like growth factor 1 (IGF-1) in BMSCs
after injecting into the knee joint cavity in cynomolgus monkeys with knee
osteoarthritis (OA). METHODS: BMSCs were isolated from the bone marrow of 10
donors. The lentivirus vector expressing genes of COX-2, Aggrecanase-1, and IGF-1
were constructed, and transfected into the third generation human BMSCs at 40
multiplicity of infection (virus group); BMSCs transfected with lentivirus-empty
vector served as blank-virus group. The growth status and number of BMSCs were
observed under inverted phase contrast microscope, and normal BMSCs were used as
normal control group. At 1 week after transfected, the mRNA expressions of COX-2,
Aggrecanase-1, and IGF-1 were detected with RT-PCR. Nine 3-year-old cynomolgus
monkeys were selected to establish the OA model according to Hulth modeling
method, and were randomly divided into 3 groups (n=3). At 6 weeks after
remodeling, the right knee joint cavity was injected accordingly with 1 mL BMSCs
(about 1*107 cells) in virus group and blank-virus group, with 1 mL of normal
saline in the blank control group; the left knee served as normal controls. The
general condition was observed after injection; at 1, 4, and 6 weeks, the
concentrations of prostaglandin E2 (PGE2), IL-1, Aggrecanase-1, and IGF-1 of
double knee liquid were detected with ELISA; at 6 weeks, MRI, general
observation, histology method, and immunohistochemistry method were used to
detect the knee cartilage changes and the expressions of COX-2, Aggrecanase-1,
and IGF-1 were measured with RT-PCR. RESULTS: No significant difference was found
in cell morphology and growth curve between 2 groups after transfection. By RT
PCR, COX-2, and Aggrecanase-1 expressions were significantly reduced, IGF-1
expression was significantly increased in virus group when compared with normal
control group and the blank-virus group (P<0.05). All monkeys survived to the end
of the experiment after injection. When compared with blank-virus group and blank
control group, the concentrations of PGE2, Aggrecanase-1, and IL-1 significantly
decreased and the concentration of IGF-1 significantly increased in the virus
group (P<0.05), but the indicators in 3 groups were significantly higher than
those in the normal control group (P<0.05). MRI showed that abnormal articular
surface with high density could be found in virus group, blank-virus group, and
blank control group, while the virus group had the minimum area. Gross
observation and histological observation showed that the cartilage morphology of
virus group, blank-virus group, and blank control group was accordance with early
OA articular cartilage changes, but virus group was better than blank-virus group
and blank control group in repair degree, whose improved Pineda score was
significantly lower (P<0.05). Immunohistochemical staining showed that the virus
group had deeper dyeing with occasional brown particles and more chondrocytes
than blank-virus group and blank control group. By RT-PCR, COX-2 and Aggrecanase
1 mRNA expressions of cartilage in virus group were significantly decreased, and
IGF-1 expression was significantly increased when compared with blank control
group and the blank-virus group (P<0.05). CONCLUSIONS: Lentivirus-mediated multi
genes co-transfection in BMSCs can inhibit the expressions of COX-2 mRNA and
Aggrecanase-1 mRNA, and enhance the IGF-1 mRNA expression, which decreases the
concentration of inflammatory factors, and protects the joint cartilage
effectively.
PMID- 29786376
TI - [RESEARCH PROGRESS OF SURGICAL PROCEDURES FOR CUBITAL TUNNEL SYNDROME].
AB - OBJECTIVE: To summarize the clinical research progress of surgical procedures for
cubital tunnel syndrome. METHODS: The related literature on surgical procedures
for cubital tunnel syndrome was summarized and analyzed. RESULTS: Multiple
surgical procedures have been applied to treat cubital tunnel syndrome, including
simple decompression, subcutaneous transposition, submuscular transposition,
medial epicondylectomy, intramuscular transposition, and ulnar groove plasty.
Each procedure has its own advantages and disadvantages. With the development of
minimally invasive surgical technique, endoscope-assisted surgery has been
gradually applied to treat cubital tunnel syndrome. CONCLUSIONS: Optimal surgical
procedure remains controversial and individualized treatment decision based on
patient's clinical conditions is recommended.
PMID- 29786377
TI - [RESEARCH PROGRESS OF SUPERCAPSULAR PERCUTANEOUSLY ASSISTED TOTAL HIP IN
MINIMALLY INVASIVE TOTAL HIP ARTHROPLASTY].
AB - OBJECTIVE: To summarize the research progress of Supercapsular percutaneously
assisted total hip (SuperPath) in minimally invasive total hip arthroplasty
(THA). METHODS: The related literature on SuperPath in terms of its history,
indications, contraindications, surgical technique, and curative effect was
summarized and analyzed. RESULTS: SuperPath for minimally invasive THA is an
approach that makes use of the interval between the gluteus medius and
piriformis, it can eliminate the need to cut through any muscle and tendon. In
comparison to conventional surgery, the SuperPath has the advantages of less soft
tissue injury, quicker post-operative recovery, shorter hospitalization days, and
the short-term curative effect is good. But there are also some disadvantages of
narrower indications, need of special equipment and higher prosthesis
malposition. CONCLUSIONS: The SuperPath can reduce surgical trauma and the risk
of postoperative dislocation and other complications, so the purpose of early
rapid rehabilitation is achieved. However, the time of its clinical application
is still short, so the long-term effectiveness and related complications should
be further observed.
PMID- 29786378
TI - [RESEARCH PROGRESS OF PATHOGENESIS MECHANISM OF SPINAL DEFORMITY IN
NEUROFIBROMATOSIS TYPE 1].
AB - OBJECTIVE: To review the research progress of pathogenesis mechanism of spinal
deformity in neurofibromatosis type 1 (NF1). METHODS: Recent literature
concerning the pathogenesis mechanism of spinal deformity in NF1 was extensively
reviewed, and current developments of the correction of spinal deformity and NF1
and the pathogenesis mechanism were summarized. RESULTS: The pathogenesis
mechanism of spinal deformity in NF1 is not yet clearly known. Current theories
include erosion and stress of neurofibromas, melatonin-related decreased
contractility of paraspinal muscles, osteopenia and osteoporosis, sexual
precocity and mesoderm dysplasia. CONCLUSIONS: The clinical manifestations of NF1
may cause the spinal deformities in patients with NF1. The research of
pathogenesis mechanism of spinal deformity in NF1 will be conducive to further
understanding, diagnosis and treatment of NF1-related spinal deformity.
PMID- 29786379
TI - [FEASIBILITY AND SHORT-TERM EFFECTIVENESS ANALYSIS OF MODIFIED RADICAL RESECTION
AND RECONSTRUCTION SURGERY FOR MALIGNANT PROXIMAL HUMERUS TUMOR].
AB - OBJECTIVE: To explore the feasibility and short-term effectiveness of the
modified radical resection and reconstruction in the treatment of malignant
proximal humerus tumor. METHODS: The relevant anatomic data from 30 normal adult
shoulder joint MRI were measured to analyze the feasibility of modified radical
resection and reconstruction surgery in the treatment of malignant proximal
humerus tumor. Five patients with malignant proximal humerus tumor were treated
by using the modified radical resection and reconstruction surgery between March
2012 and January 2016. There were 1 male and 4 females, aged from 9 to 69 years
(median, 46 years). There were 4 cases of osteosarcoma (Enneking IIA in 2 cases
and Enneking IIB in 2 cases) and 1 case of metastatic carcinoma (moderately
differentiated adenocarcinoma). The disease duration was 7 to 12 months (mean, 9
months). Recurrence of tumor was observed after operation, and the shoulder
function was assessed according to Enneking skeletal muscle tumor function
scoring system. RESULTS: Radiographic results showed that modified radical
resection and reconstruction surgery was feasible, which was in allowable range
of the maximum longitudinal diameter (<29.8 mm) and depth (<4 mm). The operation
was successfully completed in all 5 cases, and pathological examination suggested
that purposes of radical resection had achieved. All patients were followed up 3
to 49 months (mean, 15.6 months). One patient had local recurrence at 12 months
after operation, and a shoulder joint amputation was performed; the other 4
patients had good prosthesis survival. At last follow-up, the function of the
shoulder joint was obviously recovered when compared with preoperative function;
Enneking's skeletal muscle tumor function score was 25.8 points (range, 24 to 27
points). CONCLUSIONS: Modified radical resection and reconstruction surgery is
feasible for the treatment of proximal humerus tumor, and it can maintain a good
early shoulder function.
PMID- 29786380
TI - [LONG-TERM EFFECTIVENESS OF TRANSPIANTATION OF ILIAC BONE FLAP PEDICLED WITH DEEP
ILIAC CIRCUMFLEX VESSELS FOR AVASCULAR NECROSIS OF FEMORAL HEAD AT STAGE II AND
III].
AB - OBJECTIVE: To assess the long-term effectiveness of the transplantation of iliac
bone flap pedicled with deep iliac circumflex vessels for treating avascular
necrosis of femoral head (ANFH) at Ficat stage II and III. METHODS: Thirty-two
cases (43 hips) of ANFH underwent iliac bone flap transplantation pedicled with
deep iliac circumflex vessels between October 2000 and February 2006, and the
clinical data were retrospectively reviewed. Of 32 cases, 27 were male (38 hips),
and 5 were female (5 hips), aged 21-52 years (mean, 36.6 years); there were 8
cases (11 hips) of hormone ANFH, 18 cases (23 hips) of alcoholic ANFH, and 6
cases (9 hips) of idiopathic ANFH. The disease duration ranged from 2-52 months
(mean, 8.2 months). According to Ficat staging criteria, 26 hips were classified
as stage II and 17 hips as stage III. The preoperative Harris hip score (HSS) was
68.2+/-8.4. The HHS scores and X-ray photograph were compared between at pre- and
post-operation to assess the outcomes clinically and radiologically. RESULTS: All
incisions healed by first intention. Two cases had numbness of the lateral
femoral skin. Four patients (6 hips) failed to be followed up, and the other 28
cases were followed up 98-187 months (mean, 129.3 months). Five patients (6 hips)
showed aggravation or no relief with progression to stage IV at 8-69 months, who
received total hip arthroplasty. The 10-year survival rate was 83.78% (31/37).
The HHS score was significantly increased to 86.7+/-9.0 at last follow-up (t=
48.313, P=0.000). The hip function was excellent in 9 hips, good in 13 hips, and
fair in 9 hips, and the success rate was 75.68%. Radiographic examination showed
signs of bone remodeling at 6-8 weeks. After bone healing, the femoral head
density gradually became uniform. Until last follow-up, ANFH progressed from
Ficat stage II to III in 5 hips, from Ficat stage II to IV in 3 hips, and from
Ficat stage III to IV in 3 hips; complete hip shape, continuous Shenton line, and
normal joint space were observed in the other 26 hips, and the radiographic
success rate was 70.27% (26/37). CONCLUSIONS: The pedicled iliac bone flap
transplantation for ANFH at Ficat stage II and III can provide good osteogenesis
and vascular reconstruction of the femoral head. The long-term follow-up
effectiveness is satisfactory.
PMID- 29786381
TI - [EARLY EFFECTIVENESS COMPARISON OF HEMIARTHROPLASTY BY MINIMAL INVASIVE Supercap
APPROACH AND BY CONVENTIONAL APPROACH FOR ELDERLY FEMORAL NECK FRACTURE].
AB - OBJECTIVE: To compare the early clinical and radiographic outcomes of
hemiarthroplasty by a minimal invasive Supercap approach and by a conventional
approach for elderly displaced femoral neck fractures. METHODS: Between January
and June 2015, 70 geriatric patients with displaced femoral neck fracture
underwent cementless bipolar hemiarthroplasty by minimally invasive Supercap
approach (group A, n=35) or by posterolateral approach (group B, n=35). One
patient was excluded from the study in group B because of too wide femur cavity.
There was no significant difference in gender, age, body mass index, fracture
cause, time from fracture to operation, fracture side, fracture classification,
and preoperative visual analogue scale (VAS), and hemoglobin-level between the 2
groups (P>0.05). The operation time, intraoperative blood loss, incision length,
and complications were recorded. The early clinical evaluations included timed up
and go test (TUG), hemoglobin-level, VAS score, and satisfaction. The
anteroposterior and lateral X-ray films were taken to measure the stem alignment,
difference in leg length, and difference in femoral offset. RESULTS: All the
patients were followed up 6-11 months (mean, 7.32 months). No patients died
during follow-up. There was no significant difference in operation time and
intraoperative blood loss between the 2 groups (P>0.05). The incision length of
group A was significantly smaller than that of group B (P<0.05). One patient had
delayed union of incision in group A (2.86%); 2 patients had deep vein thrombosis
in group B (5.88%); and there was no significant difference in the complication
rate (chi2=0.764, P=0.512). The postoperative hemoglobin level showed no
significant difference between the 2 groups (P>0.05). Group A had lower VAS score
and higher subjective satisfaction than group B, showing significant difference
at 1, 5, and 14 days after operation (P<0.05). The TUG of group A was
significantly shorter than that of group B at 5, 14, and 30 days (P<0.05). There
was no significant difference in femoral offset or leg length on the X-ray films
(t=1.273, P=0.851; t=0.409, P=0.327). The good rate of stem alignment was 82.86%
(29/35) in group A, and was 85.30% (29/34) in group B, showing no significant
difference (chi2=0.584, P=0.497). CONCLUSIONS: Both minimal invasive Supercap
approach and conventional posterolateral approach are effective and safe for
elderly displaced femoral neck fractures in hemiarthroplasty. Supercap approach
has the advantages of less trauma, pain relief, and improvement of mobility and
rapid rehabilitation.
PMID- 29786383
TI - [TREATMENT OF ACETABULAR ANTERIOR AND POSTERIOR COLUMN FRACTURES BY MODIFIED
Stoppa APPROACH].
AB - OBJECTIVE: To evaluate the surgical techniques, perioperative complications,
clinical outcomes of the modified Stoppa approach in the treatment of acetabular
anterior and posterior column fractures. METHODS: Between March 2008 and October
2014, 42 cases of acetabular anterior and posterior column fractures were treated
by modified Stoppa approach or modified Stoppa approach combined with iliac fossa
approach, and the clinical data were retrospectively analyzed. There were 20
females and 22 males with an average age of 43.2 years (range, 19-68 years).
Fractures were caused by traffic accident in 21 cases, by falling from height in
16 cases, and by heavy pound in 5 cases. The time from injury to operation was 3
15 days (mean, 6 days). According to fracture classification of Letournel-Judet,
there were 20 cases of both column fractures, 15 cases of anterior and posterior
hemi-transverse fractures and 7 cases of T-shaped fractures. The intraoperative
blood loss, the amount of blood transfusion, the operation time, the volumn of
drainage, and complication were recorded. Matta radiological standard was used to
evaluate the reduction quality of fracture, and Merled'Aubigne-Postel system to
evaluate hip function. RESULTS: The average intraoperative blood loss was 900 mL;
the average amount of blood transfusion was 400 mL; the average volume of
drainage was 110 mL; and the average operation time was 165 minutes.
Intraoperative complications included 4 cases of tractive injury of obturator
nerve and 2 cases of the external iliac vein injury, and postoperative
complications included 2 cases of lateral femoral cutaneous nerve palsies and 1
case of deep vein embolism of lower extremity, which were improved after
treatment. The patients were followed up 36 months on average (range, 12-48
months). There was no infection or heterotopic ossification. According to Matta
radiological evaluation system, the reduction quality of fractures was rated as
excellent in 21 cases, good in 16 cases, and poor in 5 cases, with an excellent
and good rate of 88.1%. The healing time of fracture was 3.5-8.0 months (mean,
5.1 months). According to Merled'Aubigne-Postel system for hip function, 20 cases
were graded as excellent, 15 cases as good, 4 cases as fair, and 3 cases as poor,
with an excellent and good rate of 83.3% at last follow-up. CONCLUSIONS: Modified
Stoppa approach or with iliac fossa approach can get full exposure of
quadrilateral area, good reduction, and firm internal fixation in the treatment
of acetabular fractures associated with T-shaped fractures, both column
fractures, anterior and posterior hemi-transverse fractures.
PMID- 29786382
TI - [ISO-C3D NAVIGATION FOR PERCUTANEOUS SACROILIAC JOINT SCREW INTERNAL FIXATION FOR
TREATMENT OF PELVIC POSTERIOR RING INJURIES].
AB - OBJECTIVE: To investigate the advantages and effectiveness of ISO-C3D guided
percutaneous sacroiliac joint screw internal fixation for treatment of pelvic
posterior ring injuries by comparing with anterior opened reduction and
reconstruction plate internal fixation. METHODS: A retrospective analysis was
made on the clinical data of 54 patients with posterior ring injury treated
between June 2013 and January 2016. Of 54 patients, 33 underwent ISO-C3D guided
percutaneous sacroiliac joint screws internal fixation (group A), and 21
underwent anterior opened reduction and reconstruction plate internal fixation
(group B). There was no significant difference in gender, age, cause of injuries,
injury to operation time, fractures type, combined injuries, and injury severity
score (ISS) between 2 groups (P>0.05). The operation time, intraoperative blood
loss, length of operative incision, hospitalization time, postoperative pain
degree, reduction evaluation, and functional evaluation were compared between 2
groups. RESULTS: Group A was significantly better than group B in operation time,
length of operative incision, and hospitalization time (P<0.05). The
intraoperative blood loss of group A (10-20 mL) was significantly less than that
of group B[(524.1+/-160.1) mL]. All patients of the 2 groups were followed up 7
to 24 months with an average of 11.6 months. No injury of vessel or nerve
occurred in group A; worse neurological symptoms of lower limbs and incision
infection were observed in 2 patients of group B respectively, and the other
patients achieved primary healing of incision. At 48 hours after operation, the
visual analogue scale (VAS) score of group A (1.7+/-0.7) was significantly lower
than that of group B (8.2+/-0.8) (t=-30.463, P=0.000). Radiological examination
showed fracture reduction. According to the Matta score standard, the reduction
results were excellent in 21 cases (63.6%), good in 10 cases (30.3%), and fair in
2 cases (6.1%) in group A; the reduction results were excellent in 16 cases
(76.2%), good in 4 cases (19.1%), and fair in 1 case (4.7%) in group B; and there
was no significant difference between 2 groups (chi2=0.961, P=0.618). Fracture or
dislocation healed well in 2 groups, and the walking function was restored.
According to the Majeed standard for evaluation, the results were excellent in 23
cases (69.7%), good in 9 cases (27.3%), and fair in 1 case (3.0%) in group A; the
results were excellent in 14 cases (66.7%), good in 5 cases (23.8%), and fair in
2 cases (9.5%) in group B; no significant difference was shown between 2 groups
(chi2=1.501, P=0.591). CONCLUSIONS: Compared with anterior opened reduction and
reconstruction plate internal fixation, ISO-C3D navigation percutaneous
sacroiliac joint screw internal fixation is an ideal surgical method to treat
pelvic posterior ring injury, with the characteristics of less trauma, less pain,
precise screw implant and safety.
PMID- 29786384
TI - [IMAGING ANALYSIS OF ACETABULAR CUP POSITIONS IN TOTAL HIP ARTHROPLASTY FOR
ADULTS WITH ACETABULAR DYSPLASIA].
AB - OBJECTIVE: To investigate the influence of the degree of acetabular deformity and
the learning-curve on the acetabular cup positions in total hip arthroplasty
(THA) for adults with developmental dysplasia of hip (DDH). METHODS: Between
January 2008 and December 2015, 130 patients (144 hips) with DDH underwent
primary THA, and the clinical data were analyzed retrospectively. Fifty-three
patients (59 hips) were admitted before 2012, and 77 patients (85 hips) were
treated after 2012. There were 32 males and 98 females, aged from 31 to 83 years
(mean, 61). Unilateral replacement was performed in 116 cases and bilateral
replacement in 14 cases. Of 144 hips, 48 hips were rated as Crowe type I, 57 hips
as type II, and 39 hips as type of III/IV. The standard pelvic radiograph was
taken within 1 week after operation. The mediCAD software was adopted to measure
the angle of anteversion and abduction, bony coverage, and the distance between
true rotating center and optimal rotating center to the connection of teardrops
and the horizontal distance between two centers to evaluate the qualified rate of
acetabular cup positions. RESULTS: Compared with the patients with the same type
in 2013-2015 group, the anteversion angle and qualified rate of acetabular cup
position significantly decreased in patients with Crowe I (P<0.05); the
horizontal distance significantly increased and qualified rate of acetabular cup
position significantly decreased in patients with Crowe II (P<0.05); and the
anteversion angle significantly decreased and the horizontal distance
significantly increased in patients with Crowe III/IV (P<0.05) in 2008-2012
group. But no significant difference was shown in the other indexes (P>0.05). In
all Crowe types, the vertical distance between the true rotating center and the
optimal rotating center increased with the degree of acetabular deformity in both
2008-2012 group and 2013-2015 group, showing significant difference (P<0.05), but
no significant difference was found in the other indexes (P>0.05). CONCLUSIONS:
For adults with acetabular dysplasia, there are high potential risks for
unsatisfactory acetabular cup positions during primary THA. So it is necessary to
evaluate acetabular deformities and to sum up operative experience so as to
improve the accuracy of cups installation.
PMID- 29786385
TI - [BIOMECHANICAL STUDY ON LOCKED RECONSTRUCTION PLATE FOR INTERNAL FIXATION OF
TRANSVERSE AND POSTERIOR WALL ACETABULAR FRACTURE].
AB - OBJECTIVE: To discuss the biomechanical stability of locked reconstruction plate
for internal fixation of transverse and posterior wall acetabular fracture so as
to provide a reliable basis for clinical application. METHODS: The models of
transverse and posterior wall acetabular fracture were established in 16 anti
corrosion acetabular specimens from 8 adult cadavers, which were randomly divided
into experimental group and control group (n=8). Fracture was fixed with 10-hole
posterior column locked reconstruction plate in the experimental group, and with
10-hole posterior column reconstruction plate combined with anterior column lag
screw and posterior wall screws in the control group. Biomechanical testing
machine was used for loading of 5/6 donor body mass the specimen in a speed of 15
N/s; the loading time was calculated and vertical loading.The longitudinal and
quadrilateral body displacements of fracture were recorded to compare the
biomechanical stability was performed. RESULTS: The quadrilateral body
displacement of the experimental group[(1.99+/-0.32) mm] was greater than that of
the control group[(1.75+/-0.22) mm], but there was no significant difference (t=
1.735, P=0.105). The longitudinal displacement[(1.56+/-049) mm] and the
displacement of the posterior wall fracture block[(0.86+/-0.33) mm] in the
experimental group were lower than those of the control group[(1.64+/-0.51) and
(1.01+/-0.35) mm], showing no significant difference between 2 groups (t=0.293,
P=0.772; t=1.516, P=0.154). CONCLUSIONS: For transverse and posterior wall
acetabular fracture, application of locked reconstruction plate can provide
sufficient biomechanical stability, reduce the risk of screw placement to
acetabular joints.
PMID- 29786389
TI - [TREATMENT OBSERVATION OF NASAL TIP DEFECTS RECONSTRUCTED BY BILOBED FLAPS AFTER
GAINT NEVI EXCISION].
AB - OBJECTIVE: To discuss a reliable and aesthetic surgery method for the
reconstruction of large defects on the top of nose after giant nevi resection.
METHODS: Between January 2011 and June 2015, 46 cases of nasal tip defects caused
by giant nevi resection were treated. Of 46 cases, 22 were male and 24 were
female, aged 15-59 years (median, 28 years). The right ala nasi was involved in
28 cases, the apex nasi in 8 cases, and the left ala nasi in 10 cases. The
diameters of nevi were from 8 to 12 mm (mean, 9.75 mm); no alar cartilage was
invaded. Hair growth was seen in 14 cases. The duration of nasal nevi was from 3
years to 49 years (mean, 9.8 years). There were 9 recurrent patients who received
laser therapy before surgery. The defects sizes after excision were from 10 mm*10
mm to 14 mm*14 mm. The bilobed flaps were used for one-stage reconstruction,
which sizes were from 11 mm*10 mm to 15 mm*14 mm and from 10 mm*10 mm to 15 mm*14
mm. RESULTS: All the incisions healed by first intention, and the flaps survived.
No complication of intracranial hemorrhage or subdural hemorrhage occurred. The
patients were followed up 6 months to 5 years (mean, 18 months). The appearance
of nasal tip and nasolabial fold was satisfactory, and no recurrence was found
during follow-up. CONCLUSIONS: One-stage bilobed flap reconstruction for nasal
tip defects after giant nevus resection is one of the effective, safe, and
aesthetic surgery methods.
PMID- 29786386
TI - [EFFECTIVENESS OF BILATERAL TOTAL HIP AND KNEE ARTHROPLASTY FOR SEVERE
INFLAMMATORY ARTHROPATHIES].
AB - OBJECTIVE: To evaluate the application and effectiveness of bilateral total hip
arthroplasty and total knee arthroplasty in the treatment of severe inflammatory
arthropathies. METHODS: Between September 2008 and September 2015, 31 patients
with severe inflammatory arthropathies were treated with bilateral total hip
arthroplasty and total knee arthroplasty. Of 31 cases, 22 were male and 9 were
female with an average age of 30 years (range, 20 to 41 years); there were 15
cases of rheumatoid arthritis and 16 cases of ankylosing spondylitis with an
average onset age of 14 years (range, 5-28 years); all 4 ankylosed joints were
observed in 11 cases, 3 ankylosed joints in 2 cases, 2 ankylosed joints in 6
cases, 1 ankylosed joint in 1 case, and no ankylosed joint in 11 cases. Before
operation, the hip range of motion (ROM) value was (17.82+/-28.18) degrees , and
the knee ROM value score was (26.45+/-30.18) degrees ; the hip Harris score was
29.64+/-11.58, and the hospital for special surgery (HSS) score was 27.07+/
11.04. The patients were grouped and compared in accordance with etiology and
ankylosed joint. RESULTS: One-stage arthroplasty was performed in 1 case, two
stage arthroplasty in 22 cases, three-stage arthroplasty in 7 cases, and four
stage arthroplasty in 1 case. The total operation time was 325-776 minutes; the
total blood loss was 900-3 900 mL; the total transfusion volume was 2 220-8 070
mL; and the total hospitalization time was 21-65 days. The patients were followed
up 12-94 months (mean, 51 months). The hip and knee ROM values, Harris score and
HSS score at last follow-up were significantly improved when compared with
preoperative ones (P<0.05). The subjective satisfaction degree was good in 16
cases, moderate in 10 cases, and poor in 5 cases. Periprosthetic infection
occurred in 2 cases (3 knees), joint stiffness in 3 cases (6 knees), joint
instability in 1 case (1 knee), leg length discrepancy of >2 cm in 2 cases, and
flexion deformity of 10 degrees in 1 case (1 knee). The hip and knee ROM values,
Harris score and HSS score showed no significant difference between patients with
ankylosing spondylitis and patients rheumatoid arthritis at last follow-up
(P>0.05). The hip and knee ROM values of the patients with ankylosed joint were
significantly lower than those of patients with no ankylosed joint (P<0.05); the
Harris score and HSS score of the patients with ankylosed joint were lower than
those of patients with no ankylosed joint, but no significant difference was
found (P>0.05). CONCLUSIONS: A combination of bilateral hip and knee arthroplasty
is an efficient treatment for severe lower extremities deformity, arthralgia and
poor quality of life caused by inflammatory arthropathies. However, the
postoperative periprosthetic infection and stiffness of knee are important
complications influencing the effectiveness of operation.
PMID- 29786388
TI - [EFFECTIVENESS OF V-Y ADVANCED RETROAURICULAR FLAP FOR REPAIRING MILD AND
MODERATE EARLOBE DEFECTS].
AB - OBJECTIVE: To investigate the effectiveness of the V-Y advanced retroauricular
flap for repairing mild and moderate earlobe defect. METHODS: Between September
2014 and July 2015, V-Y advanced retroauricular flap was used to repair earlobe
defect in 6 patients. There were 1 male and 5 females, aged 18 to 30 years (mean,
23 years). The left earlobe was involved in 2 cases and the right earlobe in 4
cases, including 2 cases of congenital earlobe defect and 4 cases of secondary
earlobe defect; 1 patient had congenital deformity of upper auricle. According to
self-made criteria for earlobe defect, 5 cases were rated as mild defect and 1
case as moderate defect. RESULTS: All incisions healed at the first stage, and
the flaps survived smoothly. The patients were followed up 3 to 12 months, with
an average of 9 months. The reconstructed earlobes had natural size and shape,
and smooth curve; the texture and color were close to the adjacent skin. The
effectiveness was satisfactory. CONCLUSIONS: The V-Y advanced retroauricular flap
for repairing mild and moderate earlobe defect can achieve natural earlobe and
aesthetic plastic effectiveness, so it is a safe and ideal earlobe reconstruction
method.
PMID- 29786391
TI - [REPAIR OF PALM SOFT TISSUE DEFECT WITH MEDIAL TARSAL COMBINED WITH MEDIAL
PLANTAR FLAP PEDICLED WITH FREE DORSALIS PEDIS ARTERY].
AB - OBJECTIVE: To investigate the effectiveness of medial tarsal combined with medial
plantar flap pedicled with free dorsalis pedis artery in the repair of palm soft
tissue defect. METHODS: Between September 2013 and December 2015, 9 cases of palm
soft tissue defects were repaired with medial tarsal combined with medial plantar
flap pedicled with free dorsalis pedis artery. There were 7 males and 2 females
with a mean age of 33 years (range, 21-52 years). The causes included traffic
accident injury in 4 cases, crushing injury by heavy object in 3 cases, and
electrical injury in 2 cases. The time between injury and admission was 3 hours
to 2 days (mean, 9 hours). Five cases had pure soft tissue; combined injuries
included tendon exposure in 2 cases, median nerve defect in 1 case, and exposure
of tendon and nerve in 1 case. After debridement, the soft tissue defect area
ranged from 6 cm*4 cm to 11 cm*6 cm. The flap size ranged from 7.0 cm*4.5 cm to
13.0 cm*7.0 cm. The vascular pedicle length was from 6 to 10 cm (mean, 7.5 cm).
The donor sites were covered with ilioinguinal full thickness skin graft.
RESULTS: Nine flaps survived, primary healing of wound was obtained. Partial
necrosis occurred at the donor site in 1 case, and the other skin graft
successfully survived. All patients were followed up 6-20 months (mean, 10
months). All flaps had soft texture and satisfactory appearance; the cutaneous
sensory recovery time was 4-7 months after operation (mean, 5 months). At last
follow-up, sensation recovered to grade S4 in 4 cases, to grade S3+ in 3 cases,
and to grade S3 in 2 cases; two-point discrimination was 7-10 mm (mean, 8.5 mm).
According to Society of Hand Surgery standard for the evaluation of upper part of
the function, the hand function was excellent in 5 cases, good in 3 cases, and
fair in 1 case. The donor foot had normal function. CONCLUSIONS: The medial
tarsal combined with medial plantar flap pedicled with free dorsalis pedis artery
can repair soft tissue defect of the palm, and it has many advantages of soft
texture, satisfactory function, and small injury at donor site.
PMID- 29786390
TI - [EFFECTIVENESS OF MODIFIED Zitelli BILOBED FLAPS FOR REPAIRING SOFT TISSUE DEFECT
OF LOWER ONE-THIRD NOSE].
AB - OBJECTIVE: To investigate the clinical application of the modified Zitelli
bilobed flaps in repairing soft tissue defect of lower one-third nose. METHODS:
Between February 2009 and February 2014, 26 patients with soft tissue defect of
lower one-third nose after resection of basal cell carcinoma underwent
reconstruction using modified Zitelli bilobed flaps. There were 15 males and 11
females, aged 48-65 years (mean, 56 years). Tumor involved the skin layer in all
patients. According to TNM staging, 19 cases were rated as TisN0M0 and 7 cases as
T1N0M0. The disease duration was 1-5 years (mean, 3 years). The mass size ranged
from 1.0 cm*0.5 cm to 1.5 cm*1.0 cm. The defect size ranged from 1.5 cm*1.0 cm to
2.0 cm*1.5 cm after resection of basal cell carcinoma. The modified ipsilateral
Zitelli bilobde flaps were designed and harvested for one-stage repair of defect,
and the double-leaf flap size ranged from 2.5 cm*2.0 cm to 3.0 cm*2.5 cm and 1.5
cm*1.0 cm to 2.0 cm*1.5 cm. The donor site defects were sultured directly.
RESULTS: Twenty-six flaps survived and incision healed primarily. No
postoperative complications of hematoma, infection, and necrosis of skin flap
occurred. No stretching deformation of local organs, the shape of the nose and
face was symmetric. Twenty-six patients were followed up 3-24 months (mean, 13
months). Nasal and facial appearance was good and had no obvious scar formation,
and patients were satisfied with the appearance. There was no tumor recurrence
during follow-up. CONCLUSIONS: The modified Zitelli bilobed flap to repair soft
tissue defect of lower one-third nose (the defect diameter within 2.0 cm) can
obtain satisfactory effectiveness in appearance.
PMID- 29786387
TI - [PROXIMAL FIBULAR OSTEOTOMY COMBINED WITH ARTHROSCOPIC DEBRIDEMENT FOR TREATING
MEDIAL KNEE OSTEOARTHRITIS WITH VARUS].
AB - OBJECTIVE: To evaluate the effectiveness of proximal fibular osteotomy combined
with arthroscopic debridement in the treatment of medial knee osteoarthritis with
varus. METHODS: Between December 2013 and June 2015, 61 patients with medial knee
osteoarthritis with varus were treated by arthroscopic debridment in 32 cases
(group A) and by proximal fibular osteotomy combined with arthroscopic
debridement in 29 cases (group B). No significant difference was found in gender,
age, side, disease duration, OA stage, visual analogue scale (VAS) score, and
knee society score (KSS) between 2 groups (P>0.05). The clinical outcome was
evaluated by VAS score and KSS score at 1 week, 3 months, and 12 months after
operation. RESULTS: The patients in 2 groups were followed up 12 months. All
incisions healed by first intention. There was no significant difference in
complication incidence between groups A and B (0 vs. 3.4%; chi2=0.723, P=0.432).
The VAS scores were significantly decreased at 1 week, 3 months, and 12 months
after operation when compared with preoperative score in 2 groups (P<0.05). The
VAS score of group A was significantly lower than that of group B at 1 week after
operation (P<0.05), but the VAS score of group A was significantly higher than
that of group B at 3 months, and 12 months after operation (P<0.05). The knee
function was obviously improved in 2 groups, and the KSS scores at 1 week, 3
months and 12 months after operation were significantly better than preoperative
score (P<0.05). The KSS score of group A was significantly lower than that of
group B at 3 months, and 12 months after operation (P<0.05). CONCLUSIONS:
Proximal fibular osteotomy combined with arthroscopic debridement can treat knee
malalignment and disease in knee, it is an effective and safe method to treat the
medial knee osteoarthritis with varus.
PMID- 29786392
TI - [RECONSTRUCTION OF DEGLOVED THUMBS WITH FREE SECOND TOE DORSAL FLAP COMBINED WITH
MIDDLE OR RING FINGER ISLAND FLAP].
AB - OBJECTIVE: To investigate the effectiveness of free second toe dorsal flap
combined with middle or ring finger island flap for repairing degloved thumbs.
METHODS: Between August 2009 and June 2013, 6 patients with degloving injury of
the thumb were treated using free second toe dorsal flap combined with middle or
ring finger island flap. There were 4 males and 2 females, aged 19-44 years
(mean, 32 years). The left thumb was involved in 2 cases and the right thumb in 4
cases, including 5 cases of type II and 1 case of type III degloving injury. The
size of wound was 5.5 cm*2.5 cm to 6.5 cm*5.0 cm. After emergency debridemented,
5 patients underwent vacuum sealing drainage and surgical repair after 3-5 days;
1 patient underwent abdominal embedding and repair after 14 days. The size of
second toe dorsal flap ranged from 2.5 cm*2.2 cm to 4.2 cm*3.0 cm, and the size
of middle or ring finger island flap ranged from 2.0 cm*1.5 cm to 3.5 cm*2.8 cm.
Neurorrhaphy was performed between the plantar digital nerve of the second toe
and the proper digital nerve at the recipient site in 5 cases, and no nerve
anastomose in 1 case. All the distal phalanxes were partially excised. The donor
sites were covered with free skin grafts. RESULTS: All of the flaps survived
completely and incision healed by first intention. Three patients had
alloesthesia of the middle or ring finger island flaps. All of the 6 patients
were followed up from 6 months to 3 years (mean, 23 months). The flaps had good
color and soft texture, and the finger had satisfactory appearance, but the
fingernails were smaller than that of normal side. The sensation of the dorsum of
the second toe reached S3, and the mean two-point discrimination of the pulp was
6 mm (range, 4-7 mm). According to total active movement (TAM) system, the
function of the thumbs was excellent in 5 cases and good in 1 case. CONCLUSIONS:
A combination of free second toe dorsal flap and middle or ring finger island
flap is a useful and reliable technique for reconstruction of a degloved thumb.
PMID- 29786393
TI - [PREPUTIAL PEDICLED FLAP PHALLOPLASTY FOR REPAIR OF SEVERE WEBBED PENIS].
AB - OBJECTIVE: To evaluate the effectiveness of preputial pedicled flap phalloplasty
for repair of severe webbed penis. METHODS: Between May 2011 and May 2015, 23
boys with severe webbed penis were treated. The age ranged from 2 years and 8
months to 8 years and 3 months (mean, 4 years and 8 months). According to El
Koutby & El Gohary classification, 14 cases were rated as grade 3 simple webbed
penis and 9 cases as compound webbed penis (2 cases of type 1, 2 cases of type 2,
and 5 cases of type 3). The penis length was 2.1-5.4 cm (mean, 3.4 cm), and the
penoscrotal angle was 130-160 degrees (mean, 144 degrees ). All the glans could
not be exposed. No other urinary system diseases and no history of penile surgery
were found in children. All cases underwent one stage preputial pedicled flap
phalloplasty. RESULTS: After successful correction, the penis length was 3.6-6.4
cm (mean, 4.7 cm); the penoscrotal angle was 90-110 degrees (mean, 97 degrees ).
Clear skin boundaries were observed at penile and scrotal parts. No web skin or
scrotal skin was left on the penis. Primary healing of incision was obtained,
with no necrosis of the flap or infection. All cases were followed up 12 to 41
months (mean, 25 months). No penile curvature, abnormal sensation of glans, or
recurrence of the penoscrotal angle occurred, and the patients had normal
urination. CONCLUSIONS: Preputial pedicled flap phalloplasty can be used as one
stage repair for severe webbed penis. The penis is close to natural state and had
satisfactory appearance, avoiding the possibility of long-term edema and penile
curvature.
PMID- 29786394
TI - [SURAL NUEROFASCIOCUTANEOUS FLAP WITH SLOPE-DESIGNED SKIN ISLAND FOR COVERAGE OF
SOFT TISSUE DEFECTS LONGITUDINAL IN DISTAL PRETIBIAL REGION OR TRANSVERSE IN HEEL
AND ANKLE].
AB - OBJECTIVE: To introduce a modified technique of a sloped skin island design for
the distally based sural nuerofasciocutaneous flap to reconstruct soft tissue
defects longitudinal in distal pretibial region or transverse in the heel and
ankle, and report the effectiveness of the modified technique. METHODS: Between
April 2001 and January 2016, 37 patients (38 defects) with longitudinal defects
in distal pretibial region or transverse defects in the heel and ankle were
treated with the sural nuerofasciocutaneous flap with slope-designed skin island.
These patients included 28 males and 9 females, with a median age of 37 years
(range, 5-78 years). The horizontal and vertical dimensions ranged from 3 to 8 cm
and 8.5 to 14.5 cm in 11 distal pretibial defects, and from 9 to 21 cm and 3.0 to
10.5 cm in 27 heel and ankle defects, respectively. The disease duration ranged
from 2 days to 5 years. RESULTS: When the skin islands were routinely designed,
the skin islands of 25 flaps would exceed the lateral limit (the anterior border
of the fibula) laterally or medial limit (the medial border of the tibia)
medially. After the skin islands were obliquely designed, the horizontal
dimensions in 38 flaps decreased an average of 5.4 cm (range, 2.5 to 14.8 cm),
and the vertical dimensions increased an average of 5.3 cm (range, 2 to 15 cm).
The rotation angles ranged from 42 degrees to 90 degrees , with an average of 67
degrees . Thirty-five flaps survived uneventfully. Margin necrosis occurred in 2
flaps, and partial necrosis in 1 (2.6%) flap. The grafted skins at donor site
survived, and primary healing of incision was obtained. All patients were
followed up 6 to 42 months (mean, 10 months). No infection or ulceration was
noted during the follow-up period, and the appearances of the flaps were
satisfactory. At last follow-up, according to Boyden et al criteria, the limb
function was excellent in 30 cases, good in 6 cases, and poor in 2 cases, with
the excellent and good rateof 94.7%. CONCLUSIONS: When the distal sural
nuerofasciocutaneous flap is used to reconstruct soft tissue defects longitudinal
in distal pretibial region or transverse in the heel and ankle, the modified
technique of sloped skin island design can decrease the horizontal dimension of
the skin island, improve the flap survival rate, and extend its indications.
PMID- 29786395
TI - [REPAIR OF ANKLE SKIN AND SOFT TISSUE DEFECT WITH FREE SUPERFICIAL ILIAC
CIRCUMFLEX ARTERY PERFORATOR FLAP].
AB - OBJECTIVE: To investigate the effectiveness of free superficial iliac circumflex
artery perforator flap for repair of foot skin and soft tissue defect. METHODS:
Between January 2010 and December 2015, 16 patients with ankle skin and soft
tissue defect were treated. There were 13 males and 3 females with an average age
of 32 years (range, 5-56 years). The causes included traffic accident injury in 8
cases, machinery injury in 3 cases, falling injury in 3 cases, and electrically
injury in 2 cases. The time from injury to hospital was 1 to 6 hours (mean, 3.5
hours). The wound sites included foot dorsum skin defect in 6 cases, ankle skin
defect in 5 cases, the back foot and ankle skin defect in 5 cases. The skin
defect size ranged 5 cm*4 cm to 16 cm*7 cm. All patients had tendon exposure, and
8 patients had fracture. An emergency repair was performed in 6 cases, and
selective surgery in 10 cases. The free iliac circumflex artery perforators flap
was used to repair defect; the flap size was 6 cm*5 cm to 17 cm*8 cm, and the
flap thickness was 0.4 to 1.1 cm (mean, 0.8 cm). RESULTS: The flaps survived in
the other 15 patients with primary healing of wounds except 1 patient because the
iliac circumflex artery was too small to provide good blood supply, who underwent
repair with local skin flap. Incision at donor site healed by first intention.
The 15 cases were followed up 6-24 months (mean, 13 months). The appearance of
the foot was satisfactory, and the flap had good texture without bulky flaps.
CONCLUSIONS: Free iliac circumflex artery perforators flap is one of ideal flaps
in repair of skin defect of the foot and ankle because of hidden donor site,
reliable blood supply, less injury to donor site, and full use of the flap.
PMID- 29786396
TI - [ILIAC FLAP COMBINED WITH ANTEROLATERAL THIGH FLAP FOR REPAIR OF FIRST METATARSAL
BONE AND LARGE SKIN DEFECT].
AB - OBJECTIVE: To investigate the surgical methods and effectiveness to use the iliac
flap combined with anterolateral thigh flap for repair of the first metatarsal
bone and large skin defect. METHODS: Between January 2013 and January 2016, iliac
flap combined with anterolateral thigh flap was used to repair the first
metatarsal bone and large skin defect in 9 patients. There were 5 males and 4
females, with a median age of 15 years (range, 10 to 60 years). The causes
included traffic accident injury in 6 cases and crush injury of machine in 3
cases. The average time from injury to operation was 3 hours to 14 days (mean, 7
days). The size of skin soft tissue defect ranged from 10 cm*6 cm to 20 cm*10 cm.
The size of first metatarsal bone defect ranged from 2 cm*1 cm to 5 cm*1 cm. The
size of iliac flap was 3.0 cm*1.5 cm to 6.0 cm*1.5 cm, and the size of
anterolateral thigh flap was 10 cm*6 cm to 20 cm*10 cm. The donor site was
directly sutured or repaired by free skin graft. RESULTS: After operation, the
composite flaps survived with primary healing of wound; the skin grafts at donor
site survived and the incision healed by first intention. All patients were
followed up 6 months to 2 years (mean, 1.6 years). X-ray examination showed that
the bone healing time was 3.5-5.0 months (mean, 4 months). The flap had soft
texture, good color and appearance. All patients could normally walk. According
to the American Orthopaedic Foot and Ankle Society (AOFAS) standard, the foot
function was excellent in 6 cases and good in 3 cases, and the excellent and good
rate was 100% at last follow-up. CONCLUSIONS: The iliac flap combined with
anterolateral thigh flap for repair of the first metatarsal bone and large skin
defect is a practical way with good shape at one stage.
PMID- 29786397
TI - [POSTERIOR ATLANTOAXIAL LATERAL MASS SCREW FIXATION AND SUBOCCIPITAL
DECOMPRESSION FOR TREATMENT OF Arnold-Chiari MALFORMATION ASSOCIATED WITH
ATLANTOAXIAL DISLOCATION].
AB - OBJECTIVE: To evaluate the effectiveness of the posterior atlantoaxial lateral
mass screw fixation and suboccipital decompression in the treatment of Arnold
Chiari malformation associated with atlantoaxial joint dislocation. METHODS:
Between September 2012 and November 2015, 17 cases of Arnold-Chiari malformation
associated with atlantoaxial dislocation were treated by the posterior
atlantoaxial lateral mass screw fixation and suboccipital decompression and
expansion to repair the dura mater and bone graft fusion. There were 10 males and
7 females, aged 35-65 years (mean, 51.4 years). The disease duration was 14
months to 15 years with an average of 7.4 years. According to Arnold-Chiari
malformation classification, 13 cases were rated as type I, 3 cases as type II,
and 1 case as type III-IV. Cervical nerve root stimulation and compression
symptoms were observed in 12 cases, occipital foramen syndrome in 11 cases,
cerebellar compression symptoms in 6 cases, and syringomyelia in 10 cases.
RESULTS: Primary healing of incision was obtained in the other patients except 1
patient who had postoperative cerebrospinal fluid leakage after removal of
drainage tube at 3 days after operation, which was cured after 7 days. All
patients were followed up 6 months to 2 years, with an average of 18.4 months.
The neurological dysfunction was improved in different degrees after operation.
The Japanese Orthopedic Association (JOA) score was significantly increased to
16.12+/-1.11 at 6 months from preoperative 11.76+/-2.01 (t=13.596, P=0.000);
compression of spinal cord and medulla was improved. X-ray examination showed
bone graft fusion at 6 months after operation. In 10 patients with spinal cord
cavity, MRI showed empty disappearance in 3 cases, empty cavity lessening in 6
cases, and no obvious change in 1 case at 6 months. CONCLUSIONS: Atlantoaxial
lateral mass screw fixation and suboccipital decompression and expansion to
repair the dura mater can obtain good effectiveness in the treatment of Arnold
Chiari malformation associated with atlantoaxial transarticular dislocation.
PMID- 29786398
TI - [EFFECTIVENESS AND SAFETY OF SIMPLY ANTERIOR APPROACH FOR LOWER CERVICAL SPINE
FRACTURE DISLOCATION (Allen-Ferguson II OR III TYPE) WITHOUT SPINAL CORD INJURY].
AB - OBJECTIVE: To study the effectiveness and safety of simply anterior approach for
lower cervical spine fracture dislocation (Allen-Ferguson II or III type) without
spinal cord injury. METHODS: Twenty-five patients with lower cervical spine
fracture dislocation (Allen-Ferguson II or III type) without spinal cord injury
were treated between June 2013 and June 2015. There were 17 males and 8 females
with an average age of 41.2 years (range, 31-57 years). Injury was caused by
falling from height in 11 cases, by bruise in 5 cases, by crash of heavy object
in 6 cases, and by traffic accident in 3 cases. There were 24 cases of fresh
fractures and 1 case of old fracture. According to the Frankel grading criteria,
19 cases were rated as grade E and 6 cases as grade D. The injured levels
included C4, 5 in 5 cases, C5, 6 in 14 cases, and C6, 7 in 6 cases. Unilateral
locked-facet joint was observed in 9 cases, and bilateral locked-facet joint in
16 cases. The operation time, intraoperative blood loss, and surgical
complications were recorded; the Odom standard was used to evaluate the
effectiveness. The Cobb angle and D-value (the degree of cervical kyphosis or
lordosis) were measured on the X-ray film, the bone graft fusion rate was
recorded. RESULTS: The operation was successfully completed in 25 patients; the
operation time was 66 to 115 minutes, the intraoperative blood loss was 80 to 220
mL. The postoperative follow-up time was 12 to 36 months (mean, 19.3 months).
Postoperative temporary dysphagia occurred in 1 case, and pain at donor site in 2
cases; there were no complications of spinal cord injury, hematoma, hoarse, and
esophageal fistula. The nerve function was improved from preoperative grade D to
postoperative grade E at 3 months. The X-ray films showed bone graft fusion;
there was no loss of intervertebral height or loosening of internal fixation. At
3 months after operation, the effectiveness was excellent in 18 cases, good in 6
cases, and fair in 1 case, and the excellent and good rate was 96.0%. The
postoperative Cobb angle and the D value were significantly improved when
compared with preoperative ones (P<0.05). CONCLUSIONS: Simple anterior approach
has the advantages of good effectiveness, small trauma, and fast recovery for
treating lower cervical spine fracture dislocation (Allen-Ferguson II or III
type) without spinal cord injury.
PMID- 29786399
TI - [IMAGING STUDY ON LUMBAR PLEXUS BY MINIMALLY INVASIVE LATERAL TRANSPSOAS
APPROACH].
AB - OBJECTIVE: To analyze the relative position between lumbar plexus and access
corridor of minimally invasive lateral transpsoas approach based on magnetic
resonance imaging distribution of lumbar plexus by three dimensional
reconstruction technique, so as to evaluate approach safety. METHODS: Three
dimensional fast imaging employing steady-state acquisition sequences of lumbar
spine were performed on 71 patients with lumbar degenerative diseases between
July 2012 and January 2015. The axial image distance between the anterior edge of
lumbar plexus and sagittal central perpendicular line (SCPL) of disc was
determined using the distance formula at the mid-disc space from L1, 2 to L4, 5
level. SCPL was drawn perpendicularly to the sagittal plane of intervertebral
disc and it passed through its central point, which is initial dilator trajectory
for transpsoas approach. With respect to the SCPL of disc, the distance with a
positive value indicated neural tissue posterior to it whereas anterior to it
represented by a negative value. RESULTS: Various branches of lumbar plexus which
passed through the psoas major anterior to the SCPL of disc were identified in 42
(59.2%), 58 (81.7%), and 70 (98.6%) patients at L2, 3, L3, 4, and L4, 5 levels,
respectively. It is possible to infer the presence of genitofemoral nerve in
accordance with relevant anatomic research. A ventral migration of intrapsoas
nerves is identified from L1, 2 to L4, 5 level. All differences between levels
were statistically significant (P<0.05). CONCLUSIONS: With respect to the SCPL of
disc, a pass way of guide wire or a radiographic reference landmark to place
working channel, lumbar plexus lie posterior to it from L1, 2 to L3, 4 level and
shift anteriorly to it at L4, 5 level, while genitofemoral nerve locate anterior
to the SCPL from L2, 3 to L4, 5 level. Neural retraction may take place during
sequential dilation of working channel especially at L4, 5 level.
PMID- 29786400
TI - [HYBRID REPAIR OF PARASTOMAL HERNIA WITH DynaMesh-IPST].
AB - OBJECTIVE: To investigate the technique and early results of hybrid repair of
parastomal hernia with the DynaMesh-IPST. METHODS: A retrospective analysis was
made on the clinical data of 28 patients who underwent DynaMesh-IPST hybrid
repair of parastomal hernia between October 2013 and June 2016. There were 18
males and 10 females, aged 49-84 years (mean, 71 years). The disease duration of
parastomal hernia was 6-60 months (mean, 27.6 months). The body mass index (BMI)
was 17-35 (mean, 25.6); there were 15 obese patients (BMI>26). RESULTS: The
operation was completed smoothly in all patients. The mean stoma diameter was 6
cm (range, 4-9 cm). The mean operation time was 142 minutes (range, 110-190
minutes). The mean hospital stay was 9 days (range, 7-12 days). Seroma was found
in 2 patients, and was cured by needle aspiration and pressure bandage. All 28
cases were followed up 1-33 months (mean, 19 months). No recurrence, infection,
intestinal fistula, and stoma-related problems were found. The appearance of
abdominal wall was symmetrical. CONCLUSIONS: DynaMesh-IPST hybrid repair is a
safe and feasible method for parastomal hernia, and the short-term operative
result is satisfactory.
PMID- 29786401
TI - [EFFECTIVENESS EVALUATION OF FACIAL METICULOUS FAT GRAFTING BY FAT GRANULES
INJECTION ASISTOR].
AB - OBJECTIVE: To study the effectiveness of facial meticulous fat grafting by fat
granules injection asistor. METHODS: Between January and August 2015, 46 patients
received facial autologous fat grafting for rejuvenation. There were 7 males and
39 females, aged 21-65 years (mean, 34 years). Firstly, the faces were divided
into 10 cosmetic zonations according to facial aesthetic criteria, then
autologous fat grafting was carried out by fat granules injection asistor. The
autologous fat was filled into 1, 2, 3, 4, 5, 6, 7, 8, and 9 cosmetic zonations
in 3, 7, 5, 3, 8, 4, 2, 10, and 4 cases respectively; the injected fat amount was
2-110 mL (mean, 47 mL). RESULTS: The swelling period was from 5 to 15 days after
operation (mean, 7 days), and there were no complications of infection, cyst,
introvascular thrombogenesis, and so on. They were followed up 1-7 months (mean,
3 months). The effect of face rejuvenation was satisfactory. CONCLUSIONS:
Cosmetic zonation of face is helpful for preoperative evaluation of autologous
fat grafting, and the fat granules injection asistor is beneficial to reach
better effect of face rejuvenation.
PMID- 29786403
TI - [RESEARCH PROGRESS OF MicroRNA IN OSTEOARTHRITIS CARTILAGE MATRIX DEGRADATION].
AB - OBJECTIVE: To summarize the research progress of microRNA (miRNA) in the
osteoarthritis (OA) cartilage matrix degradation. METHODS: The domestic and
foreign related literature about the miRNA in the OA cartilage matrix degradation
was reviewed, summarized, and analyzed. RESULTS: OA is a common chronic joint
disease characterized by cartilage degeneration, its etiology and pathogenesis
are still not completely clear. miRNA, a kind of small single stranded non-coding
RNA molecule, is closely correlated with inflammatory mediators and various
cytokines during the cartilage matrix degradation, suggesting that miRNAs have
important regulatory functions at the molecule and cellular levels. CONCLUSIONS:
miRNA can serve as potential biomarkers and will give new insight into diagnosis
and therapeutic strategies in OA.
PMID- 29786402
TI - [PREPARATION OF PERSONALIZED BRAIN CAVITY SCAFFOLD WITH THREE-DIMENSIONAL
PRINTING TECHNOLOGY BASED ON MAGNETIC RESONANCE IMAGING].
AB - OBJECTIVE: To explore a method of three-dimensional (3D) printing technology for
preparation of personalized rat brain tissue cavity scaffolds so as to lay the
foundation for the repair of traumatic brain injury (TBI) with tissue engineered
customized cavity scaffolds. METHODS: Five male Sprague Dawley rats[weighing
(300+/-10) g] were induced to TBI models by electric controlled cortical
impactor. Mimics software was used to reconstruct the surface profile of the
damaged cavity based on the MRI data, computer aided design to construct the
internal structure. Then collagen-chitosan composite was prepared for 3D
bioprinter of bionic brain cavity scaffold. RESULTS: MRI scans showed the changes
of brain tissue injury in the injured side, and the position of the cavity was
limited to the right side of the rat brain cortex. The 3D model of personalized
cavity containing the internal structure was successfully constructed, and cavity
scaffolds were prepared by 3D printing technology. The external contour of cavity
scaffolds was similar to that of the injured zone in the rat TBI; the inner
positive crossing structure arranged in order, and the pore connectivity was
good. CONCLUSIONS: Combined with 3D reconstruction based on MRI data, the
appearance of cavity scaffolds by 3D printing technology is similar to that of
injured cavity of rat brain tissue, and internal positive cross structure can
simulate the topological structure of the extracellular matrix, and printing
materials are collagen-chitosan complexes having good biocompatibility, so it
will provide a new method for customized cavity scaffolds to repair brain tissue
cavity after TBI.
PMID- 29786404
TI - [NEW PROGRESS OF ACELLULAR FISH SKIN AS NOVEL TISSUE ENGINEERED SCAFFOLD].
AB - OBJECTIVE: To review the recent research progress of acellular fish skin as a
tissue engineered scaffold, and to analyze the feasibility and risk management in
clinical application. METHODS: The research and development, application status
of acellular fish skin as a tissue engineered scaffold were comprehensively
analyzed, and then several key points were put forward. RESULTS: Acellular fish
skin has a huge potential in clinical practice as novel acellular extracellular
matrix, but there have been no related research reports up to now in China. As an
emerging point of translational medicine, investigation of acellular fish skin is
mainly focused on artificial skin, surgical patch, and wound dressings.
CONCLUSIONS: Development of acellular fish skin-based new products is concerned
to be clinical feasible and necessary, but a lot of applied basic researches
should be carried out.
PMID- 29786405
TI - Surgical versus endovascular revascularization of subclavian artery
arteriosclerotic disease.
AB - BACKGROUND: Endovascular treatment offers an alternative less-invasive approach
to open repair for subclavian artery atherosclerotic disease (SAAD). However,
only few studies compared the outcomes of both strategies in the long run. This
study reports on the performance of endovascular and surgical revascularization
for SAAD. METHODS: A retrospective review was conducted on patients treated for
SAAD at two institutions between January 1998 and December 2015. Primary outcome
of this study was the composite endpoint of re-intervention-free survival (RFS)
defined as time to re-intervention and/or death from any cause. Secondary
endpoints included primary patency- (PPR) and secondary patency (SPR) rates as
well as overall survival and time to re-intervention. RESULTS: Surgical treatment
was the preferred treatment option in 27 (25%) patients, while 83 (75%) patients
underwent primary stent therapy. The median follow up amounted to 87 months
(interquartile range (IQR) 38 to 151) in the surgical group and 27 (IQR, 12 to
59) in the endovascular (p=0.0001). Severe arterial wall calcification was more
commonly observed in the surgical arm (p<0.0001), while mild and moderate
calcification in the endovasculari (P=.0004 and P=.014). Vessel occlusion was
more frequent among patients treated surgically (100% vs 34%, p<0.0001). At 98
months RFS was significantly higher after surgical treatment (95% vs 54%, HR:8.4,
95%CI: 3,9 to 18,1, p=0.0002). Although overall survival did not differ
significantly between the two groups (HR: 4.28, 95%CI: 0.86 to 21.22, p= 0.093),
open repair was associated with reduced re-intervention rate (HR: 12.04, 95%CI:
4.98 to 29.12, p=0.001). The PPR at 98 months following surgical and endovascular
therapy amounted to 96% and 65% (HR: 12.87, 95%CI: 5.44 to 30.44, p=0.0008)
respectively. No significant difference was observed regarding the SPR between
the two groups (100% vs 95%, p= 0.090). CONCLUSIONS: Surgical treatment was
associated in this cohort with increased patency and a significant reduction of
re-interventions compared to the endovascular approach.
PMID- 29786407
TI - Even redo ascending aorta replacement has low mortality in elective setting.
PMID- 29786406
TI - Mitroflow LXA structural deterioration following aortic valve replacement: a
single-center experience.
AB - BACKGROUND: Concerns have been previously raised regarding the potential early
degeneration of the Mitroflow (Sorin Group Italia, Saluggia, Vercelli, Italy)
bioprostheses. We aimed to evaluate our clinical experience with the Mitroflow
LXA prosthesis for aortic valve replacement. METHODS: We prospectively analyzed
data from 227 consecutive patients (133 males, mean age 73.9+/-9.2 years)
implanted with the Mitroflow LXA between February 2007 and October 2011. Follow
up data were obtained by contacting the referring cardiologists. Kaplan-Meier
curves were constructed for all-cause mortality, valve related mortality and
structural valve degeneration (SVD). Multivariable analysis was conducted to
identify SVD predictors. RESULTS: Median follow-up time was 54.2+/-37.9 months
and completeness of follow-up was 95%. Overall mortality in the entire series was
at 31% (N.=71) and mortality from cardiac or unknown causes at 20% (N.=46). SVD
occurred in 24 patients (10%) (median delay between implantation and diagnosis:
62.6 (36.5) months). Reintervention was required in 20 cases (13 redo surgery, 7
percutaneous transcatheter valve intervention). The 8 years actuarial global
survival was 54.7+/-4.9%, freedom from valve related mortality 67.5+/-4.9% and
freedom from SVD 72+/-8%. The estimated freedom from SVD was significantly
(P=0.007) longer in larger prosthesis (diameter >21 mm, 77+/-11%) compared to the
smaller devices (<=21 mm, 59+/-13%.). Multivariate analysis identified smaller
prostheses and age at implantation as independent predictors of SVD. CONCLUSIONS:
The Mitroflow LXA showed evidence of early SVD in this cohort. A close follow-up
of these patients is strongly advised.
PMID- 29786408
TI - Impact of additional tricuspid valve annuloplasty in TOF patients undergoing
pulmonary valve replacement.
AB - BACKGROUND: Many patients with tetralogy of Fallot (TOF) who underwent surgical
correction of their congenital cardiac malformation during infancy develop right
ventricular dysfunction and exercise intolerance in the long term. The right
ventricle (RV) dilates due to the development of severe pulmonary regurgitation
(and secondary tricuspid insufficiency). To reduce RV dilation and improve
exercise tolerance pulmonary valve replacement (PVR) is the common therapeutic
strategy. Whether concomitant tricuspid valve repair (TVR) is beneficial in these
pure volume-overload conditions is still unknown. METHODS: 28 adults who received
surgical PVR were included in the study and perioperative data including
operative records, postoperative course and echocardiography before and after
surgery was analyzed retrospectively. RESULTS: Mean age of the patients was 41.1
13.5 years and PVR with Carpentier Edwards Perimount bioprostheses was performed
32.0 9.5 years after initial cardiac surgery. Preoperative echocardiography
revealed moderate RV dilation in 60.7% of the patients and severe pulmonary valve
regurgitation in 82.3%. Ten patients underwent additional TVR. Indication was
purely based on annular dilation (> 40mm), independent from the degree of
tricuspid insufficiency. Periprocedural data of both groups did not differ
significantly. However, ICU stay was significantly increased in patients who
underwent additional TVR (p=0.0420) and these patients developed more
complications (p=0.0407) while postoperative echography showed the same recovery
of the RV function and diameters, independent of concomitant TVR. CONCLUSIONS: In
grown-ups with congenital heart disease surgical PVR +/- TVR is a safe procedure
with good short-term outcomes. As the RV remodels after volume reduction,
indication for concomitant TVR should be restrictive.
PMID- 29786409
TI - Vascular access for haemodialysis: is the outcome improvement still possible?
PMID- 29786411
TI - BAD transmission and SAD distribution: a new scenario for critical limb ischemia.
AB - BACKGROUND: Most of the studies on peripheral artery disease (PAD) focused on
above-the-ankle artery disease, while less is known about foot artery disease. We
hypothesize a scenario were two different diseases can be present in PAD
patients, big artery disease (BAD) and small artery disease (SAD), overlapping at
the foot level; the aim of this study is to evaluate their prevalence and their
correlation with risk factors and critical limb ischemia (CLI) in a large cohort
of patients with symptomatic PAD. METHODS: We retrospectively reviewed 1915 limbs
of 1613 patients (502 females, mean age 72.4+/-10.8 years) who underwent
angiography between September 2009 and November 2013. Age, sex, diabetes, smoke
history, high blood pressure, dialysis and BMI were considered as risk factors.
Logistic regression was performed to test the association of arterial lesions
patterns and CLI, and to evaluate the association between risk factors and lesion
localization. RESULTS: SAD was present in 414 patients (25.2%). Patients with
disease of any of plantar, dorsalis pedis arteries and SAD faced higher risk of
CLI (OR=13.25, 95% CI: 1.69-104.16). SAD was associated with diabetes and
dialysis (both: OR=4.85; dialysis only: OR=3.60; diabetes only: OR=1.70; none:
reference OR; P<0.01), weight (underweight: OR=1.10; normal: reference OR;
overweight: OR=0.81; obese: OR=0.60; P=0.03), while women and tobacco smokers
were less likely to have SAD (women: OR=0.68; P<0.01; tobacco use: OR=0.54;
P<0.01). CONCLUSIONS: SAD was strongly and independently associated with CLI,
diabetes and dialysis. Thus, SAD should be regarded as a leading actor in CLI.
PMID- 29786412
TI - The use of scoring balloons in the superficial femoral artery.
AB - Percutaneous transluminal angioplasty and stenting is becoming increasingly the
first-line treatment for patients with both claudication and critical limb
ischemia instead of surgery, with the aim of returning the patient's quality of
life in the former and preventing amputation. Drug-eluting technologies have seen
a large surge in popularity in recent years, and significant patient benefits
have been seen with the use of drug-eluting stents and balloons over the use of
plain balloon angioplasty. Unfortunately, in many patients with arterial disease
there is significant vessel wall calcification - this can theoretically be a
barrier to drug delivery and can also be a contributing factor to flow limiting
dissection and the subsequent need for bail out stenting. Several studies have
looked at the efficacy of scoring balloons to minimize these problems in patients
with severe calcification. With increasing use of vascular stents over the last 5
10 years, more cases of in stent stenosis are being seen, and scoring balloons
have been postulated to improve outcomes here also. Scoring balloons have been
available for many years, having seen first use in the coronary system, but are
now being studied in the peripheral arterial landscape, both above and below the
popliteal segment. This article will review their use in the superficial femoral
artery.
PMID- 29786410
TI - Percutaneous deep venous arterialization in patients with critical limb ischemia.
AB - BACKGROUND: Critical limb ischemia (CLI) is the presentation of end stage
peripheral arterial disease and typically presents with rest pain, ulceration and
gangrene. The outcome of conservative treatment is poor and often leads to
amputations. Arterial revascularization plays an important role in amputation
prevention. Unfortunately, a significant percentage of CLI patients cannot be
revascularized and subsequently end up with a palliative amputation. This has led
to the need and exploration of new treatment options in this no option subgroup
of CLI. Deep venous arterialization (DVA) is one of them and has been reported as
a save and feasible novel and promising alternative to amputation. The goal of
DVA is to provide arterialized blood in significant volumes and pressure to the
plantar venous arch and ischemic tissue to enable wound healing. Selecting the
right patients is critical for successful DVA and requires that extra attention
is paid to the wounds as well as arterial and venous vascular status. METHODS:
The procedure was previously described in our initial experience in the first-in
man study performed on 7 patients with NOP-CLI. The angiographic goal of the
procedure is to deliver arterialized blood to the plantar venous arch in
significant volumes and pressure, circumventing the numerous valves in the
process. The clinical goal is to achieve wound healing. RESULTS: Technical
success was achieved in all patients. Flow in the plantar arch was achieved in 5
of the 7 patients. One patient with chronic rest pain became pain free within 48
hours after the procedure. Complete wound healing was achieved at 12 months in 5
of the 7 patients. Reinterventions were performed in 5 of 7 patients to maintain
patency. Of the 7 study patients, five underwent minor amputation of one or more
toes, and two underwent major amputations within 12 months (limb salvage, 71%).
CONCLUSIONS: The LimFlow system is currently the only registered device a total
percutaneous DVA can be performed with. In addition to the percutaneous creation
of an arteriovenous fistula (AVF), it also allows disruption of the veins with a
dedicated valvulotome.
PMID- 29786413
TI - Frozen elephant trunk and arch endografts for chronic thoracoabdominal aortic
dissections.
AB - Chronic aortic dissecting aneurysms (TAAD) presenting after acute Stanford type A
or B dissection includes both arch and/or thoracoabdominal aortic aneurysms
(TAAA). Approximately 60% of patients who survive surgical treatment of acute
type A aortic dissections will require another aortic procedure. Similarly, more
than 70% of patients with chronic type B aortic dissections will experience false
lumen dilation at 5-year follow-up, often requiring intervention. Open or hybrid
aortic repairs of complex TAAD involving the arch and the TAAA are very demanding
procedures for both patients and clinicians. Open surgery remains the first line
therapy in fit patients. Recent development of branched arch devices has offered
an alternative option for high-risk patients. Technical challenges associated
with the endovascular management of these complex aneurysms include proximal
sealing zone often located in the aortic arch or the ascending aorta, narrow true
lumen working space, and aortic branch perfusion by either the true or false
lumen, or both. Recent studies have reported encouraging results with
endovascular treatment of these complex dissecting aneurysms, especially
following open ascending aortic repair. The aim of this review was to describe
the available strategies for arch repair in the setting of a chronic TAAD and to
determine the subset of patients that can benefit from of a totally endovascular
approach.
PMID- 29786414
TI - Uncovering the Design Principle of Amino Acid-Derived Photoluminescent Biodots
with Tailor-Made Structure-Properties and Applications for Cellular Bioimaging.
AB - Natural amino acids possess side chains with different functional groups (R
groups), which make them excellent precursors for programmable synthesis of
biomolecule-derived nanodots (biodots) with desired properties. Herein, we report
the first systematic study to uncover the material design rules of biodot
synthesis from 20 natural alpha-amino acids via a green hydrothermal approach.
The as-synthesized amino acid biodots (AA dots) are comprehensively characterized
to establish a structure-property relationship between the amino acid precursors
and the corresponding photoluminescent properties of AA dots. It was found that
the amino acids with reactive R groups, including amine, hydroxyl, and carboxyl
functional groups form unique C-O-C/C-OH and N-H bonds in the AA dots which
stabilize the surface defects, giving rise to brightly luminescent AA dots.
Furthermore, the AA dots were found to be amorphous and the length of the R group
was observed to affect the final morphology (e.g., disclike nanostructure,
nanowire, or nanomesh) of the AA dots, which in turn influence their
photoluminescent properties. It is noteworthy to highlight that the hydroxyl
containing amino acids, that is, Ser and Thr, form the brightest AA dots with a
quantum yield of 30.44% and 23.07%, respectively, and possess high photostability
with negligible photobleaching upon continuous UV exposure for 3 h. Intriguingly,
by selective mixing of Ser or Thr with another amino acid precursor, the
resulting mixed AA dots could inherit unique properties such as improved
photostability and significant red shift in their emission wavelength, producing
enhanced green and red fluorescent intensity. Moreover, our cellular studies
demonstrate that the as-synthesized AA dots display outstanding biocompatibility
and excellent intracellular uptake, which are highly desirable for imaging
applications. We envision that the material design rules discovered in this study
will be broadly applicable for the rational selection of amino acid precursors in
the tailored synthesis of biodots.
PMID- 29786415
TI - Tailoring Crystalline Morphology by High-Efficiency Nucleating Fiber: Toward High
Performance Poly(l-lactide) Biocomposites.
AB - In this work, a high-melting-point poly(l-lactide) fiber (hPLLA fiber) with high
efficiency nucleation activity was prepared and introduced into PLLA matrix to
prepare fully biodegradable PLLA biocomposites. The highly active nucleating
surfaces of the hPLLA fiber induced chain ordering and lamellar organization,
leading to a preferable formation of well-organized PLLA transcrystallinity at
the surface of the hPLLA fiber under quiescent conditions. The construction of
such compact transcrystallinity increased the crystallinity and enhanced the
interfacial adhesion, which largely promoted heat resistance, tensile strength,
and barrier property of PLLA biocomposites at a low content of hPLLA fiber. With
the addition of 1 wt % hPLLA fiber, the storage modulus of the PLLA biocomposite
was enhanced by 82 times from 4 to 330 MPa at 80 degrees C and the oxygen
permeability coefficient and water permeability coefficient were decreased by 52
and 51% to be 5.9 * 10-15 cm3.cm/cm2.s.Pa and 4.5 * 10-14 g.cm/cm2.s.Pa,
respectively, compared with those of pure PLLA. Moreover, the transparency of
PLLA was maintained with the incorporation of hPLLA fiber. Thus, this strategy
paved a new way to prepare high-performance and fully biodegradable
biocomposites.
PMID- 29786416
TI - Zinc Oxide-Enhanced Piezoelectret Polypropylene Microfiber for Mechanical Energy
Harvesting.
AB - This paper reports zinc oxide (ZnO)-coated piezoelectret polypropylene (PP)
microfibers with a structure of two opposite arc-shaped braces for enhanced
mechanical energy harvesting. The ZnO film was coated onto PP microfibers via
magnetron sputtering to form a ZnO/PP compound structure. Triboelectric
Nanogenerator (TENG) based on ZnO/PP microfiber compound film was carefully
designed with two opposite arc-shaped braces. The results of this study
demonstrated that the mechanical energy collection efficiency of TENG based on
piezoelectret PP microfiber was greatly enhanced by the coated ZnO and high
voltage corona charging method. We found that, with the step-increased distance
of traveling for the movable carbon black electrode, an electrical power with an
approximately quadratic function of distance was generated by this mechanical
electrical energy conversion, because more PP microfibers were connected to the
electrode. Further, with a full contact condition, the peak of the generated
voltage, current, and charges based on the ZnO/PP microfibers by this mechanical
electrical energy conversion with 1 m/s2 reached 120 V, 3 MUA, and 49 nC,
respectively. Moreover, a finger-tapping test was used to demonstrate that the
ZnO/PP microfiber TENG is capable of lighting eight light-emitting diodes.
PMID- 29786418
TI - Synthesis, Spray Deposition, and Hot-Press Transfer of Copper Nanowires for
Flexible Transparent Electrodes.
AB - We report a solution-phase approach to the synthesis of crystalline copper
nanowires (Cu NWs) with an aspect ratio >1000 via a new catalytic mechanism
comprising copper ions. The synthesis involves the reaction between copper(II)
chloride and copper(II) acetylacetonate in a mixture of oleylamine and
octadecene. Reaction parameters such as the molar ratio of precursors as well as
the volume ratio of solvents offer the possibility to tune the morphology of the
final product. A simple low-cost spray deposition method was used to fabricate Cu
NW films on a glass substrate. Post-treatment under reducing gas (5% H2 + 95% N2)
atmosphere resulted in Cu NW films with a low sheet resistance of 24.5 Omega/sq,
a transmittance of T = 71% at 550 nm (including the glass substrate), and a high
oxidation resistance. Moreover, the conducting Cu NW networks on a glass
substrate can easily be transferred onto a polycarbonate substrate using a simple
hot-press transfer method without compromising on the electrical performance. The
resulting flexible transparent electrodes show excellent flexibility ( R/ Ro <
1.28) upon bending to curvatures of 1 mm radius.
PMID- 29786417
TI - Ultraselective Pebax Membranes Enabled by Templated Microphase Separation.
AB - Block copolymer materials have been considered as promising candidates to
fabricate gas separation membranes. This microphase separation affects the
polymer chain packing density and molecular separation efficiency. Here, we
demonstrate a method to template microphase separation within a thin composite
Pebax membrane, through the controllable self-assembly of one-dimensional
halloysite nanotubes (HNTs) within the thin film via the solution-casting
technique. Crystallization of the polyamide component is induced at the HNT
surface, guiding subsequent crystal growth around the tubular structure. The
resultant composite membrane possesses an ultrahigh selectivity (up to 290) for
the CO2/N2 gas pair, together with a moderate CO2 permeability (80.4 barrer),
being the highest selectivity recorded for Pebax-based membranes, and it easily
surpasses the Robeson upper bound. The templated microphase separation concept is
further demonstrated with the nanocomposite hollow fiber gas separation
membranes, showing its effectiveness of promoting gas selectivity.
PMID- 29786419
TI - Multifunctional, High Molecular Weight, Post-Translationally Modified Proteins
through Oxidative Cysteine Coupling and Tyrosine Modification.
AB - Glycoproteins and their mimics are challenging to produce via chemical or
biological methods because of their long protein backbones and large number of
polysaccharide side chains that form a densely grafted protein-polysaccharide
brush architecture. Herein, we demonstrate a new approach to protein bioconjugate
synthesis that can approach the molar mass and functionalization densities of
natural glycoproteins such as mucins and aggrecans. In this method, a tyrosine
enriched protein sequence is engineered and synthesized in E. coli, and sugars or
other functional moieties can be efficiently and polyvalently grafted to the
backbone through tyrosine modification chemistry. Cysteine residues on the chain
ends are used for oxidative chain polymerization into high molar mass chains
larger than can be easily expressed in the host. The effects of tyrosine
enrichment and cysteine-incorporation on the physical and expression properties
on a model protein are explored. Elastin-like peptides (ELPs) are chosen because
of their high expression yields, repetitive sequence, substitutable amino acids,
and well-studied physical properties. The sequence modifications to mimic
glycoproteins are shown to affect the maximum length of expressible sequence but
not yield. The tyrosine modification chemistry is shown to functionalize up to
73% of all tyrosines on the peptide, and the scope of functional groups that can
be mass conjugated to proteins is expanded through multistep conjugation
strategies involving copper(I)-catalyzed alkyne-azide cycloaddition showing up to
97% alkyne functionalization. All of the functionalization chemistries preserve
the ability to polymerize the backbone.
PMID- 29786420
TI - Bacteria-Driven Hypoxia Targeting for Combined Biotherapy and Photothermal
Therapy.
AB - The facultative anaerobe Salmonella strain VNP20009 selectively colonizes into
tumors following systemic injection due to its preference for the hypoxia in the
tumor cores. However, the phase 1 clinical trial of VNP20009 has been terminated
mainly due to its weak antitumor effects and exhibition of dose-dependent
toxicity. Here, we leveraged the advantages of VNP20009 biotherapy together with
polydopamine-mediated photothermal therapy in order to enhance the antitumor
efficacy toward malignant melanoma. VNP20009 was coated with polydopamine via
oxidation and self-polymerization, which was then injected into tumor-bearing
mice via the tail vein. Polydopamine-coated VNP20009 targeted hypoxic areas of
the solid tumors, and near-infrared laser irradiation of the tumors induced
heating due to polydopamine. This combined approach eliminated the tumors without
relapse or metastasis with only one injection and laser irradiation. More
importantly, we found both VNP and pDA potentiate the therapeutic ability of each
other, resulting in a superior anticancer effect.
PMID- 29786421
TI - Enhanced Hydrogen Storage Properties and Reversibility of LiBH4 Confined in Two
Dimensional Ti3C2.
AB - LiBH4 is of particular interest as one of the most promising materials for solid
state hydrogen storage. Herein, LiBH4 is confined into a novel two-dimensional
layered Ti3C2 MXene through a facile impregnation method for the first time to
improve its hydrogen storage performance. The initial desorption temperature of
LiBH4 is significantly reduced, and the de-/rehydrogenation kinetics are
remarkably enhanced. It is found that the initial desorption temperature of
LiBH4@2Ti3C2 hybrid decreases to 172.6 degrees C and releases 9.6 wt % hydrogen
at 380 degrees C within 1 h, whereas pristine LiBH4 only releases 3.2 wt %
hydrogen under identical conditions. More importantly, the dehydrogenated
products can partially rehydrogenate at 300 degrees C and under 95 bar H2. The
nanoconfined effect caused by unique layered structure of Ti3C2 can hinder the
particles growth and agglomeration of LiBH4. Meanwhile, Ti3C2 could possess
superior effect to destabilize LiBH4. The synergetic effect of destabilization
and nanoconfinement contributes to the remarkably lowered desorption temperature
and improved de-/rehydrogenation kinetics.
PMID- 29786422
TI - Mechanisms Regulating the Association of Protein Phosphatase 1 with Spinophilin
and Neurabin.
AB - Protein phosphorylation is a key mediator of signal transduction, allowing for
dynamic regulation of substrate activity. Whereas protein kinases obtain
substrate specificity by targeting specific amino acid sequences,
serine/threonine phosphatase catalytic subunits are much more promiscuous in
their ability to dephosphorylate substrates. To obtain substrate specificity,
serine/threonine phosphatases utilize targeting proteins to regulate phosphatase
subcellular localization and catalytic activity. Spinophilin and its homologue
neurabin are two of the most abundant dendritic spine-localized protein
phosphatase 1 (PP1) targeting proteins. The association between spinophilin and
PP1 is increased in the striatum of animal models of Parkinson's disease (PD).
However, mechanisms that regulate the association of spinophilin and neurabin
with PP1 are unclear. Here, we report that the association between spinophilin
and PP1alpha or PP1gamma1 was increased by CDK5 expression and activation in a
heterologous cell system. This increased association is at least partially due to
phosphorylation of PP1. Conversely, CDK5 expression and activation decreased the
association of PP1 with neurabin. As with dopamine depletion, methamphetamine
(METH) abuse causes persistent alterations in dopamine signaling which influence
striatal medium spiny neuron function and biochemistry. Moreover, both METH
toxicity and dopamine depletion are associated with deficits in motor control and
motor learning. Pathologically, we observed a decreased association of
spinophilin with PP1 in rat striatum evaluated one month following a binge METH
paradigm. Behaviorally, we found that loss of spinophilin recapitulates rotarod
pathology previously observed in dopamine-depleted and METH-treated animals.
Together, these data have implications in multiple disease states associated with
altered dopamine signaling such as PD and psychostimulant drug abuse and
delineate a novel mechanism by which PP1 interactions with spinophilin and
neurabin may be differentially regulated.
PMID- 29786423
TI - Electrochemical Determination of TNT, DNT, RDX, and HMX with Gold
Nanoparticles/Poly(Carbazole-Aniline) Film-Modified Glassy Carbon Sensor
Electrodes Imprinted for Molecular Recognition of Nitroaromatics and Nitramines.
AB - Since nitroaromatic- and nitramine-type energetic materials, mostly arising from
military activities, are persistent pollutants in soil and groundwater, on-site
sensing of these hazardous chemicals has gained importance. A novel
electrochemical sensor was designed for detecting nitroaromatic- and nitramine
type energetic materials, relying on gold nanoparticles (Aunano), modified glassy
carbon (GC) electrode coated with nitro-energetic memory-poly(carbazole-aniline)
copolymer (Cz- co-ANI) film (e.g., TNT memory-GC/P(Cz- co-ANI)-Aunano modified
electrode). Current was recorded against concentration to build the calibration
curves that were found to be linear within the range of 100-1000 MUg L-1 for
2,4,6-trinitrotoluene (TNT) and 2,4-dinitrotoluene (DNT): 50-1000 MUg L-1 for
1,3,5-trinitro-1,3,5-triazacyclohexane (RDX) and octahydro-1,3,5,7-tetranitro
1,3,5,7-tetrazocine (HMX). The corresponding limits of detection were 25 MUg L-1
for TNT, 30 MUg L-1 for DNT, and 10 MUg L-1 for both RDX and HMX, using nitro
energetic memory-GC/P(Cz- co-ANI)-Aunano electrodes. These electrodes were used
separately, and specific determinations were made in various mixtures of nitro
energetic materials. The developed method could be efficiently used in
electroanalyzing nitroaromatics and nitramines in military explosives (i.e., comp
B, octol, and comp A5). The sensor electrodes were specific for the tested nitro
energetic compounds and did not respond to paracetamol-caffeine-based analgesic
drug, acetylsalicylic acid (aspirin), sweetener, and sugar that can be used as
camouflage materials in passenger belongings. The developed method was
statistically validated against the standard LC-MS reference method in
contaminated clay soil samples containing TNT and RDX explosives.
PMID- 29786424
TI - Tuning Gene Activity by Inducible and Targeted Regulation of Gene Expression in
Minimal Bacterial Cells.
AB - Functional genomics studies in minimal mycoplasma cells enable unobstructed
access to some of the most fundamental processes in biology. Conventional
transposon bombardment and gene knockout approaches often fail to reveal
functions of genes that are essential for viability, where lethality precludes
phenotypic characterization. Conditional inactivation of genes is effective for
characterizing functions central to cell growth and division, but tools are
limited for this purpose in mycoplasmas. Here we demonstrate systems for
inducible repression of gene expression based on clustered regularly interspaced
short palindromic repeats-mediated interference (CRISPRi) in Mycoplasma
pneumoniae and synthetic Mycoplasma mycoides, two organisms with reduced genomes
actively used in systems biology studies. In the synthetic cell, we also
demonstrate inducible gene expression for the first time. Time-course data
suggest rapid kinetics and reversible engagement of CRISPRi. Targeting of six
selected endogenous genes with this system results in lowered transcript levels
or reduced growth rates that agree with lack or shortage of data in previous
transposon bombardment studies, and now produces actual cells to analyze. The
ksgA gene encodes a methylase that modifies 16S rRNA, rendering it vulnerable to
inhibition by the antibiotic kasugamycin. Targeting the ksgA gene with CRISPRi
removes the lethal effect of kasugamycin and enables cell growth, thereby
establishing specific and effective gene modulation with our system. The facile
methods for conditional gene activation and inactivation in mycoplasmas open the
door to systematic dissection of genetic programs at the core of cellular life.
PMID- 29786425
TI - Comprehensive Study of the Evolution of the Gas-Liquid Partitioning of
Acetaldehyde during Wine Alcoholic Fermentation.
AB - Determining the gas-liquid partitioning ( Ki) of acetaldehyde during alcoholic
fermentation is an important step in the optimization of fermentation control
with the aim of minimizing the accumulation of this compound, which is
responsible for the undesired attributes of green apples and fresh-cut grass in
wines. In this work, the effects of the main fermentation parameters on the Ki of
acetaldehyde were assessed. Ki values were found to be dependent on the
temperature and composition of the medium. A nonlinear correlation between the
evolution of the Ki and fermentation progress was observed, attributable to the
strong retention effect of ethanol at low concentrations, and it was demonstrated
that the partitioning of this specific molecule was not influenced by the CO2
production rate. A model was developed that quantifies the Ki of acetaldehyde
with a very accurate prediction, as the difference between the observed and
predicted values did not exceed 9%.
PMID- 29786426
TI - Influence of Enzyme Quantity and Distribution on the Self-Propulsion of Non-Janus
Urease-Powered Micromotors.
AB - The use of enzyme catalysis to power micro- and nanomachines offers unique
features such as biocompatibility, versatility, and fuel bioavailability. Yet,
the key parameters underlying the motion behavior of enzyme-powered motors are
not completely understood. Here, we investigate the role of enzyme distribution
and quantity on the generation of active motion. Two different micromotor
architectures based on either polystyrene (PS) or polystyrene coated with a rough
silicon dioxide shell (PS@SiO2) were explored. A directional propulsion with
higher speed was observed for PS@SiO2 motors when compared to their PS
counterparts. We made use of stochastically optical reconstruction microscopy
(STORM) to precisely detect single urease molecules conjugated to the micromotors
surface with a high spatial resolution. An asymmetric distribution of enzymes
around the micromotor surface was observed for both PS and PS@SiO2 architectures,
indicating that the enzyme distribution was not the only parameter affecting the
motion behavior. We quantified the number of enzymes present on the micromotor
surface and observed a 10-fold increase in the number of urease molecules for
PS@SiO2 motors compared to PS-based micromotors. To further investigate the
number of enzymes required to generate a self-propulsion, PS@SiO2 particles were
functionalized with varying amounts of urease molecules and the resulting speed
and propulsive force were measured by optical tracking and optical tweezers,
respectively. Surprisingly, both speed and force depended in a nonlinear fashion
on the enzyme coverage. To break symmetry for active propulsion, we found that a
certain threshold number of enzymes molecules per micromotor was necessary,
indicating that activity may be due to a critical phenomenon. Taken together,
these results provide new insights into the design features of micro/nanomotors
to ensure an efficient development.
PMID- 29786427
TI - Induction of Apoptosis in Human Papillary-Thyroid-Carcinoma BCPAP Cells by
Diallyl Trisulfide through Activation of the MAPK Signaling Pathway.
AB - This study aimed to elucidate the potential effects of diallyl trisulfide (DATS)
on human papillary-thyroid-carcinoma BCPAP cells and its underlying mechanisms.
DATS is an organosulfur compound derived from garlic. In this study, we
demonstrated that compared with the solvent control, DATS treatment at
concentrations of 5, 10, and 20 MUMU decreased cell survival rates of BCPAP cells
to 84.51 +/- 2.67, 57.16 +/- 1.18, and 41.22 +/- 1.19% respectively. DATS also
caused cell-cycle arrest at G0/G1 phase, and the proportion of cells arrested in
G0/G1 phase rose from 68.8 +/- 8.38 to 80.4 +/- 8.38%, which eventually resulted
in cell apoptosis through a mitochondrial apoptotic pathway in BCPAP cells.
Further evidence showed that DATS activated ERK, JNK, and p38, members of the
MAPK family. Moreover, ERK and JNK inhibitors partially reversed apoptosis in
BCPAP cells induced by DATS treatment. Taken together, our results demonstrated
that DATS exerted an apoptosis-inducing effect on papillary-thyroid-cancer cells
via activation of the MAPK signaling pathway, which shed light on a prospective
therapeutic target for thyroid-cancer treatment.
PMID- 29786428
TI - Electrochemical Impedance Spectroscopy for Real-Time Detection of Lipid Membrane
Damage Based on a Porous Self-Assembly Monolayer Support.
AB - Layer-by-layer dissolution and permeable pore formation are two typical membrane
damage pathways, which induce membrane function disorder and result in serious
disease, such as Alzheimer's disease, Keshan disease, Sickle-cell disease, and so
on. To effectively distinguish and sensitively monitor these two typical membrane
damage pathways, a facile electrochemical impedance strategy was developed on a
porous self-assembly monolayer (pSAM) supported bilayer lipid membrane (BLM). The
pSAM was prepared by selectively electrochemical reductive desorption of the
mercaptopropionic acid in a mixed mercaptopropionic acid/11-mercaptoundecanoic
acid self-assembled monolayer, which created plenty of nanopores with tens of
nanometers in diameter and several nanometers in height (defined as inner-pores).
The ultralow aspect ratio of the inner-pores was advantageous to the mass
transfer of electrochemical probe [Fe(CN)6]3-/4-, simplifying the equivalent
electric circuit for electrochemical impedance spectroscopy analysis at the
electrode/membrane interface. [Fe(CN)6]3-/4- transferring from the bulk solution
into the inner-pore induce significant changes of the interfacial impedance
properties, improving the detection sensitivity. Based on these, the different
membrane damage pathways were effectively distinguished and sensitively monitored
with the normalized resistance-capacitance changes of inner-pore-related
parameters including the electrolyte resistance within the pore length ( Rpore)
and the metal/inner-pore interfacial capacitance ( Cpore) and the charge-transfer
resistance ( Rct-in) at the metal/inner-pore interface.
PMID- 29786429
TI - Development of a Nanostructured alpha-MnO2/Carbon Paper Composite for Removal of
Ni2+/Mn2+ Ions by Electrosorption.
AB - Toxic metal ions, such as Ni2+ and Mn2+, in industrial waste streams are
nonbiodegradable and can cause damage to the human body. Electrochemical cleaning
techniques are attractive as they offer more control and produce less sludge than
do chemical/biological approaches without the high pressures needed for
membranes. Here, nanoneedle-structured alpha-MnO2/carbon fiber paper (CFP)
composites were synthesized by a hydrothermal approach and used as electrodes for
combined electroadsorption and capacitive deionization removal of nickel and
manganese ions from pseudoindustrial waste streams. The specific performance of
alpha-MnO2/CFP (16.4 mg Ni2+ per g of active material) not only shows a great
improvement in comparison with its original CFP substrate (0.034 Ni2+ mg per g),
but also is over 6 times that of activated carbon (2.5 mg Ni2+ per g). The high
performance of alpha-MnO2/CFP composites is attributed to their high surface
area, desirable mesoporosity, pore-size distribution that permits the further
access of ions, and their property as a pseudocapacitor, which contributes to a
more efficient electron/charge transfer in the faradic process. Unfortunately, it
was also found that some Mn2+ ions are released due to the partial reduction of
MnO2 when operated as a negative electrode. For the removal of Mn2+ ions, an
asymmetric arrangement, consisting of a MnO2/CFP positive electrode and an
activated carbon negative electrode, was employed. This arrangement reduced the
Mn2+ concentration from 100 ppm to less than 2 ppm, a vast improvement over the
systematical two-activated carbon electrode system that could only reach 42 ppm
under the same conditions. It was also observed that as long as the MnO2/CFP
composite was maintained as a positive electrode, it was completely stable. The
technique was able to reduce both Ni2+ and Mn2+ ions to well below the 10 ppm
requirement for discharge into public sewers in Singapore.
PMID- 29786430
TI - GalaxyGPCRloop: Template-Based and Ab Initio Structure Sampling of the
Extracellular Loops of G-Protein-Coupled Receptors.
AB - The second extracellular loops (ECL2s) of G-protein-coupled receptors (GPCRs) are
often involved in GPCR functions, and their structures have important
implications in drug discovery. However, structure prediction of ECL2 is
difficult because of its long length and the structural diversity among different
GPCRs. In this study, a new ECL2 conformational sampling method involving both
template-based and ab initio sampling was developed. Inspired by the observation
of similar ECL2 structures of closely related GPCRs, a template-based sampling
method employing loop structure templates selected from the structure database
was developed. A new metric for evaluating similarity of the target loop to
templates was introduced for template selection. An ab initio loop sampling
method was also developed to treat cases without highly similar templates. The ab
initio method is based on the previously developed fragment assembly and loop
closure method. A new sampling component that takes advantage of secondary
structure prediction was added. In addition, a conserved disulfide bridge
restraining ECL2 conformation was predicted and analytically incorporated into
sampling, reducing the effective dimension of the conformational search space.
The sampling method was combined with an existing energy function for comparison
with previously reported loop structure prediction methods, and the benchmark
test demonstrated outstanding performance.
PMID- 29786431
TI - Ultrasonic-Assisted Spin-Coating: Improved Junction by Enhanced Permeation of a
Coating Material within Nanostructures.
AB - Over the last decades, the spin-coating (SC) technique has been widely used to
prepare thin films of various materials in the liquid phase on arbitrary
substrates. The technique simply relies on the centrifugal force to spread a
coating solution radially outward over the substrate. This mechanism works fairly
well for solutions with low surface tension to form thin films of reasonable
junctions on smooth substrates. Here, we present a modified SC technique, namely,
ultrasonic-assisted spin-coating (UASC), to form thin films of coating solution
having high surface tension on rough substrates with excellent junctions. The
UASC technique couples SC with an external ultrasonic wave generator to provide
external perturbation to locally break down big drops of the coating material
into smaller droplets via Rayleigh instability. Because of their lower mass,
these tiny droplets gain low momenta and move slowly both in radial and azimuthal
directions, giving them an enough time to effectively permeate within pores,
thereby yielding excellent junctions. Furthermore, we also investigated the
effect of junction improvement on conventional and inverted bulk heterojunction
organic solar cells. Intriguingly, the organic solar cells fabricated by the UASC
method showed an improved efficiency compared to typical SC owing to efficient
charge transfer across the junction. These results clearly imply that UASC is a
simple and powerful technique which can significantly enhance the device
performance by improving the junction. Moreover, we believe that UASC can be more
effective for the preparation of devices composed of multilayers of different
materials having complicated nanostructures.
PMID- 29786432
TI - Modular Design of Fluorescent Dibenzo- and Naphtho-Fluoranthenes: Structural
Rearrangements and Electronic Properties.
AB - A library of 12 dibenzo- and naphtho-fluoranthene polycyclic aromatic
hydrocarbons (PAHs) with MW = 302 (C24H14) was synthesized via a Pd-catalyzed
fluoranthene ring-closing reaction. By understanding the various modes by which
the palladium migrates during the transformation, structural rearrangements were
bypassed, obtaining pure PAHs in high yields. Spectroscopic and electrochemical
characterization demonstrated the profound diversity in the electronic structures
between isomers. Highlighting the significant differences in emission of visible
light, this library of PAHs will enable their standardization for toxicological
assessment and potential use as optoelectronic materials.
PMID- 29786433
TI - Polymer Diffusion in the Interphase Between Surface and Solution.
AB - Total internal reflection fluorescence correlation spectroscopy (TIR-FCS) is
applied to study the self-diffusion of poly(ethylene glycol) solutions in the
presence of weakly attractive interfaces. Glass coverslips modified with
aminopropyl- and propyl-terminated silanes are used to study the influence of
solid surfaces on polymer diffusion. A model of three phases of polymer diffusion
allows to describe the experimental fluorescence autocorrelation functions.
Besides the two-dimensional diffusion of adsorbed polymer on the substrate and
three-dimensional free diffusion in bulk solution, a third diffusion time scale
is observed with intermediate diffusion times. This retarded three-dimensional
diffusion in the solution is assigned to the long-range effects of solid surfaces
on diffusional dynamics of polymers. The respective diffusion constants show
Rouse scaling ( D ~ N-1), indicating a screening of hydrodynamic interactions by
the presence of the surface. Hence, the presented TIR-FCS method proves to be a
valuable tool to investigate the effect of surfaces on polymer diffusion beyond
the first adsorbed polymer layer on the 100 nm length scale.
PMID- 29786434
TI - Ion Imaging of MgI+ Photofragment in Ultraviolet Photodissociation of Mass
Selected Mg+ICH3 Complex.
AB - We have observed images of MgI+ fragment ions produced in ultraviolet laser
photodissociation of mass-selected Mg+ICH3 ions at 266 nm. Split distribution
almost perpendicular to the polarization direction of the photolysis laser was
observed in the photofragment image. Potential energy curves of Mg+ICH3 were
obtained by theoretical calculations. Among these curves, the excited complex ion
dissociated along almost repulsive potentials with several avoided crossings,
which was connected to MgI+ + CH3. In the ground state of Mg+ICH3, the CH3I was
bonded with Mg from the iodine side, and the Mg-I-C bond angle was calculated to
be 101.1 degrees . The theoretical results also indicated that the dissociation
occurred after the 52A' <- 12A' photoexcitation, where the transition dipole
moment was almost parallel to the Mg-I bond axis. The MgI+ and CH3 fragments
dissociated each other parallel to the direction connecting those center-of
masses, which was 67 degrees with respect to the transition dipole moment of
52A' <- 12A' photoexcitation. Therefore, the fragment recoil direction was
assumed to approach perpendicular tendency against the polarization direction
under the fast dissociation process. However, calculated potential energy curves
showed a complicated reaction pathway for MgI+ production, including nonadiabatic
processes, although the experimental results indicated the fast dissociation
reaction.
PMID- 29786435
TI - Asymmetric Construction of a Multi-Pharmacophore-Containing
Dispirotriheterocyclic Scaffold and Identification of a Human Carboxylesterase 1
Inhibitor.
AB - A catalytic asymmetric [3 + 2] cyclization of novel 4-isothiocyanato pyrazolones
and isatin-derived ketimines was developed, delivering a wide range of intriguing
dispirotriheterocyclic products in high yield with excellent diastereoselectivity
and enantioselectivity. A chiral sulfoxide derivative of this dispirocyclic
product was identified to be a promising hit of the human carboxylesterase 1
inhibitor, and the significant difference of the activity between two enantiomers
emphasized the importance of this asymmetric process.
PMID- 29786437
TI - Cobalt-Catalyzed Oxidative C(sp3)-H Phosphonylation for alpha-Aminophosphonates
via C(sp3)-H/P(O)-H Coupling.
AB - The first oxidative C(sp3)-H phosphonylation of tertiary aliphatic amines has
been developed. The use of cobalt acetate as a catalyst, N-hydroxyphthalimide as
a cocatalyst, and air as an oxidant enabled the conversion of tertiary aromatic
and aliphatic amines into alpha-aminophosphonates in moderate to excellent yields
under mild conditions via a cross dehydrogenative coupling reaction.
PMID- 29786436
TI - Cytotoxic and Antibacterial Eremophilane Sesquiterpenes from the Marine-Derived
Fungus Cochliobolus lunatus SCSIO41401.
AB - Three new eremophilane sesquiterpenes, dendryphiellins H-J (1-3), and three new
phthalide natural products (4-6) were isolated from the marine-derived fungus
Cochliobolus lunatus SCSIO41401. Their structures including absolute
configurations were determined by spectroscopic and calculated ECD analyses.
Dendryphiellin I (2) showed cytotoxic and antibacterial activities against five
cancer cell lines (IC50 1.4 to 4.3 MUM) and three bacterial species (MIC 1.5 to
13 MUg/mL), respectively. Dendryphiellin J (3), a rare naturally occurring
aldoxime analogue, displayed cytotoxicities against ACHN and HepG-2 cells with
IC50 values of 3.1 and 5.9 MUM, respectively. Further studies indicated that 3
induced apoptosis in ACHN cells in a dose- and time-dependent manner.
PMID- 29786439
TI - A Class of Amide Ligands Enable Cu-Catalyzed Coupling of (Hetero)aryl Halides
with Sulfinic Acid Salts under Mild Conditions.
AB - The amide derived from 4-hydroxy-l-proline and 2,6-dimethylaniline is a powerful
ligand for Cu-catalyzed coupling of (hetero)aryl halides with sulfinic acid
salts, allowing the formation of a wide range of (hetero)aryl sulfones from the
corresponding (hetero)aryl halides at considerably low catalytic loadings. The
coupling of (hetero)aryl iodides and sodium methanesulfinate proceeds at room
temperature with only 0.5 mol % CuI and ligand, representing the first example
for Cu-catalyzed arylation at both low catalytic loading and room temperature.
PMID- 29786438
TI - An Accessible Method for DFT Calculation of 11B NMR Shifts of Organoboron
Compounds.
AB - The study of boron-mediated reactions in organic synthesis and reactions of
organoboron compounds is greatly facilitated by the use of 11B NMR. However, the
identification and characterization of reaction intermediates in often complex
systems is far from trivial, as 11B NMR does not provide any detailed structural
information. Greater insight into the structures present in such systems can be
obtained by using DFT chemical shift calculations to support or exclude proposed
reaction intermediates. In this article, we report a rapid and accessible
approach to the calculation of 11B NMR shifts that is applicable to a wide range
of organoboron compounds.
PMID- 29786440
TI - Surface Ligand Promotion of Carbon Dioxide Reduction through Stabilizing
Chemisorbed Reactive Intermediates.
AB - We have explored functionalizing metal catalysts with surface ligands as an
approach to facilitate electrochemical carbon dioxide reduction reaction (CO2RR).
To provide a molecular level understanding of the mechanism by which this
enhancement occurs, we combine in situ spectroscopy analysis with an
interpretation based on quantum mechanics (QM) calculations. We find that a
surface ligand can play a critical role in stabilizing the chemisorbed CO2, which
facilitates CO2 activation and leads to a 0.3 V decrease in the overpotential for
carbon monoxide (CO) formation. Moreover, the presence of the surface ligand
leads to nearly exclusive CO production. At -0.6 V (versus reversible hydrogen
electrode, RHE), CO is the only significant product with a faradic efficiency of
93% and a current density of 1.9 mA cm-2. This improvement corresponds to 53-fold
enhancement in turnover frequency compared with the Ag nanoparticles (NPs)
without surface ligands.
PMID- 29786441
TI - X-ray Photoelectron Spectroscopy Studies of Nanoparticles Dispersed in Static
Liquid.
AB - For nanoparticles active for chemical and energy transformations in static liquid
environment, chemistries of surface or near-surface regions of these catalyst
nanoparticles in liquid are crucial for fundamentally understanding their
catalytic performances at a molecular level. Compared to catalysis at a solid-gas
interface, there is very limited information on the surface of these catalyst
nanoparticles under a working condition or during catalysis in liquid.
Photoelectron spectroscopy is a surface-sensitive technique; however, it is
challenging to study the surfaces of catalyst nanoparticles dispersed in static
liquid because of the short inelastic mean free path of photoelectrons traveling
in liquid. Here, we report a method for tracking the surface of nanoparticles
dispersed in static liquid by employing graphene layers as an electron
transparent membrane to separate the static liquid containing a solvent, catalyst
nanoparticles, and reactants from the high-vacuum environment of photoelectron
spectrometers. The surfaces of Ag nanoparticles dispersed in static liquid sealed
in such a graphene membrane liquid cell were successfully characterized using a
photoelectron spectrometer equipped with a high vacuum energy analyzer. With this
method, the surface of catalyst nanoparticles dispersed in liquid during
catalysis at a relatively high temperature up to 150 degrees C can be tracked
with photoelectron spectroscopy.
PMID- 29786442
TI - Synthesis of C-Glycoinositols from C-Glycosylcrotylstannanes.
AB - A strategy for the synthesis of C-pseudodisaccharides that centers on the
reaction of a C-linked crotyltin and a substituted pent-4-enal and a ring-closing
metathesis-alkene dihydroxylation sequence on the derived crotylation products is
illustrated in the preparation of analogues of the insulin modulatory inositol
galactosamine-beta-(1 -> 4)-3-O-methyl-d- chiro-inositol (beta-INS-2). The
modularity of this approach and versatility of the pivotal crotylation products
make this a potentially general methodology for diverse libraries of C
glycoinositols.
PMID- 29786443
TI - Experimental Study of the Structural Effect on the Nanosecond Nonlinear Optical
Response of O-Doped Polycyclic Aromatic Hydrocarbons.
AB - The nonlinear optical response of some O-doped polycyclic aromatic hydrocarbons
(PAHs) is systematically investigated in the present work aiming to understand
the influence of structural effects on their nonlinear optical response. In that
view, the third-order nonlinear optical properties of these PAHs were measured
under 4 ns visible (532 nm) and infrared (1064 nm) laser excitation. The O-doped
PAHs were found to exhibit large saturable absorption and negative sign nonlinear
refraction under visible excitation, increasing both with the addition of
naphthalene units and with the number of O atoms. Their nonlinear optical
response was found to be negligible under infrared excitation. Similar
measurements performed on thin films of these PAHs have shown that they maintain
their large nonlinear optical response even in the solid state, confirming their
high potential for optoelectronic and photonic applications.
PMID- 29786444
TI - Exploring the Confinement Effect of Carbon Nanotubes on the Electrochemical
Properties of Prussian Blue Nanoparticles.
AB - A novel and efficient photochemical method has been proposed for the
encapsulation of Prussian blue nanoparticles (PBNPs) inside the channels of
carbon nanotubes (PB-in-CNTs) in an acidic ferrocyanide solution under UV/vis
illumination, and the confinement effect of CNTs on the electrochemical
properties of PBNPs is systematically explored. PB-in-CNTs show a faster electron
transfer process, an enhanced electrocatalytic activity toward the reduction of
H2O2, and an increased anti-base ability compared to PBNPs loaded outside of CNTs
(PB-out-CNTs). In addition, PB-in-CNTs show an increased electrochemical
reversibility and an unexpected diameter-independent catalytic activity with the
decrease of CNT diameters. The improved electrochemical properties of PB-in-CNTs
are attributed to the modified electronic properties and dimensions of PBNPs
induced by the confinement effect of CNTs. This work provides further insights
into the confinement effect on the properties of nanomaterials and will inspire
extensive relevant investigations in the development of novel composites or
excellent catalysts.
PMID- 29786445
TI - Iron-Catalyzed Dehydrative Alkylation of Propargyl Alcohol with Alkyl Peroxides
To Form Substituted 1,3-Enynes.
AB - This paper reports a new method for the generation of substituted 1,3-enynes,
whose synthesis by other methods could be a challenge. The dehydrative
decarboxylative cascade coupling reaction of propargyl alcohol with alkyl
peroxides is enabled by an iron catalyst and alkylating reagents. Primary,
secondary, and tertiary alkyl groups can be introduced into 1,3-enynes, affording
various substituted 1,3-enynes in moderate to good yields. Mechanistic studies
suggest the involvement of a radical-polar crossover pathway.
PMID- 29786446
TI - Total Synthesis of the Marine Phosphomacrolide, (-)-Enigmazole A, Exploiting
Multicomponent Type I Anion Relay Chemistry (ARC) in Conjunction with a Late
Stage Petasis-Ferrier Union/Rearrangement.
AB - An effective late-stage large-fragment union/rearrangement exploiting the Petasis
Ferrier protocol, in conjunction with multicomponent Type I Anion Relay Chemistry
(ARC) to access advanced intermediates, permits completion of a convergent,
stereocontrolled total synthesis of the architecturally complex phosphomacrolide
(-)-enigmazole A (1).
PMID- 29786448
TI - Serum RBP4 positively correlates with triglyceride level but not with BMI, fat
mass and insulin resistance in healthy obese and non-obese individuals.
AB - PURPOSE: Retinol binding protein 4 (RBP4) has recently been identified as an
adipokine possibly involved in the development of impaired glucose metabolism. We
aimed to test serum RBP4 in healthy non-obese individuals and in patients with
well-characterized phenotype: obesity without confounding effects of diabetes,
metabolic syndrome or dyslipidaemia. Additionally, we examined whether serum RBP4
is associated with anthropometric parameters, insulin resistance and blood lipid
parameters. PATIENTS AND METHODS: Twenty-eight patients with obesity and no co
morbidities and twenty-five age-matched lean controls were recruited.
Anthropometric parameters, body composition, fasting blood lipid profile, RBP4,
glucose and insulin were assessed and HOMA-IR was calculated. RESULTS: Mean
concentration of RBP4 did not differ between studied groups (in obese patients
was 33.93 +/- 4.46 ug/ml and 32.53 +/- 2.53 ug/ml in non-obese controls). RBP4
positively correlated with serum triglycerides in obese and non-obese individuals
(r = 0.74, p = 0.03 and r = 0.62, p = 0.02, respectively) and did not show any
significant associations with HOMA-IR, anthropometric and body composition
parameters. CONCLUSIONS: Excessive adiposity without co-morbidities is not
associated with higher levels of circulating RBP4. Serum RBP4 cannot be
considered as a direct predictive marker for impaired glucose metabolism. RBP4
possibly contributes to lipid metabolism.
PMID- 29786447
TI - Thickness-Tunable Synthesis of Ultrathin Type-II Dirac Semimetal PtTe2 Single
Crystals and Their Thickness-Dependent Electronic Properties.
AB - The recent discovery of topological semimetals has stimulated extensive research
interest due to their unique electronic properties and novel transport properties
related to a chiral anomaly. However, the studies to date are largely limited to
bulk crystals and exfoliated flakes. Here, we report the controllable synthesis
of ultrathin two-dimensional (2D) platinum telluride (PtTe2) nanosheets with
tunable thickness and investigate the thickness-dependent electronic properties.
We show that PtTe2 nanosheets can be readily grown, using a chemical vapor
deposition approach, with a hexagonal or triangular geometry and a lateral
dimension of up to 80 MUm, and the thickness of the nanosheets can be
systematically tailored from over 20 to 1.8 nm by reducing the growth temperature
or increasing the flow rate of the carrier gas. X-ray-diffraction, transmission
electron microscopy, and electron-diffraction studies confirm that the resulting
2D nanosheets are high-quality single crystals. Raman spectroscopic studies show
characteristics Eg and A1g vibration modes at ~109 and ~155 cm-1, with a
systematic red shift with increasing nanosheet thickness. Electrical transport
studies show the 2D PtTe2 nanosheets display an excellent conductivity up to 2.5
* 106 S m-1 and show strong thickness-tunable electrical properties, with both
the conductivity and its temperature dependence varying considerably with the
thickness. Moreover, 2D PtTe2 nanosheets show an extraordinary breakdown current
density up to 5.7 * 107 A/cm2, the highest breakdown current density achieved in
2D metallic transition-metal dichalcogenides to date.
PMID- 29786449
TI - Fault Detection Based on Near-Infrared Spectra for the Oil Desalting Process.
AB - The fault detection problem of the oil desalting process is investigated in this
paper. Different from the traditional fault detection approaches based on
measurable process variables, near-infrared (NIR) spectroscopy is applied to
acquire the process fault information from the molecular vibrational signal. With
the molecular spectra data, principal component analysis was explored to
calculate the Hotelling T2 and squared prediction error, which act as fault
indicators. Compared with the traditional fault detection approach based on
measurable process variables, NIR spectra-based fault detection illustrates more
sensitivity to early failure because of the fact that the changes in the
molecular level can be identified earlier than the physical appearances on the
process. The application results show that the detection time of the proposed
method is earlier than the traditional method by about 200 min.
PMID- 29786451
TI - Annexin A2 is a Robo4 ligand that modulates ARF6 activation-associated cerebral
trans-endothelial permeability.
AB - Blood-brain barrier (BBB) disruption in neurological disorders remains an
intractable problem with limited therapeutic options. Here, we investigate
whether the endothelial cell membrane protein annexin A2 (ANXA2) may play a role
in reducing trans-endothelial permeability and maintaining cerebrovascular
integrity after injury. Compared with wild-type mice, the expression of cerebral
endothelial junctional proteins was reduced in E15.5 and adult ANXA2 knockout
mice, along with increased leakage of small molecule tracers. In human brain
endothelial cells that were damaged by hypoxia plus IL-1beta, treatment with
recombinant ANXA2 (rA2) rescued the expression of junctional proteins and
decreased trans-endothelial permeability. These protective effects were mediated
in part by interactions with F-actin and VE-cadherin, and the ability of rA2 to
modulate signaling via the roundabout guidance receptor 4 (Robo4)-paxillin-ADP
ribosylation factor 6 (ARF6) pathway. Taken together, these observations suggest
that ANXA2 may be associated with the maintenance of endothelial tightness after
cerebrovascular injury. ANXA2-mediated pathways should be further explored as
potential therapeutic targets for protecting the BBB in neurological disorders.
PMID- 29786452
TI - Identification of a Novel HIV-1 Unique Recombinant Form Comprising CRF01_AE,
Subtype B', and CRF65_cpx Among Men Who Have Sex with Men in Jilin, China.
AB - The current HIV-1 epidemic in China is featured by diverse subtypes and continual
emergence of new recombinant viruses. This study identified a novel unique
recombinant form (URF), JL16013, among men who have sex with men (MSM) in Jilin,
China. The JL16013 virus was different from all known subtypes and set up a
distinct branch on the phylogenetic tree. This virus had a CRF01_AE backbone with
two subtype B' fragments and one CRF65_cpx fragment inserted into gag, pol, env,
and nef regions, suggesting that this novel URF might have originated from the
CRF01_AE, subtype B', and CRF65_cpx viruses that were cocirculating in Jilin
province. This was the first report of the CRF01_AE/B'/CRF65_cpx recombinant in
China. Identification of this URF indicated the severity and complexity of the
HIV-1 epidemic among MSM in Jilin province. Timely surveillance of new HIV-1
infections and new recombinants among the MSM population is urgently required.
PMID- 29786453
TI - Cross-cultural adaptation and psychometric evaluation of the Singapore version of
the Chedoke Arm and Hand Activity.
AB - PURPOSE: To develop a Singapore version of the Chedoke Arm and Hand Activity
Inventory (CAHAI) and to estimate the construct validity and inter-rater
reliability. MATERIALS AND METHODS: The Translation and Cross-Cultural Adaptation
of Objectively Assessed Outcome measure procedure was used to systematically
adapt the CAHAI. We recruited 56 adults admitted to an inpatient stroke facility
to evaluate the psychometric properties of the Singapore version of the CAHAI.
The Singapore version of the CAHAI, Fugl-Meyer Assessment of Upper Extremity (FMA
UE), and the Action Research Arm Test (ARAT) were administered to all
participants. We used Spearman's rank correlation coefficients to estimate
convergent and discriminative validity, and reliability was estimated using the
intra-class correlation coefficient and standard error of measurement. RESULTS:
Implementation of the Translation and Cross-Cultural Adaptation of Objectively
Assessed Outcome measure procedure resulted in the modification to two test
items. The Singapore version of the CAHAI demonstrated convergent validity with
the FMA-UE (rs = 0.87; 95% CI: 0.76, 0.92) and ARAT (rs = 0.80; 95% CI: 0.63,
0.9). Discriminative validity between the Singapore version of the CAHAI and FMA
UE pain subscale was rs= 0.42 (95% CI: 0.22, 0.59). Reliability of the Singapore
version of the CAHAI was 0.97 (95% CI: 0.94, 0.99) and standard error of
measurement of 4.80 points (95% CI: 4.23, 5.55). CONCLUSION: The Singapore
version of the CAHAI demonstrated good validity and reliability, similar to the
properties of the original CAHAI. Implications for rehabilitation The Singapore
version of the Chedoke Arm and Hand Activity Inventory demonstrates evidence of
construct validity and inter-rater reliability. The Singapore version of the
Chedoke Arm and Hand Activity Inventory can be used by clinicians and researchers
to evaluate function in the affected upper extremity for persons with stroke in
Singapore.
PMID- 29786450
TI - Atrial fibrillation symptom clusters and associated clinical characteristics and
outcomes: A cross-sectional secondary data analysis.
AB - BACKGROUND:: Symptom clusters among adults with atrial fibrillation have
previously been identified but no study has examined the relationship between
symptom clusters and outcomes. AIMS:: The purpose of this study was to identify
atrial fibrillation-specific symptom clusters, characterize individuals with each
cluster, and determine whether symptom cluster membership is associated with
healthcare utilization. METHODS:: This was a cross-sectional secondary data
analysis of 1501 adults from the Vanderbilt Atrial Fibrillation Registry with
verified atrial fibrillation. Self-reported symptoms were measured with the
University of Toronto Atrial Fibrillation Severity Scale. We used hierarchical
cluster analysis (Ward's method) to identify clusters and dendrograms, pseudo F,
and pseudo T-squared to determine the ideal number of clusters. Next, we used
regression analysis to examine the association between cluster membership and
healthcare utilization. RESULTS:: Males predominated (67%) and the average age
was 58.4 years. Two symptom clusters were identified, a Weary cluster (3.7%,
n=56, fatigue at rest, shortness of breath at rest, chest pain, and dizziness)
and an Exertional cluster (32.7%, n=491, shortness of breath with activity and
exercise intolerance). Several sociodemographic and clinical characteristics
varied by symptom cluster group membership, including age, gender, atrial
fibrillation type, body mass index, comorbidity status, and treatment strategy.
Women were more likely to experience either cluster ( p<0.001). The Weary cluster
was associated with nearly triple the rate of emergency department utilization
(incident rate ratio [IRR] 2.8, p<0.001) and twice the rate of hospitalizations
(IRR 1.9, p<0.001). CONCLUSION:: We identified two symptom clusters. The Weary
cluster was associated with a significantly increased rate of healthcare
utilization.
PMID- 29786454
TI - Serum cystatin C as an early predictor of acute kidney injury in preterm neonates
with respiratory distress syndrome.
AB - Preterm neonates with respiratory distress syndrome (RDS) are at increased risk
of acute kidney injury (AKI). Our study aimed at determining whether serum
cystatin C (sCysC) on day 3 of life (D3) can early predict AKI in preterm
neonates with RDS. This prospective study was conducted on 75 preterm neonates;
50 with RDS and 25 without RDS. On D3, sCysC, serum creatinine (sCr) and blood
urea nitrogen (BUN) were measured and estimated glomerular filtration rate (eGFR)
was calculated. sCr and BUN levels were measured again on days 5 and 7. Neonates
were evaluated for development of AKI during first week of life according to the
modified pediatric RIFLE (pRIFLE) criteria. Thirteen neonates with RDS developed
AKI (26%).There was no significant difference between RDS and control groups with
respect to sCysC. RDS neonates with AKI had significantly higher sCysC than those
without AKI (1.62 +/- 0.12 versus 1.16 +/- 0.09 mg/l; p < .001). RDS grade III-IV
neonates had significantly higher sCysC than RDS grade I-II. There was a
significant positive correlation between D3 sCysC and (D5 and D7 sCr and BUN).
Receiver operating characteristic (ROC) curve showed that D3 sCysC can predict
AKI in preterm neonates with RDS at a cutoff point of >1.3 mg/l with sensitivity
of 92.30% and specificity of 96%. We conclude that neonates with RDS are at
increased risk of AKI. sCysC on day 3 of life can predict AKI earlier than Cr and
eGFR.
PMID- 29786456
TI - What everybody should know about postural changes.
AB - Posture changes have been known for a long time to influence the concentration of
many analytes in blood especially macromolecules. It is very clear that when
someone switches from supine to sitting or standing or from sitting to standing a
hemoconcentration is induced. Similarly, when someone switches from standing to
sitting or lying a hemodilution occurs. In the context of clinical chemistry,
rules have been issued to buffer the impact of postural changes close to the
blood specimen collection (e.g. 15 min of seated rest before the blood puncture).
A big work has then been performed to educate the personnel concerned by blood
specimen collection (medical doctors, nurses, phlebotomists, pharmacists,
clinical researchers, scientists, etc.) through professional training to
standardize the puncture and the collection procedures. Official procedures and
guidelines have been published. Nevertheless, there is still a long way to go and
too often standardization of posture before the blood collection is not properly
performed. Maybe, this relative failure could be overcome by using new strategies
in forwarding the message on the impact of posture changes in the outcome of
blood tests and the importance of controlling this factor when blood specimens
are taken. Some possible actions are presented concerning the improvement of the
education of medical and paramedical personnel especially during their primary
training, and also to educate the patients and the whole population in general.
PMID- 29786455
TI - Estimation of bone mineral density and breaking strength of laying hens based on
scans of computed tomography for body composition analysis.
AB - 1. The objective of this study was to evaluate the prediction potential of a
computer tomography (CT) data collection protocol for determining total body
composition used for analysis of tibiotarsal bone quality features. 2. The CT
image acquisition was performed on 54 healthy TETRA SL genotype laying hens at 90
weeks of age as well as in the 69th week of the egg production period in vivo and
their tibiotarsal bones, ex vivo. 3. Breaking strengths and ash content of the
tibiotarsal bones were estimated based on the calculated mineral density of
skeletal and tibiotarsal bones by means of CT with an estimation accuracy R2
0.963 and 0.975, respectively. 4. In conclusion, the current investigation
demonstrated that the acquisition protocol of CT for total-body composition
analysis has a good potential for measuring the mineral status and breaking
strength of the reference bone in laying hen.
PMID- 29786459
TI - Anatomy of the Attraction Basins: Breaking with the Intuition.
AB - Solving combinatorial optimization problems efficiently requires the development
of algorithms that consider the specific properties of the problems. In this
sense, local search algorithms are designed over a neighborhood structure that
partially accounts for these properties. Considering a neighborhood, the space is
usually interpreted as a natural landscape, with valleys and mountains. Under
this perception, it is commonly believed that, if maximizing, the solutions
located in the slopes of the same mountain belong to the same attraction basin,
with the peaks of the mountains being the local optima. Unfortunately, this is a
widespread erroneous visualization of a combinatorial landscape. Thus, our aim is
to clarify this aspect, providing a detailed analysis of, first, the existence of
plateaus where the local optima are involved, and second, the properties that
define the topology of the attraction basins, picturing a reliable visualization
of the landscapes. Some of the features explored in this article have never been
examined before. Hence, new findings about the structure of the attraction basins
are shown. The study is focused on instances of permutation-based combinatorial
optimization problems considering the 2-exchange and the insert neighborhoods. As
a consequence of this work, we break away from the extended belief about the
anatomy of attraction basins.
PMID- 29786458
TI - How to Specify a Reference Point in Hypervolume Calculation for Fair Performance
Comparison.
AB - The hypervolume indicator has frequently been used for comparing evolutionary
multi-objective optimization (EMO) algorithms. A reference point is needed for
hypervolume calculation. However, its specification has not been discussed in
detail from a viewpoint of fair performance comparison. A slightly worse point
than the nadir point is usually used for hypervolume calculation in the EMO
community. In this paper, we propose a reference point specification method for
fair performance comparison of EMO algorithms. First, we discuss the relation
between the reference point specification and the optimal distribution of
solutions for hypervolume maximization. It is demonstrated that the optimal
distribution of solutions strongly depends on the location of the reference point
when a multi-objective problem has an inverted triangular Pareto front. Next, we
propose a reference point specification method based on theoretical discussions
on the optimal distribution of solutions. The basic idea is to specify the
reference point so that a set of well-distributed solutions over the entire
linear Pareto front has a large hypervolume and all solutions in such a solution
set have similar hypervolume contributions. Then, we examine whether the proposed
method can appropriately specify the reference point through computational
experiments on various test problems. Finally, we examine the usefulness of the
proposed method in a hypervolume-based EMO algorithm. Our discussions and
experimental results clearly show that a slightly worse point than the nadir
point is not always appropriate for performance comparison of EMO algorithms.
PMID- 29786460
TI - Counterexample-Driven Genetic Programming: Heuristic Program Synthesis from
Formal Specifications.
AB - Conventional genetic programming (GP) can guarantee only that synthesized
programs pass tests given by the provided input-output examples. The alternative
to such a test-based approach is synthesizing programs by formal specification,
typically realized with exact, nonheuristic algorithms. In this article, we build
on our earlier study on Counterexample-Based Genetic Programming (CDGP), an
evolutionary heuristic that synthesizes programs from formal specifications. The
candidate programs in CDGP undergo formal verification with a Satisfiability
Modulo Theory (SMT) solver, which results in counterexamples that are
subsequently turned into tests and used to calculate fitness. The original CDGP
is extended here with a fitness threshold parameter that decides which programs
should be verified, a more rigorous mechanism for turning counterexamples into
tests, and other conceptual and technical improvements. We apply it to 24
benchmarks representing two domains: the linear integer arithmetic (LIA) and the
string manipulation (SLIA) problems, showing that CDGP can reliably synthesize
provably correct programs in both domains. We also confront it with two state-of
the art exact program synthesis methods and demonstrate that CDGP effectively
trades longer synthesis time for smaller program size.
PMID- 29786457
TI - Xanthine oxidase inhibitors and sepsis.
AB - Xanthine oxidase activation occurs in sepsis and results in the generation of
uric acid (UrAc) and reactive oxygen species (ROS). We aimed to evaluate the
effect of xanthine oxidase inhibitors (XOis) in rats stimulated with
lipopolysaccharide (LPS). LPS (10 mg/kg) was administered intraperitoneally
(i.p.) immediately after allopurinol (Alo, 2 mg/kg) or febuxostat (Feb, 1 mg/kg)
every 24 h for 3 days. To increase UrAc levels, oxonic acid (Oxo) was
administered by gavage (750 mg/kg per day) for 5 days. Animals were divided into
the following 10 groups (n = 6 each): (1) Control, (2) Alo, (3) Feb, (4) LPS, (5)
LPSAlo, (6) LPSFeb, (7) Oxo, (8) OxoLPS, (9) OxoLPSAlo, and (10) OxoLPSFeb. Feb
with or without Oxo did not aggravate sepsis. LPS administration (with or without
Oxo) significantly decreased the creatinine clearance (ClCr) in LPSAlo (60%, P <
0.01) versus LPS (44%, P < 0.05) and LPSFeb (35%, P < 0.05). Furthermore, a
significant increase in mortality was observed with LPSAlo (28/34, 82%) compared
to LPS treatment alone (10/16, 63%) and LPSFeb (11/17, 65%, P < 0.05). In
addition, increased levels of thiobarbituric acid reactive substances (TBARS),
tumor necrosis factor (TNF)-alpha, interleukin (IL)-6, and IL-10 were observed at
72 h compared to the groups that received LPS and LPSFeb with or without Oxo. In
this study, coadministration of Alo in LPS-induced experimental sepsis aggravated
septic shock, leading to mortality, renal function impairment, and high ROS and
proinflammatory IL levels. In contrast, administration of Feb did not potentiate
sepsis, probably because it did not interfere with other metabolic events.
PMID- 29786461
TI - Gender and diagnostic impact on everyday technology use: a differential item
functioning (DIF) analysis of the Everyday Technology Use Questionnaire (ETUQ).
AB - BACKGROUND: As the use of everyday technology is increasingly important for
participation in daily activities, more in-depth knowledge of everyday technology
use in relation to diagnosis and gender is needed. The purpose of this study was
to investigate the stability of the perceived challenge of a variety of everyday
technologies across different samples of varying diagnoses including both males
and females. METHODS: This cross-sectional study used 643 data records from
clinical and research samples, including persons with dementia or related
disorders, acquired brain injury, intellectual disability, various mental or
medical disorders, and adults without known diagnoses. The Everyday Technology
Use Questionnaire, comprising 93 everyday technology artifacts and services
(items) measuring the level of everyday technology challenge and relevance of and
perceived ability to use these was used for data gathering. A two-faceted Rasch
model in combination with differential item functioning (DIF) analyses were used
for comparing item hierarchies across samples. RESULTS: Only three items (3.2%)
demonstrated a clinically relevant DIF by gender, and nine items (9.7%) by
diagnosis. DISCUSSION: The findings support a stable hierarchy of everyday
technology challenge in home and community that can facilitate planning of an
accessible and inclusive society from a technological departure point.
Implications for Rehabilitation The ability to manage everyday technology is
increasingly important for participation in everyday activities at home and in
the community for people with and without disabilities. This study demonstrates
that differences in perceived challenges in using various everyday technologies
across gender and diagnosis are minimal. The findings provide evidence of no or
minor systematic bias in testing when using the Everyday Technology Use
Questionnaire in clinical practice and research. Empirical knowledge about the
perceived challenge of specific everyday technologies of people with variations
in gender or diagnosis is still sparse, hence this study can inspire practice and
future research.
PMID- 29786462
TI - Multi-institutional study of the variability in target delineation for six
targets commonly treated with radiosurgery.
AB - BACKGROUND: Although accurate delineation of the target is a key factor of
success in radiosurgery there are no consensus guidelines for target contouring.
AIM: The aim of the present study was therefore to quantify the variability in
target delineation and discuss the potential clinical implications, for six
targets regarded as common in stereotactic radiosurgery. MATERIAL AND METHODS:
Twelve Gamma Knife centers participated in the study by contouring the targets
and organs at risks and performing the treatment plans. Analysis of target
delineation variability was based on metrics defined based on agreement volumes
derived from overlapping structures following a previously developed method. The
50% agreement volume (AV50), the common and the encompassing volumes as well as
the Agreement Volume Index (AVI) were determined. RESULTS: Results showed that
the lowest AVI (0.16) was found for one of the analyzed metastases (range of
delineated volumes 1.27-3.33 cm3). AVI for the other two metastases was 0.62 and
0.37, respectively. Corresponding AVIs for the cavernous sinus meningioma,
pituitary adenoma and vestibular schwannoma were 0.22, 0.37 and 0.50.
CONCLUSIONS: This study showed that the variability in the contouring was much
higher than expected and therefore further work in standardizing the contouring
practice in radiosurgery is warranted.
PMID- 29786464
TI - What Can Be Learned from Diffusion Tensor Imaging from a Large Traumatic Brain
Injury Cohort?: White Matter Integrity and Its Relationship with Outcome.
AB - Traumatic axonal injury (TAI) contributes significantly to mortality and
morbidity after traumatic brain injury (TBI), but its identification is still a
diagnostic challenge because of the limitations of conventional imaging
techniques to characterized it. Diffusion tensor imaging (DTI) can indirectly
identify areas of damaged white matter (WM) integrity by detecting water molecule
diffusion alterations. Therefore, DTI may improve detection and description of
TAI lesions after TBI. We have obtained DTI data from 217 patients with moderate
to severe TBI acquired at a median of 19 days after TBI, and patient DTI metrics
were compared with data obtained from 58 age-matched healthy controls. Region of
interest (ROI) method was applied to obtain mean fractional anisotropy (FA) value
in 28 WM fiber bundles susceptible to TAI. Our main results were that when we
compared patients with controls, patients, regardless of TBI severity, showed
significantly reduced mean FA in almost all ROI measured. We found statistically
significant correlation between FA metrics and some demographic, clinical, and
conventional imaging characteristics. Additionally, these FA metrics were highly
associated with outcome assessed at hospital discharge and at 6 and 12 months
after TBI. We conclude that FA reduction in the subacute stage after TBI assessed
by DTI may be a useful prognostic factor for long-term unfavorable outcome.
PMID- 29786463
TI - Hybrid Diffusion Imaging in Mild Traumatic Brain Injury.
AB - Mild traumatic brain injury (mTBI) is an important public health problem.
Although conventional medical imaging techniques can detect moderate-to-severe
injuries, they are relatively insensitive to mTBI. In this study, we used hybrid
diffusion imaging (HYDI) to detect white matter alterations in 19 patients with
mTBI and 23 other trauma control patients. Within 15 days (standard deviation =
10) of brain injury, all subjects underwent magnetic resonance HYDI and were
assessed with a battery of neuropsychological tests of sustained attention,
memory, and executive function. Tract-based spatial statistics (TBSS) was used
for voxel-wise statistical analyses within the white matter skeleton to study
between-group differences in diffusion metrics, within-group correlations between
diffusion metrics and clinical outcomes, and between-group interaction effects.
The advanced diffusion imaging techniques, including neurite orientation
dispersion and density imaging (NODDI) and q-space analyses, appeared to be more
sensitive then classic diffusion tensor imaging. Only NODDI-derived intra-axonal
volume fraction (Vic) demonstrated significant group differences (i.e., 5-9%
lower in the injured brain). Within the mTBI group, Vic and a q-space measure,
P0, correlated with 6 of 10 neuropsychological tests, including measures of
attention, memory, and executive function. In addition, the direction of
correlations differed significantly between groups (R2 > 0.71 and pinteration <
0.03). Specifically, in the control group, higher Vic and P0 were associated with
better performances on clinical assessments, whereas in the mTBI group, higher
Vic and P0 were associated with worse performances with correlation coefficients
>0.83. In summary, the NODDI-derived axonal density index and q-space measure for
tissue restriction demonstrated superior sensitivity to white matter changes
shortly after mTBI. These techniques hold promise as a neuroimaging biomarker for
mTBI.
PMID- 29786466
TI - Mineralo-organic nanoparticles in health and disease: an overview of recent
findings.
AB - We observed earlier that mineralo-organic nanoparticles form in human body fluids
when the concentrations of calcium, carbonate and phosphate exceed saturation.
The particles have been shown to represent mineral precursors in developing bones
and teeth as well as in ectopic calcification and kidney stones. Recent studies
suggest that the mineral particles may also be involved in other physiological
processes, including immune tolerance against the gut microbiota and food
antigens. We review here the involvement of mineralo-organic nanoparticles in
physiological and pathological processes and discuss recent findings that reveal
novel and unexpected roles for these particles in the human body.
PMID- 29786465
TI - Trunk Stability Enabled by Noninvasive Spinal Electrical Stimulation after Spinal
Cord Injury.
AB - Electrical neuromodulation of spinal networks improves the control of movement of
the paralyzed limbs after spinal cord injury (SCI). However, the potential of
noninvasive spinal stimulation to facilitate postural trunk control during
sitting in humans with SCI has not been investigated. We hypothesized that
transcutaneous electrical stimulation of the lumbosacral enlargement can improve
trunk posture. Eight participants with non-progressive SCI at C3-T9, American
Spinal Injury Association Impairment Scale (AIS) A or C, performed different
motor tasks during sitting. Electromyography of the trunk muscles, three
dimensional kinematics, and force plate data were acquired. Spinal stimulation
improved trunk control during sitting in all tested individuals. Stimulation
resulted in elevated activity of the erector spinae, rectus abdominis, and
external obliques, contributing to improved trunk control, more natural anterior
pelvic tilt and lordotic curve, and greater multi-directional seated stability.
During spinal stimulation, the center of pressure (COP) displacements decreased
to 1.36 +/- 0.98 mm compared with 4.74 +/- 5.41 mm without stimulation (p =
0.0156) in quiet sitting, and the limits of stable displacement increased by
46.92 +/- 35.66% (p = 0.0156), 36.92 +/- 30.48% (p = 0.0156), 54.67 +/- 77.99% (p
= 0.0234), and 22.70 +/- 26.09% (p = 0.0391) in the forward, backward, right, and
left directions, respectively. During self-initiated perturbations, the
correlation between anteroposterior arm velocity and the COP displacement
decreased from r = 0.5821 (p = 0.0007) without to r = 0.5115 (p = 0.0039) with
stimulation, indicating improved trunk stability. These data demonstrate that the
spinal networks can be modulated transcutaneously with tonic electrical spinal
stimulation to physiological states sufficient to generate a more stable, erect
sitting posture after chronic paralysis.
PMID- 29786467
TI - Bombesin functionalized 64Cu-copper sulfide nanoparticles for targeted imaging of
orthotopic prostate cancer.
AB - AIM: To synthesize and evaluate the imaging potential of Bom-PEG-[64Cu]CuS
nanoparticles (NPs) in orothotopic prostate tumor. MATERIALS & METHODS: [64Cu]CuS
NPs were synthesized in aqueous solution by 64CuCl2 and Na2S reaction. Then PEG
linker with or without bombesin peptide were conjugated to the surface of
[64Cu]CuS NPs to produce Bom-PEG-[64Cu]CuS and PEG-[64Cu]CuS NPs. These two kinds
of NPs were used for testing specific uptake in prostate cancer cells in vitro
and imaging of orthotopic prostate tumor in vivo. RESULTS: Bom-PEG-[64Cu]CuS and
PEG-[64Cu]CuS NPs were successfully synthesized with core diameter of
approximately 5 nm. Radioactive cellular uptake revealed that Bom-PEG-[64Cu]CuS
was able to specifically bind to prostate cancer cells, and the microPET-CT
imaging indicated clear visualization of orthotopic prostate tumors. CONCLUSION:
Radiolabeled Bom-PEG-[64Cu]CuS NPs have potential as an ideal agent for
orthotopic prostate tumor imaging by microPET-CT.
PMID- 29786468
TI - A Course-Embedded Comparison of Instructor-Generated Videos of Either an
Instructor Alone or an Instructor and a Student.
AB - Instructor-generated videos have become a popular way to engage students with
material before a class, yet this is a relatively unexplored area of research.
There is support for the use of videos in which instructors tutor students, but
few studies have been conducted within the context of a classroom. In this study,
conducted in a large-enrollment college physiology course, we used a randomized
crossover design to compare the impact of two types of instructor-generated
videos that students watched as part of their preclass assignments. We compared
videos featuring only an instructor (instructor-only videos) with videos
featuring an instructor tutoring a student (instructor-tutee videos). We analyzed
student survey responses and weekly physiology quiz scores and found that
students preferred, enjoyed, and valued the instructor-only videos significantly
more than the instructor-tutee videos. In contrast to prior literature, students
with a grade point average (GPA) below the median (3.49) performed significantly
better on physiology quizzes after watching instructor-only videos compared with
instructor-tutee videos. Students with a GPA at or above the median performed
equivalently on physiology quizzes after watching instructor-only or instructor
tutee videos. We present this study as an example of bringing cognitive science
studies into the context of a real physiology classroom.
PMID- 29786469
TI - Estimation versus falsification approaches in sport and exercise science.
AB - There has been a recent resurgence in debate about methods for statistical
inference in science. The debate addresses statistical concepts and their impact
on the value and meaning of analyses' outcomes. In contrast, philosophical
underpinnings of approaches and the extent to which analytical tools match
philosophical goals of the scientific method have received less attention. This
short piece considers application of the scientific method to "what-is-the
influence-of x-on-y" type questions characteristic of sport and exercise science.
We consider applications and interpretations of estimation versus falsification
based statistical approaches and their value in addressing how much x influences
y, and in measurement error and method agreement settings. We compare estimation
using magnitude based inference (MBI) with falsification using null hypothesis
significance testing (NHST), and highlight the limited value both of
falsification and NHST to address problems in sport and exercise science. We
recommend adopting an estimation approach, expressing the uncertainty of effects
of x on y, and their practical/clinical value against pre-determined effect
magnitudes using MBI.
PMID- 29786470
TI - Direct cortical stimulation of inferior frontal cortex disrupts both speech and
music production in highly trained musicians.
AB - Music and speech are human-specific behaviours that share numerous properties,
including the fine motor skills required to produce them. Given these
similarities, previous work has suggested that music and speech may at least
partially share neural substrates. To date, much of this work has focused on
perception, and has not investigated the neural basis of production, particularly
in trained musicians. Here, we report two rare cases of musicians undergoing
neurosurgical procedures, where it was possible to directly stimulate the left
hemisphere cortex during speech and piano/guitar music production tasks. We found
that stimulation to left inferior frontal cortex, including pars opercularis and
ventral pre-central gyrus, caused slowing and arrest for both speech and music,
and note sequence errors for music. Stimulation to posterior superior temporal
cortex only caused production errors during speech. These results demonstrate
partially dissociable networks underlying speech and music production, with a
shared substrate in frontal regions.
PMID- 29786472
TI - High-Speed Fluoroscopy to Measure Dynamic Spinal Cord Deformation in an In Vivo
Rat Model.
AB - Although spinal cord deformation is thought to be a predictor of injury severity,
few researchers have investigated dynamic cord deformation, in vivo, during
impact. This is needed to establish correlations among impact parameters,
internal cord deformation, and histological and functional outcomes. Relying on
surface deformations alone may not sufficiently represent spinal cord
deformation. The objective of this study was to develop a high-speed fluoroscopic
method of tracking the surface and internal cord deformations of rat spinal cord
during experimental cord injury. Two radio-opaque beads were injected into the
cord at C5/6 in the dorsal and ventral white matter. Four additional beads were
glued to the surface of the cord. Dynamic bead displacement was tracked during a
dorsal impact (130 mm/sec, 1 mm depth) by high-speed radiographic imaging at 3000
FPS, laterally. The internal spinal cord beads displaced significantly more than
the surface beads in the ventral direction (1.1-1.9 times) and more than most
surface beads in the cranial direction (1.2-1.5 times). The dorsal beads
(internal and surface) displaced more than the ventral beads during all impacts.
The bead displacement pattern implies that the spinal cord undergoes complex
internal and surface deformations during impact. Residual displacement of the
internal beads was significantly greater than that of the surface beads in the
cranial-caudal direction but not the dorsoventral direction. Finite element
simulation confirmed that the additional bead mass likely had little effect on
the internal cord deformations. These results support the merit of this technique
for measuring in vivo spinal cord deformation.
PMID- 29786473
TI - Re: "Concurrent Milk Ingestion Decreases Absorption of Levothyroxine" by Chon et
al. (Thyroid 2018;28:454-457).
PMID- 29786471
TI - The effects of polyphenol supplementation on adipose tissue morphology and gene
expression in overweight and obese humans.
AB - Dietary polyphenols have beneficial effects on adipose tissue mass and function
in rodents, but human studies are scarce. In a randomized, placebo-controlled
study, 25 (10 women) overweight and obese humans received a combination of the
polyphenols epigallocatechin-gallate and resveratrol (282 mg/d, 80 mg/d,
respectively, EGCG+RES, n = 11) or placebo (PLA, n = 14) supplementation for 12
weeks. Abdominal subcutaneous adipose tissue (SAT) biopsies were collected for
assessment of adipocyte morphology and micro-array analysis. EGCG+RES had no
effects on adipocyte size and distribution compared with PLA. However, we
identified pathways contributing to adipogenesis, cell cycle and apoptosis were
significantly downregulated by EGCG+RES versus PLA. Furthermore, EGCG+RES
significantly decreased expression of pathways related to energy metabolism,
oxidative stress, inflammation, and immune defense as compared with PLA. In
conclusion, the SAT gene expression profile indicates a reduced cell turnover
after 12-week EGCG+RES in overweight-obese subjects. It remains to be elucidated
whether these alterations translate into long-term metabolic effects.
PMID- 29786474
TI - A Mixed-Methods Investigation of Clicker Implementation Styles in STEM.
AB - Active learning with clickers is a common approach in high-enrollment, lecture
based courses in science, technology, engineering, and mathematics. In this
study, we describe the procedures that faculty at one institution used when
implementing clicker-based active learning, and how they situated these
activities in their class sessions. Using a mixed-methods approach, we
categorized faculty into four implementation styles based on quantitative
observation data and conducted qualitative interviews to further understand why
faculty used these styles. We found that faculty tended to use similar procedures
when implementing a clicker activity, but differed on how they situated the
clicker-based active learning into their courses. These variations were
attributed to different faculty goals for using clicker-based active learning,
with some using it to engage students at specific time points throughout their
class sessions and others who selected it as the best way to teach a concept from
several possible teaching techniques. Future research should continue to
investigate and describe how active-learning strategies from literature may
differ from what is being implemented.
PMID- 29786475
TI - Connecting Structure-Property and Structure-Function Relationships across the
Disciplines of Chemistry and Biology: Exploring Student Perceptions.
AB - While many university students take science courses in multiple disciplines,
little is known about how they perceive common concepts from different
disciplinary perspectives. Structure-property and structure-function
relationships have long been considered important explanatory concepts in the
disciplines of chemistry and biology, respectively. Fourteen university students
concurrently enrolled in introductory chemistry and biology courses were
interviewed to explore their perceptions regarding 1) the meaning of structure,
properties, and function; 2) the presentation of these concepts in their courses;
and 3) how these concepts might be related. Findings suggest that the concepts of
structure and properties were interpreted similarly between chemistry and
biology, but students more closely associated the discussion of structure
property relationships with their chemistry courses and structure-function with
biology. Despite receiving little in the way of instructional support, nine
students proposed a coherent conceptual relationship, indicating that structure
determines properties, which determine function. Furthermore, students described
ways in which they connected and benefited from their understanding. Though many
students are prepared to make these connections, we would encourage instructors
to engage in cross-disciplinary conversations to understand the shared goals and
disciplinary distinctions regarding these important concepts in an effort to
better support students unable to construct these connections for themselves.
PMID- 29786476
TI - Orthopedic Injured versus Uninjured Comparison Groups for Neuroimaging Research
in Mild Traumatic Brain Injury.
AB - To address controversy surrounding the most appropriate comparison group for mild
traumatic brain injury (mTBI) research, mTBI patients 12-30 years of age were
compared with an extracranial orthopedic injury (OI) patient group and an
uninjured, typically developing (TD) participant group with comparable
demographic backgrounds. Injured participants underwent subacute (within 96 h)
and late (3 months) diffusion tensor imaging (DTI); TD controls underwent DTI
once. Group differences in fractional anisotropy (FA) and mean diffusivity (MD)
of commonly studied white matter tracts were assessed. For FA, subacute group
differences occurred in the bilateral inferior frontal occipital fasciculus
(IFOF) and right inferior longitudinal fasciculus (ILF), and for MD, differences
were found in the total corpus callosum, right uncinate fasciculus, IFOF, ILF,
and bilateral cingulum bundle (CB). In these analyses, differences (lower FA and
higher MD) were generally observed between the mTBI and TD groups but not between
the mTBI and OI groups. After a 3 month interval, groups significantly differed
in left IFOF FA and in right IFOF and CB MD; the TD group had significantly
higher FA and lower MD than both injury groups, which did not differ. There was
one exception to this pattern, in which the OI group demonstrated significantly
lower FA in the left ILF than the TD group, although neither group differed from
the mTBI group. The mTBI and OI groups had generally similar longitudinal
results. Findings suggest that different conclusions about group-level DTI
analyses could be drawn, depending on the selected comparison group, highlighting
the need for additional research in this area. Where possible, mTBI studies may
benefit from the inclusion of both OI and TD controls.
PMID- 29786477
TI - The impact of a multi-specialty team for high risk pulmonary embolism on resident
and fellow education.
AB - The impact of the Pulmonary Embolism Response Team (PERT) model on trainee
physician education and autonomy over the management of high risk pulmonary
embolism (PE) is unknown. A resident and fellow questionnaire was administered 1
year after PERT implementation. A total of 122 physicians were surveyed, and 73
responded. Even after 12 months of interacting with the PERT consultative
service, and having formal instruction in high risk PE management, 51% and 49% of
respondents underestimated the true 3-month mortality for sub-massive and massive
PE, respectively, and 44% were unaware of a common physical exam finding in
patients with PE. Comparing before and after PERT implementation, physicians
perceived enhanced confidence in identifying ( p<0.001), and managing ( p=0.003)
sub-massive/massive PE, enhanced confidence in treating patients appropriately
with systemic thrombolysis ( p=0.04), and increased knowledge of indications for
systemic thrombolysis and surgical embolectomy ( p=0.043 and p<0.001,
respectively). Respondents self-reported an increased fund of knowledge of high
risk PE pathophysiology (77%), and the perception that a multi-disciplinary team
improves the care of patients with high risk PE (89%). Seventy-one percent of
respondents favored broad implementation of a PERT similar to an acute myocardial
infarction team. Overall, trainee physicians at a large institution perceived an
enhanced educational experience while managing PE following PERT implementation,
believing the team concept is better for patient care.
PMID- 29786479
TI - Re: "Seasonal Changes in Serum Thyrotropin Concentrations Observed from Big Data
Obtained During Six Consecutive Years from 2010 to 2015 at a Single Hospital in
Japan" by Yoshihara et al. (Thyroid 2018;28:429-436).
PMID- 29786480
TI - Four decades of publication: JSCM articles with staying power.
PMID- 29786478
TI - Multisociety Consensus Quality Improvement Revised Consensus Statement for
Endovascular Therapy of Acute Ischemic Stroke.
PMID- 29786481
TI - Rat Cranial Bone-Derived Mesenchymal Stem Cell Transplantation Promotes
Functional Recovery in Ischemic Stroke Model Rats.
AB - The functional disorders caused by central nervous system (CNS) diseases, such as
ischemic stroke, are clinically incurable and current treatments have limited
effects. Previous studies suggested that cell-based therapy using mesenchymal
stem cells (MSCs) exerts therapeutic effects for ischemic stroke. In addition,
the characteristics of MSCs may depend on their sources. Among the derived
tissues of MSCs, we have focused on cranial bones originating from the neural
crest. We previously demonstrated that the neurogenic potential of human cranial
bone-derived MSCs (cMSCs) was higher than that of human iliac bone-derived MSCs.
Therefore, we presumed that cMSCs have a higher therapeutic potential for CNS
diseases. However, the therapeutic effects of cMSCs have not yet been elucidated
in detail. In the present study, we aimed to demonstrate the therapeutic effects
of transplantation with rat cranial bone-derived MSCs (rcMSCs) in ischemic stroke
model rats. The mRNA expression of brain-derived neurotrophic factor and nerve
growth factor was significantly stronger in rcMSCs than in rat bone marrow
derived MSCs (rbMSCs). Ischemic stroke model rats in the rcMSC transplantation
group showed better functional recovery than those in the no transplantation and
rbMSC transplantation groups. Furthermore, in the in vitro study, the conditioned
medium of rcMSCs significantly suppressed the death of neuroblastoma * glioma
hybrid cells (NG108-15) exposed to oxidative and inflammatory stresses. These
results suggest that cMSCs have potential as a candidate cell-based therapy for
CNS diseases.
PMID- 29786482
TI - Human Epidermal Growth Factor 2-positive Breast Cancer with Mammographic
Microcalcification: Relationship to Pathologic Complete Response after
Neoadjuvant Chemotherapy.
AB - Purpose To determine the relationship between the presence or absence of
mammographic calcifications in human epidermal growth factor receptor 2 (HER2)
positive breast cancers and pathologic complete response (pCR) to neoadjuvant
chemotherapy and to determine other tumor and clinical characteristics that may
be predictive of such a response. Materials and Methods A database of all
patients with HER2-positive breast cancer who underwent neoadjuvant chemotherapy
between 2007 and 2015 was retrospectively reviewed. Patient demographic
characteristics, mammographic appearance, molecular subtype of cancer (luminal or
nonluminal), radiologic response (based on breast magnetic resonance images),
surgery, and pathologic response to treatment were recorded. Inter- and subgroup
comparison was performed for presence of mammographic microcalcification and
cancer subtype by using Mann-Whitney and chi2 tests and logistic regression.
Results A total of 111 patients with a median age of 49 years (interquartile
range, 40-57 years) were evaluated. Of these, 64.9% (72 of 111) had mammographic
microcalcifications, 63.1% (70 of 111) had luminal B cancer, and 36.9% (41 of
111) had nonluminal HER2-positive cancer. Radiologic response to neoadjuvant
chemotherapy was observed in 70.3% (78 of 111) of patients. Surgery was performed
in 97.3% (108 of 111) of patients, and 30.6% (34 of 111) of patients underwent
breast conservation. pCR was observed in 33.3% (37 of 111) of patients; 16.2% (18
of 111) showed residual ductal carcinoma in situ and 50.5% (56 of 111) had
residual invasive disease. The pCR rate was the same (P = .21) in patients with
mammographic microcalcification (29.2% [21 of 72]) as in those without
calcification (41.0% [16 of 39]). The pCR rate in patients with nonluminal HER2
positive cancers (46.3% [19 of 41]) was higher (P = .01) than in those with
luminal B cancers (25.7% [18 of 70]). pCR was associated with nonluminal HER2
positive subtype (odds ratio, 5.4; 95% confidence interval: 1.8, 16.0; P = .01)
and complete radiologic response (odds ratio, 20.4; 95% confidence interval: 3.3,
126.6; P = .01). Conclusion Patients with HER2-positive cancer and mammographic
microcalcification can achieve pCR after neoadjuvant chemotherapy. Nonluminal
HER2-positive subtype and complete radiologic response are predictors of pCR.
PMID- 29786484
TI - Why Is Cognitive Impairment Present in Multiple Sclerosis? Insights from
Functional MRI.
PMID- 29786483
TI - Immediate Mild Reactions to CT with Iodinated Contrast Media: Strategy of
Contrast Media Readministration without Corticosteroids.
AB - Purpose To evaluate premedication protocols involving administration of
antihistamine and multidose corticosteroid that have been widely used in
prevention of recurrent hypersensitivity reactions (HSRs) to iodinated contrast
media (ICM); an evidence-based optimal preventive strategy customized for
patients with mild cases has not yet been established. Materials and Methods The
outcomes of patients with mild HSR who subsequently underwent contrast material
enhanced computed tomography (CT) between January 2012 and December 2015 were
analyzed. For premedication, 4 mg of chlorpheniramine was intravenously
administered 30 minutes prior to reexposure to ICM. Logistic regression with
generalized estimating equations was used to determine the relationship between
premedication and recurrence rate. Results A total of 1178 patients with mild
immediate HSR were reexposed to ICM 3533 times. Among these patients, 1056
patients experienced allergylike reactions and 122 patients developed
gastrointestinal reactions. With reexposure to the culprit agent without
premedication, the recurrence rate was 31.1% (85 of 273 examinations). The
recurrence rate decreased to 12% (105 of 872 examinations; P < .001) by only
changing the culprit agent and to 7.6% (148 of 1947 examinations; P < .001) by
using the combination of changing the ICM and antihistamine premedication.
Changing the ICM plus antihistamine premedication was also helpful in reducing
the recurrence of gastrointestinal symptoms from 16.1% to 1.8% (P = .020).
However, despite changing of the ICM, some combinations of ICM did not show a
prophylactic effect. Conclusion A combination of changing the culprit agent and
antihistamine premedication resulted in the best preventive outcome for patients
with mild immediate HSR. The optimal choice of substitute ICM could be
individualized according to the culprit agent.
PMID- 29786485
TI - Organized Chaos: Does PI-RADS Version 2 Work in the Transition Zone?
PMID- 29786486
TI - One-year Retention of Gadolinium in the Brain: Comparison of Gadodiamide and
Gadoterate Meglumine in a Rodent Model.
AB - Purpose To compare the long-term brain elimination kinetics and gadolinium
species in healthy rats after repeated injections of the contrast agents
gadodiamide (a linear contrast agent) or gadoterate (a macrocyclic contrast
agent). Materials and Methods Nine-week-old rats received five doses of 2.4 mmol
gadolinium per kilogram of body weight over 5 weeks and were followed for 12
months with T1-weighted MRI (n = 140 rats, corresponding to seven time points,
two contrast agents, and 10 rats per group). Animals were sacrificed at 1 week, 1
month, and 2, 3, 4, 5, and 12 months after the last injection. Brain and plasma
were sampled to determine the total gadolinium concentration by using inductively
coupled plasma mass spectrometry (ICP-MS). For the cerebellum, gadolinium
speciation analysis was performed after mild extraction at four time points (1
month and 3, 5, and 12 months after the last injection) by using size exclusion
chromatography and hydrophilic interaction liquid chromatography, both coupled to
ICP-MS. Tissue gadolinium kinetics were fitted to estimate the area under the
curves and tissue elimination half-lives over the 12-month injection-free period.
Results T1 hyperintensity of the deep cerebellar nuclei was observed only in
gadodiamide-treated rats and remained stable from the 1st month after the last
injection (the ratio of the signal intensity of the deep cerebellar nuclei to the
signal intensity of the brain stem at 1 year: 1.101 +/- 0.023 vs 1.037 +/- 0.022
before injection, P < .001). Seventy-five percent of the total gadolinium
detected after the last injection of gadodiamide (3.25 nmol/g +/- 0.30) was
retained in the cerebellum at 1 year (2.45 nmol/g +/- 0.35), with binding of
soluble gadolinium to macromolecules. No T1 hyperintensity was observed with
gadoterate, consistent with a rapid, time-dependent washout of the intact
gadolinium chelate down to background levels (0.07 nmol/g +/- 0.03). Conclusion
After repeated administration of gadodiamide, a large portion of gadolinium was
retained in the brain, with binding of soluble gadolinium to macromolecules.
After repeated injection of gadoterate, only traces of the intact chelated
gadolinium were observed with time-dependent clearance. Online supplemental
material is available for this article.
PMID- 29786488
TI - Gadolinium Deposition in the Brain: We Need to Differentiate between Chelated and
Dechelated Gadolinium.
PMID- 29786487
TI - Diffusion-weighted MRI Is Superior to PET/CT in Predicting Survival of Patients
Undergoing 90Y Radioembolization of Hepatic Metastases.
AB - Purpose To determine the relationship between diffusion-weighted (DW) liver MR
images obtained 4-6 weeks after lobar yttrium 90 (90Y) treatment and overall
survival in comparison with PET/CT or established oncologic factors known to
affect survival. Materials and Methods The institutional review board approved
this prospective intraindividual comparative study in 36 consecutive patients (25
women) with liver-dominant metastases (20 colorectal, 14 breast, two other) (mean
age, 60 years +/- 10 [standard deviation]) who underwent fluorine 18 (18F)
fluorodeoxyglucose (FDG) PET/CT and DW MRI before and 4-6 weeks after 90Y
radioembolization. DW MRI response was defined as a mean minimal apparent
diffusion coefficient increase of more than 30%; PET/CT response was defined as a
mean maximal standardized uptake value decrease of more than 30%. Kaplan-Meier
curves, log-rank test, and multivariable Cox regression analyses were used to
compare patient survival as a function of imaging and Response Evaluation
Criteria in Solid Tumors (RECIST) response, pretreatment Eastern Cooperative
Oncology Group (ECOG) performance status (PS) (0 vs 1), hepatic tumor load (<25%
vs >=25%), and presence versus absence of extrahepatic disease. Results Thirty
five of the 36 patients were observed until death (median survival, 36 weeks).
Response was observed with PET/CT in 18 of 36 patients (50%). Median survival was
39 weeks in patients who responded to PET/CT versus 27 weeks in those who did not
(P = .60). Response was observed with DW MRI in 24 of 36 patients (67%). Median
survival was 53 weeks in DW MRI responders versus 20 weeks in nonresponders (P =
.01). At multivariable analysis, DW MRI response was the only independent
predictor of survival (P < .01). Response based on RECIST parameters, ECOG PS,
hepatic tumor load, and presence of extrahepatic metastases did not correlate
with survival. Conclusion In patients with hepatic metastases undergoing 90Y
radioembolization, prediction of response to therapy with DW MRI was superior to
that with PET/CT and established oncologic factors.
PMID- 29786489
TI - Determinants of Cognitive Impairment in Patients with Multiple Sclerosis with and
without Atrophy.
AB - Purpose To investigate the discrepancy between patients with multiple sclerosis
(MS) without atrophy who have already developed cognitive impairment and patients
with MS with atrophy who have preserved cognitive function. Materials and Methods
This retrospective imaging study, with imaging acquired between 2008 and 2012,
included 332 patients with MS (106 men and 226 women; mean age, 48.1 years;
range, 23.0-72.5 years) and 96 healthy control participants. Cognitive impairment
was defined as cognitive performance of z less than -1.5 compared with that in
control participants in greater than or equal to two cognitive domains. Atrophy
was defined as cortical and deep gray matter volumes of z less than -1.5 compared
with that in control participants. White matter lesions were assessed with T2
imaging, tract fractional anisotropy (ie, integrity) with diffusion MRI, and
regional centrality (ie, importance within network) with functional MRI. Within
each atrophy group, patients with cognitive impairment and preserved cognitive
function were compared and regression analyses were performed to predict
cognitive impairment. Results A total of 132 of 328 patients with MS had no
atrophy; of these, 42 of 132 (32%) had cognitive impairment. Cognitive impairment
in patients without atrophy was predicted by level of education (Wald test,
11.63; P < .01) and posterior cingulate centrality (Wald test, 6.82; P < .01). A
total of 65 of 328 patients with MS had atrophy; of these, 49 of 65 (75%) had
cognitive impairment. Cognitive impairment in patients with atrophy was predicted
by white matter tract fractional anisotropy (Wald test, 4.89; P = .03) and
posterior cingulate centrality (Wald test, 7.19; P < .01). Conclusion Cognitive
impairment was related to white matter damage, but only in patients with MS with
atrophy. In patients without atrophy, a lower level of education was most
important for cognitive impairment. Posterior cingulate cortex showed functional
abnormalities in all MS groups with cognitive impairment, regardless of atrophy.
PMID- 29786490
TI - Gallium 68 PSMA-11 PET/MR Imaging in Patients with Intermediate- or High-Risk
Prostate Cancer.
AB - Purpose To report the results of dual-time-point gallium 68 (68Ga) prostate
specific membrane antigen (PSMA)-11 positron emission tomography (PET)/magnetic
resonance (MR) imaging prior to prostatectomy in patients with intermediate- or
high-risk cancer. Materials and Methods Thirty-three men who underwent
conventional imaging as clinically indicated and who were scheduled for radical
prostatectomy with pelvic lymph node dissection were recruited for this study. A
mean dose of 4.1 mCi +/- 0.7 (151.7 MBq +/- 25.9) of 68Ga-PSMA-11 was
administered. Whole-body images were acquired starting 41-61 minutes after
injection by using a GE SIGNA PET/MR imaging unit, followed by an additional
pelvic PET/MR imaging acquisition at 87-125 minutes after injection. PET/MR
imaging findings were compared with findings at multiparametric MR imaging
(including diffusion-weighted imaging, T2-weighted imaging, and dynamic contrast
material-enhanced imaging) and were correlated with results of final whole-mount
pathologic examination and pelvic nodal dissection to yield sensitivity and
specificity. Dual-time-point metabolic parameters (eg, maximum standardized
uptake value [SUVmax]) were compared by using a paired t test and were correlated
with clinical and histopathologic variables including prostate-specific antigen
level, Gleason score, and tumor volume. Results Prostate cancer was seen at 68Ga
PSMA-11 PET in all 33 patients, whereas multiparametric MR imaging depicted
Prostate Imaging Reporting and Data System (PI-RADS) 4 or 5 lesions in 26
patients and PI-RADS 3 lesions in four patients. Focal uptake was seen in the
pelvic lymph nodes in five patients. Pathologic examination confirmed prostate
cancer in all patients, as well as nodal metastasis in three. All patients with
normal pelvic nodes in PET/MR imaging had no metastases at pathologic
examination. The accumulation of 68Ga-PSMA-11 increased at later acquisition
times, with higher mean SUVmax (15.3 vs 12.3, P < .001). One additional prostate
cancer was identified only at delayed imaging. Conclusion This study found that
68Ga-PSMA-11 PET can be used to identify prostate cancer, while MR imaging
provides detailed anatomic guidance. Hence, 68Ga-PSMA-11 PET/MR imaging provides
valuable diagnostic information and may inform the need for and extent of pelvic
node dissection.
PMID- 29786491
TI - Validation of PI-RADS Version 2 in Transition Zone Lesions for the Detection of
Prostate Cancer.
AB - Purpose To determine the association between Prostate Imaging Reporting and Data
System (PI-RADS) version 2 scores and prostate cancer (PCa) in a cohort of
patients undergoing biopsy of transition zone (TZ) lesions. Materials and Methods
A total of 634 TZ lesions in 457 patients were identified from a prospectively
maintained database of consecutive patients undergoing prostate magnetic
resonance imaging. Prostate lesions were retrospectively categorized with the PI
RADS version 2 system by two readers in consensus who were blinded to
histopathologic findings. The proportion of cancer detection for all PCa and for
clinically important PCa (Gleason score >=3+4) for each PI-RADS version 2
category was determined. The performance of PI-RADS version 2 in cancer detection
was evaluated. Results For PI-RADS category 2 lesions, the overall proportion of
cancers was 4% (one of 25), without any clinically important cancer. For PI-RADS
category 3, 4, and 5 lesions, the overall proportion of cancers was 22.2% (78 of
352), 39.1% (43 of 110), and 87.8% (129 of 147), respectively, and the proportion
of clinically important cancers was 11.1% (39 of 352), 29.1% (32 of 110), and
77.6% (114 of 147), respectively. Higher PI-RADS version 2 scores were associated
with increasing likelihood of the presence of clinically important PCa (P <
.001). Differences were found in the percentage of cancers in the PI-RADS
category between PI-RADS 3 and those upgraded to PI-RADS 4 based on diffusion
weighted imaging for clinically important cancers (proportion for clinically
important cancers for PI-RADS 3 and PI-RADS 3+1 were 11.1% [39 of 352] and 30.8%
[28 of 91], respectively; P < .001). Conclusion Higher PI-RADS version 2 scores
are associated with a higher proportion of clinically important cancers in the
TZ. PI-RADS category 2 lesions rarely yield PCa, and their presence does not
justify targeted biopsy.
PMID- 29786494
TI - Failure to tackle suicide inequalities across Europe.
AB - SummaryIn this issue, Lorant et al. confirm a social gradient in risk of suicide,
across 15 European countries, over a period of marked social change.
Understanding contextual and life-course factors, and acknowledging under-funding
for mental health and failures to implement national mental health policies, may
provide the reasons for these disparities.Declaration of interestNone.
PMID- 29786495
TI - Occupational moral injury and mental health: systematic review and meta-analysis.
AB - BACKGROUND: Many people confront potentially morally injurious experiences
(PMIEs) in the course of their work which can violate deeply held moral values or
beliefs, putting them at risk for psychological difficulties (e.g. post-traumatic
stress disorder (PTSD), depression, etc.).AimsWe aimed to assess the effect of
moral injury on mental health outcomes. METHOD: We conducted a systematic review
and meta-analysis to assess the association between work-related PMIEs and mental
health disorders. Studies were independently assessed for methodological quality
and potential moderator variables, including participant age, gender and PMIE
factors, were also examined. RESULTS: Thirteen studies were included,
representing 6373 participants. PMIEs accounted for 9.4% of the variance in PTSD,
5.2% of the variance in depression and 2.0% of the variance in suicidality. PMIEs
were associated with more symptoms of anxiety and behavioural problems (e.g.
hostility), although this relationship was not consistently significant.
Moderator analyses indicated that methodological factors (e.g. PMIE measurement
tool), demographic characteristics and PMIE variables (e.g. military v. non
military context) did not affect the association between a PMIE and mental health
outcomes. CONCLUSIONS: Most studies examined occupational PMIEs in military
samples and additional studies investigating the effect of PMIEs on civilians are
needed. Given the limited number of high-quality studies available, only
tentative conclusions about the association between exposure to PMIEs and mental
health disorders can be made.Declaration of interestNone.
PMID- 29786492
TI - Socioeconomic inequalities in suicide in Europe: the widening gap.
AB - BACKGROUND: Suicide has been decreasing over the past decade. However, we do not
know whether socioeconomic inequality in suicide has been decreasing as
well.AimsWe assessed recent trends in socioeconomic inequalities in suicide in 15
European populations. METHOD: The DEMETRIQ study collected and harmonised
register-based data on suicide mortality follow-up of population censuses, from
1991 and 2001, in European populations aged 35-79. Absolute and relative
inequalities of suicide according to education were computed on more than 300
million person-years. RESULTS: In the 1990s, people in the lowest educational
group had 1.82 times more suicides than those in the highest group. In the 2000s,
this ratio increased to 2.12. Among men, absolute and relative inequalities were
substantial in both periods and generally did not decrease over time, whereas
among women inequalities were absent in the first period and emerged in the
second. CONCLUSIONS: The World Health Organization (WHO) plan for 'Fair
opportunity of mental wellbeing' is not likely to be met.Declaration of
interestNone.
PMID- 29786496
TI - On moral psychiatry.
PMID- 29786497
TI - Massilia armeniaca sp. nov., isolated from desert soil.
AB - A Gram-stain-negative, aerobic, motile and rod-shaped bacterium, strain ZMN-3T,
was isolated from desert soil sample collected from Ongniod Qi, Inner Mongolia,
China. Phylogenetic analysis based on 16S rRNA gene sequences showed that strain
ZMN-3T was affiliated with the genus Massilia and showed the highest similarity
to Massilia humi THG S6-8T (98.9 %) and Massilia buxea A9T (98.2 %). In partial
gyrB and lepA sequences, the highest similarity of strain ZMN-3T and M. humi THG
S6-8T were 95.9 and 96.8 %, respectively. The DNA-DNA hybridization value between
strain ZMN-3T and its closely related type strains were all below 70 %. The major
respiratory quinone of strain ZMN-3T was Q-8 and the major cellular fatty acids
consisted of summed feature 3 (C16 : 1omega7c and/or C16 : 1omega6c) and C16 : 0.
The predominant polar lipids contained diphosphatidylglycerol,
phosphatidylglycerol, phosphatidylethanolamine and an unidentified phospholipid.
The DNA G+C content of strain ZMN-3T was 66.3 mol%. On the basis of this
polyphasic taxonomic study, strain ZMN-3T is considered to represent a novel
species of the genus Massilia, for which the name Massilia armeniaca sp. nov. is
proposed. The type strain is ZMN-3T (=CGMCC 1.16209T=DSM 104676T).
PMID- 29786498
TI - HCoV-229E spike protein fusion activation by trypsin-like serine proteases is
mediated by proteolytic processing in the S2' region.
AB - Human coronavirus 229E (HCoV-229E) is responsible for common colds. Like other
coronaviruses, HCoV-229E exploits cellular proteases to activate fusion mediated
by the spike protein. We analysed the proteolytic processing of the HCoV-229E
spike protein by trypsin-like serine proteases leading to activation of the
fusion process. Unlike in other coronaviruses, HCoV-229E fusion activation
appears to be a one-step process. Indeed, cleavage of the S1/S2 interface does
not seem to be a prerequisite, and the fusion activation is highly reliant on the
S2' region, with arginine residue 683 acting as the recognition site.
PMID- 29786500
TI - Exploratory Cluster Analysis to Identify Patterns of Chronic Kidney Disease in
the 500 Cities Project.
AB - Chronic kidney disease is a leading cause of death in the United States. We used
cluster analysis to explore patterns of chronic kidney disease in 500 of the
largest US cities. After adjusting for socio-demographic characteristics, we
found that unhealthy behaviors, prevention measures, and health outcomes related
to chronic kidney disease differ between cities in Utah and those in the rest of
the United States. Cluster analysis can be useful for identifying geographic
regions that may have important policy implications for preventing chronic kidney
disease.
PMID- 29786499
TI - Scheffersomyces stambukii f.a., sp. nov., a d-xylose-fermenting species isolated
from rotting wood.
AB - Two isolates representing a new species of Scheffersomyces were isolated from
rotting wood samples collected in an Amazonian forest ecosystem in Brazil.
Analysis of the sequences of the D1/D2 domains showed that this new species is
phylogenetically related to Scheffersomyces NYMU 15730, a species without a
formal description, and the two are in an early emerging position with respect to
the xylose-fermenting subclade containing Scheffersomyces titanus and
Scheffersomyces stipitis. Phylogenomic analyses using 474 orthologous genes
placed the new species in an intermediary position between Scheffersomyces
species and the larger genus Spathaspora and the Candida albicans/Lodderomyces
clade. The novel species, Scheffersomyces stambukii f.a., sp. nov., is proposed
to accommodate these isolates. The type strain of Scheffersomyces stambukii sp.
nov. is UFMG-CM-Y427T (=CBS 14217T). The MycoBank number is MB 824093. In
addition, we studied the xylose metabolism of this new species.
PMID- 29786501
TI - Strategies to Increase Filipino American Participation in Cardiovascular Health
Promotion: A Systematic Review.
AB - INTRODUCTION: Cultural tailoring of interventions can be effective in reducing
health disparities by attracting underserved populations to health promotion
programs and improving their outcomes. The purpose of this systematic review was
to assess what is known about increasing access to and participation in
cardiovascular disease (CVD) prevention and control programs among Filipino
Americans. METHODS: PubMed MEDLINE, CINAHL, and Sociologic Abstracts were
searched for peer-reviewed studies and dissertations conducted in the United
States from 2004 through 2016. RESULTS: A total of 347 articles were identified
through the search, and 9 articles reporting on 7 interventions focused on CVD
prevention in a Filipino American sample were included. All but one intervention
used evidence-based curricula, and implementation varied across sites. All but 2
interventions used word-of-mouth advertising from friends, family, and community
leaders to increase participation. The Filipino cultural values of food, social
relationships, and family were prevalent aspects across interventions tailored
for Filipino Americans. Aspects of spirituality and the arts were integrated into
only 3 studies. CONCLUSION: Given the burden of CVD in Filipino American
populations, tailored interventions rooted in Filipino cultural values are vital
to address this known health disparity.
PMID- 29786502
TI - Best Practices for Financial Sustainability of Healthy Food Service Guidelines in
Hospital Cafeterias.
AB - In February and March 2017 we examined barriers and facilitators to financial
sustainability of healthy food service guidelines and synthesized best practices
for financial sustainability in retail operations. We conducted qualitative, in
depth interviews with 8 hospital food service directors to learn more about
barriers and facilitators to financial sustainability of healthy food service
guidelines in retail food service operations. Analysts organized themes around
headers in the interview guide and also made note of emerging themes not in the
original guide. They used the code occurrence and co-occurrence features in
Dedoose version 7.0.23 (SocioCultural Research Consultants) independently to
analyze patterns across the interviews and to pull illustrative quotes for
analysis. Two overarching themes emerged, related to 1) the demand for and sales
of healthy foods and beverages, and 2) the production and supply of healthy foods
and beverages. Our study provides insights into how hospital food service
directors can maximize revenue and remain financially viable while selling
healthier options in on-site dining facilities.
PMID- 29786503
TI - Relationships Between Theoretically Derived Short-Term Outcomes and Support for
Policy Among the Public and Decision-Makers.
AB - PURPOSE AND OBJECTIVES: Policy change is a lengthy and complex process. Thus, it
is important to articulate hypothesized causal pathways between advocacy
activities and policy change outcomes and to identify and monitor early
indicators of progress toward policy change. INTERVENTION APPROACH: The Kansas
Health Foundation supports grantee efforts to address the public health effects
of obesity through evidence-based policy, systems, and environmental change
interventions. To build support for policy, systems, and environmental changes in
schools, workplaces, and health care and retail settings, grantees mobilize
communities, educate government policy makers, and advocate with organizational
decision makers. EVALUATION METHODS: To understand whether early outcomes from
obesity-prevention advocacy efforts predict interim outcomes related to eventual
policy change, we conducted surveys of the general public and of opinion leaders
in Kansas, which were designed to measure components of Kansas Health
Foundation's theory of change. We then used structural equation modeling to test
the theory of change's underlying relationships by using support for obesity
prevention policies as the outcome. RESULTS: Our findings supported the
hypothesized model: perceptions of obesity as a serious community problem
influence beliefs about causes of the problem. Beliefs about causes predict
beliefs about who is responsible for the solution to the problem, which in turn
predicts support for obesity prevention policies. IMPLICATIONS FOR PUBLIC HEALTH:
Evaluators of advocacy for policy change interventions can use this approach to
monitor proximal changes in public and opinion leader beliefs related to eventual
policy change and to determine whether efforts are likely to be successful or
need to be adapted or abandoned.
PMID- 29786504
TI - Transgenic pigs to the rescue.
AB - Genetically engineered pigs that digest their food better could help to reduce
phosphorus and nitrogen pollution.
PMID- 29786505
TI - Can Pallars i Llobateres: A new hominoid-bearing locality from the late Miocene
of the Valles-Penedes Basin (NE Iberian Peninsula).
AB - In the Iberian Peninsula, Miocene apes (Hominoidea) are generally rare and mostly
restricted to the Valles-Penedes Basin. Here we report a new hominoid maxillary
fragment with M2 from this basin. It was surface-collected in March 2017 from the
site of Can Pallars i Llobateres (CPL, Sant Quirze del Valles), where fossil apes
had not been previously recorded. The locality of provenance (CPL-M), which has
delivered no further fossil remains, is located very close (ca. 50 m) to
previously known CPL outcrops, and not very far (ca. 500 m in NW direction) from
the classical hominoid-bearing locality of Can Poncic 1. Here we describe the new
fossil and, based on the size and proportions of the M2, justify its taxonomic
attribution to Hispanopithecus cf. laietanus, a species previously recorded from
several Vallesian sites of the Valles-Penedes Basin. Based on the associated
mammalian fauna from CPL, we also provide a biochronological dating and a
paleoenvironmental reconstruction for the site. The associated fauna enables an
unambiguous correlation to the Cricetulodon hartenbergeri - Progonomys hispanicus
interval local subzone, with an estimated age of 9.98-9.73 Ma (late Vallesian,
MN10). Therefore, CPL-M is roughly coeval with the Hispanopithecus laietanus
bearing localities of Can Llobateres 1 and Can Feu 1, and minimally older than
those of La Tarumba 1 and Can Llobateres 2. In contrast, CPL-M is younger than
the early Vallesian (MN9) localities of Can Poncic 1 (the type locality of
Hispanopithecus crusafonti) as well as Polinya 2 (Gabarro) and Estacio Depuradora
d'Aigues Residuals-Riu Ripoll 13, where Hispanopithecus sp. is recorded. The
associated fauna from CPL indicates a densely forested and humid paleoenvironment
with nearby freshwater. This supports the view that Hispanopithecus might have
been restricted to dense wetland forests soon before its extinction during the
late Vallesian, due to progressive climatic deterioration. Coupled with the
existence of other fossiliferous outcrops in the area, this find is most
promising for the prospect of discovering additional fossil hominoid remains in
the future.
PMID- 29786506
TI - Wing morphogenesis in Lepidoptera.
AB - The wings of Lepidoptera develop from imaginal disks that are made up of a simple
two-layered epithelium whose structure is always congruent with the final adult
wing. It is therefore possible to map every point on the imaginal disk to a
location on the adult wing throughout the period of growth and morphogenesis. The
wings of different species of Lepidoptera differ greatly in both size and shape,
yet it is possible to fate-map homologous locations on the developing wing disks
and explicitly monitor the growth, size, and shape of the wing, or any of its
regions, throughout the entire ontogeny of the wing. The wing achieves its final
form through spatially patterned cell divisions, oriented cell divisions,
physical constraints on directional growth by an actin network between the wing
veins, and by patterned cell death. Each of these factors contributes differently
to morphogenesis and to the development of species-specific differences in wing
shape. The final shape of the wing is sculpted out of the much larger imaginal
disk by a pattern of programmed cell death that removes all cells distal to the
bordering lacuna, and is responsible for the detailed outline of the wing.
PMID- 29786507
TI - Severe acute hepatitis after thymoglobulin induction before islet
transplantation.
PMID- 29786508
TI - [Use of the EvalObs(r) adherence scale in an unselected French population of
treated subjects with antihypertensive, hypolipemiants or oral antidiabetics
medications: The FLAHS 2017 adherence survey].
AB - OBJECTIVE: A Visual Analog Scale (VAS) is useful for diagnosing medication
nonadherence and its validity has been evaluated using electronic pillbox as the
gold standard. We have developed the EvaLobs(r) scale for use on paper or on
smartphone and the aim of the study was to administrate the scale among FLAHS
2017 participants treated for an hypertension, a dyslipidemia or diabetes. In
subjects treated with antihypertensive medications, participants completed the 6
item Girerd Scale and EvaLobs(r). METHODS: The French League Against Hypertension
Survey (FLAHS) are carried out by self-questionnaire sent by mail to individuals
from the French Kantar Health sampling frame (representative panel of the
population living in metropolitan France). In 2017, FLAHS was conducted in 4783
subjects aged 35 and over. The EvaLobs(r) has a scale from 0 to 15 and the use
instruction is "how many days have you taken the drug in the past 15 days". A
score>12 indicates a "good compliance". The 6-item Girerd scale was also
completed. "Good adherence" was determined for a score of 0 to 2 and
"nonadherence" for a score of 3 or more. The agreement between EvaLobs(r) and the
6-item Girerd scale was evaluated in treated hypertensives. RESULTS: The survey
included 4783 subjects with 1308 treated hypertensives, 942 subjects treated with
lipid-lowering drugs and 405 subjects treated with anti-diabetics. EVALOBS(r)
indicates "Good adherence" in 96% of subjects and the 6 questions questionnaire
indicates "good adherence" in 95% of subjects. An excellent agreement is noted in
93.8%. An EvaLobs(r) score indicating nonadherence or an absence of response to
EvaLobs(r) is observed in 3.6% [CI 95, 2.5-4.7] of hypertensives, in 6.0% [CI 95,
3.9-8.1] of diabetics and in 8.2% [CI 95, 6.5-9.9] of dyslipidemic patients.
CONCLUSION: In the population living in France and in unselected patients treated
for metabolic disease or hypertension, non-adherence is lowest for
antihypertensive medications and highest for statins. EvaLobs(r), which shows
good agreement with an adherence questionnaire, is a quick and simple tool for
assessing adherence. The smartphone app EvaLobs(r) is available for free on
Google play and the Apple store.
PMID- 29786509
TI - [Targeted screening for pre-eclampsia in the first trimester of pregnancy at
Toulouse University Hospital].
AB - GOALS: Preeclampsia (PE) is a leading cause of maternal and neonatal morbidity
and mortality. Early treatment by aspirin has been shown to significantly reduce
PE risk before 37weeks supporting the implementation of first-trimester
screening. SUBJECTS AND METHODS: A targeted screening was recently implemented at
Toulouse University Hospital for women in their first pregnancy or those with
personal or familial history of PE. It uses Fetal Medicine Foundation (FMF)
algorithm that combines maternal characteristics, clinical, biophysical and
biochemical (PAPP-A, Pregnancy Associated Plasma Protein-A, and PlGF, Placental
Growth Factor) data. We describe this first population of pregnant women and
compare our results with those of a mini-test that excludes PlGF and biophysical
data. RESULTS: Between October 2016 and September 2017, 500women have benefited
from this screening. In such targeted population, we identified 3,6 % (n=18) of
women at high risk to develop PE before 34weeks and 9,6 % (n=48) of women at high
risk to develop PE between 34 and 37weeks. When we recalculated the risk using
the mini-test, only 10women (56 %) were identified at high risk of early PE.
CONCLUSION: For the first time in France, we report the result of a targeted
screening of PE during the first trimester using the FMF algorithm. We describe
the screened population and show that it is more efficient than the mini-test.
PMID- 29786510
TI - Biochemical markers of hypertension, prehypertension.
AB - OBJECTIVE: There are insufficient researches aimed at evaluating biochemical
markers of mechanisms of formation of AH and lesion of target organs in
hypertension and prehypertension. The aim of that research was to study the level
of endothelial dysfunction markers and damage to the cardiovascular system in
hypertension and prehypertension. PATIENTS AND METHODS: A cross-sectional study
was performed among 938 people aged 18 to 65 years. All respondents were
surveyed, blood pressure measured, for glucose, cholesterol, interleukin-6, sFAS,
LIGHT, hFABP, NT-ProBNP and an Endocan concentrations were tested. Depending on
the level of blood pressure participants were splitted into groups with
normotension, prehypertension and hypertension. RESULTS: Comparing the markers of
inflammation, apoptosis and target organ damage in the prehypertensive group, the
level of the LIGHT protein was Me=265.2pg/ml (Q25-Q75: 197.7-444.3), in the
control group - Me=251.1pg/ml (Q25-Q75: 176.6-376.6), the Endocan level was
Me=660.6pg/ml (Q25-Q75: 419.6-867.4) and in the control group Me=587.5pg/ml (Q25
Q75: 401.9-838.1). In the AH group, the level of the LIGHT Me=273.1pg/ml (Q25
Q75: 195.1-455.2), Endocan Me=668.2pg/ml (Q25-Q75: 434.8-977.3), heart-type fatty
acid-binding protein Me=2233.1pg/ml (Q25-Q75: 1518.4-3391.1) exceeded the control
group. CONCLUSION: Thus, the development of prehypertension and hypertension is
characterized by an increase in the activity of biochemical markers of
endothelial dysfunction and damage to target organs, more expressed in the
presence of hypertension.
PMID- 29786511
TI - [Preeclampsia: A challenge also for cardiologists].
AB - Due to its short-term consequences on perinatal outcome, preeclampsia has been
long regarded as an obstetrical disease, strictly confined to a management by
OB/GYNs. It has been now widely accepted that preeclampsia is most a systemic
inflammatory and systemic vascular disease during pregnancy and then a lifelong
risk factor for subsequent cardiovascular event in women's life. The aim of this
review is to propose an overview in the current state-of-art in definition, early
identification and management of preeclampsia. We will also discuss the growing
evidence that support that cardiologists must be fully involved in screening and
prevention of preeclampsia during pregnancy and beyond in the subsequent medical
follow-up of women who have experienced a preeclampsia.
PMID- 29786512
TI - [Kidney full of stones, and an adrenal gland not quite normal].
AB - A 31-year-old patient was followed for cystinuria, justifying CT scans. In 2006,
a tissue mass of 3cm of the right adrenal gland, homogeneous, measured at 3.5cm
in 2007 was noted. Blood pressure was 90/61mmHg, without orthostatic hypotension.
During the clinical interview, no discomfort, sweat attack, headache, or
palpitation was reported by the patient. Hormonal assays did not favor a primary
hyperaldosteronism, or a hypercortisolism. Adrenal androgens were normal. 24h
normetanephrines urinary excretion and metanephrines to creatinine ratio were
elevated. One year later, the patient remained asymptomatic and normotensive.
Plasma levels of normetanephrine and metanephrine were increased and highly
pathological. The tumor was 5cm long and fixed individually on MIBG scintigraphy
and PET scan. Right adrenalectomy was performed. A pheochromocytoma was confirmed
histologically. The peculiarity here was the absence of symptoms, especially
arterial hypertension. This currently affects up to 47% of cases, particularly in
the context of incidentaloma investigations and family screening. Indeed, 35 to
40% of pheochromocytomas are associated with a germline mutation, justifying a
genetic analysis in this patient. Genetic analysis did not show any mutation
associated with pheochromocytoma. At a 6-year interval pheochromocytoma did not
reappeared while BP increased reaching hypertension threshold. The only
documented recurrence was lithiasis.
PMID- 29786513
TI - Randomized clinical trials and personalized medicine: A commentary on deaton and
cartwright.
PMID- 29786514
TI - Psychotherapy for PTSD: An evidence-based guide to a theranostic approach to
treatment.
AB - Posttraumatic stress disorder (PTSD) is often a clinically complex disorder,
frequently presenting with comorbid clinical conditions. Individuals with PTSD
may also present with high-risk symptoms such as substance misuse and suicidal
ideation. The clinical complexity of PTSD has precluded some clinicians from
providing gold-standard trauma-focused treatment due to concern of iatrogenic
effects. However, evidence to date suggests that trauma-focused treatments are
safe and effective for PTSD even when higher-risk comorbidity presents.
Occasionally, while some patients present with clinical concerns that may benefit
from modifications to standard recommended treatment protocols, research suggests
there are few absolute contraindications to trauma-focused treatment. The present
manuscript provides a review of evidence-based assessment and treatment
recommendations for PTSD. A clinical decision-making guide for PTSD across areas
of clinical complexity is provided.
PMID- 29786515
TI - Research brief: Persistent social inequality in medicine use for headache among
adolescents in Denmark 1991-2014.
AB - BACKGROUND: Socioeconomic variation in adolescents' medicine use behaviour is an
understudied issue. OBJECTIVES: To examine the association between socioeconomic
background and medicine use for headache among adolescents, and how this
association changes over time. METHODS: Data stem from the Danish part of the
international Health Behaviour in School-aged Children (HBSC) study 1991-2014
with data about parents' occupational social class (OSC) and self-reported
medicine use for headache, n = 26,685. This study examined absolute social
inequality (percent difference between high and low OSC) and relative social
inequality (odds ratio for medicine use by OSC). RESULTS: In total, 40.5% used
medicine for headache in the past month. There was a significant increase from
32.3% in 1991 to 42.8% in 2002 (test for trend, p < 0.0001) and very little
change 2002-2014. This pattern was similar in high, middle and low OSC. The
prevalence of medicine use for headache in high, middle and low OSC was 36.2%,
41.5% and 44.8% (p < 0.0001). The OR (95% CI) for medicine use was 1.25 (1.18
1.324) in middle and 1.43 (1.33-1.54) in low OSC. CONCLUSIONS: Medicine use for
headache increased 1991-2002 and remained stable 2002-2014. There was increasing
medicine use for headache with decreasing OSC; this social inequality was
persistent 1991-2014.
PMID- 29786516
TI - Immediate or deferred adjustment of drug regimens in multidose drug dispensing
systems.
AB - BACKGROUND: Multidose drug dispensing (MDD) is used to help patients take their
medicines appropriately. Little is known about drug regimen changes within these
MDD systems and how they are effectuated by the community pharmacist. Manual
immediate adjustments of the MDD system could introduce dispensing errors. MDD
guidelines therefore recommend to effectuate drug regimen changes at the start of
a new MDD system. OBJECTIVE: The aim of this study was to investigate the
frequency, type, procedure followed, immediate necessity, and time taken to make
MDD adjustments. METHODS: This was a cross-sectional study in eight community
pharmacies in the Netherlands. All adjustments to MDD systems were systematically
documented for 3 weeks by the community pharmacist. RESULTS: Overall, 261 MDD
adjustments involving 364 drug changes were documented for 250 patients: 127
(35%) drug changes involved the addition of a new drug, 124 (34%) a change in
dosage, and 95 (26%) drug discontinuation. Of the MDD adjustments, 135 (52%) were
effectuated immediately: 81 (31%) by adjusting the MDD system manually, 49 (19%)
by temporarily dispensing the drug separately from the MDD system, and 5 (2%) by
ordering a new MDD system. Pharmacists considered that 36 (27%) of the immediate
MDD adjustments could have been deferred until the next MDD system was produced.
Immediate adjustment took significantly longer than deferred adjustment (p <
0.001). CONCLUSIONS: This study shows that in patients using MDD systems, over
half of the drug regimen changes are adjusted immediately. The necessity of these
immediate changes should be critically evaluated.
PMID- 29786517
TI - Estrogen receptor beta: Potential target for therapy in adult granulosa cell
tumors?
AB - OBJECTIVE: Adult granulosa cell tumor (AGCT) is a rare form of sex-cord stromal
ovarian tumors. Due to their origin, AGCTs secrete estrogens, and thus, estrogen
receptor (ER)-mediated signaling has been considered as a possible target for
therapy. The aim of the present study was to get insights into estrogen receptor
status and activity in AGCTs, as a strategy to provide molecular support for
personalized hormonal treatments. METHODS: We evaluated by immunohistochemistry
the expression of ERalpha, ERbeta isoforms (i.e. ERbeta1, ERbeta2 and ERbeta5),
progesterone and androgen receptor (PR, AR) in 20 untreated AGCTs and 12
unmatched recurrent lesions. Thereafter, we visualized by immunofluorescence, the
subcellular distribution of cytoplasmic receptors, and by the proximity ligation
assays (PLA) we characterized in situ their ability to interact with other
proteins involved in the apoptotic cascade. RESULTS: Primary AGCTs predominantly
expressed ERbeta isoforms, along with PR and AR, while only 30% of patients
showed ERalpha expression. Recurrent tumors were associated with a decrease in AR
levels. From mechanistic studies it emerges that ERbeta2, and to a lesser extent
ERbeta1 and AR, are mitochondrial components in cancer cells and that ERbeta2 can
act as a binding partner of proteins involved in the apoptotic cascade, in turn
potentially inhibiting apoptosis. CONCLUSIONS: As in other endocrine tumors,
ERbeta may play a role in the pathogenesis of AGCT; it is crucial to understand
estrogen receptor-mediated pathways before planning hormonal treatment strategies
in AGCT.
PMID- 29786518
TI - Evaluation of serum IgE in peach-allergic patients with systemic reaction by
using recombinant Pru p 7 (gibberellin-regulated protein).
AB - BACKGROUND: Lipid transfer protein (LTP) is a major fruit allergen. It has,
however, recently been revealed that the systemic reaction in peach-allergic
patients is related not only to LTP (Pru p 3) but also to gibberellin-regulated
protein (Pru p 7). We investigated recombinant Pru p 7 (rPru p 7) for its
potential use in worldwide standardization for the diagnosis of peach allergy.
METHODS: Natural Pru p 7 (nPru p 7) was purified from peach crude extract using a
monoclonal antibody affinity column. Complementary DNA for Pru p 7 was cloned and
expressed in Escherichia coli and Pichia pastoris. Serum immunoglobulin (Ig) E in
peach-allergic patients was examined by enzyme-linked immunosorbent assay (ELISA)
using nPru p 7 and rPru p 7 (E. coli product: erPru p 7 and P. pastoris product:
prPru p 7). RESULTS: Peach-allergic patients (n=27) were diagnosed and
categorized into oral reaction (n=10) or systemic reaction (n=17). The nPru p 7
positivity based on serum IgE levels was 52% in the systemic-reaction group and
0% in the oral-reaction group (P<0.05). In the systemic-reaction group, there was
no significant difference in reactivity between nPru p 7 and prPru p 7, but the
reactivity of erPru p 7 was significantly lower than those of nPru p 7 and prPru
p 7 (P<0.05). CONCLUSIONS: We found that prPru p 7 exhibited reactivity in ELISA
comparable to that of nPru p 7 for the diagnosis of peach allergy with systemic
reaction.
PMID- 29786519
TI - Effect of vaccination on cattle subclinically infected with foot-and-mouth
disease virus in Cameroon.
AB - Foot-and-mouth disease (FMD) is one of the most contagious and economically
important livestock diseases worldwide. Four serotypes of FMD virus (FMDV; O, A,
SAT1, SAT2) circulate in Cameroon, and a trivalent inactivated vaccine against
the three most common serotypes (O, A, SAT2) was recently introduced in 2014. The
objective of this study was to characterize vaccine performance in cattle under
natural hyperendemic conditions in the Adamawa region of Cameroon. Vaccinated
cattle (n = 50) and non-vaccinated controls (n = 100) were monitored by serum and
oropharyngeal fluid (OPF) sample collection through a 12-month period. Anti-FMDV
non-structural protein (anti-NSP) seroprevalence increased from 59.3% (89/150) at
the beginning of the study to 85.8% (103/120) at the end of the study, and FMDV
RNA was found in 28% (42/150) of animals overall, despite detection of clinical
signs of FMD in only 6 non-vaccinated animals. Viral sequence analysis indicated
that subclinical infections of FMDV serotypes O and A were present within the
study herds during the study period, which was reflected by an overall increase
of anti-NSP seroprevalence during the study. There was no association between
vaccination status and seroconversion or prevalence of FMDV RNA in OPF. Younger
cattle had higher odds of detection of FMDV RNA in OPF, but older animals were
more likely to be seropositive. This study suggests vaccination of herds
previously exposed to FMDV may help to limit clinical signs and reduce economic
losses caused by FMDV. These findings also suggest that subclinical circulation
of FMDV occurs in hyperendemic regions regardless of vaccination.
PMID- 29786520
TI - Impact of piglet oral vaccination against tuberculosis in endemic free-ranging
wild boar populations.
AB - The Eurasian wild boar (Sus scrofa) is the main wild reservoir of the
Mycobacterium tuberculosis complex in Mediterranean woodlands and a key risk
factor for cattle tuberculosis (TB) breakdowns. Wild boar vaccination therefore
has the potential to be a valuable tool for TB control. We tested two orally
delivered vaccines, heat-inactivated Mycobacterium bovis (IV) and BCG, in four
sites (two per vaccine type: one Managed and one Natural or unmanaged) during
four years. TB was also monitored in 15 unvaccinated sites (spatial control), as
well as in all sites from one year prior to intervention (temporal control). The
rationale is that by vaccinating 2-6 month old wild boar piglets we can reduce
disease at the population level during the study period. This is achievable due
to the fast turnover of wild boar populations. Vaccine baits were deployed using
selective piglet feeders and this method proved highly successful with uptake
rates of 50 to 74% in Natural sites and 89 to 92% in Managed sites. This is
relevant for the potential delivery of vaccines to control other diseases, too.
Local wild boar TB prevalence at the beginning of the study was already high
ranging from 50 to 100%. TB prevalence increased in unvaccinated sites (6%),
while a significant decline occurred in the Managed IV site (34%). Changes
recorded in the remaining sites were not significant. The short-term impact of
vaccination observed in the field was complemented by mathematical modelling,
representative of the field system, which examined the long-term impact and
showed that vaccination of piglets reduced prevalence and increased abundance at
the population level. We conclude that IV could become part of integrated TB
control schemes, although its application must be tailored for each specific
site.
PMID- 29786521
TI - Mortality, diarrhea and respiratory disease in Danish dairy heifer calves: Effect
of production system and season.
AB - Diarrhea and respiratory disease are major health problems for dairy calves,
often causing calf mortality. Previous studies have found calf mortality to be
higher in organic dairy herds compared to conventional herds. The aim of this
study was to investigate the association between production system
(conventional/organic), season (summer/winter) and calf mortality risk, diarrhea,
signs of respiratory disease and ocular discharge, respectively, for dairy heifer
calves aged 0-180 days. Sixty Danish dairy herds, 30 conventional and 30 organic,
were visited once during summer and once during winter. During the herd visits,
calves were clinically examined for signs of diarrhea, hampered respiration,
nasal discharge, coughing and ocular discharge. Data on mortality were obtained
from the Danish Cattle Database. Data were analyzed using logistic regression
models, with mortality risk and disease measures as outcome variables for each of
three calf age groups: 0-28, 29-90 and 91-180 days. In organic herds, odds of
mortality among calves aged 0-28 days were 2.09 (95% confidence interval (CI):
1.38-3.15) times higher during winter compared to summer. Odds of nasal discharge
for calves 0-28 days in organic herds were 10.3 (95% CI: 2.27-46.6), 10.7 (95%
CI: 2.40-40.0) and 5.97 (95% CI: 1.29-27.6) times higher for organic and
conventional herds during winter (OW and CW) and conventional herds during summer
(CS) respectively, compared to organic herds during summer (OS). For calves aged
29-90 days, odds of nasal discharge were 8.22 (95% CI: 3.88-17.4), 8.06 (95% CI:
3.18-20.4) and 2.86 (95% CI: 1.08-7.55) times higher for OW, CW and CS
respectively, compared to OS. Odds of nasal discharge for calved aged 91-180 days
were 7.03 (95% CI: 3.95-12.5) and 4.27 (95% CI: 1.81-10.1) times higher for OW
and CW respectively compared to OS. For calves aged 29-90 days, odds of coughing
were 2.23 (95% CI: 1.06-4.71) and 3.82 (95% CI: 1.76-8.21) times higher for OW
and CW compared to OS, while odds of coughing for calves aged 91-180 days were
2.09 (95% CI: 1.19-3.67) and 2.55 (95% CI: 1.39.4.67) times higher for OW and CW
compared to OS. Odds of ocular discharge for calves aged 29-90 days were 0.22
(95% CI: 0.10-0.52), 0.27 (95% CI: 0.11-0.66) and 0.42 (95% CI: 0.18-0.99) times
higher for OW, CW and CS compared to OS. In conclusion, mortality and morbidity
of Danish dairy heifer calves are, for some variables and in certain age groups,
dependent on production system and season.
PMID- 29786523
TI - Decision support beyond total savings-Eligibility and potential savings for
individual participants from changes in the national surveillance strategy for
bovine viral diarrhoea (BVD) in Ireland.
AB - Surveillance and management of livestock diseases is often evaluated with
reference to expected sector-wide costs. In contrast, we calculate losses or
savings for individual herd owners of a change in monitoring strategy during a
national cattle disease eradication programme: bovine viral diarrhoea (BVD) in
Ireland. The alternative strategy differs in how the disease is identified; by
its sample- rather than census-based approach; and by its greater cost per test.
We examined the costs faced by each breeding herd if testing were conducted using
serology on a sample of young stock, in contrast to the current method of tissue
tag testing of all newborn calves. Following best knowledge of the likely costs,
the following input values were used: i) ?2.50 per test for tissue-tag testing
and ?7.66 for serology, ii) serology conducted on a sample of 10 young stock per
management group from either the 6-12 month or 9-18 month cohorts; iii) 3
scenarios for the number of management groups: one per herd (Minfinity), one per
100 cows (M100) and one per 50 cows (M50). We found that many herds would often
not be able to supply a suitable sample of young stock for serology or would face
higher testing costs than when using tissue tag testing. The largest number (25%)
of herds would benefit from participating in the change if sampling were done in
October. These could annually save between ?2.1 million under Minfinity and ?0.8
million under M50 (?108 - ?49 per herd). However, analysing herd-level data we
found that 90% of all Irish breeding herds would save less than ?1.42 per cow or
?99 in total per annum under Minfinity, and ?0.59 per cow or ?36 in total under
M50. In a sensitivity analysis, we allowed serology costs to vary between ?2 and
?10 per animal. Herds at the 10 t h percentile of most savings made from
switching would save at most ?155 (Minfinity at ?2 per serology test) but would
not save anything under M50 at costs >= ?10. We conclude that, under these
assumptions, the expected reduction in testing costs for the majority of
beneficiaries would barely outweigh the practical implications of the strategy
switch or the risks to the eradication programme associated with sample based
surveillance. This study does not assess the cost-effectiveness of alternatives
post-eradication.
PMID- 29786522
TI - A survey of experiences of UK cattle and sheep farmers with anthelmintic
prescribers; Are best practice principles being deployed at farm level?
AB - Helminths are common pathogens of ruminants. These are controlled by
anthelmintics; however, resistance is a major issue. More sustainable practices
need to be deployed to protect anthelmintic efficacy. There is no published
information on how farmer/prescriber interactions at anthelmintic purchase shape
application of best practice on-farm. To determine experiences of UK ruminant
farmers as they relate to anthelmintic purchasing/provision of best practice
advice at the point-of-sale, an online survey was distributed. This explored
farmer experiences in purchasing anthelmintics from the three UK animal
medicines' prescribers (veterinarians, Suitably Qualified Persons [SQPs],
veterinary pharmacists) and investigated farmer attitudes to anthelmintic use and
resistance. First, farmers were grouped according to whom they purchased
anthelmintics from, including those that purchased from > 1 prescriber type. The
results indicated there was no significant difference between the type of
prescriber from whom farmers bought anthelmintics and route (face-to-face,
telephone, online) through which they purchased them. Farmers who bought from
veterinarians were more likely to view prescriber knowledge as more important.
When asked who influenced purchase, there was a significant difference; farmers
tended to be most influenced by the prescriber they purchased from. Those who
bought from various types of prescriber were most influenced by veterinarians.
Farmers who purchased from veterinarians were significantly more likely to state
their seller discussed/recommended faecal egg count (FEC) testing and management
strategies to reduce anthelmintic use than those who purchased from SQPs or from
> 1 prescribing channel. When grouped according to purchase route, farmers that
bought through face-to-face interactions were significantly more likely to state
they valued prescriber knowledge of parasites/anthelmintics than farmers that
purchased via the telephone or internet. Farmers that purchased online were
significantly less likely to consider prescriber advice. Analysis was then
applied based on the type of livestock farmed. Generally, sheep farmers undertook
FEC testing more than cattle farmers. Relatively few farmers stated they
conducted anthelmintic sensitivity testing, with the majority of cattle farmers
having not tested at all. This was despite a high level of concern for
anthelmintic resistance in all types of farmers. 'Cattle only' farmers viewed
convenience factors as more important than those that managed sheep or
sheep/cattle. The results suggest that UK farmers that bought anthelmintics from
veterinarians were more likely to be exposed to diagnostic-led control advice.
Gaps in advice provision, particularly in relation to efficacy testing, were
identified in all farmer groups.
PMID- 29786524
TI - Veterinary herd health management-Experience among farmers and farm managers in
Swedish dairy production.
AB - A preventive herd health approach will most likely reduce incidences of clinical
and subclinical disease. Swedish veterinary organizations offer specific
veterinary herd health management (HHM) programs, but these services are not used
to a large extent. The aim of this study was to investigate dairy farmers'
experience of HHM and the conditions for collaboration with veterinarians in HHM.
Six focus group discussions were conducted in March 2015 in West Sweden. In
total, 33 dairy farmers participated. The recordings were transcribed and coded
using thematic analysis, and the transcripts were reviewed to identify potential
factors indicating barriers for farmers to engage a veterinarian in HHM. The
participants reported HHM to be important, but they had difficulty defining the
actions included in the concept. They described a wide range of their work duties
as preventive. The farmers' list of potential contributions by the veterinarians
in HHM was strikingly short compared to the considerable number of preventive
measures they performed themselves. Four main obstacles for farmers and farm
managers to engage a veterinarian in HHM on their farm were identified in the
analysis: "costs", "veterinary knowledge, skills, and organization", "farmer
attitudes", and "veterinarian-farmer relationships". Costs were proposed as the
main reason against engaging a veterinarian in HHM and included a high veterinary
bill, low cost-benefit of veterinary services, and high costs to implement
advice. Poor veterinary competence in HHM and poor knowledge about effective
measures, practical farming, and farm economics were other important obstacles.
Veterinarians were perceived to insufficiently describe their services and their
benefits, and several participants felt they had never been offered veterinary
HHM. Although veterinary HHM may be initiated by the farmer, the participants
expected the veterinarian to have special responsibility for the initiation. A
firm trust between farmer, staff, and veterinarian was considered crucial for
veterinary HHM, but such trust takes a long time to build and can easily be
disrupted by, for example, a veterinarian's poor communication skills or lack of
time. Our findings suggest that Swedish dairy farmers and herd managers find
disease prevention important and that they perform a wide range of tasks to
prevent disease in their animals. However, they do not see what role the
veterinarian can play, and veterinarians were mainly associated with treating
unhealthy cows. In order to increase the use of veterinary HHM programs the
services and potential benefits of such programs need to be communicated more
proactively.
PMID- 29786525
TI - On-farm mortality and related risk factors in Estonian dairy cows.
AB - Mortality (unassisted death and euthanasia) reflects the health and welfare state
of the cows and is associated with undesirable financial consequences for the
farmer. The objective of this retrospective observational study was to identify
risk factors associated with on-farm mortality in dairy cows. Data for a study
period between January 1, 2013 and December 31, 2015 were retrieved from the
Estonian Agricultural Registers and Information Board and Estonian Livestock
Performance Recording, Ltd. Cows from milk-recording herds with >=20 cow-years
were included. Analyses included data of 86,459 primiparous cows from 409 herds
and 109,314 multiparous cows from 410 herds. Cows were followed from the day of
calving until exit from the herd due to on-farm death or euthanasia (defined as
mortality), slaughter, or selling. Associations between risk factors and
mortality were assessed using Weibull proportional hazard models. The mortality
rate (MR) was lower in primiparous cows (MR = 5.09 per 100 animal-years, 95%
confidence interval [CI] 4.93; 5.26) compared to multiparous cows (MR = 8.28 per
100 animal-years, 95% CI 8.13; 8.44). The early lactation period was associated
with greater mortality hazard. Animal-level factors significantly associated with
higher mortality hazard in primiparous and multiparous cows were Holstein breed,
older age at first calving, having an assisted calving or stillborn calf. In
multiparous cows, male sex of the calf, birth of twins/triplets, older parity,
longer previous inter-calving interval and milk somatic cell count over 200,000
cells/ml at the last test-milking of the previous lactation were associated with
greater mortality hazard. Lower milk yield, somatic cell count over 200,000
cells/ml and fat/protein ratio over 1.5 at first test-milking were associated
with greater mortality hazard during the lactation. Cow mortality risk was
greater in larger herds, in herds with lower cow conception rate and poorer
longevity of cows. Also, lower herd average milk fat/protein ratio and decreasing
herd size (for multiparous cows) as well as Northeast region were herd level risk
factors. This study outlines the importance of herd management and good health of
the cows at dry-off and during early lactation and ensuring easy calving to
reduce mortality of cows.
PMID- 29786526
TI - Application of multiple behaviour change models to identify determinants of
farmers' biosecurity attitudes and behaviours.
AB - It has been recognised that few cattle farmers undertake biosecurity practices on
their farms. Approaches that take into consideration individuals' preparedness
for change, alongside beliefs thought to motivate the enactment of certain
behaviours, may provide a framework for actuating tangible change. The aim of
this study was to use a combination of behaviour change models to link beliefs
with behaviour and identify possible key interventions to improve the uptake of
biosecurity measures by dairy cattle farmers in Great Britain (GB). This is the
first study to explore farmers' practices and attitudes in relation to the
prevention of direct (animal to animal contact); indirect (via fomites); and
other biosecurity measures using a multitheory approach. A cross-sectional study
was carried out, with postal questionnaires sent to 2505 dairy cattle farmers.
Questions were asked about the extent to which a host of biosecurity measures
were used, the influence of various stakeholders (e.g. veterinarians, industry
bodies) in informing biosecurity choices, and the perceived control farmers felt
they had over biosecurity on their farms. Farmer attitudes towards biosecurity
were also explored. Two behaviour change models, the Transtheoretical Model, and
the Theory of Planned Behaviour, were utilised. A variety of analysis methods
were used to interrogate the data, including multivariable logistic regression. A
total of 908/2505 (36.2%) farmers responded, with 757 responses (30.2%) deemed
eligible for inclusion. Farmers generally fell into one of two categories: those
that reported not applying biosecurity measures with no intention of doing so in
the future, and those that reported undertaking biosecurity measures for some
time. Farmers felt that biosecurity improved cattle health and welfare, but also
felt that disease was inevitable. More farmers agreed with statements relating to
their ability to control, rather than prevent disease. Analysis suggested a
difference between influencing beliefs and whether specific types of measure were
more likely to be undertaken. For example, farmers' beliefs about other
stakeholders appeared to play a role in influencing the utilisation of measures
preventing direct contact (e.g. nose to nose contact), rather than indirect
contact (e.g. fomite transmission). The use of a combination of behaviour change
models has identified key variables to use for interventional approaches targeted
towards the different type of biosecurity measure (preventing direct or indirect
transmission) to improve the uptake of biosecurity on dairy cattle farms in GB.
Other industry stakeholders should be aware of these variables when working with
farmers to achieve optimal cattle herd health.
PMID- 29786528
TI - Modelling correlated data: Multilevel models and generalized estimating equations
and their use with data from research in developmental disabilities.
AB - BACKGROUND: The use of Multilevel Models (MLM) and Generalized Estimating
Equations (GEE) for analysing clustered data in the field of intellectual and
developmental disability (IDD) research is still limited. METHOD: We present some
important features of MLMs and GEEs: main function, assumptions, model
specification and estimators, sample size and power. We provide an overview of
the ways MLMs and GEEs have been used in IDD research. RESULTS: While MLMs and
GEEs are both appropriate for longitudinal and/or clustered data, they differ in
the assumptions they impose on the data, and the inferences made. Estimators in
MLMs require appropriate model specification, while GEEs are more resilient to
misspecification at the expense of model complexity. Studies on sample size seem
to suggest that Level 1 coefficients are robust to small samples/clusters, with
any higher-level coefficients less so. MLMs have been used more frequently than
GEEs in IDD research, especially for fitting developmental trajectories.
CONCLUSIONS: Clustered data from research in the IDD field can be analysed
flexibly using MLMs and GEEs. These models would be more widely used if journals
required the inclusion of technical specification detail, simulation studies
examined power for IDD study characteristics, and researchers developed core
skills during basic studies.
PMID- 29786527
TI - Retrospective analysis of Bluetongue farm risk profile definition, based on
biology, farm management practices and climatic data.
AB - Bluetongue (BT) is a vector-borne disease transmitted by species of Culicoides
midges (Diptera: Ceratopogonidae). Many studies have contributed to clarifying
various aspects of its aetiology, epidemiology and vector dynamic; however, BT
remains a disease of epidemiological and economic importance that affects
ruminants worldwide. Since 2000, the Sardinia region has been the most affected
area of the Mediterranean basin. The region is characterised by wide pastoral
areas for sheep and represents the most likely candidate region for the study of
Bluetongue virus (BTV) distribution and prevalence in Italy. Furthermore,
specific information on the farm level and epidemiological studies needs to be
provided to increase the knowledge on the disease's spread and to provide valid
mitigation strategies in Sardinia. This study conducted a punctual investigation
into the spatial patterns of BTV transmission to define a risk profile for all
Sardinian farmsby using a logistic multilevel mixed model that take into account
agro-meteorological aspects, as well as farm characteristics and management. Data
about animal density (i.e. sheep, goats and cattle), vaccination, previous
outbreaks, altitude, land use, rainfall, evapotranspiration, water surface, and
farm management practices (i.e. use of repellents, treatment against insect
vectors, storage of animals in shelter overnight, cleaning, presence of mud and
manure) were collected for 12,277 farms for the years 2011-2015. The logistic
multilevel mixed model showed the fundamental role of climatic factors in disease
development and the protective role of good management, vaccination, outbreak in
the previous year and altitude. Regional BTV risk maps were developed, based on
the predictor values of logistic model results, and updated every 10 days. These
maps were used to identify, 20 days in advance, the areas at highest risk. The
risk farm profile, as defined by the model, would provide specific information
about the role of each factor for all Sardinian institutions involved in devising
BT prevention and control strategies.
PMID- 29786529
TI - Corrigendum to "Adverse events associated with the use of cervical spine
manipulation or mobilization and patient characteristics: A systematic review"
<[Musculoskelet. Sci. Pract. 28C (2017) 32-38]>.
PMID- 29786531
TI - Mechanical aspiration thrombectomy in the treatment of acute intrastent renal
artery thrombosis.
AB - Chimney-and-periscope technique for thoraco-abdominal aneurysm repair is a
valuable treatment option in selected patients. Acute renal artery occlusion is a
serious complication after this technique. We report a case of acute right renal
artery occlusion, consequent to a previous chimney-and-periscope technique that
was treated urgently by mechanical aspiration thrombectomy.
PMID- 29786530
TI - Meta-analysis of safety and efficacy of oral anti-coagulants in patients
requiring catheter ablation for atrial fibrillation.
AB - BACKGROUND: The ideal oral anticoagulant agent during catheter ablation (CA) for
atrial fibrillation (AF) remained unclear. HYPOTHESIS: Novel oral anticoagulants
(NOACs) are safer and effective compared to uninterrupted vitamin K antagonists
(U-VKA) among patients requiring CA for AF. METHODS: Four randomized controlled
trials (RCTs) and 9 observational studies (OS) were selected using
PubMed/Medline, EMBASE and the CENTRAL data bases (Inception-December-2017).
Estimates were reported as random effects risk ratio (RR) with 95% confidence
interval (CI). The primary safety outcome was major bleeding and main efficacy
endpoint was thromboembolism. RESULTS: In RCTs restricted analysis, NOACs
significantly reduced the relative risk of major bleeding by 72% compared to U
VKA (RR, 0.28, 95% CI, 0.14-0.58, P < 0.001). This significant effect was not
achieved in OS based analysis (RR, 0.86, 95% CI, 0.42-1.78, P = 0.68). In terms
of thromboembolism, both anticoagulation strategies were equally effective in
analysis of RCTs (RR, 0.28, 95% CI, 0.05-1.70, P = 0.17) or OS (RR, 1.43, 95% CI,
0.46-4.39, P = 0.54). In sensitivity analysis, there was no difference among
uninterrupted NOACs (U-NOACs) and U-VKA in terms of major bleeding [(RCTs: RR,
0.33, 95% CI, 0.10-1.06, P = 0.06); (OS: RR, 0.70, 95% CI, 0.28-1.78, P = 0.46)]
or thromboembolism [(RCTs: RR, 0.25, 95% CI, 0.03-2.29, P = 0.22); (OS: RR, 0.68,
95% CI, 0.08-5.53, P = 0.72)]. CONCLUSION: NOACs, either interrupted or un
interrupted, are safer and equally effective drugs compared to U-VKA in AF
patients requiring CA.
PMID- 29786532
TI - Rice-body formation without rheumatic disease or tuberculosis in a "sausage" ring
finger.
AB - Rice bodies are very unusual lesions, generally encountered in chronic synovitis
due to rheumatoid diseases or tuberculosis. A 31-year-old right-handed man
presented with a 15-year history of progressively growing "sausage-like" swelling
of the 4th finger and palm of his right hand. There was an immovable, painless
mass with restriction of the finger's ROM without local or general associated
signs. Imaging showed a large non-aggressive mass within the tendon sheath.
Complete excision of the mass was performed. Histopathological examination showed
synovial villi with rice bodies and central necrosis suggestive of tuberculous
synovitis or rheumatoid arthritis (RA). Tests for mycobacterial infections were
all negative and there was no argument in favor of a rheumatoid pathology. There
is no established standard treatment in a case like ours, which has no origin in
tuberculosis or RA. Prolonged follow-up will be needed to confirm absence of
recurrence after complete excision.
PMID- 29786533
TI - [Bacteriospermia: Effects on semen parameters].
AB - OBJECTIVES: Bacteriospermia may result in male hypofertlity. Indeed, 60% of
patients treated by Assisted Reproductive Techniques had a local infection or
inflammation of genital tract. However, direct effects of bacteriospermia on
semen parameters remain controversial. The aim of our work was to explore the
effect of bacteriospermia on sperm parameters among patients consulting for
hypofertility. METHODS: A retrospective comparative study of two groups: a group
of 70 patients with bacteriospermia (group 1) and a control group of 70 patients
without bacteriospermia (group 2). For these groups, we studied the standard
semen parameters (seminal volume and viscosity and spermatozoa count, initial and
secondary mobility, vitality and morphology). Comparison of these parameters was
made by the Chi2 test and the Fisher test. RESULTS: The mean age of our
population was 40.4+/-6.7 years. There was no significant difference between
ejaculate average volume in the 2 groups (group B: 2.78mL versus group A: 2.92mL
with P=0.2). Similarly, for the viscosity no difference was noted (P=0,68). The
altered parameters in the presence of bacteriospermia were mean concentration and
sperm motility (P=0.001 and P=0.049 respectively). The prevalence of secondary
asthenospermia was higher in the presence of bacterospermia (P=0.006). No
statistically significant differences were observed for morphology and vitality
of spermatozoa (P=0.276 and P=0.075 respectively). The leucospermia was
associated with bacteriospermia in 10% patients. Ureaplasma urealyticum was the
germ most found (45.7%) followed by Streptococcus and Staphylococcacae (20.3% for
each), Gram negative rods accounted for 12.9%, while Corynebacterium spp was
isolated only in 4,3% patients. U. urealyticum was associated with hypospermia in
33% of cases (P=0.031). Spermatozoa motility was significatively decreased not
only in the presence of this bacteria (FT=0.002) but also when cultures were
positive for Streptococcus (0.04) and Corynebacterium (P<0.001). Morphological
abnormalities were also noticed with Streptococcus with index of teratozoospermia
of 46% versus 19% in the 2nd group (P=0.046). CONCLUSION: Bacteriospermia may
impair sperm parameters. The treatment of this affection seems to improve sperm
fertilizing potential. In addition, it could prevent contamination of culture
media.
PMID- 29786534
TI - [The gynecological pessary: Is it really a device of another age, a fig leaf?]
AB - OBJECTIVES: The use of pessary to put back up prolapsed organs to their normal
position dates back prior to several millennia. This work aims to discuss its
place in our clinical practice through an updated bibliographic review and
emphasizes a hands-on approach to pessary fitting and management in terms of
indication, pessary type selection, device' size, insertion, removal, follow-up
visits and care. METHODS: A review of the literature, in the light of the latest
publications and recommendations of French and international learned societies,
as well as our own experience, were analyzed. RESULTS: This device appears to be
as effective as surgical management to relieve symptoms related to urogenital
prolapse and restore body image. It can be used temporarily, awaiting a surgical
solution or as a therapeutic test (mimicking the effect of a surgical procedure
to predict its functional outcome or identifying a masked urinary incontinence).
It can also represent an alternative to surgery (patient choice, women who wish
to complete childbearing or who are unsuitable for surgery because of medical
comorbidities) and thus can be used in first intention. However, despite its
moderate cost and its harmlessness, this device remains somewhat little known as
regards the practical modalities of its use, which, moreover, appear not
consensual. CONCLUSION: A guide intended for the attention of the patients as
well as a film relating to the practical modalities of the device' use were
elaborated to improve the knowledge of both professionals and users in order to
optimize and secure the care pathway.
PMID- 29786535
TI - Evaluating the importance of sham-controlled trials in the investigation of
medical devices in interventional cardiology.
AB - Cardiovascular medicine is one of the specialties that has relied most heavily on
evidence from randomised clinical trials in determining best practice for the
management of common disease conditions. When comparing treatment approaches,
trials incorporating random allocation are the most appropriate method for
protecting against treatment allocation bias. In order to protect against
performance and ascertainment bias, trial designs including placebo control are
preferable where feasible. In contrast to testing of medicines, treatments based
on procedures or use of medical devices are more challenging to assess, as sham
procedures are necessary to facilitate blinding of participants. However, in many
cases, ethical concerns exist, as individual patients allocated to sham procedure
are exposed only to risk without potential for benefit. Accordingly, the
potential benefits to the general patient population must be carefully weighed
against the risks of the exposed individuals. For this reason, trial design and
study conduct are critically important to ensure that the investigation has the
best chance of answering the study question at hand. In the current manuscript,
we aim to review issues relating to the conduct of sham-controlled trials and
discuss a number of recent examples in the field of interventional cardiology.
PMID- 29786536
TI - Percutaneous coronary and structural interventions in women: a position statement
from the EAPCI Women Committee.
AB - Several expert documents on sex-based differences in interventional outcomes are
now available, however this is the first position paper from the EAPCI Women
Committee discussing the potential influence of sex in the percutaneous treatment
of coronary and structural heart disease. Despite the misconception that coronary
artery disease is a 'man's disease', contemporary data shows a growing incidence
in women. However, women are under-represented in randomised coronary clinical
trials (~25%). The generalisation of such studies is therefore problematic in
decision-making for females undergoing coronary intervention. Differences in
pathophysiology between sexes exist, highlighting the need for greater awareness
amongst healthcare professionals to enable best evidence-based therapies for
women as well as for men. Reassuringly, women represent half of the population
included in transcatheter aortic valve implantation clinical trials and may
actually benefit more. Growing evidence is also emerging for other interventional
atrial procedures which may well be advantageous to women. Awareness of sex
disparities is increasing, and we must all work collaboratively within our
profession to ensure we provide effective care for all patients with heart
disease. The EAPCI Women Committee aim to highlight such issues through this
position paper and through visibility within the interventional community.
PMID- 29786537
TI - Complete two-year follow-up with formal non-inferiority testing on primary
outcomes of the AIDA trial comparing the Absorb bioresorbable scaffold with the
XIENCE drug-eluting metallic stent in routine PCI.
AB - AIMS: The aim of this report of the AIDA trial is to provide full two-year
outcomes for the primary endpoint of target vessel failure (TVF) and an update on
device thrombosis. METHODS AND RESULTS: AIDA was a single-blind, multicentre,
investigator-initiated, non-inferiority, randomised (1:1) clinical trial. At
complete two-year follow-up, the primary endpoint of TVF had occurred in 100
patients in the Absorb BVS arm versus 90 patients in the XIENCE EES arm (HR 1.12,
95% CI: 0.94-1.49; psuperiority=0.436). Estimated two-year Kaplan-Meier event
rates of TVF were 11.0% and 9.9%, respectively (95% CI: -0.9%-3.0%; pnon
inferiority=0.003). Definite or probable device thrombosis at two years occurred
in 30 patients in the Absorb BVS arm and in eight patients in the XIENCE EES arm.
Kaplan-Meier estimates of device thrombosis were 3.3% in the Absorb BVS arm and
0.9% in the XIENCE EES arm (HR 5.22, 95% CI: 2.00-13.59; p<0.001). CONCLUSIONS:
AIDA formally met its criterion for non-inferiority of Absorb BVS versus XIENCE
EES in terms of the combined endpoint of TVF. The Absorb BVS, however, was
associated with higher rates of scaffold thrombosis and target vessel myocardial
infarction at complete two-year follow-up.
PMID- 29786538
TI - Re-shuffling the Editorial Board.
PMID- 29786539
TI - Percutaneous coronary intervention in left main coronary artery disease: the 13th
consensus document from the European Bifurcation Club.
AB - The 2017 European Bifurcation Club (EBC) meeting was held in Porto (Portugal) and
allowed a multidisciplinary international faculty to review and discuss the
latest data collected in the field of coronary bifurcation interventions. In
particular, the topic of percutaneous coronary intervention (PCI) on left main
coronary artery (LM) disease was highlighted as a contemporary priority. Herein,
we summarise the key LM anatomy features, the diagnostic modalities and available
data that are relevant for a patient's procedural management. Since the clinical
outcomes of patients undergoing PCI on LM disease may depend on both PCI team
organisation and PCI performance, the optimal catheterisation laboratory set-up
and the rationales for device and technique selection are critically reviewed.
The best lesion preparation modalities, the different DES implantation technique
choices and the strategies to be considered during PCI on unprotected LM for
optimal PCI results are reviewed step by step.
PMID- 29786540
TI - Trials of antithrombotic therapy in percutaneous coronary intervention: what
evidence do we need to optimise our practice?
PMID- 29786541
TI - P2Y12 inhibition in STEMI: early, strong or both?
PMID- 29786542
TI - TAVR in younger patients with aortic stenosis: anything new?
PMID- 29786543
TI - CTO PCI, the evolution of the revolution: time for consensus on definitions.
PMID- 29786544
TI - Transcatheter aortic valve implantation in Asia: the first decade.
PMID- 29786545
TI - EAPCI Presidential "criss-cross".
PMID- 29786547
TI - Protective effect of breastfeeding on recurrent cough in adulthood.
AB - RATIONALE: Breastfeeding protects from respiratory infections in early life but
its relationship to recurrent cough and other respiratory outcomes in adult life
is not well established. METHODS: Infant feeding practices were assessed
prospectively in the Tucson Children's Respiratory Study, a non-selected birth
cohort and categorised into formula from birth or introduced <1 month, formula
introduced >=1 to <4 months and exclusive breastfeeding for >=4 months. Infant
feeding was assessed as an ordinal variable representing an increasing dose of
breastmilk across the three categories. Recurrent cough was defined at 22, 26 and
32 years as >=2 episodes of cough without a cold lasting 1 week during the past
year. Covariates included participant sex, race/ethnicity and smoking as well as
parental smoking, education, age and asthma. Covariates were evaluated as
potential confounders for the relation between infant feeding and adult outcomes.
RESULTS: Of the 786 participants, 19% breastfed <1 month, 50% breastfed >=1 to <4
months and 31% breastfed >=4 months. The prevalence of recurrent cough at 22, 26
and 32 years was 17%, 15% and 16%, respectively. Each ordinal increase in
breastfeeding duration was associated with a decreased risk of recurrent cough in
adult life: adjusted OR=0.71, (95% CI: 0.56 to 0.89), p=0.004. Additional
adjustment for concurrent adult asthma, wheeze, smoking and lung volume did not
change these results. CONCLUSION: Longer duration of breastfeeding reduces the
risk of recurrent cough in adult life, regardless of smoking and other
respiratory symptoms, suggesting long-term protective effects on respiratory
health.
PMID- 29786546
TI - FLT3-ITD Compared with DNMT3A R882 Mutation Is a More Powerful Independent
Inferior Prognostic Factor in Adult Acute Myeloid Leukemia Patients After
Allogeneic Hematopoietic Stem Cell Transplantation: A Retrospective Cohort Study
AB - Objective: This study aimed to evaluate DNMT3A exon 23 mutations and their
prognostic impacts in the presence of NPM1 and FLT3 mutations in acute myeloid
leukemia (AML) patients who underwent allogeneic hematopoietic stem cell
transplantation (HSCT). Materials and Methods: This study comprised 128 adult AML
patients referred to the Hematology-Oncology and Stem Cell Research Center of
Shariati Hospital. NPM1 and FLT3-ITD mutations were detected by fragment
analysis. For DNMT3A exon 23 mutation analysis, we used Sanger sequencing.
Overall survival (OS) and relapse-free survival (RFS) curves were estimated by
the Kaplan-Meier method and the log-rank test was used to calculate differences
between groups. Results: The prevalence of DNMT3A exon 23 mutations was 15.6% and
hotspot region R882 mutations were prominent. RFS and OS were compared in
patients with and without DNMT3A exon 23 mutations using univariate analysis and
there was no significant difference between these groups of patients. On the
contrary, the FLT3-ITD mutation significantly reduced the OS (p=0.009) and RFS
(p=0.006) in AML patients after allogeneic HSCT. In the next step, patients with
AML were divided into four groups regarding FLT3-ITD and DNMT3A mutations.
Patients with DNMT3A R882mut/FLT3-ITDpos had the worst OS and RFS. These results
indicate that DNMT3A mutations alone do not affect the clinical outcomes of AML
patients undergoing allogeneic HSCT, but when accompanied by FLT3-ITD mutations,
the OS was significantly reduced (5-year OS 0% for DNMT3A R882mut/FLT3-ITDpos
patients vs. 62% DNMT3A R882wt/FLT3-ITDneg, p=0.025) and the relapse rate
increased. Conclusion: It can be deduced that DNMT3A R882mut/FLT3-ITDpos is an
unfavorable prognostic factor in AML patients even after allogeneic HSCT.
PMID- 29786548
TI - Designer human tissue: coming to a lab near you.
AB - Human pluripotent stem cells (PSCs) offer a scalable alternative to primary and
transformed human tissue. PSCs include human embryonic stem cells, derived from
the inner cell mass of blastocysts unsuitable for human implantation; and induced
PSCs, generated by the reprogramming of somatic cells. Both cell types display
the ability to self-renew and retain pluripotency, promising an unlimited supply
of human somatic cells for biomedical application. A distinct advantage of using
PSCs is the ability to select for genetic background, promising personalized
modelling of human biology 'in a dish' or immune-matched cell-based therapies for
the clinic. This special issue will guide the reader through stem cell self
renewal, pluripotency and differentiation. The first articles focus on improving
cell fidelity, understanding the innate immune system and the importance of
materials chemistry, biofabrication and bioengineering. These are followed by
articles that focus on industrial application, commercialization and label-free
assessment of tissue formation. The special issue concludes with an article
discussing human liver cell-based therapies past, present and future.This article
is part of the theme issue 'Designer human tissue: coming to a lab near you'.
PMID- 29786550
TI - Generation of defined neural populations from pluripotent stem cells.
AB - Effective and efficient generation of human neural stem cells and subsequently
functional neural populations from pluripotent stem cells has facilitated
advancements in the study of human development and disease modelling. This review
will discuss the established protocols for the generation of defined neural
populations including regionalized neurons and astrocytes, oligodendrocytes and
microglia. Early protocols were established in embryonic stem cells (ESC) but the
discovery of induced pluripotent stem cells (iPSC) in 2006 provided a new
platform for modelling human disorders of the central nervous system (CNS). The
ability to produce patient- and disease-specific iPSC lines has created a new age
of disease modelling. Human iPSC may be derived from adult somatic cells and
subsequently patterned into numerous distinct cell types. The ability to derive
defined and regionalized neural populations from iPSC provides a powerful in
vitro model of CNS disorders.This article is part of the theme issue 'Designer
human tissue: coming to a lab near you'.
PMID- 29786549
TI - Pluripotent stem cells: induction and self-renewal.
AB - Pluripotent stem cells (PSCs) lie at the heart of modern regenerative medicine
due to their properties of unlimited self-renewal in vitro and their ability to
differentiate into cell types representative of the three embryonic germ layers
mesoderm, ectoderm and endoderm. The derivation of induced PSCs bypasses ethical
concerns associated with the use of human embryonic stem cells and also enables
personalized cell-based therapies. To exploit their regenerative potential, it is
essential to have a firm understanding of the molecular processes associated with
their induction from somatic cells. This understanding serves two purposes:
first, to enable efficient, reliable and cost-effective production of excellent
quality induced PSCs and, second, to enable the derivation of safe, good
manufacturing practice-grade transplantable donor cells. Here, we review the
reprogramming process of somatic cells into induced PSCs and associated
mechanisms with emphasis on self-renewal, epigenetic control, mitochondrial
bioenergetics, sub-states of pluripotency, naive ground state, naive and primed.
A meta-analysis identified genes expressed exclusively in the inner cell mass and
in the naive but not in the primed pluripotent state. We propose these as
additional biomarkers defining naive PSCs.This article is part of the theme issue
'Designer human tissue: coming to a lab near you'.
PMID- 29786552
TI - Intestinal organoids for modelling intestinal development and disease.
AB - Gastrointestinal diseases are becoming increasingly prevalent in developed
countries. Immortalized cells and animal models have delivered important but
limited insight into the mechanisms that initiate and propagate these diseases.
Human-specific models of intestinal development and disease are desperately
needed that can recapitulate structure and function of the gut in vitro Advances
in pluripotent stem cells and primary tissue culture techniques have made it
possible to culture intestinal epithelial cells in three dimensions that self
assemble to form 'intestinal organoids'. These organoids allow for new, human
specific models that can be used to gain insight into gastrointestinal disease
and potentially deliver new therapies to treat them. Here we review current in
vitro models of intestinal development and disease, considering where
improvements could be made and potential future applications in the fields of
developmental modelling, drug/toxicity testing and therapeutic uses.This article
is part of the theme issue 'Designer human tissue: coming to a lab near you'.
PMID- 29786551
TI - Three-dimensional cell culture: from evolution to revolution.
AB - Recent advances in the isolation of tissue-resident adult stem cells and the
identification of inductive factors that efficiently direct differentiation of
human pluripotent stem cells along specific lineages have facilitated the
development of high-fidelity modelling of several tissues in vitro Many of the
novel approaches have employed self-organizing three-dimensional (3D) culturing
of organoids, which offer several advantages over conventional two-dimensional
platforms. Organoid technologies hold great promise for modelling diseases and
predicting the outcome of drug responses in vitro Here, we outline the historical
background and some of the recent advances in the field of three-dimensional
organoids. We also highlight some of the current limitations of these systems and
discuss potential avenues to further benefit biological research using three
dimensional modelling technologies.This article is part of the theme issue
'Designer human tissue: coming to a lab near you'.
PMID- 29786554
TI - A human iPSC line capable of differentiating into functional macrophages
expressing ZsGreen: a tool for the study and in vivo tracking of therapeutic
cells.
AB - We describe the production of a human induced pluripotent stem cell (iPSC) line,
SFCi55-ZsGr, that has been engineered to express the fluorescent reporter gene,
ZsGreen, in a constitutive manner. The CAG-driven ZsGreen expression cassette was
inserted into the AAVS1 locus and a high level of expression was observed in
undifferentiated iPSCs and in cell lineages derived from all three germ layers
including haematopoietic cells, hepatocytes and neurons. We demonstrate efficient
production of terminally differentiated macrophages from the SFCi55-ZsGreen iPSC
line and show that they are indistinguishable from those generated from their
parental SFCi55 iPSC line in terms of gene expression, cell surface marker
expression and phagocytic activity. The high level of ZsGreen expression had no
effect on the ability of macrophages to be activated to an M(LPS + IFNgamma),
M(IL10) or M(IL4) phenotype nor on their plasticity, assessed by their ability to
switch from one phenotype to another. Thus, targeting of the AAVS1 locus in iPSCs
allows for the production of fully functional, fluorescently tagged human
macrophages that can be used for in vivo tracking in disease models. The strategy
also provides a platform for the introduction of factors that are predicted to
modulate and/or stabilize macrophage function.This article is part of the theme
issue 'Designer human tissue: coming to a lab near you'.
PMID- 29786553
TI - From skeletal development to the creation of pluripotent stem cell-derived bone
forming progenitors.
AB - Bone has many functions. It is responsible for protecting the underlying soft
organs, it allows locomotion, houses the bone marrow and stores minerals such as
calcium and phosphate. Upon damage, bone tissue can efficiently repair itself.
However, healing is hampered if the defect exceeds a critical size and/or is in
compromised conditions. The isolation or generation of bone-forming progenitors
has applicability to skeletal repair and may be used in tissue engineering
approaches. Traditionally, bone engineering uses osteochondrogenic stem cells,
which are combined with scaffold materials and growth factors. Despite promising
preclinical data, limited translation towards the clinic has been observed to
date. There may be several reasons for this including the lack of robust cell
populations with favourable proliferative and differentiation capacities.
However, perhaps the most pertinent reason is the failure to produce an implant
that can replicate the developmental programme that is observed during skeletal
repair. Pluripotent stem cells (PSCs) can potentially offer a solution for bone
tissue engineering by providing unlimited cell sources at various stages of
differentiation. In this review, we summarize key embryonic signalling pathways
in bone formation coupled with PSC differentiation strategies for the derivation
of bone-forming progenitors.This article is part of the theme issue 'Designer
human tissue: coming to a lab near you'.
PMID- 29786555
TI - Innate immunity in stem cell-derived hepatocytes.
AB - Stem cell-derived hepatocyte-like cells (HLCs) offer great opportunities for
studies of host-pathogen interactions and tissue regeneration, as well as
hepatotoxicity. To reliably predict the outcome of infection or to enhance graft
survival, a finely tuned innate immune system is essential. Hepatocytes have long
been considered solely metabolic and their critical innate immune potential is
only recently gaining attention. Viral infection studies show that pathogen
detection by cytosolic receptors leads to interferon (IFN) induction in primary
hepatocytes and HLCs. IFN expression in HLCs is characterized by strong
expression of type III IFN and low expression of type I IFN which is also a
characteristic of primary hepatocytes. The response to IFN differs in HLCs with
lower interferon-stimulated gene (ISG)-expression levels than in primary
hepatocytes. Tumour necrosis factor-alpha (TNF-alpha) signalling is less studied
in HLCs, but appears to be functional. Expression of toll-like receptors (TLR) 2
5, 7 and 9 has been reported in primary hepatocytes but has been poorly studied
in HLCs. In summary, although they retain some immature features, HLCs are in
many ways superior to hepatoma cell lines for cell-based modelling. In this
review, we will provide an overview of innate immune signalling in HLCs and how
this compares with primary hepatocytes.This article is part of the themed issue
'Designer human tissue: coming to a lab near you'.
PMID- 29786556
TI - Assessment of stem cell differentiation based on genome-wide expression profiles.
AB - In recent years, protocols have been established to differentiate stem and
precursor cells into more mature cell types. However, progress in this field has
been hampered by difficulties to assess the differentiation status of stem cell
derived cells in an unbiased manner. Here, we present an analysis pipeline based
on published data and methods to quantify the degree of differentiation and to
identify transcriptional control factors explaining differences from the intended
target cells or tissues. The pipeline requires RNA-Seq or gene array data of the
stem cell starting population, derived 'mature' cells and primary target cells or
tissue. It consists of a principal component analysis to represent global
expression changes and to identify possible problems of the dataset that require
special attention, such as: batch effects; clustering techniques to identify gene
groups with similar features; over-representation analysis to characterize
biological motifs and transcriptional control factors of the identified gene
clusters; and metagenes as well as gene regulatory networks for quantitative cell
type assessment and identification of influential transcription factors.
Possibilities and limitations of the analysis pipeline are illustrated using the
example of human embryonic stem cell and human induced pluripotent cells to
generate 'hepatocyte-like cells'. The pipeline quantifies the degree of
incomplete differentiation as well as remaining stemness and identifies unwanted
features, such as colon- and fibroblast-associated gene clusters that are absent
in real hepatocytes but typically induced by currently available differentiation
protocols. Finally, transcription factors responsible for incomplete and unwanted
differentiation are identified. The proposed method is widely applicable and
allows an unbiased and quantitative assessment of stem cell-derived cells.This
article is part of the theme issue 'Designer human tissue: coming to a lab near
you'.
PMID- 29786557
TI - The gene regulatory network of mESC differentiation: a benchmark for reverse
engineering methods.
AB - A large body of data have accumulated that characterize the gene regulatory
network of stem cells. Yet, a comprehensive and integrative understanding of this
complex network is lacking. Network reverse engineering methods that use
transcriptome data to derive these networks may help to uncover the topology in
an unbiased way. Many methods exist that use co-expression to reconstruct
networks. However, it remains unclear how these methods perform in the context of
stem cell differentiation, as most systematic assessments have been made for
regulatory networks of unicellular organisms. Here, we report a systematic
benchmark of different reverse engineering methods against functional data. We
show that network pruning is critical for reconstruction performance. We also
find that performance is similar for algorithms that use different co-expression
measures, i.e. mutual information or correlation. In addition, different methods
yield very different network topologies, highlighting the challenge of
interpreting these resulting networks as a whole.This article is part of the
theme issue 'Designer human tissue: coming to a lab near you'.
PMID- 29786559
TI - Three-dimensional bioprinting of stem-cell derived tissues for human regenerative
medicine.
AB - Stem cell technology in regenerative medicine has the potential to provide an
unlimited supply of cells for drug testing, medical transplantation and academic
research. In order to engineer a realistic tissue model using stem cells as an
alternative to human tissue, it is essential to create artificial stem cell
microenvironment or niches. Three-dimensional (3D) bioprinting is a promising
tissue engineering field that offers new opportunities to precisely place stem
cells within their niches layer-by-layer. This review covers bioprinting
technologies, the current development of 'bio-inks' and how bioprinting has
already been applied to stem-cell culture, as well as their applications for
human regenerative medicine. The key considerations for bioink properties such as
stiffness, stability and biodegradation, biocompatibility and printability are
highlighted. Bioprinting of both adult and pluriopotent stem cells for various
types of artificial tissues from liver to brain has been reviewed. 3D bioprinting
of stem-cell derived tissues for human regenerative medicine is an exciting
emerging area that represents opportunities for new research, industries and
products as well as future challenges in clinical translation.This article is
part of the theme issue 'Designer human tissue: coming to a lab near you'.
PMID- 29786558
TI - New substrates for stem cell control.
AB - The capacity to culture stem cells in a controllable, robust and scalable manner
is necessary in order to develop successful strategies for the generation of
cellular and tissue platforms for drug screening, toxicity testing, tissue
engineering and regenerative medicine. Creating substrates that support the
expansion, maintenance or directional differentiation of stem cells would greatly
aid these efforts. Optimally, the substrates used should be chemically defined
and synthetically scalable, allowing growth under defined, serum-free culture
conditions. To achieve this, the chemical and physical attributes of the
substrates should mimic the natural tissue environment and allow control of their
biological properties. Herein, recent advances in the development of materials to
study/manipulate stem cells, both in vitro and in vivo, are described with a
focus on the novelty of the substrates' properties, and on application of
substrates to direct stem cells.This article is part of the theme issue 'Designer
human tissue: coming to a lab near you'.
PMID- 29786562
TI - Application of hepatocyte-like cells to enhance hepatic safety risk assessment in
drug discovery.
AB - Hepatic stress and injury from drugs continues to be a major concern within the
pharmaceutical industry, leading to preclinical and clinical attrition
precautionary warnings and post-market withdrawal of drugs. There is a
requirement for more predictive and mechanistically accurate models to aid risk
assessment. Primary human hepatocytes, subject to isolation stress,
cryopreservation, donor-to-donor variation and a relatively short period of
functional capability in two-dimensional cultures, are not suitable for high
throughput screening procedures. There are two areas within the drug discovery
pipeline that the generation of a stable, metabolically functional hepatocyte
like cell with unlimited supply would have major impact. First, in routine, cell
health risk-assessment assays where hepatic cell lines are typically deployed.
Second, at later stages of the drug discovery pipeline approaching candidate
nomination where bespoke/investigational studies refining and understanding the
risk to patients use patient-derived induced pluripotent stem cell (iPSC)
hepatocytes retaining characteristics from the patient, e.g. HLA susceptibility
alleles, iPSC hepatocytes with defined disease phenotypes or genetic
characteristics that have the potential to make the hepatocyte more sensitive to
a particular stress mechanism. Functionality of patient-centric hepatocyte-like
cells is likely to be enhanced when coupled with emerging culture systems, such
as three-dimensional spheroids or microphysiological systems. Ultimately, the
aspiration to confidently use human-relevant in vitro models to predict human
specific hepatic toxicity depends on the integration of promising emerging
technologies.This article is part of the theme issue 'Designer human tissue:
coming to a lab near you'.
PMID- 29786561
TI - Impedance-based cellular assays for regenerative medicine.
AB - Therapies based on regenerative techniques have the potential to radically
improve healthcare in the coming years. As a result, there is an emerging need
for non-destructive and label-free technologies to assess the quality of
engineered tissues and cell-based products prior to their use in the clinic. In
parallel, the emerging regenerative medicine industry that aims to produce stem
cells and their progeny on a large scale will benefit from moving away from
existing destructive biochemical assays towards data-driven automation and
control at the industrial scale. Impedance-based cellular assays (IBCA) have
emerged as an alternative approach to study stem-cell properties and cumulative
studies, reviewed here, have shown their potential to monitor stem-cell renewal,
differentiation and maturation. They offer a novel method to non-destructively
assess and quality-control stem-cell cultures. In addition, when combined with in
vitro disease models they provide complementary insights as label-free phenotypic
assays. IBCA provide quantitative and very sensitive results that can easily be
automated and up-scaled in multi-well format. When facing the emerging challenge
of real-time monitoring of three-dimensional cell culture dielectric spectroscopy
and electrical impedance tomography represent viable alternatives to two
dimensional impedance sensing.This article is part of the theme issue 'Designer
human tissue: coming to a lab near you'.
PMID- 29786560
TI - Microfabrication of liver and heart tissues for drug development.
AB - Drug-induced liver- and cardiotoxicity remain among the leading causes of
preclinical and clinical drug attrition, marketplace drug withdrawals and black
box warnings on marketed drugs. Unfortunately, animal testing has proven to be
insufficient for accurately predicting drug-induced liver- and cardiotoxicity
across many drug classes, likely due to significant differences in tissue
functions across species. Thus, the field of in vitro human tissue engineering
has gained increasing importance over the last 10 years. Technologies such as
protein micropatterning, microfluidics, three-dimensional scaffolds and
bioprinting have revolutionized in vitro platforms as well as increased the long
term phenotypic stability of both primary cells and stem cell-derived
differentiated cells. Here, we discuss advances in engineering approaches for
constructing in vitro human liver and heart models with utility for drug
development. Design features and validation data of representative models are
presented to highlight major trends followed by the discussion of pending issues.
Overall, bioengineered liver and heart models have significantly advanced our
understanding of organ function and injury, which will prove useful for
mitigating the risk of drug-induced organ toxicity to human patients, reducing
animal usage for preclinical drug testing, aiding in the discovery of novel
therapeutics against human diseases, and ultimately for applications in
regenerative medicine.This article is part of the theme issue 'Designer human
tissue: coming to a lab near you'.
PMID- 29786566
TI - Clinical Performance Measures for Adults Hospitalized With Intracerebral
Hemorrhage: Performance Measures for Healthcare Professionals From the American
Heart Association/American Stroke Association.
PMID- 29786564
TI - Developing defined substrates for stem cell culture and differentiation.
AB - Over the past few decades, a variety of different reagents for stem cell
maintenance and differentiation have been commercialized. These reagents share a
common goal in facilitating the manufacture of products suitable for cell therapy
while reducing the amount of non-defined components. Lessons from developmental
biology have identified signalling molecules that can guide the differentiation
process in vitro, but less attention has been paid to the extracellular matrix
used. With the introduction of more biologically relevant and defined matrices,
that better mimic specific cell niches, researchers now have powerful resources
to fine-tune their in vitro differentiation systems, which may allow the
manufacture of therapeutically relevant cell types. In this review article, we
revisit the basics of the extracellular matrix, and explore the important role of
the cell-matrix interaction. We focus on laminin proteins because they help to
maintain pluripotency and drive cell fate specification.This article is part of
the theme issue 'Designer human tissue: coming to a lab near you'.
PMID- 29786567
TI - How to incorporate academic writing pedagogy in undergraduate and postgraduate
medical education.
AB - OBJECTIVE: Introduction: Medical researchers, who are non-native English
speakers, are facing now the growing need to publish their research results in
international journals switching to an English-only policy, to apply for grants
and scholarship, but at the same time this puts many authors whose native
language is not English at a disadvantage compared to their English-speaking
counterparts. The aim: This paper aims at analysing the existing parameters of
academic writing proficiency of medical undergraduate and postgraduate students;
elucidating current approaches to develop academic writing competency and to
promote academic multi-literacy of junior researchers, and outlining the general
recommendations to improve the quality and sophistication of their writing by
incorporating the principles and achievements of academic writing pedagogy into
the system of medical training. PATIENTS AND METHODS: Materials and methods: This
study is an empirical applied research of a qualitative type mainly based on data
elicited from informants (n=120) of the Ukrainian Medical Stomatological Academy
aged from 20 - 35. RESULTS: Results and conclusions: All participants were able
to identify personal problem areas, and virtually all they note dissatisfaction
with the use of English in their scholarly writing. They stated the obvious
difficulties in sentence patterns and keeping tone of scientific narrative
format. Writing in genres other than original research articles seems to be quite
demanding and is often associated with the lack of self-confidence and language
anxiety. Attention to developing academic writing skills should focus on the
basic elements of academic writing, characteristics of written genres across the
disciplines, providing a framework in which expert and practical knowledge is
internally organized.
PMID- 29786565
TI - Modelling non-alcoholic fatty liver disease in human hepatocyte-like cells.
AB - Non-alcoholic fatty liver disease (NAFLD) is the most common cause of liver
disease in developed countries. An in vitro NAFLD model would permit mechanistic
studies and enable high-throughput therapeutic screening. While hepatic cancer
derived cell lines are a convenient, renewable resource, their genomic,
epigenomic and functional alterations mean their utility in NAFLD modelling is
unclear. Additionally, the epigenetic mark 5-hydroxymethylcytosine (5hmC), a cell
lineage identifier, is rapidly lost during cell culture, alongside expression of
the Ten-eleven-translocation (TET) methylcytosine dioxygenase enzymes,
restricting meaningful epigenetic analysis. Hepatocyte-like cells (HLCs) derived
from human embryonic stem cells can provide a non-neoplastic, renewable model for
liver research. Here, we have developed a model of NAFLD using HLCs exposed to
lactate, pyruvate and octanoic acid (LPO) that bear all the hallmarks, including
5hmC profiles, of liver functionality. We exposed HLCs to LPO for 48 h to induce
lipid accumulation. We characterized the transcriptome using RNA-seq, the
metabolome using ultra-performance liquid chromatography-mass spectrometry and
the epigenome using 5-hydroxymethylation DNA immunoprecipitation (hmeDIP)
sequencing. LPO exposure induced an NAFLD phenotype in HLCs with transcriptional
and metabolomic dysregulation consistent with those present in human NAFLD. HLCs
maintain expression of the TET enzymes and have a liver-like epigenome. LPO
exposure-induced 5hmC enrichment at lipid synthesis and transport genes. HLCs
treated with LPO recapitulate the transcriptional and metabolic dysregulation
seen in NAFLD and additionally retain TET expression and 5hmC. This in vitro
model of NAFLD will be useful for future mechanistic and therapeutic studies.This
article is part of the theme issue 'Designer human tissue: coming to a lab near
you'.
PMID- 29786563
TI - Cell-based liver therapies: past, present and future.
AB - Liver transplantation represents the standard treatment for people with an end
stage liver disease and some liver-based metabolic disorders; however, shortage
of liver donor tissues limits its availability. Furthermore, whole liver
replacement eliminates the possibility of using native liver as a possible target
for future gene therapy in case of liver-based metabolic defects. Cell therapy
has emerged as a potential alternative, as cells can provide the hepatic
functions and engraft in the liver parenchyma. Various options have been
proposed, including human or other species hepatocytes, hepatocyte-like cells
derived from stem cells or more futuristic alternatives, such as combination
therapies with different cell types, organoids and cell-biomaterial combinations.
In this review, we aim to give an overview of the cell therapies developed so
far, highlighting preclinical and/or clinical achievements as well as the
limitations that need to be overcome to make them fully effective and safe for
clinical applications.This article is part of the theme issue 'Designer human
tissue: coming to a lab near you'.
PMID- 29786568
TI - Nutritive support for newborns in critical conditions: semi-elemental formilas as
a means of enteral nutrition.
AB - OBJECTIVE: Introduction: The goal is to increase the efficiency of nutritional
support in intensive care of newborns by substantiating the choice of the enteral
blend. PATIENTS AND METHODS: Materials and methods: A prospective cohort study
was conducted, which included 173 newborns. The main group consisted of 143
patients of intensive care units. The comparison group - 30 virtually healthy
newborns. Physical parameters, changes in protein metabolism, serum
immunoglobulins, functioning of the gastrointestinal tract and hepatobiliary
system in the development of critical conditions and depending on the type of
enteric urine formula were determined. RESULTS: Results: At the beginning of
newborn enteral nutrition in the main group, (46.15% of cases), there were signs
of gastrointestinal malformation, distributions were detected in protein
metabolism, decreased serum immunoglobulin fractions, gastroduodenal
cytoprotection, increased alkaline phosphatase activity. Against the background
of the use of semi-elemental hydrolysable formulas a greater frequency of
excretions was observed, the assimilation orientation of protein metabolism,
increased levels of serum immunoglobulin fractions, reduction of gastroduodenal
cytoprotection, reduction of laboratory characteristics cholestasis and damage to
hepatocytes. CONCLUSION: Conclusions: In newborns in critical conditions,
adaptation to the onset of enteral nutrition is accompanied by a catabolic
direction of metabolism. The use of semi-elemental formulas increases the
efficiency nutritional support in the intensive care of newborns.
PMID- 29786569
TI - [An assessment of the functional status in the neurorehabilitation of patients
after ischemic stroke].
AB - OBJECTIVE: Introduction: In this article, the authors focused on the symptoms of
ischemic stroke and the effect of neurorehabilitation methods on the functional
status of patients after ischemic stroke. The aim of the study was to evaluate
and compare the functional status of patients after ischemic stroke with improved
classic kinesiotherapy, classic kinesiotherapy and NDT-Bobath and classic
kinesiotherapy and PNF. PATIENTS AND METHODS: Materials and methods: The study
involved 120 patients after ischemic stroke. Patients were treated in the
Department of Rehabilitation and Physical Medicine USK of Medical University in
Lodz. Patients were divided into 3 groups of 40 people. Group 1 was rehabilitated
by classical kinesiotherapy. Group 2 was rehabilitated by classic kinesiotherapy
and NTD-Bobath. Group 3 was rehabilitated by classical kinesiotherapy and PNF. In
all patient groups, magnetostimulation was performed using the Viofor JPS System.
The study was conducted twice: before treatment and immediately after 5 weeks
after the therapy. The effects of applied neurorehabilitation methods were
assessed on the basis of the Rivermead Motor Assessment (RMA). RESULTS: Results:
In all three patient groups, functional improvement was achieved. However, a
significantly higher improvement was observed in patients in the second group,
enhanced with classical kinesitherapy and NDT-Bobath. CONCLUSION: Conclusions:
The use of classical kinesiotherapy combined with the NDT-Bobath method is
noticeably more effective in improving functional status than the use only
classical kinesiotherapy or combination of classical kinesiotherapy and PNF
patients after ischemic stroke.
PMID- 29786571
TI - [The disorders of the musculoskeletal system in patients hospitalized in the
geriatric ward].
AB - OBJECTIVE: Introduction: In recent years the interest in the quality of life in
old age has been rising, which no doubt is closely linked with the growing
population of elderly people. The problems associated with declined functionality
of the locomotor system, which naturally occur with age, contribute to increasing
morbidity in elderly patients. It is known that lack of exercise can lead to
increased aging and disability. Applying the Senior Fitness Test (SFT) allows to
explore and assess the patient's mobility. The aim: To evaluate the functioning
of the patients hospitalized in the geriatric ward and realize them using the
method of the Senior Fitness Test (SFT). PATIENTS AND METHODS: Materials and
methods: The study involved 60 elderly subjects, including 30 men and 30 women in
four age groups: 75-79, 80-84, 85-89, 90-94. The research was carried out in the
Department of Internal Medicine and Geriatrics at the University Hospital in
Krakow. Within the group, the functional mobility of patients was assessed by
Senior Fitness Test (SFT), that means that the upper-body and the lower-body
strength, the upper-body and the lower-body flexibility, cardiovascular endurance
and finally the patient's agility and balance were measured by individual tests.
RESULTS: Results: In the study group in the assessment of functional capacity,
patients usually have difficulties in getting up from a chair, lifting heavy
objects, more bending, dressing, lifting hands, moving. The obtained results of
all studies assessed activities are significantly deviateng from the correct
results. None of the patients did not perform all tests in accordance with the
standards laid down for the ages. CONCLUSION: Conclusions: We conclude that in
the study population of hospitalized elderly functional ability presents a very
unfavorable.
PMID- 29786570
TI - Combination of metformin and pioglitazone and its effect in treatment of comorbid
pathology.
AB - OBJECTIVE: Introduction: The early development and high incidence of
cardiovascular lesion in patients with type 2 diabetes mellitus is one of the
most serious challenges for the diabetology worldwide. The aim: The purpose of
the paper is to determine the dynamics of the insulin resistance indices in
patients with type 2 diabetes mellitus concomitant with coronary heart disease in
the combination therapy with metformin and pioglitazone during 3 and 6 months.
PATIENTS AND METHODS: Materials and methods: 95 patients with type 2 diabetes
mellitus and coronary heart disease have been treated and randomized into two
groups: the comparison group (n=37), treated with metformin and sulfonylureas,
and the study group (n=58), treated with metformin in combination with
pioglitazone. Prior, after 3 and 6 months of treatment C-peptide was assessed and
index of the insulin resistance was calculated. RESULTS: Results: The resulting
data proved the statistically significant lowering of the markers and level of
the insulin resistance under the effect of combination treatment with metformin
and pioglitazone. CONCLUSION: Conclusions: The proposed variant of the
combination therapy has a positive effect on the clinical course of the coronary
heart disease in patients with type 2 diabetes mellitus, well tolerated by the
patients and can be considered as the pathogenetic factor in the treatment of
these diseases.
PMID- 29786572
TI - Dysadipokinemia in patients with gout and its association with the disease
activity.
AB - OBJECTIVE: Introduction: In recent years, the role of adipokines in the
development of rheumatic diseases has been a pressing issue. The available data
suggest the dysadipokinemia in patients with rheumatoid arthritis, osteoarthritis
and psoriatic arthritis. However, there is no data on changes in the levels of
adipokines in patients with gout and their association with the activity of
inflammatory process. The aim was to study the levels of adipokines in gout
patients and evaluate their association with the disease activity. PATIENTS AND
METHODS: Materials and methods: We examined 151 male patients with gout. The
control group consisted of 31 practically healthy men, represented by age. We
used the Gout Activity Score (GAS) to assess gout severity. The levels of leptin
and adiponectin were determined using the ELISA kit. For comprehensive evaluation
of dysadipokinemia, we used a logarithmic ratio of leptin to adiponectin (lg
A/L). Primary processing of results was carried out using MS Excel and Statistica
SPSS22 statistical software packages. RESULTS: Results: The patients with gout
demonstrated higher leptin levels, lower levels of adiponectin, and lower lg A/L
compared to practically healthy individuals. Among patients with gout, the
disturbance of adipokin status was most pronounced in patients with tophi.
Patients with high GAS activity had maximum disturbance of adipokin profile by lg
A/L, while the manifestations of dysadipokinemia were minimal in the group with
low activity of the disease. It was established that GAS disease activity, BMI,
and the number of joints under attack may be considered the most significant
independent predictors of dysadipokinemia. CONCLUSION: Conclusions: The patients
with gout presented an increase in leptin level, a decrease in adiponectin level,
and a decrease in the ratio lg A/L. Dysadipokinemia was associated with high
disease activity and could serve as a prognostic factor for assessing the
severity of the disease.
PMID- 29786573
TI - Analysis of electromyographic indexes of temporal and masticatory muscles in
patients with distal occlusion complicated by dental crowding.
AB - OBJECTIVE: Introduction: Rate of distal occlusion (up to 40%) and dental crowding
(up to 65%) is the largest in the structure of orthodontic pathology. The aim of
the study was to investigate bioelectrical activity of temporal and masticatory
muscles and to set parameters of electromyographic indexes in patients with
distal occlusion and dental crowding in permanent occlusion. PATIENTS AND
METHODS: Materials and methods: Bioelectrical activity of temporal and
masticatory muscles in 11 patients aged 16-24 years was determined before
orthodontic treatment. Distal occlusion complicated by severe degree of dental
crowding was revealed in this group of patients. These patients comprised the
treatment group. The control group consisted of 10 subjects (mean age 21,3 +/-
1,25 years) with physiological occlusion. RESULTS: Results: It was found that
functional state of temporal and masticatory muscles in patients with distal
occlusion complicated by dental crowding changes during permanent dentition. It
was determined that in clenching state, according to ACTIV index, temporal
muscles are more active, due to the lack of activity of masticatory muscles
(ACTIV =-20,73% +/- 8,01%). Moreover it is worthy of notice, that functional
muscle symmetry (index SIM TA/MM) at rest in presence of distal occlusion is
lower than in subjects with physiological occlusion (p <0,05). CONCLUSION:
Conclusions: According to index ACTIV TA/MM it was established the dominance of
temporal muscle activity due to lack of activity of masticatory muscles in
patients with distal occlusion complicated by dental crowding and it was
determined lower overall muscle symmetry index than in individuals with
physiological occlusion.
PMID- 29786574
TI - THE INCREASE OF EFFICIENCY OF TREATMENT IN PATIENTS WITH NON-STABLE ANGINA
PECTORIS WITH USE OF NITROGEN OXIDE DONATOR
AB - OBJECTIVE: Introction: One of the leading pathogenetic factors of CVD is a
violation of the function of the endothelium of the vessels, which leads to
endothelial dysfunction. The aim: Increasing the efficiency of treatment of
patients with NSAP based on the study of the dynamics of clinical picture, blood
parametres, CRP, indices of endothelium dependent vasodilatation of the brachial
artery with the use of arginine hydrochloride. PATIENTS AND METHODS: Materials
and methods: The 45 patients with non-stable angina pectoris (NSAP), who were in
inpatient treatment in the cardiological department of the Clinical city hospital
of emergency care in Lviv, were examined. The average age of patients was 56.8 +/
4.9 years. The patients were divided into 2 groups depending on the treatment.
The first group (22 patients) took the common basic therapy, based on the
standards of treatment of patients with NSAP. Another group (23 patients)
received common standard (basic) treatment plus arginine hydrochloride, as a
endothelial protector. The control group included 15 practically healthy
individuals. The statistical analysis of the materials was carried out using the
Statistica 8.0 program with the definition of the Student's t-criterion. RESULTS:
Results: When complex treatment of patients with NSAP using arginine
hydrochloride improves the clinical picture faster than with basic therapy. After
treatment, statistically significant changes in the lipid profile of the blood
were observed only in the group of patients who underwent complex therapy with
arginine hydrochloride, the level of total cholesterol, LDL cholesterol and
atherogenic index decreased, HDL cholesterol increased compared with those before
treatment. After the complex treatment, the rate CRP decreased on 40,8% (p <0,05)
and on 29,7% (p> 0,05) in the basic therapy. After 30 days of treatment in the
group of patients with intermittent use of arginine aspartate, a positive trend
was observed in 74% of patients, considering that endothelial dysfunction was
detected in 95.1% of patients before treatment. CONCLUSION: Conclusions:
Comprehensive treatment of patients with NSAP using arginine hydrochloride is
more effective than basic therapy, as evidenced by faster normalization of the
clinical picture of the disease, laboratory blood parameters, and improvement of
the function of the endothelium.
PMID- 29786575
TI - Spatial organization of the excretory ducts and sections of microcirculatory
blood flow of the labial salivary glands in older adults.
AB - OBJECTIVE: Introduction: Salivary glands have a significant impact on the state
of the homeostasis of the human body, oral cavity in particular, sensitively
responding to pathological processes. The reactivity of the salivary glands in
response to pathological processes that are organically linked to morphology and
functions of the organ's structures, and particularly the excretory ducts of the
glands and their microcirculatory blood flow, is one of the problems which have
not been solved to date. The aim of the paper was to elucidate the features of
the stereomicroscopic structure of the excretory ducts and sectors of the
microcirculatory blood flow in labial glands of older adults. PATIENTS AND
METHODS: Materials and methods: The object of the study was the labial mucosa of
the older adults, which was cut into 3x3 mm pieces and fixed in the buffered 4%
glutaraldehyde solution with subsequent 2-hour fixation in osmium. Once the
pieces were washed and dehydrated they were embedded into the Epon-812. The
series of the semi-thin sections, made from the obtained epoxy blocks, were
stained in phosphate buffered 0,1% toluidine blue solution. The serial semi-thin
sections were subjected to histological and cytological studies and multilayered
plastic reconstruction. RESULTS: Results and conclusions: The series of
histological epoxy semi-thin sections, as well as graphic and plastic
reconstruction of the sectors of microcirculatory blood flow and excretory ducts
of the human labial glands have demonstrated a range of morphological facts that
can be used to clarify the intertissue stereological relationships. They also
determined the syntopic proximity of the capacitive sectors of microcirculatory
blood flow to the excretory ducts of the gland. Such pattern is especially
notable in the collecting venules and intralobular ducts. It has been shown that
the biggest venule is the collecting venous vessel. Anastomoses between the
intralobular arterioles and collecting venules have been found in the
microcirculatory blood flow of the labial glands.
PMID- 29786576
TI - The histological and electron microscopic study of the parotid salivary gland in
dehydrated rats of different ages.
AB - OBJECTIVE: Introduction: Water-salt metabolism disorders is one of the main
factor of salivary gland pathology development. The aim: To study the
morphological structure of the parotid salivary gland of young, mature and old
rats at micro- and ultrastructural levels under water deprivation. PATIENTS AND
METHODS: Materials and methods: The experiment was carried out on thirty six
laboratory male rats of different ages (young, mature and old). The rats of the
control group received normal volume of drinking water. The rats of the
experimental group were deprived of water for 6 days. Light microscope "OLYMPUS"
and transmission electron microscope JEM-1230, (JEOL, Japan) were used for
structural analysis. RESULTS: Results: Obtained results revealed increasing
numbers of vacuoles in the serous cells, the enlarged cisterns of endoplasmic
reticulum and Golgi apparatus tubules, the condensed chromatin and the nuclei
with significant invaginations in parotid gland of the rats of all age groups.
The area of the acinuses more changed in young rats, the decrease was 34.61 % (P
= 0.007). The internal diameter of capillaries most decreased in the dehydrated
old rats by 23.76 % (P = 0.009) in comparison with all study groups. CONCLUSION:
Conclusions: Water deprivation brings about the structure changes of the parotid
gland at micro- and ultrastructural levels the intensity of which depends on the
age of animals. The most dramatic changes have occurred in young and old rats.
PMID- 29786577
TI - Post-stroke fatigue and its dimensions over the second half year after stroke.
AB - OBJECTIVE: Introduction: Post-stroke fatigue (PSF) is a common and often
debilitating sequel of both ischemic and hemorrhagic strokes. The aim: Assess the
rates and intensities of PSF over the second half year after stroke. PATIENTS AND
METHODS: Materials and methods: There were examined 128 patients at 6, 9 and 12
months after ischemic or hemorrhagic stroke onset. PSF was measured by fatigue
assessment scale (FAS), multidimensional fatigue inventory-20 (MFI-20) and
fatigue severity scale (FSS). Distributions of continuous variables were checked
by Shapiro-Wilk test. Parametric variables were represented as mean+/-standard
deviation, non-parametric - as mediana (Me) and interquartile (25%-75%) range (Q1
Q3). Categorical data were represented by number (n) and percentage. The
difference between the patients' proportions with PSF at definite time points
after stroke onset was assessed using Cochran's Q-test. The Friedman F-test for
repeated measurements was performed to analyze multiple non-parametric variables.
When the Friedman F-test yielded a significant effect (p<0,05), it was followed
by Dunnett's test for determination the differences between initial and
subsequent measurements. A p-value <0,05 was considered statistically
significant. RESULTS : Results: According to FAS and MFI-20 "global fatigue" sub
scale, PSF rates over the second half year after stroke were statistically stable
and were present, respectively, in 28,1% and 34,0% at 6 months, in 26,2% and
32,4% at 9 months, in and 32,0% and 30,5% cases at 12 months after stroke.
Physical, mental, activity-related and motivational PSF domains, due to MFI-20
sub-scales, had roughly similar percentage without any significant dynamics over
the studied period. According to FSS value ranks, proportions of patients with
different levels of PSF impact on daily life were statistically stable over the
studied post-stroke period. However, it has been revealed significant reduction
of PSF intensities in physical and activity-related domains whereas severities of
mental and motivational PSF aspects have been statistically increased.
CONCLUSION: Conclusions: Rates of PSF were stable over the second half year after
stroke occurrence, however intensities of mental and motivational PSF domains
have been statistically increased, whereas severities of physical and activity
related PSF domains have been significantly decreased.
PMID- 29786578
TI - [Influence of basic dental materials on indicators of free radical oxidation and
antioxidant blood's potential of white rats (experimental study)].
AB - OBJECTIVE: Introduction: Basic materials used in the clinic of orthopedic
dentistry are high-polymer compounds and have a number of positive properties in
certain conditions. The main group of materials for manufacturing of removable
dentures is acrylic plastics of hot polymerization. However, such materials can
sometimes cause a number of complications. Using removable prostheses, which are
made of acrylic plastics can cause the development of an allergic or toxic
chemical reaction of tissues of the prosthetic bed. The question of improving the
quality of removable prosthesis is one of the most important problems for many
years because of reactions of people's organism they can cause. This aim is
achieved by development of new basic dental materials and improvements at various
stages of the process of manufacturing removable plate prostheses. The aim: To
investigate the possible adverse effect of various groups of basic dental
materials on some hematological parameters of white male rats in the Wistar line.
PATIENTS AND METHODS: Materials and methods: Experiments were performed on 20
white male Wistar rats weighing 160-200 grams. The choice of this species was
based on the literature data on the use of white rats in the study of the
biological effects of plastics, solvents, dyes, and others. Freshly made samples
of the studied materials were used in experiments, because the maximum allocation
of monomer occurs in the first 7 days after polymerization. Euthanasia of animals
was performed 7 days after surgical intervention under hexenal anesthesia by
blood transfusion from the right ventricle. The object of the study was the
venous blood of experimental animals. RESULTS: Results: On the seventh day after
substrate samples of basic materials Ftorax, Deflex Acrylato and Vertex
ThermoSens intramuscularly into the anterior abdominal wall, white laboratory
rats did not have results, such as some unnormal reaction of the animal's
organism. A slight increase in the value of the index of spontaneous hemolysis of
erythrocytes (5.8+/-0.5%) in the group of animals, which was sampled with the
material "Ftorax" in comparison with the control group (4.6+/-0.3%), has no
statistically significant difference. Similarly, there is no significant increase
in the accumulation of TBC-reactants in this group to a value of 4.48+/-0.28
MUmol/l compared with the group of pseudo-operated animals (3.02+/-0.41 MUmol/l),
which is not statistically significant. Thus, it can be stated that according to
the parameters of free radical oxidation, the biological reaction of the animal
organism to the incorporation of the aforementioned materials was not revealed.
CONCLUSION: Conclusions: The results of the study of the biological effect of
basic dental plastics on white rats by stacking samples of investigated material
into the anterior abdominal wall evaluated by free radical oxidation and activity
of antioxidant enzymes did not reveal statistically significant differences
comparing with the control group of animals.
PMID- 29786579
TI - Operative treatment of corrosive esophageal strictures.
AB - OBJECTIVE: Introduction: Nowadays operative treatment of corrosive esophageal
strictures remains one of the difficult and unsolved problems in surgery. The
level of postoperative complications such as anastomotic leak (develops in 7-30%
of cases), infections, pneumonia, pleural empyema, mediastinitis, peritonitis,
postoperative corrosive strictures is still rather high. The aim of our work was
to improve the results of surgical treatment of patients with corrosive
esophageal strictures by analyzing and refining on conservative therapy options
as well as differentiated approach to each operative treatment method. PATIENTS
AND METHODS: Materials and methods: 44 patients with corrosive esophageal
strictures operatively treated during the period of 1993-2017 were examined.
Indications for each of esophagoplasty techniques were established. In colon
bypass of the esophagus (26 patients) infusion therapy for prevention of ischemic
transplant disorders, roentgenologic and prevascular preparation of future
colonic transplant, anti-reflux colonogastric anastomosis were suggested. In
gastric esophagoplasty (10 patients), clinically modified transhiatal extirpation
of the esophagus with gastric tube plastics, an original method of lengthening of
gastric graft, is preferred in clinical practice. Two patients underwent
ileocecal segment esophagoplasty because of simultaneous esophageal and gastric
lesion or colon diseases. RESULTS: Results: The best method of esophagoplasty
associated with a small number of postoperative complications is clinically
modified gastric tube esophagoplasty with formation of single extrapleural
esophagogastric anastomosis. In cases when the stomach cannot be used and the
marginal artery is well marked, isoperistaltic retrosternal colonoplasty with
preservation of blood supply due to the left colonic artery is indicated.
Suggested method of ileocecal segment esophagoplasty is used in simultaneous
esophageal and gastric lesion, providing the formation of relevant reservoir (the
cecum instead of the stomach), antireflux mechanism and preventing the
development of peptic ulcers and transplant strictures. CONCLUSION: Conclusions:
Operative treatment of corrosive esophageal strictures remains a great challenge
for surgeons and should be based on individual choice of proper method of
esophagoplasty and final intraoperative decision making.
PMID- 29786580
TI - [Iron deficiency reduces systemic inflammation in obese women].
AB - OBJECTIVE: Introduction: Iron plays an important role in the functioning of
immunological monitoring due to its stimulating and differentiating effect on the
immune system. Obesity is accompanied by chronic low grade inflammation and may
be accompanied by a change in the concentration of iron in the blood serum. The
aim of the study was to determine the level of systemic inflammation in iron
deficiency anemia in patients with obesity. PATIENTS AND METHODS: Materials and
methods: 40 women with iron deficiency anemia (30 with obesity and 10 without
obesity) and 10 with obesity of a similar age (control group) participated in the
study. We evaluated hemogram parameters, indicators of iron exchange (serum iron,
ferritin, hepcidin, total iron binding capacity, transferrin saturation) and
inflammatory markers: C-reactive protein and interleukin-6 in the blood serum.
RESULTS: Results: All patients were females with an average age of 40.3 +/- 7.59
years. In the distribution of patients by the cause and the severity degree of
iron deficiency anemia, there is no probable difference between the groups. C
reactive protein was significantly higher in women in the control group as
compared with the groups of women with iron deficiency anemia (p <0.05).
Meanwhile, the groups with iron deficiency anemia had insignificant differences
(p> 0.05). Interleukin-6 also had a higher level in the control group as compared
with the groups of women who had iron deficiency anemia (p <0.05) and, in
contrast to the C-reactive protein of the group with iron deficiency anemia, had
a significant divergence (p <0.05). Hepcidin of blood serum was higher in women
with iron deficiency anemia without obesity (p<0.05) as compared to women with
obesity and control group who did not have a significant difference (p> 0.05).
CONCLUSION: Conclusions: The level of systemic inflammation in iron deficiency
anemia in patients with obesity is lower than in patients with iron deficiency
anemia, which was determined by levels of interleukin-6 and C-reactive protein in
the blood serum.
PMID- 29786581
TI - Development of informational-communicative system, created to improve medical
help for family medicine doctors.
AB - OBJECTIVE: Introduction: Today mobile health's protection service has no concrete
meaning. As an research object it was called mHealth and named by Global
observatory of electronic health's protection as "Doctor and social health
practice that can be supported by any mobile units (mobile phones or
smartphones), units for patient's health control, personal computers and other
units of non-wired communication". An active usage of SMS in programs for
patients' cure regimen keeping was quiet predictable. Mobile and electronic units
only begin their development in medical sphere. Thus, to solve all health's
protection system reformation problems a special memorandum about cooperation in
creating E-Health system in Ukraine was signed. The aim: Development of ICS for
monitoring and non-infection ill patients' informing system optimization as a
first level of medical help. PATIENTS AND METHODS: Materials and methods: During
research, we used systematical approach, meta-analysis, informational-analytical
systems' schemes projection, expositive modeling. Developing the backend (server
part of the site), we used next technologies: 1) the Apache web server; 2)
programming language PHP; 3) Yii 2 PHP Framework. In the frontend developing were
used the following technologies (client part of the site): 1) Bootstrap 3; 2) Vue
JS Framework. RESULTS: Results and conclusions: Created duo-channel system
"doctor-patient" and "patient-doctor" will allow usual doctors of family medicine
(DFM) take the interactive dispensary cure and avoid uncontrolled illness
progress. Doctor will monitor basic physical data of patient's health and curing
process. The main goal is to create automatic system to allow doctor regularly
write periodical or non-periodical notifications, get patients' questioning
answers and spread information between doctor and patient; that will optimize
work of DFMs.
PMID- 29786582
TI - Characteristics of epidemiology of dental caries in children from regions with
high and optimum fluorine content in drinking water.
AB - OBJECTIVE: Introduction: Prevention of dental diseases in children is the
priority item on the modern dentistry agenda. Among the undeniable factors known
as contributing into caries incidence, there is fluoride content in the external
environment, especially in drinking water, which is the main source of fluoride
intake. The aim: This study is aimed at evaluating dental caries indices in
children and adolescents inhabiting in areas with optimal and high-level fluoride
concentration in drinking water and assessing their level of oral hygiene.
PATIENTS AND METHODS: Materials and methods: To explore the caries epidemiology
in the regions with optimal and high fluoride content, we examined 315 children
aged 6, 12 and 15 who live in the city of Poltava (fluoride content in drinking
water is 0.9-1.2 mg / l) and 91 children of the same age residing in the village
of a town type Mashivka (fluoride content in drinking water ranges from 1.7 to
2.9 mg / l). RESULTS: Results: More than half of the 6-year-old children of both
groups have decayed teeth. The comparative analysis of the prevalence and
intensity of caries in the children aged 12 and 15 years demonstrated a
significant increase in the number of individuals diagnosed to have caries with
increasing age in both groups. CONCLUSION: Conclusions: Our research suggests
that, along with the number of other cariogenic factors, fluorosis contributes to
a more intense course of caries progression. This situation demands wider health
policy measures to support primary and secondary caries prevention and management
among the children.
PMID- 29786583
TI - Incidence and risk factors of spina bifida in children.
AB - OBJECTIVE: Introduction: The pathogenesis of spina bifida depends on time,
region, race and ethnicity. It is found in 4.7 per 10.000 of live born children
worldwide. The aim: The incidence in children of Northern Bukovina was analyzed.
The spina bifida incidence for 2007-2016 in this region, as well as, compared to
some countries according to EUROCAT data was studied. PATIENTS AND METHODS:
Materials and methods: A retrospective study to analyze the risk factors for
spina bifida was carried out. A case-control study was conducted using clinical
records of 34 children with this pathology (16 boys and 18 girls) aged 0-18 years
living in Northern Bukovina. 44 case records of healthy children (26 boys and 18
girls) were compared. RESULTS: Results: According to EUROCAT, the prevalence of
spina bifida in the studied countries varied in the range of 0.13-0.500/00. The
spina bifida incidence in Northern Bukovina (0.22 0/00) does not exceed the
statistics for Ukraine (0.29 0/00) throughout the study period. CONCLUSION:
Conclusions: This study provides a comprehension overview of a number of factors
determining spina bifida risks: third pregnancy, miscarriage, high emotional
stress during pregnancy, TORCH infection in pregnant, poor housing, maternal age,
co-habiting, residence near contaminated lands, hard physical work
preconceptionally, smoking habits, military service, etc. The most probable spina
bifida preventive factors in children are: high school education of parents and
using folic acid during the first trimester of pregnancy. Nevertheless, our data
present new factual material, which requires further in-depth study, it is
already clear that all the above indicators are associated with increased risk
for spina bifida-complicated pregnancies.
PMID- 29786584
TI - Effect of atmospheric technogenic emissions on health indicators of child
population.
AB - OBJECTIVE: Introduction:The identification of scientifically grounded dependency
of the atmospheric pollution effect on the health level of the child population
within particular area makes it possible to assess the degree of district
environmental safety and provides the possibility for implementation of targeted
programs and risk preventing strategies associated with atmospheric emissions.
The aim of the study is to assess the dependence of child morbidity rate
development caused by atmospheric chemical pollution, which resulted from the
stationary and mobile sources activity in terms of the Poltava region (Ukraine)
as a model. PATIENTS AND METHODS: Materials and methods: Analysis of the general
morbidity rate, respiratory diseases and congenital malformations rate was based
on statistical data of the Poltava Regional Health Department, the assessment of
air pollutant emissions level in cities and districts of the region over 2011
2015 years was performed using data provided by the Main Statistics Department of
the Poltava region and the Department of Ecology and Natural Resources of the
Poltava Region State Administration; the Microsoft Excel package with Pearson
correlation coefficient and two-choice Student's t-test was used for the data
analysis. RESULTS: Results: According to the research data it was determined that
each district had its own specific features in environmental hazards formation
and common correlation pattern for all 25 administrative districts of the Poltava
region was not specified. Ranking of regions by the level of pollutant emission
effect on the child morbidity rate makes it possible to distinguish more
dangerous ecological regions. Eight areas with significant and moderate relations
between the child morbidity rate and air pollution caused by mobile sources and
seven areas where air pollution was caused by stationary ones have been revealed.
The main sources of air pollution are industrial emissions of the fuel and energy
enterprises, manufacturing and extractive industries, vehicle and agriculture
emissions. Kremenchuk and Horishni Plavhi cities include more significant factors
in formation of child morbidity rate. CONCLUSION: Conclusions: Considering
identified factors and dependencies, the targeted regional program aimed at
specification, elimination and prevention of the harmful environmental factor
affecting children's health can be projected.
PMID- 29786585
TI - Hygienic assessment of the effects of pesticides application on adult population
morbidity with thyroid gland diseases.
AB - OBJECTIVE: Introduction: It is proved that some groups of fungicides and
herbicides are capable of affecting the thyroid gland, provoking its growth,
leading to a compensatory change in the activity of the hormones synthesis.
Therefore, the presence of their residual amounts in plant may affect the level
of thyroid gland pathology. The aim of the work was to analyze the influence of
pesticide application on the Ukrainian adult population morbidity with thyroid
diseases in the period from 2001 to 2014. PATIENTS AND METHODS: Materials and
methods: The methods of empirical and theoretical research of scientific
information, namely analysis, synthesis, induction, deduction and
systematization, epidemiological, cartographic and statistical methods were used.
RESULTS: Results: The maximum level of thyroid pathology was found in the
northern, western and northwestern regions, where the diffuse goiter dominates in
the morbidity and prevalence of thyroid diseases; minimal - in the southern,
eastern and south-eastern regions. It was established that the highest volumes of
application of chemical plant protection products in the period 2001-2013 took
place in the southern and central regions of Ukraine, namely in Poltava,
Vinnitsa, Kharkiv, Dnipropetrovsk, Khmelnytsky, Odesa and Mykolaiv regions.
Sufficiently high levels of pesticide application were in the Kyiv, Kherson
regions, Crimea, Zaporizhia, Kirovograd and Cherkasy regions. CONCLUSION:
Conclusions: The probability of the active chemical plant protection products
usage effect on the level of prevalence and incidence of thyroid cancer, various
types of goiter, hypothyroidism, thyrotoxicosis and thyroiditis in the central
and southern regions was determined. Are regions with well-developed agricultural
production.
PMID- 29786586
TI - [Eating habits of the youth from Ruda Slaska].
AB - OBJECTIVE: Introduction: In recent years, interests on dietary and health food
have grown considerably. Nutritional knowledge and eating habits determined in
the youth have an impact on the health condition in adulthood. The aim: To
evaluate the eating habits in the population of young people from Silesian
province. PATIENTS AND METHODS: Materials and methods: The anonymous
questionnaire survey was conducted in the group of high school students aged 17
21 years coming from Silesian province, randomly chosen from high schools in Ruda
Slaska. The study group consisted of 262 students, 157 (59,9%) women and 105
(40,1%) men. The student's participation in the study was voluntary. RESULTS:
Results: The analysis showed that as many as 40% of high school students never
eat regularly and eating of regular meals reported only 11,5%. Less than a half
(46,9%) of participants eat breakfast every day. The most commonly consumed meals
was lunch (n = 217; 82,8%) and dinner (n = 143; 54,6%). The vast majority of
students (77,5%) didn't know the correct classification of the feeding pyramid
floors. Moreover, in more than a half of young women (54,8%) and men (52,4%) the
body mass deficiency was revealed (BMI<18kg/m2). CONCLUSION: Conclusions: The
study showed abnormal nutritional behavior of high school youth. Therefore, there
is a need to conduct activities under health prevention, which improve the eating
habits of young people.
PMID- 29786587
TI - Legal protection of public health through control over genetically modified food.
AB - OBJECTIVE: Introduction: Science is constantly being developed which leads to
both positive and negative changes in public health and the environment. One of
the results of scientific progress is introduction of food based on genetically
modified organisms whose effects on human health, to date, remain scantily
studied and are ambiguous. The aim: to determine how human health can be
influenced by food production based on genetically modified organisms. PATIENTS
AND METHODS: Materials and methods: international acts, data of international
organizations and conclusions of scientists have been examined and used in the
study. The article also summarizes information from scientific journals and
monographs from a medical and legal point of view with scientific methods. This
article is based on dialectical, comparative, analytic, synthetic and
comprehensive research methods. CONCLUSION: Conclusions: Genetically modified
organisms are specific human-made organisms being a result of using modern
biotechnology techniques. They have both positive and negative effects on human
health and the environment. The main disadvantage is not sufficient study of them
in various spheres of public life.
PMID- 29786588
TI - [Infectious complications of prostate biopsy].
AB - Prostate cancer is the second most common malignancy in men in Poland. Prostate
biopsy remains the gold standard for diagnosis. Every year, the number of
procedures is increasing, so knowledge of possible complications is becoming
crucial. Over time, a continuous increase in infectious complications of prostate
biopsy is observed, so it is important to identify risk factors and preventive
methods. Antibiotic prophylaxis is mandatory for prostate biopsy. Simultaneously,
complications after prostate biopsy affect as many as 90% of patients, including
up to 17% of infective complications. In some patients, complications are severe
and require urgent medical intervention. The risk of death from septic
complications is approximately 0.1%. Significant risk factors are diabetes, older
age, enlarged prostate gland and recent antibiotic exposure. Transperineal or MRI
guided biopsy is associated with a significantly lower incidence of severe
infectious complications.
PMID- 29786589
TI - Bacterial factors of cariogenicity (literature review).
AB - OBJECTIVE: Introduction: According to the 2003 WHO report, dental caries affects
from 60% to 90% of school-age children and adults that makes it one of the most
common diseases worldwide. The aim was to systematize data about the modern
conception of plaque formation and role of microorganisms in its development.
PATIENTS AND METHODS: Materials and methods: Biblosemantic, 50 medical literature
sources were systematically reviewed as the material for the research. RESULTS:
Review: According to Miller's theory, oral microorganisms can decompose dietary
carbohydrates into acids, which in turn dissolve the calcium phosphates found in
the enamel, causing it demineralisation Along with Streptococcus mutans, nowadays
some other bacteria as Streptococcus sorbinus, Lactobacillus spp. and Actinomyces
spp. have been well studied as caries contributors. However, the disease is
related to plaque-mediated, because a much larger number of normal oral
microflora representatives are involved in creating favourable preconditions for
its development. There are a lot of original research papers about a role of
bacteria in caries decay but compositions and characters of oral microflora are
changing nowadays. Therefore, authors show the main cariogenic bacteria and their
factors of pathogenicity which create special conditions for caries lesions.
Modern concepts of dental plaque formation and pathogenesis of plaque-assosiative
diseases are presented according to the new actual dental research. A lot of
attention is paid to the biochemical properties of cariogenic bacteria and
chemical process in biofilm. Role of acid and alkali production by oral bacteria
in caries decay are shown in this article. Moreover, mechanisms of bacterial acid
fast and acid-tolerance are presented. CONCLUSION: Conclusions: Analysis of
literature demonstrates a lot of bacterial pathogenicity factors which play key
role in caries development.
PMID- 29786590
TI - Hepatitis c and human rights: comparison of legal experience of Ukraine and
Georgia.
AB - A comparative legal research of human rights provision in Ukraine and Georgia, in
the aspect of combating viral HCV, was conducted. Ukrainian advocacy experience
and Georgian strategic litigation experience with regard to human rights and HCV
was analyzed. Key international instruments, which lay the conceptual foundations
as well as outline the measures, which are directed at human rights in patient
care provision and fighting viral hepatitis, were elucidated. Attention was paid
to the Global health sector strategy. Viral hepatitis, 2016 - 2021 [1], which,
for the first time, defined a global strategy on fighting viral hepatitis, in
particular HCV and envisaged the advocacy vectors. The frames of interaction of
the human rights in patient care concept and public health, which consists in
realization of certain human rights were elucidated and the necessity to embody
the human rights in patient care concept into the state policy in the field of
public health was determined. It was found out that a common international
problem in combating HCV is a deficiency of financial resources, which are
necessary for effective fighting the epidemics and guarantee equal access to
treatment for every person. The international community outlined five most
important spheres, which require investments and will catalyze the measures,
which need to be taken in order to fight hepatitis. Analysis of the Ukrainian
experience was focused on the issue of donated blood safety and successful
advocacy campaigns, which were carried out in order to promote the adoption of
programs on prophylactics, diagnostics and treatment of HCV both on national and
regional levels. Examples of ensuring the rights of the marginalized groups
during HCV treatment, in particular of the people who inject drugs, people living
with HIV, participants of the antiterrorist operation were provided. Interesting
and important is the experience of Georgia concerning human rights protection in
the ECtHR, which has a legal effect for other countries which ratified the
Convention for the Protection of Human Rights and Fundamental Freedoms, for
instance for Ukraine, where the EctHR judgments are recognized as a source of
law.
PMID- 29786592
TI - Obstetric and gynecological care by family doctors in ukraine: literature review.
AB - OBJECTIVE: Introduction: The analysis of literature on the questions of
obstetrical and gynecologic care by general practitioners - family doctors in
Ukraine has been presented in the article. Special attention has been paid to the
actual problems of primary health care implementation on the basis of family
medicine. The aim of the study is to find out the depth of the problem "A female
doctor as an obstetrician-gynecologist" by analyzing scientific relevant
literature data. PATIENTS AND METHODS: Materials and methods: An analysis of
international and national scientific literature on the problem of obstetrical
and gynecologic care by general practitioners - family doctors. CONCLUSION:
Conclusions: It is evident from the review of negative demographic situation that
at present topical are the issues of extension of primary care professionals'
functions concerning sexual upbringing, family planning, reproductive health
promotion of the population, improvement of the qualification level of doctors on
obstetricgynecological assistance issues and creation of a motivation system for
primary care physicians, which requires a decision at the national level.
PMID- 29786591
TI - [Patient with spine pain and magnetic resonance imaging result].
AB - Degenerative spine disease is a serious social problem. In most cases, it causes
pain and neurological symptoms. Most patients are therefore referred for magnetic
resonance imaging (MRI). The article discusses the relationship between back pain
and magnetic resonance changes. The signification of some of the radiological
symptoms remains controversial. Some of them are markers of acute pain, others
may be clinically insignificant, occurring with age. Authors presents some of the
magnetic resonance alterations and based on the latest articles discusses their
clinical significance. The issues of performing routine, control MRI examination
due to chronic back pain and the incidence of new radiological findings were also
discussed.
PMID- 29786593
TI - Reproductive function: the protection of the rights of the people which are sent
to the area of the fighting.
AB - OBJECTIVE: Introduction: The issues of problems of the legal regulation of
posthumous reproduction in Ukraine and foreign countries are analysis in the
article. The author substantiates the necessity in the creation and acceptance of
the State Program of the retrieval of reproductive cells in people who are
sending to the area of the fighting. The aim:the purpose of our work is a
comprehensive study of post-mortem (post-mortem) reproduction and substantiation
of the possibility and necessity of adopting a state program for the selection of
reproductive cells of individuals who are sent to a combat zone to ensure their
full social protection and assistance in the realization of the right to
fatherhood or motherhood. PATIENTS AND METHODS: Materials and methods: the
experience of certain countries is analyzed in the research. Additionally, we
used statistical data of international organizations, conclusions of experts and
foreign legal acts dealing with posthumous reproduction and auxiliary
reproductive technologies, judicial practice, doctrinal ideas and views on this
issue. RESULTS: Review: there are medical (practical) preconditions for the
introduction of posthumous reproduction programs. Among them is the technology of
obtaining reproductive cells (post-mortem too), their preservation and successful
subsequent use. In addition, foreign experience shows the success of the
application of these technologies and the real guarantee of full implementation
of the range of rights to the family, fatherhood or maternity. CONCLUSION:
Conclusions: we note the urgent need to develop and adopt a state reproductive
cell selection program for individuals who are sent to the combat zones
(according to a model that exists in such countries as the USA and Israel).
PMID- 29786595
TI - [Genetically determined abnormal electrical activity of the brain and the heart].
AB - Mutations leading to disorders within ion (mainly potassium and sodium) channels,
have different degrees of expression in the brain and in the heart, which can
cause simultaneous occurrence of disorders in both organs. This is manifested by
the occurrence of epileptic seizures and cardiac electrical disturbances, further
exacerbated by stimulation of autonomic structures within the central nervous
system. In all patients with unclear paroxysmal disorders, and in those with
unexplained sudden cardiac death, consideration should be given to the
possibility of occurrence of genetically determined disorders in the ion
channels. This article concerns the most common genetically determined epilepsy
syndromes and genetically determined cardiac diseases.
PMID- 29786594
TI - [Brain microbleeds - definition, pathophysiology and the consequences].
AB - Brain microbleeds are defined as small, circular hypointense changes in T2
sequensec of brain MRI, well demarcated from the surrounding tissue. They
represent the phagocytized products of blood distribution extravasated from
pathologically altered vessels. The echo-T2-dependent gradient (GRE) and magnetic
susceptibility testing (SWI) sequences are usually used to visualize them. The
pathogenesis of microbleeds very complex but angiopathy associated with arterial
hypertension and cerebral amyloid angiopathy play a special role. Atherosclerotic
lesions and inflammatory processes are also important. Microbleeds can be found
in healthy people as well as in many disorders such as hypertension, Alzheimer's
disease or other types of dementia. Their prevalence increases with age.
Microbleeds may have a multidimensional effect on the surrounding brain tissue.
It is suggested that they disrupt both the brain structure and the electrical
function of neurons. In this review article we present current knowledge on the
cerebral microbleeds.
PMID- 29786596
TI - [Coexistence of asthma and obstructive sleep apnea syndrome - review of the
literature].
AB - Both asthma and obstructive sleep apnea (OSA) syndrome are frequent diseases and
their coexistence may implicate important consequences. The incidence of OSA
syndrome among the patients with asthma is higher than in the general population,
especially in the patients with nocturnal symptoms persisting despite treatment,
in patients frequently admitted to hospital because of the exacerbations of
asthma, and in obese patients. The causes of frequent coexistence of asthma and
OSA syndrome are not fully elucidated. The common abnormalities possibly linking
asthma and OSA syndrome include obesity, gastro-esophageal reflux, nasal
obstruction, and inflammation. In some patients with asthma it would be advised
to search for OSA syndrome, as obstructive sleep apneas and hypopneas may
influence asthma exacerbations. Especially, OSA should be suspected in the asthma
patients with the signs of ventilatory disturbances during sleep. The method of
choice of treatment of the patients with OSA syndrome is continuous positive
airway pressure (CPAP) applied through the nasal or full-face mask, that leads to
elimination of the obstructive sleep apneas and hypopneas during sleep. CPAP
treatment may also positively influence the course of asthma.
PMID- 29786597
TI - [The sexuality of woman in puerperium].
AB - After the birth various physical, hormonal and psychological changes affect
women's emotional status. These significantly impact their family life including
the quality of sexual relationships. Among these factors the method of birth,
hormonal changes, breast feeding and incidence of postpartal depression are
considered to affect relations with the partner. Unfortunately the sexuality in
this special time in women's life is commonly avoided or underestimated both by
woman and doctors.
PMID- 29786598
TI - [Hypertrophic cardiomyopathy: an intraoperative death case analysis and
substantiation of the standards of perioperative anesthetic management in a non
cardiosurgery clinic].
AB - The article is based on the case analysis of a sudden and unexpected
intraoperative death of a 51-year-old female patient with hypertrophic
cardiomyopathy, who was undergoing a non-cardiac operation in a non-cardiosurgery
clinic, from acute precipitation of left ventricular outflow tract obstruction
provoked by surgery and anesthesia. It emphasizes the importance of raising non
cardiac anesthesiologists' awareness of the issue and having clear standards of
pre-operative evaluation and perioperative management of patients with
hypertrophic cardiomyopathy in order to avoid fatal medical errors. A literature
review on the disease with an accent on anesthesia-related issues is also given,
and four standards of perioperative anesthetic management of patients with
hypertrophic cardiomyopathy presenting for non-cardiac surgery in general
hospital settings are developed and offered.
PMID- 29786600
TI - Shock synthesis and characterization of titanium dioxide with alpha-PbO2
structure.
AB - The phase transformation behavior of anatase and rutile titanium dioxide with
particle sizes of 60 nm and 150 nm under shock compression have been
investigated. To increase the shock pressure and reduce the shock temperature,
copper powder and a small amount of paraffin were mixed with the TiO2 powder. The
shock recovered samples were characterized by x-ray diffraction, Raman
spectroscopy, and transmission electron microscope. The results indicate that
both anatase and rutile TiO2 can transform to alpha-PbO2 phase TiO2 through shock
induced phase transition. The transformation rate of alpha-PbO2 phase TiO2 for
anatase TiO2 under shock compression is 100% and pure alpha-PbO2 phase TiO2 can
be obtained, while the transformation rate for rutile TiO2 is over 90%. The
influence of the particle size on the yield of alpha-PbO2 phase TiO2 is not
noticeable. The thermal stability of the recovered pure alpha-PbO2 phase TiO2 was
characterized by high temperature x-ray diffraction, thermogravimetric analysis
and differential scanning calorimetry. The results show that alpha-PbO2 phase
TiO2 transforms to rutile TiO2 when heated to temperature higher than 560
degrees C. The mechanisms of the phase transition of TiO2 under shock compression
are discussed.
PMID- 29786601
TI - Heterogeneous photocatalysis and its potential applications in water and
wastewater treatment: a review.
AB - There has been a considerable amount of research in the development of
sustainable water treatment techniques capable of improving the quality of water.
Unavailability of drinkable water is a crucial issue especially in regions where
conventional drinking water treatment systems fail to eradicate aquatic
pathogens, toxic metal ions and industrial waste. The research and development in
this area have given rise to a new class of processes called advanced oxidation
processes, particularly in the form of heterogeneous photocatalysis, which
converts photon energy into chemical energy. Advances in nanotechnology have
improved the ability to develop and specifically tailor the properties of
photocatalytic materials used in this area. This paper discusses many of those
photocatalytic nanomaterials, both metal-based and metal-free, which have been
studied for water and waste water purification and treatment in recent years. It
also discusses the design and performance of the recently studied photocatalytic
reactors, along with the recent advancements in the visible-light photocatalysis.
Additionally, the effects of the fundamental parameters such as temperature, pH,
catalyst-loading and reaction time have also been reviewed. Moreover, different
techniques that can increase the photocatalytic efficiency as well as
recyclability have been systematically presented, followed by a discussion on the
photocatalytic treatment of actual wastewater samples and the future challenges
associated with it.
PMID- 29786599
TI - [A complex clinical case of polyarteritis nodosa through the prism of kidneys].
AB - Polyarteritis nodosa is a chronic systemic vasculitis, characterized by the
autoimmune, necrotising lesion of the walls of the small- and medium-bore
visceral and peripheral arteries, resulting in vessel aneurysms and the secondary
degeneration of organs and systems. All types of vessels (arteries, veins,
capillaries) can be affected or, alternatively, the process can be limited
predominantly to the vessels of one system, the clinical symptoms depending on
the bore and location of the affected vessels. Varying degrees of the lesion,
varying combinations and sequencing, the compensation abilities of the vessel
disorders can blur the clinical picture, even though early pathomorphological
changes are quite pronounced. The article presents the clinical case of a later
stage of polyarteritis nodosa, which demonstrates the polymorphism of clinical
symptoms and the necessity of applying modern diagnostic methods and a timely
treatment with a view to reducing the frequency of fatal outcomes.
PMID- 29786602
TI - Microfluidic system for modelling 3D tumour invasion into surrounding stroma and
drug screening.
AB - Tumour invasion into the surrounding stroma is a critical step in metastasis, and
it is necessary to clarify the role of microenvironmental factors in tumour
invasion. We present a microfluidic system that simulated and controlled multi
factors of the tumour microenvironment for three-dimensional (3D) assessment of
tumour invasion into the stroma. The simultaneous, precise and continuous
arrangement of two 3D matrices was visualised to observe the migration of cancer
cell populations or single cells by transfecting cells with a fluorescent
protein. A vascular endothelial layer was formed to simulate transendothelial
transport of nutrients, and its endothelial barrier function was verified by the
diffusion of 70 kDa fluorescein isothiocyanate (FITC)-Dextran in 3D matrices.
Through high-throughput cell migration tracking observation and statistic
evaluation, we clarified that cell density of the tumour directly determined its
invasiveness. The results suggested that increased secretion of IL-6 among both
cancer cells (MDA-MB-231) and noncancerous cells (MCF-10A or HDF-n) after co
culture contributes to cancer cell invasiveness, and this was verified by an IL-6
inhibitor assay. Finally, the drug efficacy of paclitaxel was reflected as
changes in cancer cell migration ability, viability, and morphology. Together,
our microfluidic devices could be a useful tool to study the mechanism of tumour
invasion into the stroma and to screen anti-metastatic drugs.
PMID- 29786603
TI - Natural levels of polonium-210 in urine.
AB - This paper presents a review and analysis of available data on background levels
of polonium-210 in urine. It was established that 819 measurements could be
considered to correspond to natural background levels, excluding a large number
of values identified by the original investigators as potentially due to an
artificial source or due to recognised enhancement of dietary intake. Almost 550
measurements were extracted from studies reported in the literature; additional
measurements were contributions of previously unpublished data by authors of more
recent papers. The majority of the measurements (462) were single samples from
individuals but 357 values were repeat measurements provided by 81 subjects and
for these the mean value for each subject was used. The final dataset consisted
of measurements from 543 individuals. Analysis of the measurements confirmed the
data was log normally distributed with mean and median values of 15.5 mBq d-1 and
11 mBq d-1 in urine samples, respectively. While the overall range was from 0.3
111 mBq d-1, almost 90% of the measurements were less than 30 mBq d-1, 95% were
less than 45 mBq d-1, and 99% less than 70 mBq d-1. Separate analysis of data for
smokers and non-smokers suggested a modest increase in smokers of up to 5 mBq d
1. Perhaps reflecting the importance of dietary differences such as seafood
consumption, a marked difference between countries was observed in the range of
results. While for most countries, 95% or more of results were below 30 mBq d-1,
China and Italy were notable exceptions, with greater than 20% of values above
this level.
PMID- 29786604
TI - Size, shape, and compositional effects on the order-disorder phase transitions in
Au-Cu and Pt-M (M = Fe, Co, and Ni) nanocluster alloys.
AB - Au-Cu and Pt-M (M = Fe, Co, and Ni) nanocluster alloys are currently being
investigated world-wide by many researchers for their interesting catalytic and
nanophase properties. The low temperature behavior of the phase diagrams is not
well understood for alloys with nanometer sizes and shapes. We consider two
models for low temperature ordering in the phase diagrams of Au-Cu and Pt-M
nanocluster alloys. These models are valid for sizes ~5 nm and approach bulk
values for sizes ~20 nm. We study the phase transitions in nanoclusters with
cubic, octahedral, and cuboctahedral shapes, covering the compositions of
interest. These models are based on studying the melting temperatures in
nanoclusters using the regular solution, mixing model for alloys. From our data,
experiments on nanocubes about 5 nm in size, of stoichiometric AuCu and PtM
composition, could help differentiate between the models. Dispersion data shows
that for the three shapes considered, octahedra have the highest percentage of
surface atoms for the same relative diameter. We summarize the effects of
structural ordering on the catalytic activity and suggest a method to avoid
sintering during annealing of Pt-M alloys.
PMID- 29786605
TI - Hydrophobic interaction mediated coating of pluronics on mesoporous silica
nanoparticle with stimuli responsiveness for cancer therapy.
AB - In this research, a novel method was used to successfully stably coat Pluronic
P123 on mesoporous silica nanoparticles (MSNs). Co-constructing a drug delivery
system (DDS) with P123 and MSNs has not been previously reported. In this DDS,
the coating of P123 was realized through a hydrophobic interaction with octadecyl
chain-modified MSNs. The experiments found only Pluronic with an appropriate
ratio of hydrophilic and lipophilic segments could keep the nanoassemblies
stable. For comparison, nanoassemblies consisting of P123 and octadecyl chain
modified MSNs with or without a disulfide bond were prepared, which were denoted
as PSMSNs and PMSNs, respectively. The disulfide bond was expected to endow the
system with redox-responsiveness to enhance the therapeutic effect meanwhile
decreasing the toxicity. A series of experiments including characterization of
the nanoparticles, in vitro drug release, cell uptake and cellular drug release,
in vitro cytotoxicity, cell migration and biodistribution of the nanoparticles
were carried out. Compared with the PMSNs, PSMSNs displayed a redox-responsive
drug release property not only in in vitro release text, but also on the cellular
level. In addition, the cell migration experiments proved that the coating of
P123 endowed the system with the ability of anti-metastasis. The accumulation of
P123 in the tumor was enhanced after coating the MSNs by virtue of the 'EPR'
effect of nanoparticles compared with the solution form.
PMID- 29786606
TI - Crystal and magnetic structure of antiferromagnetic Mn2PtPd.
AB - We have investigated the crystal and magnetic structure of Mn2PtPd alloy using
powder x-ray and neutron diffraction experiments. This compound is believed to
belong to the Heusler family having crystal symmetry I4/mmm (TiAl3-type).
However, in this work we found that the Pd and Pt atoms are disordered and thus
Mn2PtPd crystallizes in the L10 structure having P4/mmm symmetry (CuAu-I type)
like MnPt and MnPd binary alloys. The lattice constants are a = 2.86 A and c =
3.62 A at room temperature. Mn2PtPd has a collinear antiferromagnetic spin
structure below the Neel temperature T N = 866 K, where Mn moments of ~4 u B
lie in the ab-plane. We observed a strong change in the lattice parameters near T
N. The sample exhibits metallic behaviour, where electrical resistivity and
carrier concentration are of the order of 10-5 Omega cm and 1021 cm-3,
respectively.
PMID- 29786607
TI - Pre-set extrusion bioprinting for multiscale heterogeneous tissue structure
fabrication.
AB - Recent advances in three-dimensional bioprinting technology have led to various
attempts in fabricating human tissue-like structures. However, current
bioprinting technologies have limitations for creating native tissue-like
structures. To resolve these issues, we developed a new pre-set extrusion
bioprinting technique that can create heterogeneous, multicellular, and
multimaterial structures simultaneously. The key to this ability lies in the use
of a precursor cartridge that can stably preserve a multimaterial with a pre
defined configuration that can be simply embedded in a syringe-based printer
head. The multimaterial can be printed and miniaturized through a micro-nozzle
without conspicuous deformation according to the pre-defined configuration of the
precursor cartridge. Using this system, we fabricated heterogeneous tissue-like
structures such as spinal cords, hepatic lobule, blood vessels, and capillaries.
We further obtained a heterogeneous patterned model that embeds HepG2 cells with
endothelial cells in a hepatic lobule-like structure. In comparison with
homogeneous and heterogeneous cell printing, the heterogeneous patterned model
showed a well-organized hepatic lobule structure and higher enzyme activity of
CYP3A4. Therefore, this pre-set extrusion bioprinting method could be widely used
in the fabrication of a variety of artificial and functional tissues or organs.
PMID- 29786608
TI - Microscopic aspects of wetting using classical density functional theory.
AB - Wetting is a rather efficient mechanism for nucleation of a phase (typically
liquid) on the interface between two other phases (typically solid and gas). In
many experimentally accessible cases of wetting, the interplay between the
substrate structure, and the fluid-fluid and fluid-substrate intermolecular
interactions brings about an entire 'zoo' of possible fluid configurations, such
as liquid films with a thickness of a few nanometers, liquid nanodrops and liquid
bridges. These fluid configurations are often associated with phase transitions
occurring at the solid-gas interface and at lengths of just several molecular
diameters away from the substrate. In this special issue article, we demonstrate
how a fully microscopic classical density-functional framework can be applied to
the efficient, rational and systematic exploration of the rich phase space of
wetting phenomena. We consider a number of model prototype systems such as
wetting on a planar wall, a chemically patterned wall and a wedge. Through
density-functional computations we demonstrate that for these simply structured
substrates the behaviour of the solid-gas interface is already highly complex and
non-trivial.
PMID- 29786610
TI - Thermoelectric transport properties of Ti doped/adsorbed monolayer blue
phosphorene.
AB - Thermoelectric transport properties of Ti doped or adsorbed monolayer blue
phosphorene are investigated by density functional theory combined with the
nonequilibrium Green's function formalism. The thermal giant magnetoresistance
and a nearly 100% spin polarization which solely relies on the temperature
gradient of electrodes without bias or gate voltage are observed. Moreover, the
spin Seebeck effect is also found. Furthermore, taking into account the
electronic and phonon dispersion, the thermoelectric merit for Ti doping in the
monolayer blue phosphorene at room temperature is also studied, the maximum value
of thermoelectric merit can reach 1.01 near the Fermi level. The results indicate
that Ti doped or adsorbed monolayer blue phosphorene has potential application in
both spintronics and spin caloritronics.
PMID- 29786609
TI - Comparison of trapped charges and hysteresis behavior in hBN encapsulated single
MoS2 flake based field effect transistors on SiO2 and hBN substrates.
AB - Molybdenum disulfide (MoS2) based field effect transistors (FETs) are of
considerable interest in electronic and opto-electronic applications but often
have large hysteresis and threshold voltage instabilities. In this study, by
using advanced transfer techniques, hexagonal boron nitride (hBN) encapsulated
FETs based on a single, homogeneous and atomic-thin MoS2 flake are fabricated on
hBN and SiO2 substrates. This allows for a better and a precise comparison
between the charge traps at the semiconductor-dielectric interfaces at MoS2-SiO2
and hBN interfaces. The impact of ambient environment and entities on hysteresis
is minimized by encapsulating the active MoS2 layer with a single hBN on both the
devices. The device to device variations induced by different MoS2 layer is also
eliminated by employing a single MoS2 layer for fabricating both devices. After
eliminating these additional factors which induce variation in the device
characteristics, it is found from the measurements that the trapped charge
density is reduced to 1.9 * 1011 cm-2 on hBN substrate as compared to 1.1 * 1012
cm-2 on SiO2 substrate. Further, reduced hysteresis and stable threshold voltage
are observed on hBN substrate and their dependence on gate sweep rate, sweep
range, and gate stress is also studied. This precise comparison between
encapsulated devices on SiO2 and hBN substrates further demonstrate the
requirement of hBN substrate and encapsulation for improved and stable
performance of MoS2 FETs.
PMID- 29786611
TI - Increased accuracy of planning tools for optimization of dynamic multileaf
collimator delivery of radiotherapy through reformulated objective functions.
AB - The purpose of this study is to examine in a clinical setting a novel formulation
of objective functions for intensity-modulated radiotherapy treatment plan
multicriteria optimization (MCO) that we suggested in a recent study. The
proposed objective functions are extended with dynamic multileaf collimator
(DMLC) delivery constraints from the literature, and a tailored interior point
method is described to efficiently solve the resulting optimization formulation.
In a numerical planning study involving three patient cases, DMLC plans Pareto
optimal to the MCO formulation with the proposed objective functions are
generated. Evaluated based on pre-defined plan quality indices, these DMLC plans
are compared to conventionally generated DMLC plans. Comparable or superior plan
quality is observed. Supported by these results, the proposed objective functions
are argued to have a potential to streamline the planning process, since they are
designed to overcome the methodological shortcomings associated with the
conventional penalty-based objective functions assumed to cause the current need
for time-consuming trial-and-error parameter tuning. In particular, the increased
accuracy of the planning tools imposed by the proposed objective functions has
the potential to make the planning process less complicated. These conclusions
position the proposed formulation as an alternative to existing methods for
automated planning.
PMID- 29786612
TI - A formalism for reference dosimetry in photon beams in the presence of a magnetic
field.
AB - A generic formalism is proposed for reference dosimetry in the presence of a
magnetic field. Besides the regular correction factors from the conventional
reference dosimetry formalisms, two factors are used to take into account
magnetic field effects: (1) a dose conversion factor to correct for the change in
local dose distribution and (2) a correction of the reading of the dosimeter used
for the reference dosimetry measurements. The formalism was applied to the Elekta
MRI-Linac, for which the 1.5 T magnetic field is orthogonal to the 7 MV photon
beam. For this setup at reference conditions it was shown that the dose decreases
with increasing magnetic field strength. The reduction in local dose for a 1.5 T
transverse field, compared to no field is 0.51% +/- 0.03% at the reference
point of 10 cm depth. The effect of the magnetic field on the reading of the
dosimeter was measured for two waterproof ionization chambers types (PTW 30013
and IBA FC65-G) before and after multiple ramp-up and ramp-downs of the magnetic
field. The chambers were aligned perpendicular and parallel to the magnetic
field. The corrections of the readings of the perpendicularly aligned chambers
were 0.967 +/- 0.002 and 0.957 +/- 0.002 for respectively the PTW and IBA
ionization chambers. In the parallel alignment the corrections were small; 0.997
+/- 0.001 and 1.002 +/- 0.003 for the PTW and IBA chamber respectively. The
change in reading due to the magnetic field can be measured by individual
departments. The proposed formalism can be used to determine the correction
factors needed to establish the absorbed dose in a magnetic field. It requires
Monte Carlo simulations of the local dose and measurements of the response of the
dosimeter. The formalism was successfully implemented for the MRI-Linac and is
applicable for other field strengths and geometries.
PMID- 29786615
TI - Morphological control of gold nanorods via thermally driven bi-surfactant growth
and application for detection of heavy metal ions.
AB - We report a modified synthesis route of colloidal gold nanorods (AuNRs) by
combining the thermal re-shaping treatment and bi-surfactant modification using
hexadecyltrimethylammonium bromide (CTAB) and sodium oleate (NaOL). Aspect ratios
down to 1.3 +/- 0.1 can be achieved in addition to good monodispersity,
uniformity, and chemical stability of the materials. Furthermore, without needing
post-treatment, metal ions directly interact with the AuNRs efficiently, allowing
rapid and sensitive colorimetric detection of heavy metal ions such as Pb2+ and
Cu2+ with a low concentration down to 2.5 MUM. The detection performance in terms
of selectivity, sensitivity and stability is systematically evaluated. The AuNRs
with tunable aspect ratios as well as chemical stability have potential in
surface-plasmon-based applications such as biochemical sensing, biochemical
imaging, medical diagnostics, and cancer therapy.
PMID- 29786614
TI - A novel optimization framework for VMAT with dynamic gantry couch rotation.
AB - Existing volumetric modulated arc therapy (VMAT) optimization using coplanar arcs
is highly efficient but usually dosimetrically inferior to intensity modulated
radiation therapy (IMRT) with optimized non-coplanar beams. To achieve both
dosimetric quality and delivery efficiency, we proposed in this study, a novel
integrated optimization method for non-coplanar VMAT (4piVMAT). 4piVMAT with
direct aperture optimization (DAO) was achieved by utilizing a least square dose
fidelity objective, along with an anisotropic total variation term for
regularizing the fluence smoothness, a single segment term for imposing simple
apertures, and a group sparsity term for selecting beam angles. Continuous
gantry/couch angle trajectories were selected using the Dijkstra's algorithm,
where the edge and node costs were determined based on the maximal gantry
rotation speed and the estimated fluence map at the current iteration,
respectively. The couch-gantry-patient collision space was calculated based on
actual machine geometry and a human subject 3D surface. Beams leading to
collision are excluded from the DAO and beam trajectory selection (BTS). An
alternating optimization strategy was implemented to solve the integrated DAO and
BTS problem. The feasibility of 4piVMAT using one full-arc or two full-arcs was
tested on nine patients with brain, lung, or prostate cancer. The plan was
compared against a coplanar VMAT (2piVMAT) plan using one additional arc and
collimator rotation. Compared to 2piVMAT, 4piVMAT reduced the average maximum and
mean organs-at-risk dose by 9.63% and 3.08% of the prescription dose with the
same target coverage. R50 was reduced by 23.0%. Maximum doses to the dose
limiting organs, such as the brainstem, the major vessels, and the proximal
bronchus, were reduced by 8.1 Gy (64.8%), 16.3 Gy (41.5%), and 19.83 Gy (55.5%),
respectively. The novel 4piVMAT approach affords efficient delivery of non
coplanar arc trajectories that lead to dosimetric improvements compared with
coplanar VMAT using more arcs.
PMID- 29786616
TI - Derivation of new diagnostic reference levels for neuro-paediatric computed
tomography examinations in Switzerland.
AB - PURPOSE: Definition of new national diagnostic reference levels (DRLs) for volume
computed tomography dose index (CTDIvol) and dose length product (DLP) for neuro
paediatric CT examinations depending on the medical indication. METHODS:
Paediatric cranial CT data sets acquired between January 2013 and December 2016
were retrospectively collected between July 2016 and March 2017 from eight of the
largest university and cantonal hospitals that perform most of the neuro
paediatric CTs in Switzerland. A consensus review of CTDIvol and DLP was
undertaken for three defined anatomical regions: brain, facial bone, and petrous
bone, each with and without contrast medium application. All indications for
cranial CT imaging in paediatrics were assigned to one of these three regions.
Descriptive statistical analysis of the distribution of the median values for
CTDIvol and DLP yielded values in the minimum, maximum, 25th percentile (1st
quartile), median (2nd quartile), and 75th percentile (3rd quartile). New DRLs
for neuro-paediatric CT examinations in Switzerland were based on the 75th
percentiles of the distributions of the median values of all eight centres. Where
appropriate, values were rounded such that the DRLs increase or at least remain
constant as the age of the patient increases. RESULTS: Our results revealed DRLs
for CTDIvol and DLP up to 20% lower than the DRLs used so far in Switzerland and
elsewhere in Europe. CONCLUSIONS: This study provides Swiss neuro-paediatric CT
DRL values to establish optimum conditions for paediatric cranial CT
examinations. Periodic national updates of DRLs, following international
comparisons, are essential.
PMID- 29786617
TI - Fabrication of hollow boron-doped diamond nanostructure via electrochemical
corrosion of a tungsten oxide template.
AB - In the study, a hollow boron-doped diamond (BDD) nanostructure electrode is
fabricated to increase the reactive surface area for electrochemical
applications. Tungsten oxide nanorods are deposited on the silicon substrate as a
template by the hot filament chemical vapor deposition (HFCVD) method. The
template is coated with a 100 nm BDD layer deposited by HFCVD to form a core
shell nanostructure. The WO x core is finally electrochemically dissolved to form
hollow BDD nanostructure. The fabricated hollow BDD nanostructure electrode is
investigated via scanning electron microscopy, transmission electron microscopy,
and Raman spectroscopy. The specific surface areas of the electrodes were
analyzed and compared by using Brunauer-Emmett-Teller method. Furthermore, cyclic
voltammetry and chronocoulometry are used to investigate the electrochemical
characteristics and the reactive surface area of the as-prepared hollow BDD
nanostructure electrode. A hollow BDD nanostructure electrode exhibits a reactive
area that is 15 times that of a planar BDD thin electrode.
PMID- 29786618
TI - Acoustic performance of dual-electrode electrostatic sound generators based on
CVD graphene on polyimide film.
AB - We investigated the acoustic performance of electrostatic sound-generating
devices consisting of bi-layer graphene on polyimide film. The total sound
pressure level (SPL) of the sound generated from the devices was measured as a
function of source frequency by sweeping, and frequency spectra were measured at
1/3 octave band frequencies. The relationship between various operation
conditions and total SPL was determined. In addition, the effects of changing
voltage level, adding a DC offset, and using two pairs of electrodes were
evaluated. It should be noted that two pairs of electrode operations improved
sound generation by about 10 dB over all frequency ranges compared with
conventional operation. As for the sound-generating capability, total SPL was 70
dBA at 4 kHz when an AC voltage of 100 Vpp was applied with a DC offset of 100 V.
Acoustic characteristics differed from other types of graphene-based sound
generators, such as graphene thermoacoustic devices and graphene polyvinylidene
fluoride devices. The effects of diameter and distance between electrodes were
also studied, and we found that diameter greatly influenced the frequency
response. We anticipate that the design information provided in this paper, in
addition to describing key parameters of electrostatic sound-generating devices,
will facilitate the commercial development of electrostatic sound-generating
systems.
PMID- 29786613
TI - Biophysics of membrane curvature remodeling at molecular and mesoscopic
lengthscales.
AB - At the micron scale, where cell organelles display an amazing complexity in their
shape and organization, the physical properties of a biological membrane can be
better-understood using continuum models subject to thermal (stochastic)
undulations. Yet, the chief orchestrators of these complex and intriguing shapes
are a specialized class of membrane associating often peripheral proteins called
curvature remodeling proteins (CRPs) that operate at the molecular level through
specific protein-lipid interactions. We review multiscale methodologies to model
these systems at the molecular as well as at the mesoscopic and cellular scales,
and also present a free energy perspective of membrane remodeling through the
organization and assembly of CRPs. We discuss the morphological space of nearly
planar to highly curved membranes, methods to include thermal fluctuations, and
review studies that model such proteins as curvature fields to describe the
emergent curved morphologies. We also discuss several mesoscale models applied to
a variety of cellular processes, where the phenomenological parameters (such as
curvature field strength) are often mapped to models of real systems based on
molecular simulations. Much insight can be gained from the calculation of free
energies of membranes states with protein fields, which enable accurate mapping
of the state and parameter values at which the membrane undergoes morphological
transformations such as vesiculation or tubulation. By tuning the strength,
anisotropy, and spatial organization of the curvature-field, one can generate a
rich array of membrane morphologies that are highly relevant to shapes of several
cellular organelles. We review applications of these models to budding of
vesicles commonly seen in cellular signaling and trafficking processes such as
clathrin mediated endocytosis, sorting by the ESCRT protein complexes, and
cellular exocytosis regulated by the exocyst complex. We discuss future prospects
where such models can be combined with other models for cytoskeletal assembly,
and discuss their role in understanding the effects of cell membrane tension and
the mechanics of the extracellular microenvironment on cellular processes.
PMID- 29786620
TI - The effect of the bottom electrode on ferroelectric tunnel junctions based on
CMOS-compatible HfO2.
AB - Ferroelectric tunnel junctions (FTJs) have attracted research interest as
promising candidates for non-destructive readout non-volatile memories. Unlike
conventional perovskite FTJs, hafnia FTJs offer many advantages in terms of
scalability and CMOS compatibility. However, so far, hafnia FTJs have shown poor
endurance and relatively low resistance ratios and these have remained issues for
real device applications. In our study, we fabricated HfZrO(HZO)-based FTJs with
various electrodes (TiN, Si, SiGe, Ge) and improved the memory performance of HZO
based FTJs by using the asymmetry of the charge screening lengths of the
electrodes. For the HZO-based FTJ with a Ge substrate, the effective barrier
afforded by this FTJ can be electrically modulated because of the space charge
limited region formed at the ferroelectric/semiconductor interface. The optimized
HZO-based FTJ with a Ge bottom electrode presents excellent ferroelectricity with
a high remnant polarization of 18 MUC cm-2, high tunneling electroresistance
value of 30, good retention at 85 degrees C and high endurance of 107. The
results demonstrate the great potential of HfO2-based FTJs in non-destructive
readout non-volatile memories.
PMID- 29786619
TI - In situ NAP-XPS spectroscopy during methane dry reforming on ZrO2/Pt(1 1 1)
inverse model catalyst.
AB - Due to the need of sustainable energy sources, methane dry reforming is a useful
reaction for conversion of the greenhouse gases CH4 and CO2 to synthesis gas (CO
+ H2). Syngas is the basis for a wide range of commodity chemicals and can be
utilized for fuel production via Fischer-Tropsch synthesis. The current study
focuses on spectroscopic investigations of the surface and reaction properties of
a ZrO2/Pt inverse model catalyst, i.e. ZrO2 particles (islands) grown on a Pt(1 1
1) single crystal, with emphasis on in situ near ambient pressure x-ray
photoelectron spectroscopy (NAP-XPS) during MDR reaction. In comparison to
technological systems, model catalysts facilitate characterization of the surface
(oxidation) state, surface adsorbates, and the role of the metal-support
interface. Using XPS and infrared reflection absorption spectroscopy we
demonstrated that under reducing conditions (UHV or CH4) the ZrO2 particles
transformed to an ultrathin ZrO2 film that started to cover (wet) the Pt surface
in an SMSI-like fashion, paralleled by a decrease in surface/interface oxygen. In
contrast, (more oxidizing) dry reforming conditions with a 1:1 ratio of CH4 and
CO2 were stabilizing the ZrO2 particles on the model catalyst surface (or were
even reversing the strong metal support interaction (SMSI) effect), as revealed
by in situ XPS. Carbon deposits resulting from CH4 dissociation were easily
removed by CO2 or by switching to dry reforming conditions (673-873 K). Thus, at
these temperatures the active Pt surface remained free of carbon deposits, also
preserving the ZrO2/Pt interface.
PMID- 29786621
TI - A Resisted Sprint Improves Rate of Force Development During a 20-m Sprint in
Athletes.
AB - Mangine, GT, Huet, K, Williamson, C, Bechke, E, Serafini, P, Bender, D, Hudy, J,
and Townsend, J. A resisted sprint improves rate of force development during a 20
m sprint in athletes. J Strength Cond Res 32(6): 1531-1537, 2018-This study
examined the effect of a resisted sprint on 20-m sprinting kinetics. After a
standardized warm-up, 23 (male = 10, female = 13) Division I basketball players
completed 3 maximal 20-m sprint trials while tethered to a robotic resistance
device. The first sprint (S1) used the minimal, necessary resistance (1 kg) to
detect peak (PK) and average (AVG) sprinting power (P), velocity (V), and force
(F); peak rate of force production (RFD) was also calculated. The second sprint
(S2) was completed against a load equal to approximately 5% of the athlete's body
mass. Minimal resistance (1 kg) was again used for the final sprint (S3).
Approximately 4-9 minutes of rest was allotted between each sprint. Separate
analyses of variance with repeated measures revealed significant (p <= 0.05) main
effects for all sprinting kinetic measures except VPK (p = 0.067). Compared with
S1, increased (p < 0.006) 20-m sprint time (3.4 +/- 4.9%), PAVG (115.9 +/-
33.2%), PPK (65.7 +/- 23.7%), FAVG (134.1 +/- 34.5%), FPK (65.3 +/- 16.2%), and
RFD (71.8 +/- 22.2%) along with decreased (p < 0.001) stride length (-21 +/-
15.3%) and VAVG (-6.6 +/- 4.6%) were observed during S2. During S3, only RFD was
improved (5.2 +/- 7.1%, p < 0.001) compared with S1. In conclusion, completing a
short, resisted sprint with a load equating to 5% of body mass before a short
sprint (~20-meters) does not seem to affect sprinting time or kinetics. However,
it does appear to enhance RFD.
PMID- 29786622
TI - Quantitative Analysis of Proximal and Distal Kinetic Chain Musculature During
Dynamic Exercises.
AB - Oliver, GD, Washington, JK, Barfield, JW, Gascon, SS, and Gilmer, G. Quantitative
analysis of proximal and distal kinetic chain musculature during dynamic
exercises. J Strength Cond Res 32(6): 1545-1553, 2018-Proximal to distal
sequencing for the dynamic movement of throwing is dependent on the movement and
stability of the lumbopelvic-hip complex (LPHC) and scapula. Although the need
for proximal stability for distal mobility has been vastly documented, pre
throwing programs tend to focus on the traditional rotator cuff activation
exercises before long toss. Thus, it was the purpose of this study to describe
muscle activations of LPHC stabilizing musculature (bilateral gluteus medius and
maximus) and scapular stabilizing musculature (dominant side latissimus dorsi,
lower trapezius, upper trapezius, and serratus anterior) during 5 kinetic chain
exercises that could be implemented in a throwing program. It was hypothesized
that both the LPHC and the scapular stabilizing musculature would exhibit
moderate to high activation during all the selected kinetic chain exercises.
Nineteen healthy college students (23.2 +/- 7.2 years; 176.7 +/- 17.9 cm; 78.0 +/
28.6 kg) participated. Surface electromyography was used to measure muscle
activity in the LPHC and scapular stabilizing musculature during 5 kinetic chain
exercises. A nonparametric Friedman test revealed significantly different muscle
activations as a factor of exercise for each muscle, chi(18) = 417.220, p <
0.001. The 5 kinetic chain exercises successfully elicited moderate to high
muscle activation in all musculature, except the upper trapezius. Because greater
muscle activation of the LPHC and scapular stabilizers are crucial during a
throwing task, these exercises are recommended for pre-throwing program
implementation because they efficiently prepare the stabilizing musculature for
lengthy or strenuous throwing tasks, resulting in a potential decrease in injury
susceptibility.
PMID- 29786623
TI - Rating of Perceived Exertion as a Method of Volume Autoregulation Within a
Periodized Program.
AB - Helms, ER, Cross, MR, Brown, SR, Storey, A, Cronin, J, and Zourdos, MC. Rating of
perceived exertion as a method of volume autoregulation within a periodized
program. J Strength Cond Res 32(6): 1627-1636, 2018-The purpose of this
investigation was to observe how a rating of perceived exertion (RPE)-based
autoregulation strategy impacted volume performed by powerlifters. Twelve (26 +/-
7 years, n = 9 men, n = 3 women) nationally qualified powerlifters performed the
back squat, bench press, and deadlift 3x per week on nonconsecutive days in a
session order of hypertrophy, power, and then strength; for 3 weeks. Each session
subjects performed an initial top set for a prescribed number of repetitions at a
target RPE. A second top set was performed if the RPE score was too low, then
subsequent back-off sets at a reduced load were performed for the same number of
repetitions. When the prescribed RPE was reached or exceeded, sets stopped; known
as an "RPE stop." The percentage load reduction for back-off sets changed weekly:
there were 2, 4, or 6% RPE stop reductions from the top set. The order in which
RPE stop weeks were performed was counterbalanced among subjects. Weekly combined
relative volume load (squat + bench press + deadlift), expressed as sets x
repetitions x percentage 1-repetition maximum was different between weeks (p <
0.001): 2% = 74.6 +/- 22.3; 4% = 88.4 +/- 23.8; 6% = 114.4 +/- 33.4. Combined
weekly bench press volume (hypertrophy + power + strength) was significantly
higher in accordance with load reduction magnitude (2% > 4% > 6%; p <= 0.05),
combined squat volume was greater in 6 vs. 2% (p <= 0.05), and combined deadlift
volume was greater in 6 vs. 2% and 4% (p <= 0.05). Therefore, it does seem that
volume can be effectively autoregulated using RPE stops as a method to dictate
number of sets performed.
PMID- 29786624
TI - Relationship Between Dryland Strength and Swimming Performance: Pull-Up Mechanics
as a Predictor of Swimming Speed.
AB - Perez-Olea, JI, Valenzuela, PL, Aponte, C, and Izquierdo, M. Relationship between
dryland strength and swimming performance: pull-up mechanics as a predictor of
swimming speed. J Strength Cond Res 32(6): 1637-1642, 2018-This study aimed to
examine the validity of the countermovement jump (CMJ) and the pull-up exercise
as predictors of swimming performance. Twelve young male swimmers (Mean +/- SD,
19 +/- 3 years; 75 +/- 10 kg; 180 +/- 6 cm) with a homogenous level of
performance (50-m freestyle time [50F]: 26.41 +/- 1.44 seconds, coefficient of
variance: 5.5%) participated in this study. Subjects performed a test of a single
pull-up (PU) and a test of maximum number of pull-ups until muscular failure
(PUF), and the mechanics of the ascending phase were recorded using a lineal
force transducer. The height reached in a single CMJ test and the mean height
during 30 consecutive CMJs were also determined. The swimmers' 50-m leg-only
swimming time (50L) was also registered. The 50F time was strongly correlated
with different variables of the PU (r = -0.76 to -0.80; p <= 0.05) and PUF test
(r = -0.64 to -0.88; p <= 0.05), but not with the number of pull-ups performed. A
significant relationship between 50F and 50L was observed (r = 0.78; p <= 0.05),
with no relationship between the CMJ tests and swimming performance. Furthermore,
multiple linear regression showed that 50L and the relative loss of velocity
during the PUF test accounted for 84% (p < 0.001) of the 50F performance
variance. This study shows the validity of the analysis of pull-up mechanics and
50L to predict short-distance swimming performance in trained swimmers,
confirming the importance of upper-limb strength and leg kick on this sport.
PMID- 29786625
TI - Differences in Glenohumeral Joint Rotation and Peak Power Output Between Super
League and Championship Rugby League Players.
AB - Haines, MR. Differences in glenohumeral joint rotation and peak power output
between Super League and Championship Rugby League players. J Strength Cond Res
32(6): 1685-1691, 2018-Rugby league is a high-intensity sport with large impact
forces sustained during play, resulting in high prevalence of shoulder injury.
Consequently, shoulder strength and player power are important considerations for
injury prevention and performance. Additionally, professional teams regularly
compete against semiprofessional teams, where differences in physical
conditioning could be marked. The aim of this study was to test for differences
in glenohumeral joint rotation and peak power between a professional Super League
(SL) and semiprofessional Rugby League Championship (RLC) teams. A cross
sectional analysis was used to test 25 SL and 24 RLC players during preseason
training. Isokinetic dynamometry, at 240 degrees .s for concentric and eccentric
actions was used to assess glenohumeral rotation. Peak power was determined using
a maximal 30-second cycle ergometer test. Selective muscular development of the
internal rotators without concomitant external rotator strength was evident in
the SL team but not the RLC team. Internal rotation peak torque was higher for
the SL club for concentric (~24%) and eccentric (~16%) muscle actions (p <=
0.05), and this contributed to a lower ipsilateral reciprocal muscle group ratio
(external to internal concentric) for the SL team compared with the RLC team
(~47% and ~60% respectively; p <= 0.05). Peak power output was also higher for
the SL team (1,409 +/- 153 vs. 1,273 +/- 159 W; p <= 0.05). The results highlight
a mismatch in the physical conditioning of SL and RLC players with implications
for practitioners to design strength and conditioning programs that minimize the
risk of injury while optimizing performance.
PMID- 29786626
TI - Observation of Women Soccer Players' Physiology During a Single Season.
AB - Paulsen, KM, Butts, CL, and McDermott, BP. Observation of women soccer players'
physiology during a single season. J Strength Cond Res 32(6): 1702-1707, 2018-The
purpose of this study was to observe heart rate (HR) responses in match settings
over the course of a conference season in National Collegiate Athletic
Association Division I women's soccer. Twenty-one female collegiate soccer
players were provided a HR monitor and instructed to wear it for the duration of
match play. Player positions included 6 defenders (DEF), 6 midfielders (MID), and
9 forwards (FWD). Defenders were further identified as either center defenders
(CD) or outside defenders (OD). A 1-way analysis of variance was used to
determine if mean HR varied between FWD, MID, and DEF. An independent t-test was
used to determine if there was a difference between CD and OD HRs. The FWD, MID,
and DEF did have significantly different mean HR (p <= 0.05), but post-hoc
analysis revealed no significant differences (p >= 0.05). However, CD
demonstrated significantly lower HRs than OD (p = 0.009). Player position,
specifically in the CD and OD role, impact the intensity of exercise in match
settings and may be used to specify training and conditioning sessions.
PMID- 29786628
TI - Influence of Team's Rank on Soccer Referees' External and Internal Match Loads
During Official Matches.
AB - Castillo, D, Castagna, C, Camara, J, Iturricastillo, A, and Yanci, J. Influence
of team's rank on soccer referees' external and internal match loads during
official matches. J Strength Cond Res 32(6): 1715-1722, 2018-The aim was to
examine the external and the internal match loads (ML) of field referees (FRs)
attending teams of different ranking during championship matches. Twenty FR who
officiated in 30 official soccer matches (30 observations) participated in our
study. The criteria for allocating the soccer referees' ML results were based on
the teams' final league positions as follows: matches performed by Top 10 teams
(TOP10), matches performed by bottom 10 teams (BOT10), and matches played among
TOP10 and BOT10 teams (MIXED). External (match activities, accelerations [Acc],
and decelerations [Dec]) and internal MLs (Edwards' heart rate [HR]-derived
training impulse [TRIMPEDW], HRmean expressed as a percentage of HRpeak
[%HRpeak], and differentiated rating of perceived exertion [dRPE]) were recorded.
The main results showed that FR, who officiated TOP10 matches, covered more
distance at a low walking speed (<3.6 km.h) and performed a higher percentage of
high-intensity accelerations and decelerations than those FR who officiated lower
ranked teams' matches. Moreover, FR who officiated MIXED matches registered lower
values of TRIMPEDW MLs and %HRpeak and declared higher respiratory (sRPEres ML)
and muscular (sRPEmus ML) perceived MLs during the second half. Considering those
FR who officiate matches between teams of a higher competitive level will need to
produce higher match responses, especially regarding the percentage of distance
covered at high intensity, accelerations, and decelerations; physical trainers of
soccer referees at a high competitive level should implement these high-intensity
short-term actions in specific training regimes.
PMID- 29786627
TI - Association of Physical and Technical Activities With Partial Match Status in a
Soccer Professional Team.
AB - Moalla, W, Fessi, MS, Makni, E, Dellal, A, Filetti, C, Di Salvo, V, and Chamari,
K. Association of physical and technical activities with partial match status in
a soccer professional team. J Strength Cond Res 32(6): 1708-1714, 2018-The
purpose of this study was to examine the association between physical and
technical activities and partial match status (winning, drawing, or losing) in a
professional soccer team over 2 seasons. Physical and technical activities of 52
official matches were collected and analyzed at each 15-minute interval, for each
half (45 minutes), and full match (90 minutes) using a multiple-camera
computerized tracking system. The results indicated that according to full match
outcome: winning status was characterized by players covering more total distance
(p <= 0.05) and low-intensity running (<14.4 km.h) (p <= 0.05), whereas, losing
status induced more sprinting (>=25.2 km.h) (p < 0.01) and high-intensity running
(>=19.8 km.h) (p <= 0.05). However, according to partial match status (i.e., 15
minutes and half time), players covered more distance for all running intensities
while winning (p < 0.01). Technical match performance scores were not influenced
by match status. In conclusion, the present study showed that the physical
activities including high-intensity running and total distance covered were
related to the match status, whereas technical activities were not. The overall
outcome shows that higher physical activity was associated with winning partial
match periods. This approach highlights the importance of physical fitness in
soccer and may help coaches to better modulate players' roles and team tactical
organization throughout the match.
PMID- 29786629
TI - Anthropometric Parameters, Age, and Agility as Performance Predictors in Elite
Female Basketball Players.
AB - Garcia-Gil, M, Torres-Unda, J, Esain, I, Dunabeitia, I, Gil, SM, Gil, J, and
Irazusta, J. Anthropometric parameters, age, and agility as performance
predictors in elite female basketball players. J Strength Cond Res 32(6): 1723
1730, 2018-In addition to technical, tactical, and psychological skills,
performance in playing basketball depends on anthropometry and physical fitness.
However, limited information is available regarding such features in women. We
hypothesized that anthropometry and physical fitness are associated with female
basketball performance, and consequently, performance could be predicted using
the results of certain anthropometric measures and fitness tests. Body parameters
(age, height, body mass, skinfold thicknesses, limb perimeters, and lengths) were
measured. Physical fitness capacities (jumping, agility with and without the
ball, and speed) were measured by specific tests. In addition, game performance
was assessed using technical statistics (rebounds, assists, and points) and the
performance index rating (PIR). Teams ranked better in the regular season had
smaller mean fat skinfold thickness and spent less time in the agility tests (T
Drill). Correlation analyses indicated that players with better PIR were older,
taller, and had a longer arm span and greater contracted arm perimeter (CAP).
Furthermore, those players had better results in the T-Drill test. Multiple
regression analysis indicated that combined age, height, CAP, fat skinfold
thickness, and time in T-Drill test yielded a strong predictor of PIR per time
played. In conclusion, the results of the present study indicate that some
anthropometric and physical fitness characteristics of female elite basketball
teams and players are highly associated with performance-related parameters. In
addition, a regression model has been developed to predict the performance of
female basketball players.
PMID- 29786630
TI - Effect of Cadence on Time Trial Performance in Recreational Female Cyclists.
AB - Graham, PL, Zoeller, RF, Jacobs, PL, and Whitehurst, MA. Effect of cadence on
time trial performance in recreational female cyclists. J Strength Cond Res
32(6): 1739-1744, 2018-The impact of pedaling cadence on cycling performance
remains unresolved especially in female cyclists. The purpose of this study was
to determine the effect of cadence on time trial (TT) performance in recreational
female cyclists. Ten recreational female cyclists volunteered to participate in
this study. Subjects performed 3 exercise sessions: 1 to assess peak oxygen
uptake (V[Combining Dot Above]O2peak) and 2 TTs. Cadence was randomly ordered and
fixed for each TT (60 or 100 rpm), whereas power output (PO) was freely adjusted
by the participant, as tolerated. Time trial time, heart rate (HR), blood
lactate, PO, V[Combining Dot Above]O2, and ratings of perceived exertion were
measured throughout the TTs. The major finding of this study was the
significantly faster (p = 0.001) TT time during the 60-rpm condition (34:23 +/-
4:21) vs. the 100-rpm condition (37:34 +/- 5:53). Also the 60-rpm TT resulted in
significant differences for HR (155.9 +/- 3.97 vs. 161.2 +/- 5.20 b.min, p =
0.04), gross efficiency, (21.1 +/- 0.37 vs. 17.7 +/- 0.85%, p < 0.001), and PO
(147 +/- 7.06 vs. 129 +/- 10.62 W, p = 0.003). Thus, a slower cycling cadence was
associated with greater mechanical efficiency and PO, resulting in significantly
better performance in a TT. These results suggest that recreational female
cyclists may benefit from adopting a low cadence during an 8-km TT.
PMID- 29786631
TI - Comparison Between Elite and Subelite Swimmers on Dry Land and Tumble Turn Leg
Extensor Force-Time Characteristics.
AB - Jones, JV, Pyne, DB, Haff, GG, and Newton, RU. Comparison between elite and
subelite swimmers on dry land and tumble turn leg extensor force-time
characteristics. J Strength Cond Res 32(6): 1762-1769, 2018-Elite swimmers
demonstrate faster swimming turn times that are potentially a result of having
better strength-power characteristics than subelite swimmers. We quantified
differences between dry-land and swimming turn force-time characteristics in
elite swimmers and subelite swimmers. Subelite (11 males: 17.4 +/- 0.6 years; 10
females: 17.1 +/- 0.6 years) and elite swimmers (15 male: 23.2 +/- 2.3 years; 7
female: 21.6 +/- 2.5 years) were tested in a cross-sectional design. All swimmers
performed a body weight and loaded (20 kg females, 30 kg males) squat jump (SJ)
on a portable force platform. On the same day, all swimmers completed swimming
turn analyses using a force platform fixed within the pool wall. The magnitude of
difference between groups was estimated using a standardized mean difference
(effect size statistic). Elite male and female swimmers had superior swimming
turn and dry-land force-time characteristics to subelite swimmers in all tests.
The standardized mean differences between groups ranged from small to very large.
The largest differences were SJ peak velocity unloaded (3.07 +/- 1.0 m.s males,
3.49 +/- 2.29 m.s females; standardized mean difference +/- 90% confidence
limits) and SJ peak power unloaded (2.59 +/- 0.79 w male, 2.80 +/- 1.64 w female)
with elite male and female swimmers having a ~25-50% higher performance than the
subelites in both characteristics. Elite swimmers exhibit superior strength and
power characteristics for the swimming turn compared with younger and less
experienced swimmers. A well-planned and executed strength and conditioning
program is needed for emerging swimmers to develop these qualities, as they
transition to senior levels.
PMID- 29786632
TI - Influences of Playing Position and Quality of Opposition on Standardized Relative
Distance Covered in Domestic Women's Field Hockey: Implications for Coaches.
AB - Vinson, D, Gerrett, N, and James, DVB. Influences of playing position and quality
of opposition on standardized relative distance covered in domestic women's field
hockey: Implications for coaches. J Strength Cond Res 32(6): 1770-1777, 2018-The
purpose of this study was to compare the standardized relative distance covered
by the various playing positions (defenders, midfielders, and forwards) against
different quality of opponents in domestic women's field hockey. Data were
collected from 13 individuals competing for 1 team in the English Premier League
across an 18-game season. Data were collected using portable global positioning
system technology. Distance data were grouped into 6 speed zones relative to
individual players' maximum sprint speeds and then standardized by dividing by
the number of on-pitch minutes. Dependent variables included distance covered in
the 6 speed zones, as well as the number of sprints and repeated sprint efforts
(RSEs) completed in the highest speed zone. Participants covered a significantly
greater total distance when competing against opponents from top 3 teams compared
with middle 3 teams (111.78 +/- 2.65 m.min vs. 107.35 +/- 2.62 m.min,
respectively). This was also true for distance covered in zone 4 (running) (29.47
+/- 1.69 m.min vs. 27.62 +/- 1.45 m.min, respectively) and zone 5 (fast running)
(23.42 +/- 1.76 m.min vs. 21.52 +/- 1.79 m.min, respectively). Defenders (99.77
+/- 4.36 m.min) covered significantly less total meters per minute than
midfielders (117.20 +/- 4.36 m.min) and completed significantly fewer RSEs per on
pitch minute (0.21 +/- 0.03 and 0.33 +/- 0.03, respectively). Midfielders covered
significantly less distance in zone 2 (walking) than forwards (19.38 +/- 1.64
m.min and 30.33 +/- 2.12 m.min, respectively). Conversely, midfielders were shown
to cover significantly more distance in zone 3 (jogging) than forwards (32.84 +/-
1.10 m.min and 24.61 +/- 1.42 m.min, respectively). A standardized and relative
assessment may be useful for coaches' and performance analysts' understanding of
players' performance in different positions or against different quality
opponents.
PMID- 29786633
TI - The Effect of Nitrate Supplementation on Exercise Tolerance and Performance: A
Systematic Review and Meta-Analysis.
AB - Van De Walle, GP and Vukovich, MD. The effect of nitrate supplementation on
exercise tolerance and performance: a systematic review and meta-analysis. J
Strength Cond Res 32(6): 1796-1808, 2018-The purpose of this article was to
systematically review the current literature and evaluate the overall efficacy of
nitrate supplementation on exercise tolerance and performance by meta-analysis.
Studies were eligible for inclusion if they met the following criteria: (a) were
an experimental trial published in an English peer-reviewed journal; (b) compared
the effects of inorganic nitrate consumption with a non-bioactive supplement
control or placebo; (c) used a quantifiable measure of exercise performance; and
(d) was carried out in apparently healthy participants without disease. A total
of 29 studies were identified that investigated the effects of nitrate
supplementation on exercise tolerance or performance in accordance with the
criteria outlined. Analysis using time to exhaustion as the outcome variable
revealed a significant effect of nitrate supplementation on exercise tolerance
(ES = 0.28; 95% confidence interval [CI]: 0.08-0.47; p = 0.006) compared with
placebo. Analysis using time to complete a specific distance as the outcome
variable revealed no significant effect of nitrate supplementation on exercise
performance (ES = -0.05; 95% CI: -0.28 to 0.17; p = 0.64) compared with placebo.
Nitrate supplementation is likely to improve exercise tolerance and capacity that
may improve exercise performance. More research is required to determine the
optimal dose and duration of nitrate supplementation. It would also be important
to consider the type of athlete performing the exercise and the duration,
intensity, and mode of the exercise performed because these factors are likely to
influence the efficacy of nitrate supplementation.
PMID- 29786634
TI - Virophages and Their Interactions with Giant Viruses and Host Cells.
AB - Virophages are small dsDNA viruses that were first isolated in association with
some giant viruses (GVs), and then found in metagenomics samples. They encode
about 20-34 proteins. Some virophages share protein similarity with
Maverick/Polinton transposons or are considered as a provirophage, whereas about
half of the protein's repertoire remain of unknown function. In this review, we
aim to highlight the current understanding of the biology of virophages, as well
as their interactions with giant viruses and host cells. Additionally, the
virophage proteomes were analyzed to find the functional domains that distinguish
each virophage. This bioinformatics analysis will benefit further experimental
investigations to understand the protein-protein interactions between virophages,
giant viruses, and host cells.
PMID- 29786635
TI - Mechanical Behavior Optimization of Chitosan Extracted from Shrimp Shells as a
Sustainable Material for Shopping Bags.
AB - The use of biodegradable materials for shopping bag production, and other
products made from plastics, has recently been an object of intense research-with
the aim of reducing the environmental burdens given by conventional materials.
Chitosan is a potential material because of its biocompatibility, degradability,
and non-toxicity. It is a semi-natural biopolymeric material produced by the
deacetylation of chitin, which is the second most abundant natural biopolymer
(after cellulose). Chitin is found in the exoskeleton of insects, marine
crustaceans, and the cell walls of certain fungi and algae. The raw materials
most abundantly available are the shells of crab, shrimp, and prawn. Hence, in
this study chitosan was selected as one of the main components of biodegradable
materials used for shopping bag production. Firstly, chitin was extracted from
shrimp shell waste and then converted to chitosan. The chitosan was next ground
to a powder. Although, currently, polyethylene bags are prepared by blown
extrusion, in this preliminary research the chitosan powder was dissolved in a
solvent and the films were cast. Composite films with several fillers were used
as a reinforcement at different dosages to optimize mechanical properties, which
have been assessed using tensile tests. These results were compared with those of
conventional polyethylene bags used in Egypt. Overall, the chitosan films were
found to have a lower ductility but appeared to be strong enough to fulfill
shopping bag functions. The addition of fillers, such as chitin whiskers and rice
straw, enhanced the mechanical properties of chitosan films, while the addition
of chitin worsened overall mechanical behavior.
PMID- 29786636
TI - Standard Reference Materials for Cement Paste: Part II-Determination of Mixing
Ratios.
AB - A variety of special concrete structures have been designed for domestic and
overseas construction markets that require highly advanced construction
technology. Therefore, it is necessary to secure quantitative construction
technology and develop a standard reference material (hereinafter: SRM) with
consistent flow performance and quality in order to evaluate the quantitative
performance of flowability. On the other hand, the flowability of concrete is
influenced greatly by the flowability of the cement paste. In addition,
considering the design strength and workability, the mix design was carried out
at various mixing ratios, according to the purpose of the site. Therefore, based
on the derived components of standard reference materials for cement paste, this
paper proposes a mixing ratio for standard reference materials that can uniformly
simulate the flow characteristics of cement paste, according to the water-cement
ratio (W/C). The results show that yield stress was determined by the ratio of
water and glycerol while plastic viscosity was controlled by the limestone
content. Finally, the mixing ratio of standard reference materials that can
simulate the rheological properties of cement paste by W/C was suggested.
PMID- 29786637
TI - Dog Theft: A Case for Tougher Sentencing Legislation.
AB - Dogs, and other companion animals, are currently classed as "property" in theft
sentencing legislation for England and Wales. This means that offenders who steal
dogs are given similar sentences to those that steal inanimate objects. This
review presents the argument that the penalty for dog theft should be more severe
than for the theft of non-living property. Evidence of the unique bond between
dogs and humans, and discussion of the implications of labelling a living being
as mere "property" are used to support this argument. The review concludes that
the Sentencing Council's guidelines should be amended so that offences involving
the theft of a companion animal are deemed to be a Category 2 offence or above.
The review further proposes that "theft of a companion animal" should be listed
in the Sentencing Council's guidelines as an aggravating factor.
PMID- 29786638
TI - Impact of Appointment-Based Medication Synchronization on Proportion of Days
Covered for Chronic Medications.
AB - Appointment-based medication synchronization (ABMS) programs have been associated
with increased adherence and persistence to chronic medications. Adherence to
statin therapy, angiotensin-converting enzyme inhibitors (ACEIs), angiotensin
receptor blockers (ARBs), and non-insulin antidiabetic medications (NIDM) are
used to determine a health plan's Centers for Medicare and Medicaid Services
(CMS) Star Rating under a pay-for-performance model. The objective of this study
was to evaluate the impact of implementing an ABMS program on overall pharmacy
adherence measures for statins, ACEI/ARBs, and NIDM, as presented through the
Electronic Quality Improvement Platform for Plans and Pharmacies (EQuIPP(c))
platform. This retrospective, pre-post ABMS program study evaluated EQuIPP(c)
generated adherence performance measures, represented as proportion of days
covered (PDC), 6-months before and 6- and 12-months after the ABMS service for
statin therapy, ACEIs/ARBs, and NIDM. All adherence measures showed statistically
significant improvement in PDC percentage post ABMS implementation, except for
NIDM percentage in 6-months post-ABMS service. This study shows that a
comprehensive medication synchronization program can enhance adherence measures
that are important to health plans to increase CMS Star Rating under a pay-for
performance model.
PMID- 29786639
TI - Chemogenetic Enhancement of Axon Regeneration Following Peripheral Nerve Injury
in the SLICK-A Mouse.
AB - The effects of chemogenetics on axon regeneration following peripheral nerve
transection and repair were studied in mice expressing a Cre-dependent excitatory
designer receptor exclusively activated by designer drugs (DREADD) and Cre
recombinase/yellow fluorescent protein (YFP) in a subset of motor and sensory
neurons and cortical motoneurons (SLICK-A). Sciatic nerves were cut and repaired
and mice were treated either once, at the time of injury, or five days per week
for two weeks with clozapine N-oxide (CNO) (1 mg/kg, i.p.), or were untreated
controls. Two weeks after injury, the lengths of YFP+ axon profiles were measured
in nerves harvested from euthanized animals. Compared to untreated controls,
regenerating axon lengths were not significantly longer in mice treated only once
with CNO, but they were more than three times longer in mice receiving CNO
repeatedly. Based on results of retrograde labeling experiments, axons of more
sensory and motor neurons had regenerated successfully in mice receiving multiple
CNO treatments than animals receiving only one treatment or no treatments. The
increase in numbers of labeled sensory, but not motor neurons could be accounted
for by increases in the proportion of retrogradely labeled neurons also
expressing the DREADD. Chemogenetic increases in neuronal excitability represent
a potent and innovative treatment to promote peripheral nerve regeneration.
PMID- 29786641
TI - Photothermal Effectiveness of Magnetite Nanoparticles: Dependence upon Particle
Size Probed by Experiment and Simulation.
AB - The photothermal effect of nanoparticles has proven efficient for driving diverse
physical and chemical processes; however, we know of no study addressing the
dependence of efficacy on nanoparticle size. Herein, we report on the
photothermal effect of three different sizes (5.5 nm, 10 nm and 15 nm in
diameter) of magnetite nanoparticles (MNP) driving the decomposition of
poly(propylene carbonate) (PPC). We find that the chemical effectiveness of the
photothermal effect is positively correlated with particle volume. Numerical
simulations of the photothermal heating of PPC supports this observation, showing
that larger particles are able to heat larger volumes of PPC for longer periods
of time. The increased heating duration is likely due to increased heat capacity,
which is why the volume of the particle functions as a ready guide for the
photothermal efficacy.
PMID- 29786643
TI - Controlled-Deactivation CB1 Receptor Ligands as a Novel Strategy to Lower
Intraocular Pressure.
AB - Nearly half a century has passed since the demonstration that cannabis and its
chief psychoactive component Delta8-THC lowers intraocular pressure (IOP).
Elevated IOP remains the chief hallmark and therapeutic target for glaucoma, a
condition that places millions at risk of blindness. It is likely that Delta8-THC
exerts much of its IOP-lowering effects via the activation of CB1 cannabinoid
receptors. However, the initial promise of CB1 as a target for treating glaucoma
has not thus far translated into a credible therapeutic strategy. We have
recently shown that blocking monoacylglycerol lipase (MAGL), an enzyme that
breaks the endocannabinoid 2-arachidonoyl glycerol (2-AG), substantially lowers
IOP. Another strategy is to develop cannabinoid CB1 receptor agonists that are
optimized for topical application to the eye. Recently we have reported on a
controlled-deactivation approach where the "soft" drug concept of enzymatic
deactivation was combined with a "depot effect" that is commonly observed with
Delta8-THC and other lipophilic cannabinoids. This approach allowed us to develop
novel cannabinoids with a predictable duration of action and is particularly
attractive for the design of CB1 activators for ophthalmic use with limited or no
psychoactive effects. We have tested a novel class of compounds using a
combination of electrophysiology in autaptic hippocampal neurons, a well
characterized model of endogenous cannabinoid signaling, and measurements of IOP
in a mouse model. We now report that AM7410 is a reasonably potent and
efficacious agonist at CB1 in neurons and that it substantially (30%) lowers IOP
for as long as 5 h after a single topical treatment. This effect is absent in CB1
knockout mice. Our results indicate that the direct targeting of CB1 receptors
with controlled-deactivation ligands is a viable approach to lower IOP in a
murine model and merits further study in other model systems.
PMID- 29786640
TI - Vitamin D in Vascular Calcification: A Double-Edged Sword?
AB - Vascular calcification (VC) as a manifestation of perturbed mineral balance, is
associated with aging, diabetes and kidney dysfunction, as well as poorer patient
outcomes. Due to the current limited understanding of the pathophysiology of
vascular calcification, the development of effective preventative and therapeutic
strategies remains a significant clinical challenge. Recent evidence suggests
that traditional risk factors for cardiovascular disease, such as left
ventricular hypertrophy and dyslipidaemia, fail to account for clinical
observations of vascular calcification. Therefore, more complex underlying
processes involving physiochemical changes to mineral balance, vascular
remodelling and perturbed hormonal responses such as parathyroid hormone (PTH)
and fibroblast growth factor 23 (FGF-23) are likely to contribute to VC. In
particular, VC resulting from modifications to calcium, phosphate and vitamin D
homeostasis has been recently elucidated. Notably, deregulation of vitamin D
metabolism, dietary calcium intake and renal mineral handling are associated with
imbalances in systemic calcium and phosphate levels and endothelial cell
dysfunction, which can modulate both bone and soft tissue calcification. This
review addresses the current understanding of VC pathophysiology, with a focus on
the pathogenic role of vitamin D that has provided new insights into the
mechanisms of VC.
PMID- 29786642
TI - Determining the Radiation Enhancement Effects of Gold Nanoparticles in Cells in a
Combined Treatment with Cisplatin and Radiation at Therapeutic Megavoltage
Energies.
AB - Combined use of chemotherapy and radiation therapy is commonly used in cancer
treatment, but the toxic effects on normal tissue are a major limitation. This
study assesses the potential to improve radiation therapy when combining gold
nanoparticle (GNP) mediated radiation sensitization with chemoradiation compared
to chemoradiation alone. Incorporation of GNPs with 2 Gy, 6 MV (megavoltage)
radiation resulted in a 19 +/- 6% decrease in survival of MDA-MB-231 cells. Monte
Carlo simulations were performed to assess dosimetric differences in the presence
of GNPs in radiation. The results show that physics dosimetry represents a small
fraction of the observed effect. The survival fraction of the cells exposed to
GNPs, cisplatin, and radiation was 0.16 +/- 0.007, while cells treated with
cisplatin and radiation only was 0.23 +/- 0.011. The presence of GNPs resulted in
a 30 +/- 6% decrease in the survival, having an additive effect. The
concentration of the GNPs and free drug used for this study was 0.3 and 435 nM,
respectively. These concentrations are relatively lower and achievable in an in
vivo setting. Hence, the results of our study would accelerate the incorporation
of GNP-mediated chemoradiation into current cancer therapeutic protocols in the
near future.
PMID- 29786645
TI - Skeletal Muscle MicroRNAs as Key Players in the Pathogenesis of Amyotrophic
Lateral Sclerosis.
AB - Amyotrophic lateral sclerosis (ALS) is a fatal neurodegenerative disorder, for
which, to date, no effective treatment to ameliorate the clinical manifestations
is available. The long-standing view of ALS as affecting only motor neurons has
been challenged by the finding that the skeletal muscle plays an active role in
the disease pathogenesis and can be a valuable target for therapeutic strategies.
In recent years, non-coding RNAs, including microRNAs, have emerged as important
molecules that play key roles in several cellular mechanisms involved in the
pathogenic mechanisms underlying various human conditions. In this review, we
summarize how the expression of some microRNAs is dysregulated in the skeletal
muscle of ALS mouse models and patients. Shedding light on the mechanisms
underlying microRNAs dysregulation in the skeletal muscle could clarify some of
the processes involved in the pathogenesis of ALS and especially identify new
promising therapeutic targets in patients.
PMID- 29786644
TI - Impact of Bone Fracture on Ischemic Stroke Recovery.
AB - Stroke is one of the most devastating complications of bone fracture, occurring
in up to 4% of patients after surgical repair for hip fracture. Bone fracture and
ischemic stroke have many common risk factors. The impact of bone fracture on
stroke recovery has not drawn much attention in the research field. Bone fracture
could occur in stroke patients at different times during the recovery phase,
which steepens the trajectory of cognitive decline, greatly affects the quality
of life, and causes a heavy burden on healthcare resources. In this paper, we
reviewed the growing information on the pathophysiological mechanisms by which
bone fracture may affect ischemic stroke recovery process.
PMID- 29786647
TI - Health Disparities Score Composite of Youth and Parent Dyads from an Obesity
Prevention Intervention: iCook 4-H.
AB - iCook 4-H is a lifestyle intervention to improve diet, physical activity and
mealtime behavior. Control and treatment dyads (adult primary meal preparer and a
9-10-year-old youth) completed surveys at baseline and 4, 12, and 24 months. A
Health Disparity (HD) score composite was developed utilizing a series of 12
questions (maximum score = 12 with a higher score indicating a more severe health
disparity). Questions came from the USDA short form U.S. Household Food Security
Survey (5), participation in food assistance programs (1), food behavior (2),
level of adult education completed (1), marital status (1), and race (1 adult and
1 child). There were 228 dyads (control n = 77; treatment n = 151) enrolled in
the iCook 4-H study. Baseline HD scores were 3.00 +/- 2.56 among control dyads
and 2.97 +/- 2.91 among treatment dyads, p = 0.6632. There was a significant
decline in the HD score of the treatment group from baseline to 12 months (p =
0.0047) and baseline to 24 months (p = 0.0354). A treatment by 12-month time
interaction was found (baseline mean 2.97 +/- 2.91 vs. 12-month mean 1.78 +/-
2.31; p = 0.0406). This study shows that behavioral change interventions for
youth and adults can help improve factors that impact health equity; although,
further research is needed to validate this HD score as a measure of health
disparities across time.
PMID- 29786646
TI - Metabolic Reprogramming by 3-Iodothyronamine (T1AM): A New Perspective to Reverse
Obesity through Co-Regulation of Sirtuin 4 and 6 Expression.
AB - Obesity is a complex disease associated with environmental and genetic factors. 3
Iodothyronamine (T1AM) has revealed great potential as an effective weight loss
drug. We used metabolomics and associated transcriptional gene and protein
expression analysis to investigate the tissue specific metabolic reprogramming
effects of subchronic T1AM treatment at two pharmacological daily doses (10 and
25 mg/kg) on targeted metabolic pathways. Multi-analytical results indicated that
T1AM at 25 mg/kg can act as a novel master regulator of both glucose and lipid
metabolism in mice through sirtuin-mediated pathways. In liver, we observed an
increased gene and protein expression of Sirt6 (a master gene regulator of
glucose) and Gck (glucose kinase) and a decreased expression of Sirt4 (a negative
regulator of fatty acids oxidation (FAO)), whereas in white adipose tissue only
Sirt6 was increased. Metabolomics analysis supported physiological changes at
both doses with most increases in FAO, glycolysis indicators and the
mitochondrial substrate, at the highest dose of T1AM. Together our results
suggest that T1AM acts through sirtuin-mediated pathways to metabolically
reprogram fatty acid and glucose metabolism possibly through small molecules
signaling. Our novel mechanistic findings indicate that T1AM has a great
potential as a drug for the treatment of obesity and possibly diabetes.
PMID- 29786648
TI - Utilizing Optimized Tools to Investigate PTM Crosstalk: Identifying Potential PTM
Crosstalk of Acetylated Mitochondrial Proteins.
AB - Post-translational modification (PTM) crosstalk is recognized as a major cell
regulatory mechanism, and studies of several proteins have validated the premise
that PTMs work in concert. Previous work by our group investigated the potential
PTM crosstalk on proteins in the EGFR-Ras-c-Fos axis by utilizing a comprehensive
set of PTM reagents termed Signal-Seeker toolkits. In this study, these tools
were used to investigate the potential PTM crosstalk that occurs in acetylated
mitochondrial proteins in response to a mitochondrial stress-inducing agent
hydrogen peroxide (H2O2). Mitochondrial protein acetylation has been shown to
participate in PTM crosstalk as exemplified by the regulation of the pyruvate
dehydrogenase complex via kinase, phosphatase, acetyltransferase, and deacetylase
activities. Changes in the acetylated state of mitochondrial proteins were
investigated, in response to H2O2, using a novel anti acetyl lysine (Ac-K)
antibody. Signal-Seeker PTM detection tools were used to validate the acetylation
state of ten mitochondrial targets, as well as their endogenous acetylation state
in response to H2O2. Importantly, the endogenous acetylation, ubiquitination,
SUMOylation 2/3, and tyrosine phosphorylation state of four target mitochondrial
proteins were also investigated with the toolkit. Each of the four proteins had
unique PTM profiles, but diverging acetylation and ubiquitin or SUMO 2/3 signals
appeared to be a common theme. This proof-of-concept study identifies the Signal
Seeker toolkits as a useful tool to investigate potential PTM crosstalk.
PMID- 29786650
TI - A Review of Tunable Wavelength Selectivity of Metamaterials in Near-Field and Far
Field Radiative Thermal Transport.
AB - Radiative thermal transport of metamaterials has begun to play a significant role
in thermal science and has great engineering applications. When the key features
of structures become comparable to the thermal wavelength at a particular
temperature, a narrowband or wideband of wavelengths can be created or shifted in
both the emission and reflection spectrum of nanoscale metamaterials. Due to the
near-field effect, the phenomena of radiative wavelength selectivity become
significant. These effects show strong promise for applications in
thermophotovoltaic energy harvesting, nanoscale biosensing, and increased energy
efficiency through radiative cooling in the near future. This review paper
summarizes the recent progress and outlook of both near-field and far-field
radiative heat transfer, different design structures of metamaterials,
applications of unique thermal and optical properties, and focuses especially on
exploration of the tunable radiative wavelength selectivity of nano
metamaterials.
PMID- 29786652
TI - Consumer Perception of the Quality of Lamb and Lamb Confit.
AB - The patterns of food consumption in general and those of meat, in particular, are
constantly changing. These changes are due not only to socioeconomic and cultural
trends that affect the whole society but also to the specific lifestyles of
consumer groups. Due to the importance of consumer lifestyle, the objectives of
this study were (i) to identify the profiles of lamb meat consumers according to
their orientation toward convenience, as defined by their eating and cooking
habits; (ii) to characterize these profiles according to their socioeconomic
characteristics and their preferences regarding the intrinsic and extrinsic
quality signals of lamb meat; and (iii) to analyze the willingness to pay for
lamb confit. In this study, four types of consumers have been differentiated
according to their lifestyles related to lamb consumption. These groups, due to
their characteristics, could be called "Gourmet", "Disinterested",
"Conservative", and "Basic". The Gourmet group has characteristics that make it
especially interesting to market a product such as lamb confit. However, this
group is unaware of this product. Therefore, a possible strategy to expand the
commercialization of light lamb and the confit product would be guided marketing
to this niche market.
PMID- 29786651
TI - Clinical Efficacy Associated with Enhanced Antioxidant Enzyme Activities of
Silver Nanoparticles Biosynthesized Using Moringa oleifera Leaf Extract, Against
Cutaneous Leishmaniasis in a Murine Model of Leishmania major.
AB - Leishmaniasis is one of the most significant vector-borne syndromes of
individuals. This parasitic infection can be affected by many species of
Leishmania, most of which are zoonotic. Natural products have made and are
continuing to make important contributions to the search for new antileishmanial
agents. The use of plants in the production assembly of silver nanoparticles has
drawn attention because of its rapid, eco-friendly, non-pathogenic, economical
protocol and provides a single step technique for the biosynthetic process.
Hence, we aimed to biosynthesize silver nanoparticles (Ag-NPs) using Moringa
oleifera leaf extract and investigated the antileishmanial activity of these
nanoparticles in a murine model of Leishmania major infection. A total of 50 mice
were used and divided into five groups-healthy control, infected, infected mice
treated with pentostam, infected mice treated with Ag-NPs and infected mice
pretreated with Ag-NPs. In the present study, the leaf extract of the plant
species Moringa oleifera was found to be a good source for the synthesis of
silver nanoparticles, their formation being confirmed by color change and
stability in solution. In the present murine model of Leishmania major infection,
we found that oral treatment with silver nanoparticles biosynthesized using
Moringa oleifera extract resulted in a significant reduction in the average size
of leishmaniasis cutaneous lesions compared with untreated mice. Furthermore, the
clinical efficacy of Moringa oleifera extract was associated with enhanced
antioxidant enzyme activities. In conclusion, treatment with silver nanoparticles
biosynthesized using Moringa oleifera extract has higher and faster clinical
efficacy than standard pentavalent antimonial treatment, probably by boosting the
endogenous antioxidant activity.
PMID- 29786649
TI - Kudzu Leaf Extract Suppresses the Production of Inducible Nitric Oxide Synthase,
Cyclooxygenase-2, Tumor Necrosis Factor-Alpha, and Interleukin-6 via Inhibition
of JNK, TBK1 and STAT1 in Inflammatory Macrophages.
AB - Kudzu (Pueraria montana var. lobata (Willd.) Sanjappa & Pradeep) is a perennial
leguminous vine, and its root and flower have been used for herbal medicine in
Asia for a long time. Most dietary flavonoids are reported to be concentrated in
its root, not in its aerial parts including leaves. In this study, we
investigated whether kudzu leaf and its major constituent, robinin (kaempferol-3
O-robinoside-7-O-rhanmoside) possessed anti-inflammatory activity. To test this
hypothesis, we used peritoneal macrophages isolated from BALB/c mice and
stimulated the cells with lipopolysaccharide (LPS) or LPS plus interferon (IFN)
gamma. Compared with kudzu root extract, its leaf extract was more potent in
inhibiting the production of inducible nitric oxide synthase (iNOS),
cyclooxygenase-2, tumor necrosis factor-alpha, and interleukin-6. Kudzu leaf
extract decreased LPS-induced activation of c-Jun N-terminal kinase (JNK) and
TANK-binding kinase 1(TBK1) with no effects on nuclear factor-kappaB and
activator protein 1 transcriptional activity. Also, kudzu leaf extract inhibited
LPS/IFN-gamma-induced signal transducer and activator of transcription 1 (STAT1)
activation partly via an altered level of STAT1 expression. Robinin, being
present in 0.46% of dry weight of leaf extract, but almost undetected in the
root, decreased iNOS protein involving modulation of JNK and STAT1 activation.
However, robinin showed no impact on other inflammatory markers. Our data provide
evidence that kudzu leaf is an excellent food source of as yet unknown anti
inflammatory constituents.
PMID- 29786654
TI - A Comparative Study of Oral Health Status between International and Japanese
University Student Patients in Japan.
AB - Background: The number of international students enrolled in universities in
Japan is increasing. To provide better oral care services for international
students, we have to understand their oral environment and dental health
behaviors. However, few studies have investigated the oral health status of
international university students. The object of the present study was to clarify
the current oral status of international university students. Methods: The
subjects were students who visited the dental department at the University of
Tokyo's Health Services Center between April 2012 and March 2013. Our medical
records were reviewed with regard to the following items: attributes
(nationality, gender, and age); chief complaint (reason for visit); history of
dental treatment; mean number of decayed (D), missing (M) or filled (F) teeth as
a single (DMFT) index; degree of calculus deposition; gingival condition; and
oral hygiene status. Results: The records of 554 university students (138
international and 416 non-international students) were analyzed; 88.4% of the 138
international students were from Asian countries (n = 122), of which 47.1% were
from China and 10.9% from Korea, followed by North America (5.8%), Europe (4.3%),
and Africa (1.5%). Although no significant differences were found regarding the
history of dental treatment between international and non-international students
(49.3% and 48.8%, respectively), international students had a significantly
higher dental caries morbidity rate (60.1%) than non-international students
(49.0%). The international students showed a significantly higher DMFT value
compared with the non-international students: 5.0 and 4.0 per individual,
respectively. Severe calculus deposition was observed in international students
compared with non-international students (51.9% and 31.7%, respectively).
Conclusions: The international university students had poorer oral health status
than the non-international students, even though the result might include many
uncertainties and possible biases.
PMID- 29786653
TI - Role for Cystathionine gamma Lyase (CSE) in an Ethanol (E)-Induced Lesion in
Fetal Brain GSH Homeostasis.
AB - Earlier, we reported that gestational ethanol (E) can dysregulate neuron
glutathione (GSH) homeostasis partially via impairing the EAAC1-mediated inward
transport of Cysteine (Cys) and this can affect fetal brain development. In this
study, we investigated if there is a role for the transulfuration pathway (TSP),
a critical bio-synthetic point to supply Cys in E-induced dysregulation of GSH
homeostasis. These studies utilized an in utero E binge model where the pregnant
Sprague-Dawley (SD) rat dams received five doses of E at 3.5 g/kg by gastric
intubation beginning embryonic day (ED) 17 until ED19 separated by 12 h. The
postnatal day 7 (PN7) alcohol model employed an oral dosing of 4 g/kg body weight
split into 2 feedings at 2 h interval and an iso-caloric and iso-volumic
equivalent maltose-dextrin milk solution served as controls. The in vitro model
consisted of cerebral cortical neuron cultures from embryonic day (ED) 16-17
fetus from SD rats and differentiated neurons from ED18 rat cerebral cortical
neuroblasts. E concentrations were 4 mg/mL. E induced an accumulation of
cystathionine in primary cortical neurons (PCNs), 2nd trimester equivalent in
utero binge, and 3rd trimester equivalent PN7 model suggesting that breakdown of
cystathionine, a required process for Cys supply is impaired. This was associated
with a significant reduction in cystathionine gamma-lyase (CSE) protein
expression in PCN (p < 0.05) and in fetal cerebral cortex in utero (53%, p <
0.05) without a change in the expression of cystathionine beta-synthase (CBS).
Concomitantly, E decreased Cse mRNA expression in PCNs (by 32% within 6 h of
exposure, p < 0.05) and in fetal brain (33%, p < 0.05). In parallel, knock down
of CSE in differentiated rat cortical neuroblasts exaggerated the E-induced ROS,
GSH loss with a pronounced caspase-3 activation and cell death. These studies
illustrate the importance of TSP in CSE-related maintenance of GSH and the
downstream events via Cys synthesis in neurons and fetal brain.
PMID- 29786655
TI - Bioactive Pyridone Alkaloids from a Deep-Sea-Derived Fungus Arthrinium sp.
UJNMF0008.
AB - Eight new 4-hydroxy-2-pyridone alkaloids arthpyrones D-K (1-8), along with two
known analogues apiosporamide (9) and arthpyrone B (10), were isolated from a
deep-sea-derived fungus Arthrinium sp. UJNMF0008. The structures of the isolated
compounds were elucidated on the basis of spectroscopic methods with that of 1
being established by chemical transformation and X-ray diffraction analysis.
Compounds 1 and 2 bore an ester functionality linking the pyridone and decalin
moieties first reported in this class of metabolites, while 3 and 4 incorporated
a rare natural hexa- or tetrahydrobenzofuro[3,2-c]pyridin-3(2H)-one motif.
Compounds 3-6 and 9 exhibited moderate to significant antibacterial activity
against Mycobacterium smegmatis and Staphylococcus aureus with IC50 values
ranging from 1.66-42.8 MUM, while 9 displayed cytotoxicity against two human
osteosarcoma cell lines (U2OS and MG63) with IC50 values of 19.3 and 11.7 MUM,
respectively.
PMID- 29786657
TI - Eukaryotic Translation Initiation Factor 4A Down-Regulation Mediates Interleukin
24-Induced Apoptosis through Inhibition of Translation.
AB - Dysregulated activity of helicase eIF4A drives transformation to and maintenance
of cancer cell phenotype by reprogramming cellular translation. Interleukin 24
(IL-24) is a tumor-suppressing protein, which has the ability to inhibit
angiogenesis, sensitize cancer cells to chemotherapy, and induce cancer cell
specific apoptosis. In this study, we found that eIF4A is inhibited by IL-24.
Consequently, selective reduction of translation was observed for mRNAs harboring
strong secondary structures in their 5'-untranslated regions (5'UTRs). These
mRNAs encode proteins, which function in cell survival and proliferation.
Consistently, overexpression of eIF4A conferred cancer cells with resistance to
IL-24-induced cell death. It has been established that inhibition of eIF4A
triggers mitochondrial-mediated apoptosis. We showed that IL-24 induces eIF4A
dependent mitochondrial depolarization. We also showed that IL-24 induces Sigma 1
Receptor-dependent eIF4A down-regulation and mitochondrial depolarization. Thus,
the progress of apoptosis triggered by IL-24 is characterized by a complex
program of changes in regulation of several initiation factors, including the
eIF4A.
PMID- 29786656
TI - Temperature Effects on Force and Actin-Myosin Interaction in Muscle: A Look Back
on Some Experimental Findings.
AB - Observations made in temperature studies on mammalian muscle during force
development, shortening, and lengthening, are re-examined. The isometric force in
active muscle goes up substantially on warming from less than 10 degrees C to
temperatures closer to physiological (>30 degrees C), and the sigmoidal
temperature dependence of this force has a half-maximum at ~10 degrees C. During
steady shortening, when force is decreased to a steady level, the sigmoidal curve
is more pronounced and shifted to higher temperatures, whereas, in lengthening
muscle, the curve is shifted to lower temperatures, and there is a less marked
increase with temperature. Even with a small rapid temperature-jump (T-jump),
force in active muscle rises in a definitive way. The rate of tension rise is
slower with adenosine diphosphate (ADP) and faster with increased phosphate.
Analysis showed that a T-jump enhances an early, pre-phosphate release step in
the acto-myosin (crossbridge) ATPase cycle, thus inducing a force-rise. The
sigmoidal dependence of steady force on temperature is due to this endothermic
nature of crossbridge force generation. During shortening, the force-generating
step and the ATPase cycle are accelerated, whereas during lengthening, they are
inhibited. The endothermic force generation is seen in different muscle types
(fast, slow, and cardiac). The underlying mechanism may involve a structural
change in attached myosin heads and/or their attachments on heat absorption.
PMID- 29786658
TI - Melatonin as a Therapy for Traumatic Brain Injury: A Review of Published
Evidence.
AB - Melatonin (MEL) is a hormone that is produced in the brain and is known to bind
to MEL-specific receptors on neuronal membranes in several brain regions. MEL's
documented neuroprotective properties, low toxicity, and ability to cross the
blood-brain-barrier have led to its evaluation for patients with traumatic brain
injury (TBI), a condition for which there are currently no Food and Drug
Administration (FDA)-approved therapies. The purpose of this manuscript is to
summarize the evidence surrounding the use of melatonin after TBI, as well as
identify existing gaps and future directions. To address this aim, a search of
the literature was conducted using Pubmed, Google Scholar, and the Cochrane
Database. In total, 239 unique articles were screened, and the 22 preclinical
studies that met the a priori inclusion/exclusion criteria were summarized,
including the study aims, sample (size, groups, species, strain, sex,
age/weight), TBI model, therapeutic details (preparation, dose, route, duration),
key findings, and conclusions. The evidence from these 22 studies was analyzed to
draw comparisons across studies, identify remaining gaps, and suggest future
directions. Taken together, the published evidence suggests that MEL has
neuroprotective properties via a number of mechanisms with few toxic effects
reported. Notably, available evidence is largely based on data from adult male
rats and, to a lesser extent, mice. Few studies collected data beyond a few days
of the initial injury, necessitating additional longer-term studies. Other future
directions include diversification of samples to include female animals,
pediatric and geriatric animals, and transgenic strains.
PMID- 29786659
TI - Deep Learning to Predict Falls in Older Adults Based on Daily-Life Trunk
Accelerometry.
AB - Early detection of high fall risk is an essential component of fall prevention in
older adults. Wearable sensors can provide valuable insight into daily-life
activities; biomechanical features extracted from such inertial data have been
shown to be of added value for the assessment of fall risk. Body-worn sensors
such as accelerometers can provide valuable insight into fall risk. Currently,
biomechanical features derived from accelerometer data are used for the
assessment of fall risk. Here, we studied whether deep learning methods from
machine learning are suited to automatically derive features from raw
accelerometer data that assess fall risk. We used an existing dataset of 296
older adults. We compared the performance of three deep learning model
architectures (convolutional neural network (CNN), long short-term memory (LSTM)
and a combination of these two (ConvLSTM)) to each other and to a baseline model
with biomechanical features on the same dataset. The results show that the deep
learning models in a single-task learning mode are strong in recognition of
identity of the subject, but that these models only slightly outperform the
baseline method on fall risk assessment. When using multi-task learning, with
gender and age as auxiliary tasks, deep learning models perform better. We also
found that preprocessing of the data resulted in the best performance (AUC =
0.75). We conclude that deep learning models, and in particular multi-task
learning, effectively assess fall risk on the basis of wearable sensor data.
PMID- 29786661
TI - Suspended Carbon Nanotubes for Humidity Sensing.
AB - A room temperature microfabrication technique using SU8, an epoxy-based highly
functional photoresist as a sacrificial layer, is developed to obtain suspended
aligned carbon nanotube beams. The humidity-sensing characteristics of aligned
suspended single-walled carbon nanotube films are studied. A comparative study
between suspended and non-suspended architectures is done by recording the
resistance change in the nanotubes under humidity. For the tests, the humidity
was varied from 15% to 98% RH. A comparative study between suspended and non
suspended devices shows that the response and recovery times of the suspended
devices was found to be almost 3 times shorter than the non-suspended devices.
The suspended devices also showed minimal hysteresis even after 10 humidity
cycles, and also exhibit enhanced sensitivity. Repeatability tests were performed
by subjecting the sensors to continuous humidification cycles. All tests reported
here have been performed using pristine non-functionalized nanotubes.
PMID- 29786662
TI - Study on the Discrimination between Citri Reticulatae Pericarpium Varieties Based
on HS-SPME-GC-MS Combined with Multivariate Statistical Analyses.
AB - Citri reticulatae pericarpium (CRP), the dried pericarps of Citrus reticulata
Blanco and its cultivars, has been widely used in drugs and foods in China for
centuries. In this study, an accurate and feasible analytical method based on HS
SPME-GC-MS coupled with multivariate statistical analyses was developed to
comprehensively compare volatile compounds of pericarps derived from Citrus
reticulata "Chachi" ("Guangchenpi" in Chinese, GCP) and other cultivars of Citrus
reticulata Blanco ("Chenpi" in Chinese, CP). Principal component analysis,
hierarchical cluster analysis, and orthogonal partial least-squares
discrimination analysis were performed to extract meaningful attributes from
volatile profiles based on GC-MS data. Results indicated that samples from GCP
and CP could easily be differentiated, and seven potential chemical markers were
screened for the quality control of CRP. This study illuminated the volatile
profile in CRP, and provides a practical method for the authentication of CRP
varieties.
PMID- 29786663
TI - Modeling the Effect of Primary and Secondary Twinning on Texture Evolution during
Severe Plastic Deformation of a Twinning-Induced Plasticity Steel.
AB - Modeling the effect of deformation twinning and the ensuing twin-twin- and slip
twin-induced hardening is a long-standing problem in computational mechanical
metallurgy of materials that deform by both slip and twinning. In this work, we
address this effect using the twin volume transfer method, which obviates the
need of any cumbersome criterion for twin variant selection. Additionally, this
method is capable of capturing, at the same time, secondary or double twinning,
which is particularly important for modeling in large strain regimes. We validate
our modeling methodology by simulating the behavior of an Fe-23Mn-1.5Al-0.3C
twinning-induced plasticity (TWIP) steel under large strain conditions,
experimentally achieved in this work through equal-channel angular pressing
(ECAP) for up to two passes in a 90 degrees die following route BC at 300
degrees C. Each possible twin variant, whether nucleating inside the parent grain
or inside a potential primary twin variant was predefined in the initial list of
orientations as possible grain of the polycrystal with zero initial volume
fraction. A novelty of our approach is to take into account the loss of coherency
of the twins with their parent matrix under large strains, obstructing
progressively their further growth. This effect has been captured by attenuating
growth rates of twins as a function of their rotation away from their perfect
twin orientation, dubbed here as "disorientation" with respect to the mother
grain's lattice. The simulated textures and the hardening under tensile strain
showed very good agreement with experimental characterization and mechanical
testing results. Furthermore, upper-bound Taylor deformation was found to be
operational for the TWIP steel deformation when all the above ingredients of
twinning are captured, indicating that self-consistent schemes can be bypassed.
PMID- 29786664
TI - ENABLE 2017, the First EUROPEAN PhD and Post-Doc Symposium. Session 3: In Vitro
to In Vivo: Modeling Life in 3D.
AB - The EUROPEAN ACADEMY FOR BIOMEDICAL SCIENCE (ENABLE) is an initiative funded by
the European Union Horizon 2020 program involving four renowned European research
institutes (Institute for Research in Biomedicine-IRB Barcelona, Spain; Radboud
Institute for Molecular Life Sciences-RIMLS, the Netherlands; Novo Nordisk
Foundation Center for Protein Research-NNF CPR, Denmark; European School of
Molecular Medicine-SEMM, Italy) and an innovative science communication agency
(Scienseed). With the aim to promote biomedical science of excellence in Europe,
ENABLE organizes an annual three-day international event. This gathering includes
a top-level scientific symposium bringing together leading scientists, PhD
students, and post-doctoral fellows; career development activities supporting the
progression of young researchers and fostering discussion about opportunities
beyond the bench; outreach activities stimulating the interaction between science
and society. The first European PhD and Postdoc Symposium, entitled "Breaking
Down Complexity: Innovative models and techniques in biomedicine", was hosted by
the vibrant city of Barcelona. The scientific program of the conference was
focused on the most recent advances and applications of modern techniques and
models in biomedical research and covered a wide range of topics, from synthetic
biology to translational medicine. Overall, the event was a great success, with
more than 200 attendees from all over Europe actively participating in the
symposium by presenting their research and exchanging ideas with their peers and
world-renowned scientists.
PMID- 29786660
TI - Molecular Targets of Active Anticancer Compounds Derived from Marine Sources.
AB - Over the past decades, a number of novel compounds, which are produced in the
marine environment, have been found to exhibit the anticancer effects. This
review focuses on molecular targets of marine-derived anticancer candidates in
clinical and preclinical studies. They are kinases, transcription factors,
histone deacetylase, the ubiquitin-proteasome system, and so on. Specific
emphasis of this review paper is to provide information on the optimization of
new target compounds for future research and development of anticancer drugs,
based on the identification of structures of these target molecules and parallel
compounds.
PMID- 29786665
TI - Automated Field-of-View, Illumination, and Recognition Algorithm Design of a
Vision System for Pick-and-Place Considering Colour Information in Illumination
and Images.
AB - Machine vision is playing an increasingly important role in industrial
applications, and the automated design of image recognition systems has been a
subject of intense research. This study has proposed a system for automatically
designing the field-of-view (FOV) of a camera, the illumination strength and the
parameters in a recognition algorithm. We formulated the design problem as an
optimisation problem and used an experiment based on a hierarchical algorithm to
solve it. The evaluation experiments using translucent plastics objects showed
that the use of the proposed system resulted in an effective solution with a wide
FOV, recognition of all objects and 0.32 mm and 0.4 degrees maximal positional
and angular errors when all the RGB (red, green and blue) for illumination and R
channel image for recognition were used. Though all the RGB illumination and grey
scale images also provided recognition of all the objects, only a narrow FOV was
selected. Moreover, full recognition was not achieved by using only G
illumination and a grey-scale image. The results showed that the proposed method
can automatically design the FOV, illumination and parameters in the recognition
algorithm and that tuning all the RGB illumination is desirable even when single
channel or grey-scale images are used for recognition.
PMID- 29786667
TI - Mass Transfer in Osmotic Dehydration of Kiwiberry: Experimental and Mathematical
Modelling Studies.
AB - The aim of this study was to analyze the impact of osmotic solutions and
temperature on the osmotic dehydration (OD) of two cultivars of kiwiberry. OD was
carried out in sucrose, xylitol and maltitol solutions at 30 degrees C and 50
degrees C, respectively. The process of osmotic dehydration was described by the
means of water loss (WL), solid gain (SG), weight reduction (WR), and water
content changes. Moreover, dehydration was described by mathematical models often
used in the literature. The highest WL, WR and SG values were observed for
samples treated by xylitol and maltitol at 50 degrees C. The statistical
analysis of the mathematical modelling of the process showed that in most cases,
the Peleg's equation exhibits better fitting for the experimental data.
PMID- 29786666
TI - Dopamine Receptor Subtypes Differentially Regulate Autophagy.
AB - Some dopamine receptor subtypes were reported to participate in autophagy
regulation, but their exact functions and mechanisms are still unclear. Here we
found that dopamine receptors D2 and D3 (D2-like family) are positive regulators
of autophagy, while dopamine receptors D1 and D5 (D1-like family) are negative
regulators. Furthermore, dopamine and ammonia, the two reported endogenous
ligands of dopamine receptors, both can induce dopamine receptor internalization
and degradation. In addition, we found that AKT (protein kinase B)-mTOR
(mechanistic target of rapamycin) and AMPK (AMP-activated protein kinase)
pathways are involved in DRD3 (dopamine receptor D3) regulated autophagy.
Moreover, autophagy machinery perturbation inhibited DRD3 degradation and
increased DRD3 oligomer. Therefore, our study investigated the functions and
mechanisms of dopamine receptors in autophagy regulation, which not only provides
insights into better understanding of some dopamine receptor-related
neurodegeneration diseases, but also sheds light on their potential treatment in
combination with autophagy or mTOR pathway modulations.
PMID- 29786668
TI - SCF/c-KIT Signaling Increased Mucin2 Production by Maintaining Atoh1 Expression
in Mucinous Colorectal Adenocarcinoma.
AB - Mucinous colorectal adenocarcinoma (MCA) patients often a show high risk of
malignant potential and a poorer survival rate. Given that the pathological
feature and oncobiological characteristics of MCA are correlated with its
abundant extracellular mucin2 (MUC2), we paid interest toward investigating the
key factor that promotes MUC2 production exposure to highly-activated stem cell
factor (SCF)/c-KIT signaling, which we believed to contribute to MCA formation.
Long-term azoxymethane and dextran sodium sulfate treatment successfully induced
MCA only in wild-type (WT) mice at week 37 and 43, while all c-kit loss-of
function mutant mice (Wadsm/m) developed non-MCA. Significantly, MUC2 and its key
transcriptional factor Atonal homologue 1 (Atoh1) were remarkably expressed in
MCA mice compared with non-MCA mice. Atoh1 was significantly elevated in
colorectal cancer (CRC) cells stimulated by exogenous SCF or overexpressing c-KIT
in vitro, while decreased by the blockage of SCF/c-KIT signaling with Imatinib.
Furthermore, the maintained Atoh1 protein level was due to the inactive glycogen
synthase kinase 3beta (p-GSK3beta) by virtue of the activated SCF/c-KIT-Protein
Kinase B (AKT) signaling. Similar results were obtained from the ONCOMINE
database and CRC patients. In conclusion, we suggested that SCF/c-KIT signaling
promoted MUC2 production and MCA tumorigenesis by maintaining Atoh1 expression.
Therefore, targeting the related key molecules might be beneficial for treating
MCA patients.
PMID- 29786669
TI - Protein Tyrosine Phosphatase 1B Inhibition and Glucose Uptake Potentials of
Mulberrofuran G, Albanol B, and Kuwanon G from Root Bark of Morus alba L. in
Insulin-Resistant HepG2 Cells: An In Vitro and In Silico Study.
AB - Type II diabetes mellitus (T2DM) is the most common form of diabetes and has
become a major health problem across the world. The root bark of Morus alba L. is
widely used in Traditional Chinese Medicine for treatment and management of
diabetes. The aim of the present study was to evaluate the enzyme inhibitory
potentials of three principle components, mulberrofuran G (1), albanol B (2), and
kuwanon G (3) in M. alba root bark against diabetes, establish their enzyme
kinetics, carry out a molecular docking simulation, and demonstrate the glucose
uptake activity in insulin-resistant HepG2 cells. Compounds 1-3 showed potent
mixed-type enzyme inhibition against protein tyrosine phosphatase 1B (PTP1B) and
alpha-glucosidase. In particular, molecular docking simulations of 1-3
demonstrated negative binding energies in both enzymes. Moreover, 1-3 were non
toxic up to 5 uM concentration in HepG2 cells and enhanced glucose uptake
significantly and decreased PTP1B expression in a dose-dependent manner in
insulin-resistant HepG2 cells. Our overall results depict 1-3 from M. alba root
bark as dual inhibitors of PTP1B and alpha-glucosidase enzymes, as well as
insulin sensitizers. These active constituents in M. alba may potentially be
utilized as an effective treatment for T2DM.
PMID- 29786670
TI - Deubiquitinylase USP47 Promotes RelA Phosphorylation and Survival in Gastric
Cancer Cells.
AB - Every year, gastric cancer causes around 819,000 deaths worldwide. The incidence
of gastric cancer in the western world is slowly declining, but the prognosis is
unpromising. In Germany, the 5-year-survival rate is around 32%, and the average
life span after diagnosis is 6 to 9 months. Therapy of gastric cancer patients
comprises a gastrectomy and perioperative or adjuvant chemotherapy. However,
resistance of gastric cancer cells to these agents is widespread; thus, improved
chemotherapeutic approaches are required. Nuclear factor kappa B (NF-kappaB)
transcription factors are associated with anti-apoptosis, carcinogenesis, and
chemoresistance, and thus, constitute attractive targets for therapeutic
intervention. In immunoblots, we show that ubiquitin specific protease 47 (USP47)
promotes beta-transducin repeat-containing protein (betaTrCP) stability and
phosphorylation of RelA. Furthermore, after knockdown of USP47 by RNA
interference, we analyzed in gastric cancer cell lines metabolic
activity/viability in an MTT assay, and apoptotic cell death by Annexin V
staining and poly(ADP-Ribose) polymerase (PARP)-1, caspase 3, and caspase 8
cleavage, respectively. We found that USP47 contributes to cell viability and
chemoresistance in NCI-N87 gastric carcinoma cells treated with etoposide and
camptothecin. Inhibition of USP47 might be a suitable strategy to downregulate NF
kappaB activity, and to overcome chemoresistance in gastric cancer.
PMID- 29786672
TI - The Impacts of Spatiotemporal Landscape Changes on Water Quality in Shenzhen,
China.
AB - The urban landscape in China has changed rapidly over the past four decades,
which has led to various environmental consequences, such as water quality
degradation at the regional scale. To improve water restoration strategies and
policies, this study assessed the relationship between water quality and
landscape change in Shenzhen, China, using panel regression analysis. The results
show that decreases in natural and semi-natural landscape compositions have had
significant negative effects on water quality. Landscape composition and
configuration changes accounted for 39-58% of the variation in regional water
quality degradation. Additionally, landscape fragmentation indices, such as patch
density (PD) and the number of patches (NP), are important indicators of the
drivers of water quality degradation. PD accounted for 2.03-5.44% of the
variability in water quality, while NP accounted for -1.63% to -4.98% of the
variability. These results indicate that reducing landscape fragmentation and
enhancing natural landscape composition at the watershed scale are vital to
improving regional water quality. The study findings suggest that urban landscape
optimization is a promising strategy for mitigating urban water quality
degradation, and the results can be used in policy making for the sustainable
development of the hydrological environment in rapidly urbanizing areas.
PMID- 29786673
TI - Fabrication Flexible and Luminescent Nanofibrillated Cellulose Films with
Modified SrAl2O4: Eu, Dy Phosphors via Nanoscale Silica and Aminosilane.
AB - Flexible 2,2,6,6-tetramethylpiperidine-1-oxyl radical (TEMPO)-oxidized
nanofibrillated cellulose (ONFC) films with long afterglow luminescence
containing modified SrAl2O4: Eu2+, Dy3+ (SAOED) phosphors were fabricated by a
template method. Tetraethyl orthosilicate (TEOS) and (3-aminopropyl) trimethoxy
silane (APTMS) were employed cooperatively to improve the water resistance and
compatibility of the SAOED particles in the ONFC suspension. The structure and
morphology after modification evidenced the formation of a superior SiO2 layer
and coarse amino-compounds on the surface of the phosphors. Homogeneous
dispersions containing ONFC and the modified phosphors were prepared and the
interface of composite films containing the amino-modified particles showed a
more closely packed structure and had less voids at the interface between the
cellulose and luminescent particles than that of silica-modified phosphors. The
emission spectra for luminescent films showed a slight blue shift (3.2 nm) at
around 512 nm. Such flexible films with good luminescence, thermal resistance,
and mechanical properties can find applications in fields like luminous flexible
equipment, night indication, and portable logo or labels.
PMID- 29786671
TI - Mechanisms of Action and Cell Death Associated with Clostridium perfringens
Toxins.
AB - Clostridium perfringens uses its large arsenal of protein toxins to produce
histotoxic, neurologic and intestinal infections in humans and animals. The major
toxins involved in diseases are alpha (CPA), beta (CPB), epsilon (ETX), iota
(ITX), enterotoxin (CPE), and necrotic B-like (NetB) toxins. CPA is the main
virulence factor involved in gas gangrene in humans, whereas its role in animal
diseases is limited and controversial. CPB is responsible for necrotizing
enteritis and enterotoxemia, mostly in neonatal individuals of many animal
species, including humans. ETX is the main toxin involved in enterotoxemia of
sheep and goats. ITX has been implicated in cases of enteritis in rabbits and
other animal species; however, its specific role in causing disease has not been
proved. CPE is responsible for human food-poisoning and non-foodborne C.
perfringens-mediated diarrhea. NetB is the cause of necrotic enteritis in
chickens. In most cases, host-toxin interaction starts on the plasma membrane of
target cells via specific receptors, resulting in the activation of intracellular
pathways with a variety of effects, commonly including cell death. In general,
the molecular mechanisms of cell death associated with C. perfringens toxins
involve features of apoptosis, necrosis and/or necroptosis.
PMID- 29786674
TI - Choline Supplementation Prevents a Hallmark Disturbance of Kwashiorkor in
Weanling Mice Fed a Maize Vegetable Diet: Hepatic Steatosis of Undernutrition.
AB - Hepatic steatosis is a hallmark feature of kwashiorkor malnutrition. However, the
pathogenesis of hepatic steatosis in kwashiorkor is uncertain. Our objective was
to develop a mouse model of childhood undernutrition in order to test the
hypothesis that feeding a maize vegetable diet (MVD), like that consumed by
children at risk for kwashiorkor, will cause hepatic steatosis which is prevented
by supplementation with choline. A MVD was developed with locally sourced organic
ingredients, and fed to weanling mice (n = 9) for 6 or 13 days. An additional
group of mice (n = 4) were fed a choline supplemented MVD. Weight, body
composition, and liver changes were compared to control mice (n = 10) at the
beginning and end of the study. The MVD resulted in reduced weight gain and
hepatic steatosis. Choline supplementation prevented hepatic steatosis and was
associated with increased hepatic concentrations of the methyl donor betaine. Our
findings show that (1) feeding a MVD to weanling mice rapidly induces hepatic
steatosis, which is a hallmark disturbance of kwashiorkor; and that (2) hepatic
steatosis associated with feeding a MVD is prevented by choline supplementation.
These findings support the concept that insufficient choline intake may
contribute to the pathogenesis of hepatic steatosis in kwashiorkor.
PMID- 29786675
TI - Combined Effectiveness of Honey and Immunonutrition on Bacterial Translocation
Secondary to Obstructive Jaundice in Rats: Experimental Study.
AB - BACKGROUND Obstructive jaundice is a serious, life-threatening condition that can
lead to death as a result of sepsis and multiorgan failure due to bacterial
translocation. Treatment should be started as soon as possible after diagnosis.
MATERIAL AND METHODS Forty 24-week-old male Sprague Dawley rats, with an average
weight of 250 g to 300 g, were included in this study. The rats were randomly
placed into five groups, each group consisted of eight rats. The sham group
underwent only common bile duct (CBD) dissection and no ligation was performed.
CBD ligation was applied to the other groups. After the operation, one CBD group
was fed with rat chow only, the others were fed with rat chow supplemented with
honey, or immunonutrients, or honey plus immunonutrients. After 10 to 12 days,
all rats were sacrificed; blood and tissue samples were collected for
biochemical, microbiological, and histopathological evaluation. RESULTS In the
groups that were fed with honey and immunonutrients, alanine aminotransferase
(ALT) levels were decreased significantly compared to the other groups.
Statistically significant differences were detected in terms of bacterial
translocation (BT) rates among liver and spleen samples, and laboratory values of
serum, except for MLNs of the BDL+HI group, when compared to other groups. We
found mean mucosal thickness of ileum samples have been improved notably in the
BDL+HI group compared to the other groups, especially compared to the C/BDL
group. CONCLUSIONS Immunonutrition applied with honey had immunostimulant
effects, decreased BT due to an additive effect, and had positive effects on
intestinal mucosa.
PMID- 29786676
TI - [The modern approaches to the restoration of postural balance in the patients
suffering from the consequences of an acute cerebrovascular accident (CVA)].
AB - BACKGROUND: the most frequent and severe consequences of an acute cerebrovascular
accident (CVA) are locomotor and coordination disorders which significantly
increase the risk of falling in a static position and when walking. The methods
used for the rehabilitation of the affected patients are designed in the first
place to enable the patients to acquire the skills necessary for maintaining the
static balance. The modern equipment allows to carry out coordination training in
the static position and also during walking. AIM: The objective of the present
study was to evaluate, based on the results of our original research, the
feasibility and effectiveness of the application of the "Balance tutor" system
developed for the restoration of static and dynamic balance in the framework of
the combined rehabilitation treatment of the patients suffering from impaired
postural balance as a consequence of acute cerebrovascular accident (CVA).
MATERIALS AND METHODS: A total of 56 patients presenting with impaired postural
balance following CVA were available for the examination. All of them underwent
functional testing to assess the static and dynamic balance, walking abilities,
and the risk of falling down including the study with the use of computer
assisted stabilometry. RESULTS: The study has demonstrated that the inclusion of
the "Balance tutor" system for the restoration of the static and dynamic balance
in the combined rehabilitative treatment of the patients having postural balance
disorders after the CVA reduces the risk of fall for a walking patient, improves
his (her) static and dynamic balance, increases the patient's ability to move
without exterior help. The patients comprising the main study group were found to
experience a decrease of statokinesiogram space in the "eyes are open" position
(p = 0.0576, the Mann-Whitney U test) as well as a reliable decrease of the
statokinesiogram space in the "eyes are closed" position (p=0.0063, the Mann
Whitney U test). Similar changes occurred in speed of pressure center relocation.
By the end of the rehabilitation course, the patients of the main group exhibited
a reliable enhancement in the dynamic balance rates estimated with the use of the
Berg Balance Scale (p=0.028, Tukey's criterion), an increase in stability based
at the Tinneti scale, p=0.0291; Tukey's criterion), and a decrease of the risk of
falling during walk assessed with the application of Dynamic Gait Index scale (p
= 0.0001, Tukey's criterion). DISCUSSION: The results of the present study with
the inclusion of the "Balance tutor" system in the program of combined
rehabilitation of the patients suffering from the consequences of CVA in the form
of the postural balance impairment give evidence of the feasibility and
effectiveness of this approach. There is reason to believe that its application
is likely to reduce the risk of falling down and to improve characteristics of
static and dynamic balance. CONCLUSION: The inclusion of the "Balance tutor"
system in the program of combined rehabilitation of the patients suffering from
the consequences of CVA in the form of the postural balance impairment is both
feasible and effective.
PMID- 29786677
TI - [Combined rehabilitation in the patients presenting with dorsopathies of the
lumbar spine and concomitant irritable bowel syndrome based at a therapeutic
clinic].
AB - BACKGROUND: Comorbidity constitutes a serious challenge for rehabilitative
medicine. The comorbidity of the dorsopathy of the lumbar spine and irritable
bowel syndrome mutually complicates the clinical course of both conditions,
significantly reduces the patients' quality of life. and increases the costs of
diagnostic procedures and restoration of the working capacity. The approaches to
the non-pharmacological management of the patients presenting with these diseases
remain to be developed. AIMS: The objective of the present study was to evaluate
the effectiveness of the proposed combined non-medicinal rehabilitation modality
which included a course of therapy with the application of modulated sinusoidal
currents, total wrappings with the use of a Rapan saline solution, and sedative
inhalations additionally introduced into the basic medicinal therapy of the
patients presenting with dorsopathy of the lumbar spine combined with irritable
bowel syndrome in the stationary phase. MATERIALS AND METHODS: A total of 59
patients at the age from 20 to 65 years suffering from dorsopathy of the lumbar
spine and concomitant irritable bowel syndrome were examined and treated. All the
patients were randomized into two groups, the main (n=21) and control (n=38) one,
matched for the sex and age. The patients in the control group received the
conventional medical treatment in accordance with the adopted medical and
economic standards during 2 weeks. The patients of the main group received, in
addition to the basal medicinal therapy, the proposed combined rehabilitative
physiotherapeutic treatment that included a course of therapy with modulated
sinusoidal currents, total wrapping with the use of a Rapan saline solution, and
sedative inhalations of the of peony root extract. The effectiveness of these
rehabilitation modalities was monitored before and after the course of therapy
based on the estimation of bowel function dynamics, the severity of pain
syndrome, and the patient's quality of life in terms of the health status with
the use of the SF-36 questionnaire. RESULTS: The therapeutic and rehabilitative
procedures resulted in a well apparent improvement of the genera condition in the
patients of the main group associated with a greater degree of reduction of the
incidence of specific clinical symptoms, more rapid relief of the pain syndrome,
and a more pronounced improvement of the quality of life indices in comparison
with the same variables in the patients comprising the control group. After the
course of the treatment and rehabilitation, the incidence of spinal pain in the
patients of the main group was significantly reduced by 87% (p=0.001) compared
with those of the control group (32%; p=0.005). The frequency of abdominal pain
decreased in the main group by 47% (p=0.021) versus the control group (by 27%;
p=0.007). The quality of life indices increased 1.2 times in the main group but
remained unaltered in the control group. DISCUSSION: The patients of the main
group exhibited a more pronounced than in the control group positive dynamics of
health conditions characterized by a well apparent reduction in the incidence of
the major clinical symptoms of the disease, faster alleviation of the pain
syndrome, and the marked improvement of the quality of life indices. CONCLUSIONS:
The results of the study with the inclusion of therapy with modulated sinusoidal
currents together with total wrapping using the Rapan saline solution and
sedative inhalations into the program of the combined treatment of the patients
presenting with dorsopathy of the lumbar spine and concomitant irritable bowel
syndrome provide a basis for recommending this physiotherapeutic modality for
personalized rehabilitation of this group of patients under conditions of a
therapeutic clinic.
PMID- 29786678
TI - [The feasibility of the early application of the means for the physical
rehabilitation with a view to improvement of life quality in the women presenting
with postmastectomy syndrome].
AB - BACKGROUND: the problem of rehabilitation and improvement of the life quality of
women with the postmastectomy syndrome holds an important place in the modern
concept of the treatment of breast cancer. AIM: The objective of the present
study was to determine the purposefulness of the early application of the
available means and methods of physical rehabilitation for the improvement of the
life quality in the women presenting with postmastectomy syndrome. PATIENTS AND
METHODS: The study included 135 women with the postmastectomy syndrome who had
undergone radical mastectomy as described by Madden followed by postoperative
radiotherapy. Fifty women were examined and treated as in-patients whereas the
remaining 85 ones were out-patients under regular medical check-up The average
age of the treated patients was 60.27+/-0.79 years. The in-patients were randomly
subdivided into two groups: the main one (n=25) and the group of comparison
(n=25). At the stage of dispensary observations, the women were also allocated to
two groups: the first (n=45) and the second main groups (n=40), depending on the
choice of the personality-oriented program for physical rehabilitation. The
analysis of the quality of life was carried out in the beginning of the out
patient rehabilitation treatment, then within 6 and 12 months after its
initiation making use of the standardized Functional Assessment of Cancer Therapy
(FACT-B + 4) questionnaire. RESULTS AND DISCUSSION: The benefits of the early
physical rehabilitation of the in-patients first became apparent within six
months after the onset of training as follows from the significantly better
performance of the physical, social, emotional functions estimated taking into
consideration the subscales of breast cancer and hand disorders in the women
comprising the main group of the patients in comparison with those in the first
and second main groups: the difference amounted to 2.34 (p<0.05), 1,88 (r<0.01),
2,52 (r<0.001), 3,52 (r<0.001) and 4,09 (r<0.001) points respectively. In a year,
only the improvement of the social and emotional state persisted. CONCLUSION: The
proposed personality-oriented program of physical rehabilitation can be used in
the clinical practice of the relevant specialized departments for the improvement
of the quality of life of the women presenting with postmastectomy syndrome.
PMID- 29786679
TI - [The rationale for the application of photomagnetic therapy in the treatment of
dermatitis].
AB - BACKGROUND: in spite of the fact that photomagnetic therapy with the use of the
FotoSPOK device (Magnomed Ltd., Belarus) is already used in the medical practice,
the application of this method for the management of many diseases remains to be
substantiated on the scientifically sound grounds. AIM: The objective of the
present study was to evaluate the effectiveness of photomagnetic therapy in the
treatment of the local inflammatory process in the skin and to elaborate the
rationale for the application of this technique in the treatment of contact
dermatitis. MATERIALS AND METHODS: The experiments were carried out on 168
outbred male rats. A modified model of experimental contact dermatitis was used
in the work. The course of the treatment consisted of 8 procedures lasting 20
minutes each. The character and regression of dermatitis were estimated based on
the general condition of the animals and dynamics of the skin processes.
Simultaneously, the cutaneous temperature was recorded and skin microcirculation
assessed. The morphological changes in the skin were evaluated in the rats with
experimental dermatitis that received no treatment as well as in those given a
course of photomagnetic therapy, before and after its application. RESULTS: The
clinical, histochemical and histological data obtained in this study give
evidence that the most effective tool currently available for the treatment of
experimental dermatitis is photomagnetic therapy with the use a low-frequency
magnetic field in the combination with the light of the blue and green spectral
regions for the elimination of the inflammatory changes and oedema and with the
light of the red and yellow spectral regions for the restoration of
microcirculation and stimulation of regenerative processes in the skin.
CONCLUSIONS: The results of the present study can serve as the basis for the
differentiated application of the light of different wavelengths in dermatology;
also, they can be used for the further development of the methods of
photomagnetic therapy for the treatment of the inflammatory processes in the
skin.
PMID- 29786680
TI - [The comprehensive evaluation of the bioclimatic comfort at the territories of
the Russian Far East with reference to winter-time recreational activities and
primary wellness tourism].
AB - BACKGROUND: in the framework of the current tendency toward the further
development of the socio-economic sphere in the Russian Far East (RFE), the
relevant investigations aimed at creating the comfortable conditions for
recreational and touristic activities as well as for rehabilitative therapy of
the local population acquire the increasingly greater importance. AIM: The
objective of the present study was the comprehensive evaluation of the
bioclimatic comfort at the territories of interest with special reference to
winter-time recreational activities and primary wellness tourism. MATERIALS AND
METHODS: This study was designed to evaluate the health resort, recreational, and
therapeutic resources of the selected areas of the Russian Far East with special
reference to the data provided by the climate monitoring stations during the
periods from December to March of 1965-2014. The following methods were used for
the purpose: the comparative analysis of climatic information and elementary
methods of mathematical statistics. RESULTS: The evaluation of the study
territory in terms of the bioclimatic comfort gave evidence that the southern
regions of Primorye as well as Sakhalin island, and Kamchatka peninsula provide
the most favorable conditions for the therapeutic and recreational activities,
leisure and sports in the winter seasons. CONCLUSION: The analysis of the studied
bioclimatic indices of comfort at different territories of the Russian Far East
has demonstrated their great potential for the further development of recreation
services, rehabilitation, sporting and touristic activities. The study has
revealed the new opportunities for the enhancement of bioclimatic comfort of the
region and for the improvement of the quality of life and employment of the local
population.
PMID- 29786681
TI - [The role of changes in the structure of water and water-based systems in the
mechanism of combined action of the magnetic field and natural therapeutic
factors].
AB - The application of the dielectrometric technology in the combination with the
resonance method and thermometry has been shown to provide an insight into a
variety of factors including the influence of the magnetic field of the permanent
magnets on the structure of water and water-containing systems. It was found that
the magnetization of both distilled water and "Karachinskaya" mineral water
increase their structuredness. Magnetization changes the biological properties of
therapeutic peloids by virtue of a change in the structure of water and,
accordingly, the structuring of the water fraction of therapeutic muds. The
analysis of the results of experimental and theoretical studies gives evidence
that variations in the structure of water and water-based systems play an
important role in enhancing the effectiveness of the combined treatment with the
use of the magnetic field and the natural therapeutic factors. The positive and
adaptogenic effects of such treatment appears to be due to such changes in the
structure of water and water-containing systems which facilitate communication
between the processes in the resonant mode but maintain stability of the cellular
structures by limiting the mobility of water dipoles under the influence of non
resonant frequencies.
PMID- 29786682
TI - [The implementation of the method of enhanced external counter pulsation for the
treatment of cardiovascular diseases].
AB - The cardiovascular diseases occupy a leading place in the structure of overall
morbidity affecting the population not only of Russia but also of the majority of
the developed countries throughout the world; they thus impose the heavy social
and economic burden on both the public healthcare services and the modern society
in general. At the same time, systemic atherosclerosis is considered to be one of
the most common, severe, and life-threatening condition. Despite the presence of
a large number of pharmaceutical and surgical methods for the treatment of this
pathology, they are not infrequently lacking the desired effectiveness. The use
of the shunting operations and endovascular methods failed to radically resolve
the problem of managing systemic atherosclerosis and atherosclerosis of the lower
limbs. A relatively novel approach which currently begins to find the ever
increasing application for the treatment of patients presenting with
cardiovascular pathology is based on the enhanced external counter-pulsation
method although both the clinical and theoretical prerequisites of its
application were developed rather long ago. This non-invasive therapeutic method
allows to increase the perfusion pressure in the coronary arteries in diastole
and to reduce the resistance to the cardiac ejection in the systole. The
objective of this review article was to perform the analysis of the available
literature publications on the use of the enhanced external counter-pulsation
technique for the treatment of the patients presenting with the diseases of the
cardiovascular system and to evaluate the clinical effectiveness of this approach
as well as the availability of the treatment for the patients.
PMID- 29786683
TI - [The application of kinesiotaping for the rehabilitation of the post-stroke
patients].
AB - This review of the scientific literature was designed to consider the prospects
for the application of kinesiotaping for the rehabilitation of the post-stroke
patients. The relevance of the work arises from the absence of a systemic
analysis of the large number of investigations that have been carried out during
the past two years. The objective of the present review article was to evaluate
the influence of kinesiotaping on the health status of the post-stroke patients
and the course of their rehabilitative treatment based on the results of analysis
of the scientific reports published during the period from 2015 to 2017. The
analysis has demonstrated that the method under consideration makes it possible
to effectively reduce spasticity, increase the paretic limb power, improve the
static and dynamic balance, and alleviate the pain syndrome by virtue of the
ability to improve the articulation proprioception and to regulate the muscle
tone. Such effects are known to promote the reduction of muscle tone asymmetry in
the patients suffering from hemiparesis and articulation instability which in its
turn improves the patients' gait and walking ability, hightens their living
standards, and allows to tolerate enhanced physical exertion. Kinesiotaping
actually improves the locomotor function in the post-stroke patients Taken
together, the advantages of the approach in question give reason to recommend
kinesiotaping for the wide application for the combined rehabilitative treatment
of the post-stroke patients.
PMID- 29786684
TI - [The development of the system of medical rehabilitation based at the Russian
health resort facilities: investment prospects].
AB - The present work is devoted to the prospects for attracting investments for the
maintenance and development of the medical rehabilitation practices based at the
Russian health resort facilities. The article describes the prerequisites for the
enhancement of the investment attractiveness of the development of the system of
medical rehabilitation in the said institutions including the formulation and
strengthening of the legal and regulatory framework, the capacity for the
organization of the second and third stages of medical rehabilitation in the
existing spa and health resort facilities, the attraction of the funds of
compulsory medical insurance as an additional source of the financial support.
The main legal documents regulating the organization and provision of medical
rehabilitation based at the spa and health resort facilities are presented. The
results of the implementation of the investment concept of the development of
medical rehabilitation in the framework of the system of health resort treatment
as exemplified by the experience of JSC "The group of companies "Medsi" are
discussed. It is shown that the development of medical rehabilitation based at
the spa and health resort facilities greatly contributes to the significant
expansion of the potential customer base and promotes the further growth of
business scale.
PMID- 29786686
TI - Author Correction: Rapid signal enhancement method for nanoprobe-based
biosensing.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29786685
TI - Regulation of chromatin states and gene expression during HSN neuronal maturation
is mediated by EOR-1/PLZF, MAU-2/cohesin loader, and SWI/SNF complex.
AB - Newborn neurons mature by distinct and sequential steps through the timely
induction of specific gene expression programs in concert with epigenetic
changes. However, it has been difficult to investigate the relationship between
gene expression and epigenetic changes at a single-cell resolution during
neuronal maturation. In this study, we investigated the maturation of
hermaphrodite-specific neurons (HSNs) in C. elegans, which provided the link
between chromatin dynamics, gene expression, and the degree of neuronal
maturation at a single-cell resolution. Our results demonstrated that chromatin
composition in the promoter region of several genes acting for neuronal terminal
maturation was modulated at an early developmental stage, and is dependent on the
function of the transcription factor EOR-1/PLZF and the cohesin loader MAU
2/MAU2. Components of the SWI/SNF chromatin remodeling complex were also required
for the proper expression of terminal maturation genes. Epistasis analyses
suggested that eor-1 functions with mau-2 and swsn-1 in the same genetic pathway
to regulate the maturation of HSNs. Collectively, our study provides a novel
approach to analyze neuronal maturation and proposes that predefined epigenetic
modifications, mediated by EOR-1, MAU-2, and the SWI/SNF complex, are important
for the preparation of future gene expression programs in neuronal terminal
maturation.
PMID- 29786688
TI - What's in a name?
PMID- 29786687
TI - Genome-wide analysis of circular RNAs in bovine cumulus cells treated with BMP15
and GDF9.
AB - Circular RNAs (circRNAs) are important members of the non-coding RNA family, and
those relating to animal physiologies have been widely studied in recent years.
This study aimed to explore the roles of circRNAs in the regulation of follicular
development. We constructed four bovine cumulus cell cDNA libraries, including a
negative control group (NC) and groups treated with BMP15, GDF9 and BMP15 + GDF9,
and we sequenced the libraries on the Illumina HiSeq Xten platform. We identified
1706 circRNAs and screened for differential circRNA expression. We conducted a
bioinformatics analysis of these circRNAs and screened for differential circRNAs.
Functional annotation and enrichment analysis of the host genes showed that the
differential circRNAs were related to locomotion, reproduction, biological
adhesion, growth, rhythmic processes, biological phases and hormone secretion.
According to the differential expression of circRNA between groups, there were 3
up-regulated and 6 down-regulated circRNAs in the BMP15 group as well as 12 up
regulated and 24 down-regulated circRNAs in the GDF9 group. Co-addition of both
BMP15 and GDF9 resulted in 15 up-regulated and 13 down-regulated circRNAs.
circ_n/a_75,circ_12691_1 and circ_n/a_303 were altered in both the BMP15 and GDF9
groups as well as in the BMP15 + GDF9 combination group. We focused on these
three circRNAs because they were potentially associated with the additive effect
of BMP15 and GDF9. Quantitative PCR analysis showed that the expression levels of
these three circRNAs were consistent with the sequencing results. In addition,
the target miRNAs of circ_n/a_75 and circ_n/a_303, miR-339a, miR-2400 and miR
30c, were down-regulated in the experimental group, which was in contrast to the
circRNAs trend. These findings demonstrated that BMP15 and GDF9 may regulate the
target gene through circRNA, as a miRNA sponge, in order to regulate the status
of bovine cumulus cells and affect follicular development.
PMID- 29786690
TI - Corrigendum: HIV-1 Env associates with HLA-C free-chains at the cell membrane
modulating viral infectivity.
AB - This corrects the article DOI: 10.1038/srep40037.
PMID- 29786689
TI - Gridded birth and pregnancy datasets for Africa, Latin America and the Caribbean.
AB - Understanding the fine scale spatial distribution of births and pregnancies is
crucial for informing planning decisions related to public health. This is
especially important in lower income countries where infectious disease is a
major concern for pregnant women and new-borns, as highlighted by the recent Zika
virus epidemic. Despite this, the spatial detail of basic data on the numbers and
distribution of births and pregnancies is often of a coarse resolution and
difficult to obtain, with no co-ordination between countries and organisations to
create one consistent set of subnational estimates. To begin to address this
issue, under the framework of the WorldPop program, an open access archive of
high resolution gridded birth and pregnancy distribution datasets for all
African, Latin America and Caribbean countries has been created. Datasets were
produced using the most recent and finest level census and official population
estimate data available and are at a resolution of 30 arc seconds (approximately
1 km at the equator). All products are available through WorldPop.
PMID- 29786692
TI - Corrigendum: Configurational Molecular Glue: One Optically Active Polymer
Attracts Two Oppositely Configured Optically Active Polymers.
AB - This corrects the article DOI: 10.1038/srep45170.
PMID- 29786691
TI - Prognosis and nomogram for predicting postoperative survival of duodenal
adenocarcinoma: A retrospective study in China and the SEER database.
AB - As primary duodenal adenocarcinoma is rare, the prognostic factors of this
disease remain insufficiently explored, especially in China. We identified
postoperative duodenal adenocarcinoma patients at a Chinese double-center (from
2006 to 2016) or who were registered with the Surveillance, Epidemiology, and End
Results (SEER) database (from 2004 to 2014). Clinicopathological features and
significant prognostic factors for cancer-specific survival (CSS) were reviewed
and analyzed by using univariate and multivariate Cox proportional hazards
regression. Then, a nomogram predicting CSS was constructed based on the SEER
database and validated externally by using the separate Chinese cohort. Totally,
137 patients from the Chinese double-center and 698 patients from the SEER
database were included for analysis. The multivariate analyses showed that age,
tumor grade and TNM stage were independent prognostic factors. The nomogram
constructed using these factors showed a clear prognostic superiority to the AJCC
TNM classification, 7th ed. (C-index: SEER cohort, 0.693 vs 0.625, P < 0.001;
Chinese cohort, 0.677 vs 0.659, P < 0.001, respectively). In summary, the
valuable prognostic factors in patients with duodenal adenocarcinoma were age,
tumor grade and TNM stage. This study developed a nomogram that can precisely
predict the CSS for postoperative duodenal adenocarcinoma patients.
PMID- 29786693
TI - HormoneBase, a population-level database of steroid hormone levels across
vertebrates.
AB - Hormones are central regulators of organismal function and flexibility that
mediate a diversity of phenotypic traits from early development through
senescence. Yet despite these important roles, basic questions about how and why
hormone systems vary within and across species remain unanswered. Here we
describe HormoneBase, a database of circulating steroid hormone levels and their
variation across vertebrates. This database aims to provide all available data on
the mean, variation, and range of plasma glucocorticoids (both baseline and
stress-induced) and androgens in free-living and un-manipulated adult
vertebrates. HormoneBase (www.HormoneBase.org) currently includes >6,580 entries
from 476 species, reported in 648 publications from 1967 to 2015, and unpublished
datasets. Entries are associated with data on the species and population, sex,
year and month of study, geographic coordinates, life history stage, method and
latency of hormone sampling, and analysis technique. This novel resource could be
used for analyses of the function and evolution of hormone systems, and the
relationships between hormonal variation and a variety of processes including
phenotypic variation, fitness, and species distributions.
PMID- 29786694
TI - Spin supersolid phase in coupled alternating spin chains.
AB - We study the ground state phase diagram of a two dimensional mixed-spin system of
coupled alternating spin-1 and 1/2 chains with a stripe supersolid phase.
Utilizing different analytical and numerical approaches such as mean field
approximation, cluster mean field theory and linear spin wave theory, we
demonstrate that our system displays a rich ground state phase diagram including
novel stripe supersolid, solids with different fillings and super-counterfluid
phases, in addition to a stripe solid with half filling, superfluid and Mott
insulating phases. In order to find a minimal mixed-spin model for stripe
supersolidity, in the second part of the paper we consider two kinds of mixed
spin system of coupled alternating spin-1 and 1/2 chains with (i) anisotropic
nearest neighbor interactions, (ii) anisotropic hoppings and study their ground
state phase diagrams. We demonstrate that, for the systems with uniform hoppings,
the repulsive intra-chains interactions are necessary for stripe supersolidity.
In this case the minimal two dimensional mixed-spin model is a system of spin-1
and spin-1/2 XXZ chains, interacting via Ising Hamiltonian. In the case of
anisotropic hoppings, a system of coupled Ising chains is the minimal model.
PMID- 29786695
TI - The asthma mobile health study, smartphone data collected using ResearchKit.
AB - Widespread adoption of smart mobile platforms coupled with a growing ecosystem of
sensors including passive location tracking and the ability to leverage external
data sources create an opportunity to generate an unprecedented depth of data on
individuals. Mobile health technologies could be utilized for chronic disease
management as well as research to advance our understanding of common diseases,
such as asthma. We conducted a prospective observational asthma study to assess
the feasibility of this type of approach, clinical characteristics of cohorts
recruited via a mobile platform, the validity of data collected, user retention
patterns, and user data sharing preferences. We describe data and descriptive
statistics from the Asthma Mobile Health Study, whereby participants engaged with
an iPhone application built using Apple's ResearchKit framework. Data from 6346
U.S. participants, who agreed to share their data broadly, have been made
available for further research. These resources have the potential to enable the
research community to work collaboratively towards improving our understanding of
asthma as well as mobile health research best practices.
PMID- 29786698
TI - A reconstruction of global hydroclimate and dynamical variables over the Common
Era.
AB - Hydroclimate extremes critically affect human and natural systems, but there
remain many unanswered questions about their causes and how to interpret their
dynamics in the past and in climate change projections. These uncertainties are
due, in part, to the lack of long-term, spatially resolved hydroclimate
reconstructions and information on the underlying physical drivers for many
regions. Here we present the first global reconstructions of hydroclimate and
associated climate dynamical variables over the past two thousand years. We use a
data assimilation approach tailored to reconstruct hydroclimate that optimally
combines 2,978 paleoclimate proxy-data time series with the physical constraints
of an atmosphere-ocean climate model. The global reconstructions are annually or
seasonally resolved and include two spatiotemporal drought indices, near-surface
air temperature, an index of North Atlantic variability, the location of the
intertropical convergence zone, and monthly Nino indices. This database, called
the Paleo Hydrodynamics Data Assimilation product (PHYDA), will provide a
critical new platform for investigating the causes of past climate variability
and extremes, while informing interpretations of future hydroclimate projections.
PMID- 29786697
TI - Imaging glutathione depletion in the rat brain using ascorbate-derived
hyperpolarized MR and PET probes.
AB - Oxidative stress is a critical feature of several common neurologic disorders.
The brain is well adapted to neutralize oxidative injury by maintaining a high
steady-state concentration of small-molecule intracellular antioxidants including
glutathione in astrocytes and ascorbic acid in neurons. Ascorbate-derived imaging
probes for hyperpolarized 13C magnetic resonance spectroscopy and positron
emission tomography have been used to study redox changes (antioxidant depletion
and reactive oxygen species accumulation) in vivo. In this study, we applied
these imaging probes to the normal rat brain and a rat model of glutathione
depletion. We first studied hyperpolarized [1-13C]dehydroascorbate in the normal
rat brain, demonstrating its robust conversion to [1-13C]vitamin C, consistent
with rapid transport of the oxidized form across the blood-brain barrier. We next
showed that the kinetic rate of this conversion decreased by nearly 50% after
glutathione depletion by diethyl maleate treatment. Finally, we showed that
dehydroascorbate labeled for positron emission tomography, namely [1
11C]dehydroascorbate, showed no change in brain signal accumulation after diethyl
maleate treatment. These results suggest that hyperpolarized [1
13C]dehydroascorbate may be used to non-invasively detect oxidative stress in
common disorders of the brain.
PMID- 29786696
TI - Crystal structure of dihydrodipicolinate reductase (PaDHDPR) from
Paenisporosarcina sp. TG-14: structural basis for NADPH preference as a cofactor.
AB - Dihydrodipicolinate reductase (DHDPR) is a key enzyme in the diaminopimelate- and
lysine-synthesis pathways that reduces DHDP to tetrahydrodipicolinate. Although
DHDPR uses both NADPH and NADH as a cofactor, the structural basis for cofactor
specificity and preference remains unclear. Here, we report that
Paenisporosarcina sp. TG-14 PaDHDPR has a strong preference for NADPH over NADH,
as determined by isothermal titration calorimetry and enzymatic activity assays.
We determined the crystal structures of PaDHDPR alone, with its competitive
inhibitor (dipicolinate), and the ternary complex of the enzyme with dipicolinate
and NADPH, with results showing that only the ternary complex had a fully closed
conformation and suggesting that binding of both substrate and nucleotide
cofactor is required for enzymatic activity. Moreover, NADPH binding induced
local conformational changes in the N-terminal long loop (residues 34-59) of
PaDHDPR, as the His35 and Lys36 residues in this loop interacted with the 2'
phosphate group of NADPH, possibly accounting for the strong preference of
PaDHDPR for NADPH. Mutation of these residues revealed reduced NADPH binding and
enzymatic activity, confirming their importance in NADPH binding. These findings
provide insight into the mechanism of action and cofactor selectivity of this
important bacterial enzyme.
PMID- 29786700
TI - Corrigendum: Global effects of local food-production crises: a virtual water
perspective.
AB - This corrects the article DOI: 10.1038/srep18803.
PMID- 29786701
TI - Lutembacher syndrome with mitral valve calcification in a 31-year old male.
AB - Lutembacher syndrome is characterized by a congenital ostium secundum atrial
septal defect and an acquired mitral valve stenosis. We present a similar case in
a 31-year old male who came in with orthopnoea, central cyanosis and pedal
oedema. Examination revealed cardiac murmurs in tricuspid and apical regions.
Chest x-ray showed signs of pulmonary congestion and ventricular enlargement.
Electrocardiogaphy (ECG) revealed right axis deviation and right bundle branch
block along with atrial fibrillation and Transthoracic Echocardiography (TTE)
showed abnormal valves (mitral stenosis with calcification and tricuspid
regurgitation) and dilated cardiac chambers. The patient was consequently treated
with beta-blockers and diuretics and scheduled for valvular and septal repair via
open heart surgery. The purpose of this case report is to assist cardiologists in
diagnosing this syndrome accurately on the basis of symptoms and investigations.
PMID- 29786699
TI - The draft genome sequence of cork oak.
AB - Cork oak (Quercus suber) is native to southwest Europe and northwest Africa where
it plays a crucial environmental and economical role. To tackle the cork oak
production and industrial challenges, advanced research is imperative but
dependent on the availability of a sequenced genome. To address this, we produced
the first draft version of the cork oak genome. We followed a de novo assembly
strategy based on high-throughput sequence data, which generated a draft genome
comprising 23,347 scaffolds and 953.3 Mb in size. A total of 79,752 genes and
83,814 transcripts were predicted, including 33,658 high-confidence genes. An
InterPro signature assignment was detected for 69,218 transcripts, which
represented 82.6% of the total. Validation studies demonstrated the genome
assembly and annotation completeness and highlighted the usefulness of the draft
genome for read mapping of high-throughput sequence data generated using
different protocols. All data generated is available through the public databases
where it was deposited, being therefore ready to use by the academic and industry
communities working on cork oak and/or related species.
PMID- 29786702
TI - Color stability, psychosocial impact, and effect on self-perception of esthetics
of tooth whitening using low-concentration (6%) hydrogen peroxide.
AB - OBJECTIVE: The aim of this study was to assess the bleaching efficacy and impact
on psychosocial and esthetics self-perception of a low-concentration (6%)
hydrogen peroxide (H2O2) gel compared with a conventional (37.5%) H2O2 gel when
used as an in-office treatment. METHOD AND MATERIALS: In total, 35 participants
received two sessions of three 12-minute applications of treatment with 37.5%
H2O2 on one side of the mouth and 6% H2O2 on the other. Color changes were
measured objectively using total variation in color (DeltaE) and subjectively
using Vita Classical scale (DeltaSGU). The Psychosocial Impact of Dental
Aesthetic Questionnaire (PIDAQ) and Oral Health Impact Profile (OHIP-14) esthetic
questionnaires were administered to measure self-perception and the psychosocial
impact of the whitening procedure. RESULTS: Both gels produced significant
changes in tooth color at 1 and 3 months post-whitening. The objective efficacy
(DeltaE) of 37.5% H2O2 (9.06 +/- 2.96) was significantly higher than that of 6%
H2O2 (5.69 +/- 3.06). The results of the subjective assessment were not
statistically different. There was a positive impact on esthetic auto perception
(OHIP-14, P < .05) and psychosocial impact (PIDAQ, P < .05) at the 3-month time
point. CONCLUSION: Low concentration of H2O2 (6%) achieved effective bleaching
(DeltaE > 5 units) with good stability at 3 months accompanied by a positive
psychosocial impact and enhanced self-perception. However, the traditional 35%
concentration was objectively more effective.
PMID- 29786703
TI - 12 years' preservation of maxillary permanent incisors with cervical root
fractures adjacent to aggressive periodontitis: Report of a case.
AB - This case report presents long-term results following horizontal root fracture of
both maxillary central incisors in a 19-year-old woman with aggressive
periodontitis. Due to extensive marginal bone loss and the close proximity of the
fracture lines to the bottoms of the periodontal pockets, the prognosis of both
teeth was uncertain at the time of the accident. Nevertheless, a conservative
treatment strategy consisting of splinting and nonsurgical periodontal therapy
was initiated. Follow-up at 12 years after the start of treatment revealed a
stable situation from clinical and radiologic perspectives. Permanent fixation to
the adjacent teeth and regular supportive periodontal care were the basis for a
favorable long-term prognosis.
PMID- 29786704
TI - Peri-implant tissue score (PITS) as a measure of success, applied to 869 dental
implants from a retrospective clinical study.
AB - OBJECTIVE: This article presents a methodology of a comprehensive score for
assessment and monitoring of dental implant success from the peri-implant tissue
perspective. It involves only standard and minimally invasive techniques
accessible to general dental practices, without the need for special equipment.
METHODS AND MATERIALS: The proposed score methodology combines existing
consensual global knowledge regarding aspects of dental implant success with the
standard examination tools accepted for regular recall assessment. The score is a
sum of five three-grade parameters: bone level, peri-implant inflammation, amount
of attached mucosa, tissues recession (ie, exposure of implant components to
intraoral environment), and the horizontal buccal profile of the alveolar
process. The name peri-implant tissue score (PITS) is derived from the intended
primary use of monitoring the state of implants and the surrounding hard and soft
tissues. With its emphasis on buccal-aspect tissues, the score is designed to aid
the detection of early signs of tissues deterioration. RESULTS: The use of the
score is demonstrated on a sample pool of 869 retrospectively evaluated implants
with airborne-particle-abraded, acid-etched surfaces with time in service from 0
to 12 years. The parameters constituting the score were statistically tested on
correlation with a reference set of success criteria, on mutual cross
correlations, and on the invariance to the implants' time-in-service. The PITS
demonstrated to be highly representative of the success criteria, offering a fine
scale from 0 to 10, and reversibility. CONCLUSION: The PITS withstood the tests.
It responds to an existing need in the field, is widely accessible and
inexpensive, and, thus, shows promise for use in general dental practice.
PMID- 29786706
TI - Hg(ii) interactions with T-rich regions in oligonucleotides: effects of
positional variations on the electrochemical properties.
AB - Hg(ii) binding to thymine-rich oligonucleotides (ODNs) is investigated
electrochemically. The focus of this study is to probe the effects of position on
the electrochemical response. For this purpose, three oligonucleotides were
investigated in which the position of a hexa-thymine repeat is varied within a
surface-supported oligonucleotide. The hexa repeats were placed in the top,
middle, and bottom positions within the strand with respect to the gold surface.
The effects were monitored by electrochemical impedance spectroscopy and scanning
electrochemical microscopy. Using charge transfer resistance (RCT) and tip
current (I) as a measure, it was possible to monitor the effects of Hg(ii)
binding to the ds-oligonucleotide. The extent of film resistance reduces as the T
rich region moves from the bottom to top position within the film. The T-rich
region closer to the gold surface probably builds less flexible and more rigid T
Hg(ii)-T basepairs compared to the other two positions and is expected to stay in
the upright orientation on the surface. This in turn results in significant
differences in the electrochemical readout, demonstrating that the position of T
rich sequences within an oligonucleotide strand matters.
PMID- 29786707
TI - Biocompatible alkyl cyanoacrylates and their derivatives as bio-adhesives.
AB - Cyanoacrylate adhesives and their homologues have elicited interest over the past
few decades owing to their applications in the biomedical sector, extending from
tissue adhesives to scaffolds to implants to dental material and adhesives,
because of their inherent biocompatibility and ability to polymerize solely with
moisture, thanks to which they adhere to any substrate containing moisture such
as the skin. The ability to tailor formulations of alkyl cyanoacrylate to form
derivative compounds to meet application requirements along with their
biodegradability in conjunction with their inherent biocompatibility make them
highly sought after candidates in the biomedical sector. There has been extensive
exploration of cyanoacrylate adhesives and their homologue systems in biomedical
applications, but no consolidated literature of the vast data is available. The
ability of cyanoacrylate adhesives to cure at low temperatures and without the
need for any hardener, which is attributed to the high-strength bonding
interaction between two non-amalgamating substrates, with their ease of
dispersion and self-curing, avoids the curtailing of the effective utilization of
such adhesives in biomedical engineering applications as bio glues for
amalgamating tissues, implants, scaffolds etc. This article consolidates copious
work on cyanoacrylate adhesives and their derived systems which are functional in
versatile biomedical engineering applications such as bio glues, dental material
and adhesives and other potential applications.
PMID- 29786708
TI - Platforms for rapid cancer characterization by ambient mass spectrometry:
advancements, challenges and opportunities for improvement towards intrasurgical
use.
AB - Ambient Mass Spectrometry (MS) analysis is widely used to characterize biological
and non-biological samples. Advancements that allow rapid analysis of samples by
ambient methods such as Desorption Electrospray Ionization Mass Spectrometry
(DESI-MS) and Rapid Evaporative Ionization Mass Spectrometry (REIMS) are
discussed. A short, non-comprehensive overview of ambient MS is provided that
only contains example applications due to space limitations. A spatially encoded
mass spectrometry analysis concept to plan cancer resection is introduced. The
application of minimally destructive tissue ablation probes to survey the
surgical field for sites of pathology using on-line analysis methods is
discussed. The technological challenges that must be overcome for ambient MS to
become a robust method for intrasurgical pathology assessments are reviewed.
PMID- 29786712
TI - Cocrystals of a 1,2,4-thiadiazole-based potent neuroprotector with gallic acid:
solubility, thermodynamic stability relationships and formation pathways.
AB - Three distinct solid forms, namely anhydrous cocrystals with 2 : 1 and 1 : 1
drug/acid ratios ([TDZ : GA] (2 : 1), [TDZ : GA] (1 : 1)), and a hydrated one
having 1 : 1 : 1 drug/acid/water stoichiometry ([TDZ : GA : H2O] (1 : 1 : 1)),
have been formed by cocrystallization of the biologically active 1,2,4
thiadiazole derivative (TDZ) with gallic acid (GA). The thermodynamic stability
relationships between the cocrystals were rationalized in terms of Gibbs energies
of the formation reactions and further verified by performing a set of
competitive and exchange mechanochemical reactions. Interestingly, competitive
grinding in the presence of the structurally related vanillic acid led to the
formation of a new polymorphic form of the [TDZ : Vanillic acid] (1 : 1)
cocrystal, which was promoted by gallic acid. The mechanochemical method was also
applied to elucidate the alternative pathways of the [TDZ : GA : H2O] (1 : 1 : 1)
cocrystal formation. Direct cocrystallization of TDZ with GA monohydrate was
found to proceed much faster than the reaction of TDZ and anhydrous GA in the
presence of an acetonitrile/water mixture, which may indicate the presence of a
transitional stage. According to dissolution studies, the [TDZ : GA : H2O] (1 : 1
: 1) cocrystal was ca. 6.6 times more soluble than the parent 1,2,4-thiadiazole
at pH 2.0 and 25.0 degrees C. The apparent two-step dehydration behavior of the
[TDZ : GA : H2O] (1 : 1 : 1) cocrystal monohydrate was clarified by analyzing the
intermolecular interactions of water molecules with the crystalline environment
derived from solid state DFT calculations.
PMID- 29786710
TI - Direct observation of the oxidation of DNA bases by phosphate radicals formed
under radiation: a model of the backbone-to-base hole transfer.
AB - In irradiated DNA, by the base-to-base and backbone-to-base hole transfer
processes, the hole (i.e., the unpaired spin) localizes on the most
electropositive base, guanine. Phosphate radicals formed via ionization events in
the DNA-backbone must play an important role in the backbone-to-base hole
transfer process. However, earlier studies on irradiated hydrated DNA, on
irradiated DNA-models in frozen aqueous solution and in neat dimethyl phosphate
showed the formation of carbon-centered radicals and not phosphate radicals.
Therefore, to model the backbone-to-base hole transfer process, we report
picosecond pulse radiolysis studies of the reactions between H2PO4 with the DNA
bases - G, A, T, and C in 6 M H3PO4 at 22 degrees C. The time-resolved
observations show that in 6 M H3PO4, H2PO4 causes the one-electron oxidation of
adenine, guanine and thymine, by forming the cation radicals via a single
electron transfer (SET) process; however, the rate constant of the reaction of
H2PO4 with cytosine is too low (<107 L mol-1 s-1) to be measured. The rates of
these reactions are influenced by the protonation states and the reorganization
energies of the base radicals and of the phosphate radical in 6 M H3PO4.
PMID- 29786713
TI - In situ fabrication of hollow ZnO@NC polyhedra from ZIF-8 for the determination
of trace Cd(ii).
AB - In this work, a novel electrochemical sensor for Cd(ii) detection with
differential pulse anodic stripping voltammetry (DPASV) is fabricated based on
hollow ZnO@nitrogen-doped carbon (H-ZnO@NC) polyhedra, which are prepared from
ZIF-8 via in situ tannic acid etching and a subsequent calcination process. The
as-obtained H-ZnO@NC exhibits a polyhedral morphology with a well-defined hollow
structure and a uniform distribution of elements C, N, O, and Zn in the shell.
The unique structure of H-ZnO@NC can provide an enlarged surface area and
abundant active sites. Moreover, ZnO has a strong affinity for heavy metals,
which can enhance the adsorption capacity of H-ZnO@NC for Cd(ii) in the
accumulation step of stripping voltammetry, and thus improve the electrochemical
sensing performances. As expected, the H-ZnO@NC-based sensor achieves a wide
linear range of 0.3-300 MUg L-1, a low detection limit of 0.1 MUg L-1 (S/N = 3),
and exhibits good selectivity as well as high stability and reproducibility.
Moreover, the proposed electrochemical sensor can be applied for the
determination of Cd(ii) in real water samples, obtaining satisfactory results.
PMID- 29786715
TI - Cancer cell membrane-coated magnetic nanoparticles for MR/NIR fluorescence dual
modal imaging and photodynamic therapy.
AB - Theranostic nanoprobes integrated with dual-modal imaging and therapeutic
functions, such as photodynamic therapy (PDT), have exhibited significant potency
in cancer treatments due to their high imaging accuracy and non-invasive
advantages for cancer elimination. However, biocompatibility and highly efficient
accumulation of these nanoprobes in tumor are still unsatisfactory for clinical
application. In this study, a photosensitizer -loaded magnetic nanobead with
surface further coated with a layer of cancer cell membrane (SSAP-Ce6@CCM) was
designed to improve the biocompatibility and cellular uptake and ultimately
achieve enhanced MR/NIR fluorescence imaging and PDT efficacy. Compared with
similar nanobeads without CCM coating, SSAP-Ce6@CCM showed significantly enhanced
cellular uptake, as evidenced by Prussian blue staining, confocal laser scanning
microscopy (CLSM) and flow cytometric analysis. Consequently, SSAP-Ce6@CCM
displayed a more distinct MR/NIR imaging ability and more obvious photo
cytotoxicity towards cancer cells under 670 nm laser irradiation. Furthermore,
the enhanced PDT effect benefited from the surface coating of cancer cell
membrane was demonstrated in SMMC-7721 tumor-bearing mice through tumor growth
observation and tumor tissue pathological examination. Therefore, this CCM
disguised nanobead that integrated the abilities of MR/NIR fluorescence dual
modal imaging and photodynamic therapy might be a promising theranostic platform
for tumor treatment.
PMID- 29786716
TI - Unexpected protonation state of Glu197 discovered from simulations of tacrine in
butyrylcholinesterase.
AB - Butyrylcholinesterase (BChE) has been actively involved in drug discoveries from
many fields for decades. In the crystal structure of the BChE-tacrine complex,
there is an unanticipated formyl-proline molecule resolved very close to tacrine,
raising an essential question on how reliable it is to apply the binding pose in
a crystal structure to analyze related experimental observations, in which no
formyl-proline is actually involved. In this study, by performing a series of 100
ns molecular dynamics simulations, we demonstrate that it is safe to employ the
structural information from this crystal structure to analyze related
experimental observations. Surprisingly, Glu197 needs to be protonated to have
the structures simulated appropriately. It should be noted that Glu197 has been
commonly considered as deprotonated in diverse analyses due to its low pKa in
aqueous solution, for which some interpretations are inconsistent or unclear. Our
further investigation shows that the protonated Glu197 plays a very important
role in preserving His438 within the catalytic triad through stabilizing a highly
conserved water molecule. Interestingly, the catalytic triad and Glu197 have been
long recognized for possibly deviating largely from the crystal structure, which
might be catalytically deficient and is generally considered to result from the
difference between the crystal and aqueous environment. Herein, our results
suggest that the large deviations of the catalytic triad and Glu197 from the
crystal structure are caused by the inappropriate protonation state of Glu197.
This finding shall provide an important clue that has been long missing for a
better understanding of BChE-related puzzles or even reconsideration of some BChE
catalyzed reaction mechanisms.
PMID- 29786717
TI - Comparison of hydroxycarboxylato imidazole molybdenum(iv) complexes and
nitrogenase protein structures: indirect evidence for the protonation of
homocitrato FeMo-cofactors.
AB - Glycolato and R,S-lactato imidazole molybdenum(iv) complexes
[Mo3SO3(glyc)2(im)5].im.H2O (1), Na2[Mo3SO3(R,S-lact)3(im)3].10H2O (2), and
[Mo6O10(R,S-lact)2(im)10].16H2O (3) have been isolated and characterized (H2glyc
= glycolic acid, H2lact = lactic acid, im = imidazole). alpha-Alkoxy coordination
with molybdenum [Mo-Oalpha-alkoxy 1.993(7)av A] in 1 and 2 showed obvious
differences to their counterpart with alpha-hydroxy coordination
[MoIV3S4(PPh3)3(Hlact)2(lact)] [2.204(4)av A] as shown in M. N. Sokolov, S. A.
Adonin, A. V. Virovets, P. A. Abramov, C. Vicent, R. Llusar and V. P. Fedin,
Inorg. Chim. Acta, 2013, 395, 11-18. This was also true for the 36 reported
structures of FeMo-cofactors in the RCSB protein data bank (Mo-Oav 2.272 A),
which can serve as indirect evidence for the protonation of homocitrate in FeMo
co. The C-OHalpha-hydroxy bonds were longer than the short C-Oalpha-alkoxy bonds.
Trinuclear Mo3SO3 cores were stabilized by imidazoles and/or alpha
hydroxycarboxylates, whereas only two glycolates were present in 1. alpha
Hydroxycarboxylates in 1 and 2 acted as bidentate ligands of Mo(iv) atoms through
alpha-alkoxy and alpha-carboxy groups, while the imidazoles coordinated
monodentately with nitrogen atoms. The lactates in 3 coordinated with Mo(iv)
atoms through two oxygen atoms of alpha-carboxy groups, leaving the alpha-hydroxy
group free. Furthermore, novel hexanuclear oxomolybdenum(v) malate
Na6[(Mo2O4)3(mal)4].5H2O (4) was also isolated (H3mal = malic acid). Solid-state
and solution 13C NMR resonances of carbon atoms in alpha-alkoxy groups appeared
in a high-field region (71.6, 77.4 ppm), indicating that alpha-alkoxy groups were
easy to protonate.
PMID- 29786720
TI - A strain induced subwavelength-structure for a haze-free and highly transparent
flexible plastic substrate.
AB - This paper presents a method to produce subwavelength-scale (<250 nm) AgCl
nanostructures on a flexible plastic film, which is indispensable for highly
efficient flexible displays. Using Cl2 plasma treatment on an Ag-coated plastic
film, AgCl nanostructures were produced through the reaction of Ag atoms with Cl
radicals. During the reaction, the volume of AgCl expands, leading to drastically
changed surface morphology from a two-dimensional (2D) flat Ag surface to a 3D
subwavelength-scale AgCl nanostructure. The optical properties of AgCl on the
plastic film were remarkably enhanced from 89.6% to 93.4% and the average
transmittance ranged between 400 and 800 nm, while the average haze was retained
below 0.3%. Consequently, OLEDs based on the subwavelength-scale AgCl
nanostructure had an enhanced luminance efficiency (88.6 cd A-1 at 1000 cd m-2)
of up to 10.7% without modifying the angular emission pattern, superior to that
of the as-received PI film (efficiency of 80.0 cd A-1). The nanostructure
enhances the transmission of electromagnetic (EM) waves as well as prohibits the
scattering of EM waves, which was confirmed by finite-difference time-domain
simulation and rigorous coupled wave analysis.
PMID- 29786719
TI - Energy transfer chemiluminescence for ratiometric pH imaging.
AB - Chemiluminescence imaging offers a low background and high sensitivity approach
to imaging analytes in living cells and animals. Intensity-based measurements
have been developed, but require careful consideration of kinetics, probe
localization, and fluctuations in quantum yield, all of which complicate
quantification. Here, we report a ratiometric strategy for quantitative
chemiluminescence imaging of pH. The strategy relies on an energy transfer
cascade of chemiluminescence emission from a spiroadamantane 1,2-dioxetane to a
ratiometric pH indicator via fluorescent dyes in Enhancer solutions. Monitoring
the pH-dependent changes in chemiluminescence emission at multiple wavelengths
enables ratiometric imaging and quantification of pH independent from variations
due to kinetics and probe concentration.
PMID- 29786721
TI - Versatile organoaluminium catalysts based on heteroscorpionate ligands for the
preparation of polyesters.
AB - A series of alkyl aluminium complexes based on heteroscorpionate ligands were
designed as catalysts for the ring-opening polymerisation of cyclic esters and
ring-opening copolymerisation of epoxides and anhydrides. Treatment of AlX3 (X =
Me, Et) with ligands bpzbeH [bpzbe = 1,1-bis(3,5-dimethylpyrazol-1-yl)-3,3
dimethyl-2-butoxide], bpzteH [bpzte = 2,2-bis(3,5-dimethylpyrazol-1-yl)-1-para
tolylethoxide], and (R,R)-bpzmmH [(R,R)-bpzmm = (1R)-1-{(1R)-6,6-dimethyl
bicyclo[3.1.1]-2-hepten-2-yl}-2,2-bis(3,5-dimethylpyrazol-1-yl)ethoxide] for 2
hours at 0 degrees C afforded the mononuclear dialkyl aluminium complexes
[AlMe2{kappa2-bpzbe}] (1), [AlEt2{kappa2-bpzbe}] (2), [AlMe2{kappa2-(R,R)-bpzmm}]
(3) and [AlEt2{kappa2-(R,R)-bpzmm}] (4), and the dinuclear dialkyl complexes
[AlMe2{kappa2-bpzte}]2 (5) and [AlEt2{kappa2-bpzte}]2 (6). The molecular
structures of the new complexes were determined by spectroscopic methods and
confirmed by X-ray crystallography. The alkyl-containing aluminium complexes can
act as highly efficient single-component initiators for the ring-opening
polymerisation of epsilon-caprolactone and l-lactide and for the ring-opening
copolymerisation of cyclohexene oxide and phthalic anhydride to give a range of
biodegradable polyesters.
PMID- 29786722
TI - An urchin-like MgCo2O4@PPy core-shell composite grown on Ni foam for a high
performance all-solid-state asymmetric supercapacitor.
AB - In recent years, the electrochemical properties of supercapacitors have been
greatly improved due to continuous improvement in their composite materials. In
this study, an urchin-like MgCo2O4@PPy/NF (MgCo2O4@polypyrrole/Ni foam) core
shell structure composite material was successfully developed as an electrode for
supercapacitors. The MCP-2 composite material, obtained by a hydrothermal method
and in situ chemical oxidative polymerization, shows a high specific capacitance
of 1079.6 F g-1 at a current density of 1 A g-1, which is much higher than that
of MC (783.6 F g-1) under the same conditions. Simultaneously, it has low
resistance and an excellent cycling stability of 97.4% after 1000 cycles.
Furthermore, an all-solid-state asymmetric supercapacitor (ASC) was assembled
using MCP-2 as the positive electrode and activated carbon (AC) as the negative
electrode. The MCP-2//AC ASC exhibits high specific capacitance (94 F g-1 at a
current density of 0.4 A g-1), high energy density (33.4 W h kg-1 at a power
density of 320 W kg-1), high volumetric energy density (17.18 mW h cm-3 at a
volumetric power density of 0.16 W cm-3) and excellent cycling stability
(retaining 91% of the initial value after 10 000 cycles). Simultaneously, the
device has low leakage current and excellent self-discharge characteristics. All
these results indicate that the MCP-2//AC ASC is a good energy storage device; it
can support the function of two LEDs for 20 minutes. These results indicate that
the MCP-2//AC ASC will play an important role in energy structures in the future.
PMID- 29786723
TI - A highly sensitive and fast graphene nanoribbon/CsPbBr3 quantum dot
phototransistor with enhanced vertical metal oxide heterostructures.
AB - Although recent breakthroughs in reported graphene-based phototransistors with
embedded quantum dots (QDs) have definitely been astonishing, there are still
some obstacles in their practical use with regard to their electrical and optical
performances. We show that through optimization of the vertical graphene
nanoribbon (GNR)/QD/IGZO heterostructure and the ultrahigh efficiency of CsPbBr3
QDs, it is possible to significantly increase the on/off ratio (>103), the
subthreshold slope (S.S., 0.9 V dec-1), the device's field effect mobility
(MUFET, 13 cm-1 V-1 S-1) and other electrical properties. Subsequently, on the
basis of the extra optical-electrical characterization, we attribute the enhanced
photosensitivity (800), the accelerated detecting speed (141 MUs) and the high
detectivity (7.5 * 1014 cm Hz1/2 W-1) to the vertical heterostructure associated
with the optimized GNR component. To further demonstrate this enhancement
phenomenon, the mechanism and theory mode of this vertical heterostructure are
analyzed and exploited in this letter. This research indicates that a highly
sensitive and fast phototransistor can be realized using the novel GNR/QD/IGZO
vertical heterostructure and the long diffusion length of the perovskite QD
photosensing component.
PMID- 29786724
TI - Influence of a nanoparticle on the structure and dynamics of model ionomer melts.
AB - We simulate a single spherical nanoparticle (NP) surrounded by partially
neutralized ionomers. The coarse-grained ionomers consist of a linear backbone of
neutral monomer beads with charged pendant beads and counterions, along with
pendant 'sticker' beads that represent unneutralized acid groups. Two different
NP interactions are considered; one in which the NP interacts uniformly with all
beads in the system (neutral NP) and another in which the NP has higher cohesive
interactions with ions and stickers (sticky NP). Ions are depleted around the
neutral NP relative to the bulk, but are denser around the surface of the sticky
NP. The bond vector autocorrelation function was computed as a function of
distance from the NP. For the neutral NP, due to the absence of ions, there is an
increase in bond rotational dynamics near the surface relative to the bulk, while
the reverse trend is observed in the case of the sticky NP. These analyses were
done systematically for differing mole content of pendants, levels of
neutralization, and NP sizes; lower pendant content causes a significantly larger
difference in the bond dynamics near and far from the NP surface.
PMID- 29786725
TI - A convergent strategy towards febrifugine and related compounds.
AB - We report a modular five step synthetic route to the febrifugines that employs 2
(chloromethyl)allyl-trimethylsilane as a conjunctive reagent for the coupling of
the piperidine and quinazolinone groups. We also demonstrate the application of a
recent Rh-catalyzed quinazolinone synthesis for the facile generation of
febrifugine analogs.
PMID- 29786726
TI - Boron-doped graphene nanosheet-supported Pt: a highly active and selective
catalyst for low temperature H2-SCR.
AB - A series of boron-doped graphene-supported Pt (Pt/BG) nanosheets were designed
and synthesized using a one-step facile hydrothermal method. ICP, XPS, and TPD
results confirmed that boron atoms were successfully embedded into the graphene
matrix. The selective catalytic reduction of nitric oxide with hydrogen (H2-SCR)
was tested over Pt/BG catalysts. The multi-roles of doped-boron were investigated
by Raman, BET, CO-chemisorption, H2-TPD, XPS, and NO-TPD. Boron doping led to a
higher dispersion and smaller size of Pt nanoparticles, facilitated hydrogen
spillover, promoted more metallic Pt formation, and increased both H2 and NO
chemisorption, which were attributed to an enhanced Pt nucleation rate over doped
boron, electron donation from boron to Pt, and extra chemisorption sites. The
reaction performances (conversion 94.7%, selectivity 90.3%, and TOF 0.092 s-1)
were greatly promoted attributing to a bifunctional catalytic mechanism. This
work paves the way to modify the structure and tune the chemisorption ability of
graphene-based catalysts, and provides novel insights for designing high
performance catalysts.
PMID- 29786727
TI - Rational design of multi-functional gold nanoparticles with controlled
biomolecule adsorption: a multi-method approach for in-depth characterization.
AB - Multi-functionalized nanoparticles are of great interest in biotechnology and
biomedicine, especially for diagnostic and therapeutic purposes. However, at the
moment the characterization of complex, multi-functional nanoparticles is still
challenging and this hampers the development of advanced nanomaterials for
biological applications. In this work, we have designed a model system consisting
of gold nanoparticles functionalized with two differentially-terminated
poly(ethylene oxide) ligands, providing both "stealth" properties and protein
binding capabilities to the nanoparticles. We use a combination of techniques
(Centrifugal Liquid Sedimentation, Dynamic Light Scattering, Flow Field Flow
Fractionation, Transmission Electron Microscopy, and Circular Dichroism) to: (i)
monitor and quantify the ratios of ligand molecules per nanoparticle; (ii)
determine the effect of coating density on non-specific protein adsorption; (iii)
to assess the number and structure of the covalently-bound proteins. This article
aims at comparing the complementary outcomes from typical and orthogonal
techniques used in nanoparticle characterization by employing a versatile
nanoparticle-ligands-biomolecule model system.
PMID- 29786728
TI - Selenization of NiMn-layered double hydroxide with enhanced electrocatalytic
activity for oxygen evolution.
AB - Exploiting highly active oxygen evolution reaction electrocatalysts is of great
importance in the cost-effective generation of clean fuels. Herein, the
preparation of NiMn-layered double hydroxide with selenization is adopted to
reduce the charge transfer resistance of the electrocatalytic oxygen evolution
reaction and enhance electrocatalytic performance. As a result, this selenization
product of NiMn-layered double hydroxide can reach a current density of 10 mA cm
2 at a low overpotential of 280 mV on glassy carbon electrode in 1.0 M KOH
aqueous solution and have excellent stability, which makes them comparable to the
most efficient IrO2 catalyst and better than most nickel based catalysts.
PMID- 29786729
TI - Application of a constant hole volume Sanchez-Lacombe equation of state to
mixtures relevant to polymeric foaming.
AB - A variant of the Sanchez-Lacombe equation of state is applied to several
polymers, blowing agents, and saturated mixtures of interest to the polymer
foaming industry. These are low-density polyethylene-carbon dioxide and
polylactide-carbon dioxide saturated mixtures as well as polystyrene-carbon
dioxide-dimethyl ether and polystyrene-carbon dioxide-nitrogen ternary saturated
mixtures. Good agreement is achieved between theoretically predicted and
experimentally determined solubilities, both for binary and ternary mixtures.
Acceptable agreement with swelling ratios is found with no free parameters. Up-to
date pure component Sanchez-Lacombe characteristic parameters are provided for
carbon dioxide, dimethyl ether, low-density polyethylene, nitrogen, polylactide,
linear and branched polypropylene, and polystyrene. Pure fluid low-density
polyethylene and nitrogen parameters exhibit more moderate success while still
providing acceptable quantitative estimations. Mixture estimations are found to
have more moderate success where pure components are not as well represented. The
Sanchez-Lacombe equation of state is found to correctly predict the anomalous
reversal of solubility temperature dependence for low critical point fluids
through the observation of this behaviour in polystyrene nitrogen mixtures.
PMID- 29786730
TI - Dimensional control of supramolecular assemblies of diacetylene-derived peptide
gemini amphiphile: from spherical micelles to foamlike networks.
AB - Peptide amphiphiles capable of assembling into multidimensional nanostructures
have attracted much attention over the past decade due to their potential
applications in materials science. Herein, a novel diacetylene-derived peptide
gemini amphiphile with a fluorenylmethyloxycarbonyl (Fmoc) group at the N
terminus is reported to hierarchically assemble into spherical micelles, one
dimensional nanorods, two-dimensional foamlike networks and lamellae. Solvent
polarity shows a remarkable effect on the self-assembled structures by changing
the balance of four weak noncovalent interactions (hydrogen-bonding, pi-pi
stacking, hydrophobic interaction, and electrostatic repulsion). We also show the
time-evolution not only from spherical micelles to helical nanofibers in aqueous
solution, but also from branched wormlike micelles to foamlike networks in
methanol solution. In this work, the presence of the Fmoc group plays a key role
in the self-assembly process. This work provides an efficient strategy for
precise morphological control, aiding the future development in materials
science.
PMID- 29786731
TI - Regioselective and diastereoselective synthesis of two functionalized 1,5
methanoindeno[1,2-d]azocines via a three-component reaction.
AB - The morpholine promoted three-component reaction of N-alkylpiperidinone, indane
1,3-dione and 2-arylideneindane-1,3-dione in ethanol at room temperature resulted
in the (1H-1,5-methanoindeno[1,2-d]azocin-12-ylidene)-1H-indene-1,3(2H)-dione
derivatives in good yields and with exo-configuration. Under similar conditions,
the reaction of N-alkylpiperidinone with 2-arylideneindane-1,3-dione afforded 7H
1,5-methanoindeno[1,2-d]azocine-7,12-diones with endo-configuration. The domino
reaction is believed to proceed with domino Knoevenagel condensation, Michael
addition and aldol condensation reaction.
PMID- 29786732
TI - Unusually low thermal conductivity of atomically thin 2D tellurium.
AB - Tellurium is a high-performance thermoelectric material due to its superior
electronic transport and low lattice thermal conductivity (kappaL). Here, we
report the ultralow kappaL in the monolayer tellurium, i.e., tellurene, which has
been successfully synthesized in recent experiments. We find that tellurene has a
compellingly low room temperature kappaL of 2.16 and 4.08 W m-1 K-1 along the
armchair and zigzag directions, respectively, which is lower than any reported
values for other 2D materials. We attribute this unusually low kappaL to the soft
acoustic modes, the extremely low-energy optical modes and the strong scattering
among optical-acoustic phonons, which place tellurene as a potential novel
thermoelectric material. Finally, we show that kappaL is proportional to the
largest acoustic phonon frequency (omegaaD) and the lowest optical phonon
frequency at the Gamma point (omegaoGamma) in 2D materials, which reflect both
harmonic and anharmonic thermal properties, respectively.
PMID- 29786733
TI - A facet-controlled Rh3Pb2S2 nanocage as an efficient and robust electrocatalyst
toward the hydrogen evolution reaction.
AB - Highly active and durable electrocatalysts for the hydrogen evolution reaction
(HER) may play a pivotal role in commercial success of electrolytic water
splitting technology. Among various material classes, binary metal sulphides show
a great promise as HER catalysts because of their tunable energy levels conducive
to a high catalytic activity and high robustness under harsh operating
conditions. On the other hand, facet-controlled nanoparticles with controlled
surface energies have gained great recent popularity as active and selective
catalysts. However, binary metal sulphide nanoparticles with well-defined facets
and high surface areas are very rare. Herein we report the synthesis of a facet
controlled hollow Rh3Pb2S2 nanocage as a new catalytic material and its excellent
activity (overpotential: 87.3 mV at 10 mA cm-2) and robustness toward HER under
harsh acidic conditions.
PMID- 29786734
TI - Preparation and characterisation of heterobimetallic copper-tungsten hydride
complexes.
AB - The preparation and structural characterisation of three new heterobimetallic
hydride complexes containing 3-centre,2-electron W-H-Cu bonds is reported. These
complexes have been characterised by single crystal X-ray crystallography and
multinuclear NMR spectroscopy. The bonding in these complexes has been analysed
by DFT calculations.
PMID- 29786736
TI - Phase behaviour and applications of a binary liquid mixture of methanol and a
thermotropic liquid crystal.
AB - Herein, we report on the phase behaviour of a binary liquid mixture composed of
methanol (MeOH) and the thermotropic liquid crystal 4-cyano-4'-pentylbiphenyl
(5CB). The corresponding phase diagram combines features of a conventional liquid
liquid mixture with characteristics that are particular to the nematic liquid
crystal. We observe four arrangements as a function of composition and
temperature, namely monophasic isotropic, monophasic nematic, biphasic isotropic
isotropic and biphasic isotropic-nematic, with an upper critical solution
temperature of 24.4 +/- 0.5 degrees C. The interplay of nematogenic and non
nematogenic species offers tunability of phase mixing and phase composition in an
accessible temperature window and provides novel routes for the extraction of
target compounds, here exemplarily shown for Crystal Violet, Doxorubicin, Eosin
Y, Rhodamine 6G and Sudan IV.
PMID- 29786735
TI - Solvent-induced ion separation of a beryllium scorpionate complex.
AB - Spontaneous ion separation of the scorpionate beryllium complex, TpBeI 1 (Tp = 1
trispyrazolylborate), occurs upon treatment with THF, yielding [TpBe(thf)]I 2,
which was characterized by heteronuclear NMR spectroscopy (1H, 9Be, 13C) and
structurally characterized by single crystal X-ray diffraction. 2 represents a
rare example of a structurally characterized monocationic beryllium complex, and
to the best of our knowledge, the synthesis of 2 by a solvent-induced ion
separation has previously only been observed in the reactions of beryllium
dihalides with strong Lewis bases.
PMID- 29786737
TI - Anti-amyloidogenic properties of an ethyl acetate fraction from Actinidia arguta
in Abeta1-42-induced ICR mice.
AB - This study aimed to investigate the ameliorating effect of an ethyl acetate
fraction from the fruit Actinidia arguta (EFAA) on amyloid beta (Abeta)-induced
neurotoxicity and cognitive deficits in ICR mice. EFAA showed potent protective
effects against Abeta-induced neurotoxicity through 2',7'-dichlorofluorescein
diacetate (DCF-DA), 2',3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyl tetrazolium
bromide (MTT) and lactate dehydrogenase (LDH) release into the assay medium. EFAA
treatment reduced the intracellular ROS level and lactate dehydrogenase (LDH)
release in the mitochondria, and increased cell viability in Abeta-induced
neuroblastoma MC-IXC cells. The administration of EFAA significantly attenuated
Abeta-induced learning and memory deficits, which were evaluated by Y-maze,
passive avoidance, and Morris water maze tests. Furthermore, EFAA showed the
ameliorating effect of cholinergic functions by increasing acetylcholine (ACh)
levels and decreasing acetylcholinesterase (AChE) activity, and protected
antioxidant systems by increasing superoxide dismutase (SOD) and decreasing the
oxidized glutathione (GSH)/total GSH and malondialdehyde (MDA) in the brain.
Finally, EFAA prevented mitochondrial dysfunction via regulating apoptotic
signaling molecules including phosphorylated Akt (p-Akt), phosphorylated tau (p
tau), Bax, and cytochrome c in the brain tissues. Therefore, the present study
suggests that EFAA might be a potential source of natural antioxidants with the
ability to ameliorate Abeta-induced amnesia.
PMID- 29786738
TI - Design and mechanistic study of a novel gold nanocluster-based drug delivery
system.
AB - Chemically-triggered drug delivery systems (DDSs) have been extensively studied
as they do not require specialized equipment to deliver the drug and can deeply
penetrate human tissue. However, their syntheses are complicated and they tend to
be cytotoxic, which restricts their clinical utility. In this work, the self
regulated drug loading and release capabilities of peptide-protected gold
nanoclusters (Pep-Au NCs) are investigated using vancomycin (Van) as the model
drug. Gold nanoclusters (Au NCs) coated with a custom-designed pentapeptide are
synthesized as drug delivery nanocarriers and loaded with Van - a spontaneous
process reliant on the specific binding between Van and the custom-designed
peptide. The Van-loaded Au NCs show comparable antimicrobial activity with Van on
its own, and the number of Van released by the Pep-Au NCs is found to be
proportional to the amount of bacteria present. The controlled nature of the Van
release is very encouraging, and predominantly due to the stronger binding
affinity of Van with bacteria than that with Au NCs. In addition, these
fluorescent Au NCs could also be used to construct temperature sensors, which
enable the in vitro and in vivo bioimaging.
PMID- 29786739
TI - Synthesis of pure silica MFI zeolites using imidazolium-based long dications. A
comparative study of structure-directing effects derived from a further spacer
length increase.
AB - Length-dependent structure direction of linear methylimidazolium-based dications
towards MFI zeolite, previously known only for the tetramethylene spacer, has
also been found for octamethylene and decamethylene spacers. This works only
under highly concentrated conditions, whereas dilution always tends to favor TON,
a default structure that is the only zeolite obtained with the other reported
dications (with tri-, penta-, and hexamethylene spacers). The locations and
conformations of the dications have been studied by molecular mechanics
simulations. As longer dications introduce lower density of positive charges in
the zeolite, the density of connectivity defects also decreases. Finally, these
long dications cannot easily place each charged imidazolium ring in the two
possible orientations (either parallel or perpendicular to 4MR close to F- sites)
found for the tetramethylene case. Hence, although the three MFI materials
display two 19F NMR resonances at similar chemical shifts, their relative
intensities strongly vary as a function of the spacer length.
PMID- 29786740
TI - Shear-density coupling for a compressible single-component yield-stress fluid.
AB - Flow behavior of a single-component yield stress fluid is addressed on the
hydrodynamic level. A basic ingredient of the model is a coupling between
fluctuations of density and velocity gradient via a Herschel-Bulkley-type
constitutive model. Focusing on the limit of low shear rates and high densities,
the model approximates well-but is not limited to-gently sheared hard sphere
colloidal glasses, where solvent effects are negligible. A detailed analysis of
the linearized hydrodynamic equations for fluctuations and the resulting cubic
dispersion relation reveals the existence of a range of densities and shear rates
with growing flow heterogeneity. In this regime, after an initial transient, the
velocity and density fields monotonically reach a spatially inhomogeneous
stationary profile, where regions of high shear rate and low density coexist with
regions of low shear rate and high density. The steady state is thus maintained
by a competition between shear-induced enhancement of density inhomogeneities and
relaxation via overdamped sound waves. An analysis of the mechanical equilibrium
condition provides a criterion for the existence of steady state solutions. The
dynamical evolution of the system is discussed in detail for various boundary
conditions, imposing either a constant velocity, shear rate, or stress at the
walls.
PMID- 29786741
TI - Halo-substituted benzenesulfonyls and benzenesulfinates: convenient sources of
arenes in metal-catalyzed C-C bond formation reactions for the straightforward
access to halo-substituted arenes.
AB - Palladium-catalyzed reactions using aryl halides as one of the coupling partners
represent a very popular method for generating carbon-carbon bonds. However, such
couplings suffer from important limitations. As most palladium catalysts are very
effective for the cleavage of C-halo bonds (Halo = Cl, Br or I), in many cases,
the presence of several halide functional groups on arenes is not tolerated.
Since two decades, and especially during the last few years, a new class of
coupling partners, benzenesulfonyl and benzenesulfinate derivatives, has emerged
as a powerful alternative to aryl halides for the Pd-catalyzed C-C bond
formation, as the reactions performed with these substrates generally tolerate C
halo bonds. With these substrates, after a metal-catalyzed desulfitative
coupling, a variety of halo-substituted arenes such as biaryls, styrenes,
phenylacetylenes, acetophenones, benzonitriles... has been synthesized with high
chemoselectivities. The use of these aryl sources allowed the synthesis of
molecules containing several halo-substituents including iodo-substituents in
only a few steps and provides very simple access to a very wide variety of halo
substituted arenes useful to materials chemists and also to biochemists. In this
review, we will summarize the scope of the use of halo-substituted
benzenesulfonyls and benzenesulfinates as coupling partners in metal-catalyzed C
C bond formation.
PMID- 29786742
TI - A novel alendronate functionalized nanoprobe for simple colorimetric detection of
cancer-associated hypercalcemia.
AB - The calcium (Ca2+) ion concentration in the blood serum is tightly regulated, and
any abnormalities in the level of serum calcium ions are associated with many
potentially dangerous diseases. Thus, monitoring of the Ca2+ ion concentration in
the blood serum is of fundamental importance. Gold nanoparticle (GNP)-based
colorimetric biosensors have enormous potential in clinical diagnostic
applications due to their simplicity, versatility, and unique optical properties.
In this study, we have developed an alendronate functionalized gold nanoparticle
(GNP-ALD) system for the measurement of Ca2+ ion concentration in biological
samples. The GNP-ALD system showed higher sensitivity towards the Ca2+ ion
compared to adenosine diphosphate (ADP) or adenosine triphosphate (ATP). The
strong interaction between the Ca2+ ion and ALD at the GNP/solution interface
resulted in significant aggregation of the ALD conjugated GNPs, and induced a
color change of the solution from red to blue, which could be visually observed
with the naked eye. The interaction between the Ca2+ ion and GNP-ALD was
characterized by UV-visible spectroscopy, transmission electron microscopy (TEM)
imaging, and dynamic light scattering (DLS) analysis. Under the optimized
conditions, the lower limit of Ca2+ ion detection using this method was found to
be 25 MUM and a linear response range from 25 MUM to 300 MUM Ca2+ ions was
obtained with excellent discrimination against other metal ions. The GNP-ALD
nanoprobe could successfully determine the ionized Ca2+ concentration in various
serum samples and the results were validated using a commercial calcium assay
kit. Moreover, as a practical application, we demonstrated the utility of this
nanoprobe for the detection of cancer-associated hypercalcemia in a mouse model.
PMID- 29786743
TI - Role of TGF-beta1 expressed in bone marrow-derived mesenchymal stem cells in
promoting bone formation in a rabbit femoral defect model.
AB - Bone defects represent a major clinical and socioeconomic problem without
suitable treatment options. Previous studies have shown that transforming growth
factor beta1 (TGF-beta1) is important in the development of various diseases. The
present study aimed to investigate the therapeutic potential of rabbit bone
marrow-derived mesenchymal stem cells (BMSCs) expressing TGF-beta1 in the
treatment of rabbit femoral defects. First, rabbit BMSCs were identified and
cultured. TGF-beta1 was then stably overexpressed in the rabbit BMSCs by
lentivirus transfection, which was expressed at a high level in the femoral
defects treated with TGF-beta1-overexpressing BMSCs, compared with PBS-treated
controls. In addition, the TGF-beta1-overexpressing BMSCs promoted new bone
formation in the rabbit femoral defect model, and increased the expression of
bone-related markers at week 2 and week 6. Therefore, the study demonstrated that
BMSCs overexpressing TGF-beta1 may provide a novel therapeutic option for femoral
defects.
PMID- 29786744
TI - miR-133b regulates proliferation and apoptosis in high-glucose-induced human
retinal endothelial cells by targeting ras homolog family member A.
AB - The aim of the present study was to investigate the role of microRNA (miR)-133b
in high-glucose-induced human retinal endothelial cells (hRECs), particularly
regarding its potential targeting of ras homolog family member A (RhoA). To
establish the high-glucose-induced diabetic retinopathy (DR) model, hRECs were
cultured in high-glucose medium for 1, 2 and 3 days. An Annexin allophycocyanin
(APC)/7-aminoactinomycin D (7-AAD) staining assay was performed to measure the
apoptosis of hRECs. Next, the cells were transfected with miR-133b inhibitors or
mimics, and the cell proliferation and apoptosis were measured by MTT and Annexin
APC/7-AAD staining assays, respectively. In addition, reverse transcription
quantitative polymerase chain reaction (RT-qPCR), western blotting and
immunocytochemistry were used to detect the expression levels of RhoA, Rho
associated protein kinase 1 (ROCK1), LIM domain kinase 1 (LIMK), myosin light
chain (MLC) and phosphorylated (p)-MLC. It was observed that high-glucose or miR
133b inhibitor treatment attenuated the apoptosis of hRECs, and upregulated the
mRNA and protein expression levels of RhoA, ROCK1 and LIMK, as well as the p-MLC
protein level, in the hRECs. However, miR-133b overexpression inhibited the cell
proliferation, promoted apoptosis, and downregulated the mRNA and protein levels
of RhoA, ROCK1 and LIMK, as well as p-MLC protein, in high-glucose-induced hRECs.
In conclusion, overexpression of miR-133b inhibited the proliferation and
promoted apoptosis in a DR cell model by downregulating RhoA expression.
PMID- 29786746
TI - Luteolin induces myelodysplastic syndrome-derived cell apoptosis via the p53
dependent mitochondrial signaling pathway mediated by reactive oxygen species.
AB - Luteolin, a common dietary flavonoid, induces the apoptosis of cells in several
types of cancer. However, its role in myelodysplastic syndrome (MDS) and the
potential underlying mechanisms remain to be elucidated. To evaluate the
potential benefit and underlying mechanisms of luteolin in MDS cells, the
viability of SKM-1 cells and primary bone marrow (PBM) mononuclear cells from
patients with intermediate- or high-risk MDS were assessed using a Cell Counting
Kit-8 assay. The apoptotic features of cell morphology were assessed using Wright
Giemsa staining, DNA fragmentation was analyzed by agarose gel electrophoresis,
and the extent of apoptosis was quantified by flow cytometry (FCM). Reactive
oxygen species (ROS) were measured by FCM with 2,7-dichlorodihydrofluorescein
diacetate staining and mitochondrial membrane potential (DeltaPsim) was
determined using 5,5',6,6'-tetrachloro-1,1',3,3'
tetraethylbenzimidazolylcarbocyanine iodide staining. Caspase activity was
detected using a fluorometric protease assay. Furthermore, the effects of
luteolin on the expression of apoptosis-related proteins were analyzed using
western blot analysis. The resulting data revealed that luteolin significantly
inhibited the proliferation of SKM-1 cells in vitro, and its half maximal
inhibitory concentration was 139.41 uM at 24 h and 23.95 uM at 72 h. Luteolin
also markedly inhibited the proliferation of mononuclear cells from patients with
intermediate- or high-risk MDS. Luteolin suppressed cell proliferation, mainly as
a result of the induction of apoptosis, as demonstrated by typical apoptotic
morphological features, the ladder pattern of genomic DNA fragmentation, and the
results of FCM using Annexin V-FITC/PI double staining. It was also found that
short-term exposure of SKM-1 cells to luteolin led to a marked increase in the
accumulation of ROS. The increased intracellular level of ROS appeared to induce
the activation of p53 and elevate the B-cell lymphoma 2 (Bcl-2)-associated X
protein/Bcl-2 ratio, which modulates DeltaPsim and triggers the release of
cytochrome c, and may increase the activities of apoptotic protease activating
factor 1, caspase-3, -8 and -9 to further trigger the destruction of structural
and specific proteins and thereby cell apoptosis. Notably, the inhibition of ROS
generation by the antioxidant N-acetyl-L-cysteine significantly attenuated the
luteolin-induced loss of DeltaPsim and activities of caspase-3, -8 and -9. These
data suggested that luteolin exerts its pro-apoptotic action partly through the
p53-dependent mitochondrial signaling pathway mediated by intracellular ROS,
which provides a promising therapeutic candidate for patients with MDS.
PMID- 29786747
TI - MicroRNA-137 dysregulation predisposes to osteoporotic fracture by impeding ALP
activity and expression via suppression of leucine-rich repeat-containing G
protein-coupled receptor 4 expression.
AB - Osteoporosis is defined as a loss of bone mass and deterioration of its
architecture resulting in bone weakness, which becomes prone to fracture. The
objective of this study was to investigate the molecular mechanism by which miR
137 can reduce the risk of fracture in patients with osteoporosis. An online
miRNA database and a luciferase reporter assay system were used to confirm that
leucine-rich repeat-containing G-protein-coupled receptor 4 (LGR4) was the target
of miR-137. Real-time PCR and western blot analysis were used to study miR-137
mRNA, the expression of LGR4 mRNA and protein among different groups or cells
transfected with a scrambled miRNA control, miR-137 mimic, LGR4 siRNA and miR-137
inhibitor. Expression of miR-137 was upregulated to higher levels in cells
isolated from osteoporosis patients with fracture than in those without fracture.
The 'seed sequence' was found to be located within the 3' untranslated region (3'
UTR) of LGR4 mRNA by searching an online miRNA database. Luciferase reporter
assay was performed to confirm that LGR4 is a direct target gene of miR-137 with
a potential binding site in the 3'UTR of LGR4. Luciferase activity of cells
transfected with wild-type LGR4 3'UTR was much lower than that of the cells
transfected with mutant LGR4 3'UTR. The results of real-time PCR and
immunohistochemistry experiments demonstrated that the expression levels of LGR4
mRNA and protein were much higher in osteoporosis patients with fracture than
osteoporosis patients without fracture. We found that the expression levels of
LGR4 mRNA and protein were clearly upregulated following transfection with miR
137 inhibitor, while noticeably downregulated following transfection with miR-137
mimic when compared with the scramble control. Furthermore, the expression of ALP
mRNA and ALP activity in bone tissue were much higher in osteoporosis patients
with fracture than those without fracture. In conclusion, these data prove that
the overexpression of miR-137 was associated with an altered risk of fracture in
patients with osteoporosis, and can be used as a biomarker for the prediction of
risk of fracture in osteoporosis.
PMID- 29786745
TI - Histone modifications in fatty acid synthase modulated by carbohydrate responsive
element binding protein are associated with non-alcoholic fatty liver disease.
AB - Non-alcoholic fatty liver disease (NAFLD) is a manifestation of metabolic
syndrome in the liver and is closely associated with diabetes; however, its
pathogenesis remains to be elucidated. Carbohydrate responsive element binding
protein (ChREBP), the hub of glucolipid metabolism, regulates the induction of
fatty acid synthase (FASN), the key enzyme of de novo lipogenesis, by directly
binding to carbohydrate response element (ChoRE) in its promoter. Investigations
of histone modifications on NAFLD remain in their infancy. In the present study,
by using ChIP, the association between histone modifications and FASN
transcription was investigated and histone modifications in FASN modulated by
ChREBP were measured. It was demonstrated that ChREBP induced FASN ChREBP-ChoRE
binding to accelerate the expression of FASN, leading to hepatocellular steatosis
by facilitating H3 and H4 acetylation, H3K4 trimethylation and the
phosphorylation of H3S10, but inhibiting the trimethylation of H3K9 and H4K20 in
FASN promoter regions of HepG2 and L02 cells. It was also found that ChREBP-ChoRE
binding of FASN relied on histone acetylation and that the transcriptional
activity of ChREBP on FASN is required, based on the premise that histone
acetylation causes conformational changes in FASN chromatin. This indicated
histone acetylation as a crucial mechanism involved in the transcription of FASN
modulated by ChREBP. Consequently, the present study provides further insight
into the pathophysiology and a novel therapeutic potential of NAFLD based on
epigenetic mechanisms.
PMID- 29786748
TI - Protective effects of dehydrocostuslactone on rat hippocampal slice injury
induced by oxygen-glucose deprivation/reoxygenation.
AB - The present study aimed to investigate the protective effects of
dehydrocostuslactone (DHL) against rat hippocampal slice injury caused by oxygen
glucose deprivation/reoxygenation (OGD/R). Rat hippocampal slice injury was
induced by OGD/R in vitro, and the degree of injury was evaluated through a
lactate dehydrogenase (LDH) assay and 2,3,5-triphenyltetrazolium chloride (TTC)
staining. The protein expression levels of B-cell lymphoma-2 (Bcl-2), Bcl-2
associated X protein (Bax), cytochrome c (cyt-c), apoptotic protease activating
factor 1 (apaf-1), caspase-9, caspase-7, caspase-3, sequestosome 1 (SQSTM1) and
microtubule-associated protein 1 light chain 3 (LC3) were analyzed through
western blot analysis. The results showed that 1, 5 and 10 uM DHL decreased the
levels of LDH (P<0.05) and increased the A490 value of TTC (P<0.05). Furthermore,
the expression of Bcl-2 was enhanced, and the protein expression levels of Bax,
cyt-c, apaf-1, caspase-9, caspase-7, caspase-3, SQSTM1 and LC3 were significantly
inhibited (P<0.05), compared with those in the OGD/R group. These results
suggested that DHL elicited protective effects against hippocampal OGD/R injury,
and its underlying mechanism may be associated with inhibiting apoptosis.
PMID- 29786749
TI - Long noncoding RNA myocardial infarction-associated transcript is associated with
the microRNA-150-5p/P300 pathway in cardiac hypertrophy.
AB - In numerous diseases, abnormal expression of myocardial infarction-associated
transcript (MIAT) has been reported to be involved in cell proliferation,
apoptosis and migration. However, whether this long non-coding RNA MIAT has a
regulatory effect on heart hypertrophy requires further investigation. To this
end, the present study evaluated MIAT in hypertrophic cardiomyocytes in vitro and
in vivo. Neonatal rat ventricular myocytes (NRVMs) were induced by isoproterenol
(ISO) to create a cell hypertrophy model, and mice were intraperitoneally
injected with ISO to establish an animal model. Echocardiography,
immunofluorescence staining, western blot analysis, RNA isolation and reverse
transcription-polymerase chain reaction were applied to test the involvement of
MIAT in cardiac hypertrophy. The results revealed that MIAT was upregulated under
ISO stimulation at the mRNA level both in vivo and in vitro. Silencing of MIAT
resulted in decreased expression levels of atrial natriuretic peptide and brain
natriuretic peptide in ISO-treated NRVM cardiomyocytes, confirming the connection
between MIAT and hypertrophy. Furthermore, MIAT small interfering RNA
significantly increased microRNA (miR)-150 and decreased P300 expression in
NRVMs. In conclusion, the MIAT/miR-150-5p axis targets P300 as a positive
regulator of cardiomyocyte hypertrophy.
PMID- 29786750
TI - MicroRNA-20b-5p promotes ventricular remodeling by targeting the TGF-beta/Smad
signaling pathway in a rat model of ischemia-reperfusion injury.
AB - Myocardial ischemic injury results from severe impairment of the coronary blood
supply and may lead to metabolic and ultrastructural changes, thereby causing
irreversible damage. MicroRNA (miR)-20b-5p has been demonstrated to be involved
in malignancies of the breast, colorectum, stomach, blood and oropharynx. The
present study aimed to investigate the effects of miR-20b-5p on ventricular
remodeling following myocardial ischemia-reperfusion (IR) injury in rats by
targeting small mothers against decapentaplegic homolog 7 (Smad7) via the
transforming growth factor-beta (TGF-beta)/Smad signaling pathway. A total of 70
adult male Sprague-Dawley rats were divided into seven groups: Sham group, IR
group, negative control group, miR-20b-5p mimics group, miR-20b-5p inhibitors
group, small interfering RNA (siRNA)-Smad7 group, and miR-20b-5p inhibitors +
siRNA-Smad7 group. Dual luciferase reporter gene assays were used to verify the
association between miR-20b-5p and Smad7. Myocardial infarction size, myocardial
collagen volume fraction and perivascular collagen area were detected separately
using triphenyltetrazolium chloride and Masson's staining. The rate of positive
expression of Smad7 was detected using immunohistochemistry, and the expression
levels of miR-20b-5p, TGF-beta1, Smad3 and Smad7 were detected using reverse
transcription-quantitative polymerase chain reaction and western blot analyses.
The findings revealed that miR-20b-5p inhibited Smad7. Compared with the sham
group, the other six groups had increased myocardial infarction size, myocardial
collagen, and expression of miR-20b-5p, TGF-beta1 and Smad3, and decreased
expression of Smad7. Compared with the IR group, the miR-20b-5p mimics group and
the siRNA-Smad7 group had increased myocardial infarction size and myocardial
collagen, increased expression of TGF-beta1 and Smad3, and decreased expression
of Smad7. The expression of miR-20b-5p was markedly increased in the miR-20b-5p
mimics group, but did not differ significantly from that in the siRNA-Smad7
group. The results demonstrated that miR-20b-5p promoted ventricular remodeling
following myocardial IR injury in rats by inhibiting the expression of Smad7
through activating the TGF-beta/Smad signaling pathway.
PMID- 29786751
TI - Inhibition of osteoclastogenesis for periprosthetic osteolysis therapy through
the suppression of p38 signaling by fraxetin.
AB - Periprosthetic osteolysis belongs to osteolytic diseases, which often occur due
to an imbalance between osteoclast and osteoblast number or activity. Fraxetin, a
natural plant extract, inhibits osteoblast apoptosis and has therapeutic
potential for treating osteolytic diseases. However, data pertaining to the
effects of fraxetin on osteoclasts are limited. In the present study, it was
demonstrated that the inhibition of osteoclastogenesis by fraxetin had an
important role on the therapy of titanium particle-induced osteolysis in vivo. In
addition, fraxetin was demonstrated to suppress receptor activator of nuclear
factor-kappaB ligand (RANKL)-mediated osteoclast differentiation and bone
resorption in vitro in a dose-dependent manner. Fraxetin inhibited osteoclast
differentiation and function through the suppression of p38 signaling and
subsequently, the suppression of osteoclast-specific gene expression, including
tartrate-resistant acid phosphatase, nuclear factor of activated T-cells,
cytoplasmic 1, and cathepsin K. In conclusion, fraxetin administration may have
potential as a treatment method for periprosthetic osteolysis and other
osteolytic diseases.
PMID- 29786752
TI - Overexpression of miR-17-5p protects against high glucose-induced endothelial
cell injury by targeting E2F1-mediated suppression of autophagy and promotion of
apoptosis.
AB - E2 promoter binding factor 1 (E2F1) has been reported to have an important
regulatory role in cell survival during hyperglycemic conditions; however, the
mechanisms remain to be fully elucidated. Bioinformatics analyses have suggested
that microRNA (miR)-17-5p targets the 3'untranslated region (3'UTR) of E2F1. The
aim of the present study was to characterize the protective effect of miR-17
5p/E2F1 on human umbilical vein endothelial cells (HUVECs) under high glucose
(HG) conditions, to confirm the regulatory effect of miR-17-5p on E2F1/AMP
activated protein kinase alpha2 (AMPKalpha2)-mediated apoptosis and
E2F1/mammalian target of rapamycin complex 1 (mTORC1)-mediated autophagy.
Bifluorescein experiments were performed to characterize the interaction between
miR-17-5p and E2F1. The Cell Counting Kit-8 assay, flow cytometry,
immunofluorescence, and reverse transcription-quantitative polymerase chain
reaction and western blot analyses were used to detect cell viability, apoptosis,
autophagy, and relative mRNA and protein expression, respectively. The results
showed that HG induced the downregulation of miR-17-5p and upregulation of E2F1
during HUVEC injury. The downregulation of E2F1 inhibited HG-induced HUVEC
dysfunction by suppressing mTORC1-mediated inhibition of autophagy and AMPKalpha2
mediated promotion of apoptosis. The results suggested that inhibiting the
expression of E2F1 protected against HG-induced HUVEC injury via the activation
of autophagy. The overexpression of miR-17-5p inhibited E2F1-mediated HUVEC
injury under HG conditions, which was reversed following transfection with an
E2F1-overexpression vector. The bifluorescein experiments showed that miR-17-5p
targeted the 3'UTR of E2F1. Taken together, the results suggested that the
expression of miR-17-5p inhibited HG-induced endothelial cell injury by targeting
E2F1.
PMID- 29786753
TI - Hypoxia-induced expression of CXCR4 favors trophoblast cell migration and
invasion via the activation of HIF-1alpha.
AB - The placenta initially develops in a low-oxygen environment up to week 8-10 of
gestation, and a low oxygen level is a critical factor in the regulation of
trophoblast migration and invasion. CXC chemokine receptor 4 (CXCR4) is
transcriptionally activated by hypoxia in cancer cells. However, whether CXCR4 is
involved in hypoxia-inducible factor (HIF)-1alpha-dependent trophoblastic
migration and invasion in a physiologically hypoxic environment (3% O2) remains
to be fully elucidated and requires further investigation. In the present study,
the expression of CXCR4 in first-trimester villi was investigated, as was the
response of the trophoblast to hypoxia, and the role of CXCR4 and HIF-1alpha in
trophoblast migration and invasion. CXCR4 was significantly elevated in the first
trimester villi compared with normal full-term placentas. In vitro, the
expression of CXCR4 at the mRNA and protein levels was increased in JEG3 cells
exposed to 3% O2 in a time-dependent manner, and the migratory and invasive
abilities of the JEG3 cells were upregulated. In addition, CXCR4 knockdown by
transfection with CXCR4-specific small interfering (si)RNA decreased the
migration and invasion of JEG3 cells exposed to 3% O2. Furthermore, synthetic
siRNA specific for HIF-1alpha significantly suppressed the expression of CXCR4 in
JEG3 cells exposed to 3% O2, whereas pcDNA-HIF-1alpha significantly increased the
expression of CXCR4. These results indicated that the hypoxia-induced expression
of CXCR4 promoted trophoblast cell migration and invasion via the activation of
HIF-1alpha, which is crucial during placentation.
PMID- 29786754
TI - Regulation of interferon signaling and HCV-RNA replication by extracellular
matrix.
AB - Although interferon (IFN)-based treatment of patients with chronic hepatitis C
virus (HCV) infection is widely applied, treatment resistance is often observed
in patients with advanced liver fibrosis. Given that the molecular mechanisms of
IFN resistance in liver fibrosis remain elusive, the present study investigated
the effects of extracellular matrix (ECM) on IFN signaling in hepatic cells. The
native HuH-7 human hepatoma cell line and HuH-7 cells were stably transfected
with full-length HCV-RNA fused with Renilla luciferase (OR6 cells) were cultured
on ECM-coated dishes or non-coated plastic dishes (NDs), and treated with human
IFN-alpha. In Huh-7 cells cultured on coated dishes, the IFN-stimulated response
element (ISRE) luciferase activity was measured following ISRE plasmid
transfection and the expression of IFN-stimulated genes (ISG) were significantly
lower than those in cells cultured on NDs. In addition, after IFN-alpha
treatment, the amount of HCV-RNA and viral protein produced by OR6 cells cultured
on coated dishes was higher than that produced by cells cultured on NDs. When
cells were treated with beta1-integrin-blocking antibody to disrupt the cell
matrix interaction, the ISRE luciferase activity was restored, and the protein
expression of ISG was increased, while that of HCV proteins was suppressed.
Treatment of cells with integrin-linked kinase (ILK) inhibitor or focal adhesion
kinase (FAK) inhibitor restored the ISRE luciferase activity and expression of
ISG proteins. These results suggested that beta1-integrin-mediated signals
affected the IFN signaling and promoted HCV replication. Therefore, the
accumulation of ECM in liver fibrosis may impair IFN signaling through beta1
integrin-mediated signaling involving ILK and FAK.
PMID- 29786755
TI - Cardiac progenitor cell-derived exosomes promote H9C2 cell growth via Akt/mTOR
activation.
AB - Exosomes are cell-derived vesicles released from a variety of mammalian cells
that are involved in cell-to-cell signalling. It has been reported that cardiac
progenitor cells (CPCs) derived from an adult heart are one of the most promising
stem cell types for cardioprotection and repair. The mammalian target of
rapamycin (mTOR) signalling pathway is a pivotal regulator in CPCs, therefore,
CPC-derived exosomes were used in the present study to investigate whether it can
promote H9C2 cell growth through the protein kinase B (PKB, or Akt)/mTOR
signalling pathway. The CPCs were isolated from Sprague-Dawley hearts. Following
treatment with a specific medium, the exosomes were purified and identified by
electron micrograph and western blot assays, using CD63 and CD81 as markers. The
methyl-thiazolyl-tetrazolium and 5-ethynyl-2'-deoxyuridine methods were used to
detect H9C2 cell growth. The expression of Akt and mTOR were detected by western
blot analysis following treatment with 200 or 400 ug/ml of exosomes for 24 or 48
h, respectively. It was found that, compared with higher concentrations of
exosomes, prolonging the duration of exposure promoted cell growth. Accordingly,
CPC-derived exosomes stimulated the expression of Akt to a marked degree; groups
treated with exosomes for 48 h showed higher expression of Akt than those treated
for 24 h at the same concentration. mTOR was also stimulated by CPC-derived
exosomes. The activation of mTOR increased in accordance with the treatment time
at an exosome concentration of 200 ug/ml and decreased with treatment time at an
exosome concentration of 400 ug/ml. In conclusion, the present study demonstrated
that CPC-derived exosomes promoted H9C2 cell growth via the activation of
Akt/mTOR in a time-dependent manner at a relatively low exosome concentration,
which may provide a novel therapy for cardiovascular disease.
PMID- 29786756
TI - Backbone NMR assignments of HypF-N under conditions generating toxic and non
toxic oligomers.
AB - The HypF protein is involved in the maturation and regulation of hydrogenases.
The N-terminal domain of HypF (HypF-N) has served as a key model system to study
the pathways of protein amyloid formation and the nature of the toxicity of pre
fibrilar protein oligomers. This domain can aggregate into two forms of oligomers
having significantly different toxic effects when added to neuronal cultures.
Here, NMR assignments of HypF-N backbone resonances are presented in its native
state and under the conditions favouring the formation of toxic and non-toxic
oligomers. The analyses of chemical shifts provide insights into the protein
conformational state and the possible pathways leading to the formation of
different types of oligomers.
PMID- 29786759
TI - A Novel MYCN Variant Associated with Intellectual Disability Regulates Neuronal
Development.
PMID- 29786757
TI - Copy number abnormality of acute lymphoblastic leukemia cell lines based on their
genetic subtypes.
AB - In this study, we performed genetic analysis of 83 B cell precursor acute
lymphoblastic leukemia (B-ALL) cell lines. First, we performed multiplex ligation
dependent probe amplification analysis to identify copy number abnormalities
(CNAs) in eight genes associated with B-ALL according to genetic subtype. In Ph+
B-ALL cell lines, the frequencies of IKZF1, CDKN2A/2B, BTG1, and PAX5 deletion
were significantly higher than those in Ph- B-ALL cell lines. The frequency of
CDKN2A/2B deletion in KMT2A rearranged cell lines was significantly lower than
that in non-KMT2A rearranged cell lines. These findings suggest that CNAs are
correlated with genetic subtype in B-ALL cell lines. In addition, we determined
that three B-other ALL cell lines had IKZF1 deletions (YCUB-5, KOPN49, and
KOPN75); we therefore performed comprehensive genetic analysis of these cell
lines. YCUB-5, KOPN49, and KOPN75 had P2RY8-CRLF2, IgH-CRLF2, and PAX5-ETV6
fusions, respectively. Moreover, targeted capture sequencing revealed that YCUB-5
had JAK2 R683I and KRAS G12D, and KOPN49 had JAK2 R683G and KRAS G13D mutations.
These data may contribute to progress in the field of leukemia research.
PMID- 29786758
TI - Long-term complete remission following tandem autologous stem cell
transplantation and consolidative radiotherapy for refractory mediastinal gray
zone lymphoma.
AB - Mediastinal gray zone lymphoma (MGZL) is a provisional entity with intermediate
features between classical Hodgkin lymphoma (cHL) and diffuse large B-cell
lymphoma. Outcomes for patients with MGZL are reportedly poorer than those for
patients with cHL or primary mediastinal large B-cell lymphoma. Additionally, no
standard management guidelines for patients with MGZL are available, primarily
due to its recent identification, rarity, and challenges in diagnosis. Although
recent several studies have suggested dose-adjusted EPOCH-R (etoposide,
doxorubicin, vincristine, cyclophosphamide, prednisolone, and rituximab) may
improve outcomes in patients with MGZL, numerous patients still suffer from
relapsed/refractory MGZL, and the optimal management for such patients remains
uncertain. Here, we report the first case of successful treatment of refractory
MGZL by tandem high-dose chemotherapy supported by autologous stem cell
transplantations (auto-SCTs) and consolidative radiotherapy (RT). To date, the
patient remains in CR 33 months after the completion of RT, with no significant
complications. This case suggests that tandem auto-SCTs may be a promising
therapeutic option for relapsed/refractory MGZL.
PMID- 29786760
TI - SIRT1 rs3758391 and Major Depressive Disorder: New Data and Meta-Analysis.
PMID- 29786762
TI - Chronic conditions and multimorbidity in a primary care population: a study in
the Swiss Sentinel Surveillance Network (Sentinella).
AB - OBJECTIVES: To provide estimates of the prevalence of chronic conditions in Swiss
primary care. METHODS: In total, 175 general practitioners (GP) or pediatricians
(PED) reporting to the Swiss Sentinel Surveillance Network collected morbidity
data. RESULTS: In 26,853 patient contacts, mean (+/- SD) age was 55.8 +/- 21.6 or
6.1 +/- 5.7 years (in GPs vs. PEDs, respectively) and 47% were males. In GP
patients, median Thurgau Morbidity Index was 2 (IQR 1-3). The median numbers of
chronic conditions and permanently used prescribed drugs were 2 (0-5) and 2 (1
4), respectively; in PEDs medians were 0. Out of all patients, 16.7 and 7.0% of
the PED patients were hospitalized during the previous year; patients cared by
family/proxies or community nurses were hospitalized significantly more often
than patients living in homes (50.1 vs. 35.4%, OR 1.41, p < 0.001). Out of
patients over 80 years of age, 51.5% were care dependent and 45.5% of the
patients over 90 years were living in homes for the elderly. CONCLUSIONS: In a
representative sample of Swiss primary care patients, a substantial part shows
multimorbidity with a high prevalence of chronic diseases, multiple drug
treatment, and care dependency. These data may serve to be compared with other
patient groups or other primary care systems. Trial registration
www.clinicaltrials.gov NCT0229537, national study registry www.kofam.ch
SNCTP000001207.
PMID- 29786761
TI - Engineering terpenoid production through transient expression in Nicotiana
benthamiana.
AB - Terpenoids are the most structurally diverse class of plant natural products with
a huge range of commercial and medical applications. Exploiting this enormous
potential has historically been hindered due to low levels of these compounds in
their natural sources, making isolation difficult, while their structural
complexity frequently makes synthetic chemistry approaches uneconomical.
Engineering terpenoid biosynthesis in heterologous host production platforms
provides a means to overcome these obstacles. In particular, plant-based
production systems are attractive as they provide the compartmentalisation and
cofactors necessary for the transfer of functional pathways from other plants.
Nicotiana benthamiana, a wild relative of tobacco, has become increasingly
popular as a heterologous expression platform for reconstituting plant natural
product pathways, because it is amenable to Agrobacterium-mediated transient
expression, a scalable and highly flexible process that enables rapid expression
of genes and enzymes from other plant species. Here, we review recent work
describing terpene production in N. benthamiana. We examine various strategies
taken to engineer this host for increased production of the target metabolite. We
also look at how transient expression can be utilised for rapid generation of
molecular diversity, including new-to-nature products. Finally, we highlight
current issues surrounding this expression platform and discuss the future
directions and developments which will be needed to fully realise the potential
of this system.
PMID- 29786763
TI - miR-124 promotes proliferation and neural differentiation of neural stem cells
through targeting DACT1 and activating Wnt/beta-catenin pathways.
AB - Neural stem cells (NSCs) are multipotent and undifferentiated cells with the
potential to differentiate into neuronal lineages and gliocytes. NSCs have the
ability to generate and regenerate the brain, indicating the possibility of cell
based therapies for neurological disorders. miR-124 has been demonstrated as a
modulator in the survival, expansion, and differentiation of NSCs. However, the
underlying molecular mechanisms of miR-124 in NSC development are still far from
being understood. The expressions of miR-124, dishevelled binding antagonist of
beta-catenin 1 (DACT1), ki-67, Nestin, beta-tubulin III, glial fibrillary acidic
protein (GFAP), beta-catenin, cyclinD1, and glycogen synthase kinase-3beta (GSK
3beta) were examined by qRT-PCR or western blot. Bioinformatics and Dual
Luciferase reporter assay were used to identify the interaction between miR-124
and DACT1. MTS analysis was performed to measure the viability of NSCs. Enhanced
expression of miR-124 and lowered expression of DACT1 were observed during a 14
day NSC differentiation period. DACT1 was verified as a direct target of miR-124.
Moreover, overexpression of miR-124 promoted NSC proliferation and induced neuron
specific differentiation, presented as increased cell viability, higher
neurosphere number, elevated ki-67, Nestin, beta-tubulin III expressions, and
decreased GFAP expression. Similarly, DACT1 downregulation facilitated
proliferation and neuronal differentiation of NSCs. Furthermore, DACT1
overexpression impaired miR-124-induced proliferation and differentiation of
NSCs. Additionally, miR-124 stimulated Wnt/beta-catenin signaling via suppressing
DACT1 expression. miR-124 promoted proliferation and induced NSC differentiation
to neurons by activation of Wnt/beta-catenin pathway via targeting DACT1,
providing a potential target and aiding the development of cell-based therapies
for neurological disorders.
PMID- 29786764
TI - Encapsulation of micronutrients resveratrol, genistein, and curcumin by folic
acid-PAMAM nanoparticles.
AB - It has been shown that encapsulation of dietary polyphenols leads to increased
solubility and bioavailability of these micronutrients. The encapsulation of
dietary polyphenols resveratrol, genistein, and curcumin by folic acid-PAMAM-G3
and folic acid-PAMAM-G4 nanoparticles was studied in aqueous solution at
physiological conditions, using multiple spectroscopic methods, TEM images, and
docking studies. The polyphenol bindings are via hydrophilic, hydrophobic, and H
bonding contacts with resveratrol forming more stable conjugates. As folic acid
PAMAM nanoparticle size increased, the loading efficacy and the stability of
polyphenol-polymer conjugates were increased. Polyphenol encapsulation induced
major alterations of dendrimer morphology. Folic acid-PAMAM nanoconjugates are
capable of delivery of polyphenols in vitro.
PMID- 29786765
TI - Analysis of Streptomyces ghanaensis ATCC14672 gene SSFG_07725 for putative gamma
butyrolactone synthase.
AB - Low molecular weight signaling compounds (LMWC) are important players in
regulating various aspects of Streptomyces biology. Their exact roles in certain
strain will ultimately depend on overall configuration of regulatory network and
thus cannot be predicted on basis of in silico studies. Here, we explored S.
ghanaensis gene SSFG_07725 (afsAgh) presumably involved in initial steps of
formation of gamma-butyrolactone LMWC. Disruption of afsAgh impaired aerial
mycelium formation and increased the transcription of pleiotropic regulatory gene
adpAgh, whereas level of moenomycin production remained virtually unaffected. We
provide evidence that morphogenetic deficiency of afsAgh-minus mutant was caused
by inability to produce diffusible LMWC. Possible links between gamma
butyrolactone signaling and various aspects of S. ghanaensis biology are
discussed.
PMID- 29786766
TI - Bacterial DNA detected on pathologically changed heart valves using 16S rRNA gene
amplification.
AB - Nowadays, dental diseases are one of the most common illnesses in the world. Some
of them can lead to translocation of oral bacteria to the bloodstream causing
intermittent bacteraemia. Therefore, a potential association between oral
infection and cardiovascular diseases has been discussed in recent years as a
result of adhesion of oral microbes to the heart valves. The aim of this study
was to detect oral bacteria on pathologically changed heart valves not caused by
infective endocarditis. In the study, patients with pathologically changed heart
valves were involved. Samples of heart valves removed during heart valve
replacement surgery were cut into two parts. One aliquot was cultivated
aerobically and anaerobically. Bacterial DNA was extracted using Ultra-Deep
Microbiome Prep (Molzym GmbH, Bremen, Germany) followed by a 16S rRNA gene PCR
amplification using Mastermix 16S Complete kit (Molzym GmbH, Bremen, Germany).
Positive PCR products were sequenced and the sequences were analyzed using BLAST
database ( http://www.ncbi.nlm.nih/BLAST ). During the study period, 41 samples
were processed. Bacterial DNA of the following bacteria was detected in 21
samples: Cutibacterium acnes (formerly Propionibacterium acnes) (n = 11; 52.38%
of patients with positive bacterial DNA detection), Staphylococcus sp. (n = 9;
42.86%), Streptococcus sp. (n = 1; 4.76%), Streptococcus sanguinis (n = 4;
19.05%), Streptococcus oralis (n = 1; 4.76%), Carnobacterium sp. (n = 1; 4.76%),
Bacillus sp. (n = 2; 9.52%), and Bergeyella sp. (n = 1; 4.76%). In nine samples,
multiple bacteria were found. Our results showed significant appearance of
bacteria on pathologically changed heart valves in patients with no symptoms of
infective endocarditis.
PMID- 29786767
TI - Oral supplementation of Lanthanum Zirconate nanoparticles moderately affected
behavior but drastically disturbed leukocyte count, serum cholesterol levels and
antioxidant parameters from vital organs of albino mice in a gender specific
manner.
AB - Lanthanum Zirconate nanoparticles (NPs) are used in blades of gas turbine engines
to thermally insulate them and to protect them against hot and corrosive gas
streams. However, the information regarding their biocompatibility is limited.
The present study was aimed to report the effect of Lanthanum Zirconate NPs on
selected aspects of behavior, serum biochemistry, complete blood count and
antioxidant parameters from vital organs of albino mice in a gender specific
manner. Albino mice, seven weeks old, were orally treated with 75 mg/ml
solvent/Kg body weight of Lanthanum Zirconate nanoparticles for consecutive 22
days. Saline treated control groups were maintained in parallel. It was observed
that rearing frequency was significantly decreased (P = 0.01) in NPs treated male
mice. Complete blood count analysis indicated that NPs treated female mice had
significantly reduced white blood cells (P = 0.05) and lymphocytes count (P =
0.03). NPs treated male had significantly reduced serum cholesterol levels (P =
0.05) than control group. It was observed that Superoxide dismutase
concentrations in liver (P = 0.025) and kidney (P = 0.008), Malondialdehyde
concentrations in liver (P = 0.044) of female and Malondialdehyde concentrations
in kidney (P < 0.001) and brain (P < 0.001) and catalase concentrations in liver
(P = 0.05) of NPs treated male mice were significantly higher than their
respective control groups.. In conclusion, we are reporting that oral
supplementation with 75 mg/ml solvent/Kg body weight of Lanthanum Zirconate
nanoparticles can affect the behavior, leukocyte count, serum cholesterol and
antioxidant metabolites from vital organs of albino mice in a gender specific
manner.
PMID- 29786769
TI - Do fatal infectious diseases eradicate host species?
AB - In simple SI epidemic and endemic models, three classes of incidence functions
are identified for their potential to be associated with host extinction: weakly
upper density-dependent incidences are never associated with host extinction.
Power incidences that depend on the number of susceptibles and infectives by
powers strictly between 0 and 1 are associated with initial-constellation
dependent host extinction for all parameter values. Homogeneous incidences, of
which frequency-dependent incidence is a very particular case, and power
incidences are associated with global host extinction for certain parameter
constellations and with host survival for others. Laboratory infection
experiments with salamander larvae are equally well fitted by power incidences
and certain upper density-dependent incidences such as the negative binomial
incidence and do not rule out homogeneous incidences such as an asymmetric
frequency-dependent incidence either.
PMID- 29786768
TI - Risk Prediction Score for HIV Infection: Development and Internal Validation with
Cross-Sectional Data from Men Who Have Sex with Men in China.
AB - Receptive anal intercourse, multiple partners, condomless sex, sexually
transmitted infections (STIs), and drug/alcohol addiction are familiar factors
that correlate with increased human immunodeficiency virus (HIV) risk among men
who have sex with men (MSM). To improve estimation to HIV acquisition, we created
a composite score using questions from routine survey of 3588 MSM in Beijing,
China. The HIV prevalence was 13.4%. A risk scoring tool using penalized maximum
likelihood multivariable logistic regression modeling was developed, deploying
backward step-down variable selection to obtain a reduced-form model. The full
penalized model included 19 sexual predictors, while the reduced-form model had
12 predictors. Both models calibrated well; bootstrap-corrected c-indices were
0.70 (full model) and 0.71 (reduced-form model). Non-Beijing residence, short
term living in Beijing, illegal drug use, multiple male sexual partners,
receptive anal sex, inconsistent condom use, alcohol consumption before sex, and
syphilis infection were the strongest predictors of HIV infection. Discriminating
higher-risk MSM for targeted HIV prevention programming using a validated risk
score could improve the efficiency of resource deployment for educational and
risk reduction programs. A valid risk score can also identify higher risk persons
into prevention and vaccine clinical trials, which would improve trial cost
efficiency.
PMID- 29786771
TI - Analysis of Letter Representation Using Latin and Arabic Scripts: A Masked
Priming Study.
AB - Reading plays an essential role in our everyday lives. The aim of this study is
to investigate how letters are represented in the brain using the unique
characteristics of the Arabic language, which can be written with 2 different
scripts. The hypothesis proposed is that the processing of script is sound based:
Phonology is what determines letter identity. Using a forward-masked priming
paradigm, we showed that Latin-script primes facilitated the recognition of
subsequent Arabic-script targets which differed in orthography but shared
phonology, thus suggesting a common level of phonological processing. In
addition, semantic priming effects were controlled for. The findings thus
confirmed our hypothesis and showed that letters that represent the same sound
are processed similarly.
PMID- 29786772
TI - Upstaging to invasive ductal carcinoma after mastectomy for ductal carcinoma in
situ: predictive factors and role of sentinel lymph node biopsy.
AB - BACKGROUND: The aim of this study was to investigate preoperative factors
associated with ductal carcinoma in situ (DCIS) upstaged to invasive ductal
carcinoma (IDC) and sentinel lymph node (SLN) status in patients who underwent
mastectomy for a preoperative diagnosis of DCIS. METHODS: The medical records of
220 patients who underwent mastectomy for a preoperative diagnosis of DCIS were
retrospectively reviewed. RESULTS: Fifty-one (22.6%) of 226 lesions were upgraded
to IDC after mastectomy. Preoperative factors associated with upstaging to IDC
included patient-reported signs and symptoms, a clinically palpable mass,
ultrasound findings classified as category 4 or 5, the ultrasound appearance of a
mass or widely distributed non-mass abnormality (NMA), and a high Ki67 index. The
prevalence of SLN macrometastasis was 0.9%. IDC was diagnosed for 10.9% of
lesions of a preoperative ultrasound category of 0-3, 13.0% of those with no mass
or NMA detected by ultrasonography, and 14.1% of lesions preoperatively diagnosed
by methods other than core needle biopsy (CNB). Of those lesions, none was
associated with SLN metastasis. CONCLUSIONS: Routinely performing SLN biopsy for
patients undergoing mastectomy for a preoperative diagnosis of DCIS is
overtreatment, because the prevalence of SLN metastasis was low. SLN biopsy can
be omitted for most patients. In particular, we suggest omitting SLN biopsy for
patients who have lesions of ultrasound category 0-3, who have neither a mass nor
NMA detected by ultrasound, or whose initial diagnosis was made based on a
specimen obtained by methods other than CNB.
PMID- 29786770
TI - The Extract of Leonurus sibiricus Transgenic Roots with AtPAP1 Transcriptional
Factor Induces Apoptosis via DNA Damage and Down Regulation of Selected
Epigenetic Factors in Human Cancer Cells.
AB - The aim of this study was to determine the anticancer potential of Leonurus
sibiricus extract derived from in vitro transgenic roots transformed by
Agrobacetrium rhizogenes with AtPAP1 transcriptional factor, and that of
transformed roots without construct, on grade IV human glioma cells and the U87MG
cell line, and attempt to characterize the mechanism involved in this process.
The anticancer effect induced by the tested extracts was associated with DNA
damage, PARP cleavage/increased H2A.X histone levels and UHRF-1/DNMT1 down
regulation of mRNA levels. Additionally, we demonstrated differences in the
content of compounds in the tested extracts by HPLC analysis with ATPAP1
construct and without. Both the tested extracts showed anticancer properties and
the better results were observed for AtPAP1 with transcriptional factor root
extract; this effect could be ascribed to the presence of higher condensed
phenolic acids such as neochlorogenic acid, chlorogenic acids, ferulic acid,
caffeic acid and p-coumaric acid. Further studies with AtPAP1 (with the
transcriptional factor from Arabidopisi thaliana) root extract which showed
better activities in combination with anticancer drugs are needed.
PMID- 29786773
TI - Survival analysis according to period and analysis of the factors influencing
changes in survival in patients with recurrent breast cancer: a large-scale,
single-center study.
AB - BACKGROUND: We performed this study to analyze changing survival patterns
regarding recurrent breast cancer in Korea during the last 16 years (1993-2008).
We also sought to determine factors possibly influencing outcomes and changes
over time in the duration of survival after recurrence. METHODS: We
retrospectively analyzed 9671 patients with breast cancer treated between January
1993 and December 2008, comparing the periods 1993-2002 and 2003-2008.We
retrospectively reviewed the collected database including the age at diagnosis,
clinical manifestations, pathology report, surgical methods, types of adjuvant
treatment modalities, type of recurrence, and follow-up period. RESULTS: There
were 1944 cases (20.1%) of recurrence. Median age at the first recurrence was
49.5 years (range 21.8-92.9). Median follow-up was 28.8 months (range 0-228.0)
from the time of relapse. Median survival time was 35.0 months. Survival after
recurrence (SAR) significantly improved in 2003-2008 compared to that in 1993
2002. Median survival time increased from 27.6 months in the period I to 42.3
months in period II (p = 0.001). Independent prognostic factors after the first
recurrence by multivariate analysis were age at diagnosis, tumor size, nodal
status, tumor grade, subtype, anti-hormonal therapy, time at diagnosis, and
disease-free interval. CONCLUSIONS: Outcomes of breast cancer have been improving
recently, and survival time after the first recurrence of breast cancer has
steadily increased in recent decades. We confirmed that advances in treatments
have contributed to this improvement in survival after the first recurrence.
PMID- 29786775
TI - Stormram 4: An MR Safe Robotic System for Breast Biopsy.
AB - Suspicious lesions in the breast that are only visible on magnetic resonance
imaging (MRI) need to be biopsied under MR guidance with high accuracy and
efficiency for accurate diagnosis. The aim of this study is to present a novel
robotic system, the Stormram 4, and to perform preclinical tests in an MRI
environment. Excluding racks and needle, its dimensions are 72 * 51 * 40 mm. The
Stormram 4 is driven by two linear and two curved pneumatic stepper motors. The
linear motor is capable of exerting 63 N of force at a pressure of 0.65 MPa. In
an MRI environment the maximum observed stepping frequency is 30 Hz (unloaded),
or 8 Hz when full force is needed. The Stormram 4's mean positioning error is
0.73 +/- 0.47 mm in free air, and 1.29 +/- 0.59 mm when targeting breast phantoms
in MRI. Excluding the off-the-shelf needle, the robot is inherently MR safe. The
robot is able to accurately target lesions under MRI guidance, reducing tissue
damage and risk of false negatives. These results are promising for clinical
experiments, improving the quality of healthcare in the field of MRI-guided
breast biopsies.
PMID- 29786774
TI - Computational Fluid Dynamics Modeling of the Human Pulmonary Arteries with
Experimental Validation.
AB - Pulmonary hypertension (PH) is a chronic progressive disease characterized by
elevated pulmonary arterial pressure, caused by an increase in pulmonary arterial
impedance. Computational fluid dynamics (CFD) can be used to identify metrics
representative of the stage of PH disease. However, experimental validation of
CFD models is often not pursued due to the geometric complexity of the model or
uncertainties in the reproduction of the required flow conditions. The goal of
this work is to validate experimentally a CFD model of a pulmonary artery phantom
using a particle image velocimetry (PIV) technique. Rapid prototyping was used
for the construction of the patient-specific pulmonary geometry, derived from
chest computed tomography angiography images. CFD simulations were performed with
the pulmonary model with a Reynolds number matching those of the experiments.
Flow rates, the velocity field, and shear stress distributions obtained with the
CFD simulations were compared to their counterparts from the PIV flow
visualization experiments. Computationally predicted flow rates were within 1% of
the experimental measurements for three of the four branches of the CFD model.
The mean velocities in four transversal planes of study were within 5.9 to 13.1%
of the experimental mean velocities. Shear stresses were qualitatively similar
between the two methods with some discrepancies in the regions of high velocity
gradients. The fluid flow differences between the CFD model and the PIV phantom
are attributed to experimental inaccuracies and the relative compliance of the
phantom. This comparative analysis yielded valuable information on the accuracy
of CFD predicted hemodynamics in pulmonary circulation models.
PMID- 29786776
TI - A Framework for Image-Based Modeling of Acute Myocardial Ischemia Using
Intramurally Recorded Extracellular Potentials.
AB - The biophysical basis for electrocardiographic evaluation of myocardial ischemia
stems from the notion that ischemic tissues develop, with relative uniformity,
along the endocardial aspects of the heart. These injured regions of
subendocardial tissue give rise to intramural currents that lead to ST segment
deflections within electrocardiogram (ECG) recordings. The concept of
subendocardial ischemic regions is often used in clinical practice, providing a
simple and intuitive description of ischemic injury; however, such a model
grossly oversimplifies the presentation of ischemic disease-inadvertently leading
to errors in ECG-based diagnoses. Furthermore, recent experimental studies have
brought into question the subendocardial ischemia paradigm suggesting instead a
more distributed pattern of tissue injury. These findings come from experiments
and so have both the impact and the limitations of measurements from living
organisms. Computer models have often been employed to overcome the constraints
of experimental approaches and have a robust history in cardiac simulation. To
this end, we have developed a computational simulation framework aimed at
elucidating the effects of ischemia on measurable cardiac potentials. To validate
our framework, we simulated, visualized, and analyzed 226 experimentally derived
acute myocardial ischemic events. Simulation outcomes agreed both qualitatively
(feature comparison) and quantitatively (correlation, average error, and
significance) with experimentally obtained epicardial measurements, particularly
under conditions of elevated ischemic stress. Our simulation framework introduces
a novel approach to incorporating subject-specific, geometric models and
experimental results that are highly resolved in space and time into
computational models. We propose this framework as a means to advance the
understanding of the underlying mechanisms of ischemic disease while
simultaneously putting in place the computational infrastructure necessary to
study and improve ischemia models aimed at reducing diagnostic errors in the
clinic.
PMID- 29786777
TI - The Biomechanics of the Inter-Lamellar Matrix and the Lamellae During Progression
to Lumbar Disc Herniation: Which is the Weakest Structure?
AB - While microstructural observations have improved our understanding of possible
pathways of herniation progression, no studies have measured the mechanical
failure properties of the inter-lamellar matrix (ILM), nor of the adjacent
lamellae during progression to herniation. The aim of this study was to employ
multiscale, biomechanical and microstructural techniques to evaluate the effects
of progressive induced herniation on the ILM and lamellae in control, pre
herniated and herniated discs (N = 7), using 2 year-old ovine spines. Pre
herniated and herniated (experimental) groups were subjected to macroscopic
compression while held in flexion (13 degrees ), before micro-mechanical testing.
Micro-tensile testing of the ILM and the lamella from anterior and posterolateral
regions was performed in radial and circumferential directions to measure failure
stress, modulus, and toughness in all three groups. The failure stress of the ILM
was significantly lower for both experimental groups compared to control in each
of radial and circumferential loading directions in the posterolateral region (p
< 0.032). Within each experimental group in both loading directions, the ILM
failure stress was significantly lower by 36% (pre-herniation), and 59%
(herniation), compared to the lamella (p < 0.029). In pre-herniated compared to
control discs, microstructural imaging revealed significant tissue stretching and
change in orientation (p < 0.003), resulting in a loss of distinction between
respective lamellae and ILM boundaries.
PMID- 29786778
TI - Development and characterization of microsatellite markers for endangered species
Stipa pennata (Poaceae) and their usefulness in intraspecific delimitation.
AB - Stipa pennata (Poaceae), has become a rare and endangered species in Central
Europe due habitat loss and fragmentation. This species is characterized by high
morphological variability, which has resulted in the description of numerous
intraspecific taxa. The aim of present work is to develop microsatellite markers
useful in population genetics studies as well as in intraspecific taxonomy of S.
pennata s.l. We developed ten microsatellite markers using Illumina high
throughput. Polymorphism at each marker was evaluated using 4-15 individuals from
four morphotypes of S. pennata s.l. Seven markers showed polymorphism while three
were monomorphic. The number of alleles per locus ranged from 7 to 12, and the
observed and expected heterozygosity varied from 0.000 to 1.000 and 0.000 to
0.8670, respectively. Our results confirm that three of four studied morphotypes
are genetically distinct. The microsatellite markers developed here will be
useful for evaluating levels of genetic diversity and differentiation, to study
gene flow, population dynamics and in future conservation studies as well as for
intraspecific delimitation of morphologically similar taxa within S. pennata s.l.
PMID- 29786779
TI - DNMT3A controls miR-200b in cardiac fibroblast autophagy and cardiac fibrosis.
AB - AIM AND OBJECTIVE: Regulation of microRNA gene expression by DNA methylation may
represent a key mechanism to drive cardiac fibrosis progression. Cardiac
fibroblast autophagy is the primary source of cardiac fibrosis, but the
mechanisms underlying this process are incompletely understood. Here we found
that DNMT3A suppression of the microRNA-200b (miR-200b) through pathway leads to
cardiac fibroblast autophagy in cardiac fibrosis. METHODS: To understand the
impact of DNMT3A on miR-200b at cardiac fibrosis, the rat cardiac fibrosis model
was established via the abdominal aortic coarctation. Cardiac fibroblasts (CFs)
were harvested from SD neonate rats and cultured. The expression of DNMT3A, miR
200b, collagen I was measured by western blotting, immunohistochemistry and qRT
PCR. Gain- or loss-of-function approaches were used to manipulate DNMT3A and miR
200b. RESULTS: DNMT3A level was upregulated and negatively correlated with miR
200b expression in fibrosis tissues and cardiac fibroblast. We found that
autophagy was activated by miR-200b inhibitor and inactivated by miR-200b mimic
in the rat cardiac fibroblast. Knockdown of DNMT3A notably increased the
expression of miR-200b. CONCLUSIONS: Taken together, these findings indicate that
DNMT3A regulation of miR-200b controls cardiac fibroblast autophagy during
cardiac fibrosis and provide a basis for the development of therapies for cardiac
fibrosis.
PMID- 29786780
TI - Correction to: The Italian version of the Juvenile Arthritis Multidimensional
Assessment Report (JAMAR).
AB - The family name of author Francesco La Torre was incorrect in the published
article. The correct family name should read as La Torre F.
PMID- 29786782
TI - Preclinical impact of high dose intermittent antiangiogenic tyrosine kinase
inhibitor pazopanib in intrinsically resistant tumor models.
AB - Antiangiogenic tyrosine kinase inhibitors (TKIs) target vascular endothelial
growth factor receptors and other receptor tyrosine kinases. As a result of
toxicity, the clinical failures or the modest benefits associated with
antiangiogenic TKI therapy may be related in some cases to suboptimal drug dosing
and scheduling, thereby facilitating resistance. Most antiangiogenic TKIs,
including pazopanib, are administered on a continuous daily basis. Here, instead,
we evaluated the impact of increasing the dose and administering the drug
intermittently. The rationale is that using such protocols, antitumor efficacy
could be enhanced by direct tumor cell targeting effects in addition to
inhibiting tumor angiogenesis. To test this, we employed two human tumor
xenograft models, both of which manifest intrinsic resistance to pazopanib when
it is administered continuously: the VHL-wildtype SN12-PM6-1 renal cell carcinoma
(RCC) and the metastatic MDA-MB-231/LM2-4 variant breast cancer cell line, when
treated as distant metastases. We evaluated four different doses and schedules of
pazopanib in the context of primary tumors and advanced metastatic disease, in
both models. The RCC model was not converted to drug sensitivity using the
intermittent protocol. Using these protocols did not enhance the efficacy when
treating primary LM2-4 tumors. However, one of the high-dose intermittent
pazopanib protocols increased median survival when treating advanced metastatic
disease. In conclusion, these results overall suggest that primary tumors showing
sensitivity to continuous pazopanib treatment may predict response to this drug
when given at high doses intermittently in the context of advanced metastatic
disease, that are otherwise resistant to the conventional protocol.
PMID- 29786781
TI - The EJES-3D tool for personalized prescription of exercise in axial
spondyloarthritis through multimedia animations: pilot study.
AB - To develop and evaluate a web application based on multimedia animations,
combined with a training program, to improve the prescription of exercises in
spondyloarthritis (SpA). After a review of exercises included in the main
clinical trials and recommendations of international societies, a
multidisciplinary team-rehabilitators, rheumatologists, physiotherapists,
computer scientists and graphic designers-developed a web application for the
prescription of exercises (EJES-3D). Once completed, this was presented to 12
pairs of rehabilitators-rheumatologists from the same hospital in a workshop.
Knowledge about exercise was tested in rheumatologists before and 6 months after
the workshop, when they also evaluated the application. The EJES-3D application
includes 38 multimedia videos and allows prescribing predesigned programs or
customizing them. A patient can consult the prescribed exercises at any time from
a device with internet connection (mobile, tablet, or computer). The vast
majority of the evaluators (89%) were satisfied or very satisfied and considered
that their expectations regarding the usefulness of the web application had been
met. They highlighted the ability to tailor exercises adapted to the different
stages of the disease and the quality and variety of the videos. They also
indicated some limitations of the application and operational problems. The EJES
3D tool was positively evaluated by experts in SpA, potentially the most
demanding group of users with the most critical capacity. This allows a
preliminary validation of the contents, usefulness, and ease of use. Analyzing
and correcting the errors and limitations detected is allowing us to improve the
EJES-3D tool.
PMID- 29786784
TI - Generation of Prostate Cancer Patient-Derived Xenografts to Investigate
Mechanisms of Novel Treatments and Treatment Resistance.
AB - Treatment advances lead to survival benefits of patients with advanced prostate
cancer. These treatments are highly efficacious in a subset of patients; however,
similarly to other cancers, after initial responses the tumors develop resistance
(acquired resistance) and the patients succumb to the disease. Furthermore, there
is a subset of patients who do not respond to the treatment at all (de novo
resistance). Preclinical testing using patient-derived xenografts (PDXs) has led
to successful drug development, and PDXs will continue to provide valuable
resources to generate clinically relevant data with translational potential. PDXs
demonstrate tumor heterogeneity observed in patients, preserve tumor
microenvironment architecture, and provide clinically relevant treatment
responses. In view of the evolving biology of the advanced prostate cancer
associated with new treatments, PDXs representing these new tumor phenotypes are
urgently needed for the study of treatment responses and resistance. In this
chapter, we describe methodologies used to establish prostate cancer PDXs and use
of these PDXs to study de novo and acquired resistance.
PMID- 29786783
TI - A xenograft model for venous malformation.
AB - Vascular malformations are defects caused by the abnormal growth of the
vasculature. Among them, venous malformation (VM) is an anomaly characterized by
slow-flow vascular lesions with abnormally shaped veins, typically in sponge-like
configuration. VMs can expand over years causing disfigurement, obstruction of
vital structures, thrombosis, bleeding, and pain. Treatments have been very
limited and primarily based on supportive care, compression garments,
sclerotherapy, and/or surgical resection. Sirolimus treatment has recently shown
efficacy in some patients with complicated vascular anomalies, including VMs.
Activating somatic TIE2 gene mutations have been identified in up to 60% of VMs
and PIK3CA mutations have been found in another 25%. Here, we report a xenograft
model of VM that reflects the patients' mutation heterogeneity. First, we
established a protocol to isolate and expand in culture endothelial cells (VM-EC)
from VM tissue or VM blood of nine patients. In these cells, we identified
somatic mutations of TIE2, PIK3CA, or a combination of both. Both TIE2 and PIK3CA
mutations induced constitutive AKT activation, while TIE2 mutations also showed
high MAPK-ERK signaling. Finally, VM-EC implanted into immune-deficient mice
generated lesions with ectatic blood-filled channels with scarce smooth muscle
cell coverage, similar to patients' VM. This VM xenograft model could be
instrumental to test the therapeutic efficacy of Sirolimus in the presence of the
different TIE2 or PIK3CA mutations or to test for efficacy of additional
compounds in targeting the specific mutated protein(s), thus enabling development
of personalized treatment options for VM patients.
PMID- 29786785
TI - Methods to Study Angiogenesis in a Mouse Model of Prostate Cancer.
AB - Angiogenesis is one important hallmark of cancer progression which explains the
relevance of developing methods to efficiently analyze the neo-angiogenic
process. In this report we make use of the transgenic adenocarcinoma of the
murine prostate (TRAMP) model, considered a good model for studying clinical
prostate cancer progression, to describe in detail the methods used to study
angiogenesis in this type of solid tumor development. In this report we provide
step-by-step procedures on the basis of previous work in our laboratory for: the
mouse urogenital sinus (UGS) collection; microdissection of the prostate;
preparation of the prostatic samples for immunofluorescence (to analyze vascular
density, morphology, maturation, functionality, hypoxia, and others); preparation
of prostatic samples to histopathological analysis and/or immunohistochemistry;
and endothelial and vascular mural cell sorting and isolation by fluorescent
associated cell sorting (FACS) to further analysis (mRNA, protein, or other) or
to maintain in culture.
PMID- 29786786
TI - Methodologies Applied to Establish Cell Cultures in Prostate Cancer.
AB - This chapter focuses on primary cultures of the human malignant prostate. Current
abilities to isolate and culture stem cells, transit-amplifying cells, and
secretory luminal cells are described. Advantages and limitations of this model
system are also discussed.
PMID- 29786787
TI - Protocols for Migration and Invasion Studies in Prostate Cancer.
AB - Prostate cancer is the most common malignancy diagnosed in men in the western
world. The development of distant metastases and therapy resistance are major
clinical problems in the management of prostate cancer patients. In order for
prostate cancer to metastasize to distant sites in the human body, prostate
cancer cells have to migrate and invade neighboring tissue. Cancer cells can
acquire a migratory and invasive phenotype in several ways, including single cell
and collective migration. As a requisite for migration, epithelial prostate
cancer cells often need to acquire a motile, mesenchymal-like phenotype. This way
prostate cancer cells often lose polarity and epithelial characteristics (e.g.,
expression of E-cadherin homotypic adhesion receptor), and acquire mesenchymal
phenotype (for example, cytoskeletal rearrangements, enhanced expression of
proteolytic enzymes and other repertory of integrins). This process is referred
to as epithelial-to-mesenchymal transition (EMT). Cellular invasion, one of the
hallmarks of cancer, is characterized by the movement of cells through a three
dimensional matrix, resulting in remodeling of the cellular environment. Cellular
invasion requires adhesion, proteolysis of the extracellular matrix, and
migration of cells. Studying the migratory and invasive ability of cells in vitro
represents a useful tool to assess the aggressiveness of solid cancers, including
those of the prostate.This chapter provides a comprehensive description of the
Transwell migration assay, a commonly used technique to investigate the migratory
behavior of prostate cancer cells in vitro. Furthermore, we will provide an
overview of the adaptations to the Transwell migration protocol to study the
invasive capacity of prostate cancer cells, i.e., the Transwell invasion assay.
Finally, we will present a detailed description of the procedures required to
stain the Transwell filter inserts and quantify the migration and/or invasion.
PMID- 29786788
TI - Transplantable Animal Studies and Whole-Body Optical Imaging in Prostate
Carcinoma.
AB - Current treatments of advanced prostate cancer only marginally increase overall
survival and can be regarded as predominantly palliative. Hence, there is an
urgent need for novel therapeutic strategies for the treatment of primary tumors
and, more importantly perhaps, for the prevention of tumor progression and
metastasis formation. Clinically relevant preclinical models are therefore
urgently needed. An ideal, clinically relevant preclinical model would mimic the
genetic and phenotypic changes that occur at the different stages of human
prostate cancer progression and subsequent metastasis. In this chapter,
transplantable xenograft prostate cancer models are described, in which human
prostate cancer cells are transplanted into host animals (e.g., immune-deficient
mice). Cancer cells can be administered to the small laboratory animals in
various ways, including inoculation of the prostate tumor cells subcutaneously,
at the anatomical site of origin (orthotopically), or at the metastatic site. In
addition, we describe imaging methods suitable for small laboratory animals with
emphasis on optical imaging (bioluminescence and fluorescence).
PMID- 29786789
TI - Protocols for Tissue Microarrays in Prostate Cancer Studies.
AB - Tissue microarray (TMA) technology is a method for high-throughput analysis of
tissue biomarkers, commonly used in translational cancer research. TMAs allow
performing a variety of in situ applications on hundreds of tissue samples
simultaneously using the same protocols as for conventional slides. Thereby,
precious material from patient samples remains largely preserved while costs in
resources and time in laboratory processing decrease. Therefore, a TMA is a
powerful tool to identify and study biomarkers that may have a potential
diagnostic, prognostic, and predictive value. Depending on the research question,
there are different types of TMAs, such as progression TMA, outcome TMA, and
tumor heterogeneity TMA. Since the first introduction of the TMA method almost 20
years ago, most laboratories used manual tissue arrayers for manufacturing.
Nowadays, automatic or semiautomatic devices are commercially available, which
largely facilitates the technical construction. However, preparatory work remains
the most time-consuming part in preparing TMAs. This chapter focuses on issues
involved in design and construction of prostate cancer TMAs.
PMID- 29786790
TI - Functional Studies on Steroid Receptors.
AB - Nuclear receptors play an important role in prostate cancer and the androgen
receptor is a key transcription factor in regulation of cellular events. Androgen
receptor-associated coregulators may be upregulated or downregulated in prostate
cancer. Altered expression of regulators may potentiate androgen-induced
proliferation, migration, and invasion. Therapies aimed to modulate the function
of coregulators in prostate cancer may be based on the use of small molecule
inhibitors. Expression and function of AR-associated proteins could be
investigated after overexpression and gene silencing followed by hormonal
treatment, real-time RT-PCR and ChIP.
PMID- 29786791
TI - Protocols for Studies on TMPRSS2/ERG in Prostate Cancer.
AB - TMPRSS2/ERG is the most common type of gene fusions found in human prostate
cancer. There are two important features of TMPRSS2/ERG fusions. One is that
these gene fusions lead to ectopic expression of ERG, an ETS family transcription
factor, in prostate epithelial cells from the 5' control region of an
androgen/estrogen dual-responsive gene, TMPRSS2; the other is that ~60% of these
fusions are generated via intrachromosomal deletion of the interstitial region
between TMPRSS2 and ERG. To recapitulate these important aspects of TMPRSS2/ERG
fusions, we generated several TMPRSS2/ERG knockin mouse models based on the
endogenous Tmprss2 locus. We found that TMPRSS2/ERG represents an early event in
prostate tumorigenesis, by sensitizing prostate cells for cooperation with other
oncogenic events, such as PTEN-deficiency. We also found that the interstitial
region between TMPRSS2 and ERG harbors at least one prostate tumor suppressor,
ETS2, whose loss contributes to prostate cancer progression. In this protocol, we
describe how these knockin mouse models can be utilized to study roles of
TMPRSS2/ERG fusions in prostate cancer development both in vivo and in vitro.
PMID- 29786792
TI - Protocols for the Study of Taxanes Chemosensitivity in Prostate Cancer.
AB - Prostate cancer is major cause of cancer-related death among men in Western
countries. Locally advanced prostate cancers are treated with castration therapy,
which is initially effective, but after months the disease progresses to a
hormone-refractory state whose treatment is chemotherapy based on taxanes.
Although taxanes improve the survival of patients with castration-resistant
prostate cancers, these patients often develop chemotherapy resistance, and new
therapeutic strategies are necessary. Taxanes exert their action through
interaction with beta-tubulin which triggers cell cycle arrest in mitosis and the
subsequent induction of the intrinsic apoptotic pathway. Since taxanes are widely
used for the treatment of advanced prostate cancers, we present in this chapter
protocols that allow the study of the prostate cancer sensitivity as well as
determine the mechanisms of resistance to these chemotherapeutic agents.
PMID- 29786793
TI - A Method for Prostate and Breast Cancer Cell Spheroid Cultures Using Gelatin
Methacryloyl-Based Hydrogels.
AB - Modern tissue engineering technologies have delivered tools to recreate a cell's
naturally occurring niche in vitro and to investigate normal and pathological
cell-cell and cell-niche interactions. Hydrogel biomaterials mimic crucial
properties of native extracellular matrices, including mechanical support, cell
adhesion sites and proteolytic degradability. As such, they are applied as 3D
cell culture platforms to replicate tissue-like architectures observed in vivo,
allowing physiologically relevant cell behaviors. Here we review bioengineered 3D
approaches used for prostate and breast cancer. Furthermore, we describe the
synthesis and use of gelatin methacryloyl-based hydrogels as in vitro 3D cancer
model. This platform is used to engineer the microenvironments for prostate and
breast cancer cells to study processes regulating spheroid formation, cell
functions and responses to therapeutic compounds. Collectively, these
bioengineered 3D approaches provide cell biologists with innovative pre-clinical
tools that integrate the complexity of the disease seen in patients to advance
our knowledge of cancer cell physiology and the contribution of a tumor's
surrounding milieu.
PMID- 29786794
TI - Protocols for Studies on Genetically Engineered Mouse Models in Prostate Cancer.
AB - Cancer studies have entered an era that is heavily focused on the contribution of
the tumor microenvironment. For this reason, in vivo experimentation in an
immunodeficient model system is no longer fit for purpose. As a consequence,
numerous genetically engineered mouse models (GEMMs) which self-develop tumors
have been developed to allow experiments to be performed in a fully
immunocompetent setting. One of the most commonly used technologies is Cre-loxP
recombination due to its unique ability to control target gene expression in a
specified tissue type. However, the major limitation of these models remains the
inability to generate sufficient numbers of age-matched mice for a synchronized
experimental start date. For this reason, the derivation of cell lines from
genetically modified murine prostate tissue is desirable and allows for the
generation of syngeneic models via subcutaneous or orthotopic injection.
PMID- 29786795
TI - Protocols for Studies on Stromal Cells in Prostate Cancer.
AB - Interactions between tumor cells and fibroblasts play a pivotal role in cancer
development and progression. Indeed, the paracrine communication between these
two cell types is known to have physiological effects that alter carcinogenic and
metastatic potential. An often overlooked player in these interactions is the
involvement of the extracellular matrix (ECM). The network of ECM proteins
secreted from fibroblasts is reportedly altered with cancer initiation and
progression, and in several cases has been associated with patient outcome. The
androgen receptor (AR) is one such example and has been shown to be a dynamic and
inducible regulator of ECM production. Contemporary assessment of dynamic
multicellular interactions leading to cancer initiation and progression
necessitates 3D in vitro modeling to better mimic the in vivo environment. In the
current chapter, we describe some simple approaches to generate 3D models of
fibroblast-produced ECM, how hormone manipulation of fibroblasts can lead to
production of different ECMs, and how these ECM models can be used to test
processes implicated in cancer progression and metastasis.
PMID- 29786796
TI - Techniques for Evaluation of AR Transcriptional Output and Recruitment to DNA.
AB - Steroid receptors are ligand activated transcription factors whose promoter
specificity is regulated by a broad set of coregulators and pioneer factors.
Corepressors and coactivators determine receptors' recruitment to specific
regulatory elements and ultimately their transcriptional output. Using androgen
receptor (AR) and NCOR1 corepressor as examples, this chapter describes
experimental approaches to evaluate recruitment of steroid receptors and their
coregulators to DNA and to determine coregulator contribution to the
transcriptional output of the receptor. The chromatin immunoprecipitation assay,
or ChIP, quantifies protein-DNA interaction in the cellular chromatin
environment. Here, we describe a protocol to measure NCOR1 recruitment to AR
binding sites of interest using ChIP. Gene Set Enrichment Analysis, GSEA, is a
computational technique to determine whether a defined gene set is significantly
represented among changes in gene expression between two biological groups. As an
example, we examine whether AR repressed genes are significantly represented
among genes altered by the NCOR1 knockout.
PMID- 29786797
TI - NMR-Based Prostate Cancer Metabolomics.
AB - Prostate cancer is the second most common malignancy, and the fifth leading cause
of cancer-related death among men, worldwide. A major unsolved clinical challenge
in prostate cancer is the ability to accurately distinguish indolent cancer types
from the aggressive ones. Reprogramming of metabolism is now a widely accepted
hallmark of cancer development, where cancer cells must be able to convert
nutrients to biomass while maintaining energy production. Metabolomics is the
large-scale study of small molecules, commonly known as metabolites, within
cells, biofluids, tissues, or organisms. Nuclear magnetic resonance (NMR)
spectroscopy is commonly applied in metabolomics studies of cancer. This chapter
provides protocols for NMR-based metabolomics of cell cultures, biofluids (serum
and urine), and intact tissue, with concurrent advice for optimal biobanking and
sample preparation procedures.
PMID- 29786799
TI - ?
PMID- 29786798
TI - Studies on Steroid Receptor Coactivators in Prostate Cancer.
AB - High expression of several androgen receptor coactivators is frequently reported
in prostate cancer. Coactivators such as p300/CBP are involved in modulation of
androgen receptor activity by increasing the effects of androgenic hormones and
enhancing agonistic activity of antiandrogens. Studies in the field of prostate
cancer frequently examined the role of p300/CBP and SRC-1 (NCOA1). In addition to
their effects on steroid receptor activity, coactivators may influence other
cellular functions such as migration and invasion. Steroid receptor coactivators
may target various downstream genes, thus modulating proliferative and migratory
responses in specific prostate cancer models. This chapter will focus on
methodologies used to analyze the role of steroid receptor coactivators in
prostate cancer.
PMID- 29786800
TI - ?
PMID- 29786802
TI - [Treatment with neurostimulation and ketogenic diet for people with medically
refractory epilepsy].
AB - For some people with medically refractory epilepsy adjunct treatment with
neurostimulation and ketogenic diet can be an option. Vagal nerve stimulation,
VNS, consists of chronic intermittent electrical stimulation of the vagus nerve.
VNS is effective and well tolerated in adolescents and adults. There is
insufficient evidence on the efficacy of deep brain stimulation, DBS, and brain
responsive neurostimulation, RNS. Ketogenic diet is an established treatment in
epilepsy with an increased number of indications, especially in neurometabolic
diseases, where dietary therapy can completely eliminate epilepsy and improve
cognitive and motor development. Ketogenic diet is a calculated diet consisting
of a high amount of fat, the daily need of protein and a minimum of
carbohydrates. The fat is converted to ketone bodies and transported across the
blood-brain barrier to be used as an alternative energy source in the brain.
Strictly calculated menus, regular monitoring and support from a team of
dietician, nurse and physician are required.
PMID- 29786801
TI - [Epilepsy: incidens, prevalens and causes].
AB - Epilepsy affects people in all ages with the highest incidence in small children,
particularly before age one year, and in elderly aged 65 years and older. In
Sweden, between 4500-5000 persons develop epilepsy annually. Based on studies
from North America and Europe, including the Nordic countries, the number of
people with active epilepsy in Sweden is between 60000-70000. The lifetime risk
for epilepsy up to age 85 years is 4-5 %, i.e. approximately every 25th person.
The new epilepsy classification divides etiology into the following groups:
structural, genetic, infectious, metabolic, immune and unknown. The majority
(70%) of people with epilepsy eventually become seizure free. Epilepsy increases
the risk of psychosocial problems and accidents. People with epilepsy have up to
a 3-fold increase in mortality, mainly due to the underlying causes and epilepsy
related deaths, e.g. status epilepticus, SUDEP and accidents. Somatic,
psychiatric and neuropsychiatric comorbidities are common in epilepsy.
PMID- 29786803
TI - ?
PMID- 29786804
TI - [Protein deficiency - a rare nutrient deficiency].
AB - There is a widespread myth that we have to be careful about what we eat so that
we do not cause protein deficiency. We know today that it is virtually impossible
to design a calorie-sufficient diet, whether it is based on meat, fish, eggs,
various vegetarian diets or even unprocessed whole natural plant foods, which is
lacking in protein and any of the amino acids. The body is capable of taking
incomplete proteins and making them complete by utilizing the amino acid
recycling mechanism. The majority of amino acids absorbed from the intestinal
tract are derived from recycled body protein. Research shows that high levels of
animal protein intake may significantly increase the risk of premature mortality
from all causes, among them cardiovascular diseases, cancer and type 2 diabetes.
PMID- 29786805
TI - [Classification of seizures and epilepsies].
AB - In 2017 the International League Against Epilepsy (ILAE) published position
papers outlining new classifications of seizures and epilepsies. The aims of the
new documents are to encompass advances in the field, provide a conceptual
framework for future developments, and facilitate communication. In practice, the
terminology is somewhat revised. For seizures the terms "partial" and
"simple/complex" are replaced by "focal" and "aware/impaired awareness". The
classification of a patient's epilepsy is based on seizures types (e.g. focal or
generalized) as well as aetiology (e.g. structural, immune-mediated, genetic) and
epilepsy syndrome. The present article describes the Swedish translation,
endorsed by the Swedish Epilepsy Society.
PMID- 29786806
TI - ?
PMID- 29786807
TI - [Pharmacotherapy of epilepsy].
AB - Pharmacotherapy of epilepsy is usually initiated after two or more unprovoked
seizures, a decision that should be made after assessment of the individual risk
of further seizures. Antiepileptic drugs (AEDs) are selected based on documented
efficacy for the type of seizures, the epilepsy and possible epilepsy syndrome of
the patient, taking potential adverse effects and comorbidity into account. For
many AEDs, the mechanisms of action are incompletely understood. More than half
of patients with newly diagnosed epilepsy achieve sustained seizure freedom with
their first or second drug trials. After a prolonged time of seizure freedom
discontinuation of therapy may be considered; the risk of relapse after drug
withdrawal can be estimated on the basis of a number of clinical factors. The
informed patient's attitude is essential in all therapy decisions. Treatment is
still largely symptomatic, but the future may involve a greater degree of disease
modifying precision medicine.
PMID- 29786808
TI - [Status epilepticus in children and adults].
AB - Status epilepticus, a condition with prolonged or repeated seizures, is a common
neurological emergency with significant morbidity and mortality. This text
outlines the treatment and initial work-up for convulsive and non-convulsive
status epilepticus in adults and children. The most serious form is convulsive
(tonic-clonic) status epilepticus, which requires rapid treatment and work-up.
Bensodiazepines are the preferred initial treatment, while antiepileptic drugs
and anesthetics are added if seizures continue. For other forms of status
epilepticus, the treatment depends on the type of seizures and the patient's
general condition. Etiological work-up is essential in any case of status
epilepticus. Effective treatment and work-up in status epilepticus requires a
defined treatment protocol and multidisciplinary cooperation.
PMID- 29786809
TI - [Diagnosing epileptic seizures and epilepsy].
AB - There are many episodic conditions which may be confused with epileptic seizures.
The diagnosis of epileptic seizures is still dependent on a good history and
witness report, as well as good knowledge of seizure semiology, and of the
symptoms of a variety of differential diagnostic conditions. The principal
differential diagnoses in adults and children are outlined in this review. The
diagnostic problems do not exist only initially, so it is important to reconsider
the diagnosis when deemed relevant. The possibility of home video recordings of
recurring attacks may be helpful. Neuroimaging and interictal EEG cannot confirm
or exclude epilepsy but can demonstrate relevant pathology and are of prognostic
importance.
PMID- 29786810
TI - [Epilepsy and comorbid neurodevelopmental disorders].
AB - In children and adults with epilepsy, it is important to be aware of and diagnose
common comorbidities that may have a large impact on quality of life. Comorbid
neurodevelopmental disorders include intellectual disability, autism, and
attention deficit hyperactivity disorder (ADHD). Depression and anxiety are
common findings, and also the risk of psychosis is increased. The medication used
to treat these comorbidities is found to be effective with little risks of
seizure exacerbation, i.e. medication with methylphenidate, selective serotonin
reuptake inhibitors (SSRIs) and second generation neuroleptics. However, for
every combination of antiepileptic drugs with new medication, the possibility of
drug interactions should be kept in mind. Transition from childhood to adult
medicine must include adequate treatment and follow-up of comorbid conditions.
PMID- 29786811
TI - [50 percent of patients who had epilepsy surgery are seizure-free].
AB - About one third of patients with epilepsy do not become seizure-free despite
medication. For some, resective epilepsy surgery is the treatment of choice.
There are three randomized controlled trials, with positive results at a follow
up of 1-2 years: two on temporal lobe resections on adults and young people and
one on all types of epilepsy surgery on children. Since 1990 all epilepsy surgery
procedures in Sweden are reported to the Swedish Epilepsy Surgery Register, now
with data on almost 1500 patients. Two years after surgery about 50% of adults
and children are seizure-free, and another 10% have been so during the last year.
After 10 seizure-free years 40% of adults and 80% of children have stopped
medication. All patients with pharmacoresistant focal epilepsy should be referred
to the regional epilepsy team for evaluation and possibly epilepsy surgery. Small
children should be referred to the regional pediatric team early, since the
epileptic seizures may hamper their development.
PMID- 29786812
TI - The microRNA expression profile in rat lung tissue early after burn injury.
AB - BACKGROUND: Severe burn causes acute lung injury in many victims, but the related
mechanisms have been barely investigated. microRNAs (miRNAs) important regulators
in numerous physiological and pathophysiological process. However, the roles of
miRNAs in burn lung injury are untested. METHODS: Six healthy male Sprague-Dawley
rats were randomly assigned into burn and sham groups. Lung injury was evaluated
by hematoxylin and eosin (HE) staining at 24 h after injury. Differentially
expressed miRNAs were determined by array hybridization and verified by real-time
quantitative polymerase chain reaction (RT-qPCR). Bioinformatics analysis was
undertaken to predict the target genes. Gene Ontology and Kyoto Encyclopedia of
Genes and Genomes databases were employed to identify potentially related
biological processes and pathways, respectively. Neutrophil infiltration and
apoptosis of the lung were confirmed by immunohistochemical staining of
myeloperoxidase (MPO) and terminal deoxynucleotidyl transferase-mediated dUTP
nick-end labeling (TUNEL). RESULTS: HE sections showed obvious lung injury, and
21 upregulated and three downregulated miRNAs were detected. Target genes of
these miRNAs were most highly enriched in inflammation and apoptosis related GO
biological processes and pathways. Inflammation and apoptosis were confirmed by
MPO and TUNEL staining. CONCLUSION: The differentially expressed miRNAs most
likely participate in burn-induced lung injury by being involved in inflammation
and apoptosis.
PMID- 29786813
TI - Prediction of mortality in pediatric traumatic brain injury: Implementations from
a tertiary pediatric intensive care facility.
AB - BACKGROUND: To explore the mortality risk factors of traumatic brain injury in
pediatric intensive care unit admissions. METHODS: Eighty-eight children
(categorized using the Glasgow Coma Scale) between September 2014 and December
2016 were analyzed. Emergency department and intensive care course, treatment
strategies, axonal injury, intubation and tracheostomy rates, length of intensive
care and hospitalization, Rotterdam-CT scores, injury severity scores, and PRISM
III scores were recorded. RESULTS: Older age was associated with trauma severity
(p=0.010). Target serum osmolality was reached at 8.5 (3.5-40) hours in patients
undergoing anti-edema therapy. ICP-monitoring rates was 8%; in absence of ICP
monitorization clinical follow-up was performed through repeated brain
tomographies. Axonal injury was associated with prolonged intubation, intensive
care and hospital stay (p<0.001, p<0.001, p=0.030). Six children required
tracheostomy at 14.33+/-1.03 days; decannulations were performed within 6 months
in five children. CONCLUSION: Mortality rate was 12.5%; six patients progressed
to brain death with organ donor approvals in five. Initial hypotension, lung
contusion, injury severity scores and Rotterdam-CT scores were related with
mortality. Rotterdam-CT score was determined as the independent risk factor for
mortality; one increment in the score increased the odd of recovery by 20.334
times (%95 CI 1.999-206.879). ISS score was also borderline significant (p=0.052;
OR:1.195 %95 CI 0.999-1.430).
PMID- 29786814
TI - Predictive value of preoperative neutrophil-to-lymphocyte ratio while detecting
bowel resection in hernia with intestinal incarceration.
AB - BACKGROUND: The aim of this study was to evaluate the relationship between
preoperative hematological inflammatory markers of the patients who underwent a
surgery for incarcerated hernia and intestinal resection requirement. METHODS:
The data of 102 patients who underwent a surgery for incarcerated hernia were
retrospectively evaluated. Whole blood cell counts were preoperatively measured,
and operation types and pathology results were recorded. The patients with
intestinal resections were compared with those without any resection in terms of
leukocyte number, neutrophil rate, red cell distribution width (RDW), platelet
distribution width, neutrophil-to lymphocyte ratio (NLR), platelet to lymphocyte
ratio (PLR), and mean platelet volume (MPV). RESULTS: Eighty-one patients were
operated for incarcerated groin hernia, 17 for incarcerated umbilical hernia, and
4 for incarcerated incisional hernia. Twenty-six patients (25%) had intestinal
resections; in 4 of them, intestinal perforation was detected. In patients with
intestinal resections,the neutrophil rate, PDW, NLR, and PLR values were
significantly higher than those in the patients without any resections.
CONCLUSION: High NLR rates, certainly with clinical correlation, can be used as a
biomarker to predict intestinal necrosis and the need for intestinal resection in
patients who will undergo surgery for incarcerated hernia, particularlyin
situations with lacking radiological imaging methods.
PMID- 29786815
TI - Role of circulating microRNAs in acute appendicitis.
AB - BACKGROUND: Acute appendicitis (AA) is a momentous, emergency, surgical pathology
that has still been investigated for both etiopathogenetic unknowns and
challenges in diagnosis. Presently, there is little information about the role of
microRNAs (miRNAs), which have basic biological functions in the cell, can be a
marker, and are associated with various pathologies, in patients with AA. The aim
of this study was to investigate the expressions of some miRNAs in AA. METHODS:
Overall, 41 miRNAs were screened in 48 individuals comprising 24 patients with AA
and 24 healthy controls at Erciyes University Genome and Stem Cell Center
(GENKOK). The obtained data were analyzed using appropriate statistical methods.
RESULTS: miR-29c-3p was found to be increased 2-fold during the first 4-6 h in
AA, and this increase was revealed to be statistically significant compared with
healthy individuals. Similarly, expressions of let-7b-5p, let-7i-5p, miR-30a-5p,
miR-29b-3p, and miR-23a-3p also increased approximately 2-fold in AA, although
not statistically significant. No significant differences were found in the
screening of the remaining 35 miRNAs in patients with AA. CONCLUSION: Although
there is little information about the relationship between AA and miRNAs
currently, miR-29c-3p was reported to increase in the acute period of AA in this
study. With the current results, it can be argued that miR-29c-3p bears the
potential to be a marker in patients with AA. The present study may also be a
basic research for more extensive and necessary miRNAs screening in this field.
PMID- 29786816
TI - Examination of morbidity and mortality of cases according to intra-vehicle
position and accident mechanism.
AB - BACKGROUND: Traffic accidents are still an important public health issue in our
country and intra-vehicle accidents cause substantial morbidity and mortality. In
this study, we aimed to investigate the effect of seating position on morbidity
and mortality in traffic accidents. METHODS: Patients who were admitted to the
Emergency Department, Faculty of Medicine, Ege University between May 1, 2014 and
November 30, 2014 due to injuries in motor vehicles and who signed informed
consent were included. RESULTS: In total, 519 cases were included, and 329
(63.4%) were male and 190 (36.6%) were female. The average age was 33.11+/-16.86
(range, 0-85) years. It was noted that the accidents most frequently occurred
between 18.00 and 23.59 (36.3%) hours, in the car (79%), and due to collision
with another car (61.7%). Although 39.5% of the injured individuals were drivers,
26.4% were front seat passengers. From a forensic medicine perspective, life
threatening injuries were approximately twice more common (37.5%-13.6%) in
accidents with >110 km/h speed compared with accidents with <110 km/h speed.
Accidents with >110 km/h speed caused approximately twice the amount (56.3%
26.3%) of injuries that cannot be resolved with simple medical intervention
compared with accidents with <110 km/h speed. CONCLUSION: Since most people who
are injured or die in traffic accidents have an active professional life,
significant rehabilitation expenditure and labor loss occur along with diagnosis
and treatment costs. Our study and similar studies not only show the
effectiveness of the measures taken but also provide an insight into changing
injury profiles and precautions to prevent them.
PMID- 29786817
TI - Targeted cardiopulmonary resuscitation training focused on the family members of
high-risk patients at a regional medical center: A comparison between family
members of high-risk and no-risk patients.
AB - BACKGROUND: We developed a hospital-based cardiopulmonary resuscitation (CPR)
training model focused on the target population (family members of patients with
potential risks for cardiac arrest) and compared the outcome of CPR training
between target and non-target populations for validity. METHODS: Family members
of patients in training were divided into three groups on the basis of patients'
diseases, as follows: 1) the cardio-specific (CS) risk group, including family
members of patients with cardiac disease at risk of cardiac arrest; 2) the
cardiovascular (CV) risk group, including family members of patients with risk
factors for cardiovascular disease; and 3) the no-risk group. Pre- and
posttraining surveys and skill tests as well as a post-training 3-month telephone
survey were conducted. Educational outcomes were analyzed. RESULTS: A total of
203 family members were enrolled into 21 CPR training classes. The CS group
(n=88) included elderly persons and housewives with a lower level of education
compared with the CV (n=79) and no-risk groups (n=36). The CS group was motivated
by healthcare professionals and participated in the training course. The CS, CV,
and no-risk groups showed improvements in knowledge, willingness to perform CPR,
and skills. Despite the older age and lower level of education in the CS group,
the effects of education were similar to those in the other groups. A high rate
of response and secondary propagation of CPR training were observed in the CS
group. CONCLUSION: Family members of patients with heart disease could be an
appropriate target population for CPR training, particularly in terms of
recruitment and secondary propagation. Targeted intervention may be an effective
training strategy to improve bystander CPR rates.
PMID- 29786818
TI - Management of traumatic arteriovenous fistulas: A tertiary academic center
experience.
AB - BACKGROUND: To present the surgical experience at a tertiary academic center of
treating patients with traumatic arteriovenous fistulas (AVFs) who in whom
endovascular treatment was contraindicated or in whom unsuccessful endovascular
treatment had been performed. METHODS: A total of 27 patients with traumatic AVFs
who underwent surgery between September 2014 and May 2016 were included. The site
of injury, timing of surgery, and the surgical methods utilized were analyzed
retrospectively. RESULTS: Arteriovenous fistulas were located in the lower
extremity in 26 patients (96.29%) and in the upper extremity in one patient
(3.7%). Etiological factors included gunshot injuries in 23 patients (85.18%) and
penetrating injury in four patients (14.81%). AVFs in the lower extremity were
between the popliteal artery and vein in 21 patients and between the femoral
artery and vein in five patients. The one patient with upper-extremity AVF had a
communication between the brachial artery and cephalic vein. Primary repair of
the artery and vein after ligation, arterial graft interposition plus primary
vein repair, and arterial and venous graft interposition were performed for
surgical repair in two, five, and 20 patients, respectively. The saphenous vein
was used for grafting in all cases needing grafts. CONCLUSION: In patients
enduring penetrating trauma in the close vicinity of major vascular structures, a
detailed history-taking and physical examination should be performed along with
auscultation. The endovascular approach may represent the initial choice of
management because of its lower rate of complications, noninvasive nature,
decreased in-hospital costs, and decreased loss of work productivity. However,
surgery is still unavoidable option in a significant proportion of patients who
are either hemodynamically unstable, contraindicated for endovascular treatment,
or in whom endovascular treatment was unsuccessful.
PMID- 29786819
TI - Evaluation of pterygoid plate fractures unrelated to Le Fort fractures using
maxillofacial computed tomography.
AB - BACKGROUND: This study aims to describe the major pterygoid plate fractures
(PPFs) patterns unrelated to Le Fort fractures (LFFs) using maxillofacial
computed tomography (CT). METHODS: After obtaining our hospital ethics committee
approval (37-05), data for PPF were acquired from the medical records of all the
trauma patients who were diagnosed using CT at our hospital from April 2014 to
April 2017. RESULTS: Of the 178 patients, 135 (male/female = 86/49; mean age =
37.2 years) had LFF and 43 (male/female = 35/8; mean age = 38.6 years) had PPF
without associated LFF. PPF patterns unrelated to LFF included temporal bone
(11.6%), sphenotemporal buttress (25.5%), zygomaticomaxillary complex (30.2%),
displaced mandible (23.3%), nasal (4.7%), and isolated fractures (4.7%). The
etiologies of facial fractures were not significantly different between both
sexes (p=0.576). No significant difference between Le Fort and non-Le Fort groups
was found for age (p=0.603) and the causes of trauma (p=0.183). CONCLUSION: PPF
is most commonly seen with LFF, but it may also be seen alone or with other non
LFF indicating that all PPF are not related to LFF. Axial reformatted CT images
can easily display PPF and the degree of displacement of the fragments, and they
can be used to guide surgical reduction of the fractures.
PMID- 29786820
TI - Determination of trace element levels in patients with burst fractures.
AB - BACKGROUND: This study aimed to determine trace element levels (Zn, Fe, Mn, Mg,
Cu, Cd, Co, and Pb) in patients with burst fractures in Van Province, Turkey.
METHODS: The study included a total of 44 participants with no additional
pathologies, including 22 patients with burst fractures aged over 18 years who
were admitted to the neurosurgery departments at two hospitals between June 15,
2015 and January 20, 2016 and 22 healthy volunteers. Serum samples were obtained
from all participants to measure the serum levels of trace and heavy elements,
including Mn, Cd, Cu, Pb, Fe, Co and Zn, using atomic absorbance
spectrophotometry. RESULTS: The trace element levels of Zn, Mn, Cu, Co, and Mg
were significantly lower (p<0.001), whereas those of Fe, Cd, and Pb were
significantly higher in the patient group than in the control group. In addition,
the levels of Zn, Mn, Cu, Co, and Mg were lower and the levels of Fe, Cd, and Pb
were higher in the patient group than in the control group. CONCLUSION: The
probability of burst fracture and its causes leading to any injury may be
considered as an indicator balance for the concentration of trace elements
between the patient group and control group and may also be a risk factor
associated with the bone exposed to burst fracture Significant changes in serum
levels of Zn, Cd, Mn, Mg, Pb, Fe, Cu and Zn elements can be observed in patients
with burst fractures.
PMID- 29786821
TI - Cardiac findings of sternal fractures due to thoracic trauma: A five-year
retrospective study.
AB - BACKGROUND: This study mainly aimed to determine the frequency of sternal
fractures in thoracic trauma patients and to assess the differences in surgical
need, cardiac findings, and treatment processes between patients with fracture on
different sternal zones and displaced and non-displaced sternal fractures.
METHODS: We analyzed the data of patients with sternal fracture due to thoracic
trauma admitted to a state hospital between January 2011 and December 2015.
Patient data comprised demographics, trauma characteristics, clinical findings,
and treatment process. RESULTS: Of the 2764 thoracic trauma patients admitted
during the study period, 72 (2.6%) had sternal fracture. The median age was 52
(inter quartile range: 61-38) years; the patients were predominantly male (F/M:
18/54). The most common causes of sternal fractures were motor vehicle accident,
fall, and work accident. Of all the patients, 15 had displaced fracture. Abnormal
echocardiogram findings were significantly more frequent in patients having
fractures on the manubrium than in those having fractures on the corpus of the
sternum. Patients who had fracture on the corpus had significantly lesser surgery
need than those who had fracture on the manubrium of the sternum. Also, there was
statistically significant difference between displaced and non-displaced sternal
fracture cases in terms of surgery need (p<0.005). CONCLUSION: Abnormal
echocardiography findings were more frequent in patients with sternal fracture on
the manubrium and displaced fracture.
PMID- 29786822
TI - Volar locking plate versus K-wire-supported external fixation in the treatment of
AO/ASIF type C distal radius fractures: A comparison of functional and
radiological outcomes.
AB - BACKGROUND: The aim of this study was to compare the functional and radiological
outcomes of K-wire-supported bridging external fixation (KW-EF) and volar locking
plate (VLP) in the treatment of comminuted intra-articular distal radius
fractures. METHODS: Patients treated for complex intra-articular distal radius
fractures between February 2010 and April 2013 were retrospectively investigated.
A total of 114 patients (42 females and 72 males) with a mean age of 44.9+/-15.4
(range: 18-86) years were evaluated. Wrist ranges of motion were measured using a
universal goniometer, and hand grip strength was determined using hand
dynamometers. The results were evaluated with Gartland-Werley score. QuickDASH
questionnaire was administered in subjective functional assessment. Radiological
evaluations were performed, with wrist radiographs obtained on the 3rd month and
2nd year. RESULTS: Wrist flexion, extension, pronation, and supination were all
significantly better in the VLP group than in the KW-EF group at last control
(p=0.001). Gartland-Werley, QuickDASH, and Visual Analog Scale were significantly
better in the VLP than group than in the KW-EF group (p=0.003, p=0.003, and
p=0.001, respectively). At the last follow-up, loss of grip strength compared
with that on the uninjured side was 4% in the VLP group and 7% in the KW-EF
group. CONCLUSION: VLP is a safe method with low complication rates. It is
superior to KW-EF as it facilitates early return to daily activities and shows
better functional and radiological outcomes in the 2nd year of treatment.
PMID- 29786823
TI - Application of hybrid external fixation by the "joystick method" in bicondylar
tibial plateau fractures: Technical note.
AB - BACKGROUND: This study aimed to present clinical outcomes in patients with tibial
plateau fractures who were treated with hybrid external fixators and describe the
details of our technique. Schanz screws were synchronously applied and used as a
joystick for fracture reduction. METHODS: The study population included 72
patients with bicondylar tibial plateau fractures classified as type 41-C2
according to the AO classification. Joint reduction was maintained using Schanz
screws transmitted through tibial condyles as a joystick under fluoroscopy. The
patients then underwent surgery with these Schanz screws and a hybrid external
fixation system. RESULTS: The median age of the patients was 39 (21-67) years,
and the median follow-up time was 21 (12-35) months. The mean knee flexion and
extension were 105 degrees (80 degrees -125 degrees ) and 0 degrees (-5 degrees
-7 degrees ), respectively. The mean varus laxity and valgus laxity were 4.30
degrees (2 degrees -7 degrees ) and 3.10 degrees (2 degrees -5 degrees ),
respectively. Four patients had leg shortness of 0.4-1.1 cm. The external
fixators were removed between 8 and 16 weeks (mean = 11 weeks) postoperatively.
The KSS scores at the end of 1 year were "excellent" for 48 patients, "good" for
19 patients, and "inadequate" for 5 patients. CONCLUSION: With the synchronous
application of the two Schanz screws of 6.5-mm thickness and the two-drill
technique under fluoroscopic guidance, we obtained stable reductions over a short
period. No patient experienced major complications, and this enabled early weight
bearing and a return to daily living activities.
PMID- 29786824
TI - Intramedullary nail with integrated cephalocervical screws in the
intertrochanteric fractures treatment: Position of screws in fracture stability.
AB - BACKGROUND: Stable fracture fixation is important in the treatment of
intertrochanteric femur (ITF) fractures in the elderly population to prevent the
loss of reduction, achieve early mobility, and restore independence. The aim of
this study was to present the results of surgical treatment of stable and
unstable ITF fractures using a trochanteric antegrade intramedullary nail with
two cephalocervical screws in an integrated mechanism (Intertan(r); Smith &
Nephew, Memphis, TN) and evaluate the relationship between the loss of reduction
and screw position in the femoral neck in two planes. METHODS: The authors
investigated all varus misalignments and losses of reduction in 57 patients (22
males, 35 females) treated for ITF fractures with the Intertan(r) between 2010
and 2011. Two indices (screw alignment index in the frontal projection
[SAIcoronal] and screw alignment index in the lateral projection [SAIsagittal])
were defined to evaluate the loss of reduction. Patients were also evaluated
according to the Harris hip score and Barthel independence index. RESULTS: The
mean patient age was 77.1 years. The mean follow-up period was 21.7 months. All
patients achieved complete union. We did not detect any varus collapse or loss of
reduction. At the end of the follow-up period, the mean Barthel independence
index was 90.7, and the mean Harris hip score was 83.7. CONCLUSION: The use of a
trochanteric antegrade intramedullary nail with two cephalocervical screws allows
for linear intraoperative compression and rotational stability of the head/neck
fragment, prevents reduction loss, and has a wide application area in the femoral
head. Its inherent continuous stability permits early weight-bearing and
mobilization. It is a safe and an efficient option for the treatment of ITF
fractures.
PMID- 29786825
TI - The comparison of arthroscopic acromioplasty with and without acromioclavicular
coplaning.
AB - BACKGROUND: Coplaning means the removal of medial acromial spurs and inferior
aspect of the distal clavicle. The aim of the study was to evaluate the outcomes
of arthroscopic acromioplasty with and without coplaning in patients without
acromioclavicular (AC) joint arthritis. METHODS: Because of impingement syndrome,
arthroscopic subacromial decompression and acromioplasty was performed in Group 1
(9 males/31 female). In addition, coplaning was performed in Group 2 (8 males/21
females) by two different surgeons. The mean age was 48 in Group 1, 46 in Group
2. The mean follow-up was 50 months and 44 months, respectively. RESULTS:
Constant score, cross-body adduction test and AC joint tenderness was used for
follow-up. The mean preoperative Constant scores were 45 points (range: 34-76
points) in Group 1, 39 points (range: 32-69 points) in Group 2. The mean Constant
scores at the latest follow-up was 78 points (range: 68-100 points) for Group 1,
84 points (range: 72-100 points) for Group 2. There was no statistically
difference between two groups at the latest follow-up (p<0.05). In two patients
in Group 2, cross-body adduction test was positive but asymptomatic. CONCLUSION:
Excision of the inferior side of the lateral clavicle to the level of the
acromion with minimal disruption of the joint capsule does not develop AC joint
symptoms in long-term follow-up.
PMID- 29786826
TI - Rare case of bilateral incarcerated obturator hernia: a case report.
AB - Here, we report the case of an 84-year-old woman with acute mechanical intestinal
obstruction (AMIO) who was admitted to our Emergency Department. Computed
tomography (CT) scan revealed an incarcerated bilateral obturator hernia, and the
defect was resolved using transabdominal preperitoneal (TAPP) technique with
polypropylene mesh. The patient was administered an oral regimen two days after
the operation. The patient stayed in the intensive care unit for 4 days and was
uneventfully discharged on the 9th postoperative day. Follow-up was scheduled at
the 6th month, during which no adverse events were detected and the patient did
not report any complaints. Obturator hernia is among the differential diagnoses
of intestinal obstruction requiring early diagnosis and prompt surgical
intervention. Laparoscopic approach is less invasive compared with open surgery,
and it can be attempted in cases presenting with no sign of ischemia or
peritonitis. TAPP technique should be preferred since it allows the control of
all intraabdominal pathologies and the viability of the intestines.
PMID- 29786827
TI - The protective effect of Montelukast against skeletal muscle ischemia reperfusion
injury: An experimental rat model.
AB - BACKGROUND: Montelukast is a selective leukotriene D-4 receptor antagonist, which
specifically and reversibly inhibits cysteinyl leukotriene-1 receptor. The aim of
this study was to investigate the protective effect of Montelukast on skeletal
muscle reperfusion injury created as acute ischemia-reperfusion (IR) injury in
Wistar-albino rats. METHODS: The study comprised 16 male Wistar-albino rats. The
rats were randomly separated into two groups as control (IR) and treatment
(IR+Montelukast). Ischemia was obtained using a femoral artery clamp. After
reperfusion following a 2-hour ischemia, muscle samples were taken for
biochemical and histopathological analyses. RESULTS: Malondialdehyde levels were
determined to be at statistically higher levels in the control compared with that
in the Montelukast group (p=0.002, p<0.01). The superoxide dismutase levels were
determined to be at statistically higher level in the Montelukast group compared
with that in the control group (p=0.001, p<0.01). In the histopathological
examination of the ischemic muscles, edema, polymorinfiltration and erythrocyte
extravasation levels were found to be statistically significant higher in the
control group than in the Montelukast group. Edema, polymorphonuclear
infiltration, and erythrocyte extravasation levels were observed to be
significantly reduced in the treatment group compared with that in the control.
CONCLUSION: In this model of skeletal muscle acute IR injury, the protective
effect of Montelukast against skeletal muscle reperfusion injury was emphasized.
We concluded that Montelukast could accelerate functional recovery in the
extremity by limiting the local and systemic complications caused by reperfusion
in cases such as extremity trauma with vascular injuries and extremity surgery
with prolonged tourniquet application. However, further experimental and clinical
studies are required to confirm this effect.
PMID- 29786828
TI - Oral contraceptives for pain associated with endometriosis.
AB - BACKGROUND: Endometriosis is a common gynaecological condition which affects many
women of reproductive age worldwide and is a major cause of pain and infertility.
The combined oral contraceptive pill (COCP) is widely used to treat pain
occurring as a result of endometriosis, although the evidence for its efficacy is
limited. OBJECTIVES: To determine the effectiveness, safety and cost
effectiveness of oral contraceptive preparations in the treatment of painful
symptoms ascribed to the diagnosis of laparoscopically proven endometriosis.
SEARCH METHODS: We searched the following from inception to 19 October 2017: the
Cochrane Gynaecology and Fertility Group Specialised Register of Controlled
Trials, the Cochrane CENTRAL Register of Studies Online (CRSO), MEDLINE, Embase,
PsycINFO, CINAHL (Cumulative Index to Nursing and Allied Health Literature), and
the trial registers ClinicalTrials.gov and the World Health Organization Clinical
Trials Registry Platform (WHO ICTRP). We also handsearched reference lists of
relevant trials and systematic reviews retrieved by the search. SELECTION
CRITERIA: We included randomised controlled trials (RCT) of the use of COCPs in
the treatment of women of reproductive age with symptoms ascribed to the
diagnosis of endometriosis that had been made visually at a surgical procedure.
DATA COLLECTION AND ANALYSIS: Two review authors independently assessed study
quality and extracted data. One review author was an expert in the content
matter. We contacted study authors for additional information. The primary
outcome was self-reported pain (dysmenorrhoea) at the end of treatment. MAIN
RESULTS: Five trials (612 women) met the inclusion criteria. Only three trials
(404 women) provided data that were suitable for analysis.Combined oral
contraceptive pill versus placeboTwo trials compared COCP with a placebo. These
studies were at high risk of bias. For GRADE outcomes (self-reported pain
(dysmenorrhoea) at the end of treatment), the quality of the evidence very low.
Evidence was downgraded for imprecision as it was based on a single, small trial
and for the visual analogue scale data there were wide confidence intervals
(CIs). There appeared to have been substantial involvement of the pharmaceutical
company funding the trials.Treatment with the COCP was associated with an
improvement in self-reported pain at the end of treatment as evidenced by a lower
score on the Dysmenorrhoea verbal rating scale (scale 0 to 3) compared with
placebo (mean difference (MD) -1.30 points, 95% CI -1.84 to -0.76; 1 RCT, 96
women; very low quality evidence), a lower score on the Dysmenorrhoea visual
analogue scale (no details of scale) compared with placebo (MD -23.68 points, 95%
CI -28.75 to -18.62, 2 RCTs, 327 women; very low quality evidence) and a
reduction in menstrual pain from baseline to the end of treatment (MD 2.10
points, 95% CI 1.38 to 2.82; 1 RCT, 169 women; very low quality
evidence).Combined oral contraceptive pill versus medical therapiesOne
underpowered trial compared the COCP with another medical treatment (goserelin).
The study was at high risk of bias; the trial was unblinded and there was
insufficient detail to judge allocation concealment and randomisation. For GRADE
outcomes (self-reported pain (dysmenorrhoea) at the end of treatment), the
quality of the evidence ranged from low to very low.At the end of treatment, the
women in the goserelin group were amenorrhoeic and therefore no comparisons could
be made between the groups for the primary outcome. At six months' follow-up,
there was no clear evidence of a difference between women treated with the COCP
and women treated with goserelin for measures of dysmenorrhoea on a visual
analogue scale (scale 1 to 10) (MD -0.10, 95% CI -1.28 to 1.08; 1 RCT, 50 women;
very low quality evidence) or a verbal rating scale (scale 0 to 3) (MD -0.10, 95%
CI -0.99 to 0.79; 1 RCT, 50 women; very low quality evidence). At six months'
follow-up, there was no clear evidence of a difference between the COCP and
goserelin groups for reporting complete absence of pain as measured by the visual
analogue scale (risk ratio (RR) 0.36, 95% CI 0.02 to 8.43; 1 RCT, 50 women; very
low quality evidence) or the verbal rating scale (RR 1.00, 95% CI 0.93 to 1.08; 1
RCT, 49 women; low quality evidence). AUTHORS' CONCLUSIONS: Based on the limited
evidence from two trials at high risk of bias and limited data for the
prespecified outcomes for this review, there is insufficient evidence to make a
judgement on the effectiveness of the COCP compared with placebo and the findings
cannot be generalised.Based on the limited evidence from one small trial that was
at high risk of bias, there is insufficient evidence to make a judgement on the
effectiveness of the COCP compared with other medical treatments. Only one
comparison was possible, with the medical intervention being goserelin, and the
findings cannot be generalised.Further research is needed to fully evaluate the
role of COCPs in managing pain-related symptoms associated with endometriosis.
There are other formulations of the combined hormonal contraception such as the
transdermal patch, vaginal ring or combined injectable contraceptives which this
review did not cover but should be considered in future updates.
PMID- 29786829
TI - Meta-analysis examining the epidemiology of clozapine-associated neutropenia.
AB - BACKGROUND: Clozapine is associated with life-threatening neutropenia. There are
no previous meta-analyses of the epidemiology of clozapine-associated
neutropenia. OBJECTIVES: To determine the cumulative incidence of mild, moderate
and severe neutropenia, incidence of death related to severe neutropenia, case
fatality rate of neutropenia and the longitudinal incidence of neutropenia
following exposure to clozapine. DATA SOURCES: A systematic search of Medline,
EMBASE and PsycINFO using search terms [clozapine OR clopine OR zaponex OR
clozaril] AND [neutropenia OR agranulocytosis]. METHODS: Random effects meta
analysis to determine event rates and longitudinal incidence of events per 100
person-years of exposure. RESULTS: A total of 108 studies were included. The
incidence of clozapine-associated neutropenia was 3.8% (95% CI: 2.7-5.2%) and
severe neutropenia 0.9% (95% CI: 0.7-1.1%). The incidence of death related to
neutropenia following prescription of clozapine was 0.013% (95% CI: 0.01-0.017%).
The case fatality rate of severe neutropenia was 2.1% (95% CI: 1.6-2.8%). The
peak incidence of severe neutropenia occurred at one month of exposure and
declined to negligible levels after one year of treatment. CONCLUSION: Severe
neutropenia associated with clozapine is a rare event and occurs early with a
substantial decline in risk after one year of exposure. Death from clozapine
associated neutropenia is extremely rare. Implications for haematological
monitoring are discussed.
PMID- 29786831
TI - Response to: Revisiting the impact of red cell storage duration on adverse
outcomes after transfusion.
PMID- 29786830
TI - Blue-light filtering intraocular lenses (IOLs) for protecting macular health.
AB - BACKGROUND: An intraocular lens (IOL) is a synthetic lens that is surgically
implanted within the eye following removal of the crystalline lens, during
cataract surgery. While all modern IOLs attenuate the transmission of ultra
violet (UV) light, some IOLs, called blue-blocking or blue-light filtering IOLs,
also reduce short-wavelength visible light transmission. The rationale for blue
light filtering IOLs derives primarily from cell culture and animal studies,
which suggest that short-wavelength visible light can induce retinal photoxicity.
Blue-light filtering IOLs have been suggested to impart retinal protection and
potentially prevent the development and progression of age-related macular
degeneration (AMD). We sought to investigate the evidence relating to these
suggested benefits of blue-light filtering IOLs, and to consider any potential
adverse effects. OBJECTIVES: To assess the effects of blue-light filtering IOLs
compared with non-blue-light filtering IOLs, with respect to providing protection
to macular health and function. SEARCH METHODS: We searched the Cochrane Central
Register of Controlled Trials (CENTRAL) (which contains the Cochrane Eyes and
Vision Trials Register) (2017, Issue 9); Ovid MEDLINE; Ovid Embase; LILACS; the
ISRCTN registry; ClinicalTrials.gov and the ICTRP. The date of the search was 25
October 2017. SELECTION CRITERIA: We included randomised controlled trials
(RCTs), involving adult participants undergoing cataract extraction, where a blue
light filtering IOL was compared with an equivalent non-blue-light filtering IOL.
DATA COLLECTION AND ANALYSIS: The prespecified primary outcome was the change in
distance best-corrected visual acuity (BCVA), as a continuous outcome, between
baseline and 12 months of follow-up. Prespecified secondary outcomes included
postoperative contrast sensitivity, colour discrimination, macular pigment
optical density (MPOD), proportion of eyes with a pathological finding at the
macula (including, but not limited to the development or progression of AMD, or
both), daytime alertness, reaction time and patient satisfaction. We evaluated
findings related to ocular and systemic adverse effects.Two review authors
independently screened abstracts and full-text articles, extracted data from
eligible RCTs and judged the risk of bias using the Cochrane tool. We reached a
consensus on any disagreements by discussion. Where appropriate, we pooled data
relating to outcomes and used random-effects or fixed-effect models for the meta
analyses. We summarised the overall certainty of the evidence using GRADE. MAIN
RESULTS: We included 51 RCTs from 17 different countries, although most studies
either did not report relevant outcomes, or provided data in a format that could
not be extracted. Together, the included studies considered the outcomes of IOL
implantation in over 5000 eyes. The number of participants ranged from 13 to 300,
and the follow-up period ranged from one month to five years. Only two of the
studies had a trial registry record and no studies referred to a published
protocol. We did not judge any of the studies to have a low risk of bias in all
seven domains. We judged approximately two-thirds of the studies to have a high
risk of bias in domains relating to 'blinding of participants and personnel'
(performance bias) and 'blinding of outcome assessment' (detection bias).We found
with moderate certainty, that distance BCVA with a blue-light filtering IOL, at
six to 18 months postoperatively, and measured in logMAR, was not clearly
different to distance BCVA with a non-blue-light filtering IOL (mean difference
(MD) -0.01 logMAR, 95% confidence interval (CI) -0.03 to 0.02, P = 0.48; 2
studies, 131 eyes).There was very low-certainty evidence relating to any
potential inter-intervention difference for the proportion of eyes that developed
late-stage AMD at three years of follow-up, or any stage of AMD at one year of
follow-up, as data derived from one trial and two trials respectively, and there
were no events in either IOL intervention group, for either outcome. There was
very low-certainty evidence for the outcome for the proportion of participants
who lost 15 or more letters of distance BCVA at six months of follow-up; two
trials that considered a total of 63 eyes reported no events, in either IOL
intervention group.There were no relevant, combinable data available for outcomes
relating to the effect on contrast sensitivity at six months, the proportion of
eyes with a measurable loss of colour discrimination from baseline at six months,
or the proportion of participants with adverse events with a probable causal link
with the study interventions after six months.We were unable to draw reliable
conclusions on the relative equivalence or superiority of blue-light filtering
IOLs versus non-blue-light filtering IOLs in relation to longer-term effects on
macular health. We were also not able to determine with any certainty whether
blue-light filtering IOLs have any significant effects on MPOD, contrast
sensitivity, colour discrimination, daytime alertness, reaction time or patient
satisfaction, relative to non-blue-light filtering IOLs. AUTHORS' CONCLUSIONS:
This systematic review shows with moderate certainty that there is no clinically
meaningful difference in short-term BCVA with the two types of IOLs. Further,
based upon available data, these findings suggest that there is no clinically
meaningful difference in short-term contrast sensitivity with the two
interventions, although there was a low level of certainty for this outcome due
to a small number of included studies and their inherent risk of bias. Based upon
current, best-available research evidence, it is unclear whether blue-light
filtering IOLs preserve macular health or alter risks associated with the
development and progression of AMD, or both. Further research is required to
fully understand the effects of blue-light filtering IOLs for providing
protection to macular health and function.
PMID- 29786832
TI - The primary active components, antioxidant properties, and differential
metabolite profiles of radish sprouts (Raphanus sativus L.) upon domestic
storage: analysis of nutritional quality.
AB - BACKGROUND: This study aimed to analyze the nutritional quality of radish sprouts
(Raphanus sativus L.) after domestic short-term storage. We stored fresh radish
sprouts at 25 +/- 1 degrees C and at 4 +/- 1 degrees C for 12 h, and detected
phenolic substances, glucosinolates, isothiocyanates, vitamin C, and various
antioxidant and abiotic stress-related factors. We investigated nutrient-related
metabolic differences and associated pathways and postharvest treatment effects
on nutritional quality using metabolomic analysis. RESULTS: Most active
substances and antioxidant properties, but not phenolic acids and vitamin C,
decreased significantly (P < 0.05) upon domestic storage; this reduction
decelerated at low temperatures. Short-term storage disrupted redox balance; low
temperature enhanced stress resistance. Differences were observed in amino acid
and vitamin derivatives, phospholipid accumulation, and organic acids. Short-term
storage at ambient temperature promoted lysine, threonine, cysteine, vitamin H,
phospholipid, and lauric (dodecanoic) acid accumulation, inhibiting proline,
phosphatidic acid (PA) (14:1(9Z)/12:0), and phosphatidylcholine (PC) (O-18:0/O
18:0) accumulation; low-temperature, short-term storage promoted myristic acid
and phospholipid accumulation and reduced methionine synthesis and vitamin H and
K accumulation. CONCLUSION: Overall, the nutritional quality of radish sprout
decreased upon short-term storage, with differences in certain active substances.
(c) 2018 Society of Chemical Industry.
PMID- 29786833
TI - Assessment of cerebral perfusion and edema in preeclampsia with intravoxel
incoherent motion MRI.
AB - INTRODUCTION: Cerebral complications are the main reasons for morbidity and
mortality in preeclampsia and eclampsia. As yet, we do not know whether the
pathophysiology entails hypo- or hyperperfusion of the brain, or how and when
edema emerges, due to the difficulty of examining the cerebral circulation.
MATERIAL AND METHODS: We have used a non-invasive diffusion weighted-magnetic
resonance imaging technique, intravoxel incoherent motion, to study cerebral
perfusion on the capillary level and cerebral edema in women with preeclampsia (n
= 30), normal pregnancy (n = 32), and non-pregnant women (n = 16). Estimates of
cerebral blood volume, blood flow, and edema were measured in 5 different
regions. These points were chosen to represent blood supply areas of both the
carotid and vertebrobasilar arteries, and to include both white and gray matter.
RESULTS: Except for the caudate nucleus, we did not detect any differences in
cerebral perfusion measures on a group level. In the caudate nucleus, we found
lower cerebral blood volume and lower blood flow in preeclampsia than in either
normal pregnancy (P = .01 and P = .03, respectively) or non-pregnant women (both
P = .02). No differences in edema were detected between study groups. CONCLUSION:
The cerebral perfusion measures were comparable between the study groups, except
for a portion of the basal ganglia where hypoperfusion was detected in
preeclampsia but not in normal pregnancy or non-pregnant women.
PMID- 29786836
TI - Initiating life-long aerobic exercise 4-5 days per week before or near age 50
years: is this the 'holy-grail' of preventing age-related central artery
stiffness?
PMID- 29786834
TI - Assessment of lactate production as a response to sustained intrapartum hypoxia
in large-for-gestational-age newborns.
AB - INTRODUCTION: Lactate concentration in umbilical cord blood is an important
measure of intrapartum anaerobic metabolism. The aim of the study was to compare
lactate production of large-for-gestational-age (LGA) fetuses against appropriate
for-gestational-age (AGA) fetuses during hypoxia, in diabetic and non-diabetic
mothers. MATERIAL AND METHODS: A total of 17 358 validated paired arterial and
venous umbilical cord blood samples taken at birth with a full panel of pH,
glucose, and lactate were analyzed relative to LGA (n = 2789) and AGA (n = 14
569). Umbilical cord blood acidemia (pH < mean minus 2 SD) was identified in 518
cases. RESULTS: Diabetes, but not acidemia, was more common among LGA (5.4%) than
AGA cases (2.9%) (respectively P < .0001 and P < .69). At normal pH, glucose was
lower in non-diabetes LGA cases, but not in diabetes LGA compared with
corresponding AGA cases (respectively P < .0001 and P < .067). Glucose levels
were higher in all groups during acidemia (P <= .0005), with lower values in non
diabetes LGA but not in diabetes LGA compared with corresponding AGA cases
(respectively P = .005 and P < .58). At normal pH, lactate was lower in non
diabetes LGA but not in diabetes LGA compared with corresponding AGA cases
(respectively P < .0001 and P < .98); during acidemia, lactate levels were higher
in all groups (P < .0001), resulting in no significant difference between LGA and
AGA in diabetes as well as in non-diabetes cases (respectively P = .29 and P <
.084). CONCLUSIONS: Considering cord acidemia a proxy for intrapartum hypoxia,
LGA fetuses showed no impaired ability to produce lactate during hypoxia.
Maternal diabetes did not hamper the ability of LGA fetuses to produce lactate
during hypoxia.
PMID- 29786835
TI - Bilateral asymmetry of the humerus in Neandertals, Australian aborigines and
medieval humans.
AB - OBJECTIVES: Bilateral asymmetry of diaphyseal shape and size may be a reflection
of relative activity levels and patterns of habitual biomechanical stress in the
upper arms of Neandertals and Homo sapiens. The main purpose of our study was to
assess the level of directional asymmetry of humeral cross sections in
Neandertals, recent Australian aborigines, and medieval farmers. MATERIALS AND
METHODS: Indices of directional and absolute asymmetry (%DA and %AA) of humeral
cross-sectional properties in Neandertals and recent Homo sapiens were
calculated. Evenly distributed semilandmarks around the external and internal
borders of cortical bone were digitized in the course of computed tomography for
analysis of shape differences between sides of the body. RESULTS: The medieval
farmers were characterized by significant %DA and %AA for polar second moment of
area (J), ratio of maximum to minimum second moments of area, and ratio of antero
posterior to medio-lateral bending strength. In Australian aborigines, only J in
males shows significant %DA and %AA, while Neandertals exhibit no significant
asymmetry of any cross-sectional properties. Differences in cross-sectional shape
between sides of the body were established in all three analyzed groups.
DISCUSSION: High levels of directional asymmetry of cross-sectional shape and
properties in medieval farmers may be caused by the performance of more
physically demanding tasks using one side of the body from an early age in that
population. Various patterns of asymmetry in Neandertals and modern humans may be
caused by different habitual behaviors during growth, eco-geographic patterns in
body proportions, genetic factors, and differences in ontogeny.
PMID- 29786837
TI - High Intensity Ultrasound for Salmonella Enteritidis Inactivation in Culture and
Liquid Whole Eggs.
AB - High intensity ultrasound (HIU) continues to be researched as a nonthermal
inactivation technology of appeal to food manufacturers. The advantages of HIU
include maintenance of product quality, freshness, product homogenization, along
with simultaneous inactivation of pathogens. Besides, it is simple, relatively
inexpensive, and easily adaptable to most processing environments. This study
investigated the effect of HIU for Salmonella Enteritidis inactivation in culture
and liquid whole eggs (LWEs) to decrease egg-associated outbreaks. Overnight S.
Enteritidis cultures and spiked LWE (both at 8 log CFU/mL) were treated with 20
kHz HIU for 0, 1, 5, 10, and 30 min (n = 6) in a temperature-controlled system,
not to exceed 20 degrees C, and replicated thrice. At each time point, samples
were enumerated on XLT4 agar and morphologically analyzed using scanning electron
microscopy, with measurements of color and rheological properties. Our results
revealed significant reduction of healthy S. Enteritidis cells up to 3.6 log
CFU/mL and 2.3 log CFU/25 mL after HIU treatment of merely 10 min of overnight
culture and 30 min in LWE, respectively (P < 0.05). After 5 and 10-min HIU
treatment, significant reduction of 1.4-log CFU/25 mL healthy S. Enteritidis in
LWE was obtained (P < 0.05). Even at 1-min exposure time, HIU showed significant
1.9 log CFU/mL reduction of cultures (P < 0.05); however, no log-reduction was
observed in LWE after 1 min. Scanning electron micrographs showed increased cell
structural damage using longer HIU exposure. For product color changes, lower
redness and yellowness of LWE were observed visually and instrumentally after 5
min HIU treatment (P < 0.05). The rheological properties of LWE measured at 0 to
200 s-1 shear rate, showed that shear stress of HIU-treated LWEs decreased after
5-min HIU exposure, but increased after 30-min treatment. This study demonstrated
that HIU shows promise for rapid Salmonella control in LWE and other liquid
foods, as an alternative inactivation method for use in hurdle approaches.
PMID- 29786838
TI - Edible Gum-Phenolic-Lipid Incorporated Gluten Films for Food Packaging.
AB - : The aim of this investigation was to improve the barrier and mechanical
properties of gluten films and further explore their application in the packaging
of seasonings. The effects of flaxseed gum (FG), oligomeric procyanidins (OPCs),
and lauric acid (LA) on the water vapor permeability (WVP), mechanical
properties, and peroxide value (POV) were determined. FG and OPCs improved the
WVP properties of the gluten films, whereas LA significantly improved the oxygen
barrier properties. The FG/OPCs/LA/GP composite film was then optimized, and the
morphological, microstructural, and thermal properties of the composite gluten
film were investigated by scanning electron microscopy, atomic force microscopy,
surface hydrophobicity analysis; Fourier transform infrared spectroscopy; thermal
gravimetric analysis, respectively. The results confirmed that gluten is
compatible with FG, OPCs, and LA, thereby leading to the formation of a more
uniform, dense, and hydrophobic film. The changes in the preservation properties
(appearance, POV, and acid value) of the composite gluten film for oil, salt, and
vegetable packaging were also examined. The composite gluten film maintained some
degree of seasoning packaging capacity over a 75-day storage period, indicating
its potential for uses as a packaging material for seasonings in food production.
PRACTICAL APPLICATION: The edible composite film will be produced in industry
according to the data provided in our paper; the film can be used as packaging
material for seasonings in food production.
PMID- 29786839
TI - The Influence of Scalded Flour, Fermentation, and Plants Belonging to Lamiaceae
Family on the Wheat Bread Quality and Acrylamide Content.
AB - : The aim of this study was to investigate the influence of additives such as
plants belonging to Lamiaceae family (Thymus vulgaris, Carum carvi, Origanum
vulgare, Ocimum basilicum, and Coriandrum sativum), scalded flour (SF) or scalded
flour fermented with Lactobacillus plantarum LUHS135 (SFFLp) on the quality and
acrylamide formation in wheat bread. The formation of acrylamide and bread
quality significantly depended on the king of plants used and the amount of SF
and SFFLp used. The additives of T. vulgaris and SF increased the content of
acrylamide by 3.4-fold in comparison with bread prepared without SF, whereas the
addition of SFFLp significantly reduced the content of acrylamide in bread,
especially using 5% of SFFLp supplemented with O. vulgare and 15% of SFFLp
supplemented with C. sativum (respectively by 40% and 29.4%) therefore could be
recommended for safer bread production. PRACTICAL APPLICATION: The addition of 5%
(from total wheat flour content) of scalded wheat flour fermented with
Lactobacillus plantarum LUHS135 strain (SFFLp) with Origanum vulgare addition,
and 5% or 10% of SFFLp prepared with Ocimum basilicum, and 15% of SFFLp prepared
with Coriandrum sativum significantly reduce the content of acrylamide in wheat
bread, therefore could be recommended for safer bread production.
PMID- 29786840
TI - Spray-Drying of Milk-Blackberry Pulp Mixture: Effect of Carrier Agent on the
Physical Properties of Powder, Water Sorption, and Glass Transition Temperature.
AB - : Spray dryer was used to produce particles from a milk-blackberry pulp mixture
(25%:75% (w/w)). Maltodextrin 10DE and 20DE and gum Arabic were used as adjuvants
in order to improve powder quality. The particles were analyzed with emphasis on
physical and physicochemical characteristics: moisture content, bulk density,
particle size, morphology, water activity, anthocyanins retention, anthocyanins
content, hygroscopicity, solubility, water sorption, and glass transition
temperature. The best results were obtained for the paste composition of 25%
concentrated milk-70% blackberry pulp-5% gum Arabic, yielding high anthocyanins
retention (>87.5%), low powder moisture content of 0.0265 g H2 O/g dry matter and
a higher powder solubility (>71.8%) when compared with other formulations. The
increase of water content led to a decrease of powder's glass transition
temperature. Powder stability was evaluated and the critical values of relative
humidity and moisture content were estimated based on state diagrams of glass
transition combined with sorption isotherm data. PRACTICAL APPLICATION: The
growing interest in blackberries is due to the presence of anthocyanins which
have shown possible application as a natural dye for food and pharmaceutical
products. Drying a mixture of blackberry pulp and milk may produce particles with
a broad range of intrinsic nutrients. Milk components could act as a wall
material and emulsifying agent, protecting the flavonoids from blackberry.
Therefore, a milk-blackberry powder becomes an alternative, which may reduce
postharvesting waste and increase the shelf life of the product without
significantly altering its nutritional characteristics.
PMID- 29786841
TI - Development of a Chlorine Dosing Strategy for Fresh Produce Washing Process to
Maintain Microbial Food Safety and Minimize Residual Chlorine.
AB - : The residual free chlorine level in fresh produce wash solution is closely
correlated to the chemical and microbial safety of produce. Excess amount of free
chlorine can quickly react with organic matters to form hazardous disinfection by
products (DBPs) above EPA-permitted levels, whereas deficiency of residual
chlorine in produce wash solution may result in incompletely removing pathogens
on produce. The purpose of this study was to develop a chlorine dosing strategy
to optimize the chlorine dosage during produce washing process without impacting
the microbial safety of fresh produce. Prediction equations were developed to
estimate free chlorine needed to reach targeted residual chlorine at various
sanitizer pH and organic loads, and then validated using fresh-cut iceberg
lettuce and whole strawberries in an automated produce washer. Validation results
showed that equations successfully predicted the initial chlorine concentration
needed to achieve residual chlorine at 10, 30, 60, and 90 mg/L for both lettuce
and strawberry washing processes, with the root mean squared error at 4.45 mg/L.
The Escherichia coli O157:H7 reductions only slightly increased on iceberg
lettuce and strawberries with residual chlorine increasing from 10 to 90 mg/L,
indicating that lowering residual chlorine to 10 mg/L would not compromise the
antimicrobial efficacy of chlorine-based sanitizer. Based on the prediction
equations and E. coli O157:H7 reduction results, a chlorine dosing strategy was
developed to help the produce industry to maintain microbial inactivation
efficacy without adding excess amount of free chlorine. PRACTICAL APPLICATION:
The chlorine dosing strategy can be used for fresh produce washing process to
enhance the microbial food safety and minimize the DBPs formation potential.
PMID- 29786842
TI - Correlated time-variation of bulk microstructure and rheology in asphalt binders.
AB - We use near-infrared dark-field optical microscopy to probe isothermal time
variation of the volume fraction of naturally-occurring, subsurface
microstructures in PG 64-22 asphalt binders at temperature T=30?C, following a
rapid heating (cooling) increment |DeltaT|=20?C from initial temperature
T0=10?C(50?C). We compare these microstructure variations with isothermal time
variations of the magnitude |G30*(t)| of the bulk complex shear modulus measured
for identical sample conditions with a Dynamic Shear Rheometer. The main findings
are: (1) Microstructure volume fraction (inferred from intensity I(t) of near
infrared optical scatter) and |G*(t)| both continue to change appreciably long
after measurable changes of binder temperature cease. Moreover, delayed time
variations in I(t) and |G*(t)| (2) correlate closely with each other; (3) evolve
on three distinct time scales - several minutes, ~1 h, >1 day; (4) depend on
binder aging; (5) are more pronounced after a cooling step (DeltaT=-20?C) than
after a heating step (DeltaT=+20?C); and (6) account for hysteresis in I(t) and
|G*(t)| curves observed during heating-cooling cycles.
PMID- 29786843
TI - Effect of Canning and Freezing on the Nutritional Content of Apricots.
AB - : The effect of commercial canning and freezing on the nutritional content of
fresh apricots was investigated. Processed samples were analyzed post-processing
and after 3 months of storage and compared directly to fresh apricots from the
same source. Vitamin C, beta-carotene, total phenols, and antioxidants were
quantified. Compared to fresh, canned apricots initially exhibited similar levels
of antioxidants, a 17% increase in beta-carotene, and a 48% increase in phenols,
while vitamin C was reduced by 37%. After 3 months of storage, antioxidant levels
were 47% higher than fresh. Vitamin C did not change significantly following
storage and beta-carotene decreased by 15%. The canned apricot fruit packed in
light syrup did not have higher total soluble solids (TSS) levels indicating no
increase in fruit sugar content. Frozen apricots exhibited large increases in
antioxidants (529%), beta-carotene (35%), vitamin C (3,370%), and phenols (406%)
compared to fresh. After 3 months of storage, frozen apricots decreased in
vitamin C (29%) and phenols (17%), but remained 2,375% and 318% higher than
fresh, respectively. Beta-carotene increased during storage, reaching levels 56%
higher than fresh while antioxidant activity was unchanged. This study
demonstrates that key nutrients in canned and frozen apricots are retained or
amplified upon processing, with the exception of vitamin C in canned apricots.
The routine addition of citric and ascorbic acid to fruit prior to freezing
resulted in significantly higher antioxidants, vitamin C, and phenols. Consumers
eating canned or frozen apricots can feel confident of similar or superior
nutritional content as compared to fresh apricots. PRACTICAL APPLICATION: The
apricot industry is limited by the short shelf life of the fruit and consumer
belief that processed produce is not as nutritious as fresh. Assessing the
nutritional content of canned and frozen apricots and determining that processed
apricots can deliver nearly comparable nutrient levels to fresh apricots provides
the evidence needed to dispel these misconceptions and potentially increase
demand for processed apricots among consumers.
PMID- 29786844
TI - Effect of Meat Type, Animal Fatty Acid Composition, and Isothermal Temperature on
the Viscoelastic Properties of Meat Batters.
AB - : The aim of this research was to simultaneously study the effect of meat type
(chicken breast and leg meat), animal fatty acid composition (selected pork
backfats having a low and high degree of saturation, respectively), and
isothermal temperature (50, 60, 70, and 80 degrees C) on the viscoelastic
properties of meat batters during and after application of different time
temperature profiles. Gelation of meat proteins contributed most to the
viscoelastic properties of meat batters during heating, whereas crystallization
of the lipids especially contributed to the viscoelastic properties during the
cooling phase. Although the meat type had little effect on the final viscoelastic
properties of the meat product, the fatty acid composition had a clear impact on
the melting peak area (and therefore solid fat content) of lard, and subsequently
on the final viscoelastic properties of meat batters prepared with different
types of fats, with higher G' (elastic modulus) values for the most saturated
animal fat. The crystallization of the fat clearly transcended the effect of the
meat type with regard to G' at the end of the process. With increasing
(isothermal) temperature, G' of meat batters increased. Therefore, it could be
concluded that the structural properties of heated meat batters mainly depend on
the heating temperature and the fatty acid composition, rather than the meat
type. PRACTICAL APPLICATION: Quality characteristics of cooked sausages depend on
multiple factors such as the meat and fat type, non-meat ingredients and
processing conditions. From this study it could be concluded that the structural
properties of cooked sausage batters mainly depend on the heating temperature and
the fatty acid composition, rather than the meat type. Because the fatty acid
composition of different animal fats differs widely, these results may be a
concern for all manufactures of cooked sausages products with regard to the
product structure and final texture, keeping in mind that rendered fat was used
in this study, which is not common in sausage making.
PMID- 29786846
TI - The pendulum swings back: Screening for prostate cancer in 2018.
PMID- 29786845
TI - A Simple and Portable Screening Method for Adulterated Olive Oils Using the Hand
Held FTIR Spectrometer and Chemometrics Tools.
AB - : Extra virgin olive oil has been one of the most common targets for economically
motivated food adulteration. This research employed the hand-held FTIR
spectrometer to develop the simple and portable screening methods for extra
virgin olive oils adulterated with other cheaper vegetable oils. With the help of
the ATR probe and chemometrics tools, oil samples can be measured directly
without any pretreatment, then the spectra can be interpreted automatically to
determine the possibility of adulteration and estimate the content of adulterant
oil. A feasibility study of the hand-held FTIR screening method was carried out
using olive oils adulterated with canola oil, peanut oil, corn oil, soybean oil,
and sunflower oil. The SIMCA model using the hand-held FTIR spectra can identify
different kinds of vegetable oils correctly and recognize olive oils with not
less than 10% of adulterant oils. Meanwhile, the content of adulterant oil in the
range of 5% to 45% can be estimated by the PLS model using the hand-held FTIR
spectra within an error of 3%. This research shows the potential of the hand-held
FTIR technique in the rapid and field screening of olive oils adulterated with
some cheaper vegetable oils. PRACTICAL APPLICATION: This research provide a rapid
and automatic detection method for olive oils adulterated with other cheaper
vegetable oils. An oil sample can be measured directly within one minute, and the
hand-held instrument can be used anywhere needed.
PMID- 29786847
TI - Assessment of Antibiotic Susceptibility within Lactic Acid Bacteria and Coagulase
Negative Staphylococci Isolated from Hunan Smoked Pork, a Naturally Fermented
Meat Product in China.
AB - : The aim of this study was to evaluate the antibiotic susceptibility of lactic
acid bacteria (LAB) and coagulase-negative staphylococci (CNS) strains isolated
from naturally fermented smoked pork produced in Hunan, China. A total of 48
strains were isolated by selective medium and identified at the species level by
16S rRNA gene sequencing as follows: Staphylococcus carnosus (23), Lactobacillus
plantarum (12), Lactobacillus brevis (10), Lactobacillus sakei (1), Weissella
confusa (1), and Weissella cibaria (1). All strains were typed by RAPD-PCR, and
their susceptibility to 15 antibiotics was determined and expressed as the
minimum inhibitory concentration (MIC) using agar dilution method. High
resistance to penicillin G, streptomycin, gentamycin, vancomycin,
chloramphenicol, norfloxacin, ciprofloxacin, kanamycin, and neomycin was found
among the isolates. All the strains were sensitive to ampicillin, while the
susceptibility to tetracycline, oxytetracycline, erythromycin, lincomycin, and
roxithromycin varied. The presence of relevant resistance genes was investigated
by PCR and sequencing, with the following genes detected: str(A), str(B), tet(O),
tet(M), ere(A), and catA. Eleven strains, including 3 S. carnosus, 6 L.
plantarum, and 2 L. brevis, harbored more than 3 antibiotic resistance genes.
Overall, multiple antibiotic resistance patterns were widely observed in LAB and
S. carnosus strains isolated from Hunan smoked pork. Risk assessment should be
carried out with regard to the safe use of LAB and CNS in food production.
PRACTICAL APPLICATION: We evaluated the antibiotic resistance of lactic acid
bacteria and coagulase-negative staphylococci strains isolated from Chinese
naturally fermented smoked pork. Our results may provide important data on
establishing breakpoint standards for LAB and CNS and evaluating the safety risk
of these strains for commercial use.
PMID- 29786848
TI - Annual Report to the Nation on the Status of Cancer, part I: National cancer
statistics.
AB - BACKGROUND: The American Cancer Society (ACS), the Centers for Disease Control
and Prevention (CDC), the National Cancer Institute (NCI), and the North American
Association of Central Cancer Registries (NAACCR) collaborate to provide annual
updates on cancer occurrence and trends in the United States. METHODS: Incidence
data were obtained from the CDC-funded and NCI-funded population-based cancer
registry programs and compiled by NAACCR. Data on cancer deaths were obtained
from the National Center for Health Statistics National Vital Statistics System.
Trends in age-standardized incidence and death rates for all cancers combined and
for the leading cancer types by sex, race, and ethnicity were estimated by
joinpoint analysis and expressed as the annual percent change. Stage distribution
and 5-year survival by stage at diagnosis were calculated for breast cancer,
colon and rectum (colorectal) cancer, lung and bronchus cancer, and melanoma of
the skin. RESULTS: Overall cancer incidence rates from 2008 to 2014 decreased by
2.2% per year among men but were stable among women. Overall cancer death rates
from 1999 to 2015 decreased by 1.8% per year among men and by 1.4% per year among
women. Among men, incidence rates during the most recent 5-year period (2010
2014) decreased for 7 of the 17 most common cancer types, and death rates (2011
2015) decreased for 11 of the 18 most common types. Among women, incidence rates
declined for 7 of the 18 most common cancers, and death rates declined for 14 of
the 20 most common cancers. Death rates decreased for cancer sites, including
lung and bronchus (men and women), colorectal (men and women), female breast, and
prostate. Death rates increased for cancers of the liver (men and women);
pancreas (men and women); brain and other nervous system (men and women); oral
cavity and pharynx (men only); soft tissue, including heart (men only);
nonmelanoma skin (men only); and uterus. Incidence and death rates were higher
among men than among women for all racial and ethnic groups. For all cancer sites
combined, black men and white women had the highest incidence rates compared with
other racial groups, and black men and black women had the highest death rates
compared with other racial groups. Non-Hispanic men and women had higher
incidence and mortality rates than those of Hispanic ethnicity. Five-year
survival for cases diagnosed from 2007 through 2013 ranged from 100% (stage I) to
26.5% (stage IV) for female breast cancer, from 88.1% (stage I) to 12.6% (stage
IV) for colorectal cancer, from 55.1% (stage I) to 4.2% (stage IV) for lung and
bronchus cancer, and from 99.5% (stage I) to 16% (stage IV) for melanoma of the
skin. Among children, overall cancer incidence rates increased by 0.8% per year
from 2010 to 2014, and overall cancer death rates decreased by 1.5% per year from
2011 to 2015. CONCLUSIONS: For all cancer sites combined, cancer incidence rates
decreased among men but were stable among women. Overall, there continue to be
significant declines in cancer death rates among both men and women. Differences
in rates and trends by race and ethnic group remain. Progress in reducing cancer
mortality has not occurred for all sites. Examining stage distribution and 5-year
survival by stage highlights the potential benefits associated with early
detection and treatment. Cancer 2018;124:2785-2800. (c) 2018 American Cancer
Society.
PMID- 29786849
TI - Isolation and Characterization of Wheat Derived Nonspecific Lipid Transfer
Protein 2 (nsLTP2).
AB - Numerous studies support the protective role of bioactive peptides against
cardiovascular diseases. Cereals represent the primary source of carbohydrates,
but they also contain substantial amounts of proteins, therefore representing a
potential dietary source of bioactive peptides with nutraceutical activities. The
analysis of wheat extracts purified by chromatographic techniques by means of
HPLC-UV/nanoLC-nanoESI-QTOF allowed the identification of a signal of about 7 kDa
which, following data base searches, was ascribed to a nonspecific lipid-transfer
protein (nsLTP) type 2 from Triticum aestivum (sequence coverage of 92%). For the
first time nsLTP2 biological activities have been investigated. In particular, in
experiments with human umbilical vein endothelial cells (HUVEC), nsLTP2 displayed
antioxidant and cytoprotective activities, being able to significantly decrease
reactive oxygen species (ROS) levels and to reduce lactate dehydrogenase (LDH)
release, generated following oxidative (hydrogen peroxide) and inflammatory
(tumor necrosis factor alpha, interleukin-1beta, and lipopolysaccharide)
stimulation. The obtained promising results suggest potential protective role of
nsLTP2 in vascular diseases prevention. PRACTICAL APPLICATION: nsLTP 2 peptide is
resistant to proteases throughout the gastrointestinal tract and exerts
antioxidant and cytoprotective activities. These characteristics could be
exploited in vascular diseases prevention.
PMID- 29786850
TI - Plasticizers and BPA Residues in Tunisian and Italian Culinary Herbs and Spices.
AB - : In the present study, 18 plasticizers and residues in 10 different Tunisian and
Italian culinary herbs and spices (black pepper, mint, caraway, coriander,
oregano, rosemary, thyme, fennel, verbena, and laurel) were determined by GC/MS.
Di-methylphthalate, di-(2-methylpropyl)adipate, di-n-butyladipate, di
propylphthalate, benzylbenzoate, di-phenylphthalate, and bisphenol A were lower
than their LOQ in all 118 samples. Among the Tunisian samples, di-(2
ethylhexyl)phthalate was found in all types of samples and di-butylphthalate in
50% of types; all other phthalates were rarely dectected. Among the adipates,
only di-methyladipate was found in 90% of types; di-ethyladipate was seldom found
and di-(2-ethylhexyl)adipate only in samples of caraway. Di-(2
ethylhexyl)terephthalate was found in all types of samples; di-(2
ethylhexyl)sebacate was detected rarely but with high values. Among the Sicilian
samples, di-ethylphthalate and di-(2-methylpropyl)phthalate were detected only in
samples of mint; di-methyladipate, di-butylphthalate, and benzylbutylphthalate
were identified in oregano and laurel; di-(2-ethylhexyl)terephthalate and di-(2
ethylhexyl)sebacate only in oregano. In any case, the results suggested that
intake of these contaminants through spices and herbs is not a dangerous risk to
the consumers. Probably, as already observed for the other food, these
contaminants could result from pollution of the environment (air, water, and/or
soil) and/or farming methods. PRACTICAL APPLICATION: Plasticizers and BPA in
Tunisian and Italian spices were determined by GC/MS. Tunisian spices seem to
contain more residues than Italian samples. Intake of these contaminants by
spices is not a dangerous risk to the consumers. These pollutants could result
from environmental pollution or agricultural practices.
PMID- 29786851
TI - Annual Report to the Nation on the Status of Cancer, part II: Recent changes in
prostate cancer trends and disease characteristics.
AB - BACKGROUND: Temporal trends in prostate cancer incidence and death rates have
been attributed to changing patterns of screening and improved treatment
(mortality only), among other factors. This study evaluated contemporary national
level trends and their relations with prostate-specific antigen (PSA) testing
prevalence and explored trends in incidence according to disease characteristics
with stage-specific, delay-adjusted rates. METHODS: Joinpoint regression was used
to examine changes in delay-adjusted prostate cancer incidence rates from
population-based US cancer registries from 2000 to 2014 by age categories, race,
and disease characteristics, including stage, PSA, Gleason score, and clinical
extension. In addition, the analysis included trends for prostate cancer
mortality between 1975 and 2015 by race and the estimation of PSA testing
prevalence between 1987 and 2005. The annual percent change was calculated for
periods defined by significant trend change points. RESULTS: For all age groups,
overall prostate cancer incidence rates declined approximately 6.5% per year from
2007. However, the incidence of distant-stage disease increased from 2010 to
2014. The incidence of disease according to higher PSA levels or Gleason scores
at diagnosis did not increase. After years of significant decline (from 1993 to
2013), the overall prostate cancer mortality trend stabilized from 2013 to 2015.
CONCLUSIONS: After a decline in PSA test usage, there has been an increased
burden of late-stage disease, and the decline in prostate cancer mortality has
leveled off. Cancer 2018;124:2801-2814. (c) 2018 American Cancer Society.
PMID- 29786852
TI - Evolution of lobar abnormalities of cerebral glucose metabolism in 41 children
with drug-resistant epilepsy.
AB - OBJECTIVE: We analyzed long-term changes of lobar glucose metabolic abnormalities
in relation to clinical seizure variables and development in a large group of
children with medically refractory epilepsy. METHODS: Forty-one children (25
males) with drug-resistant epilepsy had a baseline positron emission tomography
(PET) scan at a median age of 4.7 years; the scans were repeated after a median
of 4.3 years. Children with progressive neurological disorders or space-occupying
lesion-related epilepsy and those who had undergone epilepsy surgery were
excluded. The number of affected lobes on 2-deoxy-2(18 F)-fluoro-D-glucose-PET at
baseline and follow-up was correlated with epilepsy variables and developmental
outcome. RESULTS: On the initial PET scan, 24 children had unilateral and 13 had
bilateral glucose hypometabolism, whereas 4 children had normal scans. On the
follow-up scan, 63% of the children showed an interval expansion of the
hypometabolic region, and this progression was associated with persistent
seizures. In contrast, 27% showed less extensive glucose hypometabolism at follow
up; most of these subjects manifested a major interval decrease in seizure
frequency. Delayed development was observed in 21 children (51%) at baseline and
28 (68%) at follow-up. The extent of glucose hypometabolism at baseline
correlated with developmental levels at the time of both baseline (r = .31, P =
.05) and follow-up scans (r = .27, P = .09). SIGNIFICANCE: In this PET study of
unoperated children with focal epilepsy, the lobar pattern of glucose
hypometabolism changed over time in 90% of the cases. The results support the
notion of an expansion of metabolic dysfunction in children with persistent
frequent seizures and its association with developmental delay, and support that
optimized medical treatment to control seizures may contribute to better
neurocognitive outcome if no surgery can be offered.
PMID- 29786853
TI - Cysteamine-Modified Gold Nanoparticles as a Colorimetric Sensor for the Rapid
Detection of Gentamicin.
AB - : A simple, rapid, and specific colorimetric method for gentamicin detection
using cysteamine-modified gold nanoparticles (cys-AuNPs) has been developed. The
maximum residue limits of gentamicin allowed in foods are typically below 100 nM,
so an effective detection method for low concentrations of the drug is required.
The aggregation of gold nanoparticles (AuNPs) was used as the basis for this
method, and adding cysteamine to the AuNPs helped to enhance their aggregative
abilities. The cys-AuNPs are capable of detecting gentamicin concentrations as
low as 12.45 nM in water, which could be quantified using UV-vis spectroscopy.
Samples extracted from skim milk with a simple pretreatment showed that
gentamicin concentrations down to at least 100 nM could be observed using the cys
AuNPs. This study demonstrates the ability of the cys-AuNPs to rapidly detect and
quantify gentamicin in both simple and complex matrices. PRACTICAL APPLICATION:
This study demonstrates that cysteamine-modified gold nanoparticles could be used
as a rapid and efficient tool for gentamicin detection. This technique is
cheaper, simpler, and more effective than many other methods that are currently
used for detecting the antibiotic in industrial and commercial applications. It
has a great potential to be practically applied as a rapid screening method for
gentamicin and gentamicin-like compounds in food and environmental samples.
PMID- 29786854
TI - Muffins Elaborated with Optimized Monoglycerides Oleogels: From Solid Fat
Replacer Obtention to Product Quality Evaluation.
AB - : This study demonstrates the effectiveness of using oleogels from high oleic
sunflower oil (HOSO) and monoglycerides as solid fat replacers in a sweet bakery
product. Firstly, a methodology to obtain oleogels with desired properties based
on mathematical models able to describe relationships between process and product
characteristics variables followed by multi-objective optimization was applied.
Later, muffins were prepared with the optimized oleogels and their
physicochemical and textural properties were compared with those of muffins
formulated using a commercial margarine (Control) or only HOSO. Furthermore, the
amount of oil released from muffins over time (1, 7, and 10 days) was measured to
evaluate their stability. The replacement of commercial margarine with the
optimized oleogels in muffin formulation led to the obtention of products with
greater spreadability, higher specific volume, similar hardness values, and a
more connected and homogeneous crumb structure. Moreover, these products showed a
reduction of oil migration of around 50% in contrast to the Control muffins after
10 days of storage, which indicated that the optimized oleogels can be used
satisfactorily to decrease oil loss in this sweet baked product. Fat replacement
with the optimized monoglycerides oleogels not only had a positive impact on the
quality of the muffins, but also allowed to improve their nutritional profile
(without trans fat and low in saturated fat). PRACTICAL APPLICATION: The food
industry demands new ways to reduce the use of saturated and trans fats in food
formulations. To contribute to this search, oleogels from high oleic sunflower
oil and saturated monoglycerides were prepared under optimized conditions in
order to obtain a product with similar functionality to margarine, and its
potential application as a semisolid fat ingredient in muffins was evaluated.
Muffins formulated with oleogels showed an improved quality compare with those
obtained using a commercial margarine with the added benefit of a healthier
nutritional profile.
PMID- 29786855
TI - Speciation of Bio-Available Iodine in Abalone (Haliotis discus hannai) by High
Performance Liquid Chromatography Hyphenated with Inductively Coupled Plasma-Mass
Spectrometry Using an In Vitro Method.
AB - : Abalone is one of the most valuable marine products found in East Asia because
it is rich in nutritious substances including iodine. In this study, the in vitro
dialyzability approach was used to assess the bio-available iodine species in
abalone. Iodide, iodate, 3-iodo-L-tyrosine (MIT), and 3,5-diiodo-L-tyrosine (DIT)
were separated by high-performance liquid chromatography hyphenated with
inductively coupled plasma-mass spectrometry (HPLC-ICP-MS). To assure the
consistency, reliability, and accuracy of the data, the method was validated.
Comparison of the total iodine in abalone muscle and viscera indicated that
abalone muscle showed greater digestion/absorption efficiency than abalone
viscera (digestion efficiency: 68.13 +/- 2.59% and 47.88 +/- 5.76% and absorption
efficiency: 59.78 +/- 2.93% and 35.12 +/- 1.43% for abalone viscera and muscle,
respectively). However, evaluation of the sum of the analyzed iodine species
targeted in this study by HPLC-ICP-MS indicated that abalone muscle showed lower
digestion efficiency and similar absorption efficiency compared to that of
abalone viscera (digestion efficiency: 35.52 +/- 5.41% and 28.84 +/- 1.83%;
absorption efficiency: 23.56 +/- 4.38% and 27.56 +/- 1.51% for abalone viscera
and muscle, respectively). The main forms of iodine detected in abalone muscle
were iodide and MIT, whereas iodide was the major form in abalone viscera.
PRACTICAL APPLICATION: The bio-available iodine in abalone was quantified via an
in vitro method employing HPLC-ICP-MS. The results of this study indicated that
abalone is feasible as a new iodine source and may prospectively find application
in iodine-fortified foods.
PMID- 29786856
TI - Characterization of Pectins Extracted from Different Varieties of Pink/Red and
White Grapefruits [Citrus Paradisi (Macf.)] by Thermal Treatment and
Thermosonication.
AB - : The physical and chemical properties of pectin extracts obtained from different
white and pink/red varieties of grapefruit [Citrus paradisi (Macf.)], using both
conventional heating (CHE) and thermosonication (TS), were investigated. The
content of galacturonic acid (GalA), degree of esterification (%DM), color and
antioxidant capacity were analyzed. Fourier-Transform Infrared Spectroscopy
(FTIR) associated with multivariate analysis enabled a structural comparison
among the pectin extracts, and differential scanning calorimetry (DSC) completed
a full landscape of the investigated extracts. Pectin extracts obtained by CHE
showed mostly higher GalA than those obtained by TS. All the extracts had a high
antioxidant capacity, as determined by 2,2 diphenyl 1-picrylhydrazyl (DPPH* ) and
2,2'-Azino-bis(3-ethylbenzothiazoline-6-sulfonic acid) diammonium salt (ABTS* +)
assays, and a high correlation with the GalA content. The main differences
observed in the FTIR spectra occurred in the 1200 to 900 cm-1 region (differences
in GalA). The glass transition temperatures (Tgs) of all extracts were above 85
degrees C, making them interesting as stabilizing agents for the food industry.
PRACTICAL APPLICATION: A wide database for the characterization of pectin
extracts from grapefruits was obtained. The relationship between the extraction
method and the source of pectins, with the physicochemical and antioxidant
properties provided great support for their application in the food industry.
PMID- 29786857
TI - Effect of OATP1B1/1B3 Inhibitor GDC-0810 on the Pharmacokinetics of Pravastatin
and Coproporphyrin I/III in Healthy Female Subjects.
AB - Developed as an oral anticancer drug to treat estrogen receptor-positive breast
cancer, GDC-0810 was shown to be a potent inhibitor of organic anion-transporting
polypeptide 1B1 and 1B3 (OATP1B1/1B3) from an in vitro assay. A clinical study
was conducted to assess the drug-drug interaction potential between GDC-0810 and
pravastatin, which is a relatively selective and sensitive OATP1B1/1B3 substrate.
Fifteen healthy female subjects of non-childbearing potential were enrolled in
the study. On day 1 in period 1, a single 10-mg dose of pravastatin was
administered to all subjects. Following a 4-day washout period, 600 mg of GDC
0810 was administered once daily on days 5 through 8 in period 2 to achieve
steady-state concentrations. On day 7, a single dose of 10-mg pravastatin was
coadministered with the 600-mg GDC-0810 dose. Concentrations of pravastatin
(periods 1 and 2) and GDC-0810 (period 2 only) were quantified in blood samples
and subsequently used to calculate the pharmacokinetics (PK) parameters. The
pravastatin mean maximal concentration and area under the curve values were
approximately 20% and 41% higher, respectively, following pravastatin
coadministration with GDC-0810 compared to pravastatin alone. Based on the
magnitude of change in this drug-drug interaction study, dose adjustments for
pravastatin (and other OATP1B1/1B3 substrates) were not considered necessary when
administered with GDC-0810. Retrospectively, the endogenous biomarkers of
OATP1B1/1B3, coproporphyrin I and III, were also measured and showed changes
comparable to those of pravastatin, indicating their utility in detecting weak
inhibition of OATP1B1/1B3 in the clinical setting.
PMID- 29786858
TI - Ecosystem heterogeneity and diversity mitigate Amazon forest resilience to
frequent extreme droughts.
AB - The impact of increases in drought frequency on the Amazon forest's composition,
structure and functioning remain uncertain. We used a process- and individual
based ecosystem model (ED2) to quantify the forest's vulnerability to increased
drought recurrence. We generated meteorologically realistic, drier-than-observed
rainfall scenarios for two Amazon forest sites, Paracou (wetter) and Tapajos
(drier), to evaluate the impacts of more frequent droughts on forest biomass,
structure and composition. The wet site was insensitive to the tested scenarios,
whereas at the dry site biomass declined when average rainfall reduction exceeded
15%, due to high mortality of large-sized evergreen trees. Biomass losses
persisted when year-long drought recurrence was shorter than 2-7 yr, depending
upon soil texture and leaf phenology. From the site-level scenario results, we
developed regionally applicable metrics to quantify the Amazon forest's
climatological proximity to rainfall regimes likely to cause biomass loss > 20%
in 50 yr according to ED2 predictions. Nearly 25% (1.8 million km2 ) of the
Amazon forests could experience frequent droughts and biomass loss if mean annual
rainfall or interannual variability changed by 2sigma. At least 10% of the high
emission climate projections (CMIP5/RCP8.5 models) predict critically dry regimes
over 25% of the Amazon forest area by 2100.
PMID- 29786859
TI - Synergistic effects of abiotic stresses in plants: a case study of nitrogen
limitation and saturating light intensity in Arabidopsis thaliana.
AB - Under natural conditions, plants are regularly exposed to combinations of stress
factors. A common example is the conjunction between nitrogen (N) deficiency and
excess light. The combined effect of stress factors is often ignored in studies
using controlled conditions, possibly resulting in misleading conclusions. To
address this issue, the present study examined the physiological behavior of
Arabidopsis thaliana under the effect of varying nitrogen levels and light
intensities. The joint influence of low N and excess light had an adverse effect
on plant growth, chlorophyll and anthocyanin concentrations, photochemical
capacity and the abundance of proteins involved in carbon assimilation and
antioxidative metabolism. In contrast, no adverse physiological responses were
observed for plants under either nitrogen limitation or high light (HL) intensity
conditions (i.e. single stress). The underlying mechanisms for the increased
growth in conditions of HL and sufficient nitrogen were a combination of
chlorophyll accumulation and an increased number of proteins involved in C3
carbon assimilation, amino acids biosynthesis and chloroplast development. In
contrast, combined stress conditions shifts plants from growth to survival by
displaying anthocyanin accumulation and an increased number of proteins involved
in catabolism of lipids and amino acids as energy substrates. Ultimately
switching plants development from growth to survival. Our results suggest that an
assessment of the physiological response to the combined effect of multiple
stresses cannot be directly extrapolated from the physiological response to a
single stress. Specifically, the synergistic interaction between N deficiency and
saturating light in Arabidopsis plants could not have been modeled via only one
of the stress factors.
PMID- 29786860
TI - Effect of cold plasma on maintaining the quality of chub mackerel (Scomber
japonicus): biochemical and sensory attributes.
AB - BACKGROUND: Atmospheric cold plasma (ACP) has emerged as a potential alternative
to traditional methods for non-thermal food decontamination. However, few data
are available about ACP treatment for seafood. In this study, dielectric barrier
discharge (DBD) was applied to generate CP, and the aim of the study was to
investigate the effectiveness of DBD-ACP on improving the quality of chub
mackerel on the basis of chemical, microbial and sensory characteristics.
RESULTS: The effect of DBD-ACP on the quality of chub mackerel (Scomber
japonicus) during storage was examined. Results revealed that the optimal voltage
level and exposure time of this treatment were 60 kV and 60 s respectively, and
such conditions exhibited excellent inactivation efficacy and weak influence on
proximate chemical compositions. Variations in total viable count (TVC), sensory
scores and total volatile basic nitrogen (TVB-N) indicated that ACP treatment
extended the shelf life of chub mackerel to 14 days, whereas samples without this
treatment exceeded the limits of the three parameters after 6 days. The slow
development rates of peroxide value (PV) and thiobarbituric acid (TBA) value
implied that lipid oxidation was also effectively retarded by ACP exposure.
Scanning electron microscopy confirmed that CP could effectively delay the
degradation of myofibrillar proteins and enhance the stability of tissue
structures. CONCLUSION: The excellent antimicrobial efficacy of ACP treatment
makes it a potential and promising alternative to other seafood preservation
technology. This is the first report on the application of ACP to seafood, which
is essential to perishable food storage. (c) 2018 Society of Chemical Industry.
PMID- 29786862
TI - Experimental fluence-modulated proton computed tomography by pencil beam
scanning.
AB - PURPOSE: This experimental study is aimed at demonstrating, using a simple
cylindrical water phantom, the feasibility of fluence-modulated proton computed
tomography (FMpCT) by pencil beam scanning (PBS) proton computed tomography
(pCT). METHODS: The phase II pCT prototype of the Loma Linda U. and U. C. Santa
Cruz was operated using the PBS beam line of the Northwestern Medicine Chicago
Proton Center. A 20 * 10 grid of 1.37 cm full width half maximum pencil beams
(PB) equally spaced by 1 cm was used to acquire 45 projections in step and shoot
mode. The PB pattern's fluence was modified to allow FMpCT scans with fluence
modulation factors (FMF) of 50% and 20%. A central FMpCT region of interest
(FMpCT-ROI) was used to define a high image quality region. Reconstructed images
were evaluated in terms of relative stopping power (RSP) accuracy and noise using
annular ROIs. The FMpCT dose savings were estimated by Monte Carlo (MC)
simulation of the pCT acquisitions using beam phase space distributions. PBS pCT
results with homogeneous fluence were additionally compared to broad beam results
in terms of RSP accuracy and noise. RESULTS: PBS pCT scans with acceptable pileup
were possible, and images were comparable to previously acquired broad beam pCT
images in terms of both noise and accuracy. In the FMpCT-ROI, the noise and
accuracy from full fluence (FF) scans were preserved. Dose savings of up to 60%
were achieved at the object's edge when using FMF of 20%. CONCLUSION: In this
study, we have demonstrated that PBS pCT scans can achieve equivalent accuracy as
those obtained from broad beams. The feasibility of FMpCT scans was demonstrated;
image accuracy and noise were successfully preserved in the central FMpCT-ROI
chosen for this study, and dose reduction of up to 60% at the object's edge was
realized.
PMID- 29786863
TI - Acute ammonia toxicity to the larvae (glochidia) of the tropical Australian
freshwater mussel Velesunio spp. Using a modified toxicity test protocol.
AB - Ammonia is recognized as a major pollutant worldwide, originating from natural
and anthropogenic sources. Studies have reported that freshwater mussels are
among the most sensitive taxa to ammonia, but few data are available on ammonia
toxicity for the early life stages of freshwater mussels from tropical regions.
We report on the modification of a 24-h acute toxicity test protocol for tropical
freshwater mussels and application of the test using ammonia. Velesunio spp. from
3 different sites were used to assess the toxicity of ammonia at a targeted pH of
6.0 and a water temperature of 27.5 degrees C, which were the average annual
values for some slightly to moderately acidic, soft water (3-6 mg/L as CaCO3 )
creeks of tropical northern Australia. The valve closure responses of mussel
glochidia (larvae) to a sodium chloride solution were used to measure the
survival endpoint. Acute toxicity estimates indicate that tropical Velesunio spp.
were highly sensitive to ammonia, with 24-h exposures to ammonium sulfate
generating median lethal concentration estimates ranging from 6.8 to 14.2 mg/L
total ammonia nitrogen, which, when adjusted to pH 7 and 20 degrees C, were
among the highest sensitivities yet reported for any freshwater mussel species,
and among the highest in sensitivity for any tropical taxon. These toxicity
estimates can contribute to the derivation or refinement of ammonia guideline
values for freshwater ecosystems globally. Environ Toxicol Chem 2018;37:2175
2187. (c) 2018 SETAC.
PMID- 29786864
TI - Testing the roles of vertical transmission and drought stress in the prevalence
of heritable fungal endophytes in annual grass populations.
AB - Beneficial inherited symbionts are expected to reach high prevalence in host
populations, yet many are observed at intermediate prevalence. Theory predicts
that a balance of fitness benefits and efficiency of vertical transmission may
interact to stabilize intermediate prevalence. We established populations of
grass hosts (Lolium multiflorum) that varied in prevalence of a heritable fungal
endophyte (Epichloe occultans), allowing us to infer long-term equilibria by
tracking change in prevalence over one generation. We manipulated an
environmental stressor (elevated precipitation), which we hypothesized would
reduce the fitness benefits of symbiosis, and altered the efficiency of vertical
transmission by replacing endophyte-positive seeds with endophyte-free seeds.
Endophytes and elevated precipitation both increased host fitness, but symbiont
effects were not stronger in the drier treatment, suggesting that benefits of
symbiosis were unrelated to drought tolerance. Reduced transmission suppressed
the inferred equilibrium prevalence from 42.6% to 11.7%. However, elevated
precipitation did not modify prevalence, consistent with the result that it did
not modify fitness benefits. Our results demonstrate that failed transmission can
influence the prevalence of heritable microbes and that intermediate prevalence
can be a stable equilibrium due to forces that allow symbionts to increase
(fitness benefits) but prevent them from reaching fixation (failed transmission).
PMID- 29786865
TI - Infection with phytopathogenic bacterium inhibits melatonin biosynthesis,
decreases longevity of its vector, and suppresses the free radical-defense.
AB - Vector-borne phytopathogenic bacteria may alter the reproductive fitness,
survival, behavior, and metabolism of their vectors. Candidatus Liberibacter
asiaticus (CLas) is associated with the Huanglongbing (also known as citrus
greening disease), one of the most destructive citrus diseases worldwide, and
transmitted by Asian citrus psyllid, Diaphorina citri (Insecta, Hemiptera,
Liviidae). The genome sequencing of CLas revealed that it does not have the
ability to synthesize tryptophan, the precursor of melatonin, and it must acquire
it from its host plant or insect vector to achieve its biologic processes, such
as growth and multiplication. Herein, we aimed to develop a GC-MS-SIM-based
method to detect the endogenous melatonin from small insects such as D. citri,
and to explore the hidden relationship between melatonin content and D. citri
adult survival. Then, we studied the ability of exogenous melatonin
supplementation to reverse the negative effects of CLas-infection. Our findings
showed that CLas-infection reduced the levels of melatonin and its biosynthetic
genes (DcTPHs, DcAAAD, DcSNAT, and DcASMT) of D. citri compared to uninfected
insects. In addition, CLas decreased the longevity of its vector, D. citri via
the suppression of the free radical-defense associated genes (SODs, GSTs, PODs,
and PHGPXs). On the other hand, melatonin supplementation could reverse the
negative effects of CLas-infection. Melatonin supplementation enhanced the
endogenous melatonin content, melatonin biosynthetic genes, free radical-defense
associated genes, and the longevity of both healthy and CLas-infected D. citri.
Furthermore, melatonin supplementation decreased the CLas bacterial population
within the D. citri psyllids. Based on these findings, we hypothesize that
melatonin plays multi-layered defensive roles in D. citri. These roles include
acting as a natural antioxidant or as an antibacterial compound.
PMID- 29786861
TI - Temporally feathered intensity-modulated radiation therapy: A planning technique
to reduce normal tissue toxicity.
AB - PURPOSE: Intensity-modulated radiation therapy (IMRT) has allowed optimization of
three-dimensional spatial radiation dose distributions permitting target coverage
while reducing normal tissue toxicity. However, radiation-induced normal tissue
toxicity is a major contributor to patients' quality of life and often a dose
limiting factor in the definitive treatment of cancer with radiation therapy. We
propose the next logical step in the evolution of IMRT using canonical
radiobiological principles, optimizing the temporal dimension through which
radiation therapy is delivered to further reduce radiation-induced toxicity by
increased time for normal tissue recovery. We term this novel treatment planning
strategy "temporally feathered radiation therapy" (TFRT). METHODS: Temporally
feathered radiotherapy plans were generated as a composite of five simulated
treatment plans each with altered constraints on particular hypothetical organs
at risk (OARs) to be delivered sequentially. For each of these TFRT plans, OARs
chosen for feathering receive higher doses while the remaining OARs receive lower
doses than the standard fractional dose delivered in a conventional fractionated
IMRT plan. Each TFRT plan is delivered a specific weekday, which in effect leads
to a higher dose once weekly followed by four lower fractional doses to each
temporally feathered OAR. We compared normal tissue toxicity between TFRT and
conventional fractionated IMRT plans by using a dynamical mathematical model to
describe radiation-induced tissue damage and repair over time. RESULTS: Model
based simulations of TFRT demonstrated potential for reduced normal tissue
toxicity compared to conventionally planned IMRT. The sequencing of high and low
fractional doses delivered to OARs by TFRT plans suggested increased normal
tissue recovery, and hence less overall radiation-induced toxicity, despite
higher total doses delivered to OARs compared to conventional fractionated IMRT
plans. The magnitude of toxicity reduction by TFRT planning was found to depend
on the corresponding standard fractional dose of IMRT and organ-specific recovery
rate of sublethal radiation-induced damage. CONCLUSIONS: TFRT is a novel
technique for treatment planning and optimization of therapeutic radiotherapy
that considers the nonlinear aspects of normal tissue repair to optimize toxicity
profiles. Model-based simulations of TFRT to carefully conceptualized clinical
cases have demonstrated potential for radiation-induced toxicity reduction in a
previously described dynamical model of normal tissue complication probability
(NTCP).
PMID- 29786866
TI - Characterization of efficacy and safety of pathogen inactivated and quarantine
plasma in routine use for treatment of acquired immune thrombotic
thrombocytopenic purpura.
AB - BACKGROUND: Auto-immune thrombotic thrombocytopenic purpura (TTP) is a morbid
multi-organ disorder. Cardiac involvement not recognized in initial disease
descriptions is a major cause of morbidity. Therapeutic plasma exchange (TPE)
requires exposure to multiple plasma donors with risk of transfusion-transmitted
infection (TTI). Pathogen inactivation (PI) with amotosalen-UVA, the INTERCEPT
Blood System for Plasma (IBSP) is licensed to reduce TTI risk. METHODS: An open
label, retrospective study evaluated the efficacy of quarantine plasma (QP) and
IBSP in TTP and defined treatment emergent cardiac abnormalities. Medical record
review of sequential patient cohorts treated with QP and IBSP characterized
efficacy by remission at 30 and 60 days (d) of treatment, time to remission, and
volume (L/kg) of plasma required. Safety outcomes focused on cardiac adverse
events (AE), relapse rates, and mortality. RESULTS: Thirty-one patients (18 IBSP
and 13 QP) met study criteria for auto-immune TTP. The proportions (%) of
patients in remission at 30 d (IBSP = 61.1, QP = 46.2, P = 0.570) and 60 d (IBSP
= 77.8, QP = 76.9, P = 1.00) were not different. Median days to remission were
less for IBSP (15.0 vs. 24.0, P = 0.003). Relapse rates (%) 60 d after remission
were not different between cohorts (IBSP = 7.1, QP = 40.0, P = 0.150). ECG
abnormalities before and during TPE were frequent; however, cardiac AE and
mortality were not different between treatment cohorts. CONCLUSIONS: Cardiac and
a spectrum of ECG findings are common in TTP. In this study, IBSP and QP had
similar therapeutic profiles for TPE.
PMID- 29786867
TI - Growth hormone-releasing hormone antagonists reduce prostatic enlargement and
inflammation in carrageenan-induced chronic prostatitis.
AB - BACKGROUND: Inflammation plays a key role in the etiology of benign prostatic
hyperplasia (BPH) through multiple pathways involving the stimulation of
proliferation by cytokines and growth factors as well as the induction of the
focal occurrence of epithelial-to-mesenchymal transition (EMT). We have
previously reported that GHRH acts as a prostatic growth factor in experimental
BPH and in autoimmune prostatitis models and its blockade with GHRH antagonists
offer therapeutic approaches for these conditions. Our current study was aimed at
the investigation of the beneficial effects of GHRH antagonists in lambda
carrageenan-induced chronic prostatitis and at probing the downstream molecular
pathways that are implicated in GHRH signaling. METHODS: To demonstrate the
complications triggered by recurrent/chronic prostatic inflammation in Sprague
Dawley rats, 50 MUL 3% carrageenan was injected into both ventral prostate lobes
two times, 3 weeks apart. GHRH antagonist, MIA-690, was administered 5 days after
the second intraprostatic injection at 20 MUg daily dose for 4 weeks. GHRH
induced signaling events were identified in BPH-1 and in primary prostate
epithelial (PrEp) cells at 5, 15, 30, and 60 min with Western blot. RESULTS:
Inflammation induced prostatic enlargement and increased the area of the stromal
compartment whereas treatment with the GHRH antagonist significantly reduced
these effects. This beneficial activity was consistent with a decrease in
prostatic GHRH, inflammatory marker COX-2, growth factor IGF-1 and inflammatory
and EMT marker TGF-beta1 protein levels and the expression of multiple genes
related to EMT. In vitro, GHRH stimulated multiple pathways involved in
inflammation and growth in both BPH-1 and PrEp cells including NFkappaB p65, AKT,
ERK1/2, EGFR, STAT3 and increased the levels of TGF-beta1 and Snail/Slug. Most
interestingly, GHRH also stimulated the transactivation of the IGF receptor.
CONCLUSIONS: The study demonstrates that GHRH antagonists could be beneficial for
the treatment of prostatic inflammation and BPH in part by inhibiting the growth
promoting and inflammatory effects of locally produced GHRH.
PMID- 29786868
TI - CIS-Acting Allele-Specific Expression Differences Induced by Alcohol and Impacted
by Sex as Well as Parental Genotype of Origin.
AB - BACKGROUND: Alcohol use disorders (AUDs) are influenced by complex interactions
between the genetics of the individual and their environment. We have previously
identified hundreds of polygenic genetic variants between the selectively bred
high- and low-alcohol drinking (HAD and LAD) rat lines. Here, we report allele
specific expression (ASE) differences, between the HAD2 and LAD2 rat lines.
METHODS: The HAD2 and LAD2 rats, which have been sequenced, were reciprocally
crossed to generate 10 litters of F1 progeny. For 5 of these litters, the sire
was HAD2, and for the other 5 litters, the sire was a LAD2. From these 10
litters, 2 males and 2 females were picked from each F1 litter (N = 40 total).
The F1 pups were divided, balancing for sex and direction of cross, into an
alcohol (15%) versus a water control group. Alcohol drinking started in the
middle of adolescence (~postnatal day 35) and lasted 9 weeks. At the end of these
treatments, rats were euthanized, the nucleus accumbens was dissected, and RNA
was processed for RNA-sequencing and ASE analyses. RESULTS: Analyses revealed
that adolescent ethanol (EtOH) drinking, individual EtOH drinking levels,
parentage, and sex-of-animal affected ASEs of about 300 genes. The identified
genes included those associated with EtOH metabolism (e.g., Aldh2);
neuromodulatory function (e.g., Cckbr, Slc6a7, and Slc1a1); ion channel activity
(e.g., Kcnc3); and other synaptic and epigenetic functions. CONCLUSIONS: These
data indicate that EtOH drinking differentially amplified paternal versus
maternal allelic contribution to the transcriptome. We hypothesize that this was
due, at least in part, to EtOH-induced changes in cis-regulation of polymorphisms
previously identified between the HAD2 and LAD2 rat lines. This report highlights
the complexity of gene-by-environment interactions mediating a genetic
predisposition for, and/or the active development of, AUDs.
PMID- 29786869
TI - Rare labial ulcer related to the use of all-trans retinoic acid in a patient with
acute promyelocytic leukemia.
AB - Acute promyelocytic leukemia (APL) is a subtype of acute myeloid leukemia (AML).
All-trans retinoic acid (ATRA) is the first-choice therapy for the treatment of
this disease, but has been associated with side effects, the most serious of
which is retinoic acid syndrome (RAS). RAS is characterized by unexplained fever,
dyspnea, pulmonary infiltrate, leukocytosis and nephropathy. Genital ulcers have
been described in some cases, but only two cases of oral ulcers related to this
syndrome have been described in the literature. This paper describes the third
case of oral ulceration related to ATRA in a 32-year-old white man with diagnosis
of APL. Clinicians should know the side effects of ATRA and identify oral ulcers
resulting from this therapy. The prompt identification of these ulcers enables
the institution of appropriate treatment and can therefore contribute to
continuation of the patient's cancer treatment.
PMID- 29786870
TI - The association between local atherosclerosis of the prostatic artery and benign
prostatic enlargement in humans: Putative mechanism of chronic ischemia for
prostatic enlargement.
AB - BACKGROUND: To investigate the possible pathogenesis of the benign prostatic
enlargement (BPE) induced by local atherosclerosis, the association between local
atherosclerosis and prostatic enlargement was investigated, and molecular
biological analyses were performed using human prostatectomy specimens. METHODS:
A total of 69 consecutive patients who underwent robot-assisted radical
prostatectomy (RARP) participated in this prospective study. To evaluate actual
local atherosclerosis, prostatic arteries were removed during RARP. Microscopic
assessment of local atherosclerosis was classified as one of three degrees of
narrowing (minimal, moderate, and severe) according to the degree of obstruction
of the inner cavity of the prostatic artery. The expressions of several mediators
related to chronic ischemia and cell proliferation of the prostate were
investigated by immunohistochemistry. RESULTS: The median age of the present
cohort was 68 (range: 55-75) years. Although there was no relationship between
local atherosclerosis and lower urinary symptoms evaluated by questionnaires,
local atherosclerosis was significantly more severe in patients who had a history
of treatment for benign prostatic hyperplasia (P = 0.02). Prostate size was
significantly larger in the severe local atherosclerosis group than in the
minimal and moderate local atherosclerosis groups (P < 0.001 and P = 0.03,
respectively). Thepositive expression rates of hypoxia-inducible factor (HIF)
1alpha, malondialdehyde (MDA), transforming growth factor (TGF)-beta1 , and basic
fibroblast growth factor (bFGF) in the prostate were significantly higher in
patients with local atherosclerosis than in patients without local
atherosclerosis (all P < 0.01, respectively). CONCLUSIONS: In human surgical
specimens, there is evidence that local atherosclerosis of the prostatic artery
is significantly associated with prostate size. Given the molecular evidence
provided in this study, the putative mechanism for this relationship is that
chronic ischemia induced upregulation of oxidative stress pathways, leading to
BPE.
PMID- 29786872
TI - Prevalence of antibodies against Borna disease virus proteins in Japanese
children with autism spectrum disorder.
AB - Bornavirus infection is observed in both animals, including humans. However,
bornavirus epidemiology in humans, especially in children, remains unclear. Here,
we evaluated antibodies against bornaviruses in Japanese children with autism
spectrum disorder (ASD) using immunofluorescence analysis, western blotting, and
radio ligand assay. The prevalence of antibodies against bornavirus-specific
speckles, N, and P proteins were 22%, 48%, and 33%, respectively, in the ASD
children. According to our criteria, the prevalence of antibodies against
bornaviruses was 7.4% in the ASD children. This is the first report of the
serological prevalence of bornavirus in Japanese children. Our results provide
valuable baseline-data regarding bornavirus epidemiology in children for future
studies.
PMID- 29786873
TI - In vivo profiling of four centrally administered opioids for antinociception,
constipation and respiratory depression: Between-colony differences in Sprague
Dawley rats.
AB - Outbred rodent stocks including Sprague Dawley rats, are known for their genetic
diversity and so they are often used to develop animal models of human disease.
Although between-colony differences in pharmaco-behavioural studies have been
published previously, a direct head-to-head comparison study, whereby all
research was performed in the same laboratory by the same experimenter utilising
the supraspinal route of drug administration in the same strain of rat, is
lacking. Herein, we report our head-to-head comparison study, involving
assessment of antinociception, constipation and respiratory depression evoked by
single bolus intracerebroventricular (ICV) doses of morphine, buprenorphine,
DPDPE and U69,593 using male Sprague Dawley rats sourced from a different
breeding colony (BC2) from that (BC1) used by us previously. Our data show that
there are marked differences in the potency rank order for morphine and
buprenorphine between rats sourced from BC2 and BC1. Although ICV morphine evoked
a bell-shaped dose-response curve in the constipation test for rats from both
colonies, this occurred at higher doses for rats from BC2. In conclusion, our
head-to-head comparison shows considerable between-colony differences for the
same rat strain, in the potency rank order of two clinically important strong
opioid analgesics given by the ICV route.
PMID- 29786871
TI - Gender-Specific Effects of Selection for Drinking in the Dark on the Network
Roles of Coding and Noncoding RNAs.
AB - BACKGROUND: Transcriptional differences between heterogeneous stock mice and high
drinking-in-the-dark selected mouse lines have previously been described based on
microarray technology coupled with network-based analysis. The network changes
were reproducible in 2 independent selections and largely confined to 2 distinct
network modules; in contrast, differential expression appeared more specific to
each selected line. This study extends these results by utilizing RNA-Seq
technology, allowing evaluation of the relationship between genetic risk and
transcription of noncoding RNA (ncRNA); we additionally evaluate sex-specific
transcriptional effects of selection. METHODS: Naive mice (N = 24/group and sex)
were utilized for gene expression analysis in the ventral striatum; the
transcriptome was sequenced with the Illumina HiSeq platform. Differential gene
expression and the weighted gene co-expression network analysis were implemented
largely as described elsewhere, resulting in the identification of genes that
change expression level or (co)variance structure. RESULTS: Across both sexes, we
detect selection effects on the extracellular matrix and synaptic signaling,
although the identity of individual genes varies. A majority of nc RNAs cluster
in a single module of relatively low density in both the male and female network.
The most strongly differentially expressed transcript in both sexes was Gm22513,
a small nuclear RNA with unknown function. Associated with selection, we also
found a number of network hubs that change edge strength and connectivity. At the
individual gene level, there are many sex-specific effects; however, at the
annotation level, results are more concordant. CONCLUSIONS: In addition to
demonstrating sex-specific effects of selection on the transcriptome, the data
point to the involvement of extracellular matrix genes as being associated with
the binge drinking phenotype.
PMID- 29786875
TI - Serum lipid levels are associated with allergic rhinitis, nasal symptoms,
peripheral olfactory function, and nasal airway patency in children.
PMID- 29786874
TI - Meta-Analysis of the Association of Alcohol-Related Social Media Use with Alcohol
Consumption and Alcohol-Related Problems in Adolescents and Young Adults.
AB - Despite the pervasive use of social media by young adults, there is comparatively
little known about whether, and how, engagement in social media influences this
group's drinking patterns and risk of alcohol-related problems. We examined the
relations between young adults' alcohol-related social media engagement (defined
as the posting, liking, commenting, and viewing of alcohol-related social media
content) and their drinking behavior and problems. We conducted a systematic
review and meta-analysis of studies evaluating the association of alcohol
consumption and alcohol-related problems with alcohol-related social media
engagement. Summary baseline variables regarding the social media platform used
(e.g., Facebook and Twitter), social media measures assessed (e.g., number of
alcohol photographs posted), alcohol measures (e.g., Alcohol Use Disorders
Identification Test and Timeline Follow back Interview), and the number of time
points at which data were collected were extracted from each published study. We
used the Q statistic to examine heterogeneity in the correlations between alcohol
related social media engagement and both drinking behavior and alcohol-related
problems. Because there was significant heterogeneity, we used a random-effects
model to evaluate the difference from zero of the weighted aggregate
correlations. We used metaregression with study characteristics as moderators to
test for moderators of the observed heterogeneity. Following screening, 19
articles met inclusion criteria for the meta-analysis. The primary findings
indicated a statistically significant relationship and moderate effect sizes
between alcohol-related social media engagement and both alcohol consumption (r =
0.36, 95% CI: 0.29 to 0.44, p < 0.001) and alcohol-related problems (r = 0.37,
95% CI: 0.21 to 0.51, p < 0.001). There was significant heterogeneity among
studies. Two significant predictors of heterogeneity were (i) whether there was
joint measurement of alcohol-related social media engagement and drinking
behavior or these were measured on different occasions and (ii) whether
measurements were taken by self-report or observation of social media engagement.
We found moderate-sized effects across the 19 studies: Greater alcohol-related
social media engagement was correlated with both greater self-reported drinking
and alcohol-related problems. Further research to determine the causal direction
of these associations could provide opportunities for social media-based
interventions with young drinkers aimed at reducing alcohol consumption and
alcohol-related adverse consequences.
PMID- 29786876
TI - Temporal and long-term gut microbiota variation in allergic disease: A
prospective study from infancy to school age.
AB - BACKGROUND: Compositional changes in the early-life gut microbiota have been
implicated in IgE-associated allergic diseases, but there is lack of longitudinal
studies. We examined gut microbiota development from infancy to school age in
relation to onset of IgE-associated allergic diseases. At 8 years of age, we also
examined the relationship between gut microbiota and T-cell regulation, estimated
as responses to polyclonal T-cell activation. METHODS: Stool samples were
collected from 93 children at 4, 6, 13 months, and 8 years of age. The gut
microbiota was profiled using 16S rRNA gene sequencing. Peripheral blood was
drawn from all children, and mononuclear cells were polyclonally activated.
Levels of IL-10 and FOXP3 mRNA copies were determined using real-time
quantitative reverse transcriptase-PCR. RESULTS: At 8 years of age, 21 children
were diagnosed with IgE-associated allergic disease and 90% displayed allergic
comorbidity. Seventy-two children were nonallergic and nonsensitized. Statistical
tests with multiple testing corrections demonstrated temporal underrepresentation
of Ruminococcus and consistent underrepresentation of Bacteroides, Prevotella,
and Coprococcus in allergic compared to nonallergic children from infancy to
school age. The gut microbiota of the allergic 8-year-olds was enriched in
Bifidobacterium and depleted of Lactobacillus, Enterococcus, and Lachnospira. In
allergic 8-year-olds, Faecalibacterium correlated with IL-10 mRNA levels (rs =
0.49, Padj = 0.02) with the same trend for FOXP3 (rs = 0.39, Padj = 0.08).
CONCLUSIONS: We identified both temporal and long-term variation in the
differential abundance of specific bacterial genera in children developing IgE
associated allergic disease. Improved dietary interventions aiming at expanding
immune-modulatory taxa could be studied for prevention of allergic disease.
PMID- 29786877
TI - Morphomic Malnutrition Score: A Standardized Screening Tool for Severe
Malnutrition in Adults.
AB - BACKGROUND: Granular diagnostic criteria for adult malnutrition are lacking.
OBJECTIVE: This study uses analytic morphomics to define the Morphomic
Malnutrition Score (MMS), a robust screening tool for severe malnutrition.
METHODS: The study population (n = 643) consisted of 2 cohorts: 1) 124 emergency
department patients diagnosed with severe malnutrition by a registered dietitian
(RD) and an available computed tomography (CT) scan within 2 days of RD
evaluation, and 2) 519 adult kidney donor candidates to represent a healthy
cohort. Body composition markers of muscle area and abdominal adiposity were
measured from patient CT scans using analytic morphomic assessment, and then
converted to sex- and age-adjusted percentiles using the Reference Analytic
Morphomics Population (RAMP). RAMP consists of 6000 patients chosen to be
representative of the general population. The combined cohort was then randomly
divided into training (n = 453) and validation (n = 190) sets. MMS was derived
using logistic regression. The model coefficients were transformed into a score,
normalized from 0 to 10 (10 = most severe). RESULTS: Severely malnourished
patients had lower amounts of muscle and fat than kidney donors, specifically for
dorsal muscle group area at the twelfth thoracic vertebral level (P < 0.001),
psoas muscle area at the fourth lumbar vertebral level (P < 0.001), and
subcutaneous fat area at the third lumbar vertebral level (P < 0.001)-all
parameters in MMS. MMS for severely malnourished patients was higher than kidney
donors (7.7 +/- 2.2 vs 3.8 +/- 2.0, respectively; P-value < 0.001). An MMS > 6.1
was accurate in determining nutrition diagnosis (82.1% sensitivity; 88.3%
specificity; 85.2% balanced accuracy). CONCLUSIONS: MMS provides an evidence
based, granular assessment to distinguish severely malnourished adults from a
healthy population.
PMID- 29786879
TI - Comorbidity of viral hepatitis and chronic spontaneous urticaria: A systematic
review.
AB - Chronic viral infections including those by hepatitis B (CHB) virus and hepatitis
C (CHC) virus have been reported to be comorbidities of chronic spontaneous
urticaria (CSU). Here, we performed the first comprehensive review of the peer
reviewed literature (PubMed, Web of Science and Google Scholar) on the prevalence
of CHB and CHC in patients with CSU and vice versa. The prevalence of CHB and CHC
in CSU does not appear to be increased. Less than 5% and 2% of patients with CSU
have markers of CHB and CHC, respectively, according to most of the 32 studies
reviewed. Urticarial rash including CSU occurs in <=3% of patients with CHC as
reported by most of 20 studies analysed. Very few patients have been assessed for
the effects of antiviral hepatitis treatment on their CSU, and two but not all
reportedly showed improvement. Hepatitis B/C infections appear unlikely to be
linked to CSU. We suggest that routine screening for these infections in patients
with CSU is not relevant or cost-effective and should not be performed unless
liver function tests are abnormal, risk factors or symptoms of viral hepatitis
are present, or urticarial vasculitis is suspected.
PMID- 29786878
TI - Dietary Omega-3 Fatty Acids Differentially Impact Acute Ethanol-Responsive
Behaviors and Ethanol Consumption in DBA/2J Versus C57BL/6J Mice.
AB - BACKGROUND: Complex interactions between environmental and genetic factors
influence the risk of developing alcohol use disorder (AUD) in humans. To date,
studies of the impact of environment on AUD risk have primarily focused on
psychological characteristics or on the effects of developmental exposure to
ethanol (EtOH). We recently observed that modifying levels of the long-chain
omega-3 (LC omega-3) fatty acid, eicosapentaenoic acid (EPA), alters acute
physiological responses to EtOH in Caenorhabditis elegans. Because mammals derive
omega-3 fatty acids from their diet, here we asked if manipulating dietary levels
of LC omega-3 fatty acids can affect EtOH-responsive behaviors in mice. METHODS:
We used 2 well-characterized inbred mouse strains, C57BL/6J (B6) and DBA/2J (D2),
which differ in their responses to EtOH. Age-matched young adult male mice were
maintained on isocaloric diets that differed only by being enriched or depleted
in LC omega-3 fatty acids. Animals were subsequently tested for acute EtOH
sensitivity (locomotor activation and sedation), voluntary consumption, and
metabolism. Fat deposition was also determined. RESULTS: We found that dietary
levels of LC omega-3s altered EtOH sensitivity and consumption in a genotype
specific manner. Both B6 and D2 animals fed high LC omega-3 diets demonstrated
lower EtOH-induced locomotor stimulation than those fed low LC omega-3 diets.
EtOH sedation and EtOH metabolism were greater in D2, but not B6 mice on the high
LC omega-3 diet. Conversely, LC omega-3 dietary manipulation altered EtOH
consumption in B6, but not in D2 mice. B6 mice on a high LC omega-3 diet consumed
more EtOH in a 2-bottle choice intermittent access model than B6 mice on a low LC
omega-3 diet. CONCLUSIONS: Because EtOH sensitivity is predictive of risk of
developing AUD in humans, our data indicate that dietary LC omega-3 levels should
be evaluated for their impact on AUD risk in humans. Further, these studies
indicate that genetic background can interact with fatty acids in the diet to
significantly alter EtOH-responsive behaviors.
PMID- 29786880
TI - Metagenomic study of bacterial microbiota in persistent endodontic infections
using Next-generation sequencing.
AB - AIM: To determine the bacterial microbiota in root canals associated with
persistent apical periodontitis and their relationship with the clinical
characteristics of patients using next-generation sequencing (NGS). METHODOLOGY:
Bacterial samples from root canals associated with teeth having persistent apical
periodontitis were taken from 24 patients undergoing root canal retreatment.
Bacterial DNA was extracted, and V3-V4 variable regions of the 16S rRNA gene were
amplified. The amplification was deep sequenced by Illumina technology to
establish the metagenetic relationships among the bacterial species identified.
The composition and diversity of microbial communities in the root canal and
their relationships with clinical features were analysed. Parametric and
nonparametric tests were used to analyse differences between patient
characteristics and microbial data. RESULTS: A total of 86 different operational
taxonomic units (OTUs) were identified and Good's nonparametric coverage
estimator method indicated that 99.9 +/- 0.00001% diversity was recovered per
sample. The largest number of bacteria belonged to the phylum Proteobacteria.
According to the medical history from the American Society of Anesthesiologists
(ASA) Classification System, ASA II-III had higher richness estimates and
distinct phylogenetic relationships compared to ASA I individuals (P < 0.05).
Periapical index (PAI) score 5 was associated with increased microbiota diversity
in comparison to PAI score 4, and this index was reduced in symptomatic patients.
CONCLUSIONS: Based on the findings of this study, it is possible to suggest a
close relationship between several clinical features and greater microbiota
diversity with persistent endodontic infections. This work provides a better
understanding on how microbial communities interact with their host and vice
versa.
PMID- 29786881
TI - Small RNAs and Transposable Elements Are Key Components in the Control of
Adaptive Evolution in Eukaryotes.
PMID- 29786882
TI - Feasibility of Assessing Prognostic Nutrition Index in Patients With Rectal
Cancer Who Receive Preoperative Chemoradiotherapy.
AB - BACKGROUND: Malnutrition can adversely affect treatment responses and oncological
outcomes in cancer patients. However, among patients with rectal cancer who
undergo chemoradiotherapy (CRT), the significance of peri-treatment nutrition
assessment as a predictor of treatment response and outcome remains unclear.
OBJECTIVE: The aim of this study was to determine whether the Prognostic
Nutrition Index (PNI) based on peri-treatment serum can be used as a predictor of
treatment response and outcome in patients with rectal cancer who undergo CRT.
DESIGN, SETTING, AND PATIENTS: We analyzed 114 patients with rectal cancer who
received preoperative CRT followed by total mesorectal excision at our
institution. RESULTS: Post-CRT PNI was significantly lower than pre-CRT PNI in
rectal cancer patients. Although post-CRT PNI did not significantly correlate
with either overall survival or disease-free survival, low pre-CRT PNI was
significantly associated with shorter overall survival and disease-free survival
in this population and was also an independent risk factor for ineffectiveness of
long-course preoperative CRT. Finally, low pre-CRT PNIs were a stronger indicator
of poor prognosis and early recurrence in patients with pathological lymph node
metastasis (who generally need to receive postoperative chemotherapy), than in
those with no pathological lymph node metastasis. CONCLUSION: Pretreatment PNI
could be useful in evaluating and managing patients with rectal cancer who
undergo CRT followed by curative resection.
PMID- 29786883
TI - Left ventricular aneurysms in hypertrophic cardiomyopathy with midventricular
obstruction: A systematic review of literature.
AB - BACKGROUND: Hypertrophic cardiomyopathy (HCM) with or without left ventricular
apical aneurysm (LVA) had been studied in the past. Midventricular obstruction
associated with HCM and LVA is a unique entity that has not been distinguished
previously as a separate phenotypic disease in HCM patients. METHODS: A
systematic review of Pubmed and Google Scholar was conducted from inception until
September 2017 for all observational studies conducted on HCM with midventricular
obstruction and LVA. RESULTS: A total of 94 patients from 39 studies were
included in our analysis. The mean age of the patients was 58.05 +/- 11.76 years
with 59.6% being males. The most common electrocardiographic finding was T wave
inversion occurring in 13.8% of the cases followed by ST elevation (9.5%).
Maximal left ventricle (LV) wall thickness was reported 18.89 +/- 5.19 mm on
transthoracic echocardiography and paradoxical jet flow was detected in 29.8% of
patients. Beta-blockers (58.5%) were the most common drug therapy at baseline and
amiodarone (10.6%) was the most common antiarrhythmic used for ventricular
tachycardia (VT). The most common complication, VT, occurred in 39.3% of cases
and the incidence of all-cause mortality was 13.8 % over 16 +/- 20.1 months
follow-up. Implantable cardioverter defibrillator (ICD) was used in 37.2% of
patients; 25.7% of patients with ICD received appropriate shock therapy.
CONCLUSION: HCM with LVA and midventricular obstruction is a unique entity that
appears to be associated with high incidence of morbidity and mortality. Thus,
early diagnosis and therapeutic intervention is recommended for management of
this condition.
PMID- 29786885
TI - Automated Buildup of Biomimetic Films in Cell Culture Microplates for High
Throughput Screening of Cellular Behaviors.
AB - An automatic method is established for layer-by-layer (LbL) assembly of
biomimetic coatings in cell culture microplates using a commercial liquid
handling robot. Highly homogeneous thin films are formed at the bottom of each
microwell. The LbL film-coated microplates are compatible with common cellular
assays, using microplate readers and automated microscopes. Cellular adhesion is
screened on crosslinked and peptide-functionalized LbL films and stem cell
differentiation in response to increasing doses of bone morphogenetic proteins
(2, 4, 7, 9). This method paves the way for future applications of LbL films in
cell-based assays for regenerative medicine and high-throughput drug screening.
PMID- 29786886
TI - Predictors of Treatment Completion for Families Referred to Trauma-Focused
Cognitive Behavioral Therapy After Child Abuse.
AB - Despite advances in the dissemination of evidence-based therapy for abuse-related
traumatic stress, many referred children fail to complete treatment. Using
archival data from a sample of children participating in trauma-focused cognitive
behavioral therapy (TF-CBT) at a hospital-based child advocacy center, analyses
explored the impact of baseline child traumatic stress symptoms, a second
(nonprimary) caregiver's treatment attendance, and the number of assessment
sessions on treatment completion while controlling for demographic variables. We
conducted analyses separately for the total sample (n = 77) and for a subsample
of children 6 years of age or older (n = 65) who completed measures of traumatic
stress. Families who completed TF-CBT had fewer pretreatment assessment sessions,
odds ratio (OR) = 0.41, 95% CI [0.19, 0.88], and greater nonprimary caregiver
session attendance, OR = 1.30, 95% CI [1.03, 1.64], than families who did not
complete treatment. Child age, race, and insurance status did not predict
treatment completion. Among children at least 6 years of age, treatment
completion was related to younger child age, OR = 0.76, 95% CI [0.59, 0.98], and
fewer diagnostic evaluation sessions, OR = 0.29, 95% CI [0.11, 0.74], but not to
baseline traumatic stress symptoms. Findings may suggest benefits of shortening
the assessment period and including a second caregiver in TF-CBT.
PMID- 29786884
TI - Bone Morbidity and Recovery in Children With Acute Lymphoblastic Leukemia:
Results of a Six-Year Prospective Cohort Study.
AB - Osteoporotic fractures are a significant cause of morbidity in acute
lymphoblastic leukemia (ALL). Our objective was to determine the incidence and
predictors of fractures and recovery from osteoporosis in pediatric ALL over 6
years following glucocorticoid initiation. Vertebral fractures (VF) and vertebral
body reshaping were assessed on annual spine radiographs, low-trauma non-VF were
recorded at regular intervals and spine bone mineral density (BMD) was captured
every 6 months for 4 years and then annually. A total of 186 children with ALL
were enrolled (median age 5.3 years; range, 1.3 to 17.0 years). The cumulative
fracture incidence was 32.5% for VF and 23.0% for non-VF; 39.0% of children with
VF were asymptomatic. No fractures occurred in the sixth year and 71.3% of
incident fractures occurred in the first 2 years. Baseline VF, cumulative
glucocorticoid dose, and baseline lumbar spine (LS) BMD Z-score predicted both VF
and non-VF. Vertebral body reshaping following VF was incomplete or absent in
22.7% of children. Those with residual vertebral deformity following VF were
older compared to those without (median age 8.0 years at baseline [interquartile
range {IQR}, 5.5 to 9.4] versus 4.8 years [IQR, 3.6 to 6.2], p = 0.04) and had
more severe vertebral collapse (median maximum spinal deformity index 3.5 [IQR,
1.0 to 8.0] versus 0.5 [IQR, 0.0 to 1.0], p = 0.01). VF and low LS BMD Z-score at
baseline as well as glucocorticoid exposure predicted incident VF and non-VF.
Nearly 25% of children had persistent vertebral deformity following VF, more
frequent in older children, and in those with more severe collapse. These results
suggest the need for trials addressing interventions in the first 2 years of
chemotherapy, targeting older children and children with more severe vertebral
collapse, because these children are at greatest risk for incident VF and
subsequent residual vertebral deformity. (c) 2018 American Society for Bone and
Mineral Research.
PMID- 29786887
TI - Perovskite Solar Cells with Inorganic Electron- and Hole-Transport Layers
Exhibiting Long-Term (~500 h) Stability at 85 degrees C under Continuous 1 Sun
Illumination in Ambient Air.
AB - Despite the high power conversion efficiency (PCE) of perovskite solar cells
(PSCs), poor long-term stability is one of the main obstacles preventing their
commercialization. Several approaches to enhance the stability of PSCs have been
proposed. However, an accelerating stability test of PSCs at high temperature
under the operating conditions in ambient air remains still to be demonstrated.
Herein, interface-engineered stable PSCs with inorganic charge-transport layers
are shown. The highly conductive Al-doped ZnO films act as efficient electron
transporting layers as well as dense passivation layers. This layer prevents
underneath perovskite from moisture contact, evaporation of components, and
reaction with a metal electrode. Finally, inverted-type PSCs with inorganic
charge-transport layers exhibit a PCE of 18.45% and retain 86.7% of the initial
efficiency for 500 h under continuous 1 Sun illumination at 85 degrees C in
ambient air with electrical biases (at maximum power point tracking).
PMID- 29786888
TI - Injectable Bioresponsive Gel Depot for Enhanced Immune Checkpoint Blockade.
AB - Although cancer immunotherapy based on immune checkpoint inhibitors holds great
promise toward many types of cancers, several challenges still remain, associated
with low objective response of patient rate as well as systemic side effects.
Here, a combination immunotherapy strategy is developed based on a thermogelling
reactive oxygen species (ROS)-responsive polypeptide gel for sustained release of
anti-programmed cell death-ligand 1 antibody and dextro-1-methyl tryptophan,
inhibitor of indoleamine-2,3-dioxygenase with leveraging the ROS level in the
tumor microenvironment. This bioresponsive gel depot can effectively reduce the
local ROS level and facilitate release of immunotherapeutics, which leads to
enhanced anti-melanoma efficacy in vivo.
PMID- 29786889
TI - A Novel Conductive Mesoporous Layer with a Dynamic Two-Step Deposition Strategy
Boosts Efficiency of Perovskite Solar Cells to 20.
AB - Lead halide perovskite solar cells (PSCs) with the high power conversion
efficiency (PCE) typically use mesoporous metal oxide nanoparticles as the
scaffold and electron-transport layers. However, the traditional mesoporous layer
suffers from low electron conductivity and severe carrier recombination. Here,
antimony-doped tin oxide nanorod arrays are proposed as novel transparent
conductive mesoporous layers in PSCs. Such a mesoporous layer improves the
electron transport as well as light utilization. To resolve the common problem of
uneven growth of perovskite on rough surface, the dynamic two-step spin coating
strategy is proposed to prepare highly smooth, dense, and crystallized perovskite
films with micrometer-scale grains, largely reducing the carrier recombination
ratio. The conductive mesoporous layer and high-quality perovskite film
eventually render the PSC with a remarkable PCE of 20.1% with excellent
reproducibility. These findings provide a new avenue to further design high
efficiency PSCs from the aspect of carrier transport and recombination.
PMID- 29786890
TI - Thrombotic and hemorrhagic complications during visceral transplantation: risk
factors, and association with intraoperative disseminated intravascular
coagulation-like thromboelastographic qualities: a single-center retrospective
study.
AB - This study describes the risk of thrombotic and hemorrhagic complications, both
intraoperatively, and up to 1 month following visceral transplantation. Data from
48 adult visceral transplants performed between 2010 and 2017 were
retrospectively studied [32 multivisceral (MVTx); 10 isolated intestine; six
modified-MVTx]. Intraoperatively, intracardiac thrombosis (ICT)/pulmonary
embolism (PE) occurred in 25%, 0% and 0% of MVTx, isolated intestine and modified
MVTx, respectively, and was associated with 50% (4/8) mortality. Preoperative
portal vein thrombosis (PVT) was a significant risk factor for ICT/PE (P =
0.0073). Thromboelastography resembling disseminated intravascular coagulation
(DIC) (r time <4 mm combined with fibrinolysis or flat-line) was statistically
associated with occurrence of ICT/PE (P < 0.0001). Compared to subgroup without
ICT/PE, occurrence of ICT/PE was associated with an increased demand for all
blood product components both overall, and each surgical stage. Hyperfibrinolysis
(56%) was identified as cause of bleeding in MVTx. Incidence of postoperative
thrombotic event at 1 month was 25%, 30% and 17% for MVTx, isolated intestine and
modified MVTx, respectively. Incidence of postoperative bleeding complications at
1 month was 11%, 20% and 17% for MVTx, isolated intestine and modified MVTx. In
conclusion, MVTx recipients with preoperative PVT are at an increased risk of
developing intraoperative life-threatening ICT/PE events associated with DIC-like
coagulopathy.
PMID- 29786891
TI - Supporting Asylum Seekers: Clinician Experiences of Documenting Human Rights
Violations Through Forensic Psychological Evaluation.
AB - The United States permits foreign nationals to apply for asylum if they have
experienced persecution or have a fear of future persecution. In order to meet
the criteria for persecution, the harm inflicted upon the asylum seeker does not
need to be or have been physical and can include psychological sequelae. In an
effort to document persecution, lawyers seek the assistance of health
professionals when preparing their clients' asylum applications. Mental health
professionals work to corroborate psychological evidence of the asylum seeker's
report of ill treatment through evaluation and presentation of their findings in
the form of legal affidavits. This study gathered the experiences of 15 mental
health clinicians who conduct forensic psychological evaluations and document the
impact of torture and other human rights violations on asylum seekers. We
analyzed the resulting interview transcripts using a consensual qualitative
research (CQR) approach. The results of the study highlight challenges associated
with this work, suggest recommendations for training, and encourage advocacy
endeavors by mental health professionals who provide these services.
PMID- 29786893
TI - Primary cystic duct carcinoma diagnosed by targeted biopsy with digital
cholangioscopy.
PMID- 29786892
TI - Association Between Initial Age of Exposure to Childhood Abuse and Cognitive
Control: Preliminary Evidence.
AB - Cognitive control, which relies on the protracted development of frontal-parietal
regions into adolescence, is a brain process that may be particularly vulnerable
to the impact of childhood abuse. In this study, we used functional magnetic
resonance imaging (fMRI) to examine associations between the age of onset of
childhood abuse and alterations to the neural mechanisms supporting cognitive
control in early adulthood, which have not been previously examined. During fMRI
scanning, participants completed hybrid block/event-related versions of a classic
color-word Stroop task as well as emotional Stroop tasks (threat and positive
words). Participants were young adult women (N = 15; age range: 23-30 years) who
had a history of childhood physical or sexual abuse that began prior to 13 years
of age. Results indicated that earlier age of onset of childhood abuse was
robustly associated with increased transient (i.e., event-related) recruitment of
medial cognitive control regions in the classic color-word paradigm as well as
with less suppression of medial frontal regions that are part of the default mode
network, betas = -.16 to -.87. In comparison, increased activation in
dorsolateral prefrontal regions was associated with earlier age of abuse onset
under conditions of sustained (i.e., blocked) cognitive control in the emotional
Stroop task for blocks of positive distracting words versus fixation, betas =
.50 to -.60. These results provide preliminary evidence that earlier age of
exposure to childhood abuse impacts the functional activation of neural systems
involved in cognitive control in adulthood.
PMID- 29786894
TI - The Prognostic Role of Phase Angle in Advanced Cancer Patients: A Systematic
Review.
AB - Phase angle (PA) is a ratio between the reactance and resistance obtained by
bioelectric impedance analysis and has been interpreted as a cell membrane
integrity indicator and a predictor of total body cell mass. A low PA may suggest
deterioration of the cell membrane, which in advanced cancer patients may result
in a reduced overall survival (OS). This systematic review sought to investigate
the current evidence regarding whether there is an association between PA and OS
in patients with advanced cancer (ie, metastatic disease). The search was
conducted on electronic databases in August 2017. A total of 34 articles were
identified in the initial literature search. Nine studies reporting on 1496
patients were deemed eligible according to our inclusion criteria. PA data were
analyzed as continuous variables or according to different cutoffs, under a
frequency of 50 Khz. Low PA was associated with worse nutrition status evaluated
by body mass index, serum albumin level, transferrin, and fat-free mass. The
median OS of the included papers varied from 25.5-330 days, and all studies
analyzed showed a significant association between PA and OS, in that patients
with low PA had worse OS. Future studies are necessary to justify the use of PA
in therapeutic decisions for this population and to evaluate whether nutrition
status can influence the association between PA and survival.
PMID- 29786895
TI - Deep brain stimulation treated dystonia-trajectory via status dystonicus.
AB - BACKGROUND: Status dystonicus (SD) is a life-threatening condition. OBJECTIVE AND
METHODS: In a dystonia cohort who developed status dystonicus, we analyzed
demographics, background dystonia phenomenology and complexity, trajectory
previous to-, via status dystonicus episodes, and evolution following them.
RESULTS: Over 20 years, 40 of 328 dystonia patients who were receiving DBS
developed 58 status dystonicus episodes. Dystonia was of pediatric onset (95%),
frequently complex, and had additional cognitive and pyramidal impairment (62%)
and MRI alterations (82.5%); 40% of episodes occured in adults. Mean disease
duration preceding status dystonicus was 10.3 +/- 8 years. Evolution time to
status dystonicus varied from days to weeks; however, 37.5% of patients exhibited
progressive worsening over years. Overall, DBS was efficient in resolving 90% of
episodes. CONCLUSION: Status dystonicus is potentially reversible and a result of
heterogeneous conditions with nonuniform underlying physiology. Recognition of
the complex phenomenology, morphological alterations, and distinct patterns of
evolution, before and after status dystonicus, will help our understanding of
these conditions. (c) 2018 International Parkinson and Movement Disorder Society.
PMID- 29786896
TI - Diagnostic Accuracy of Bioelectrical Impedance Analysis Parameters for the
Evaluation of Malnutrition in Patients Receiving Hemodialysis.
AB - BACKGROUND: In the absence of a gold standard technique for assessing nutrition
status in patients receiving hemodialysis (HD), we aimed to determine the
diagnostic accuracy of single-frequency (50 kHz) bioelectrical impedance analysis
parameters, resistance/height (R/H), reactance/height (Xc/H), and
impedance/height (Z/H), and their cutoff points for malnutrition. METHODS: The
reference standards, Subjective Global Assessment (SGA), Malnutrition
Inflammation Score, and Nutritional Risk Screening 2002, were performed at
baseline and then once a year for 2 years. At least 2 assessments for each
reference standard were performed during the monitoring period, and those
patients who were assessed as malnourished on at least 2 consecutive occasions
were classified as malnourished. RESULTS: A total 101 patients receiving HD were
evaluated. R/H and Z/H demonstrated low to moderate accuracy to diagnose
malnutrition in men and low accuracy in women, whereas the accuracy of Xc/H was
uncertain. The cutoff points of bioelectrical impedance vector analysis (BIVA)
parameters, determined based on the SGA to maximize sensitivity and specificity
simultaneously, were: R/H >=330.05 and >=420.92 ohms/m for men and women,
respectively; Z/H >=332.71 and >=423.19 ohms/m for men and women, respectively.
In men, sensitivity based on the cutoff points of R/H and Z/H together ranged
from 73% to 89% and specificity ranged from 49% to 50%. In women, sensitivity
ranged from 58% to 80% and specificity from 48% to 55%. CONCLUSION: BIVA
parameters demonstrated low to moderate accuracy in men and low accuracy in women
for the diagnosis of malnutrition.
PMID- 29786897
TI - Targeted next generation sequencing for the diagnosis of patients with rare
congenital anemias.
AB - BACKGROUND: Most patients with anemia are diagnosed through clinical phenotype
and basic laboratory testing. Nonetheless, in cases of rare congenital anemias,
some patients remain undiagnosed despite undergoing an exhaustive workup. Genetic
testing is complicated by the large number of genes involved in rare anemias and
the similarities in the clinical presentation of the different syndromes.
OBJECTIVE: We aimed to enhance the diagnosis of patients with congenital anemias
by using targeted next-generation sequencing. METHODS: Genetic diagnosis was
performed by gene capture followed by next-generation sequencing of 76 genes
known to cause anemia syndromes. RESULTS: Genetic diagnosis was achieved in 13
out of 21 patients (62%). Six patients were diagnosed with pyruvate kinase
deficiency, 4 with dehydrated hereditary stomatocytosis, 2 with sideroblastic
anemia, and 1 with CDA type IV. Eight novel mutations were found. In 7 patients,
the genetic diagnosis differed from the pretest presumed diagnosis. The mean lag
time from presentation to diagnosis was over 13 years. CONCLUSIONS: Targeted next
generation sequencing led to an accurate diagnosis in over 60% of patients with
rare anemias. These patients do not need further diagnostic workup. Earlier
incorporation of this method into the workup of patients with congenital anemia
may improve patients' care and enable genetic counseling.
PMID- 29786898
TI - Adults with Comorbid Posttraumatic Stress Disorder, Alcohol Use Disorder, and
Opioid Use Disorder: The Effectiveness of Modified Prolonged Exposure.
AB - Opioid use disorders (OUDs) are a growing problem in the United States. When OUDs
co-occur with problematic drinking and posttraumatic stress disorder (PTSD),
negative drug-related mental and physical health outcomes may be exacerbated.
Thus, it is important to establish whether PTSD treatments with established
efficacy for dually diagnosed individuals also demonstrate efficacy in
individuals who engage in problematic drinking and concurrent opioid misuse.
Adults who met DSM-IV-TR criteria for PTSD and alcohol dependence were recruited
from a substance use treatment facility and were randomly assigned to receive
either modified prolonged exposure (mPE) therapy for PTSD or a non-trauma-focused
comparison treatment. Compared to adults in a non-OUD comparison group (n = 74),
adults with OUD (n = 52) were younger, reported more cravings for alcohol, were
more likely to use amphetamines and sedatives, were hospitalized more frequently
for drug- and alcohol-related problems, and suffered from more severe PTSD
symptomatology, depressive symptoms, and anxiety, standardized mean differences =
0.36-1.81. For participants with OUD, mPE was associated with large reductions in
PTSD symptomatology, sleep disturbances, and symptoms of anxiety and depression,
ds = 1.08-2.56. Moreover, participants with OUD reported decreases in alcohol
cravings that were significantly greater than those reported by the non-OUD
comparison group, F(1, 71.42) = 6.37, p = .014. Overall, our findings support the
efficacy of mPE for PTSD among individuals who engage in problematic drinking and
concurrent opioid misuse, despite severe baseline symptoms.
PMID- 29786899
TI - Improved Stable Indocyanine Green (ICG)-Mediated Cancer Optotheranostics with
Naturalized Hepatitis B Core Particles.
AB - In recent years, hepatitis B core protein virus-like particle (HBc VLP) is an
impressive biomaterial, which has attracted considerable attention due to
favorable properties such as structural stability, high uptake efficiency, and
biocompatibility in biomedical applications. Heretofore, only a few attempts have
been made to apply it in physical, chemical, and biological therapy for cancer.
In this study, a tumor-targeting RGD-HBc VLP is first fabricated through genetic
engineering. For image-guided cancer phototherapy, indocyanine green (ICG) is
loaded into RGD-HBc VLP via a disassembly/reassembly pathway and electrostatic
attraction with high efficiency. The self-assembled stable RGD-HBc VLP
significantly improves body retention (fourfold longer), aqueous stability, and
target specificity of ICG. Remarkably, these positive reformations promote more
accurate and sensitive imaging of U87MG tumor, as well as prolonged tumor
destruction in comparison with free ICG. Moreover, the photothermal and
photodynamic effect on tumors are quantitatively differentiated by multiple
linear regression analysis. Overall, less-potent medicinal ICG can be perfectly
rescued by bioengineered HBc VLP to realize enhanced cancer optotheranostics.
PMID- 29786901
TI - Degradable Polymers and Nanoparticles Built from Salicylic Acid.
AB - As more evidence emerges supporting the possibility that nonsteroidal anti
inflammatory drugs, especially aspirin (acetyl salicylic acid), might have a role
in the prevention and management of certain types of cancer, there have been
several attempts to fabricate salicylic acid-based polymers that can be employed
in the targeted therapy of tumors. The primary disadvantage so far has been in
use of nontherapeutic polymeric backbones that constitute the majority of the
therapeutic particle's size. The focus of this research is the creation of a
biodegradable polymer consisting only of salicylic acid, and its use as the main
building block in targeted nanotherapeutics that would consequently provide both
high local dose and sustained release of the active moiety. This work
demonstrates the synthesis and degradation of polysalicylates, and modulation of
their size and hydrolytic stability through the formation of nanostructures.
PMID- 29786902
TI - Engineered Metalloenzymes with Non-Canonical Coordination Environments.
AB - Nature employs a limited number of genetically encoded, metal-coordinating
residues to create metalloenzymes with diverse structures and functions.
Engineered components of the cellular translation machinery can now be exploited
to encode non-canonical ligands with user-defined electronic and structural
properties. This ability to install "chemically programmed" ligands into proteins
can provide powerful chemical probes of metalloenzyme mechanism and presents
excellent opportunities to create metalloprotein catalysts with augmented
properties and novel activities. In this Concept article, we provide an overview
of several recent studies describing the creation of engineered metalloenzymes
with interesting catalytic properties, and reveal how characterization of these
systems has advanced our understanding of nature's bioinorganic mechanisms. We
also highlight how powerful laboratory evolution protocols can be readily adapted
to allow optimization of metalloenzymes with non-canonical ligands. This approach
combines beneficial features of small molecule and protein catalysis by allowing
the installation of a greater variety of local metal coordination environments
into evolvable protein scaffolds, and holds great promise for the future creation
of powerful metalloprotein catalysts for a host of synthetically valuable
transformations.
PMID- 29786900
TI - Avian demographic responses to drought and fire: a community-level perspective.
AB - Drought stress is an important consideration for wildlife in arid and semiarid
regions under climate change. Drought can impact plant and animal populations
directly, through effects on their physiology, as well as indirectly through
effects on vegetation productivity and resource availability, and by creating
conditions conducive to secondary disturbance, such as wildfire. We implemented a
novel approach to understanding community-level demographic responses of birds
and their habitats to these stressors in the context of climate change at 14
study sites in the Four Corners region of the southwestern United States. A large
wildfire affecting three of the sites provided a natural experiment for also
examining fire effects on vegetation and the bird community. We assessed (1)
trends in drought and end-of-century (2071-2100) predicted average drought
conditions under mid-range and high greenhouse gas concentration trajectory
scenarios; (2) effects of drought and fire on habitat (vegetation greenness); and
(3) effects of drought and fire on community-level avian productivity and adult
apparent survival rates. Drought has increased and is expected to increase
further at our study sites under climate change. Under spring drought conditions,
vegetation greenness and avian productivity declined, while summer drought
appeared to negatively affect adult apparent survival rates. Response to fire was
mixed; in the year of the fire, avian productivity declined, but was higher than
normal for several years post-fire. Our results highlight important links between
environmental stressors and avian vital rates that will likely affect population
trajectories in this region under climate change. We suggest that the use and
continued development of community-level demographic models will provide useful
tool for leveraging sparse species-level data to provide multi-species inferences
and inform conservation.
PMID- 29786903
TI - Copper-Catalyzed Allylic C-H Alkynylation by Cross-Dehydrogenative Coupling.
AB - C-H bond functionalization is a well-developed concept that has been thoroughly
studied and gives entry to rather complex molecules without the need for previous
derivatization of the substrates. The use of copper complexes in allylic C-H bond
functionalization under oxidative conditions as an alternative to the well
established palladium-based methodologies remains largely underdeveloped. Here,
we show for the first time a selective cross-dehydrogenative coupling reaction
between underivatized allylic substrates and terminal alkynes to produce 1,4
enynes in high yields in a single step, using an in situ synthesized copper
catalyst and an oxidant.
PMID- 29786904
TI - Visible Light-Induced Supra-Amphiphilic Switch Leads to Transition from
Supramolecular Nanosphere to Nanovesicle Activated by Pillar[5]arene-Based Host
Guest Interaction.
AB - A photoresponsive host-guest supramolecular complex (WP5?G) constructed by water
soluble pillar[5]arene (WP5) and spiropyran derivative (G) is presented. The
spontaneous isomerization of G from spiropyran (SP) form to ring-opened
merocyanine (MC) form happens either alone or in WP5?G in aqueous media.
Irradiated by visible light, G can be converted into SP form completely and the
hydrophilicity will be changed. G and WP5?G are both verified to self-assemble
into nanospheres. Upon exposure to visible light, WP5?G reassemble into
nanovesicles due to the change of supra-amphiphilicity, while G alone does not
have this transition. Obviously, WP5 takes the key role that activates the
photoinduced morphological transition.
PMID- 29786905
TI - Quasi-3D-Structured Interfaces by Polymer Brushes.
AB - The fabrication of polymer brushes via surface-initiated controlled radical
polymerizations has progressively developed beyond a simple surface
functionalization technique, enabling the design of complex polymer interfaces
with a quasi-3D molecular organization. The modulation of polymer brush structure
has led to an extremely broad tuning potential for technologically relevant
interfacial, physicochemical properties, allowing one to precisely tune swelling,
nanomechanical, and nanotribological characteristics of polymer films. In
addition, the synthesis of multilayer brush interfaces with hierarchical
architecture has been exploited to control biological phenomena on modified
platforms, such as cell adhesion and settlement, or to fully prevent biological
contamination from bacteria. In this feature article, the most recent
developments in the synthesis and application of quasi-3D structured polymer
brushes are summarized, placing particular attention on how the tuning of grafted
polymer architecture could translate into a variation of interfacial
characteristics.
PMID- 29786906
TI - Reversible C-H Activation, Facile C-B/B-H Metathesis and Apparent Hydroboration
Catalysis by a Dimethylxanthene-Based Frustrated Lewis Pair.
AB - A dimethylxanthene-based phosphine/borane frustrated Lewis pair (FLP) is shown to
effect reversible C-H activation, cleaving phenylacetylene, PhCCH, to give an
equilibrium mixture of the free FLP and phosphonium acetylide in CD2 Cl2 solution
at room temperature. This system also reacts with B-H bonds although in a
different fashion: reactions with HBpin and HBcat proceed via C-B/B-H metathesis,
leading to replacement of the -B(C6 F5 )2 Lewis acid component by -Bpin/-Bcat,
and transfer of HB(C6 F5 )2 to the phosphine Lewis base. This transformation
underpins the ability of the FLP to catalyze the hydroboration of alkynes by
HBpin: the active species is derived from the HB(C6 F5 )2 fragment generated in
this exchange process.
PMID- 29786907
TI - Simulation of the Degradation of Cyclic Ketene Acetal and Vinyl-Based Copolymers
Synthesized via a Radical Process: Influence of the Reactivity Ratios on the
Degradability Properties.
AB - The radical copolymerization of vinyl and cyclic ketene acetal (CKA) monomers is
a promising way to prepare degradable vinyl polymers. The reactivity of the
comonomer pair is known to be dependent of the vinyl monomer structure that
requires to play with experimental conditions (feed ratio, overall monomer
conversion, etc.) to target a desired cumulative (average) copolymer composition.
Even if the materials are completely degradable, there is no information about
the homogeneity of the degraded products. This theoretical study, using kinetic
Monte Carlo simulations, allows simulating degradation at the molecular level. It
is shown that disparate reactivity ratios (styrene/CKA, etc.) and also a
composition drift at high conversion can lead to an inhomogeneous degraded
product compared to systems with similar reactivity ratios (vinyl ether/CKA,
etc.). The use of reversible deactivation radical polymerization techniques does
not influence the final degraded products and is only useful for the design of
advanced macromolecular architectures before degradation.
PMID- 29786908
TI - Opposing patterns of intraspecific and interspecific differentiation in sex
chromosomes and autosomes.
AB - Linking intraspecific and interspecific divergence is an important challenge in
speciation research. X chromosomes are expected to evolve faster than autosomes
and disproportionately contribute to reproductive barriers, and comparing genetic
variation on X and autosomal markers within and between species can elucidate
evolutionary processes that shape genome variation. We performed RADseq on a 16
population transect of two closely related Australian cricket species,
Teleogryllus commodus and T. oceanicus, covering allopatry and sympatry. This
classic study system for sexual selection provides a rare exception to Haldane's
rule, as hybrid females are sterile. We found no evidence of recent
introgression, despite the fact that the species coexist in overlapping habitats
in the wild and interbreed in the laboratory. Putative X-linked loci showed
greater differentiation between species compared with autosomal loci. However,
population differentiation within species was unexpectedly lower on X-linked
markers than autosomal markers, and relative X-to-autosomal genetic diversity was
inflated above neutral expectations. Populations of both species showed genomic
signatures of recent population expansions, but these were not strong enough to
account for the inflated X/A diversity. Instead, most of the excess polymorphism
on the X could better be explained by sex-biased processes that increase the
relative effective population size of the X, such as interspecific variation in
the strength of sexual selection among males. Taken together, the opposing
patterns of diversity and differentiation at X versus autosomal loci implicate a
greater role for sex-linked genes in maintaining species boundaries in this
system.
PMID- 29786909
TI - A New Composite Electrode Applied for Studying the Electrochemistry of Insoluble
Particles: alpha-HgS.
AB - The redox chemistry of solid alpha-HgS particles is revealed using a carbon/PVDF
composite containing alpha-HgS, carbon black, polyvinylidene fluoride (PVDF). The
electrochemical behaviour of the carbon/PVDF composite is first characterised
with three water insoluble organic solids. Then the reduction of solid alpha-HgS
particles is investigated and found to occur at a high negative potential, -1.82
V versus saturated mercury sulphate reference electrode, to form metallic mercury
and sulphide ions. The subsequent oxidation of metallic mercury and sulphide
occurs at +0.24 and -0.49 V versus MSE respectively.
PMID- 29786910
TI - Agathisflavone isolated from Anacardium occidentale suppresses SIRT1-mediated
neuroinflammation in BV2 microglia and neurotoxicity in APPSwe-transfected SH
SY5Y cells.
AB - Agathisflavone is a bioactive compound in Anacardium occidentale. In this study,
we investigated inhibition neuroinflammation in BV2 microglia by agathisflavone.
Neuroprotective activity of the compound was investigated in differentiated SH
SY5Y cells. Experiments in lipopolysaccharide (LPS)-activated BV2 microglia
showed that pretreatment with agathisflavone (5-20 MUM) produced significant
reduction in the release of tumour necrosis factor-alpha, interleukin-6,
interleukin-1beta, NO, and PGE2 from the cells. Immunoblotting experiments also
revealed that agathisflavone reduced levels of iNOS and COX-2 protein. Further
studies revealed that agathisflavone reduced neuroinflammation by targeting
critical steps in NF-kappaB signalling in BV2 microglia. Treatment of SH-SY5Y
cells with conditioned medium from LPS-activated BV2 microglia produced a
significant reduction in neuronal viability. However, conditioned medium from BV2
cells that were stimulated with LPS in the presence of agathisflavone did not
induce neurotoxicity. Agathisflavone also produced neuroprotection in APPSwe
plasmid-transfected SH-SY5Y neurons. The compound further attenuated LPS-induced
and APPSwe plasmid-induced reduction in SIRT1 in BV2 microglia and SH-SY5Y,
respectively. In the presence of EX527, agathisflavone lost its anti-inflammatory
and neuroprotective activities. Our results suggest that agathisflavone inhibits
neuroinflammation in BV2 microglia by targeting NF-kappaB signalling pathway. The
compound also reduces neurotoxicity through mechanisms that are possibly linked
to SIRT1 in the microglia and neurons.
PMID- 29786912
TI - Ylide Ligands as Building Blocks for Bioactive Group 11 Metal Complexes.
AB - The reactivity of the phosphonium salt (cyanomethyl)triphenylphosphonium chloride
and the ylide (triphenylphosphonio)cyanomethanide towards Group 11 metal
complexes is described. Mononuclear neutral gold(I) and gold(III) complexes of
the type [AuX{CH(CN)PPh3 }] or [AuX3 {CH(CN)PPh3 }] and cationic derivatives such
as [AuL{CH(CN)PPh3 }]X have been prepared. Surprisingly, the cationic gold
species could only be prepared with ligands with a large steric hindrance, such
as bulky NHCs or the JohnPhos phosphine, in contrast to silver and copper
derivatives, which have dimeric structures through the coordination of the metal
to the cyano group of the ylide of a second complex. Bis(ylide) metal complexes
have also been synthesised in which a different structure is observed for the
gold complexes compared with the copper and silver complexes. Although gold forms
mononuclear species, the silver complex presents a two-dimensional polymeric
structure as a result of further coordination of the silver centre to the
nitrogen atoms of cyano groups of further silver complexes. These complexes
possess two chiral centres; the gold compound was obtained as a mixture of
diastereoisomers, whereas the copper and silver derivatives afford only one
diastereoisomer. These compounds were screened for their in vitro cytotoxic
activity against the human lung carcinoma cell line (A549). The IC50 values
reveal an excellent cytotoxic activity for these metal complexes compared with
cisplatin.
PMID- 29786911
TI - ESIPT-Modulated Emission of Lanthanide Complexes: Different Energy-Transfer
Pathways and Multiple Responses.
AB - Two series of isostructural lanthanide coordination complexes, namely, LIFM
42(Ln) (Ln=Eu, Tb, Gd, in which LIFM stands for the Lehn Institute of Functional
Materials) and LIFM-43(Ln) (Ln=Er, Yb), were synthesized through the self
assembly of an excited-state intramolecular proton transfer (ESIPT) ligand, 5-[2
(5-fluoro-2-hydroxyphenyl)-4,5-bis(4-fluorophenyl)-1H-imidazol-1-yl]isophthalic
acid (H2 hpi2cf), with different lanthanide ions. In the coordination structures
linked by the ligands and oxo-bridged LnIII2 clusters (for LIFM-42(Ln) series) or
isolated LnIII ions (for LIFM-43(Ln) series), the ESIPT ligand can serve as both
the host and antenna for protecting and sensitizing the photoluminescence (PL) of
LnIII ions. Meanwhile, the -OH???N active sites on the ligands are vacant, which
provides availability to systematically explore the PL behavior of Ln complexes
with ESIPT interference. Based on the accepting levels of different lanthanide
ions, energy transfer can occur from the T1 (K*) or T1 (E*) (K*=excited keto
form, E*=excited enol form) excited states of the ligand. Furthermore, the
sensitized lanthanide luminescence in both visible and near-infrared regions, as
well as the remaining K* emission of the ligand, can be modulated by the ESIPT
responsiveness to different solvents, anions, and temperature.
PMID- 29786913
TI - New Insights into the Anion Transport Selectivity and Mechanism of Tren-based
Tris-(thio)ureas.
AB - The anion transport properties of a series of previously reported tren-based
anionophores have been revisited using new assays designed to measure anion
uniport. This study provides new insights into the transport mechanism and
selectivity of this important class of transporters. Specifically, we report the
chloride and nitrate transport selectivity of these systems and quantify sulfate
transport to determine EC50 values for sulfate transport for the first time. Two
new assays were developed to study bicarbonate transport allowing accurate
quantification of chloride/bicarbonate exchange.
PMID- 29786914
TI - Conductive Hydrogels as Smart Materials for Flexible Electronic Devices.
AB - Flexible conductive materials have gained considerable research interest in
recent years because of their potential applications in flexible energy storage
devices, sensors, touch panels, electronic skins, etc. With excellent
flexibility, outstanding electric properties and tunable mechanical properties,
conductive hydrogels as conductive materials offer plentiful insights and
opportunities for flexible electronic devices. Numerous synthetic strategies have
been developed to obtain various conductive hydrogels, and high-performance
flexible electronic devices based on these conductive hydrogels have been
realized. This review provides a comprehensive overview of conductive-hydrogel
based flexible electronics, ranging from conductive hydrogels synthesis to
several important flexible devices applications, including touch panels, sensors
and energy storage. Finally, we provide new future research directions and
perspectives for conductive-hydrogel-based flexible and portable electronic
devices.
PMID- 29786915
TI - Reversible Oxidative Addition/Reductive Elimination of a Si-H Bond with Base
Stabilized Silylenes: A Theoretical Insight.
AB - Although oxidative addition (OA) and reductive elimination (RE) are exceedingly
important processes in organometallic chemistry, such processes are still
extremely rare for main-group element species. Herein, we report a theoretical
study on the reaction of phosphine-stabilized silylenes with silanes that
proceeds through reversible OA/RE at room temperature. Of particular interest is
that this theoretical approach highlights the important role of the ligand, which
can greatly affect the kinetics and energy balance of the reaction. Indeed, in
contrast to the case of free aminosilylenes, the reaction of ligand-supported
silylenes proceeds in an unsynchronized manner and starts with the silylene
>silane charge transfer (CT). Suitably electron-donating ligands, such as
phosphines or N-heterocyclic carbenes, enhancing the CT at the transition state
(TS), significantly decrease the Gibbs activation energy and the exergonic nature
of the reaction, which promote the OA/RE processes. In the same way, silanes with
electron-withdrawing groups also favor the CT and thus stabilize the TS. It was
also computationally predicted that phosphine-stabilized silylenes should be able
to activate the C-Si bond of trimethoxy(ethynyl)silane (HC=C-Si(OMe)3 ) and that
the reaction should proceed in a reversible manner under mild conditions.
PMID- 29786916
TI - Herbal medicine as a promising therapeutic approach for the management of
vascular dementia: A systematic literature review.
AB - Vascular dementia (VaD) generally refers to memory deficits and cognitive
abnormalities that are resulted from vascular disease. In this study, we aimed to
systematically review the literature wherein therapeutic effects of medicinal
plants have been studied on VaD. A systematic literature search was performed in
the PubMed, Scopus, Web of Science, Google Scholar, and other databases using
VaD, and medicinal plants as key terms. No strict inclusion criteria were
defined, and almost all clinical studies were included. A total of 524 articles
were found, of which only 28 relevant articles with 3461 studied patients were
included to this systematic review. The results showed that medicinal plants,
particularly Sancaijiangtang and Ginkgo biloba could improve behavioral and
psychological symptoms, working memory, Mini-Mental State Examination, and
activities of daily living as well as neuropsychiatric features. It was also
shown that the age, average progression of the disease, and the type of folk
medicines effective in treating the disease are important factors in the
management of VaD. The results of this review indicated that herbal therapy can
be a potential candidate in the treatment of VaD; however, further studies are
needed to confirm such efficiency.
PMID- 29786917
TI - A Strategy of "Self-Isolated Enhanced Emission" to Achieve Highly Emissive Dual
State Emission for Organic Luminescent Materials.
AB - Currently, the commonly developed organic luminescent materials (OLMs) usually
exhibit poor luminescent performance in aggregated solid states compared with
their well-dissolved solution states, making it a tough goal to achieve the
highly emissive dual-state emission. To overcome this limitation, a "self
isolated enhanced emission" (SIEE) strategy through flexible alkyl chains to
suppress the emission-quenched pi-pi stacking in solids is proposed here and,
based on this guideline, remarkable emission efficiency with photoluminescence
quantum yields up to 99.72 % in solution and 77.46 % in the solid state are
achieved for the SIEE constructed DBBT-C8, which is then successfully used in
solid-state displays and data encryption.
PMID- 29786919
TI - International collaboration is needed to reduce the risk for inhibitors in PUPs
with severe haemophilia A.
PMID- 29786918
TI - Novel genes and insights in complete asthma remission: A genome-wide association
study on clinical and complete asthma remission.
AB - BACKGROUND: Asthma is a chronic respiratory disease without a cure, although
there exists spontaneous remission. Genome-wide association (GWA) studies have
pinpointed genes associated with asthma development, but did not investigate
asthma remission. OBJECTIVE: We performed a GWA study to develop insights in
asthma remission. METHODS: Clinical remission (ClinR) was defined by the absence
of asthma treatment and wheezing in the last year and asthma attacks in the last
3 years and complete remission (ComR) similarly but additionally with normal lung
function and absence of bronchial hyperresponsiveness (BHR). A GWA study on both
ClinR and ComR was performed in 790 asthmatics with initial doctor diagnosis of
asthma and BHR and long-term follow-up. We assessed replication of the 25 top
single nucleotide polymorphisms (SNPs) in 2 independent cohorts (total n = 456),
followed by expression quantitative loci (eQTL) analyses of the 4 replicated SNPs
in lung tissue and epithelium. RESULTS: Of the 790 asthmatics, 178 (23%) had
ClinR and 55 ComR (7%) after median follow-up of 15.5 (range 3.3-47.8) years. In
ClinR, 1 of the 25 SNPs, rs2740102, replicated in a meta-analysis of the
replication cohorts, which was an eQTL for POLI in lung tissue. In ComR, 3 SNPs
replicated in a meta-analysis of the replication cohorts. The top-hit, rs6581895,
almost reached genome-wide significance (P-value 4.68 * 10-7 ) and was an eQTL
for FRS2 and CCT in lung tissue. Rs1420101 was a cis-eQTL in lung tissue for
IL1RL1 and IL18R1 and a trans-eQTL for IL13. CONCLUSIONS AND CLINICAL RELEVANCE:
By defining a strict remission phenotype, we identified 3 SNPs to be associated
with complete asthma remission, where 2 SNPs have plausible biological relevance
in FRS2, CCT, IL1RL1, IL18R1 and IL13.
PMID- 29786920
TI - Functional Carbon Nanofibers with Semi-Embedded Titanium Oxide Particles via
Electrospinning.
AB - The formulation of optoelectronic components into 1D nanostructures allows the
promotion of new materials with multifunctionalities. In this work, it is
demonstrated that new synthesis of photocatalytic carbon nanofiber decorated with
semi-embedded titanium oxide (TiO2 ), namely, TiO2 @carbon fiber, is conveniently
accessed through the electrospinning of polyacrylonitrile polymer and TiO2
particle comixture, and then followed by photon-activated self-erosion to expose
the embedded TiO2 and carbonization. The hybrid nanofibers are characterized by
field emission scanning electron microscopy, transmission electron microscopy,
and X-ray diffraction analysis. Furthermore, the photocatalytic activities of the
resultant fibers are tested with photodegradation of Rhodamine B in aqueous
solution, which reveals that the carbon nanofiber with semi-embedded TiO2
drastically improved catalytic efficiency and recyclability, comparing to those
fibers without or with embedded TiO2 .
PMID- 29786921
TI - Room-Temperature Ferroelectricity in an Organic Cocrystal.
AB - Ferroelectric materials exhibit switchable remanent polarization due to
reversible symmetry breaking under an applied electric field. Previous research
has leveraged temperature-induced neutral-ionic transitions in charge-transfer
(CT) cocrystals to access ferroelectrics that operate through displacement of
molecules under an applied field. However, displacive ferroelectric behavior is
rare in organic CT cocrystals and achieving a Curie temperature (TC ) above
ambient has been elusive. Here a cocrystal between acenaphthene and 2,3,5,6
tetrafluoro-7,7,8,8-tetracyanoquinodimethane is presented that shows switchable
remanent polarization at room temperature (TC =68 degrees C). Raman
spectroscopy, X-ray diffraction, and solid-state NMR spectroscopy indicate the
ferroelectric behavior is facilitated by acenaphthene (AN) rotation, deviating
from conventional design strategies for CT ferroelectrics. These findings
highlight the relevance of non-CT interactions in the design of displacive
ferroelectric cocrystals.
PMID- 29786923
TI - Biodiversity of the human oral mycobiome in health and disease.
AB - The organisms that colonize the human body over a lifetime are diverse, extensive
and gargantuan. A fair proportion of the microbiota that constitutes this human
microbiome live within our oral cavities mostly as harmonious associates causing
only sporadic disease. An important core constituent of the microbiome is the
mycobiome, representing various fungal genera. Up until recently, only a few
species of fungi, mainly Candida species, were thought to constitute the human
oral mycobiome. The reasons for this are manifold, although the uncultivable
nature of many fungi in conventional laboratory media, and their complex genetic
composition seem to be the major factors which eluded their detection over the
years. Nevertheless, recent advances in computing and high-throughput sequencing
such as next-generation sequencing (NGS) platforms have provided us a panoramic
view of a totally new world of fungi that are human oral cohabitues. Their
diversity is perplexing, and functionality yet to be deciphered. Here, we provide
a glimpse of what is currently known of the oral mycobiome, in health and
disease, with some future perspectives.
PMID- 29786922
TI - Finding factors that predict treatment-resistant depression: Results of a cohort
study.
AB - BACKGROUND: Treatment for depressive disorders often requires subsequent
interventions. Patients who do not respond to antidepressants have treatment
resistant depression (TRD). Predicting who will develop TRD may help healthcare
providers make more effective treatment decisions. We sought to identify factors
that predict TRD in a real-world setting using claims databases. METHODS: A
retrospective cohort study was conducted in a US claims database of adult
subjects with newly diagnosed and treated depression with no mania, dementia, and
psychosis. The index date was the date of antidepressant dispensing. The outcome
was TRD, defined as having at least three distinct antidepressants or one
antidepressant and one antipsychotic within 1 year after the index date.
Predictors were age, gender, medical conditions, medications, and procedures 1
year before the index date. RESULTS: Of 230,801 included patients, 10.4%
developed TRD within 1 year. TRD patients at baseline were younger; 10.87% were
between 18 and 19 years old versus 7.64% in the no-TRD group, risk ratio (RR) =
1.42 (95% confidence interval [CI] 1.37-1.48). TRD patients were more likely to
have an anxiety disorder at baseline than non-TRD patients, RR = 1.38 (95% CI
1.35-1.14). At 3.68, fatigue had the highest RR (95% CI 3.18-4.25). TRD patients
had substance use disorders, psychiatric conditions, insomnia, and pain more
often at baseline than non-TRD patients. CONCLUSION: Ten percent of subjects
newly diagnosed and treated for depression developed TRD within a year. They were
younger and suffered more frequently from fatigue, substance use disorders,
anxiety, psychiatric conditions, insomnia, and pain than non-TRD patients.
PMID- 29786924
TI - Reproductive ecology of Ocotea catharinensis, an endangered tree species.
AB - Ocotea catharinensis (Lauraceae) is an endangered tree species from the Brazilian
Atlantic Rainforest. Currently, little is known about the reproductive ecology of
this species. Aiming to propose conservation measures, we described aspects
related to phenology, floral biology, pollination, seed dispersal and mating
system of O. catharinensis. We conducted phenological observations in 62
individuals for 2 years. In one reproductive event, we evaluated nectar
production, stigmatic receptivity and pollen viability. Floral visitors were
observed, identified and classified on a scale of pollination effectiveness. Seed
dispersers were observed and identified using camera traps. Finally, the mating
system was evaluated through pollen/ovule ratios, experimental pollination
treatments and genetic analysis with molecular markers. Ocotea catharinensis
presented a supra-annual fruiting pattern with a substantial reduction of
reproducing individuals from bud phase to ripe fruit phase. Several mechanisms
prompting cross-fertilisation were identified, such as attractive, herkogamic and
protogynic flowers. The main floral visitors and pollinators were from the
Diptera order, and all seed dispersers were birds. The species presented a
predominantly outcrossed mixed mating system with significant selfing rate
(17.3%). Although based on restricted evidence, we hypothesised that selfing is
an escape mechanism for situations unfavourable to cross-fertilisation.
Specifically, for the studied population selfing is a response to reduced
population size, which is caused by the non-reproduction of all potentially
reproductive individuals and by past exploitation events. Therefore, conservation
efforts should be able to enhance population sizes, as well as prevent
overexploitation.
PMID- 29786925
TI - Computed tomographic and radiographic bronchial collapse may be a normal
characteristic of forced expiration in dogs.
AB - Tracheobronchomalacia has been diagnosed using radiography or bronchoscopy to
confirm bronchial changes in luminal diameter during the respiratory cycle.
However, studies in healthy humans suggest that some degree of bronchial collapse
may be observed during the normal respiratory cycle. In this analytical study,
the luminal diameter of the bronchus to each of the six pulmonary lobes and the
mean percentage of expiratory collapse from end inspiratory, end expiratory, and
two forced expiratory phases (10 and 15 ml/kg) were determined via computed
tomography (CT) and radiography in 22 healthy Beagle dogs. The bronchial
collapsibility was significantly greater during the forced expiration than the
end expiration (P < 0.001); the same results were observed in dorsal and sagittal
CT images and radiographs (P < 0.001). Median collapsibility values associated
with 15 ml/kg forced expiratory collapse determined via cross-sectional CT images
were measured as 16.6-45.5% and differed according to the pulmonary lobe. Median
collapsibilities on radiography with 15 ml/kg forced expiration were 57.8% and
62.1% in the right cranial lobe and right caudal lobe, respectively. In
conclusion, bronchial diameter may change during the respiratory cycle, and some
degree of reduction in bronchial diameter may be an incidental finding in healthy
dogs. More rigorous criteria are needed with regards to bronchial collapsibility
during normal respiration for the diagnosis of bronchomalacia in order to avoid
false-positive diagnoses.
PMID- 29786926
TI - Thorpe-Ingold Effect in Branch-Selective Alkylation of Unactivated Aryl
Fluorides.
AB - Presented herein is a general protocol for the alkylation of simple aryl
fluorides with unbiased secondary Grignard reagents by means of nickel catalysis.
This study revealed a general Thorpe-Ingold effect in the ligand backbone which
confers a high degree of selectivity for the secondary carbon center in the C-C
coupling event. This protocol is characterized by mild reaction conditions,
robustness, and simplicity. Both electron-rich and electron-deficient aryl
fluorides are suitable candidates in this transformation. Equally amenable are a
variety of heterocycles, permitting the coupling without over alkylation at the
electrophilic sites.
PMID- 29786927
TI - Exhaled nitric oxide and mannitol test to predict exercise-induced
bronchoconstriction.
AB - BACKGROUND: Exercise-induced bronchoconstriction (EIB) is diagnosed via exercise
challenge on a treadmill, but such testing requires complex equipment and
sufficient health-care resources. The fraction of exhaled nitric oxide (FeNO)
test and mannitol bronchial provocation test (BPT) may serve as a surrogate for
exercise testing. METHODS: We compared the diagnostic utilities of the FeNO test
and mannitol BPT in predicting EIB in asthmatic children. We retrospectively
analyzed data from 60 asthmatic children aged 6-16 years. We compared the
exercise BPT results, FeNO levels, and mannitol BPT data. RESULTS: All subjects
were divided into exercise-positive (n = 41) or -negative (n = 19) BPT groups. Of
the 41 exercise-positive patients, 32 were mannitol BPT positive and nine were
mannitol BPT negative. Of the 19 exercise-negative patients, nine and 10,
respectively, were mannitol BPT positive and BPT negative. The maximum % forced
expiratory volume in 1 s (FEV1 ) decrease after exercise was positively
correlated with FeNO (r = 0.556, P < 0.001), and with mannitol response-dose
ratio (RDR; r = 0.416, P = 0.001). The receiver operating characteristic (ROC)
curve for FeNO to discriminate between asthmatic subjects with and without EIB
had an area under the curve (AUC) of 0.771 (95%CI: 0.643-0.870). The
discriminatory ROC curve for mannitol RDR had an AUC of 0.763 (95%CI: 0.633
0.864). The AUC of FeNO and mannitol RDR did not differ significantly.
CONCLUSIONS: EIB significantly correlated with both FeNO and mannitol BPT data.
Given that both methods similarly predicted EIB in asthmatic children, the
simpler and safer FeNO test alone may be a clinically useful diagnostic tool.
PMID- 29786928
TI - Evaluation of medication dose omissions amongst inpatients in a hospital using an
electronic Medication Management System.
AB - RATIONALE, AIMS, AND OBJECTIVES: Whilst many dose omissions cause no patient
harm, inappropriate dose omissions have been associated with increased length of
hospital stay, risk of sepsis, and mortality. This study aimed to comprehensively
describe the prevalence and nature of omitted doses overall and of high risk
medication dose omissions in an organization using an electronic Medication
Management System. METHODS: A retrospective cross-sectional study was undertaken
in an Australian tertiary referral health service. All routinely documented
electronic inpatient dose administration records from 1st July 2014 to 30th June
2015 were included. Period prevalence and characteristics of dose omissions
overall and of high-risk medication dose omissions were determined. RESULTS:
During the study period, 3.3 million inpatient doses were scheduled for
administration, with doses endorsed as "not given" comprising 6.2% of all
scheduled doses. Non-valid dose omissions (medication not available or no
justification documented) comprised 1.2% of scheduled doses. Patient refusal
accounted for one third of all dose omissions, while for 12% no explanation was
provided and 7% were endorsed "medication not available". High-risk medications
accounted for 20% of all dose omissions. One in 20 antimicrobial doses scheduled
were omitted, and of these, 17% were due to patient refusal. CONCLUSION: The
period prevalence of dose omissions in this large study after electronic
Medication Management System implementation is similar to that found when paper
charts were used. Although most dose omissions appear appropriate, many orders
were not given due to patient refusal or with no documented justification.
Interventions to minimize unintentional dose omissions are indicated.
PMID- 29786929
TI - Rethinking the prescription of biotin for dermatologic conditions.
PMID- 29786930
TI - An Unexpected alpha-Oxidation of Cyclic Ketones with 1,4-Benzoquinone by Enol
Catalysis.
AB - The first direct and asymmetric alpha-aryloxylation of cyclic ketones via enol
catalysis has been achieved using quinones as the reaction partners. Catalytic
amounts of a phosphoric acid promote the exclusive formation of alpha,alpha
disubstituted ketones from the corresponding alpha-substituted ketones in good
yields and enantioselectivities (up to 96.5:3.5 er). Preliminary mechanistic
experiments suggest that this reaction proceeds via a proton-coupled electron
transfer (PCET) followed by radical recombination.
PMID- 29786932
TI - Bandgap-Tunable Preparation of Smooth and Large Two-Dimensional Antimonene.
AB - As a highly stable band gap semiconductor, antimonene is an intriguing two
dimensional (2D) material in optoelectronics. However, its short layer distance
and strong binding energy make it challenging to prepare high-quality large 2D
antimonene; therefore, its predicted tunable band gap has not been experimentally
confirmed. Now, an approach to prepare smooth and large 2D antimonene with
uniform layers that uses a pregrinding and subsequent sonication-assisted liquid
phase exfoliation process has been established. Mortar pregrinding provides a
shear force along the layer surfaces, forming large, thin antimony plates, which
can then easily be exfoliated into smooth, large antimonene, avoiding long
sonication times and antimonene destruction. The resulting antimonene also
enabled verification of the tunable band gap from 0.8 eV to 1.44 eV. Hole
extraction and current enhancement by about 30 % occurred when the antimonene was
used as a hole transport layer in perovskite solar cells.
PMID- 29786931
TI - Direct Real-Time Monitoring of Prodrug Activation by Chemiluminescence.
AB - The majority of theranostic prodrugs reported so far relay information through a
fluorogenic response generated upon release of the active chemotherapeutic agent.
A chemiluminescence detection mode offers significant advantages over
fluorescence, mainly due to the superior signal-to-noise ratio of
chemiluminescence. Here we report the design and synthesis of the first
theranostic prodrug monitored by a chemiluminescence diagnostic mode. As a
representative model, we prepared a prodrug from the chemotherapeutic monomethyl
auristatin E, which was modified for activation by beta-galactosidase. The
activation of the prodrug in the presence of beta-galactosidase is accompanied by
emission of a green photon. Light emission intensities, which increase with
increasing concentration of the prodrug, were linearly correlated with a decrease
in the viability of a human cell line that stably expresses beta-galactosidase.
We obtained sharp intravital chemiluminescent images of endogenous enzymatic
activity in beta-galactosidase-overexpressing tumor-bearing mice. The exceptional
sensitivity achieved with the chemiluminescence diagnostic mode should allow the
exploitation of theranostic prodrugs for personalized cancer treatment.
PMID- 29786933
TI - Acute generalized exanthematous pustulosis due to terbinafine.
AB - Acute generalized exanthematous pustulosis is a rare adverse cutaneous reaction
characterized by the rapid appearance of numerous pustules arising on edematous,
erythematous skin. It is commonly accompanied by fever and leukocytosis and
usually resolves with discontinuation of the offending agent. Herein, acute
generalized exanthematous pustulosis induced by terbinafine is described,
followed by a brief review of the literature.
PMID- 29786934
TI - The Origin of the Photoluminescence Enhancement of Gold-Doped Silver
Nanoclusters: The Importance of Relativistic Effects and Heteronuclear Gold
Silver Bonds.
AB - The weak photoluminescence of silver nanoclusters prevents their broad
application as luminescent nanomaterials. Recent experiments, however, have shown
that gold doping can significantly enhance the photoluminescence intensity of
Ag29 nanoclusters but the molecular and physical origins of this effect remain
unknown. Therefore, we have computationally explored the geometric and electronic
structures of Ag29 and gold-doped Ag29-x Aux (x=1-5) nanoclusters in the S0 and
S1 states. We found that 1) relativistic effects that are mainly due to the Au
atoms play an important role in enhancing the fluorescence intensity, especially
for highly doped Ag26 Au3 , Ag25 Au4 , and Ag24 Au5 , and that 2) heteronuclear
Au-Ag bonds can increase the stability and regulate the fluorescence intensity of
isomers of these gold-doped nanoclusters. These novel findings could help design
doped silver nanoclusters with excellent luminescence properties.
PMID- 29786936
TI - A General Synthesis of Phosphorus- and Arsenic-Containing Analogues of the Thio-
and Seleno-cyanate Anions.
AB - A general synthetic protocol for the synthesis of phosphorus- and arsenic
containing analogues of the thio- and seleno-cyanate anions is reported. This
procedure allows for the isolation of three unprecedented species: the
phosphaethynselenolate, PCSe- (1), the arsaethynthiolate, AsCS- (2), and the
arsaethynselenolate, AsCSe- (3), anions. The structures, electronic properties,
and spectroscopic signatures of these species are reported.
PMID- 29786935
TI - Evaluation of PCR primers targeting the groEL gene for the specific detection of
Streptococcus agalactiae in the context of aquaculture.
AB - AIMS: The aim of this study was to design a set of primers for specific detection
and identification of Streptococcus agalactiae in polymerase chain reaction (PCR)
that can detect a diverse range of S. agalactiae isolates from different hosts
and that it is capable of discriminating between S. agalactiae and other species
that are closely related or potentially present in aquaculture environments,
notably Streptococcus iniae. METHODS AND RESULTS: Primers, based on the groEL2
gene of S. agalactiae, were shown to be epidemiologically sensitive to 97
isolates of S. agalactiae, representing 11 clonal complexes derived from piscine,
terrestrial and aquatic mammalian host species. The primers were tested with 10
S. iniae isolates and 22 other comparator species with no cross-reaction observed
after optimization of reaction conditions. They have a high analytical
sensitivity, detecting as few as 10 copies of S. agalactiae genomic DNA per
reaction and are capable of detecting the target in DNA extracted from the brains
of infected fish. CONCLUSIONS: The primers proved suitable for the sensitive and
specific detection of S. agalactiae from dairy-, human- and fish-related origins
by PCR. SIGNIFICANCE AND IMPACT OF THE STUDY: Due to the importance of S.
agalactiae as a pathogen, many PCR primers have been published for this
bacterium, designed largely for its detection in dairy and human samples, but
many cross-reacting with S. iniae. The ability to differentiate between S.
agalactiae and S. iniae in aquaculture derived samples is important as both
infect fish, causing similar disease symptoms and are phenotypically similar, yet
control strategies and zoonotic risk are species specific.
PMID- 29786937
TI - The effect of the weekend upon admissions to General Medicine.
AB - RATIONALE, AIMS, AND OBJECTIVES: A reduction in the provision of hospital
services on the weekend probably explains higher mortality for patients who are
either admitted to hospital or undergo procedures on the weekend. The aim was to
examine the effect of the day of the week of admission (DOWOA) upon the
efficiency and quality of care for a cohort of General Medical inpatients.
METHODS: Electronic records were selected for unplanned adult admissions to 2
large public hospitals in Adelaide, South Australia, July 2012 to June 2017.
RESULTS: The cohort consisted of 50 323 records. The number of admissions on each
day of the week differed significantly from 6389 on Sundays to 7548 on Thursdays
(P < 0.001). Discharges were most frequent on Fridays, and fewest occurred on
weekends (P < 0.001) especially if aged over 80 years, if they had significant
comorbidity or if they were discharged to a residential aged care facility. The
DOWOA did not significantly influence the proportion of cases who died in
hospital (5.6% to 6.4%; P = 0.47). The DOWOA significantly affected median
inpatient length of stay (IPLOS; 3.1 to 3.9 days; P < 0.001). The median
inpatient length of stay (IPLOS) matched the number of days from the ensuing
weekend to the DOWOA with the longest median IPLOS. CONCLUSIONS: General Medicine
has an inpatient mortality unaffected by the DOWOA. Care efficiency, however,
follows a weekly cycle. The "weekend effect" for General Medical inpatients is a
prolongation in their IPLOS as a result of fewer weekend discharges.
PMID- 29786938
TI - Inhibition and kinetic studies of lignin degrading enzymes of Ganoderma boninense
by naturally occurring phenolic compounds.
AB - AIM: Lignolytic (lignin degrading) enzyme, from oil palm pathogen Ganoderma
boninense Pat. (Syn G. orbiforme (Ryvarden)), is involved in the detoxification
and the degradation of lignin in the oil palm and is the rate-limiting step in
the infection process of this fungus. Active inhibition of lignin-degrading
enzymes secreted by G. boninense by various naturally occurring phenolic
compounds and estimation of efficiency on pathogen suppression was aimed at.
METHODS AND RESULTS: In our work, 10 naturally occurring phenolic compounds were
evaluated for their inhibitory potential towards the lignolytic enzymes of G.
boninense. Additionally, the lignin-degrading enzymes were characterized. Most of
the peholic compounds exhibited an uncompetitive inhibition towards the lignin
degrading enzymes. Benzoic acid was the superior inhibitor to the production of
lignin-degrading enzymes, when compared between the 10 phenolic compounds. The
inhibitory potential of the phenolic compounds towards the lignin-degrading
enzymes are higher than that of the conventional metal ion inhibitor. The lignin
degrading enzymes were stable in a wide range of pH but were sensitive to higher
temperature. CONCLUSION: The study demonstrated the inhibitor potential of 10
naturally occurring phenolic compounds towards the lignin-degrading enzymes of G.
boninense with different efficacies. SIGNIFICANCE AND IMPACT OF THE STUDY: The
study has shed a light towards a new management strategy to control basal stem
rot disease in oil palm. It serves as a replacement for the existing chemical
control.
PMID- 29786939
TI - An update on enzymatic cocktails for lignocellulose breakdown.
AB - Alternative energy sources have received increasing attention in recent years.
The possibility of adding value to agricultural wastes, by producing biofuels and
other products with economic value from lignocellulosic biomass by enzymatic
hydrolysis, has been widely explored. Lignocellulosic biomass, as well as being
an abundant residue, is a complex recalcitrant structure that requires a
consortium of enzymes for its complete degradation. Pools of enzymes with
different specificities acting together usually produce an increase in hydrolysis
yield. Enzymatic cocktails have been widely studied due to their potential
industrial application for the bioconversion of lignocellulosic biomass. This
review presents an overview of enzymes required to degrade the plant cell wall,
paying particular attention to the latest advances in enzymatic cocktail
production and the main results obtained with cocktails used to degrade a variety
of types of biomass, as well as some future perspectives within this field.
PMID- 29786940
TI - Glycoinformatics: Bridging Isolated Islands in the Sea of Data.
AB - Glycoinformatics is an actively developing scientific discipline, which provides
scientists with the means of access to the data on natural glycans and with
various tools of their processing. However, the informatization of glycomics has
a long way to go before catching up with genomics and proteomics. In this
Viewpoint, we review the current situation in glycoinformatics and discuss its
achievements and shortcomings, emphasizing the major drawbacks: the lack of
recognized standards, protocols, data indices and tools, and the informational
isolation of the existing projects. We reiterate possible solutions of the
persistent issues and describe our vision of an ideal glycoinformatics project.
PMID- 29786941
TI - A Regio- and Diastereoselective Anodic Aryl-Aryl Coupling in the Biomimetic Total
Synthesis of (-)-Thebaine.
AB - The biosynthesis of thebaine is based on the regioselective, intramolecular,
oxidative coupling of (R)-reticuline. For decades, chemists have sought to mimic
this coupling by using stoichiometric oxidants. However, all approaches to date
have suffered from low yields or the formation of undesired regioisomers.
Electrochemistry would represent a sustainable alternative in this respect but
all attempts to accomplish an electrochemical synthesis of thebaine have failed
so far. Herein, a regio- and diastereoselective anodic coupling of 3',4',5'
trioxygenated laudanosine derivatives is presented, which finally enables
electrochemical access to (-)-thebaine.
PMID- 29786942
TI - Jose-Miguel Barea 1942-2018: the man that always smiles.
PMID- 29786943
TI - Integrating a comprehensive DNA barcode reference library with a global map of
yews (Taxus L.) for forensic identification.
AB - Rapid and accurate identification of endangered species is a critical component
of biosurveillance and conservation management, and potentially policing illegal
trades. However, this is often not possible using traditional taxonomy,
especially where only small or preprocessed parts of plants are available.
Reliable identification can be achieved via a comprehensive DNA barcode reference
library, accompanied by precise distribution data. However, these require
extensive sampling at spatial and taxonomic scales, which has rarely been
achieved for cosmopolitan taxa. Here, we construct a comprehensive DNA barcode
reference library and generate distribution maps using species distribution
modelling (SDM), for all 15 Taxus species worldwide. We find that trnL-trnF is
the ideal barcode for Taxus: It can distinguish all Taxus species and in
combination with ITS identify hybrids. Among five analysis methods tested, NJ was
the most effective. Among 4,151 individuals screened for trnL-trnF, 73 haplotypes
were detected, all species-specific and some population private. Taxonomical,
geographical and genetic dimensions of sampling strategy were all found to affect
the comprehensiveness of the resulting DNA barcode library. Maps from SDM showed
that most species had allopatric distributions, except T. mairei in the Sino
Himalayan region. Using the barcode library and distribution map data, two
unknown forensic samples were identified to species (and in one case, population)
level and another was determined as a putative interspecific hybrid. This
integrated species identification system for Taxus can be used for
biosurveillance, conservation management and to monitor and prosecute illegal
trade. Similar identification systems are recommended for other IUCN- and CITES
listed taxa.
PMID- 29786944
TI - Design, synthesis and evaluation of a novel series of inhibitors reversing P
glycoprotein-mediated multidrug resistance.
AB - Multidrug resistance (MDR) is still the main barrier to attaining effective
results with chemotherapy. Discovery of new chemo-reversal agents is needed to
overcome MDR. Our study focused on a better way to obtain novel drugs with
triazole rings that have an MDR reversal ability through click chemistry. Among
20 developed compounds, compound 19 had a minimal cytotoxic effect compared to
tariquidar and verapamil (VRP) and showed a higher reversal activity than VRP
through increased accumulation in K562/A02 cells. Compound 19 also played an
important role in the P-gp efflux function of intracellular Rh123 and doxorubicin
(DOX) accumulation in K562/A02 cells. Moreover, compound 19 exhibited a long
lifetime of approximately 24 hr. These results indicated that compound 19 is a
potential lead compound for the design of new drugs to overcome cancer MDR.
PMID- 29786945
TI - A comparative study of dietary habits and nutritional intakes among Korean adults
according to current depression status.
AB - INTRODUCTION: Data regarding the association between depression and dietary
factors in Korean adults are limited. We hypothesized that Korean men and women
with depression would have poorer nutritional intakes and different dietary
habits than those without depression. METHODS: This study was based on data from
the Korea National Health and Nutrition Examination Survey conducted during 2012
to 2014. Overall, 10 591 subjects (4308 men, 6529 women) aged 19 to 64 years were
selected and categorized according to current depression status. Food and
nutrient intakes were estimated using semi-quantitative food frequency
questionnaires. RESULTS: Compared with non-depression, depression was associated
with a higher body mass index and likelihood of a separated/divorced/widowed
status, in addition to lower physical activity and employment rates. After
adjusting for multiple variables, men with depression had lower intakes of rice
cakes (P = 0.017) and eggs (P = 0.039) and a higher intake of chocolate (P =
0.040) than their non-depressed counterparts. Women with depression had a higher
intake of carbonated drinks than those without depression (P = 0.015). However,
the groups did not differ significantly in terms of nutrient intakes. A
multivariable logistic regression analysis of dietary habits showed that
depression correlated inversely with eating out (>=1 time/day vs <1 time/month)
among men [odds ratio (OR) = 0.23, 95% confidence interval (CI): 0.10-0.55] and
women (OR = 0.14, 95% CI: 0.05-0.35) and positively with a lower number of daily
meals (<3 vs >=3) among women (OR = 1.45, 95% CI: 1.01-2.11). DISCUSSION: Current
depression status was associated with differences in some specific dietary
intakes and habits in a sample of Korean adults.
PMID- 29786946
TI - Crowdfunding for prostate cancer and breast cancer.
PMID- 29786947
TI - Does general experience affect self-assessment?
AB - BACKGROUND: The assessment of clinical competence is critical in medical
education. Understanding the effect of general experience on a physician's self
assessment would help design more effective curricula and evaluations of
procedural skills in postgraduate training and continuing professional
development (CPD). In this observational study, we assessed the effect of general
experience on the correlation between confidence and competence amongst
experienced clinicians (ECs) and postgraduate trainees (PGTs) when learning an
office-based procedure in pessary care. METHODS: We recruited 19 first-year
family medicine residents and 18 family medicine faculty members in two
outpatient academic clinics. All participants attended a simulation-based
workshop for a routine gynaecological office procedure. We used a confidence
survey as a measure of the participants' self-assessed competence and an
objective structured clinical examination (OSCE) to evaluate participants'
competence before and after the workshop. The assessment of clinical competence
is critical in medical education RESULTS: We found no significant correlation
between confidence and competence at baseline for either group (EC, r = 0.25, p =
0.35; PGT, r = 0.15, p = 0.60). After the workshop, we observed a statistically
significant correlation between confidence and competence for ECs (r = 0.60, p =
0.01), but not for PGTs. The change in this correlation was not statistically
significant for either group, however. DISCUSSION: Our findings suggest that ECs
are not any more accurate in the assessment of their competence compared with
PGTs. All procedural skills curricula can benefit from OSCE-format evaluation to
better evaluate the improvement in performance of participants.
PMID- 29786948
TI - Cocaine-induced pyoderma gangrenosum-like lesions.
PMID- 29786949
TI - The qSOFA Score: A Simple and Accurate Predictor of Outcome in Patients with
Glyphosate Herbicide Poisoning.
AB - This study aimed to investigate whether the quick Sequential Organ Failure
Assessment (qSOFA) score at emergency department (ED) presentation can help
improve the risk assessment of glyphosate-surfactant herbicide (GlySH) poisoning
complications. A total of 150 patients presenting with acute glyphosate herbicide
ingestion were enrolled in this retrospective observational study. The qSOFA
scores at presentation, DeltaqSOFA (calculated by subtracting the worst qSOFA
score from 1 hr after admission from the qSOFA score at presentation), baseline
characteristics, clinical courses and outcome were collected and analysed. A
total of 41 patients had life-threatening complications (27.3%), and 14 patients
died (9.3%). Patients with a qSOFA score of 0 at presentation had a 1.5%
incidence rate of complications. As the qSOFA score at presentation increased
from 1 to 3, the rate of life-threatening complications significantly increased
from 29.6% to 100%. Patients with a DeltaqSOFA of 1 had a higher frequency of
complications than did patients with a DeltaqSOFA of 0. The qSOFA score (OR:
8.39, 95% CI: 3.51-26.67) and DeltaqSOFA (OR: 27.60, 95% CI: 3.87-575.67) were
associated with the development of life-threatening complications in the
multivariate analysis. The qSOFA score showed high sensitivity (97.56%), and the
DeltaqSOFA score showed high specificity (99.08%). The values of area under the
curve were significantly higher in the models using the qSOFA and DeltaqSOFA than
they were in the models using previously known prognostic factors (p < 0.01). The
clinician should pay more attention to patients with high qSOFA scores at
presentation or an increase in the qSOFA score 1 hr after admission.
PMID- 29786950
TI - The double face of the same disease.
PMID- 29786951
TI - Angiokeratoma corporis diffusum with normal enzyme activity: three new Italian
cases.
PMID- 29786952
TI - Arabidopsis small ubiquitin-related modifier protease ASP1 positively regulates
abscisic acid signaling during early seedling development.
AB - The small ubiquitin-related modifier (SUMO) modification plays an important role
in the regulation of abscisic acid (ABA) signaling, but the function of the SUMO
protease, in ABA signaling, remains largely unknown. Here, we show that the SUMO
protease, ASP1 positively regulates ABA signaling. Mutations in ASP1 resulted in
an ABA-insensitive phenotype, during early seedling development. Wild-type ASP1
successfully rescued, whereas an ASP1 mutant (C577S), defective in SUMO protease
activity, failed to rescue, the ABA-insensitive phenotype of asp1-1. Expression
of ABI5 and MYB30 target genes was attenuated in asp1-1 and our genetic analyses
revealed that ASP1 may function upstream of ABI5 and MYB30. Interestingly, ASP1
accumulated upon ABA treatment, and ABA-induced accumulation of ABI5 (a positive
regulator of ABA signaling) was abolished, whereas ABA-induced accumulation of
MYB30 (a negative regulator of ABA signaling) was increased in asp1-1. These
findings support the hypothesis that increased levels of ASP1, upon ABA
treatment, tilt the balance between ABI5 and MYB30 towards ABI5-mediated ABA
signaling.
PMID- 29786953
TI - Iatrogenic Botulism Outbreak in Egypt due to a Counterfeit Botulinum Toxin A
Preparation - A Descriptive Series of Patient Features and Outcome.
AB - Iatrogenic botulism resulting from the substantial increase in use of botulinum
neurotoxin type A (BoNT-A) treatment is rarely reported. We aimed to describe a
large iatrogenic botulism outbreak in Egypt in June-July 2017. Nine patients
developed botulism after receiving intramuscular injections of BoNT-A (dose: 200
300 IU) to treat cerebral palsy (N = 7), spastic dystonia (N = 1) and
hyperhidrosis (N = 1). Detailed findings were available in five of nine cases.
Patients were admitted to the hospital 5-10 days after the BoNT-A injection.
Complaints included muscle weakness in the upper and lower limbs (N = 5),
dysphagia (N = 5), dizziness (N = 2), dyspnoea (N = 2), dysphonia (N = 2),
dysarthria (N = 2), fatigue (N = 1), diplopia (N = 1) and blurred vision (N = 1).
Physical examination showed bilateral ptosis (N = 5), diminished gag reflex (N =
2), ophthalmoparesis (N = 1), facial paresis (N = 1) and tongue weakness (N = 1).
Diagnosis was based on the patients' history and presentation and did not require
any confirmatory test. On hospital admission, patients received supportive care
and trivalent botulism type A/B/E antitoxin (250-500 IU) was started. No patient
required mechanical ventilation. Immediate reversal of the most severe features
was observed while varying degrees of peripheral muscular weakness persisted.
Full recovery required 6-12 weeks. Cases were promptly reported to the Egyptian
health authorities, and epidemiological investigations revealed that the outbreak
was related to a recently imported highly concentrated unlicensed BoNT-A
preparation sold as Neuroxin(r) . Immediate withdrawal from the market was
ordered. In conclusion, iatrogenic botulism outbreak due to counterfeit botulism
toxin may result in life-threatening features. The early administration of
botulism antitoxin in addition to supportive care is life-saving. Clinicians
should remain mindful of the risk of systemic botulism with BoNT-A therapy.
PMID- 29786954
TI - Urinary metabolites predict prolonged duration of delayed graft function in DCD
kidney transplant recipients.
AB - Extending kidney donor criteria, including donation after circulatory death
(DCD), has resulted in increased rates of delayed graft function (DGF) and
primary nonfunction. Here, we used Nuclear Magnetic Resonance (NMR) spectroscopy
to analyze the urinary metabolome of DCD transplant recipients at multiple time
points (days 10, 42, 180, and 360 after transplantation). The aim was to identify
markers that predict prolonged duration of functional DGF (fDGF). Forty-seven
metabolites were quantified and their levels were evaluated in relation to fDGF.
Samples obtained at day 10 had a different profile than samples obtained at the
other time points. Furthermore, at day 10 there was a statistically significant
increase in eight metabolites and a decrease in six metabolites in the group with
fDGF (N = 53) vis-a-vis the group without fDGF (N = 22). In those with prolonged
fDGF (>=21 days) (N = 17) urine lactate was significantly higher and
pyroglutamate lower than in those with limited fDGF (<21 days) (N = 36). In order
to further distinguish prolonged fDGF from limited fDGF, the ratios of all
metabolites were analyzed. In a logistic regression analysis, the sum of branched
chain amino acids (BCAAs) over pyroglutamate and lactate over fumarate, predicted
prolonged fDGF with an AUC of 0.85. In conclusion, kidney transplant recipients
with fDGF can be identified based on their altered urinary metabolome.
Furthermore, two ratios of urinary metabolites, lactate/fumarate and
BCAAs/pyroglutamate, adequately predict prolonged duration of fDGF.
PMID- 29786955
TI - Dual energy X-ray absorptiometry: gold standard for muscle mass?
PMID- 29786956
TI - Rho Kinase and Protein Kinase C Pathways are Responsible for Enhanced Carbachol
Contraction in Permeabilized Detrusor in a Rat Model of Cystitis.
AB - Interstitial cystitis is a syndrome characterized by detrusor overactivity and
chronic inflammation of the bladder. The mechanisms responsible for the altered
smooth muscle contractility remain poorly understood. The aim of the study was to
investigate the role of intracellular signalling pathways in carbachol-induced
detrusor contraction in a rat model of interstitial cystitis. Cyclophosphamide
(150 mg/kg, dissolved in saline) was injected to rats (Sprague-Dawley, female,
200-250 g) intraperitoneally once a day on days 1, 4 and 7 to induce interstitial
cystitis. Control groups were injected with saline (0.9% NaCl). Detrusor smooth
muscle strips were mounted in 1-ml organ baths containing HEPES-buffered modified
Krebs' solution and permeabilized with 40 MUM beta-escin for 30 min. Carbachol
induced contractions were significantly increased from 21.2 +/- 1.6% (saline
treated) to 44 +/- 4.4% in cyclophosphamide-treated group. The Rho kinase
inhibitor Y-27632 (8.8 +/- 2%) and the protein kinase C inhibitor GF-109203X
(11.7 +/- 2.8%) inhibited the increased contractile response (44 +/- 4.4%) in
rats with cystitis. The increased carbachol-induced contraction (44 +/- 4.4%) was
also significantly inhibited by the sarcoplasmic reticulum ryanodine channel
blocker ryanodine (25.8 +/- 3.2%) and the sarcoplasmic reticulum IP3 receptor
blocker heparin (17.2 +/- 2.2%) in cystitis. RhoA protein levels in the bladder
of cyclophosphamide-treated rats were significantly increased while pan-protein
kinase C (alpha, beta and gamma isoforms) protein expression was unaltered
between experimental groups. Carbachol-induced calcium sensitization at constant
and clamped calcium (pCa 6) was also increased in cystitis (from 15.8 +/- 2.2% to
24.7 +/- 2.8%). This increased response (24.7 +/- 2.8%) was significantly
inhibited by both Y-27632 (7.9 +/- 0.7%) and GF-109203X (4.4 +/- 1.5%). We
conclude that interstitial cystitis is characterized by an enhanced carbachol
contractile response as well as by calcium sensitization of the detrusor smooth
muscle. Activation of Rho kinase and protein kinase C pathways may be the
molecular culprits responsible for the augmented muscarinic response observed in
cystitis.
PMID- 29786957
TI - Statistical approaches to adjusting weights for dependent arms in network meta
analysis.
AB - Network meta-analysis compares multiple treatments in terms of their efficacy and
harm by including evidence from randomized controlled trials. Most clinical
trials use parallel design, where patients are randomly allocated to different
treatments and receive only 1 treatment. However, some trials use within person
designs such as split-body, split-mouth, and crossover designs, where each
patient may receive more than one treatment. Data from treatment arms within
these trials are no longer independent, so the correlations between dependent
arms need to be accounted for within the statistical analyses. Ignoring these
correlations may result in incorrect conclusions. The main objective of this
study is to develop statistical approaches to adjusting weights for dependent
arms within special design trials. In this study, we demonstrate the following 3
approaches: the data augmentation approach, the adjusting variance approach, and
the reducing weight approach. These 3 methods could be perfectly applied in
current statistical tools such as R and STATA. An example of periodontal
regeneration was used to demonstrate how these approaches could be undertaken and
implemented within statistical software packages and to compare results from
different approaches. The adjusting variance approach can be implemented within
the network package in STATA, while reducing weight approach requires computer
software programming to set up the within-study variance-covariance matrix.
PMID- 29786958
TI - Variability in student perceptions of mistreatment.
AB - BACKGROUND: As medical schools strive to improve the learning environment, it is
important to understand medical students' perceptions of mistreatment. The
purpose of this study was to explore student interpretations of previously
reported mistreatment incidents to better understand how they conceptualise the
interactions. METHODS: Medical students were presented with case scenarios of
previously reported instances of mistreatment and asked to indicate their
agreement as to whether the scenarios demonstrated mistreatment, using a five
point Likert scale (1, strongly disagree; 5, strongly agree). It is important to
understand medical student's perceptions of mistreatment RESULTS: One hundred and
twenty-seven third-year medical students gave feedback on 21 mistreatment cases.
There was variability in the categorisation of the scenarios as mistreatment. The
highest degree of consensus (96% agreement) was for a scenario in which a
resident claimed a student made statements about a patient's status that the
student did not make. There was also relative consensus on three additional
scenarios: (1) a patient making disparaging remarks about a student's role in
health care in relation to the student's ethnicity (88% agreement); (2) a
resident asking a student to run personal errands (86% agreement); and (3) a
nurse calling a student an expletive in front of others (77% agreement). For the
majority of the cases, there was no consensus amongst students as to whether
mistreatment had occurred. Students self-identifying as minorities and students
who had previously reported mistreatment were more likely to perceive
mistreatment in the scenarios. CONCLUSIONS: There is remarkable variability, and
in many cases a lack of agreement, in medical student perceptions of
mistreatment. This inconsistency needs to be considered in order to effectively
address and mitigate the issue.
PMID- 29786959
TI - Assessment of the Drug Interaction Potential of Ertugliflozin With Sitagliptin,
Metformin, Glimepiride, or Simvastatin in Healthy Subjects.
AB - Ertugliflozin, a sodium-glucose cotransporter 2 inhibitor for the treatment of
adults with type 2 diabetes mellitus, is expected to be coadministered with
sitagliptin, metformin, glimepiride, and/or simvastatin. Four separate open
label, randomized, single-dose, crossover studies were conducted in healthy
adults to assess the potential pharmacokinetic interactions between ertugliflozin
15 mg and sitagliptin 100 mg (n = 12), metformin 1000 mg (n = 18), glimepiride 1
mg (n = 18), or simvastatin 40 mg (n = 18). Noncompartmental pharmacokinetic
parameters derived from plasma concentration-time data were analyzed using mixed
effects models to assess interactions. Coadministration of sitagliptin,
metformin, glimepiride, or simvastatin with ertugliflozin had no effect on area
under the plasma concentration-time profile from time 0 to infinity (AUCinf ) or
maximum observed plasma concentration (Cmax ) of ertugliflozin (per standard
bioequivalence boundaries, 80% to 125%). Similarly, ertugliflozin did not have
any impact on AUCinf or Cmax of sitagliptin, metformin, or glimepiride. AUCinf
for simvastatin (24%) and simvastatin acid (30%) increased slightly after
coadministration with ertugliflozin and was not considered clinically relevant.
All treatments were well tolerated. The lack of clinically meaningful
pharmacokinetic interactions demonstrates that ertugliflozin can be
coadministered safely with sitagliptin, metformin, glimepiride, or simvastatin
without any need for dose adjustment.
PMID- 29786960
TI - Atomic-Resolution Structure of a Class C beta-Lactamase and Its Complex with
Avibactam.
AB - beta-Lactamases (BLs) are important antibiotic-resistance determinants that
significantly compromise the efficacy of valuable beta-lactam antibacterial
drugs. Thus, combinations with BL inhibitor were developed. Avibactam is the
first non-beta-lactam BL inhibitor introduced into clinical practice. Ceftazidime
avibactam represents one of the few last-resort antibiotics available for the
treatment of infections caused by near-pandrug-resistant bacteria. TRU-1 is a
chromosomally encoded AmpC-type BL of Aeromonas enteropelogenes, related to the
FOX-type BLs and constitutes a good model for class C BLs. TRU-1 crystals
provided ultrahigh-resolution diffraction data for the native enzyme and for its
complex with avibactam. A comparison of the native and avibactam-bound structures
revealed new details in the conformations of residues relevant for substrate
and/or inhibitor binding. Furthermore, a comparison of the TRU-1 and Pseudomonas
aeruginosa AmpC avibactam-bound structures revealed two inhibitor conformations
that were likely to correspond to two different states occurring during inhibitor
carbamylation/recyclization.
PMID- 29786962
TI - Spotlights on our sister journals: Angew. Chem. Int. Ed. 21/2018.
PMID- 29786961
TI - Corrigendum: The Structural Fate of Individual Multicomponent Metal-Oxide
Nanoparticles in Polymer Nanoreactors.
PMID- 29786963
TI - Design and Fabrication of Printed Paper-Based Hybrid Micro-Supercapacitor by
using Graphene and Redox-Active Electrolyte.
AB - Inspired by future needs of flexible, simple, and low-cost energy storage
devices, smart graphene-based micro-supercapacitors on conventional Xerox paper
substrates were developed. The use of redox-active species (iodine redox couple)
was explored to further improve the paper device's performance. The device based
on printed graphene paper itself already had a remarkable maximum volumetric
capacitance of 29.6 mF cm-3 (volume of whole device) at 6.5 mA cm-3 . The
performance of the hybrid electrode with redox-active potassium iodide at the
graphene surface was tested. Remarkably, the hybrid device showed improved
volumetric capacitance of 130 mF cm-3 . The maximum energy density for a
graphene+KI device in H2 SO4 electrolyte was estimated to be 0.026 mWh cm-3 .
Thus, this work offers a new simple, and lightweight micro-supercapacitor based
on low-cost printed graphene paper, which will have great applications in
portable electronics.
PMID- 29786964
TI - A Thorough QT/QTc Study With Laquinimod, a Novel Immunomodulator in Development
for Multiple Sclerosis and Huntington Disease.
AB - In this randomized double-blind study, 4 groups of healthy subjects (50 per arm)
participated to evaluate the effect of laquinimod, an oral treatment in
development for multiple sclerosis and Huntington disease, on the QTc interval.
Subjects received a dose of either 0.6 or 1.2 mg/day laquinimod for 14 days,
placebo for 14 days, or 13 days of placebo followed by a dose of 400 mg
moxifloxacin on day 14. Continuous 12-lead electrocardiograms were recorded on
day -1 (baseline) and days 14 to 17, and quadruplicate electrocardiograms were
extracted at predefined time points. The primary measure was time-matched change
from baseline in individual QTc (QTcI), and an analysis of variance was conducted
on the placebo-corrected change from baseline data (ddQTcI). Pharmacokinetic
pharmacodynamic and safety assessments were included. Results showed that the
upper limits of the 2-sided 90%CI for ddQTcI for both laquinimod doses were below
10 millisconds at all time points, whereas lower limits for moxifloxacin were
above 5 milliseconds. No notable changes in ECG parameters were observed.
Pharmacokinetic/pharmacodynamic analysis showed no positive correlation between
laquinimod plasma levels and QTcI. In conclusion, laquinimod was not found to
affect cardiac repolarization or to cause prolongation of QTcI at doses of 0.6
and 1.2 mg/day.
PMID- 29786965
TI - L- and D-threo ethylphenidate concentrations, pharmacokinetics, and
pharmacodynamics in horses.
AB - Ethylphenidate is a psychostimulant and analog of the commonly prescribed
compound, methylphenidate (Ritalin(r)). There are a limited number of studies
describing the disposition and pharmacologic/toxicological effects of
ethylphenidate in any species. The abuse potential in equine athletes along with
the limited data available regarding administration in horses necessitates
further study. The objectives of the current study were to describe drug
concentrations, develop an analytical method that could be used to regulate its
use, and describe the pharmacodynamic effects of ethylphenidate in horses. To
that end, 12 horses were randomized into 3 dose groups (intravenous: 10 mg or 40
mg, oral: 40 mg). Ethylphenidate was administered and blood and urine samples
were collected prior to and for up to 72 hours post drug administration.
Concentrations of D-threo ethylphenidate and the metabolite ritalinic acid were
measured using Liquid Chromatography-tandem Mass Spectrometry. L-threo
ethylphenidate concentrations were estimated from D-threo ethylphenidate
concentrations. Serum concentrations of ethylphenidate were below detectable
levels by 8, 18, and 12 hours following intravenous administration of 10 mg and
40 mg and oral administration of 40 mg, respectively. Ritalinic acid was non
detectable at 72 hours in the group that received a 10-mg intravenous and 40-mg
oral dose of ethylphenidate. Ritalinic acid concentrations were below the LOQ at
72 hours following intravenous administration of 40 mg of ethylphenidate. While
the number of animals per dose group were small, no stimulatory behavior or
significant changes in heart rate were noted. Untoward effects including
gastrointestinal adverse effects were noted in all dose groups.
PMID- 29786966
TI - Controlled Selectivity of CO2 Reduction on Copper by Pulsing the Electrochemical
Potential.
AB - We demonstrate a simple strategy to enhance the CO2 reduction reaction (CO2 RR)
selectivity by applying a pulsed electrochemical potential to a polycrystalline
copper electrode. By controlling the pulse duration, we show that the hydrogen
evolution reaction (HER) is highly suppressed to a fraction of the original value
(<5 % faradaic efficiency) and selectivity for the CO2 RR dramatically improves
(>75 % CH4 and >50 % CO faradaic efficiency). We attribute the improved CO2 RR
selectivity to a dynamically rearranging surface coverage of hydrogen and
intermediate species during the pulsing. Our finding provides new insights into
the interplay of transport and reaction processes as well as timescales of
competing pathways to enable new opportunities to tune CO2 RR selectivity by
adjusting the pulse profile. Additionally, the pulsed potential method we
describe can be easily applied to other catalysts materials to improve their CO2
RR selectivity.
PMID- 29786968
TI - p62-mediated autophagy affects nutrition-dependent insulin receptor substrate 1
dynamics in 3T3-L1 preadipocytes.
AB - AIMS/INTRODUCTION: Previous studies have shown that an organism's nutritional
status changes the protein levels of insulin receptor substrate 1 (IRS-1) in a
tissue-specific manner. Although the mechanisms underlying the regulation of IRS
1 in the nutrient-rich conditions associated with diabetes and insulin resistance
have been well studied, those under nutrient-poor conditions remain unknown. The
aim of the present study was to investigate how IRS-1 protein levels change
depending on the nutritional status of 3T3-L1 preadipocytes. MATERIALS AND
METHODS: 3T3-L1 preadipocytes were treated with glucose-, amino acid- and serum
free medium for starvation. IRS-1 protein levels were detected by western blot.
Autophagy activity was observed by western blot and fluorescence microscopy. The
effect of autophagy and p62, an adaptor for selective autophagy, on IRS-1 protein
levels under starvation conditions was examined by western blot and
immunocytochemistry. RESULTS: We showed that the levels of IRS-1, but not those
of insulin receptor and protein kinase B, decreased when starvation activated
autophagy. The inhibition of autophagy by chloroquine or autophagy-related 7
(Atg7) ribonucleic acid interference counteracted the starvation-induced decrease
of IRS-1. Additionally, Atg7 knockdown increased insulin-stimulated
phosphorylation of protein kinase B under starvation conditions. Furthermore, p62
colocalized with IRS-1 under starvation conditions, and p62 knockdown
counteracted the starvation-induced degradation of IRS-1. CONCLUSIONS: Autophagy
through p62 plays an important role in regulating IRS-1 protein levels in
response to nutritional deficiency. The present findings suggest that autophagy
might function as energy depletion-sensing machinery that finely tunes insulin
signal transduction.
PMID- 29786967
TI - Developmental Chromatin Restriction of Pro-Growth Gene Networks Acts as an
Epigenetic Barrier to Axon Regeneration in Cortical Neurons.
AB - Axon regeneration in the central nervous system is prevented in part by a
developmental decline in the intrinsic regenerative ability of maturing neurons.
This loss of axon growth ability likely reflects widespread changes in gene
expression, but the mechanisms that drive this shift remain unclear. Chromatin
accessibility has emerged as a key regulatory mechanism in other cellular
contexts, raising the possibility that chromatin structure may contribute to the
age-dependent loss of regenerative potential. Here we establish an integrated
bioinformatic pipeline that combines analysis of developmentally dynamic gene
networks with transcription factor regulation and genome-wide maps of chromatin
accessibility. When applied to the developing cortex, this pipeline detected
overall closure of chromatin in sub-networks of genes associated with axon
growth. We next analyzed mature CNS neurons that were supplied with various pro
regenerative transcription factors. Unlike prior results with SOX11 and KLF7,
here we found that neither JUN nor an activated form of STAT3 promoted
substantial corticospinal tract regeneration. Correspondingly, chromatin
accessibility in JUN or STAT3 target genes was substantially lower than in
predicted targets of SOX11 and KLF7. Finally, we used the pipeline to predict
pioneer factors that could potentially relieve chromatin constraints at growth
associated loci. Overall this integrated analysis substantiates the hypothesis
that dynamic chromatin accessibility contributes to the developmental decline in
axon growth ability and influences the efficacy of pro-regenerative interventions
in the adult, while also pointing toward selected pioneer factors as high
priority candidates for future combinatorial experiments. (c) 2018 Wiley
Periodicals, Inc. Develop Neurobiol 00: 000-000, 2018.
PMID- 29786970
TI - Density Functional Theory Calculations Revealing Metal-like Band Structures for
Ultrathin Germanium (111) and (211) Surface Layers.
AB - To find out if germanium possesses facet-dependent electrical-conductivity
properties, surface-state density functional theory (DFT) calculations were
performed on one to six layers of germanium (100), (110), (111), and (211)
planes. Tunable Ge(100) and Ge(110) planes always present the same semiconducting
band structure with a band gap of 0.67 eV expected of bulk germanium. In
contrast, one, two, four, and five layers of Ge(111) and Ge(211) plane models
show metal-like band structures with continuous density of states (DOS)
throughout the entire band. For three and six layers of Ge(111) and Ge(211) plane
models, the normal semiconducting band structure was obtained. The plane layers
with metal-like band structures also show Ge-Ge bond-length deviations and bond
distortions, as well as significantly different 4s and 4p frontier-orbital
electron counts and relative percentages integrated over the valence and
conduction bands from those of the semiconducting state. These differences should
contribute to strikingly dissimilar band structures. The calculation results
suggest the observation of facet-dependent electrical-conductivity properties of
germanium materials; when making transistors from germanium, the facet effects
with shrinking dimensions approaching 3 nm may also need to be considered.
PMID- 29786971
TI - Intergenerational teen pregnancy: a population-based cohort study.
AB - OBJECTIVE: To estimate the intergenerational association in teenage pregnancy,
and whether there is a coupling tendency between a mother and daughter in how
their teen pregnancies end, such as a termination of pregnancy (TOP) versus a
live birth. DESIGN: Population-based cohort study. SETTING: Ontario, Canada.
POPULATION: A total of 15 097 mothers and their 16 177 daughters. METHODS:
Generalised estimating equations generated adjusted odds ratios (aOR) of a
daughter experiencing a teen pregnancy in relation to the number of teen
pregnancies her mother had. Multinomial logistic regression estimated the odds
that a teen pregnancy ended with TOP among both mother and daughter. All models
were adjusted for maternal age and world region of origin, the daughter's socio
demographic characteristics and comorbidities, mother-daughter cohabitation, and
neighbourhood-level teen pregnancy rate. MAIN OUTCOME MEASURES: Teen pregnancy in
the daughter, between ages 15 and 19 years, and also the nature of the daughter's
teen pregnancy, categorised as (1) no teen pregnancy, (2) at least one teen
pregnancy, all exclusively ending with a live birth, and (3) at least one teen
pregnancy, with at least one teen pregnancy ending with a TOP. RESULTS: The
proportion of daughters having a teen pregnancy among those whose mother had
zero, one, two, or at least three teen pregnancies was 16.3, 24.9, 33.5 and
36.3%, respectively. The aOR of a daughter having a teen pregnancy was 1.42 (95%
CI 1.25-1.61) if her mother had one, 1.97 (95% CI 1.71-2.26) if she had two, and
2.17 (95% CI 1.84-2.56) if her mother had three or more teen pregnancies,
relative to none. If a mother had at least one teen pregnancy ending with TOP,
then her daughter had an aOR of 2.12 (95% CI 1.76-2.56) for having a teen
pregnancy also ending with TOP; whereas, if a mother had at least one teen
pregnancy, all ending with a live birth, then her daughter had an aOR of 1.73
(95% CI 1.46-2.05) for that same outcome. CONCLUSION: There is a strong
intergenerational occurrence of teenage pregnancy between a mother and daughter,
including a coupling tendency in how the pregnancy ends. TWEETABLE ABSTRACT:
Strong intergenerational association for teenage pregnancy between mother and
daughter.
PMID- 29786969
TI - Hippocampal lipidome and transcriptome profile alterations triggered by acute
exposure of mice to GSM 1800 MHz mobile phone radiation: An exploratory study.
AB - BACKGROUND: The widespread use of wireless devices during the last decades is
raising concerns about adverse health effects of the radiofrequency
electromagnetic radiation (RF-EMR) emitted from these devices. Recent research is
focusing on unraveling the underlying mechanisms of RF-EMR and potential cellular
targets. The "omics" high-throughput approaches are powerful tools to investigate
the global effects of RF-EMR on cellular physiology. METHODS: In this work,
C57BL/6 adult male mice were whole-body exposed (nExp = 8) for 2 hr to GSM 1800
MHz mobile phone radiation at an average electric field intensity range of 4.3
17.5 V/m or sham-exposed (nSE = 8), and the RF-EMR effects on the hippocampal
lipidome and transcriptome profiles were assessed 6 hr later. RESULTS: The data
analysis of the phospholipid fatty acid residues revealed that the levels of four
fatty acids [16:0, 16:1 (6c + 7c), 18:1 9c, eicosapentaenoic acid omega-3 (EPA,
20:5 omega3)] and the two fatty acid sums of saturated and monounsaturated fatty
acids (SFA and MUFA) were significantly altered (p < 0.05) in the exposed group.
The observed changes indicate a membrane remodeling response of the tissue
phospholipids after nonionizing radiation exposure, reducing SFA and EPA, while
increasing MUFA residues. The microarray data analysis demonstrated that the
expression of 178 genes changed significantly (p < 0.05) between the two groups,
revealing an impact on genes involved in critical biological processes, such as
cell cycle, DNA replication and repair, cell death, cell signaling, nervous
system development and function, immune system response, lipid metabolism, and
carcinogenesis. CONCLUSIONS: This study provides preliminary evidence that mobile
phone radiation induces hippocampal lipidome and transcriptome changes that may
explain the brain proteome changes and memory deficits previously shown by our
group.
PMID- 29786972
TI - Two-Step Synthesis of Cobalt Iron Alloy Nanoparticles Embedded in Nitrogen-Doped
Carbon Nanosheets/Carbon Nanotubes for the Oxygen Evolution Reaction.
AB - There is a vital need to explore highly efficient and stable non-precious-metal
catalysts for the oxygen evolution reaction (OER) to reduce the overpotential and
further improve the energy-conversion efficiency. Herein, we report a unique and
cost-effective lyophilization and thermal treatment two-step procedure to
synthesize a high-performance hybrid consisting of CoFe alloy nanoparticles
embedded in N-doped carbon nanosheets interspersed with carbon nanotubes (CoFe-N
CN/CNTs) hybrid. The lyophilization step during the catalyst preparation leads to
a uniform dispersion of carbon-like precursors and avoids the agglomeration of
metal particles. In addition, the inserted CNTs and doped N in this hybrid
provide a good electrical conductivity, an abundance of chemically active sites,
good mass transport capability, and effective gas adsorption/release channels.
All these lead to a high specific surface area of 240.67 m2 g-1 , favorable
stability, and remarkable OER activities with an overpotential of only 285 mV at
a current density of 10 mA cm-2 and a Tafel slope of 51.09 mV dec-1 in 1.0 m KOH
electrolyte, which is even superior to commercial IrO2 catalysts. The CoFe-N
CN/CNTs hybrid thus exhibits great potential as a highly efficient and earth
abundant anode OER electrocatalyst.
PMID- 29786973
TI - Amniotic fluid lactic acid and matrix metalloproteinase-8 levels at the time of
fetal surgery for a spine defect: association with subsequent preterm prelabour
rupture of membranes.
AB - OBJECTIVE: In utero fetal surgery to correct incomplete closure of the spinal
cord lessens the extent of permanent damage but is associated with preterm
prelabour rupture of membranes (PPROM). We determined whether compounds in
amniotic fluid collected at the time of surgery predicted subsequent development
of PPROM. DESIGN: Prospective study. SETTING: Hospitals in Sao Paulo, Brazil.
POPULATION: Twenty-four consecutive pregnant women at 24-26 weeks of gestation
seen between February and October 2017 with a singleton pregnancy underwent in
utero surgery to correct an open spinal defect in their fetus. METHODS: Amniotic
fluid was tested for lactic acid, matrix metalloproteinase 2 (MMP-2), MMP-8, MMP
9 and interleukin-6 (IL-6) by enzyme-linked immunosorbent assay. Clinical data
were collected after completion of all laboratory studies. MAIN OUTCOME MEASURE:
Amniotic fluid concentration of compounds in women with or without PPROM.
RESULTS: Preterm prelabour rupture of membranes occurred in seven (29.2%) women.
There were no differences in maternal age, gravidity, parity, race, history of
caesarean sections or fetal gender between women with or without PPROM. Length of
surgery, days of wound healing and length of hospital stay were also
indistinguishable. The median concentrations of MMP-8 (1.7 versus 0.6 ng/ml; P =
0.0041) and lactic acid (7.1 versus 5.9 mm; P = 0.0181) were higher in women with
PPROM. The amniotic fluid MMP-8 level was also negatively correlated with
gestational age at delivery (Spearman r = -0.4217, P = 0.0319). CONCLUSION:
Differences in susceptibility to develop PPROM are present before fetal surgery.
An increase in anaerobic glycolysis, evidenced by the intra-amniotic lactic acid
level, may enhance MMP-8 production and weaken maternal and fetal membranes.
TWEETABLE ABSTRACT: Matrix metalloproteinase-8 and lactic acid in amniotic fluid
predict preterm prelabour rupture of membranes.
PMID- 29786974
TI - Embryonic exposure to environmentally relevant concentrations of a brominated
flame retardant reduces the size of song-control nuclei in a songbird.
AB - Environmental contaminants have the potential to act as developmental stressors
and impair development of song and the brain of songbirds, but they have been
largely unstudied in this context. 2,2',4,4',5-Pentabromodiphenyl ether (BDE-99)
is a brominated flame retardant congener that has demonstrated endocrine
disrupting effects, and has pervaded the global environment. We assessed the
effects of in ovo exposure to environmentally relevant levels of BDE-99 on the
neuroanatomy of the song-control system in a model songbird species, the zebra
finch (Taeniopygia guttata). Embryos were exposed via egg injection to a vehicle
control (DMSO), 10, 100, or 1000 ng BDE-99/g egg on the day the egg was laid.
Chicks were raised to sexual maturity to investigate long-term effects of BDE-99
on the adult male brain. Three key song-control nuclei (Area X, HVC, RA) all
showed a dose-dependent trend toward decreasing volume as BDE-99 concentration
increased, and birds exposed to 1000 ng/g in ovo BDE-99 had significantly smaller
song-control nuclei volume compared to control birds. High environmental
concentrations of BDE-99 in avian tissues can be within that range and thus could
affect development of the song-control system in birds, and potentially other
processes. We previously found that BDE-99 exposure during the nestling period
had no effect of on the song-control system, although it did have significant
effects on some behaviural endpoints. Taken together, these results suggest that
exposure to polybrominated diphenyl ether (PBDEs) during critical developmental
windows can significantly alter neurological development. (c) 2018 Wiley
Periodicals, Inc. Develop Neurobiol, 2018.
PMID- 29786975
TI - A comparison of one-stage vs two-stage individual patient data meta-analysis
methods: A simulation study.
AB - BACKGROUND: Individual patient data (IPD) meta-analysis allows for the
exploration of heterogeneity and can identify subgroups that most benefit from an
intervention (or exposure), much more successfully than meta-analysis of
aggregate data. One-stage or two-stage IPD meta-analysis is possible, with the
former using mixed-effects regression models and the latter obtaining study
estimates through simpler regression models before aggregating using standard
meta-analysis methodology. However, a comprehensive comparison of the two
methods, in practice, is lacking. METHODS: We generated 1000 datasets for each of
many simulation scenarios covering different IPD sizes and different between
study variance (heterogeneity) assumptions at various levels (intercept and
exposure). Numerous simulation settings of different assumptions were also used,
while we evaluated performance both on main effects and interaction effects.
Performance was assessed on mean bias, mean error, coverage, and power. RESULTS:
Fully specified one-stage models (random study intercept or fixed study-specific
intercept; random exposure effect; and fixed study-specific effects for
covariate) were the best performers overall, especially when investigating
interactions. For main effects, performance was almost identical across models
unless intercept heterogeneity was present, in which case the fully specified one
stage and the two-stage models performed better. For interaction effects,
differences across models were greater with the two-stage model consistently
outperformed by the two fully specified one-stage models. CONCLUSIONS: A fully
specified one-stage model should be preferred (accounting for potential exposure,
intercept, and, possibly, interaction heterogeneity), especially when
investigating interactions. If non-convergence is encountered with a random study
intercept, the fixed study-specific intercept one-stage model should be used
instead.
PMID- 29786976
TI - Multiple acquired pigmented lesions in a patient affected by melanoma.
PMID- 29786977
TI - Impact of shift work on critical care nurses.
AB - Shift work is a common practice in the health care field to maintain 24-hour
patient care. The purpose of this article is to recognize the negative impact of
shift work on critical care nurses, and identify strategies to mitigate these
effects. A review of the literature was completed, using the search terms: 'shift
work, 'critical care', impact, and health. The literature revealed that shift
work has an adverse effect on the health of a nurse. Some of the health
implications include stress, sleep deprivation, cardiovascular disease,
gastrointestinal symptoms, and mental health illnesses. Furthermore, shift work
impacts a nurse's social life and may result in patient harm. Strategies to
reduce the negative impact of shift work will be focused on educating critical
care nurses and managers. These strategies include frontline staff maintaining a
moderate amount of exercise, sustaining a well-balanced diet, using relaxation
techniques, reducing the use of cigarettes, working an eight-hour work day, and
napping during scheduled breaks. Recommendations for managers include
implementing quiet time at the workplace, providing a safe space for staff to nap
during breaks, facilitating an eight-hour work day, and encouraging a
multidisciplinary team approach when managing workload.
PMID- 29786978
TI - Informal debriefing: Underutilization in critical care settings.
AB - Debriefing is the active reflection, conceptualization and basis for reinforced
or changed behaviour in response to new learning experiences. It has been
acknowledged as a valuable tool towards achieving learning outcomes informal
teaching or training ven- ues. However, there is limited recognition of the
importance of informal debriefing in the clinical setting, especially in critical
care settings. Additional specialized training is received by registered nurses
to be able to care for clinically unstable patients in critical care units.
Informal debriefing can allow critical care nurses to learn from the experience
of exposure to different clinical situations and progress towards becoming
competent, expert clinicians. The purpose of this article is to present a
discussion highlighting informal debriefing and the importance of providing
feedback to novice and experienced nurses in critical care areas. The
underutilization of informal debriefing will be explored together with possible
barriers and challenges to its use. Solutions to overcoming such barriers will be
proposed, as a means of ensuring that valuable reflection and learning
opportunities are not lost. These suggestions will emphasize the importance of
how informal debriefing promotes professional and personal development, and safer
patient care.
PMID- 29786980
TI - Should We Aim For Cured, Doing Better or Still Working On It?
PMID- 29786979
TI - Moral distress and burnout among cardiovascular surgery intensive care unit
healthcare professionals: A prospective cross-sectional survey.
AB - BACKGROUND: The intensive care unit (IGU) is a busy, high stress, complex
environment in which health care professionals routinely provide numerous forms
of advanced life support and life sustaining measures to a wide mix of critically
ill patients. Frontline ICU professionals directly involved in patient care may
be subjected to considerable psychosocial stressors and be susceptible to moral
distress and burnout. PURPOSE: To describe and compare the prevalence and
contributing factors to moral distress and burnout among ICUprofessionals in a
large quaternary cardiovascular surgery ICU (CVICU). METHODS: Web-based survey of
ICU professionals (registered nurses [RN]/nurse practitioners [NP]; registered
respiratory therapists [RRT]; allied health [AH] and physicians [MD]) working in
a 24-bed CVICU at the Mazankowski Alberta Heart Institute, between June 15-29,
2015. The survey captured sociodemographic data and integrated the Moral Distress
Scale-Revised, the Maslach Burnout Inventory', and a validated job satisfaction
questionnaire. FINDINGS: One hundred sixty-nine providers completed the sur- vey
(response rate 88%). The majority of respondents were aged 26-34 years old (45%),
female (79%), married or common law (50%), full-time employed (78%) and had been
working in the CVICU for >5 years (46%). Moral distress scores were highest among
RN/NP (med [IQR] 80 [57-110]) and RRT (85 [61-104]) compared to AH (54 [39-66])
and physicians (66 [43-82], p=0.05). The highest-ranked sources of moral distress
were related to controversies on end-of-life care ("Continue to participate in
the care for a hopelessly ill person who is being sustained on a ventilator, when
no one will make a decision to withdrawal support") and poor communication
("witness healthcare providers giving false hope' to a patient or family"). High,
moderate and low levels of burnout syndrome were found in 64.0%, 22.7% and 13.3%
of respondents with significantly greater levels among non-physician
professionals (p<0.001). Job satisfaction was highest for physicians compared
with other professionals (p<0.001). The item "the recognition you get for good
work" was consistently rated as poor across all groups. Moral distress and
burnout scores were positively correlated (p<0. 001), whereas both were neg-
atively correlated with job satisfaction (p<0.001 for both). This was primarily
driven by RN/NP scores. CONCLUSION: Moral distress and burnout are common in
health- care professionals in a large academic cardiovascular surgery ICU, in
particular among nurses and respiratory therapists. Both moral distress and
burnout have a negative perception on job satisfaction. These findings will
direct strategies to mitigate moral distress and burnout along with enhancing
patient care and improving the workplace environment.
PMID- 29786981
TI - How You Can Address the Health Effects of Childhood Poverty.
PMID- 29786982
TI - 8 Ways to Be A Good Sober Home Neighbor.
PMID- 29786983
TI - How Behavioral Health Can Benefit From Population Health Strategies.
PMID- 29786984
TI - Navigate the Naloxone Economy.
PMID- 29786985
TI - Medication Non-Adherence Sends Healthcare Costs Soaring.
PMID- 29786986
TI - Election 2016: Where the Candidates Stand.
PMID- 29786987
TI - 5 Factors Influencing Telemental Health.
PMID- 29786988
TI - Alleviative effect of some phytochemicals on cyadox-induced oxidative damage in
rabbit erythrocytes.
AB - This study was carried out to evaluate the effects of different concentrations of
cyadox (CYA), cinnamaldehyde (CIN) and resveratrol (RES) on rabbit isolated
erythrocytes in two experiments. Experiment 1 evaluated the effects of different
concentrations (2.5, 5, 10, 20, 40, 60, 80 and 100) MUg/mI of CYA and pM of both
CIN and RES separately on isolated erythrocytes in order to make the
concentration response curve. Results indicated that CYA caused a significant
depletion of reduced glutathione (GSH) content compared to control at 40 MUg/ml
and the depletion increased by increasing the concentration. On the other hand,
both RES and CIN showed a highly significant elevation of GSH content at 40 MUM
being more effective by increasing concentrations. Experiment 2 investigated the
potential benefits of using CIN and RES separately or in combination on CYA
induced alterations in isolated rabbit erythrocytes (the used concentrations were
based on the results of experiment 1). Results revealed that exposure to CYA
caused a significant decrease in superoxide dismutase (SOD) and catalase (CAT)
activities and reduced glutathione (GSH) and total protein (TP) contents, CYA
also elevated extracellular hemoglobin (Hb) and adenosine triphosphate (ATP),
increased the malonaldehyde (MDA) and protein carbonyl (PrC) contents with
increasing caspase3 and caspase8 activities suggesting CYA pro-oxidant effect.
Both CIN and RES were able to inverse these hazardous effects of CYA. However,
CIN was more effective than RES, and their combination showed a positive
synergistic effect in protecting cells against oxidative injury caused by CYA.
PMID- 29786989
TI - Gallus gallus coxsackievirus and adenovirus receptor facilitates the binding of
fowl adenovirus serotype 1 in chickens.
AB - Coxsackievirus and adenovirus receptor (UXADR) is an integral membrane protein
that serves as a receptor for coxsackie B viruses and adenovirus types 2 and 5.
Previous studies demonstrated that Fowl adenovirus (FAV) can also utilize Homo
sapiens CXADR to infect cells. FAV is a double-stranded DNA virus of the family
Adenoviridae. FAV causes inclusion body hepatitis and hydropericardium syndrome
in chickens. In addition, FAV serotypes 1 and 8 have recently been shown to cause
gizzard erosion in chickens. These chicken diseases and growth insufficiency
caused by FAV infection result in great economic loss. Thus, identifying and
characterizing the viral receptor would further enhance our understanding of the
mechanisms underlying virus infection and histocompatibility. Here, in order to
determine the FAV receptor in chickens, we investigated the effect of the
recently identified Gallus gallus CXADR (ggCXADR) on FAV infection.
Overexpression of ggCXADR in CHO cells resulted in increased FAV binding and
expression of early FAV genes. However, the propagation of infectious viruses in
CHO cells expressing ggCXADR was not detected. These findings provide the basis
for further studies aimed at elucidating the infection mechanism of FAV. Further
research is required to characterize the additional host factors involved in FAV
infection and life cycle.
PMID- 29786990
TI - Methylprednisolone sodium succinate reduces spinal cord swelling but does not
affect recovery of dogs with surgically treated thoracolumbar intervertebral disk
herniation.
AB - The effect of methylprednisolone sodium succinate (MPSS) therapy was studied in
50 dogs with surgically treated Hansen type I thoracolumbar intervertebral disk
herniation (TL-IVDH). Administration of MPSS significantly reduced the swelling
of the spinal cord. The sensitivity of localization of disk extrusion using
myelography in the MPSS group was 92.3%, and in the non-administration group was
83.3%. No significant difference in recovery rate or length of recovery time was
found between the two groups. Administration of MPSS reduced spinal cord
swelling, but has no effect on recovery in dogs after surgery for TL-IVDH.
PMID- 29786991
TI - The effects of administering lactic acid bacteria sealed in a capsule on the
intestinal bacterial flora of cattle.
AB - We examined the effects of encapsulated lactic acid bacteria administrated orally
to lactating cattle on the intestinal flora. A dose of 3 X 1011 colony forming
unit (cfu) of freeze-dried Lactobacillus coryniformis subsp. torquens (JCM1099)
encapsulated in an enteric capsule capable of bypassing the rumen was
administered for seven days. DNA was extracted from feces 0 and 24 hr after daily
administration. Metagenomic analysis showed an increasing trend of the alpha
diversity, an index of the species diversity. Furthermore, principal component
analysis of intestinal flora revealed that cattle could be differentiated by
JCM1099 capsule and suspension administration via principal components 1, 2, and
3. We conclude that administration of encapsulated JCM1099 can alter the
intestinal bacterial flora of cattle.
PMID- 29786992
TI - Molecular study of feline hemoplasmas in free- ranging fishing cats (Prionailurus
viverrinus) in Thailand.
AB - Feline hemoplasmas, consisting of Mycoplasma haemofelis (M. haemofelis),
Candidatus Mycoplasma haemominutum (Ca. M. haemominutum), and Candidatus
Mycoplasma turicensis (Ca. M. turicensis), cause feline infectious anemia and
zoonoses. Using multiplex PCR and phylogenetic analysis based on 16S rRNA, 22
blood samples from fishing cats (Prionailurus viverrinus) living in Khao Sam Roi
Yot National Park, Thailand were determined positive for M. haemofelis (13.6%)and
Ca. M. haemominutum (22.7%). M. haemofelis and Ca. M. haemominutum infection can
result severe anemia and asymptomatic, respectively. However, not all positive
cases exhibit anemia symptoms. Future study of hemoplasma infection in wild
felids is necessary for conservation and the preservation of public health in
Thailand.
PMID- 29786993
TI - Genetic diversity of Thoroughbred horse population from Bosnia and Herzegovina
based on 17 microsatellite markers.
AB - The focus of this study was on genetic diversity of TB horse population raised in
B&H. Genomic DNA was genotyped by using 17 microsatellite markers. A total of 103
alleles were detected. The average number of alleles per locus was 6.059 and
effective number of alleles was 3.293. Means of observed and expected
heterozygosity were calculated 0.645 and 0.696, respectively. The average PIC
values was 0.649 and inbreeding coefficient was 0.090. Based on all observed
parameters, ASB2 locus showed the highest genetic diversity while locus HMS2 was
the least diverse. These results suggest that the population of TB horses from
B&H is not affected by substantial loss of genetic diversity, indicating the
presence of reasonably high level of genetic variability.
PMID- 29786994
TI - The role of microRNAs in endometrial cancer and influence on future therapy:
focusing on miRNA-21.
AB - MicroRNAs are small noncoding polynucleotides, which are involved in numerous
biological processes including cell proliferation, differentiation, embryonic
development, as well as regulation of cell death and survival. Recent
investigations have shown impact of microRNAs on cancers prognosis and diagnosis.
Current review focused on the role of microRNA-21 in cancers tumorigenesis.
Endometrial cancer is the most common gynecological malignancy and the fourth
most common in general classification of cancers in Western Europe; thus
discovering new molecules may become a useful diagnostic tool. Furthermore, in
this review, the authors emphasized microRNAs having considerable influence on
endometrial cancer development. Finally, they highlighted the role of microRNAs
as a target for future therapy and circulating microRNAs as a potential biomarker
in malignancies.
PMID- 29786995
TI - The significance of the pluripotency and cancer stem cell-related marker NANOG in
diagnosis and treatment of ovarian carcinoma.
AB - Ovarian cancer is among the most common gynecologic cancers and unfortunately the
most common cause of death from gynecologic malignancies. Due to few early
symptoms and insufficient screening programs, an early diagnosis of ovarian
cancer is very difficult and new biomarkers related to early ovarian
carcinogenesis are needed. In the last years a growing scientific knowledge about
cancer stem cells and their markers opened a new perspective on screening and
early diagnosis of ovarian cancer. The transcription factor NANOG is not only a
pluripotency and cancer stem cell-related marker, but also promotes cancer stem
cell-like characteristics of tumor, tumor growth, dissemination, immune evasion,
and resistance to conventional therapy. The recent data showed that small stem
cells resembling very small embryonic-like stem cells are present in the ovarian
surface epithelium of adult human ovaries. These cells expressed several genes
related to primordial germ cells, germinal lineage, and pluripotency, including
NANOG, therefore their involvement in the manifestation of ovarian cancer are not
excluded. As majority of cancer cells within a tumor are non tumorigenic, the
therapies targeting these cells cause tumor regression, but the survived cancer
stem cells regenerate the tumor, so tumor relapse or reoccur. The eradication of
cancer actually requires the elimination of cancer stem cells, therefore new
strategies in treatment that specifically target cancer stem cells are urgently
needed. Although the therapeutic efficacy of targeting NANOG as a cancer
treatment method is still in experimental phase, the gene therapy with small
interfering RNA or short hairpin RNA have already shown some promising
therapeutic potential. The authors can conclude that NANOG represents a promising
diagnostic marker and agent for target therapy of ovarian cancer.
PMID- 29786997
TI - Is human epididymis protein 4 an effective tool for the differential diagnosis of
benign and malignant endometrial tumours?
AB - PURPOSE OF INVESTIGATION: This study was designed to evaluate the use of human
epididymis protein 4 (HE4) as a biomarker in the differential diagnosis of
malignant and benign endometrial tumours. MATERIALS AND METHODS: The study,
conducted between July 2009 and June 2014, included a total of 150 patients with
endometrioid adenocarcinoma and a control group of 150 patients with benign
endometrial lesions. The serum of all patients was analyzed with respect to HE4
and CA125 levels. The median and ranges of serum levels were determined in
relation to histological results. The statistical analysis procedure employed in
this study utilized logarithmic-transformed values of biomarkers and logistic
regression. RESULTS: An analysis of two groups of patients with different
histologies yielded a statistically significant difference (p-value < 0.05) only
in the case of HE4, in which case a cut-off value of 48.5 pmol/l resulted in an
achieved sensitivity of 87.8%, a specificity of 56.6%, and a negative predictive
value of 81.1%. CONCLUSION: In combination with clinical and ultrasound findings,
HE4 could help with the differentiation of prognostically varied patient groups
as well as with the decision-making process associated with the development of
individual treatment plans. However, the optimal cut-off for HE4 has not been
established yet and further studies are needed.
PMID- 29786996
TI - Trocar metastases in laparoscopic approach for gynecologic malignancies. A short
review of the literature.
AB - INTRODUCTION: Compared to laparotomy, laparoscopy has many benefits for patients,
such as shorter recovery and lower morbidity rates. Port site metastases after
laparoscopic approach in the treatment of gynecologic malignancies are uncommon.
The purpose of this review is to identify and summarize possible risk factors for
port-site metastases in patients undergoing laparoscopic surgery in the ambit of
gynecologic oncology. DISCUSSION: The precise incidence of port-site metastases
is not well known because many patients are not followed-up during the whole
postoperative period. Possible risk factors that can increase the risk of port
site metastases can be the presence of large masses in the abdomen, especially in
the presence of concomitant ascites and in patients treated for ovarian
carcinomas. Different theories have been postulated in order to explain the
development of port site metastases during laparoscopy for oncological patients.
CONCLUSIONS: Patient selection is an essential factor that can influence the
incidence of port site metastases in gynecological patients. Robust data
regarding port site metastases in gynecologic oncology are needed.
PMID- 29786998
TI - Alloplastic breast reconstruction after mastectomy.
AB - The aim of reconstruction with expanders is to restore breast shape and volume as
close as possible to the contralateral breast and to reconstruct the inframammary
fold with adequate ptosis.
PMID- 29786999
TI - The effects of bortezomib alone or in combination with 5-fluorouracil on
proliferation and apoptosis of choriocarcinoma cells.
AB - PURPOSE: To investigate the effects of bortezomib alone and in combination with 5
fluorouracil (5-FU) on proliferation and apoptosis in the human choriocarcinoma
cell line JEG-3. MATERIALS AND METHODS: Cells were treated with bortezomib, 5-FU
or with a combination. Proliferation and apoptosis were measured. NF-iB protein
expression was examined using immunofluorescence. RESULTS: Following treatment
with ten nM bortezomib, rates of apoptosis were significantly higher than
controls (p < 0.05) and NF-kB expression increased. 5-FU at 0.025 MUg/ml or 0.25
MUg/ml resulted in 60.1 +/- 0.4% and 67.0 +/- 0.2% growth inhibition,
respectively, an increase compared to individual treatment (p < 0.05). Apoptosis
in cells treated with bortezomib +5-FU was significantly higher than either
treatment alone (p < 0.05). Inhibition of proliferation by the combination
treatment was synergistic. CONCLUSION: Bortezomib alone or in combination with 5
FU inhibited JEG-3 cell proliferation and induced apoptosis by increasing NF-kB
expression. Combination treatment exerted synergistic effects on growth
inhibition.
PMID- 29787000
TI - Negative pressure wound treatment (NPWT) in vulva and groin wounds in
gynaecologic oncology.
AB - : Secondary healing of complicated vulvar and groin wounds is a major challenge
due to its moist condition and at risk of contamination by colonic flora. Vacuum
assisted closure is the controlled application of sub-atmospheric pressure to the
local wound environment using a sealed dressing connected to a vacuum pump.
MATERIALS AND METHODS: The NPWT consists of an open-pore polyurethane ether foam
sponge, an adhesive cover, fluid collection system, and suction pump that
generates negative pressure. Direct application of sponge to blood vessels, bone,
nerves or intact skin is avoided. The dressing and tubing are changed every 48-72
hours. RESULTS: Eight patients had NPWT following the vulva and/or groin surgery.
Pain during removal of the sponge was the main adverse event requiring narcotic
analgesia. All wounds healed completely. One patient is dead of disease
progression. Others are alive without disease at four to 48 months. CONCLUSION:
Wound breakdown in vulvar and groin surgery is an infrequent occurrence because
of the rarity of full radical excision for vulva cancer and infralevator pelvic
exenterative surgery. The present experience with NPWT was favourable.
PMID- 29787001
TI - Secondary cytoreductive surgery, hyperthermic intraperitoneal intraoperative
chemotherapy, and chemotherapy alone: a retrospective comparison of alternative
approaches in relapsed platinum sensitive ovarian cancer.
AB - INTRODUCTION: The best treatment for relapsed platinum sensitive epithelial
ovarian cancer (EOC) is controversial. The aim of the study was to compare
progression-free survival (PFS) and overall survival (OS) in platinum-sensitive
EOC patients treated with chemotherapy alone (CTA), secondary cytoreductive
surgery (SCR) or SCR plus hyperthermic intraperitoneal intraoperative
chemotherapy (HIPEC). MATERIALS AND METHODS: Retrospective analysis of the
clinical outcome of 46 EOC patients with at least 30 months of follow-up.
RESULTS: Median follow-up time was 32 months for the CTA group, 30 months for the
SCR group, and 45 months for the SCR + HIPEC group. Fifteen recurrences were
observed in the CTA group, seven in the SCR group, and 16 in the SCR + HIPEC
group. The median time elapsed between first and second recurrence (PFI-2) was
significantly higher among patients treated with SCR + HIPEC, in comparison with
patients treated with CTA (p = 0.012 andp = 0.017, respectively). On the
contrary, PFI-2 did not significantly differ between the SCR and SCR + HIPEC
groups (p = 0.877). A statistically significant difference in OS favouring SCR +
HIPEC in comparison with CTA (p = 0.04) was observed. CONCLUSIONS: SCR HIPEC
compared with CTA improves PFI-2 in patients with platinum-sensitive EOC
recurrence. SCR + HIPEC might also improve OS in comparison with CTA. No
improvement in favor of SCR + HIPEC vs SCR was observed,. These results further
support the need of a randomized trial comparing chemotherapy with SCR +/- HIPEC
in this setting.
PMID- 29787002
TI - HPV 16 and 18 viral loads are greater in patients with high-grade cervical
epithelial lesions.
AB - BACKGROUND: Cervical cancer is the second most common cancer in women worldwide.
High-risk infection with HPV type 16 or type 18 is the most important risk factor
associated with the development of cervical cancer. AIMS: To determine the viral
load of HPV-16 and HPV-1 8 in samples from women with cervical epithelial lesion
in the State of Colima, Mexico. MATERIALS AND METHODS: A cross-sectional analytic
study was conducted that included 45 samples positive for HPV- 16 and 45 samples
positive for HPV-1 8 from patients with cervical cancer or precursor lesion. Real
time PCR was employed to determine the number of copies /101 cells. Viral load
was determined in the two groups of patients and correlated with tumor grade.
RESULTS: THe authors found that the HPV-1 6 viral load was greater than that of
HPV-18 through a Mann-Whitney U analysis, resulting in ap = 0.000; as the
malignancy of the cervical lesion progressed, the viral load increased, and HPV
16 showed a moderate positive association with an r = 0.509 and a p = 0.000,
whereas HPV-18 showed a weak positive correlation with an r = 0.372 and a p = 0.0
12. CONCLUSIONS: The viral load of HPV-16 was greater than that of HPV-18. The
HPV-16 viral load had a moderate positive association in relation to cervical
lesion severity, whereas the viral load of HPV- 18 had a weak positive
correlation with respect to the cervical lesion grade.
PMID- 29787003
TI - HPV16 E6 mutations and p53 codon72 polymorphism among women with cervical
intraepithelial neoplasia 2 and 3 in China.
AB - OBJECTIVE: To study the distribution of HPV16 E6 gene mutations and p53 codon72
polymorphism among women with HPV16+ cervical precancerous lesions and explore
their relationship with the risk of cervical intraepithelial neoplasia (CIN) 2,
3. Materials and Meth- ods: This study analyzed a total of 112 cases of
exfoliated HPV16+ cervical cell specimens which were divided into group I (normal
and CIN1, 55 cases) and group2 (CIN2, 3, 57 cases). Among the 112 specimens, 85
cases were successfully amplified for HPV E6 gene by PCR and the PCR products
were sequenced directly. P53 codon72 region was also amplified from the 112
specimens and the PCR products were sequenced directly and compared with the
standard sequence. RESULTS: Among the 85 amplified HPV sequences, point mutations
such as T178G, T350G, G132A, A442C, T310G, G94T, C551A, etc. were found, among
which, T178G showed the highest rate (51.76%). The rate of HPV16 E6 mutation
T178G in CIN2, 3 group was significantly higher than that in normal and CINI
group, i.e., in the 112 amplified p53 codon72 sequences, the distribution of
Pro/Pro genotype in normal, and CIN1 group was significantly different from that
in CIN2, 3 groups, and the disease risk of Pro/Pro genotype was much higher than
that of Arg/Arg and Arg/Pro genotypes. CONCLUSION: HPV16 E6 T178G mutation
increases the disease risk of CIN2, 3. Meanwhile, compared with Arg/Arg and
Arg/Pro genotypes, p53 codon72 Pro/Pro genotype more associated with the disease
risk of CIN2, 3.
PMID- 29787004
TI - Effects of salvianolic acid B on growth inhibition and apoptosis induction of
ovarian cancer SKOV3.
AB - OBJECTIVE: To explore the influence of salvianolic acid B (Sal B) on cell
proliferation and apoptosis of ovarian cancer cell line SKOV3, livin, and caspase
3 gene expression. MATERIALS AND METHODS: Ovarian cancer cell line SKOV3 was
cultured in vitro and the cell proliferation was detected by MTT method. Cell
apoptosis and cell cycle were measured by Annexin V/PI flow cytometry. Karyon
morphology was observed by Hoechst33258 fluorescence staining. Livin and caspase
3 expressions were assayed using western blot. RESULTS: Sal B with different
concentrations had an inhibition effect on growth of SKOV3 in vitro and the
inhibitory effect was strengthened with the increase of Sal B concentration,
which showed an obvious dose-effect relationship and the half inhibitory
concentration (IC50) was 45.6 MUmol/L. The proportion of GO/GI phase increased
and that of S phase decreased after treatment with Sal B. In addition, the
apoptosis rate increased significantly with the increasing of Sal B
concentration. Results of Hoechst33258 fluorescence staining showed that cell
apoptosis appeared after effect of Sal B with the characteristics of cell
shrinkage, appearing vacuoles in cells, condensed chromatin and fracture, etc.
Sal B had some inhibitory effects on livin expression in SKOV3 cell but promoted
the expression of caspase-3. The corresponding effects were enhanced with the
increase of drug dosage. CONCLUSIONS: Sal B has obvious effects on inhibiting
growth and promoting apoptosis of ovarian cancer SKOV3 cell, which may be
realized by downregulating livin expression, upregulating caspase-3 expression,
and blocking the cell cycle.
PMID- 29787005
TI - Concomitant chemoradiation treatment in selected Stage I endometrioid endometrial
cancers.
AB - PURPOSE OF INVESTIGATION: To evaluate chemotherapy with concomitant radiotherapy
(RT) in "high risk" endometrial cancer (EC) patients. Furthermore to develop a
new algorithm for management and treatment. MATERIALS AND METHODS: The study
included 182 Stage I endometrioid EC patients who underwent definitive surgery
after a first treatment. Stage, grade, ploidy DNA index, lymphovascular space
involvement (LVSI), tumor diameter (TD), and p53 were considered to identify
"high-risk" patients. Twenty-seven women received adjuvant concomitant
chemoradiation (CR). Toxicity related to the CR treatment, disease free interval
(DFI), and status of the patients were considered. RESULTS: Twenty-seven patients
according to the present algorithm treatment were considered at "high risk".
Median follow up was 43 months (range 16-68). Twenty-five (92%) patients
completed CR treatment. Overall, grade 3/4 hematological toxicity was 18% while
gastrointestinal toxicity was 15%. Four patients relapsed with a five-year rate
of 14% of recurrences. CONCLUSIONS: Adjuvant concomitant CR is well tolerated and
is a feasible regimen in "high risk" patients. The authors' new algorithm
treatment could be used for management and further clinical studies.
PMID- 29787006
TI - Risk factors of node metastasis in cervical carcinoma.
AB - : Purpose ofinvestigation: To investigate the metastatic risk factors of pelvic
lymph nodes in patients with cervical carcinoma in Stage Ia2 and IIa2. MATERIALS
AND METHODS: The clinic pathologic parameters in 337 patients with Stage Ia2-IIa2
cervical carcinoma were retrospectively analyzed. The risk factors for pelvic
lymph node metastasis were evaluated by the way of univariate X2 statistic
analysis and binary logistic regression analysis. RESULTS: The lymph nodes
metastasis rate was 11.87% (40/337). Single variable analysis showed that age,
clinical stage, the size of tumor >= four cm, depth of stromal invasion 2/3,
lymph-vascular space involvement (LVSI), and parametrial extension were related
to the metastasis of lymph nodes. Multivariate analysis showed that the size of
tumor, depth of stromal invasion, LVSI, and parametrial extension were
independent risk factors. CONCLUSION: Patients with tumor size >= four cm,
stromal invasion >= 2/3, LVSI, and parametrial extension were at high risk of
lymph node metastasis.
PMID- 29787007
TI - Distant metastases from invasive lobular breast carcinoma classic type -
treatment and prognosis.
AB - INTRODUCTION: Invasive lobular carcinoma (ILC) comprises 4-15% of all malignant
neoplasms of the breast. The "classical variant of ILC" (C-ILC) constitutes some
60-80% of this cancer. The main cause of treatment failures is dissemination
observed in 8-38% patients The disant metastases (DM) are frequently localized
in: bones, gastrointestinal tract, uterus, leptomeninges, and ovaries. The aim of
this study was to present the methods and results of the treatment of patients
with DM from the classical variant of ILC (C-ILC) at a single institution in
Poland. MATERIALS AND METHODS: Between January 1983 and December 2004, 210 women
with C-ILC of the breast were primarily treated surgically (mastectomy in 182
(86.7%) patients and breast conserving therapy in 28 (13.3%) patients). Then
adjuvant therapy (radiotherapy, chemotherapy, and hormonotherapy) was applied
according to presence of clinical indications. RESULTS: The present study focused
on a group of 41 patients with a median age of 59 that died with DM from C-ILC
during the ten-year follow-up. This failure developed on average 65 months (3
186) after surgery of ILC. The most frequently DM developed: bones (39.1%), GI
(small bowell, stomach, colon, rectum) - 31.8%, and reproductive organs (ovary,
uterus) - 19.1%. In therapy of DM, different configuration surgery, radiotherapy,
and chemo-hormonotherapy were used. The median survival after the diagnosis of DM
was connected with localization of distant metastases. CONCLUSION: Patients with
classic variant of infiltrate lobular cancer of breast should be regularly follow
up, which could permit early diagnosis of distant metastases and improve
treatment results.
PMID- 29787008
TI - Laparoendoscopic single-site surgery for the assessment of peritoneal
carcinomatosis resectability in patients with advanced ovarian cancer.
AB - PURPOSE OF INVESTIGATION: To evaluate the feasibility, safety, and effectiveness
of laparoendoscopic single site surgery (LESS) for the assessment of peritoneal
carcinomatosis resectability in patients with advanced stage ovarian cancer
(AOC). MATERIALS AND METHODS: The authors retrospectively reviewed the medical
records of patients affected by advanced stage ovarian cancer who underwent LESS
for operative work-up. A standard cytoreductive laparotomy surgery (CRS) was
performed. RESULTS: Fifty-two women affected by AOC underwent LESS for operative
work-up. The peritoneal cancer score was completed in 49 (94%) patients by use of
LESS; 34/37 (92%) patients considered with a resectable disease were effectively
optimally debulked and 15/52 (28%) patients considered with an unresectable
disease received before neoadjuvant chemotherapy (NACT) and then underwent
surgery. CONCLUSION: LESS is feasible, safe, and is an alternative minimally
invasive procedure to assess the resectability of AOC patients.
PMID- 29787009
TI - Effect of exemestane on the invasive growth of endometrial carcinoma HHUA cells.
AB - OBJECTIVE: To investigate the effect of exemestane on HHUA human endometrial
carcinoma cells. MATERIALS AND METHODS: The HHUA human endometrial carcinoma
cells were treated with various concentrations of exemestane, and its effects on
cell growth and apoptosis were investigated in vitro. The cell apoptosis was
analyzed by flow cytometry and RT-PCR was used to investigate the expression of
CD44s. The invasion ability of HHUA human endometrial carcinoma cells which
treated with exemestane were assessed using transwell chamber model. RESULTS: At
increasing doses of exemestane, a simultaneous increase in apoptotic
subpopulations was detected when compared with group A (p < 0.05); the CD44s
expression was found to be suppressed after the exemestane treatment. The
decrease was a dose-dependent with exemestane treatment. CONCLUSION: 6x108 mol/L
exemestane is an optimal dose to inhibit the expression of CD44s mRNA and inhibit
the invasive growth of the endometrial carcinoma HHUA cells.
PMID- 29787010
TI - Histopathological correlation of splenic disease with radiological and surgical
findings: should we incorporate splenectomy into standard procedures for
disseminated Millerian adenocarcinoma?
AB - PURPOSE OF INVESTIGATION: To determine the positive predictive value (PPV) of
both preoperative radiological and intraoperative identification of splenic
disease in cases of advanced and recurrent gynaecological malignancy. MATERIALS
AND METHODS: A retrospective study of all splenectomies performed during
surgeries for disseminated gynaecological malignancy at the Pan Birmingham
Gynaecological Cancer Centre between May 21st, 2008 and January 31st, 2015.
RESULTS: Forty-one women were identified, most of whom had Stage 3C, high grade,
serous Milllerian adenocarcinomas. Thirty-seven (90.2%) spleens were removed
because of intraoperative suspicion of disease and the remaining four (9.8%) were
removed following inadvertent injury. No spleens were detected radiologically
that did not have obvious macroscopic disease. The PPV for the preoperative and
intraoperative detection of splenic disease were 88.9% and 91.9%, respectively.
Half of the spleens removed following inadvertent injury had disease identified
following histopathological examination. CONCLUSION: Intraoperative
identification of splenic disease correlates well with histopathological
examination. However, in 50% of splenectomies performed following inadvertent
trauma and where disease was not suspected, metastases were identified.
PMID- 29787011
TI - P16INK4a as a progression/regression tumour marker in LSIL cervix lesions: our
clinical experience.
AB - PURPOSE OF INVESTIGATION: The aim of this prospective study was the evaluation of
low-grade intraepithelial lesion (LSIL) lesions evolvement in woman with evidence
of high risk HPV infection and p 16 4a negative expression. MATERIALS AND
METHODS: 150 women with cytological diagnosis of LSIL were selected to be
underwent to three years of follow-up consisting in smear test, colposcopy, and
protein p16I4a investigation every six months and HPV-test every 12 months.
RESULT: Final follow-up showed 45 cases of spontaneous lesion regression and 42
cases of persistence with absence of protein p164NK4a in all of them. There were
three cases of disease progression to CIN2, two at 18-month follow-up and one at
last follow-up. Disease progression was characterized of p16NK4a expression.
CONCLUSION: p16l4a should help to identify which LSIL cases are inclined to the
progression of the disease and focalize which patients are eligible for specific
treatment.
PMID- 29787012
TI - CLC-3 Cl- channel-mediated invasion and migration of human ovarian cancer cells.
AB - OBJECTIVES: To investigate the potential role of CLC-3, a member of the voltage
gated chloride channel (CLC) superfamily, in invasion and migration of ovarian
cancer cell line SKOV3. MATERIALS AND METHODS: CLC-3 antisense oligonucleotides
were transfected into ovarian cancer cell line SKOV3, and its effects on cell
invasion and migration were analyzed by using Transwell chamber assay and wound
healing assay in vitro. The efficiency of CLC-3 antisense was determined with RT
PCR and Western blotting. The protein concentrations of matrix metalloproteinase
(MMP)-2, MMP-9, and vascular endothelial growth factor (VEGF) were determined
using ELISA kits. Cell volume measurements were performed. RESULTS: Studies in
vitro revealed that the CLC-3 antisense inhibited invasion and migration of
ovarian cancer cell line SKOV3. CLC-3 antisense treatment decreased protein
levels of MMP-2, MMP-9, and VEGF in culture medium of SKOV3 cells. In addition,
the authors found that the capability for regulatory volume decrease (RVD) was
much attenuated in SKOV3 cells transfected with CLC-3 antisense. CONCLUSIONS:
These results strongly suggest that CLC-3 may get involved in proliferation,
invasion, and migration of ovarian cancer cells and thus may be a useful
therapeutic target.
PMID- 29787013
TI - The trend of chemotherapy-induced peripheral neurotoxicity in ovarian cancer
survivors and its impacts on daily life during and one year after treatment.
AB - PURPOSE: To explore the trend of progression and regression of peripheral
neuropathy (PN) induced by combination of carboplatin and paclitaxel, and the
impacts on daily activities. MATERIALS AND METHODS: PN was evaluated by nurse
based interview and patient-reported measures in their diary. The severity of PN
scaled by National Cancer Institute Common Toxicity Criteria (NCI-CTC) before
each cycle of chemotherapy and at three, six, and 12 months after drug withdrawal
and coded as Grade I - V. RESULTS: The authors enrolled 106 eligible patients
with ovarian cancer who underwent six cycles of combined chemotherapy of
carboplatin plus paclitaxel. No patients showed Grade IV and V of PN and it was
gradually aggravated following the dose accumulation. About 29.3% of the patients
presented no PN, 64.2% Grade I, and 6.6% Grade II after the third course of
chemotherapy, but increased to 36.8% of Grade I, 25.5% of Grade II, and 34.9% of
Grade III after the sixth course of chemotherapy. At one-year follow-up, the rate
of PN still existed with the rate of 88.5%, 57.3%, and 38.7% at three, six, and
12 months after drug withdrawal. Thirty-one patients encountered accidents, such
as sharp injury (14.2%), fall (9.4%), burn (3.8%), and cold injury (1.9%).
CONCLUSIONS: A significant proportion of patients with epithelial ovarian cancer
treated with carboplatin plus paclitaxel suffer long term neuropathy and it
affects patient's daily activities. Specialized care is necessary to provide not
only during treatment, but also months to years after drugs withdrawal.
PMID- 29787014
TI - Polymorphism of the CYP2D6 gene in women with breast cancer treated with
tamoxifen.
AB - OBJECTIVE: To evaluate polymorphism frequency of the CYP2D6*4, *10, and * 17
alleles in women with breast cancer treated with tamoxifen. MATERIALS AND
METHODS: Ninety-five women with estrogen and progesterone receptor-positive
breast carcinoma were investigated from September to December 2013. A three-ml
sample of peripheral blood was collected from each patient to analyze the
presence of CYP2D6 *4, *10, and *17 allele polymorphism by specific polymerase
chain reaction technique (PCR) for analysis of haplotypes *1, *4, *10, and *17,
determined by studies of different single-nucleotide polymorphism (SNP). The data
obtained were compiled and analyzed with the aid of Excel software 2010. RESULTS:
The frequency of CYP2D6 alleles *4, *10, and *17 was 16%, 29%, and 2%,
respectively, and haplotype * 1/*10 was shown in 22% of the women. The phenotype
of intermediate metabolism occurred in 8% of women. CONCLUSIONS: The present
study showed a deficiency in tamoxifen metabolism, characterized by intermediate
metabolism in 8% of Brazilian women.
PMID- 29787015
TI - Clinical efficacy analysis of preoperative neoadjuvant chemotherapy with high
dose dense paclitaxel plus cisplatin in Stages IB2, IIA2, IIB cervical cancer in
Iran.
AB - PURPOSE OF INVESTIGATION: In Iran, the authors use neoadjuvant chemotherapy
(NACT) prior to surgery in cervical cancer due to limited access to the
radiotherapy and very prolonged waiting time in accession to radiotherapy. The
study was done to analyze the efficacy of the NACT with high dose-dense
paclitaxel and cisplatin before radical surgery on cure rate, survival rate, and
the progression of free survival rate of bulky tumor of cervical cancer in Stages
1B2, IId A2, and IIB. MATERIALS AND METHODS: Fifty-two patients with cervical
cancer in Stages Ib2, IIA2, and IIB were selected, and responding patients to
chemotherapy were scheduled to undergo radical hysterectomy and bilateral pelvic
lymphadenectomy with or without para-aortic lymphadenectomy. RESULTS: Fifty out
of 52 patients with a median age of 50 years were evaluable for clinical
response. Thirty-two patients (64%) responded to the NACT including six (12%)
with a complete clinical response. There was no statistical relationship between
clinical response, tumor stage and size, and parametrical involvement, however,
patients with higher grade of tumor, adenocarcinoma or tumor in upper 2/3 of
vagina showed a higher probability of no response to chemotherapy. Downstaging
after NACT in all stages was statistically significant regarding pathologic
findings and clinical response (p = 0.002). Five-year survival was 88% and
factors affecting survival and disease-free survival were pathological response
and tumor site based on cox-regression analysis. Overall recurrence rate was 20%
and tumor size was the only significant relevant factor for recurrence (p =
0.017). CONCLUSION: Combined regimen of chemotherapy in locally advanced cervical
cancer proved to be valuable and efficacious without any late complications.
PMID- 29787016
TI - A case of ovarian small cell carcinoma of the pulmonary type that was observed as
it developed.
AB - INTRODUCTION: In the case reported here, the authors observed ovarian small cell
carcinoma of the pulmonary type as it developed. CASE: The patient was a 48-year
old woman who underwent a hysterectomy for CIN3 in 2007. A year later, the woman
underwent screening for ovarian cancer. A gradually growing ovarian mass was
noted. This mass was found to be a mixed tumor. This mixed tumor grew to 36 mm in
size, and six months later it had enlarged to 119 mm. After surgery, the tumor
was pathologically diagnosed as an ovarian small cell carcinoma of the pulmonary
type with a neuroendocrine nature that was positive for CD56 and synaptophysin.
Postoperatively, the patient received six courses of combined therapy with
irinotecan and cisplatin (CPT-P therapy), and the patient has survived disease-
free for over two years. CONCLUSION: Findings suggested that ovarian small cell
carcinoma of the pulmonary type is a type I ovarian malignancy that develops
through an adenoma-carcinoma sequence.
PMID- 29787017
TI - Importance of differential diagnosis post-treatment of adenocarcinoma of the
uterine cervix: a case report of sarcoidosis.
AB - OBJECTIVE: To report a case of sarcoidosis post-treatment of adenocarcinoma of
the uterine cervix. CASE: A 38-year-old, GlPlAO was admitted to the present
hospital for palliative treatment of Stage IB 1 adenocarcinoma of the uterine
cervix with hepatic recurrence post- hysterectomy. The patient initially received
six cycles of cisplatin and taxol leading to complete remission. Six months after
the palliative treatment, MRI revealed slight changes in the pelvis, suggestive
of the involvement of the left iliac lymph node. Anatomo-pathological results
after lymphadenectomy showed tumor recurrence. Following additional pelvic
radiotherapy, the patient remained asymptomatic. However, oncologic control
performed three months later exposed mediastinal lymphadenopathy and new biopsy
revealed sarcoidosis. The patient remained asymptomatic in the subsequent follow
ups without evidences of tumor recurrence. This study shows the importance of
differential diagnosis during the oncologic monitoring of cervical cancer
patients to avoid unnecessary treatments at the expense of better therapeutic
options.
PMID- 29787018
TI - Primary vaginal endometrial stromal sarcoma associated with endometriosis: a case
report with a review of the literature.
AB - Extrauterine endometrial stromal sarcomas (ESSs) are quite rare tumors, and
vagina is an unusual site for these tumors. This paper presents a very rare
pathological entity of primary vaginal ESS. A 46-year-old woman with a complaint
of postcoital vaginal bleeding, low abdominal pain, and constipation was admitted
to the clinic. She had a mass of seven cm in size, located in the posterior
fornix detected on physical examination. The preoperative biopsy showed ESS,
surgical material, and evaluation of an endometrium confirmed the diagnosis of
primary vaginal ESS. She underwent total abdominal hysterectomy, bilateral
salpingo-oophorectomy, and partial vaginectomy. The diagnosis of ESS performed by
pathologic and immunohistochemical evaluation was: caldesmon (-), actin (-),
desmin (-). CDIO (+), ER (+), PR (+), and vimentin (+). There was no ESS lesion
in the endometrium. The patient was free of tumor for 22 months after the surgery
without any additional therapy. In this study, the authors report the sixth case
of primary vaginal ESS in the literature and aim to discuss diagnostic criteria
and management protocols in the light of the literature.
PMID- 29787019
TI - Apocrine carcinoma of the vulva. Case report and review of literature.
AB - OBJECTIVES: To report a case of apocrine adenocarcinoma of the vulva and
introduce the sentinel node dissection as a method to diagnose it. MATERIALS AND
METHODS: Description of a case of a 77-year-old woman with histological
diagnostic of apocrine adenocarcinoma of the vulva and literature review of the
16 cases published from 1954 to nowadays. RESULTS: Treatment of vulvar apocrine
carcinoma is controversial given its low incidence and the small number of cases
which have been reported. CONCLUSION: Selective sentinel lymph node biopsy may
provide a valid option in selected patients to decrease their clinical
complications.
PMID- 29787020
TI - Uterine tumors resembling ovarian sex cord tumors: a case report with adenomyosis
and complex hyperplasia with atypia.
AB - Uterine tumors resembling ovarian sex cord tumors (UTROSCTs) are very rare
uterine neoplasms. They mostly behave in a benign fashion but cases were reported
with extra-uterine spread. There are less than 50 cases reported in the
literature so far according to the present authors' knowledge. We report a case
of 45-year-old woman with UTROSCT concurrent with adenomyosis and complex
hyperplasia with atypia of endometrium and her three-year follow up.
PMID- 29787021
TI - Growing teratoma syndrome: an unusual evolution of treated ovarian immature
teratoma - a case report.
AB - Growing teratoma syndrome (GTS) is a rare condition among germ cell tumor (GCT)
patients during treatment with systemic chemotherapy. It is characterized by the
development of enlarging masses, the normalization of tumor markers, and the
presence of only mature teratoma in the pathological specimen. The authors
present the unusual case of a 15-year-old girl with an immature teratoma treated
with conventional surgery and systemic chemotherapy. On her follow up, although
tumor markers returned to normal, there was an enlargement of abdomino-pelvic
masses confirmed by a PET/TC study. With the diagnosis of a GTS, the patient
underwent a com- plete cytoreduction. Histologically, all the specimens contained
mature teratoma tissue. The patient remains clear with no signs of recurrence
with no further treatment. The knowledge and awareness of this syndrome are
highlighted in order to prevent further unnecessary chemotherapy and allow an
optimal cytoreduction, which seems to be the most effective therapy so far.
PMID- 29787022
TI - An unusual ovarian neoplasm diagnosed in a patient with rupture of unicornuate
uterus during pregnancy: a case report.
AB - Unicornuate uterus is a rare disease characterized with reduced fertility, and
ovarian tumor diagnosed during pregnancy is uncommon as well. These two diseases
have been reported separately. However, patient suffering from both diseases has
never been reported before. The authors herein report a case of a 32-year-old
Chinese woman presenting with a unicornuate uterus with no horn, who suffered
from acute abdominal pain and intra-abdominal hemorrhage at 26 weeks gestation.
Incidentally, a borderline ovarian tumor (BOT) and rupture of uterus were found
during an urgent exploratory laparotomy. During the follow-up, ovarian tumor
recurred in the first year after the operation. The authors suggest that BOT with
micropapillary patterns should be paid much more attention to, other than only
assessing the histological type. Furthermore, they also suggest that a slightly
increased in serum CA-125 value should not be ignored.
PMID- 29787023
TI - Selective arterial embolisation for intractable vaginal haemorrhage in genital
tract malignancies.
AB - PURPOSE OF INVESTIGATION: Embolisation of the internal iliac artery has been
described as an effective and safe method of treating massive vaginal haemorrhage
in small series of advanced uterine cancer and case reports of cervical cancer.
Selective embolization of the bleeding vessel is potentially less morbid. The aim
of this study was to assess the efficacy of selective arterial embolisation (SAE)
in controlling intractable haemorrhage due to gynaecological malignancy.
MATERIALS AND METHODS: This retrospective observational study comes from in a
tertiary cancer center with 300 new gynecologic cancers per annum. The authors
reviewed all gynecology cancer patients who had intractable major vaginal
haemorrhage in the first five years following the introduction of selective
arterial embolisation at their unit. The outcomes measured were the control of
acute haemorrhage and discharge to planned pathway of treatment. RESULTS: SAE was
successful in all cases. Identification of the bleeding point facilitated highly
selective embolisation in more than half of the patients. The uterine arteries
were embolised in the remaining cases. Bleeding stopped immediately. The
expedient control of haemorrhage facilitated early discharge to
commencement/continuation of radiation treatment or palliative care as
appropriate. CONCLUSIONS: Since the introduction of SAE the authors have avoided
emergency radiotherapy, surgery, and repeat vaginal packing in patients with
intractable vaginal bleeding due to gynaecological cancer. Patients were
discharged to their appropriate treatment pathways in a timely manner. The
authors recommend the application of SAE.
PMID- 29787024
TI - An unusual enhanced Doppler vascular profile of a rare uterine tumor: PEComa.
AB - PEComas represent a rare class of mesenchymal tumors, with different primary
locations. There are less than 100 cases of uterine PEComas published in English
literature until now and information considering imaging features of these
PEComas is very limited, focusing on CT and MRI and not as much on ultrasounds
(US). The authors present here a case of rapidly growing uterine PEComa, with
local invasive potential and recurrence, and the review of literature on US
characteristics of PEComas. Harboring a hyperechogeneous heterogeneous aspect
with no clear separation from the adjacent uterus on the whole boundary, with an
extremely rich central vascular network, with low impedance and a rapidly growing
profile, this tumor does not show the classic US appearance of malignant PEComas,
which are generally easily confused with leiomyomas. However, even if this
pattern did not allow the authors to anticipate the histopathological result, it
offered clear clues about its invasiveness potential.
PMID- 29787025
TI - Mayer-Rokitansky-Kuster-Hauser syndrome accompanied by invasive ductal carcinoma:
a case report.
AB - Milllerian agenesis and the absence of organs of Millerian canal origin are
referred to as Mayer-Rokitansky-Kuster-Hauser (MRKH) syndrome. Invasive ductal
carcinoma constitutes 47-75% of all breast carcinomas and is the most common
type. The authors report the first case of invasive ductal carcinoma associated
with MRKH syndrome in the literature to their knowledge. A 25-year-old woman with
a palpable mass in her right breast for three months presented to the presented
clinic. On physical examination a mobile, firm mass measuring 2x2 cm was detected
in right breast, at a region close to axilla. A fine needle aspiration biopsy
from the lesion revealed malignant cells and thus a segmental mastectomy
operation was performed. All genital tract and endocrinological system should be
thoroughly examined before administering hormone replacement therapy to patients
presenting with primary amenorrhea.The co-occurrence MRKH syndrome of with
invasive ductal carcinoma is regarded as coincidental. Confirming the absence of
a common etiology, however, requires further genetic studies.
PMID- 29787027
TI - Malignant mixed germ cell tumor of ovary presenting as advanced disease in an
adolescent girl.
AB - Mixed germ cell tumors are very rare in young females. Patient survival,
preservation of ovarian function and fertility are becoming an important issue. A
locally advanced (III), bulky malignant mixed germ cell tumour in a 12-year-old
girl presented to the Department of Radiotherapy, Regional Institute of Medical
Sciences, Imphal, Manipur, in January 2011 with abdominal distension for one
month. On physical examination, there was a large lower abdominal mass
approximately 16x 14 cm2. Abdominal and pelvic CTs showed a lobulated 19x 15x10
cm soft tissue attenuation mass lesion in the pelvis extending superoanteriorly
to supra-umbilical area. Laboratory investigations revealed increased serum LDH
(4,245 IU/ L) and serum P-hCG (105.4 mIU/ml). Ultrasonography (USG)-guided fine
needle aspiration cytology (FNAC) from left ovarian mass was suggestive of
malignant germ cell tumour. In view of bulky and advanced stage, patient was
administered four cycles of neoadjuvant chemotherapy (inj. ifosphamide 1,440 mg,
inj. etoposide 90 mg, inj. cisplatin 24 mg for D1 -5, four weekly) followed by
left salpingo-oophorectomy with wedge biopsy of right ovary and partial
omentectomy, and another two cycles of adjuvant chemotherapy with same regimen.
Biopsy tissue histopathology report also confirmed mixed germ cell tumour.
Patient was kept on regular follow-up and she has been disease-free for the last
four years. The present authors' treatment policy in such bulky and advanced
tumor in adolescents is effective.
PMID- 29787028
TI - The Dental Team Concept and Where the CDHC and Dental Therapist Fit In.
PMID- 29787026
TI - Abdominal wall mixed malignant germ cell tumor: a case report and review of
literature.
AB - Abdominal wall mass is not uncommon in clinic, but it is very rare that germ cell
tumors (GCTs) arise in the abdominal wall. The authors review the case of a 34
year-old female with abdominal wall mixed malignant GCT composed of embryonal
carcinoma and teratoma and combine the relative literature to explain why GCTs
originate from anterior abdominal wall.
PMID- 29787029
TI - An Announcement from the ADA Council on Ethics, Bylaws and Judicial Affairs.
PMID- 29787030
TI - The EPA Releases Final Rule Requiring Dental Practices To Use Amalgam Separators.
PMID- 29787031
TI - How to Get the Word Out that Your Dental Practice is on Social Media.
PMID- 29787032
TI - D-DENT Suooort for Veterans.
PMID- 29787033
TI - Prescription Drug Misuse and Abuse: A National Health Crisis.
PMID- 29787034
TI - Critical preparation and readiness to support future change.
AB - The challenging nature of change is well documented and adequate preparation
before implementing a change initiative is critical to reducing resistance and
increasing its chances of success. This article describes a service review
conducted in preparation for possible change and improvement at an
internationally ranked school of nursing and midwifery in an Irish tertiary
education institution. The purpose of the review was to examine organisational
structures and work activities, including pressures facing the administrative
team that supports the school, and to establish whether operations were fit for
purpose and capable of continuing to support the international ranking. The
article outlines the importance of change to maintain a competitive edge and the
critical role of leadership during change. The background and rationale for the
service review are discussed along with the methods used to prepare staff. The
article also reports outcomes of the surveys and focus groups undertaken and
discusses the main recommendations and changes implemented so far. It also
suggests how the outcomes are relevant to clinical practice.
PMID- 29787035
TI - Hair toe tourniquets: a review of two case studies.
AB - Digit hair tourniquets are relatively uncommon. There are reports in the
literature of hair tourniquets involving other appendages, such as the penis and
uvula, however the phenomenon is not widely recognised and is often overlooked by
healthcare professionals. This article discusses two case studies in which hair
was responsible for creating a tourniquet around a digit. The article explores
possible causes and management options for patients, with reference to the case
studies. Midwives and health visitors are central to minimising the risk of
injury to children as they can educate expectant mothers about this potential
problem.
PMID- 29787036
TI - Reflections of a black African insider researcher.
AB - BACKGROUND: There is a growing body of literature providing reflective accounts
and critical examination of the challenges faced by insider researchers. However,
there is little research about the specific challenges that black African insider
nurse researchers face. AIM: To reflect on the complexities black African insider
nurse researchers face in the context of research sites, participants and the
interpretation of data. DISCUSSION: Insider researchers are susceptible to
various entanglements and dilemmas. Belonging to the same racial and cultural
backgrounds as participants is advantageous, although caution is needed. Adoption
of the emergent reflective model as an archetypal template can help future
insider researchers considerably. CONCLUSION: Being an insider researcher comes
with advantages and disadvantages. Entanglement and role ambiguity are some of
the disadvantages. However, unspoken understandings with the participants provide
insightful meanings into their experiences. IMPLICATION FOR PRACTICE:
Reflectivity is crucial to the quality and rigour of qualitative studies. The
challenge for future insider researchers is to show explicit awareness,
tactfulness, sensitivity, commitment and rigour in their research.
PMID- 29787037
TI - Effects of Perinatal Exposure to Dibutyltin Chloride on Fat and Glucose
Metabolism in Mice, and Molecular Mechanisms, in Vitro.
AB - BACKGROUND: The organotin dibutyltin (DBT) is used in the manufacture of
polyvinyl chloride (PVC) plastics, in construction materials, and in medical
devices. Previous animal studies showed detrimental effects of DBT during in
utero development at relatively high doses, but little was known about the
effects of DBT exposure at environmentally relevant doses on endpoints such as
obesity and metabolic disease. OBJECTIVES: We tested the potential obesogenic
effects of DBT using in vitro and in vivo models. METHODS: We evaluated the
effects of DBT on nuclear receptor activation and adipogenic potential using
human and mouse multipotent mesenchymal stromal stem cells (MSCs). We also
evaluated the effects of perinatal exposure to environmentally relevant doses of
DBT in C57BL/6J mice. RESULTS: DBT activated human and mouse PPARgamma and
RXRalpha in transient transfection assays, increased expression of adipogenic
genes, promoted adipogenic differentiation and increased lipid accumulation in
mouse and human MSCs, in vitro. DBT-induced adipogenic differentiation was
abolished by the PPARgamma antagonist T0070907, indicating that DBT was acting
primarily through PPARgamma. Perinatal exposure to low doses of DBT led to
increased fat storage, decreased glucose tolerance, and increased circulating
leptin levels in male, but not female, mice. CONCLUSIONS: DBT acted as an
obesogen by inducing lipid accumulation in human and mouse MSCs through a
PPARgamma-dependent pathway. In vivo exposure to biologically relevant doses of
DBT during perinatal development led to increased fat storage, elevated leptin
levels in plasma, and glucose intolerance in mice. Based on these findings, we
posit that monitoring of DBT levels in human samples may aid in understanding and
potentially preventing the rising rates of metabolic disorders in human
populations. https://doi.org/10.1289/EHP3030.
PMID- 29787229
TI - Graphene Glass Inducing Multidomain Orientations in Cholesteric Liquid Crystal
Devices toward Wide Viewing Angles.
AB - The photonic reflection of a cholesteric liquid crystal (ChLC) device depends on
the spatial distribution of the orientations of their helical axes, and many
orientation techniques have been developed so far. In this study, we select the
hybrids of graphene directly grown on quartz glass as platforms to construct ChLC
based devices. This special design makes graphene serve as both an alignment
layer and a conductive layer, thus affording a more simplified device fabrication
route. We reveal that multidomain structures can be evolved for ChLCs on
polycrystalline monolayer graphene on quartz glass, as evidenced by polarized
optical microscope characterizations. The disparate orientations of the helical
axes of ChLCs and the formation of multidomain structures are proposed to be
induced by the different domain orientations of graphene, leading to a wide
viewing angle of the ChLC-based devices. Moreover, the pitch of ChLCs is also
observed to play a key role in the relative orientations of ChLCs. A wide viewing
angle of the ChLC-based device is also detected especially in the infrared
spectrum region. Briefly, this work should provoke the application of graphene
glass as a perfect transparent electrode in the fabrication of liquid-crystal
based devices showing broad application potentials in intelligent laser
protection and energy-saving smart windows.
PMID- 29787228
TI - Cyanylated Cysteine Reports Site-Specific Changes at Protein-Protein-Binding
Interfaces Without Perturbation.
AB - To investigate the cyanylated cysteine vibrational probe group's ability to
report on binding-induced changes along a protein-protein interface, the probe
group was incorporated at several sites in a peptide of the calmodulin (CaM)
binding domain of skeletal muscle myosin light chain kinase. Isothermal titration
calorimetry was used to determine the binding thermodynamics between calmodulin
and each peptide. For all probe positions, the binding affinity was nearly
identical to that of the unlabeled peptide. The CN stretching infrared band was
collected for each peptide free in solution and bound to calmodulin. Binding
induced shifts in the IR spectral frequencies were correlated with estimated
solvent accessibility based on molecular dynamics simulations. This work
generally suggests (1) that site-specific incorporation of this vibrational probe
group does not cause major perturbations to its local structural environment and
(2) that this small probe group might be used quite broadly to map dynamic
protein-binding interfaces. However, site-specific perturbations due to
artificial labeling groups can be somewhat unpredictable and should be evaluated
on a site-by-site basis through complementary measurements. A fully quantitative,
simulation-based interpretation of the rich probe IR spectra is still needed but
appears to be possible given recent advances in simulation techniques.
PMID- 29787230
TI - Wave Function Engineering in CdSe/PbS Core/Shell Quantum Dots.
AB - The synthesis of epitaxial CdSe/PbS core/shell quantum dots (QDs) is reported.
The PbS shell grows in a rock salt structure on the zinc blende CdSe core,
thereby creating a crystal structure mismatch through additive growth. Absorption
and photoluminescence (PL) band edge features shift to lower energies with
increasing shell thickness, but remain above the CdSe bulk band gap.
Nevertheless, the profiles of the absorption spectra vary with shell growth,
indicating that the overlap of the electron and hole wave functions is changing
significantly. This leads to over an order of magnitude reduction of absorption
near the band gap and a large, tunable energy shift, of up to 550 meV, between
the onset of strong absorption and the band edge PL. While the bulk valence and
conduction bands adopt an inverse type-I alignment, the observed spectroscopic
behavior is consistent with a transition between quasi-type-I and quasi-type-II
behavior depending on shell thickness. Three effective mass approximation models
support this hypothesis and suggest that the large difference in effective masses
between the core and shell results in hole localization in the CdSe core and a
delocalization of the electron across the entire QD. These results show the
tuning of wave functions and transition energies in CdSe/PbS nanoheterostructures
with prospects for use in optoelectronic devices for luminescent solar
concentration or multiexciton generation.
PMID- 29787231
TI - Glucose- and H2O2-Responsive Polymeric Vesicles Integrated with Microneedle
Patches for Glucose-Sensitive Transcutaneous Delivery of Insulin in Diabetic
Rats.
AB - Herein, a dual-responsive insulin delivery device by integrating glucose- and
H2O2-responsive polymeric vesicles (PVs) with transcutaneous microneedles (MNs)
has been designed. This novel microneedle delivery device achieves a goal of fast
response, excellent biocompatibility, and painless administration. The PVs are
self-assembled from a triblock copolymer including poly(ethylene glycol),
poly(phenylboronic acid) (glucose-sensitive block), and poly(phenylboronic acid
pinacol ester) (H2O2-sensitive block). After loading with insulin and glucose
oxidase (GO x), the drug-loaded PVs display a basal insulin release as well as a
promoted insulin release in response to hyperglycemic states. The insulin release
rate responds quickly to elevated glucose and can be further promoted by the
incorporated GO x, which will generate the H2O2 at high glucose levels and
further break the chemical links of phenylboronic acid pinacol ester group.
Finally, the transdermal delivery of insulin to the diabetic rats ((insulin + GO
x)-loaded MNs) presents an effective hypoglycemic effect compared to that of
subcutaneous injection or only insulin-loaded MNs, which indicates the as
prepared MNs insulin delivery system could be of great importance for the
applications in the therapy of diabetes.
PMID- 29787232
TI - Self-Assembled Chiral Gold Supramolecules with Efficient Laser Absorption for
Enantiospecific Recognition of Carnitine.
AB - Stereospecific recognition of chiral molecules is ubiquitous in chemical and
biological systems, thus leading to strong demand for the development of
enantiomeric drugs, enantioselective sensors, and asymmetric catalysts. In this
study, we demonstrate the ratio of d-Cys and l-Cys playing an important role in
determining the optical properties and the structures of self-assembled Cys-Au(I)
supramolecules prepared through a simple reaction of tetrachloroaurate(III) with
chiral cysteine (Cys). The irregularly shaped -[d-Cys-Au(I)] n- or - [l-Cys
Au(I)] n- supramolecules with a size larger than 500 nm possessing strong
absorption in the near-UV region and chiroptical characteristics were only
obtained from the reaction of Au(III) with d-Cys or l-Cys. On the other hand,
spindle-shaped -[d/l-Cys-Au(I)] n- supramolecules were formed when using Au(III)
with mixtures of d/l-Cys. Our results have suggested that Au(I)...Au(I)
aurophilic interactions, and stacked hydrogen bonding and zwitterionic
interactions between d/l-Cys ligands are important in determining their
structures. The NaBH4-mediated reduction induces the formation of
photoluminescent gold nanoclusters (Au NCs) embedded in the chiral -[d-Cys-Au(I)]
n- or -[l-Cys-Au(I)] n- supramolecules with a quantum yield of ca. 10%. The as
formed Au NCs/-[d-Cys-Au(I)] n- and Au NCs/-[l-Cys-Au(I)] n- are an
enantiospecific substrate that can trap l-carnitine and d-carnitine,
respectively, and function as a nanomatrix for surface-assisted laser
desorption/ionization mass spectrometry (LDI-MS). The high absorption efficiency
of laser energy, analyte-binding capacity, and homogeneity of the Au NCs/-[Cys
Au(I)] n- allow for quantitation of enantiomeric carnitine down to the micromolar
regime with high reproducibility. The superior efficiency of the Au NCs/-[d-Cys
Au(I)] n- substrate has been further validated by quantification of l-carnitine
in dietary supplements with accuracy and precision. Our study has opened a new
avenue for chiral quantitation of various analytes through LDI-MS using metal
nanocomposites consisting of NCs and metal-ligand complexes.
PMID- 29787233
TI - Controlling the Replication of a Genomically Recoded HIV-1 with a Functional
Quadruplet Codon in Mammalian Cells.
AB - Large efforts have been devoted to genetic code engineering in the past decade,
aiming for unnatural amino acid mutagenesis. Recently, an increasing number of
studies were reported to employ quadruplet codons to encode unnatural amino
acids. We and others have demonstrated that the quadruplet decoding efficiency
could be significantly enhanced by an extensive engineering of tRNAs bearing an
extra nucleotide in their anticodon loops. In this work, we report the
identification of tRNA mutants derived from directed evolution to efficiently
decode a UAGA quadruplet codon in mammalian cells. Intriguingly, the trend of
quadruplet codon decoding efficiency among the tested tRNA variants in mammalian
cells was largely the same as that in E. coli. We subsequently demonstrate the
utility of quadruplet codon decoding by the construction of the first HIV-1
mutant that lacks any in-frame amber nonsense codons and can be precisely
activated by the decoding of a genomically embedded UAGA codon with an unnatural
amino acid. Such conditionally activatable HIV-1 mutant can likely facilitate
both fundamental investigations of HIV-1 as well as vaccine developments. The use
of quadruplet codon, instead of an amber nonsense codon, to control HIV-1
replication has the advantage in that the correction of a frameshift caused by a
quadruplet codon is much less likely than the reversion of an amber codon back
into a sense codon in HIV-1.
PMID- 29787235
TI - Novel Fast-Setting Mineral Trioxide Aggregate: Its Formulation, Chemical-Physical
Properties, and Cytocompatibility.
AB - One of the main drawbacks that limits the application of mineral trioxide
aggregate (MTA) in dental field is its long setting time. Mineral trioxide
aggregate with accelerated setting properties and excellent chemical-physical and
biological properties is still required. In this study, an innovative mineral
trioxide aggregate, which consists of calcium silicates, calcium aluminates, and
zirconium oxide, was designed to obtain fast-setting property. The optimized
formulation can achieve initial setting in 10 min and final setting in 15 min,
which are much faster than commercial mineral trioxide aggregate. In addition,
the optimized fast-setting MTA showed adequate radiopacity and good
biocompatibility. The ion concentrations after storage in water for 1 day were
52.3 mg/L Ca, 67.7 mg/L Al, 48.8 mg/L Si, and 11.7 mg/L Mg. The hydration
products of hardened cements were investigated by X-ray diffraction, scanning
electron microscopy, and Fourier transform infrared, showing the accelerated
setting time was due to the formation of honeycomb-like calcium silicate hydrate
gel. The novel MTA could be a promising material for dental applications.
PMID- 29787234
TI - Unfolding the Mysteries of Protein Metamorphosis.
AB - Since the proposal of Anfinsen's thermodynamic hypothesis in 1963, our
understanding of protein folding and dynamics has gained significant appreciation
of its nuance and complexity. Intrinsically disordered proteins, chameleonic
sequences, morpheeins, and metamorphic proteins have broadened the protein
folding paradigm. Here, we discuss noncanonical protein folding patterns, with an
emphasis on metamorphic proteins, and we review known metamorphic proteins that
occur naturally and that have been engineered in the laboratory. Finally, we
discuss research areas surrounding metamorphic proteins that are primed for
future exploration, including evolution, drug discovery, and the quest for
previously unrecognized metamorphs. As we enter an age where we are capable of
complex bioinformatic searches and de novo protein design, we are primed to
search for previously unrecognized metamorphic proteins and to design our own
metamorphs to act as targeted, switchable drugs; biosensors; and more.
PMID- 29787236
TI - Investigation of the Viability of Cells upon Co-Exposure to Gold and Iron Oxide
Nanoparticles.
AB - Cell lines were exposed either to mixtures of gold and iron oxide nanoparticles,
or to a hybrid nanoparticle with gold and iron oxide domain. In the case of
simultaneous exposure to gold and iron oxide nanoparticles, enhanced toxicity as
compared to the exposure to only one type of nanoparticles was observed. An
indication was found that, at equivalent concentrations, the hybrid nanoparticles
may slightly reduce cell viability more strongly than mixtures of both
nanoparticle types. The results suggest that composite nanomaterials, in which
different materials are present in particle form, need to be analyzed carefully,
as not only the concentration of the respective materials but also their
arrangement may influence their toxicity.
PMID- 29787237
TI - Compression-Induced Modification of Boron Nitride Layers: A Conductive Two
Dimensional BN Compound.
AB - The ability to create materials with improved properties upon transformation
processes applied to conventional materials is the keystone of materials science.
Here, hexagonal boron nitride (h-BN), a large-band-gap insulator, is transformed
into a conductive two-dimensional (2D) material- bonitrol-that is stable at
ambient conditions. The process, which requires compression of at least two h-BN
layers and hydroxyl ions, is characterized via scanning probe microscopy
experiments and ab initio calculations. This material and its creation mechanism
represent an additional strategy for the transformation of known 2D materials
into artificial advanced materials with exceptional properties.
PMID- 29787238
TI - Antifibrotic Effect of Ketoprofen-Grafted Alginate Microcapsules in the
Transplantation of Insulin Producing Cells.
AB - The controlled release of small molecular modulators of the immune response from
hydrogel microspheres (MS) used for cell immobilization is an attractive approach
to reduce pericapsular fibrotic overgrowth (PFO) after transplantation.
Ketoprofen is a well-known nonsteroidal anti-inflammatory drug involved in the
early stage inflammation cascade. PEGylated derivatives of ketoprofen, presenting
either ester or amide linkage to the drug, were synthesized and conjugated to the
hydroxyl groups of sodium alginate (Na-alg). Functionalized cell-free and MIN6
cells containing MS were produced from the resulting modified alginates. In vitro
quantification of ketoprofen release indicated regular and sustained drug
delivery over 14 days, resulting from the hydrolytic cleavage of the ester bond.
The release kinetics was enhanced over the initial 7 days by the presence of MIN6
cells, probably as a result of cell esterase activity. In the presence of amide
bond, traces of ketoprofen were released over 14 days due to a much slower
hydrolysis kinetics. Cell-free and MIN6 cells containing MS were transplanted in
immune-competent mice, either in the peritoneal cavity or under the kidney
capsule, with a follow-up period of 30 days. Comparison with nonmodified Ca-alg
MS transplanted in the same conditions demonstrated a clear reduction in the
severity of PFO for MS functionalized with ketoprofen. Quantification of collagen
deposition on MIN6 cells containing MS transplanted under the kidney capsule
revealed the significant effect of ketoprofen release to decrease fibrotic tissue
formation. The impact was more pronounced when the drug was covalently conjugated
by an ester linkage, allowing higher concentration of the anti-inflammatory
compound to be delivered at the transplantation site. The functionality of
microencapsulated MIN6 cells 30 days after transplantation was confirmed by
detection of insulin positive cell content.
PMID- 29787240
TI - Sulfonium Ion Condensation: The Burden Borne by SAM Synthetase.
AB - S-Adenosylmethionine (SAM+) serves as the principal methylating agent in
biological systems, but the thermodynamic basis of its reactivity does not seem
to have been clearly established. Here, we show that methionine, methanol, and H+
combine to form S-methylmethionine (SMM+) with a temperature-independent
equilibrium constant of 9.9 M-2. The corresponding group transfer potential of
SMM+ (its free energy of hydrolysis at pH 7) is -8.2 kcal/mol. The "energy-rich"
nature of sulfonium ions is related to the extreme acidity (p Ka -5.4) of the S
protonated thioether produced by sulfonium hydrolysis, and the large negative
free energy of deprotonation of that species in neutral solution (-16.7
kcal/mol). At pH 7, SAM synthetase requires the free energy released by cleavage
of two bonds of ATP to reverse that process.
PMID- 29787239
TI - Catalytic Bases and Stereocontrol in Lamiaceae Class II Diterpene Cyclases.
AB - Plants from the widespread Lamiaceae family produce many labdane-related
diterpenoids, a number of which serve medicinal roles, and whose biosynthesis is
initiated by class II diterpene cyclases (DTCs). These enzymes utilize a general
acid-base catalyzed cyclo-isomerization reaction to produce various stereoisomers
of the eponymous labdaenyl carbocation intermediate, which can then undergo
rearrangement and/or the addition of water prior to terminating deprotonation.
Identification of the pair of residues that cooperatively serve as the catalytic
base in the DTCs that produce ent-copalyl diphosphate (CPP) required for
gibberellin phytohormone biosynthesis in all vascular plants has led to insight
into the addition of water as well as rearrangement. Lamiaceae plants generally
contain an additional DTC that produces the enantiomeric normal CPP, as well as
others that yield hydroxylated products derived from the addition of water. Here
the catalytic base in these DTCs was investigated. Notably, changing two adjacent
residues that seem to serve as the catalytic base in the normal CPP synthase from
Salvia miltiorrhiza (SmCPS) to the residues found in the closely related
perigrinol diphosphate synthase from Marrubium vulgare (MvPPS), which produces a
partially rearranged and hydroxylated product derived from the distinct syn
stereoisomer of labdaenyl+, altered the product outcome in an unexpected fashion.
Specifically, the relevant SmCPS:H315N/T316V double mutant produces
terpentedienyl diphosphate, which is derived from complete substituent
rearrangement of syn rather than normal labdaenyl+. Accordingly, alteration of
the residues that normally serve as the catalytic base surprisingly can impact
stereocontrol.
PMID- 29787241
TI - Conjugated Polyelectrolytes as Efficient Hole Transport Layers in Perovskite
Light-Emitting Diodes.
AB - Perovskite-based optoelectronic devices have been rapidly developing in the past
5 years. Since the first report, the external quantum efficiency (EQE) of
perovskite light-emitting diodes (PeLEDs) has increased rapidly through the
control of morphology and structure from 0.1% to more than 11%. Here, we report
the use of various conjugated polyelectrolytes (CPEs) as the hole injection layer
in PeLEDs. In particular, we find that poly[2,6-(4,4-bis-potassium
butanylsulfonate)-4 H-cyclopenta-[2,1- b;3,4- b']-dithiophene)] (PCPDT-K)
transfers holes effectively, blocks electron transport from the perovskite to the
underlying ITO layer, and reduces luminescence quenching at the perovskite/PCPDT
K interface. Our optimized PeLEDs with PCPDT-K show enhanced EQE by a factor of
approximately 4 compared to control PeLEDs with PEDOT:PSS, reaching EQE values of
5.66%, and exhibit improved device stability.
PMID- 29787242
TI - Membrane Topology of Trafficking Regulator of GLUT4 1 (TRARG1).
AB - Trafficking regulator of GLUT4 1 (TRARG1) was recently identified to localize to
glucose transporter type 4 (GLUT4) storage vesicles (GSVs) and to positively
regulate GLUT4 trafficking. Our knowledge of TRARG1 structure and membrane
topology is limited to predictive models, hampering efforts to further our
mechanistic understanding of how it carries out its functions. Here, we use a
combination of bioinformatics prediction tools and biochemical assays to define
the membrane topology of the 173-amino acid mouse TRARG1. These analyses revealed
that, contrary to the consensus prediction, the N-terminus is cytosolic and that
a short segment at the C-terminus resides in the luminal/extracellular space.
Based on our biochemical analyses including membrane association and antibody
accessibility assays, we conclude that TRARG1 has one transmembrane domain (TMD)
(145-172) and a re-entrant loop between residues 101 and 127.
PMID- 29787243
TI - Benchmark Analysis of Native and Artificial NAD+-Dependent Enzymes Generated by a
Sequence-Based Design Method with or without Phylogenetic Data.
AB - The expansion of protein sequence databases has enabled us to design artificial
proteins by sequence-based design methods, such as full-consensus design (FCD)
and ancestral-sequence reconstruction (ASR). Artificial proteins with enhanced
activity levels compared with native ones can potentially be generated by such
methods, but successful design is rare because preparing a sequence library by
curating the database and selecting a method is difficult. Utilizing a curated
library prepared by reducing conservation energies, we successfully designed two
artificial l-threonine 3-dehydrogenases (SDR-TDH) with higher activity levels
than native SDR-TDH, FcTDH-N1, and AncTDH, using FCD and ASR, respectively. The
artificial SDR-TDHs had excellent thermal stability and NAD+ recognition compared
to native SDR-TDH from Cupriavidus necator (CnTDH); the melting temperatures of
FcTDH-N1 and AncTDH were about 10 and 5 degrees C higher than that of CnTDH,
respectively, and the dissociation constants toward NAD+ of FcTDH-N1 and AncTDH
were 2- and 7-fold lower than that of CnTDH, respectively. Enzymatic efficiency
of the artificial SDR-TDHs were comparable to that of CnTDH. Crystal structures
of FcTDH-N1 and AncTDH were determined at 2.8 and 2.1 A resolution, respectively.
Structural and MD simulation analysis of the SDR-TDHs indicated that only the
flexibility at specific regions was changed, suggesting that multiple mutations
introduced in the artificial SDR-TDHs altered their flexibility and thereby
affected their enzymatic properties. Benchmark analysis of the SDR-TDHs indicated
that both FCD and ASR can generate highly functional proteins if a curated
library is prepared appropriately.
PMID- 29787245
TI - Structure and Dynamics of a Graphene Melt.
AB - We explore the structural and dynamic properties of bulk materials composed of
graphene nanosheets using coarse-grained molecular dynamics simulations.
Remarkably, our results show clear evidence that bulk graphene materials exhibit
a fluid-like behavior similar to linear polymer melts at elevated temperatures
and that these materials transform into a glassy-like "foam" state at
temperatures below the glass-transition temperature ( Tg) of these materials.
Distinct from an isolated graphene sheet, which exhibits a relatively flat shape
with fluctuations, we find that graphene sheets in a melt state structurally
adopt more "crumpled" configurations and correspondingly smaller sizes, as
normally found for ordinary polymers in the melt. Upon approaching the glass
transition, these two-dimensional polymeric materials exhibit a dramatic slowing
down of their dynamics that is likewise similar to ordinary linear polymer glass
forming liquids. Bulk graphene materials in their glassy foam state have an
exceptionally large free-volume and high thermal stability due to their high Tg
(~ 1600 K) as compared to conventional polymer materials. Our findings show that
graphene melts have interesting lubricating and "plastic" flow properties at
elevated temperatures, and suggest that graphene foams are highly promising as
high surface filtration materials and fire suppression additives for improving
the thermal conductivities and mechanical reinforcement of polymer materials.
PMID- 29787244
TI - High-Performance Cells Containing Lithium Metal Anodes, LiNi0.6Co0.2Mn0.2O2 (NCM
622) Cathodes, and Fluoroethylene Carbonate-Based Electrolyte Solution with
Practical Loading.
AB - We report on the highly stable lithium metal|LiNi0.6Co0.2Mn0.2O2 (NCM 622) cells
with practical electrodes' loading of 3.3 mA h g-1, which can undergo many
hundreds of stable cycles, demonstrating high rate capability. A key issue was
the use of fluoroethylene carbonate (FEC)-based electrolyte solutions (1 M LiPF6
in FEC/dimethyl carbonate). Li|NCM 622 cells can be cycled at 1.5 mA cm-2 for
more than 600 cycles, whereas symmetric Li|Li cells demonstrate stable
performance for more than 1000 cycles even at higher areal capacity and current
density. We attribute the excellent performance of both Li|NCM and Li|Li cells to
the formation of a stable and efficient solid electrolyte interphase (SEI) on the
surface of the Li metal electrodes cycled in FEC-based electrolyte solutions. The
composition of the SEI on the Li and the NCM electrodes is analyzed by X-ray
photoelectron spectroscopy and Fourier transform infrared spectroscopy. A drastic
capacity fading of Li|NCM cells is observed, followed by spontaneous capacity
recovery during prolonged cycling. This phenomenon depends on the current density
and the amount of the electrolyte solution and relates to kinetic limitations
because of SEI formation on the Li anodes in the FEC-based electrolyte solution.
PMID- 29787246
TI - Investigation of Solvent Hydron Exchange in the Reaction Catalyzed by the
Antibiotic Resistance Protein Cfr.
AB - Cfr is a radical S-adenosylmethionine (RS) methylase that appends methyl groups
to C8 and C2 of adenosine 2503 in 23S rRNA. Methylation of C8 confers resistance
to several classes of antibiotics that bind in or near the peptidyltransferase
center of the bacterial ribosome, including the synthetic antibiotic linezolid.
The Cfr reaction requires the action of five conserved cysteines, three of which
ligate a required [4Fe-4S] cluster cofactor. The two remaining cysteines play a
more intricate role in the reaction; one (Cys338) becomes transiently methylated
during catalysis. The function of the second (Cys105) has not been rigorously
established; however, in the related RlmN reaction, it (Cys118) initiates
resolution of a key protein-nucleic acid cross-linked intermediate by abstracting
the proton from the carbon center (C2) undergoing methylation. We previously
proposed that, unlike RlmN, Cfr would utilize a polyprotic base during resolution
of the protein-nucleic acid cross-linked intermediate during C8 methylation and,
like RlmN, use a monoprotic base during C2 methylation. We based this proposal on
the fact that solvent hydrons could exchange into the product during C8
methylation, but not during C2 methylation. Herein, we show that Cys105 of Cfr
has a function similar to that of Cys118 of RlmN while methylating C8 of A2503
and provide evidence for one molecule of water that is in close contact with it,
which provides the exchangeable protons during catalysis.
PMID- 29787247
TI - Enhanced Photogeneration of Reactive Oxygen Species and Targeted Photothermal
Therapy of C6 Glioma Brain Cancer Cells by Folate-Conjugated Gold-Photoactive
Polymer Nanoparticles.
AB - Tumor-selective photodynamic therapy is a successful method for ablation of
malignant and cancerous cells. Herein, we introduce the design and preparation of
functionalized acrylic copolymer nanoparticles with spiropyran (SP) and imidazole
groups through a facile semicontinuous emulsion polymerization. Then, Au3+ ions
were immobilized and reduced on their surface to obtain photoresponsive gold
decorated polymer nanoparticles (PGPNPs). The prepared PGPNPs were surface
modified with folic acid as a site-specific tumor cell targeting agent and
improve intracellular uptake via endocytosis. Fourier transform infrared
spectroscopy and energy dispersive X-ray spectroscopy analyses, UV-vis
spectroscopy, scanning electron microscopy, and high-resolution transmission
electron microscopy images were employed to characterize their spectral and
morphological properties. Fluorescence microscopy images and inductively coupled
plasma analysis demonstrated the cell line labeling capability and improved
targeting efficiency of folate-conjugated PGPNPs (FA-PGPNPs) toward rat brain
cancer cells (C6 glioma) with 71.8% cell uptake in comparison with 28.8% for the
nonconjugated ones. Nonpolar SP groups are converted to zwitterionic merocyanine
isomers under UV irradiation at 365 nm and their conjugation with Au
nanoparticles exhibited enhanced photogeneration of reactive oxygen species
(ROS). These were confirmed by intracellular ROS analysis and cytotoxicity
evaluation on malignant C6 glioma cells. Owing to the strong surface plasmon
resonance absorption of gold nanoparticles, FA-PGPNPs provided elevated local
photothermal efficiency under near-IR irradiation at 808 nm. The prepared
multifunctional FA-PGPNPs with a comprehensive integration of prospective
materials introduced promising nanoprobes with targeting ability, enhanced tumor
photodynamic therapy, cell tracking, and photothermal therapy.
PMID- 29787248
TI - Efficient n-Doping and Hole Blocking in Single-Walled Carbon Nanotube Transistors
with 1,2,4,5-Tetrakis(tetramethylguanidino)ben-zene.
AB - Efficient, stable, and solution-based n-doping of semiconducting single-walled
carbon nanotubes (SWCNTs) is highly desired for complementary circuits but
remains a significant challenge. Here, we present 1,2,4,5
tetrakis(tetramethylguanidino)benzene (ttmgb) as a strong two-electron donor that
enables the fabrication of purely n-type SWCNT field-effect transistors (FETs).
We apply ttmgb to networks of monochiral, semiconducting (6,5) SWCNTs that show
intrinsic ambipolar behavior in bottom-contact/top-gate FETs and obtain unipolar
n-type transport with 3-5-fold enhancement of electron mobilities (approximately
10 cm2 V-1 s-1), while completely suppressing hole currents, even at high drain
voltages. These n-type FETs show excellent on/off current ratios of up to 108,
steep subthreshold swings (80-100 mV/dec), and almost no hysteresis. Their
excellent device characteristics stem from the reduction of the work function of
the gold electrodes via contact doping, blocking of hole injection by ttmgb2+ on
the electrode surface, and removal of residual water from the SWCNT network by
ttmgb protonation. The ttmgb-treated SWCNT FETs also display excellent
environmental stability under bias stress in ambient conditions. Complementary
inverters based on n- and p-doped SWCNT FETs exhibit rail-to-rail operation with
high gain and low power dissipation. The simple and stable ttmgb molecule thus
serves as an example for the larger class of guanidino-functionalized aromatic
compounds as promising electron donors for high-performance thin film
electronics.
PMID- 29787249
TI - Structure-Function Relationships in the Oligomeric NADPH-Dependent Assimilatory
Sulfite Reductase.
AB - The central step in the assimilation of sulfur is a six-electron reduction of
sulfite to sulfide, catalyzed by the oxidoreductase NADPH-dependent assimilatory
sulfite reductase (SiR). SiR is composed of two subunits. One is a multidomain
flavin binding reductase (SiRFP) and the other an iron-containing oxidase
(SiRHP). Both enzymes are primarily globular, as expected from their functions as
redox enzymes. Consequently, we know a fair amount about their structures but not
how they assemble. Curiously, both structures have conspicuous regions that are
structurally undefined, leaving questions about their functions and raising the
possibility that they are critical in forming the larger complex. Here, we used
ultraviolet-visible and circular dichroism spectroscopy, isothermal titration
calorimetry, proteolytic sensitivity tests, electrospray ionization mass
spectrometry, and activity assays to explore the effect of altering specific
amino acids in SiRFP on their function in the holoenzyme complex. Additionally,
we used computational analysis to predict the propensity for intrinsic disorder
within both subunits and found that SiRHP's N-terminus is predicted to have
properties associated with intrinsic disorder. Both proteins also contained
internal regions with properties indicative of intrinsic disorder. We showed that
SiRHP's N-terminal disordered region is critical for complex formation. Together
with our analysis of SiRFP amino acid variants, we show how molecular
interactions outside the core of each SiR globular enzyme drive complex assembly
of this prototypical oxidoreductase.
PMID- 29787250
TI - Pharmacokinetics in Plants: Carbamazepine and Its Interactions with Lamotrigine.
AB - Carbamazepine and lamotrigine prescribed antiepileptic drugs are highly
persistent in the environment and were detected in crops irrigated with reclaimed
wastewater. This study reports pharmacokinetics of the two drugs and their
metabolites in cucumber plants under hydroponic culture, testing their uptake,
translocation, and transformation over 96 h in single and bisolute systems at
varying pH. Ruling out root adsorption and transformations in the nutrient
solution, we demonstrate that carbamazepine root uptake is largely affected by
the concentration gradient across the membrane. Unlike carbamazepine, lamotrigine
is adsorbed to the root and undergoes ion trapping in root cells thus its
translocation to the shoots is limited. On the basis of that, carbamazepine
uptake was not affected by the presence of lamotrigine, while lamotrigine uptake
was enhanced in the presence of carbamazepine. Transformation of carbamazepine in
the roots was slightly reduced in the presence of lamotrigine. Carbamazepine
metabolism was far more pronounced in the shoots than in the roots, indicating
that most of the metabolism occurs in the leaves, probably due to higher
concentration and longer residence time. This study indicates that the uptake of
small nonionic pharmaceuticals is passive and governed by diffusion across the
root membrane.
PMID- 29787251
TI - Carbon Dioxide-Mediated C(sp3)-H Arylation of Amine Substrates.
AB - Elaborating amines via C-H functionalization has been an important area of
research over the past decade but has generally relied on an added directing
group or sterically hindered amine approach. Since free-amine-directed C(sp3)-H
activation is still primarily limited to cyclization reactions and to improve the
sustainability and reaction scope of amine-based C-H activation, we present a
strategy using CO2 in the form of dry ice that facilitates intermolecular C-H
arylation. This methodology has been used to enable an operationally simple
procedure whereby 1 degrees and 2 degrees aliphatic amines can be arylated
selectively at their gamma-C-H positions. In addition to potentially serving as a
directing group, CO2 has also been demonstrated to curtail the oxidation of
sensitive amine substrates.
PMID- 29787253
TI - Dissolved Organophosphate Esters and Polybrominated Diphenyl Ethers in Remote
Marine Environments: Arctic Surface Water Distributions and Net Transport through
Fram Strait.
AB - Organophosphate esters (OPEs) have been found in remote environments at
unexpectedly high concentrations, but very few measurements of OPE concentrations
in seawater are available, and none are available in subsurface seawater. In this
study, passive polyethylene samplers (PEs) deployed on deep-water moorings in the
Fram Strait and in surface waters of Canadian Arctic lakes and coastal sites were
analyzed for a suite of common OPEs. Total OPEs ( ?11OPE) at deep-water sites
were dominated by chlorinated OPEs, and ranged from 6.3 to 440 pg/L.
Concentrations were similar in eastern and western Fram Strait. Chlorinated OPEs
were also dominant in Canadian Arctic surface waters (mean concentration ranged
from < DL to 4400 pg/L), while nonhalogenated alkyl/aryl-substituted OPEs
remained low (1.3-55 pg/L), possibly due to the greater long-range transport
potential of chlorinated OPEs. Polybrominated diphenyl ethers (PBDEs) were found
at much lower concentrations than OPEs (=
lambda/2 (lambda is the reorganization energy). With increasing temperature, the
polaron becomes more localized and the mobility exhibits a "band-like" power law
decay due to increased site energy and electronic coupling fluctuations (local
and nonlocal electron-phonon coupling). Thus, reducing both types of electron
phonon coupling while retaining high mean electronic couplings should be part of
the strategy toward discovery of new organics with high room-temperature
mobilities.
PMID- 29787276
TI - Effects of Frequency Dependence of the External Quantum Efficiency of Perovskite
Solar Cells.
AB - Perovskite solar cells are known to show very long response time scales, on the
order of milliseconds to seconds. This generates considerable doubt over the
validity of the measured external quantum efficiency (EQE) and consequently the
estimation of the short-circuit current density. We observe a variation as high
as 10% in the values of the EQE of perovskite solar cells for different optical
chopper frequencies between 10 and 500 Hz, indicating a need to establish well
defined protocols of EQE measurement. We also corroborate these values and obtain
new insights regarding the working mechanisms of perovskite solar cells from
intensity-modulated photocurrent spectroscopy measurements, identifying the
evolution of the EQE over a range of frequencies, displaying a singular reduction
at very low frequencies. This reduction in EQE is ascribed to additional
resistive contributions hindering charge extraction in the perovskite solar cell
at short-circuit conditions, which are delayed because of the concomitant large
low-frequency capacitance.
PMID- 29787278
TI - Naphthalimide-Based DNA-Coupled Hybrid Assembly for Sensing Dipicolinic Acid: A
Biomarker for Bacillus anthracis Spores.
AB - We have designed and synthesized a novel, water-soluble naphthalimide-histidine
receptor (1) with excellent fluorescent properties. Functioning of the
synthesized receptor was performed through developing their DNA-receptor hybrid
assembly (DRHA), which has shown significant changes in the emission profile upon
interactions with dipicolinic acid (DPA), a biomarker for Bacillus anthracis
spores. DRHA showed fluorescence enhancement upon binding with DPA with the
characteristic of internal charge transfer. It is notable that this assembly
exhibited a significant limit of detection (12 nM) toward DPA. The mechanism of
sensing was fully defined using ethidium bromide (EtBr) interaction studies as
well as Fourier transform infrared spectroscopic analysis, which describes the
binding mode of DRHA with DPA. This assembly selectively interacts with DPA over
other anions, common cellular cations, and aromatic acids in aqueous media.
PMID- 29787277
TI - Targeting of EGFR, VEGFR2, and Akt by Engineered Dual Drug Encapsulated
Mesoporous Silica-Gold Nanoclusters Sensitizes Tamoxifen-Resistant Breast Cancer.
AB - Tamoxifen administration enhanced overall disease-free survival and diminished
mortality rates in cancer patients. However, patients with breast cancer often
fail to respond for tamoxifen therapy due to the development of a drug-resistant
phenotype. Functional analysis and molecular studies suggest that protein
mutation and dysregulation of survival signaling molecules such as epidermal
growth factor receptor, vascular endothelial growth factor receptor 2, and Akt
contribute to tamoxifen resistance. Various strategies, including combinatorial
therapies, show chemosensitize tamoxifen-resistant cancers. Based on
chemotoxicity issues, researchers are actively investigating alternative
therapeutic strategies. In the current study, we fabricate a mesoporous silica
gold cluster nanodrug delivery system that displays exceptional tumor-targeting
capability, thus promoting accretion of drug indices at the tumor site. We employ
dual drugs, ZD6474, and epigallocatechin gallate (EGCG) that inhibit EGFR2,
VEGFR2, and Akt signaling pathways since changes in these signaling pathways
confer tamoxifen resistance in MCF 7 and T-47D cells. Mesoporous silica gold
cluster nanodrug delivery of ZD6474 and EGCG sensitize tamoxifen-resistant cells
to apoptosis. Western and immune-histochemical analyses confirmed the apoptotic
inducing properties of the nanoformulation. Overall, results with these silica
gold nanoclusters suggest that they may be a potent nanoformulation against
chemoresistant cancers.
PMID- 29787279
TI - Multinuclear Detection of Nuclear Spin Optical Rotation at Low Field.
AB - We describe the multinuclear detection of nuclear spin optical rotation (NSOR),
an effect dependent on the hyperfine interaction between nuclear spins and
electrons. Signals of 1H and 19F are discriminated by frequency in a single
spectrum acquired at sub-millitesla field. The simultaneously acquired optical
signal along with the nuclear magnetic resonance signal allows the calculation of
the relative magnitude of the NSOR constants corresponding to different nuclei
within the sample molecules. This is illustrated by a larger NSOR signal measured
at the 19F frequency despite a smaller corresponding spin concentration. Second,
it is shown that heteronuclear J-coupling is observable in the NSOR signal, which
can be used to retrieve chemical information. Multinuclear frequency and J
resolution can localize optical signals in the molecule. Properties of electronic
states at multiple sites in a molecule may therefore ultimately be determined by
frequency-resolved NSOR spectroscopy at low field.
PMID- 29787280
TI - Transition-Metal-Free Regioselective Cross-Coupling: Controlled Synthesis of Mono
or Dithiolation Indolizines.
AB - An efficient transition-metal-free regioselective C-H/S-H cross-coupling of
indolizines with thiols has been developed for the first time to describe a
workable route to indolizine thioethers. This finding provides a new method and
more straightforward pathway for controllable synthesis of mono- or dithiolation
indolizines that are otherwise difficult to obtain by the literature methods. The
reaction exhibits good functional group tolerance and high efficiency and affords
the products in good to excellent yields.
PMID- 29787282
TI - Regulating the Uptake of Viral Nanoparticles in Macrophage and Cancer Cells via a
pH Switch.
AB - Controlling the uptake of nanomaterials into phagocytes is a challenging problem.
We describe an approach to inhibit the cellular uptake by macrophages and HeLa
cells of nanoparticles derived from bacteriophage Qbeta by conjugating negatively
charged terminal hexanoic acid moieties onto its surface. Additionally, we show
hydrazone linkers can be installed between the surface of Qbeta and the terminal
hexanoic acid moieties, resulting in a pH-responsive conjugate that, in acidic
conditions, can release the terminal hexanoic acid moiety and allow for the
uptake of the Qbeta nanoparticle. The installation of the "pH switch" did not
change the structure-function properties of the hexanoic acid moiety and the
uptake of the Qbeta conjugates by macrophages.
PMID- 29787281
TI - Palladium-Catalyzed Ring-Forming Alkene Aminoaroylation of Unsaturated Hydrazones
and Sulfonamides.
AB - The first example of a Pd(OAc)2-catalyzed ring-forming alkene aminoaroylation of
unsaturated hydrazones and sulfonamides is described. This protocol features the
use of diaryliodonium salts as both oxidants and aryl sources, thus enabling mild
reaction conditions, good chemoselectivity, a broad substrate scope, and high
functional group tolerance. A wide range of synthetically and biologically
important functionalized dihydropyrazoles and isoxazolidines have been obtained
in good yields.
PMID- 29787284
TI - Selective Synthesis of Aryl Nitriles and 3-Imino-1-oxoisoindolines via Nickel
Promoted C(sp2)-H Cyanations.
AB - An efficient nickel-promoted selective monocyanation of benzamides with TMSCN via
8-aminoquinoline directed ortho C-H activation has been developed. Varieties of
functionalized ortho-cyanated (hetero)aryl nitriles can be selectively
synthesized in moderate to good yields. These cyanation products can be easily
transformed into various 3-imino-1-oxoisoindolines in a one-pot procedure. The
mild reaction conditions, use of cheap and commercially available reagents, wide
functional group tolerance, and operational convenience make this protocol
practical to the synthetic community.
PMID- 29787286
TI - Total Synthesis of the Neoclerodane Diterpene Salvinorin A via an Intramolecular
Diels-Alder Strategy.
AB - A concise total synthesis of the neoclerodane diterpene salvinorin A from 3
furaldehyde is reported using two highly diastereoselective intramolecular Diels
Alder reactions (IMDA) as the key transformations.
PMID- 29787283
TI - 86/90Y-Based Theranostics Targeting Angiogenesis in a Murine Breast Cancer Model.
AB - Angiogenesis is widely recognized as one of the hallmarks of cancer. Therefore,
imaging and therapeutic agents targeted to angiogenic vessels may be widely
applicable in many types of cancer. To this end, the theranostic isotope pair,
86Y and 90Y, were used to create a pair of agents for targeted imaging and
therapy of neovasculature in murine breast cancer models using a chimeric anti
CD105 antibody, TRC105. Serial positron emission tomography imaging with 86Y-DTPA
TRC105 demonstrated high uptake in 4T1 tumors, peaking at 9.6 +/- 0.3%ID/g,
verified through ex vivo studies. Additionally, promising results were obtained
in therapeutic studies with 90Y-DTPA-TRC105, wherein significantly ( p < 0.05)
decreased tumor volumes were observed for the targeted treatment group over all
control groups near the end of the study. Dosimetric extrapolation and tissue
histological analysis corroborated trends found in vivo. Overall, this study
demonstrated the potential of the pair 86/90Y for theranostics, enabling
personalized treatments for cancer.
PMID- 29787285
TI - Metasurface Mirrors for External Control of Mie Resonances.
AB - The ability to control and structurally tune the optical resonances of
semiconductor nanostructures has far-reaching implications for a wide range of
optical applications, including photodetectors, (bio)sensors, and photovoltaics.
Such control is commonly obtained by tailoring the nanostructure's geometry,
material, or dielectric environment. Here, we combine insights from the field of
coherent optics and metasurface mirrors to effectively turn Mie resonances on and
off with high spatial control and in a polarization-dependent fashion. We
illustrate this in an integrated device by manipulating the photocurrent spectra
of a single-nanowire photodetector placed on a metasurface mirror. This approach
can be generalized to control spectral, angle-dependent, absorption, and
scattering properties of semiconductor nanostructures with an engineered
metasurface and without a need to alter their geometric or materials properties.
PMID- 29787287
TI - Associations between Parasympathetic Activity in the Month after Birth and Wheeze
at Age 2-3 Years.
PMID- 29787289
TI - Trends of LSD1 inhibitors in viral infections.
PMID- 29787290
TI - Amalgamation of polyphenols and probiotics induce health promotion.
AB - The residing microbiome with its vast repertoire of genes provide distinctive
properties to the host by which they can degrade and utilise nutrients that
otherwise pass the gastro-intestinal tract unchanged. The polyphenols in our diet
have selective growth promoting effects which is of utmost importance as the
state of good health has been linked to dominance of particular microbial genera.
The polyphenols in native form might more skilfully exert anti-oxidative and anti
inflammatory properties but in a living system it is the microbial derivatives of
polyphenol that play a key role in determining health outcome. This two way
interaction has invoked great interest among researchers who have commenced
several clinical surveys and numerous studies in in-vitro, simulated environment
and living systems to find out in detail about the biomolecules involved in such
interaction along with their subsequent physiological benefits. In this review,
we have thoroughly discussed these studies to develop a fair idea on how the
amalgamation of probiotics and polyphenol has an immense potential as an adjuvant
therapeutic for disease prevention as well as treatment.
PMID- 29787291
TI - Extrusion processing of raw food materials and by-products: A review.
AB - Extrusion technology has rapidly transformed the food industry with its numerous
advantages over other processing methods. It offers a platform for processing
different products from various food groups by modifying minor or major
ingredients and processing conditions. Although cereals occupy a large portion of
the extruded foods market, several other types of raw materials have been used.
Extrusion processing of various food groups, including cereals and pseudo
cereals, roots and tubers, pulses and oilseeds, fruits and vegetables, and animal
products, as well as structural and nutritional changes in these food matrices
are reviewed. Value addition by extrusion to food processing wastes and by
products from fruits and vegetables, dairy, meat and seafood, cereals and
residues from starch, syrup and alcohol production, and oilseed processing are
also discussed. Extrusion presents an economical technology for incorporating
food processing residues and by-products back into the food stream. In
contemporary scenarios, rising demand for extruded products with functional
ingredients, attributed to evolving lifestyles and preferences, have led to
innovations in the form, texture, color and content of extruded products.
Information presented in this review would be of importance to processors and
researchers as they seek to enhance nutritional quality and delivery of extruded
products.
PMID- 29787292
TI - Signature microRNA expression profile is associated with spontaneous hypertension
in African green monkey.
AB - Chlorocebus aethiops sabaeus, the African Green monkey (AGM), has been proved to
exhibit renal vascular remodeling and spontaneous hypertension. However, little
is known about the roles of microRNAs (miRNAs) in this process.Using small RNA
deep sequencing, we compared the plasma miRNA expression patterns between
hypertensive (HT) AGMs and normotensive (NT) AGMs. Expression of miRNAs (miR-122,
miR-339, miR-296-5p) was validated independently in plasma samples from 10 HT
AGMs and 10 NT AGMs (fold changes are 2.0, 1.6, 2.7 respectively; all P< 0.001).
Potential BP (blood pressure)-regulating mRNA targets were predicted by
TargetScan and confirmed in the Vero cells. We report for the first time a
circulating miRNA profile for AGM. miRNAs, such as miR-122, miR-339, miR-296-5p,
may be involved in renal pathologies and spontaneous hypertension of AGM.
PMID- 29787294
TI - Quantifying the Strength of General Factors in Psychopathology: A Comparison of
CFA with Maximum Likelihood Estimation, BSEM, and ESEM/EFA Bifactor Approaches.
AB - Whether or not importance should be placed on an all-encompassing general factor
of psychopathology (or p factor) in classifying, researching, diagnosing, and
treating psychiatric disorders depends (among other issues) on the extent to
which comorbidity is symptom-general rather than staying largely within the
confines of narrower transdiagnostic factors such as internalizing and
externalizing. In this study, we compared three methods of estimating p factor
strength. We compared omega hierarchical and explained common variance calculated
from confirmatory factor analysis (CFA) bifactor models with maximum likelihood
(ML) estimation, from exploratory structural equation modeling/exploratory factor
analysis models with a bifactor rotation, and from Bayesian structural equation
modeling (BSEM) bifactor models. Our simulation results suggested that BSEM with
small variance priors on secondary loadings might be the preferred option.
However, CFA with ML also performed well provided secondary loadings were
modeled. We provide two empirical examples of applying the three methodologies
using a normative sample of youth (z-proso, n = 1,286) and a university
counseling sample (n = 359).
PMID- 29787288
TI - A Multicenter Randomized Controlled Trial of Zephyr Endobronchial Valve Treatment
in Heterogeneous Emphysema (LIBERATE).
AB - RATIONALE: This is the first multicenter randomized controlled trial to evaluate
the effectiveness and safety of Zephyr Endobronchial Valve (EBV) in patients with
little to no collateral ventilation out to 12 months. OBJECTIVES: To evaluate the
effectiveness and safety of Zephyr EBV in heterogeneous emphysema with little to
no collateral ventilation in the treated lobe. METHODS: Subjects were enrolled
with a 2:1 randomization (EBV/standard of care [SoC]) at 24 sites. Primary
outcome at 12 months was the DeltaEBV-SoC of subjects with a post-bronchodilator
FEV1 improvement from baseline of greater than or equal to 15%. Secondary
endpoints included absolute changes in post-bronchodilator FEV1, 6-minute-walk
distance, and St. George's Respiratory Questionnaire scores. MEASUREMENTS AND
MAIN RESULTS: A total of 190 subjects (128 EBV and 62 SoC) were randomized. At 12
months, 47.7% EBV and 16.8% SoC subjects had a DeltaFEV1 greater than or equal to
15% (P < 0.001). DeltaEBV-SoC at 12 months was statistically and clinically
significant: for FEV1, 0.106 L (P < 0.001); 6-minute-walk distance, +39.31 m (P =
0.002); and St. George's Respiratory Questionnaire, -7.05 points (P = 0.004).
Significant DeltaEBV-SoC were also observed in hyperinflation (residual volume,
522 ml; P < 0.001), modified Medical Research Council Dyspnea Scale (-0.8 points;
P < 0.001), and the BODE (body mass index, airflow obstruction, dyspnea, and
exercise capacity) index (-1.2 points). Pneumothorax was the most common serious
adverse event in the treatment period (procedure to 45 d), in 34/128 (26.6%) of
EBV subjects. Four deaths occurred in the EBV group during this phase, and one
each in the EBV and SoC groups between 46 days and 12 months. CONCLUSIONS: Zephyr
EBV provides clinically meaningful benefits in lung function, exercise tolerance,
dyspnea, and quality of life out to at least 12 months, with an acceptable safety
profile in patients with little or no collateral ventilation in the target lobe.
Clinical trial registered with www.clinicaltrials.gov (NCT 01796392).
PMID- 29787293
TI - Compulsive sexual behavior: A twelve-step therapeutic approach.
AB - Background and aims Sexuality is natural to human life and inseparable from it,
yet some individuals develop compulsive sexual behavior (CSB). Many individuals
with CSB seek treatment in free self-support groups based on the twelve-step
program. This program was extensively studied in substance abuse disorders (e.g.,
Alcoholics Anonymous), but little is known about its efficiency in CSB. Methods
We "assesed" questionnaire data on sociodemographical-, psychological-, and
recovery-related factors from 97 male participants of Sexaholics Anonymous (SA)
programs in Israel. Results Our results indicated that advancement in the SA
program, measured as a current step of the program, is significantly related to
lower levels of sexual-related overall sense of helplessness, avoidant help
seeking, self-control, overall CSB, and sexual suppression. It is also related to
the higher well-being. Discussion This is the first study to examine
psychological factors of CSB recovery process in twelve-step groups, and future
research is needed to replicate our results within a longitudinal study.
PMID- 29787295
TI - Alertness and psychomotor performance levels of marine pilots on an irregular
work roster.
AB - Fatigue is recognized as an important safety concern in the transportation
industry. In this study, our goal was to investigate how circadian and sleep-wake
dependent factors influence St-Lawrence River pilots' sleep-wake cycle, alertness
and psychomotor performance levels at work. A total of 18 male St-Lawrence River
ship pilots were recruited to a 16-21-day field study. Pilots' chronotype,
sleepiness and insomnia levels were documented using standardized questionnaires.
Their sleep-wake cycle was documented by a sleep-wake log and wrist-worn activity
monitoring. Subjective alertness and objective psychomotor performances were
assessed ~5*/day for each work and rest day. Ship transits were distributed
throughout the 24-h day and lasted on average (+/- SEM) 5.93 +/- 0.67 h. Main
sleep periods occurred mainly at night, and objectively lasted 6.04 +/- 1.02 h
before work days. When going to bed at the end of work days, pilots subjectively
reported sleeping 7.64 +/- 1.64 h in the prior 24 h. Significant diurnal and wake
dependent effects were observed for subjective alertness and objective
psychomotor performance, with minimum levels occurring between 09:00 and 10:00.
Thus, despite their irregular work schedule, ship pilots presented, as a group, a
diurnal variation of alertness and psychomotor performance indicative of a day
oriented circadian system. Important inter-individual differences were observed
on psychomotor performance mesor and phase. In individuals, earlier phases in
psychomotor performance were correlated with earlier chronotype. This study
indicates that both circadian and homeostatic processes modulate alertness and
psychomotor performance levels with worst levels reached when long shifts ended
in the morning. This work has potential applications as it indicates fatigue
countermeasures considering both processes are scientifically based.
PMID- 29787297
TI - Design, synthesis, anticancer screening, docking studies and in silico ADME
prediction of some beta-carboline derivatives.
AB - BACKGROUND: Medicinal interest has focused on beta-carbolines as anticancer
agents. METHODOLOGY/RESULTS: Several beta-carbolines were designed, synthesized
and evaluated for their cytotoxic activity against MCF-7 and A-549 cancer cell
lines using MTT assay. Compounds 13a, 13c, 13d and 20a were the most promising
showing high selectivity indices. Compounds 13c and 20a showed potent inhibition
of topoisomerase (topo-I) and kinesin spindle protein (KSP/Eg5 ATPase) which was
confirmed by their docking results into the active site of both enzymes. In
silico physicochemical calculations predicted that compounds 13a, 13d and 20a
obeyed Lipinski's rule of five. CONCLUSION: Compounds 13c and 20a are multitarget
anticancer leads that act as potent inhibitors for both topo-I and/or KSP ATPase.
PMID- 29787298
TI - Uses and Misuses of Ted Kaczynski's MMPI.
AB - Although case studies can be a helpful didactic aid when teaching personality
assessment and illustrating use of a test, they can, of course, not be used as
"evidence" that a test "works" or does not work. This article, however, reviews
and discusses the far more problematic uses instantiated in a case study of Ted
Kaczynski's Minnesota Multiphasic Personality Inventory (MMPI). A series of
errors of omission and commission are identified in Butcher, Hass, Greene, and
Nelson's ( 2015 ) effort to criticize the MMPI-2-RF. These include not disclosing
that Butcher's interpretive Minnesota Report for Forensic Settings indicates that
the protocol is invalid, not including most of the MMPI-2 and MMPI-2-RF scores
that contradict the authors' assertions, and mischaracterizing the MMPI-2-RF
findings. Proper use of a case study is then illustrated by a discussion of
diagnostic considerations indicated by the MMPI-2-RF findings.
PMID- 29787296
TI - Short Tandem Repeat (STR) Profiles of Commonly Used Human Ocular Surface Cell
Lines.
AB - PURPOSE: The purpose of this study is to establish the short tandem repeat (STR)
profiles of several human cell lines commonly used in ocular surface research.
MATERIALS AND METHODS: Independently DNA was extracted from multiple passages of
three human corneal epithelial cell lines, two human conjunctival epithelial cell
lines and one meibomian gland cell line, from different laboratories actively
involved in ocular surface research. The samples were then subjected to STR
analysis on a fee-for-service basis in an academic setting and the data compared
against that in available databases. RESULTS: The STR profiles for the human
corneal epithelial cells were different among the three cell lines studied and
for each line the profiles were identical across the samples provided by three
laboratories. Profiles for the human conjunctival epithelial cells were different
among the two cell lines studied. Profiles for the meibomian gland cell line were
identical across the samples provided by three laboratories. No samples were
contaminated by elements of other cell lines such as HeLa. CONCLUSIONS: This
comprehensive study provides verification of STR profiles for commonly used human
ocular surface cell lines that can now be used as a reference by others in the
field to authenticate the cell lines in use in their own laboratories.
PMID- 29787299
TI - The influences of thermal processing on phytochemicals and possible routes to the
discovery of new phytochemical conjugates.
AB - In our diets, many of the consumed foods are subjected to various forms of
heating and thermal processing. Besides enhancing the taste, texture, and aroma
of the foods, heating helps to sterilize and facilitate food storage. On the
other hand, heating and thermal processing are frequently reported during the
preparation of various traditional herbal medicines. In this review, we intend to
highlight works by various research groups which reported on changes in
phytochemicals and bioactivities, following thermal processing of selected plant
derived foods and herbal medicines. Relevant cases from plant-derived foods
(garlic, coffee, cocoa, barley) and traditional herbal medicines (Panax ginseng,
Polygonum multiforum, Aconitum carmichaelii Debeaux, Angelica sinensis Radix)
will be presented in this review. Additionally, related works using pure
phytochemical compounds will also be highlighted. In some of these cases, the
amazing formation of new compounds were being reported. Maillard reaction could
be concluded as the predominant pathway leading to the formation of new
conjugates, along with other possibilities being suggested (degradation,
transglycosylation, deglycosylation and dehydration). With collective efforts
from all researchers, it is hoped that more details will be revealed and lead to
the possible discovery of new, heat-mediated phytochemical conjugates.
PMID- 29787300
TI - Recent progress in the discovery and development of DNA gyrase B inhibitors.
AB - New antibacterials that modulate less explored targets are needed to fight the
emerging bacterial resistance. DNA gyrase and topoisomerase IV are attractive
targets in this search. These are both type II topoisomerases that can cleave
both DNA strands, and can thus alter DNA topology during replication or similar
processes. Currently, there are no ATP-competitive inhibitors of these two
enzymes on the market, as the only aminocoumarin representative, novobiocin, was
withdrawn due to safety concerns. The search for novel ATP-competitive inhibitors
is a focus of ongoing industrial and academical research. This review summarizes
the recent efforts in the design, synthesis and evaluation of GyrB/ParE
inhibitors. The various approaches to achieve improved antibacterial activities
are described, with particular reference to Gram-negative bacteria.
PMID- 29787301
TI - Innovative Surgical Treatment of Severe Cherubism.
AB - BACKGROUND: Cherubism is an autosomal dominant syndrome characterized by
excessive bilateral maxillomandibular bony degeneration and fibrous tissue
hyperplasia. Conservative management is the preferred treatment as cherubism has
a self-limiting course. Functional or emotional disturbances may, however, demand
surgical intervention. We report a patient who underwent surgical intervention.
Method/Description: He had significant enlargement of lower cheeks and bilateral
lower lid scleral show. On computed tomography of the face, the patient had
significant fibrous tissue involving bilateral maxilla and mandible. The
mandibular tumor was excised. Given normal inferior border, bilateral sagittal
split osteotomy was performed to infracture and inset the outer cortex. During
the procedure, patient required blood transfusion intraoperatively, so the
maxillary portion of the procedure was delayed until 6 months later. For the
maxilla, bilateral transconjunctival approach was used to resect parts of the
orbital floors that were concave, resulting in 1 * 2 cm defects bilaterally which
were reconstructed using resorbable plates. Then the anterior maxillary tumor was
excised. RESULTS: The patient and his parents were satisfied with his appearance
after surgery. The patient was noted to have improvement in contour and decreased
scleral show. He has most recently followed up 15 months after the initial
surgery. There were no long-term complications. CONCLUSIONS: Severity of
cherubism influences the type of surgical intervention. The present case is
innovative because this is the first reported case of recontouring orbital floors
with resorbable plates and infracturing of the mandible using sagittal split
osteotomies for surgical treatment of cherubism.
PMID- 29787302
TI - Orthodontic-Surgical Approach for Treating Skeletal Class III Malocclusion With
Severe Maxillary Deficiency in Isolated Cleft Palate.
AB - Orthodontic treatment in patients with orofacial cleft such as cleft lip and
palate or isolated cleft palate is challenging, especially when the patients
exhibit severe maxillary growth retardation. To correct this deficiency,
maxillary expansion and protraction can be performed in the first phase of
orthodontic treatment. However, in some cases, the malocclusion cannot be
corrected by these procedures, and thus, skeletal discrepancy remains when the
patients are adolescents. These remaining problems occasionally require various
orthognathic treatments according to the degree of the discrepancy. Here, we
describe one case of a female with isolated cleft palate and hand malformation
who exhibited severe maxillary deficiency until her adolescence and was treated
with multiple orthognathic surgeries, including surgically assisted maxillary
expansion (surgically assisted rapid palatal expansion), LeFort I osteotomy, and
bilateral sagittal split osteotomy in order to correct severe skeletal
discrepancy and malocclusion. The treatment resulted in balanced facial
appearance and mutually protected occlusion with good stability. The purpose of
this case report is to show the orthodontic treatment outcome of 1 patient who
exhibited isolated cleft palate and subsequent severe skeletal deformities and
malocclusion which was treated by an orthodontic-surgical approach.
PMID- 29787303
TI - Where do nanometric micelles stand for biomedical applications?
PMID- 29787305
TI - Functional changes of the SCN in spontaneous hypertension but not after the
induction of hypertension.
AB - The present study investigates the circadian behavior of spontaneously
hypertensive rats (SHRs) during the pre-hypertensive and hypertensive stage, with
the aim to gain insight into whether observed changes in the functionality of
suprachiasmatic nucleus (SCN) in the hypertensive state are cause or consequence
of hypertension. Four types of animals were used in this study: (1) SHRs which
develop hypertension genetically; (2) their normotensive controls, Wistar Kyoto
rats (WKYs); (3) Wistar rats whereby hypertension was surgically induced (2
Kidney 1 Clamp (2K1C) method); and (4) sham-operated control Wistar rats. Period
length and activity levels and amplitude changes of locomotor and wheel running
activity were determined, in constant conditions, as a measure of the
functionality of the SCN. Hereto two conditions were used, constant darkness (0
lux) and constant dim (5 lux) light. SHRs showed a shortened period of their
locomotor and running wheel activity rhythms in constant darkness during both pre
hypertensive and hypertensive stages and exhibited period lengthening in constant
dim light conditions, only during hypertensive stages. Total amount as well as
the amplitude of daily running wheel rhythms showed an inverse correlation with
the period length, and this relation was significantly different in SHRs compared
to WKYs. None of the aforementioned changes in circadian rhythms were observed
after the surgical induction of hypertension. The present findings suggest early
functional changes of the SCN in the etiology of spontaneous hypertension.
PMID- 29787306
TI - Correction to: Development of the Zuckerman-Kuhlman-Aluja Personality
Questionnaire (ZKA-PQ): A Factor/Facet Version of the Zuckerman-Kuhlman
Personality Questionnaire (ZKPQ).
PMID- 29787304
TI - Deterioration of Regional Lung Strain and Inflammation during Early Lung Injury.
AB - RATIONALE: The contribution of aeration heterogeneity to lung injury during early
mechanical ventilation of uninjured lungs is unknown. OBJECTIVES: To test the
hypotheses that a strategy consistent with clinical practice does not protect
from worsening in lung strains during the first 24 hours of ventilation of
initially normal lungs exposed to mild systemic endotoxemia in supine versus
prone position, and that local neutrophilic inflammation is associated with local
strain and blood volume at global strains below a proposed injurious threshold.
METHODS: Voxel-level aeration and tidal strain were assessed by computed
tomography in sheep ventilated with low Vt and positive end-expiratory pressure
while receiving intravenous endotoxin. Regional inflammation and blood volume
were estimated from 2-deoxy-2-[(18)F]fluoro-d-glucose (18F-FDG) positron emission
tomography. MEASUREMENTS AND MAIN RESULTS: Spatial heterogeneity of aeration and
strain increased only in supine lungs (P < 0.001), with higher strains and
atelectasis than prone at 24 hours. Absolute strains were lower than those
considered globally injurious. Strains redistributed to higher aeration areas as
lung injury progressed in supine lungs. At 24 hours, tissue-normalized 18F-FDG
uptake increased more in atelectatic and moderately high-aeration regions (>70%)
than in normally aerated regions (P < 0.01), with differential mechanistically
relevant regional gene expression. 18F-FDG phosphorylation rate was associated
with strain and blood volume. Imaging findings were confirmed in ventilated
patients with sepsis. CONCLUSIONS: Mechanical ventilation consistent with
clinical practice did not generate excessive regional strain in heterogeneously
aerated supine lungs. However, it allowed worsening of spatial strain
distribution in these lungs, associated with increased inflammation. Our results
support the implementation of early aeration homogenization in normal lungs.
PMID- 29787307
TI - Membrane-based technologies for meeting the recovery of biologically active
compounds from foods and their by-products.
AB - To date, according to the latest literature inputs, membranes-based technologies
(microfiltration, ultrafiltration and nanofiltration) have demonstrated to meet
the recovery of biologically active compounds, mainly phenolic compounds and
their derivatives, from agro-food products and by-products. The goal of this
paper is to provide a critical overview of the on ongoing development works aimed
at improving the separation, fractionation and concentration of phenolic
compounds and their derivatives from their original sources. The literature data
are analyzed and discussed in relation to separation processes, molecule
properties, membrane characteristics and key factors affecting the performance of
such technologies. Technological advances and improvements over conventional
technologies, as well as critical aspects to be further investigated are
highlighted and discussed. Finally, a critical outlook about the current status
for a large-scale application and the role of these processes from an
environmental viewpoint is provided.
PMID- 29787308
TI - Acute Respiratory Distress Syndrome: Can Data from the Sick Guide Care for the
Healthy?
PMID- 29787310
TI - Decreased expression of Na+-H+ exchanger isoforms 1 and 3 in denervated
spontaneously hypertensive rat kidney.
AB - To determine whether the sympathetic nerve plays a role in the regulation of Na+
H+ exchange (NHE) in the kidney of spontaneously hypertensive rats (SHR), we
investigated the expression of NHE and NHE regulatory protein family (NHERF) in
the denervated kidneys compared with intact kidneys. Twelve-week-old male SHR and
age-matched Wistar Kyoto (WKY) rats were used. SHR were randomly assigned to the
renal denervated (RDNX, n = 8) or Sham (n = 8) groups. The protein and mRNA
expression of NHE1, NHE3, NHERF1 and NHERF2 were assessed in the kidney of the
groups. Following the renal denervation, immunohistochemistry and western blot
analysis showed that NHE1 and NHE3 protein were significantly decreased in the
kidney compared with Sham group. NHERF1 protein expression was significantly
increased in RDNX compared with Sham group, whereas NHERF2 protein expression was
significantly decreased after renal denervation. Similar results were observed at
the mRNA level of NHE1, NHE3, NHERF1 and NHERF2 expression. The present findings
suggest that the renal sympathetic nervous system plays a role in the regulation
of NHE1 and NHE3 in the kidney of SHR, and NHERF1 may be involved in the
expression of NHE3 in the kidney of SHR.
PMID- 29787313
TI - Clinical Nononcologic Applications of PET/CT and PET/MRI in Musculoskeletal,
Orthopedic, and Rheumatologic Imaging.
AB - OBJECTIVE: With improvements in PET/CT and PET/MRI over the last decade, as well
as increased understanding of the pathophysiology of musculoskeletal diseases,
there is an emerging potential for PET as a primary or complementary modality in
the management of rheumatologic and orthopedic conditions. CONCLUSION: We discuss
the role of PET/CT and PET/MRI in nononcologic musculoskeletal disorders,
including inflammatory and infectious conditions and postoperative complications.
There is great potential for an increased role for PET to serve as a primary or
complementary modality in the management of orthopedic and rheumatologic
disorders.
PMID- 29787309
TI - Predicting Intensive Care Unit Readmission with Machine Learning Using Electronic
Health Record Data.
AB - RATIONALE: Patients transferred from the intensive care unit to the wards who are
later readmitted to the intensive care unit have increased length of stay,
healthcare expenditure, and mortality compared with those who are never
readmitted. Improving risk stratification for patients transferred to the wards
could have important benefits for critically ill hospitalized patients.
OBJECTIVES: We aimed to use a machine-learning technique to derive and validate
an intensive care unit readmission prediction model with variables available in
the electronic health record in real time and compare it to previously published
algorithms. METHODS: This observational cohort study was conducted at an academic
hospital in the United States with approximately 600 inpatient beds. A total of
24,885 intensive care unit transfers to the wards were included, with 14,962
transfers (60%) in the training cohort and 9,923 transfers (40%) in the internal
validation cohort. Patient characteristics, nursing assessments, International
Classification of Diseases, Ninth Revision codes from prior admissions,
medications, intensive care unit interventions, diagnostic tests, vital signs,
and laboratory results were extracted from the electronic health record and used
as predictor variables in a gradient-boosted machine model. Accuracy for
predicting intensive care unit readmission was compared with the Stability and
Workload Index for Transfer score and Modified Early Warning Score in the
internal validation cohort and also externally using the Medical Information Mart
for Intensive Care database (n = 42,303 intensive care unit transfers). RESULTS:
Eleven percent (2,834) of discharges to the wards were later readmitted to the
intensive care unit. The machine-learning-derived model had significantly better
performance (area under the receiver operating curve, 0.76) than either the
Stability and Workload Index for Transfer score (area under the receiver
operating curve, 0.65), or Modified Early Warning Score (area under the receiver
operating curve, 0.58; P value < 0.0001 for all comparisons). At a specificity of
95%, the derived model had a sensitivity of 28% compared with 15% for Stability
and Workload Index for Transfer score and 7% for the Modified Early Warning
Score. Accuracy improvements with the derived model over Modified Early Warning
Score and Stability and Workload Index for Transfer were similar in the Medical
Information Mart for Intensive Care-III cohort. CONCLUSIONS: A machine learning
approach to predicting intensive care unit readmission was significantly more
accurate than previously published algorithms in both our internal validation and
the Medical Information Mart for Intensive Care-III cohort. Implementation of
this approach could target patients who may benefit from additional time in the
intensive care unit or more frequent monitoring after transfer to the hospital
ward.
PMID- 29787315
TI - Medicolegal-Malpractice and Ethical Issues in RadiologyIf I Don't Adhere to Every
Detail in an American College of Radiology Parameter, Am I Vulnerable to
Malpractice Litigation?
PMID- 29787314
TI - Main Pancreatic Duct Dilatation: Isolated or Not?
PMID- 29787316
TI - Reply to "Prostate Cancer and Prostate Imaging Reporting and Data System Category
3 Lesions Identified at Multiparametric Prostate MRI".
PMID- 29787317
TI - Identifying and Disseminating More Best Practices.
PMID- 29787318
TI - Prostate Cancer and Prostate Imaging Reporting and Data System Category 3 Lesions
Identified at Multiparametric Prostate MRI.
PMID- 29787319
TI - Usefulness of multiple electrode aggregometry as a screening tool for bleeding
disorders in a pediatric hospital.
AB - Platelet function testing is a cornerstone in the diagnostic investigation of
patients with a bleeding history. Multiple electrode aggregometry (MEA) has been
shown to detect von Willebrand disease (VWD), platelet function disorders, and
drug-induced bleeding disorders. However, there are few studies supporting its
successful use in children. We have implemented and used MEA over 3 years in our
hemostasis laboratory in order to study its usefulness to supplement and expedite
diagnosis. This is a retrospective, single-center, cohort study of 109
hospitalized children who underwent a laboratory investigation of hemostasis and
either had a reported bleeding history or an abnormal bleeding episode. Plasmatic
coagulation testing, blood counts, plasmatic von Willebrand testing, platelet
function analyzer (PFA-100), and impedance aggregometry (MEA) were performed in
all children. Light transmission aggregometry testing was performed as needed. In
41 cases (37.6%), a working diagnosis was made; a primary hemostatic disorder was
detected in 35 children (VWD (n = 16), platelet disorder (n = 15), and valproic
acid therapy-induced bleeding disorder (n = 3), acetylsalicylic acid-related
bleeding (n = 1). In patients diagnosed with VWD, MEA ristocetin-induced platelet
aggregation test (RISTO) high test revealed abnormally low aggregation in six
patients (43.8%); whereas in patients diagnosed with a platelet function
disorder, abnormally low values were found by MEA in only three children (20%).
Three of the four children with laboratory evidence of drug-induced platelet
dysfunction had abnormalities on MEA. There were no cases in which an abnormal
MEA result was used to make a previously undetermined diagnosis. Retrospectively,
MEA has demonstrated limited additional diagnostic value beyond standard
laboratory testing for detecting defects of primary hemostasis in children.
PMID- 29787320
TI - Gemtuzumab ozogamicin for the treatment of acute myeloid leukemia.
AB - INTRODUCTION: Gemtuzumab ozogamicin (GO) is an antibody-drug conjugate consisting
of a monoclonal antibody targeting CD33 linked to a cytotoxic derivative of
calicheamicin. Despite the known clinical efficacy in relapsed/refractory acute
myeloid leukemia (AML), GO was withdrawn from the market in 2010 due to increased
early deaths witnessed in newly diagnosed AML patients receiving GO + intensive
chemotherapy. In 2017, new data on the clinical efficacy and safety of GO
administered on a fractionated-dosing schedule led to re-approval for newly
diagnosed and relapsed/refractory AML. Areas covered: Addition of fractionated GO
to chemotherapy significantly improved event-free survival of newly diagnosed AML
patients with favorable and intermediate cytogenetic-risk disease. GO monotherapy
also prolonged survival in newly diagnosed unfit patients and relapse-free
survival in relapsed/refractory AML. This new dosing schedule was associated with
decreased incidence of hepatotoxicity, veno-occlusive disease, and early
mortality. Expert commentary: GO represents the first drug-antibody conjugate
approved (twice) in the United States for AML. Its re-emergence adds a valuable
agent back into the armamentarium for AML. The approval of GO as well as three
other agents for AML in 2017 highlights the need for rapid cytogenetic and
molecular characterization of AML and incorporation into new treatment
algorithms.
PMID- 29787321
TI - Enhanced Depth Imaging by High-Resolution Spectral Domain Optical Coherence
Tomography in Tubercular Multifocal Serpiginoid Choroiditis.
AB - PURPOSE: To report the choroidal changes by enhanced depth imaging optical
coherence tomography (EDI-OCT) in tubercular multifocal serpiginoid choroiditis
(MSC). METHODS: Prospective study of 20 patients (23 eyes) with active MSC who
underwent simultaneous fundus autofluorescence and EDI-OCT imaging at regular
visits. RESULTS: Eyes with acute lesions demonstrated diffuse choroidal
thickening at presentation, which decreased significantly as the lesions healed.
Additionally, the region of (thickened) choroid just beneath the active
choroiditis lesion demonstrated a localized area of mixed reflectivity (a central
hyperreflectivity surrounded by a zone of hyporeflectivity), suggesting choroidal
involvement deeper to choriocapillaris. Once the lesions healed, the choroid
under the scar showed a localized thinning, along with outer retinal layers loss.
CONCLUSION: EDI-OCT highlighted diffuse and localized choroidal structural
changes in MSC as the lesions evolved from acute to healed stage, providing an
adjunct to clinical examination for monitoring response to therapy.
PMID- 29787322
TI - Short-Term Deposition of PM2.5 Particles on Contact Lens Surfaces: Effect on
Oxygen Permeability and Refractive Index.
AB - PURPOSES: To identify the deposition of fine (<=2.5 MUm diameter) particulate
matter (PM) particles (PM2.5) on contact lens surfaces and to investigate the
effects of such deposition on the oxygen permeability (OP) and refractive index
(RI) of contact lenses. METHODS: A total of 36 contact lenses, including rigid
gas permeable (RGP) lens and soft contact lens (SCL), were investigated. RGP lens
(n=12) and SCL (n=12) (experimental group) were incubated in a PM2.5 solution for
24 h, after which PM2.5-treated RGP lens (n=6) and SCL (n=6) were further washed
for 1 h in phosphate-buffered saline (PBS). All lenses were examined by field
emission scanning electron microscopy. OP and RI of all lenses were measured.
RESULTS: Average-sized PM2.5 particles deposited on RGP contact lens and SCL
surfaces after immersion in the PM2.5 solution were 3.192 +/- 1.637 and 2.158 +/-
1.187/100 MUm2, respectively. On RGP lens surfaces, we observed both large (>=2.5
um diameter) and small (PM2.5) particles. PM2.5 particles were deposited in
diffuse patterns, primarily along the honeycomb structural border of SCL, while
no PM2.5 particles were found in the honeycomb hole of SCL surfaces. Washing in
PBS removed the larger PM particles from RGP lens surfaces, but left copious
amounts of PM2.5 particles. In contrast, nearly all PM particles were removed
from SCL surfaces after PBS washing. OP values of RGP lens and SCL appeared to be
unchanged by PM2.5 deposition. RI values increased in both RGP lens and SCL
groups after PM2.5 deposition. However, these increases were not statistically
significant, suggesting that PM2.5 deposition itself does not cause fluctuations
in contact lens RI. CONCLUSIONS: Deposition of PM2.5 particles on contact lens
surfaces varies according to lens material. PM2.5 particles deposited on SCL, but
only large particles on RGP surfaces were able to be removed by washing in PBS
and did not appear to alter OP and RI of either lens type.
PMID- 29787324
TI - Provider Perspectives on Topical Analgesics.
AB - Topical analgesics are effective and alternative means to systemic therapy, often
minimizing the adverse drug effects and complications of systemic analgesic use.
Despite the number of available topical analgesics, there is little direction
provided in practice guidelines on their appropriate use and little is known
about patterns of their prescribing. To begin understanding these knowledge gaps,
we sought provider perspectives on topical analgesic use at a large academic
medical center. This electronic survey seeks to explore the perceptions and
prescription patterns of topical analgesics among prescribers in a large academic
medical center, where the availability of topical analgesics varies. Among
topical analgesics, lidocaine (78%) is prescribed more frequently than
nonsteroidal anti-inflammatory drugs (NSAIDs; 41%) or morphine (3%). Formulations
and indications of use varied between faculty physicians and nonfaculty
providers. Reasons for prescribing were largely based on anecdotal experience.
Based on the survey results, it is clear that more topical agents are needed to
manage pain; however, so too is guidance on appropriate prescribing.
PMID- 29787323
TI - The role of vaccines in fighting antimicrobial resistance (AMR).
AB - The problem of antimicrobial resistance (AMR) and the associated morbidity and
mortality due to antibiotic resistant bacterial pathogens is not new. However,
AMR has been increasing at an alarming rate with appearances of diseases caused
by bacteria exhibiting resistance to not just one but multiple classes of
antibiotics. The World Health Organization (WHO) supported by governments, health
ministries and health agencies has formulated global action plans to combat the
rise in AMR, supporting a number of proven initiatives such as antimicrobial
stewardship, investments in development of new classes of antibiotics, and
educational programs designed to eliminate inappropriate antibiotic use. Vaccines
as tools to reduce AMR have historically been under-recognized, yet the positive
effect in reducing AMR has been well established. For example Haemophilus
influenzae type B (Hib) as well as Streptococcus pneumoniae (pneumococcal)
conjugate vaccines have impressive track records in not only preventing life
threatening diseases caused by these bacteria, but also reducing antibiotic use
and AMR. This paper will describe the drivers of antibiotic use and subsequent
development of AMR; it will make the case how existing vaccines are already
participating in combatting AMR, describe future prospects for the role of new
vaccines in development to reduce AMR, and highlight challenges associated with
future vaccine development to combat AMR.
PMID- 29787325
TI - Barriers to Self-Reporting Patient Safety Incidents by Paramedics: A Mixed
Methods Study.
AB - BACKGROUND: A minimal amount of research exists examining the extent to which
patient safety events occur within paramedicine and even fewer studies
investigating patient safety systems for self-reporting by paramedics. The
purpose of this study was to identify barriers to paramedic self-reporting of
patient safety incidents (PSIs). METHODS: We randomly distributed paper-based
surveys among 1,153 paramedics in an Ontario region in Canada. The survey
described one of 5 different PSI clinical scenarios (near miss, adverse event,
and minor, major or critical patient care variances) and listed 18 potential
barriers to self-reporting PSIs as statements presented for rating on a 5-point
Likert scale (very significant = 1 - very insignificant = 5). We invited comments
on PSI self-reporting with 2 open-ended questions. We analyzed data with
descriptive statistics, chi-square tests and Kruskal-Wallis H test. We used an
inductive approach to qualitatively analyze emerging themes. RESULTS: We received
responses from 1,133 paramedics (98.3%). Almost one third (28.4%) were Advanced
Care Paramedics and 45.1% had >10 years' experience. The top 5 barriers to PSI
self-reporting (very significant or significant, %) were the fear of being:
punished (81.4%), suspended (79.6%), terminated (79.1%), investigated by Ministry
of Health and Long-Term Care (78.4%), and decertified (78.0%). Overall, 64.1%
responded they would self-report a given PSI. Intention to self-report a PSI
varied according to scenario (22.8% near miss, 46.6% adverse event, 74.4% minor,
92.6% major, 95.6% critical). No association was found between level of training
(p = 0.55) or years of experience (p = 0.10) and intention to self-report a PSI.
Seven themes to improve PSI self-reporting by paramedics emerged from the
qualitative data. CONCLUSIONS: A high proportion of fear-based barriers to self
reporting of PSIs exist among this study population. This suggests that a culture
change is needed to facilitate the identification of future patient safety
threats.
PMID- 29787326
TI - Application of High-Throughput Flow Cytometry in Early Drug Discovery: An
AstraZeneca Perspective.
AB - Flow cytometry is a powerful tool providing multiparametric analysis of single
cells or particles. The introduction of faster plate-based sampling technologies
on flow cytometers has transformed the technology into one that has become
attractive for higher throughput drug discovery screening. This article describes
AstraZeneca's perspectives on the deployment and application of high-throughput
flow cytometry (HTFC) platforms for small-molecule high-throughput screening
(HTS), structure-activity relationship (SAR) and phenotypic screening, and
antibody screening. We describe the overarching HTFC workflow, including the
associated automation and data analysis, along with a high-level overview of our
HTFC assay portfolio. We go on to discuss the practical challenges encountered
and solutions adopted in the course of our deployment of HTFC, as well as future
enhancements and expansion of the technology to new areas of drug discovery.
PMID- 29787327
TI - Establishing and Evaluating Autoverification Rules with Intelligent Guidelines
for Arterial Blood Gas Analysis in a Clinical Laboratory.
AB - Arterial blood gas (ABG) analysis is important for acutely ill patients and
should be performed by qualified laboratorians. The existing manual verifications
are tedious, time-consuming, and prone to send wrong reports. Autoverification
uses computer-based rules to verify clinical laboratory test results without
manual review. To date, no data are available on the use of autoverification for
ABG analysis. All autoverification rules were established according to AUTO10-A.
Additionally, the rules were established using retrospective patient data, and
then validated by actual clinical samples in a "live" environment before go-live.
The average autoverification passing rate was 75.5%. The turnaround time (TAT)
was reduced by 33.3% (27 min vs 18 min). Moreover, the error rate fell to 0.05%
after implementation. Statistical analysis resulted in a kappa statistic of 0.92
( p < 0.01), indicating close agreement between autoverification and senior
technician verification, and the chi-square value was 22.4 ( p < 0.01),
indicating that the autoverification error rate was lower than the manual
verification error rate. Results showed that implementing autoverification rules
with intelligent guidelines for ABG analysis of patients with critical illnesses
could decrease the number of samples requiring manual verification, reduce TAT,
and eliminate errors, allowing laboratorians to concentrate more time on abnormal
samples, patient care, and collaboration with physicians.
PMID- 29787329
TI - Sensitivity to change and responsiveness of the Segmental Assessment of Trunk
Control (SATCo) in children with spinal cord injury.
AB - PURPOSE: The purpose of this study was to assess the sensitivity and
responsiveness of the Segmental Assessment of Trunk Control (SATCo) for
evaluating trunk control in children with spinal cord injury (SCI) receiving
activity-based locomotor training (AB-LT). METHODS: Prospective study of nine
outcomes for consecutively enrolled children in outpatient AB-LT. To evaluate
sensitivity to change, linear-mixed models were constructed and adjusted for
covariates: age at and time since SCI. To evaluate responsiveness, standardized
response means and 95% confidence intervals were estimated per outcome. RESULTS:
SATCo scores increased significantly (p < 0.05) regardless of chronicity, initial
score, and injury level. The SATCo was the most responsive measure and the only
outcome demonstrating a large effect size after 3 months of therapy. CONCLUSIONS:
Children with SCI receiving AB-LT improved trunk control regardless of
chronicity, initial impairment, or prior experience. SATCo sensitivity and
responsiveness support its usefulness in measuring trunk control in children with
SCI.
PMID- 29787328
TI - Megakaryocyte apoptosis in immune thrombocytopenia.
AB - The mechanisms of platelet underproduction in immune thrombocytopenia (ITP)
remain unknown. While the number of megakaryocytes is normal or increased in ITP
bone marrow, further studies of megakaryocyte integrity are needed.
Megakaryocytes are responsible for the production of platelets in the bone
marrow, and they are possible targets of immune-mediated injury in ITP. Since the
biological process of megakaryocyte apoptosis impacts platelet production, we
investigated megakaryocyte DNA fragmentation as a marker of apoptosis from ITP
bone marrow biopsies. Archived bone marrow biopsy specimens from ITP patients,
bone marrow specimens from controls with normal platelet counts, and bone marrow
specimens from thrombocytopenic controls with myelodysplastic syndrome (MDS) were
evaluated. Sections were stained with anti-CD61 for megakaryocyte enumeration,
and terminal deoxynucleotidyl transferase dUTP nick-end labeling was used as an
apoptotic indicator. In ITP patients, megakaryocyte apoptosis was reduced
compared to nonthrombocytopenic controls. Megakaryocyte apoptosis was similarly
reduced in thrombocytopenic patients with MDS. These results suggest a link
between megakaryocyte apoptosis and platelet production.
PMID- 29787330
TI - The Myth of the Well-Known Client.
AB - A common idiomatic phrase in mental health care is "well known" client, patient,
or service user. This phrase is often followed by "to mental health services" or
some such, suggesting that a "service" can really know anything. Notwithstanding
mental health services, especially public ones are a repository for a lot of
information, such as facts about people, their service use, diagnosis, notes and
assessments of various kinds; this conglomeration of information is not knowing,
any more than a library may be "knowing". Knowing is a distinctly human activity.
This paper will argue that this phrase is arrogant, a signifier of ignorance and
ought to be relegated to history or back room banter with phrases like "frequent
flyer", "bed seeker" and other derogatory and objectifying language.
PMID- 29787331
TI - A Customizable, Low-Cost Perfusion System for Sustaining Tissue Constructs.
AB - The fabrication of engineered vascularized tissues and organs requiring
sustained, controlled perfusion has been facilitated by the development of
several pump systems. Currently, researchers in the field of tissue engineering
require the use of pump systems that are in general large, expensive, and
generically designed. Overall, these pumps often fail to meet the unique demands
of perfusing clinically useful tissue constructs. Here, we describe a pumping
platform that overcomes these limitations and enables scalable perfusion of
large, three-dimensional hydrogels. We demonstrate the ability to perfuse
multiple separate channels inside hydrogel slabs using a preprogrammed schedule
that dictates pumping speed and time. The use of this pump system to perfuse
channels in large-scale engineered tissue scaffolds sustained cell viability over
several weeks.
PMID- 29787332
TI - Comparison of Nine Tools for Screening Drug-Drug Interactions of Oral Oncolytics.
AB - PURPOSE: Patients with cancer are an especially vulnerable population to
potential drug-drug interactions (DDIs). This makes it important to adequately
screen them for DDIs. The objective of this study was to compare the abilities of
nine DDI screening tools to detect clinically relevant interactions with oral
oncolytics. METHODS: Subscription-based tools (ie, PEPID, Micromedex, Lexicomp,
Facts & Comparisons) and free tools (ie, Epocrates Free, Medscape, Drugs.com,
RxList, WebMD) were compared for their abilities to detect clinically relevant
DDIs for 145 drug pairs including an oral oncology agent. Clinical relevance was
determined by a pharmacist using Stockley's Drug Interactions. Descriptive
statistics were calculated for each tool, including sensitivity, specificity,
positive predictive value (PPV), and negative predictive value (NPV), and then
compared grouped by free or subscription-based tools for the secondary analysis
and analyzed via generalized estimating equations. RESULTS: For individual
metrics, PPV had overall higher values (0.88 to 0.97) relative to the low values
included for sensitivity (0.65 to 0.96), specificity (0.53 to 0.93) and NPV (0.38
to 0.83). The top-performing subscription and free tools, Lexicomp and Drugs.com,
had no statistically significant differences in performance. Overall,
subscription tools had a significantly higher sensitivity (0.85 +/- 0.017 v 0.78
+/- 0.017; P = .0082) and NPV (0.57 +/- 0.039 v 0.48 +/- 0.032; P = .031) than
free tools. No differences were observed between the specificity and PPV.
CONCLUSION: Due to the low performance of some tools for sensitivity,
specificity, and NPV, individual performance should be examined and prioritized
on the basis of the intended use when selecting a DDI tool. If a strong
performing subscription-based tool is unavailable, a strong-performing free
option, like Drugs.com, is available.
PMID- 29787334
TI - Global rotavirus vaccine introductions and coverage: 2006 - 2016.
AB - An estimated 215,000 children died of rotavirus infections in 2013, accounting
for 37% of diarrhea-related deaths worldwide, 92% of which occurred in low and
lower-middle income countries. Since 2009 the World Health Organization (WHO)
recommends the use of rotavirus vaccines in all national immunization programs.
This review compares rotavirus vaccine (RV) introductions and vaccine coverage by
region, country income status and Gavi-eligibility from 2006-2016. Gross National
Income data from the World Bank and surviving infant population from United
Nations Population Division was obtained for 2016. Data from WHO were collected
on rotavirus vaccine coverage, national immunization schedules, and new vaccine
introductions for 2016 while estimated rotavirus deaths were collected for 2013,
the last year of available WHO data. As of December 2016, the majority of
countries (57%, 110/194) had not introduced universal rotavirus vaccine despite
WHO's 2009 recommendation to do so. Countries in the WHO African region had the
greatest proportion of introductions (37%, 31/84) by December 2016 and a great
majority of these (77%, 24/31) were supported by new vaccine introduction (NVI)
grants from Gavi. Almost half (48%) of global introductions were in low and lower
middle income Gavi-eligible and Gavi-graduating countries. Conversely, countries
in the Southeast Asia WHO region and those not eligible for Gavi NVI support have
been slow to introduce rotavirus vaccine. High-income countries, on average, had
poorer rotavirus vaccine coverage compared to low and lower-middle income
countries. The over-representation of African countries within the Gavi subset
and high estimated rotavirus deaths in these African countries, likely explains
why introduction efforts have been focused in this region. While much progress
has been made with the integration and implementation of rotavirus vaccine into
national immunization programs, 110 countries representing 69% of the global
birth cohort had yet to introduce the vaccine by December 2016.
PMID- 29787335
TI - Platelet proteomics: from discovery to diagnosis.
AB - INTRODUCTION: Platelets are the smallest cells within the circulating blood with
key roles in physiological hemostasis and pathological thrombosis regulated by
the onset of activating/inhibiting processes via receptor responses and signaling
cascades. Areas covered: Proteomics as well as genomic approaches have been
fundamental in identifying and quantifying potential targets for future
diagnostic strategies in the prevention of bleeding and thrombosis, and
uncovering the complexity of platelet functions in health and disease. In this
article, we provide a critical overview on current functional tests used in
diagnostics and the future perspectives for platelet proteomics in clinical
applications. Expert commentary: Proteomics represents a valuable tool for the
identification of patients with diverse platelet associated defects. In-depth
validation of identified biomarkers, e.g. receptors, signaling proteins, post
translational modifications, in large cohorts is decisive for translation into
routine clinical diagnostics.
PMID- 29787333
TI - Investigator Disclosure and Advanced Cancer Patient Understanding of Informed
Consent and Prognosis in Phase I Clinical Trials.
AB - PURPOSE: Advanced cancer patients (ACPs) who participate in phase I clinical
trials often report a less-than-ideal understanding of the required elements of
informed consent (IC) and unrealistic expectations for anticancer benefit and
prognosis. We examined phase I clinical trial enrollment discussions and their
associations with subsequent ACP understanding. METHODS: Clinical encounters
about enrollment in phase I trials between 101 ACPs and 29 oncologists (principal
investigators [PIs] and fellows) at three US academic medical institutions were
recorded. The Roter Interaction Analysis System was used for analysis. ACPs
completed follow-up questionnaires to assess IC recall. RESULTS: PIs disclosed
the following phase I IC elements to ACPs in encounters: trial purpose in 40%;
specific physical risks in 60%; potential specific medical benefits gained by
trial participation (eg, disease stabilization) in 48.2%; and alternatives to
phase I trial participation in 47.1%, with 1.1% of encounters containing
palliative and 2.3% hospice information. PIs provided ACP-specific prognoses in
29.0% of encounters but used precise terms of death in only 4.7% and terminal in
1.2%. A significant association existed between PI disclosure of the trial
purpose as dosage/toxicity, and ACPs subsequently correctly recalled trial
purpose versus PIs who did not disclose it (85% v 13%; P < .05). CONCLUSION: Many
oncologists provide incomplete disclosures about phase I trials to ACPs. When
disclosure of certain elements of IC occurs, it seems to be associated with
better recall, especially with regard to the research purpose of phase I trials.
PMID- 29787336
TI - Breast Is Best . . . Except When It's Not.
PMID- 29787338
TI - Learning to cope with mirror movements in unilateral spastic cerebral palsy: a
brief report.
AB - PURPOSE: Mirror movements (MM) in unilateral spastic cerebral palsy (USCP)
interfere with many bimanual activities of daily living. METHODS: Here, we
developed a specific bimanual therapeutic regimen, focusing on asymmetric
simultaneous movements of the two hands. Twelve children (6-17 years old;
complete data available in ten children) with USCP and MM were included. RESULTS:
After three weeks of inpatient rehabilitation, we observed significant
improvements for two self-defined bimanual goal activities (Goal Attainment
Scaling, Canadian Occupational Performance Measure) and for bimanual performance
in general (Assisting Hand Assessment). These improvements were still present 6
months later. In contrast, even immediately after therapy, the severity of MM had
not changed. CONCLUSIONS: Hence, targeted bimanual therapy improved bimanual
performance, but did not lead to a reduction of MM. The results of this pilot
study might suggest that children with MM benefit more from acquiring strategies
to cope with MM than by an active training which aimed to reduce MM.
PMID- 29787337
TI - Multiple Functions of Lysyl Oxidase Like-2 in Oral Fibroproliferative Processes.
AB - Gingival overgrowth is a side effect of certain medications, including calcium
channel blockers, cyclosporin A, and phenytoin. Phenytoin-induced gingival
overgrowth is fibrotic. Lysyl oxidases are extracellular enzymes that are
required for biosynthetic cross-linking of collagens, and members of this enzyme
family are upregulated in fibrosis. Previous studies in humans and in a mouse
model of phenytoin-induced gingival overgrowth have shown that LOXL2 is elevated
in the epithelium and connective tissue in gingival overgrowth tissues and not in
normal tissues. Here, using a novel LOXL2 isoform-selective inhibitor and
knockdown studies in loss- and gain-of-function studies, we investigated roles
for LOXL2 in promoting cultures of human gingival fibroblasts to proliferate and
to accumulate collagen. Data indicate that LOXL2 stimulates gingival fibroblast
proliferation, likely by a platelet-derived growth factor B receptor-mediated
mechanism. Moreover, collagen accumulation was stimulated by LOXL2 enzyme and
inhibited by LOXL2 inhibitor or gene knockdown. These studies suggest that LOXL2
could serve as a potential therapeutic target to address oral fibrotic
conditions.
PMID- 29787339
TI - Outcomes in pediatric powered endoscopic dacryocystorhinostomy: a single-center
experience.
AB - PURPOSE: The purpose of the article is to report the outcomes of powered
endoscopic dacryocystorhinostomy (PEnDCR) in pediatric patients. METHODS: A
single-center, single surgeon, retrospective, interventional, non-comparative
case series was performed on all pediatric patients who underwent PEnDCR between
July 2014 and July 2017. Patients with associated congenital anomalies like
single punctum agenesis or lacrimal fistula were excluded. Surgery was performed
as per standard protocols published earlier. Data collected include demographics,
clinical presentations, past interventions, indications for the surgery,
intraoperative and postoperative complications, postoperative ostium
characteristics, and anatomical and functional success. RESULTS: Ninety-one eyes
of 83 children underwent PEnDCR during the study period. Mean age was 8.32 years
and epiphora was the most common presentation (81%, 74/91). The most common
indication for PEnDCR was persistent congenital nasolacrimal duct obstruction
refractory to earlier interventions of probing or intubation. Postoperative
ostium assessment at 4 weeks showed a well-healed ostium with a dynamic internal
common opening in 86.8% of the eyes. Edge granulomas of the ostium were the most
common abnormal finding in the postoperative period (9.8%, 9/91) and all except
one could be managed conservatively. At 6 months follow-up, five eyes showed
anatomical failure and additional two eyes showeXd functional failure. Two of
anatomical failure group and one of functional failure underwent a second
intervention. The final anatomical and functional success were noted in 96.7%
(88/91) and 95.6% (87/91), respectively. CONCLUSIONS: This study shows that
PEnDCR is a safe surgery for pediatric populations with a high success rate of
beyond 95%.
PMID- 29787340
TI - Correlation between thyroidal and peripheral blood total T cells, CD8+ T cells,
and CD8+ T- regulatory cells and T-cell reactivity to calsequestrin and collagen
XIII in patients with Graves' ophthalmopathy.
AB - : Purpose/aim of the study: Graves' ophthalmopathy (GO) is closely related to the
thyroid autoimmune disorder Graves' disease. Previous studies have suggested
roles for thyroidal CD8+ T cells and autoimmunity against calsequestrin-1 (CASQ)
1 in the link between thyroidal and orbital autoimmune reactions in GO. A role
for autoimmunity against CollXIII has also been suggested. In this study, we
aimed to investigate correlations between some thyroidal and peripheral blood T
cell subsets and thyroidal T-cell reactivity against CASQ1 and CollXIII in
patients with GO. MATERIALS AND METHODS: Fresh thyroid tissues were processed by
enzyme digestion and density gradient to isolate mononuclear cells (MNCs).
Peripheral blood MNCs were also isolated using density gradient. Flow-cytometric
analysis was used to identify the various T-cell subsets. T -cell reactivity to
CASQ1 and CollXIII was measured by a 5-day culture of the MNCs and BrdU uptake
method. RESULTS: We found a positive correlation between thyroidal CD8+ T cells
and CD8+ T-regulatory (T-reg) cells in patients with GO. Thyroidal T cells from
two out of the three patients with GO tested (66.7%) showed a positive response
to CASQ1, while thyroidal T cells from none of the six Graves' Disease patients
without ophthalmopathy (GD) tested showed a positive response to this antigen.
Thyroidal T cells from these patient groups however, showed no significant
differences in their response to CollXIII. CONCLUSIONS: Our observations provide
further evidence for a possible role of thyroidal CD8+ T cells, CD8+ T-reg cells
and the autoantigen CASQ1 in the link between thyroidal and orbital autoimmune
reactions of GO.
PMID- 29787341
TI - Standardized outcome measures for cerebral palsy among physiotherapists in
southwestern Nigeria: awareness, use, barriers, and facilitators.
AB - The use of outcome measures for assessing progress in the management and
treatment of cerebral palsy (CP) is widely recommended. This study was,
therefore, carried out to determine awareness and use of standardized outcome
measures among physiotherapists managing CP in Nigeria. Barriers to and
facilitators for the use of outcome measures were also investigated. This was a
descriptive study involving 138 physiotherapists from selected hospitals in
southwestern Nigeria. A self-administered questionnaire was used to obtain
relevant information on socio-demographics, awareness, use, barriers to, and
facilitators for the use of seven standardized outcome measures. The Gross Motor
Function Measure was the most recognized (78.9%) and commonly used (58%) outcome
measure, while the Paediatric Outcomes Data Collection Instrument (23.2%) and the
Paediatric Evaluation of Disability Inventory (10.9%) were the least recognized
and least used, respectively. The greatest perceived facilitators were
familiarity (87.7%), positive attitude (87.7%), and that outcome measures allow
for a balanced clinical assessment (89.1%). The greatest perceived barriers
identified were the need for extra accommodation to apply outcome measures (63%)
and time consumption on the part of patients (44.2%). Many physiotherapists in
this study identified the standardized outcome measures, but fewer used them
irrespective of educational status and years of work experience. Generally, there
was a positive attitude toward the use of outcome measures. The use of outcome
measures should be promoted among physiotherapists in Nigeria, through training
programs and translation into the native languages, to effectively assess,
manage, and monitor the progress of patients with CP, putting into consideration
barriers and facilitators.
PMID- 29787342
TI - Learning facts during aging: the benefits of curiosity.
AB - : Background/study context: Recent studies have shown that young adults better
remember factual information they are curious about. It is not entirely clear,
however, whether this effect is retained during aging. Here, the authors
investigated curiosity-driven memory benefits in young and elderly individuals.
METHODS: In two experiments, young (age range 18-26) and older (age range 65-89)
adults read trivia questions and rated their curiosity to find out the answer.
They also attended to task-irrelevant faces presented between the trivia question
and the answer. The authors then administered a surprise memory test to assess
recall accuracy for trivia answers and recognition memory performance for the
incidentally learned faces. RESULTS: In both young and elderly adults, recall
performance was higher for answers to questions that elicited high levels of
curiosity. In Experiment 1, the authors also found that faces presented in
temporal proximity to curiosity-eliciting trivia questions were better
recognized, indicating that the beneficial effects of curiosity extended to the
encoding of task-irrelevant material. CONCLUSIONS: These findings show that
elderly individuals benefit from the memory-enhancing effects of curiosity. This
may lead to the implementation of learning strategies that target and stimulate
curiosity in aging.
PMID- 29787343
TI - Predicting Financial Distress in Acute Care Hospitals.
AB - Hospitals continue to face financial pressures from healthcare reform and
heightened competition. In this study, our objective was to quantify the
financial distress in acute care hospitals in Texas, applying multivariate
logistic regression in a four-year longitudinal analysis. Of the 310 acute care
hospitals, 50 (16.1%) were in financial distress in the most recent year, up
considerably year over year. Distressed hospitals had fewer beds, lower patient
acuity, and less outpatient revenues than those in good financial condition.
Administrators should identify business turnaround strategies for combating
distress to avoid potential closure.
PMID- 29787344
TI - A Survey of Current Practice of Informed Consent in Iranian Hospitals.
AB - BACKGROUND: Informed consent is legal and ethical process which is considered as
important issue in quality of patient's treatment. The aim of the current study
was to assess current informed consent of patients admitted in Iranian selected
Hospitals, 2016. MATERIALS AND METHODS: This cross-sectional study was conducted
on 2150 patients admitted in 11 public Hospitals. Data was collected using a
dichotomous questionnaire with 30 questions. Statistical analysis was done using
SPSS software (version 18). RESULTS: The results shown that 46% of patients had
medium perception about informed consent, 44% believed to get insufficient
information and 66% claimed to have active participation in treatment process.
The significant associations were found between some dimensions and important
parameters: Understanding of information dimension and education level (p =
0.008), Participation (in decision-making) dimension and type of hospitalization
(p = 0.01), and level of patients' information dimension with resident address (p
= 0.027) and medical specialty (p < 0.001). CONCLUSION: In our study the current
informed consent of patients wasn't in desirable condition. The Necessary
measures are required to achieve a level that the patients' informed consent
convert to informed choices. Hospital healthcare team need to take proper actions
such as give proper information, patient training, sufficient information and
etc.
PMID- 29787345
TI - The Link Between Self-Dehumanization and Immoral Behavior.
AB - People perceive morality to be distinctively human, with immorality representing
a lack of full humanness. In eight experiments, we examined the link between
immorality and self-dehumanization, testing both (a) the causal role of immoral
behavior on self-dehumanization and (b) the causal role of self-dehumanization on
immoral behavior. Studies 1a to 1d showed that people feel less human after
behaving immorally and that these effects were not driven by having a negative
experience but were unique to experiences of immorality (Study 1d). Studies 2a to
2c showed that self-dehumanization can lead to immoral and antisocial behavior.
Study 3 highlighted how self-dehumanization can sometimes produce downward
spirals of immorality, demonstrating initial unethical behavior leading to self
dehumanization, which in turn promotes continued dishonesty. These results
demonstrate a clear relationship between self-dehumanization and unethical
behavior, and they extend previous theorizing on dehumanization.
PMID- 29787346
TI - 'Slipped Sandwich' Model for Chitin and Chitosan Perception in Arabidopsis.
AB - Chitin, a linear polymer of N-acetyl-d-glucosamine, and chitosans, fully or
partially deacetylated derivatives of chitin, are known to elicit defense
reactions in higher plants. We compared the ability of chitin and chitosan
oligomers and polymers (chitin oligomers with degree of polymerization [DP] 3 to
8; chitosan oligomers with degree of acetylation [DA] 0 to 35% and DP 3 to 15;
chitosan polymers with DA 1 to 60% and DP approximately 1,300) to elicit an
oxidative burst indicative of induced defense reactions in Arabidopsis thaliana
seedlings. Fully deacetylated chitosans were not able to trigger a response;
elicitor activity increased with increasing DA of chitosan polymers. Partially
acetylated chitosan oligomers required a minimum DP of 6 and at least four N
acetyl groups to trigger a response. Invariably, elicitation of an oxidative
burst required the presence of the chitin receptor AtCERK1. Our results as well
as previously published studies on chitin and chitosan perception in plants are
best explained by a new general model of LysM-containing receptor complexes in
which two partners form a long but off-set chitin-binding groove and are, thus,
dimerized by one chitin or chitosan molecule, sharing a central GlcNAc unit with
which both LysM domains interact. To verify this model and to distinguish it from
earlier models, we assayed elicitor and inhibitor activities of selected
partially acetylated chitosan oligomers with fully defined structures. In
contrast to the initial 'continuous groove', the original 'sandwich', or the
current 'sliding mode' models for the chitin/chitosan receptor, the here-proposed
'slipped sandwich' model-which builds on these earlier models and represents a
consensus combination of these-is in agreement with all experimental
observations.
PMID- 29787347
TI - STP Position Paper: Recommended Best Practices for Sampling, Processing, and
Analysis of the Peripheral Nervous System (Nerves and Somatic and Autonomic
Ganglia) during Nonclinical Toxicity Studies.
AB - Peripheral nervous system (PNS) toxicity is surveyed inconsistently in
nonclinical general toxicity studies. These Society of Toxicologic Pathology
"best practice" recommendations are designed to ensure consistent, efficient, and
effective sampling, processing, and evaluation of PNS tissues for four different
situations encountered during nonclinical general toxicity (screening) and
dedicated neurotoxicity studies. For toxicity studies where neurotoxicity is
unknown or not anticipated (situation 1), PNS evaluation may be limited to one
sensorimotor spinal nerve. If somatic PNS neurotoxicity is suspected (situation
2), analysis minimally should include three spinal nerves, multiple dorsal root
ganglia, and a trigeminal ganglion. If autonomic PNS neuropathy is suspected
(situation 3), parasympathetic and sympathetic ganglia should be assessed. For
dedicated neurotoxicity studies where a neurotoxic effect is expected (situation
4), PNS sampling follows the strategy for situations 2 and/or 3, as dictated by
functional or other compound/target-specific data. For all situations, bilateral
sampling with unilateral processing is acceptable. For situations 1-3, PNS is
processed conventionally (immersion in buffered formalin, paraffin embedding, and
hematoxylin and eosin staining). For situation 4 (and situations 2 and 3 if
resources and timing permit), perfusion fixation with methanol-free fixative is
recommended. Where PNS neurotoxicity is suspected or likely, at least one
(situations 2 and 3) or two (situation 4) nerve cross sections should be
postfixed with glutaraldehyde and osmium before hard plastic resin embedding;
soft plastic embedding is not a suitable substitute for hard plastic. Special
methods may be used if warranted to further characterize PNS findings. Initial
PNS analysis should be informed, not masked ("blinded"). Institutions may adapt
these recommendations to fit their specific programmatic requirements but may
need to explain in project documentation the rationale for their chosen PNS
sampling, processing, and evaluation strategy.
PMID- 29787348
TI - Characteristics of Nasal Septal Cartilage-Derived Progenitor Cells during
Prolonged Cultivation.
AB - Objective To produce alternate cell sources for tissue regeneration, human nasal
septal cartilage-derived progenitor cells (NSPs) were tested to identify whether
these cells meet the criteria of cartilage progenitor cells. We also evaluated
the effects of prolonged cultivation on the characteristics of NSPs. Study Design
In vitro study. Setting Academic research laboratory. Methods NSPs were isolated
from discarded human nasal septal cartilage. NSPs were cultured for 10 passages.
The expression of septal progenitor cell surface markers was assessed by
fluorescence-activated cell sorting. Cell proliferation was measured with a cell
counting kit. Cytokine secretion was analyzed with multiplex immunoassays.
Chondrogenic differentiation of NSPs without differentiation induction was
analyzed with type II collagen immunohistochemistry. Cartilage-specific protein
expression was evaluated by Western blotting. Under osteo- and
adipodifferentiation media, 2 lineage differentiation potentials were evaluated
by histology and gene expression analysis. Results Surface epitope analysis
revealed that NSPs are positive for mesenchymal stem cells markers and negative
for hematopoietic cell markers. Cultured NSPs showed sufficient cell expansion
and chondrogenic potential, as demonstrated by immunostaining and expression of
cartilage-specific protein. IL-6, IL-8, and transforming growth factor beta were
secreted by over 200 pg/mL. The osteo- and adipodifferentiation potentials of
NSPs were identified by histology and specific gene expression. The
aforementioned characteristics were not influenced by prolonged cultivation.
Conclusion NSPs represent an initial step toward creating a cell source from
surgically discarded tissue that may prove useful in cartilage regeneration.
PMID- 29787349
TI - Head and Neck Cancer Patients: Rates, Reasons, and Risk Factors for 30-Day
Unplanned Readmission.
AB - Objective To analyze rates, risk factors, and complications for 30-day
readmission among head and neck cancer (HNC) patients. Methods Retrospective
review of administrative records from Vizient (Irving, Texas) Clinical Data
Base/Resource Manager on HNC patients who underwent a head and neck surgical
procedure from January 2013 through September 2015 at 176 academic and community
medical centers. Results Of the 18,121 patients included in the study, 2502
patients were readmitted within 30 days (13.8%). Mean time to readmission was 11
+/- 8.2 days. Cancer of the hypopharynx, oropharynx, pharynx, and larynx all had
higher odds of readmission compared to oral cavity (odds ratio [OR], 1.8, 1.7,
1.6, and 1.5; 95% confidence interval [CI], 1.4-2.2, 1.4-1.9, 1.2-2.3, and 1.3
1.7, respectively). Consistent with this, flap procedures and laryngectomy had
the highest odds of readmission (OR, 1.4 and 1.3; 95% CI, 1.3-1.6 and 1.0-1.5 vs
glossectomy, respectively). The most common surgical causes for readmission were
postoperative infection (17.6%) and surgical wound dehiscence (16.8%), which most
commonly presented on postdischarge days 4 to 5. Acute cardiac events occurred in
up to 15.4% of patients depending on complexity of surgery. Dysphagia and
electrolyte disturbances were common (15.8% and 15.4%, respectively); patients
with these complications typically presented earlier, between days 3 and 4.
Discussion Patients with HNC are at high risk of readmission. The cancer subsite
and procedure significantly influenced the risk, rate, and reason for
readmission. Implications for Practice Findings from this study can help quality
improvement and patient safety administrators develop interventions that uniquely
target HNC populations.
PMID- 29787350
TI - Spatial Analysis of Rice Blast in China at Three Different Scales.
AB - In this study, spatial analyses were conducted at three different scales to
better understand the epidemiology of rice blast, a major rice disease caused by
Magnaporthe oryzae. At the regional scale, across the major rice production
regions in China, rice blast incidence was monitored on 101 dates at 193 stations
from 10 June to 10 September during 2009 to 2014, and surveyed in 143 fields in
September 2016; at the county scale, three surveys were done covering one to five
counties in 2015 to 2016; and, at the field scale, blast was evaluated in six
fields in 2015 to 2016. Spatial cluster and hot spot analyses were conducted in
the geographic information system on the geographical pattern of the disease at
regional scale, and geostatistical analysis was performed at all three scales.
Cluster and hot spot analyses revealed that high-disease areas were clustered in
mountainous areas in China. Geostatistical analyses detected spatial dependence
of blast incidence with influence ranges of 399 to 1,080 km at regional scale and
5 to 10 m at field scale but not at county scale. The spatial patterns at
different scales might be determined by inherent properties of rice blast and
environmental driving forces, and findings from this study provide helpful
information to sampling and management of rice blast.
PMID- 29787352
TI - Statistical Learning Creates Novel Object Associations via Transitive Relations.
AB - A remarkable ability of the cognitive system is to make novel inferences on the
basis of prior experiences. What mechanism supports such inferences? We propose
that statistical learning is a process through which transitive inferences of new
associations are made between objects that have never been directly associated.
After viewing a continuous sequence containing two base pairs (e.g., A-B, B-C),
participants automatically inferred a transitive pair (e.g., A-C) where the two
objects had never co-occurred before (Experiment 1). This transitive inference
occurred in the absence of explicit awareness of the base pairs. However,
participants failed to infer the transitive pair from three base pairs
(Experiment 2), showing the limits of the transitive inference (Experiment 3). We
further demonstrated that this transitive inference can operate across the
categorical hierarchy (Experiments 4-7). The findings revealed a novel
consequence of statistical learning in which new transitive associations between
objects are implicitly inferred.
PMID- 29787353
TI - Survey of roasted street-vended nuts in Sierra Leone for toxic metabolites of
fungal origin.
AB - Consumption of mycotoxin contaminated foodstuffs is common in regions where foods
are not adequately controlled and routinely monitored, and this could have
adverse effects on the health of consumers. In this study, 100 samples of roasted
nuts (50 cashew nuts and 50 peanuts) vended within two cities of Sierra Leone
were analysed for mycotoxins and other microbial metabolites by a LC-MS/MS
method. The peanut samples contained detectable levels of 17 microbial
metabolites, including aflatoxins B1, B2, G1 and G2 and alternariol, while none
of these metabolites were found in the cashew samples. Aflatoxins (max: 5,729
MUg/kg; mean: 487.8 MUg/kg) and alternariol (3 MUg/kg) were found in 24% and 2%
of the peanut samples, respectively. One-third of the aflatoxin-contaminated
peanut samples contained aflatoxins at levels exceeding the total aflatoxin limit
of 4 MUg/kg set by the European Union. Aflatoxin contamination of Sierra Leonean
peanuts is high and requires urgent intervention to reduce consequent exposure.
PMID- 29787354
TI - Optical Coherence Tomography of the Tympanic Membrane and Middle Ear: A Review.
AB - Objective To evaluate the recent developments in optical coherence tomography
(OCT) for tympanic membrane (TM) and middle ear (ME) imaging and to identify what
further development is required for the technology to be integrated into common
clinical use. Data Sources PubMed, Embase, Google Scholar, Scopus, and Web of
Science. Review Methods A comprehensive literature search was performed for
English language articles published from January 1966 to January 2018 with the
keywords "tympanic membrane or middle ear,""optical coherence tomography," and
"imaging." Conclusion Conventional imaging techniques cannot adequately resolve
the microscale features of TM and ME, sometimes necessitating diagnostic
exploratory surgery in challenging otologic pathology. As a high-resolution
noninvasive imaging technique, OCT offers promise as a diagnostic aid for
otologic conditions, such as otitis media, cholesteatoma, and conductive hearing
loss. Using OCT vibrometry to image the nanoscale vibrations of the TM and ME as
they conduct acoustic waves may detect the location of ossicular chain
dysfunction and differentiate between stapes fixation and incus-stapes
discontinuity. The capacity of OCT to image depth and thickness at high
resolution allows 3-dimensional volumetric reconstruction of the ME and has
potential use for reconstructive tympanoplasty planning and the follow-up of
ossicular prostheses. Implications for Practice To achieve common clinical use
beyond these initial discoveries, future in vivo imaging devices must feature low
cost probe or endoscopic designs and faster imaging speeds and demonstrate
superior diagnostic utility to computed tomography and magnetic resonance
imaging. While such technology has been available for OCT, its translation
requires focused development through a close collaboration between engineers and
clinicians.
PMID- 29787355
TI - Effect of Lavender cream with or without footbath on sleep quality and fatigue in
pregnancy and postpartum: a randomized controlled trial.
AB - BACKGROUND: Sleep disturbance and fatigue are frequent complaints during
pregnancy and postpartum. We assessed the effectiveness of Lavender cream and
footbath on sleep quality and fatigue in pregnancy and postpartum. METHODS: In
this trial, 141 women with sleep disturbance at 25-28 weeks gestation were
recruited from public health centers in Tabriz, Iran, from May 2013 until January
2014 and randomized into one of three groups receiving: Lavender and footbath,
Lavender alone, or placebo cream. Sleep quality was assessed using the Pittsburgh
sleep quality index at the 4th and 8th weeks after intervention and the 6th week
postpartum. Fatigue was assessed with the multidimensional assessment of fatigue
scale at the 6th week after intervention and the 6th week postpartum. Repeated
measures ANOVA and ANCOVA were used. RESULTS: Compared with the placebo group,
the global sleep quality score post-intervention in pregnancy and the postpartum
were significantly lower in the Lavender and footbath and the Lavender cream only
groups. Fatigue in both intervention groups was significantly improved only at
the 6th week postpartum. No statistically significant differences were observed
between the two intervention groups. CONCLUSION: Lavender cream with or without
footbath may improve sleep quality in pregnancy and postpartum.
PMID- 29787356
TI - Selection of Optimal Adjuvant Chemotherapy and Targeted Therapy for Early Breast
Cancer: ASCO Clinical Practice Guideline Focused Update.
AB - Purpose To update key recommendations of the ASCO guideline adaptation of the
Cancer Care Ontario guideline on the selection of optimal adjuvant chemotherapy
regimens for early breast cancer and adjuvant targeted therapy for breast cancer.
Methods An Expert Panel conducted targeted systematic literature reviews guided
by a signals approach to identify new, potentially practice-changing data that
might translate to revised practice recommendations. Results The Expert Panel
reviewed phase III trials that evaluated adjuvant capecitabine after completion
of standard preoperative anthracycline- and taxane-based combination chemotherapy
by patients with early-stage breast cancer HER2-negative breast cancer with
residual invasive disease at surgery; the addition of 1 year of adjuvant
pertuzumab to combination chemotherapy and trastuzumab for patients with early
stage, HER2-positive breast cancer; and the use of neratinib as extended adjuvant
therapy for patients after combination chemotherapy and trastuzumab-based
adjuvant therapy with early-stage, HER2-positive breast cancer. Recommendations
Patients with early-stage HER2-negative breast cancer with pathologic, invasive
residual disease at surgery following standard anthracycline- and taxane-based
preoperative therapy may be offered up to six to eight cycles of adjuvant
capecitabine. Clinicians may add 1 year of adjuvant pertuzumab to trastuzumab
based combination chemotherapy in patients with high-risk, early-stage, HER2
positive breast cancer. Clinicians may use extended adjuvant therapy with
neratinib to follow trastuzumab in patients with early-stage, HER2-positive
breast cancer. Neratinib causes substantial diarrhea, and diarrhea prophylaxis
must be used. Additional information can be found at www.asco.org/breast-cancer
guidelines .
PMID- 29787358
TI - Targeting B-Cell Lymphoma 2: A Lethal Shortcut in Del(17p) Chronic Lymphocytic
Leukemia.
PMID- 29787357
TI - Prophylactic Cranial Irradiation Versus Observation in Radically Treated Stage
III Non-Small-Cell Lung Cancer: A Randomized Phase III NVALT-11/DLCRG-02 Study.
AB - Purpose The purpose of the current study was to investigate whether prophylactic
cranial irradiation (PCI) reduces the incidence of symptomatic brain metastases
in patients with stage III non-small-cell lung cancer (NSCLC) treated with
curative intention. Patients and Methods Patients with stage III NSCLC-staged
with a contrast-enhanced brain computed tomography or magnetic resonance imaging
were randomly assigned to either observation or PCI after concurrent/sequential
chemoradiotherapy with or without surgery. The primary end point-development of
symptomatic brain metastases at 24 months-was defined as one or a combination of
key symptoms that suggest brain metastases-signs of increased intracranial
pressure, headache, nausea and vomiting, cognitive or affective disturbances,
seizures, and focal neurologic symptoms-and magnetic resonance imaging or
computed tomography demonstrating the existence of brain metastasis. Adverse
effects, survival, quality of life, quality-adjusted survival, and health care
costs were secondary end points. Results Between 2009 and 2015, 175 patients were
randomly assigned: 87 received PCI and 88 underwent observation only. Median
follow-up was 48.5 months (95% CI, 39 to 54 months). Six (7.0%) of 86 patients in
the PCI group and 24 (27.2%) of 88 patients in the control group had symptomatic
brain metastases ( P = .001). PCI significantly increased the time to develop
symptomatic brain metastases (hazard ratio, 0.23; [95% CI, 0.09 to 0.56]; P =
.0012). Median time to develop brain metastases was not reached in either arm.
Overall survival was not significantly different between both arms. Grade 1 and 2
memory impairment (26 of 86 v seven of 88 patients) and cognitive disturbance (16
of 86 v three of 88 patients) were significantly increased in the PCI arm.
Quality of life was only decreased 3 months post-PCI and was similar to the
observation arm thereafter. Conclusion PCI significantly decreased the proportion
of patients who developed symptomatic brain metastases with an increase of low
grade toxicity.
PMID- 29787359
TI - Response-Adapted Therapy in Aggressive Lymphoma: Not Yet Ready for Clinical Care.
PMID- 29787360
TI - Myotarsal flap - a versatile entity for lower eyelid reconstructions.
AB - The purpose is to present a new surgical technique using myotarsal (MT) flap for
reconstruction of small to subtotal full thickness defects - up to 8 mm in height
- of lower eyelids, without needing concomitant supplementary procedures. MT flap
consists of a 3 mm tarsal strip with attached levator complex. It does not have
conjunctival lining or pedicle. Versatility of the flap extends to correction of
mild to severe ptosis, and retraction of upper eyelids. This is a retrospective
personal series of 163 patients, who underwent surgical reconstruction with MT
flap overlaid with quilted skin graft (modified myotarsal flap) after excision of
malignant tumours of lower eyelid. Surgery was on day case basis under LA.
Patients were assessed for aesthetic and functional outcome, and complications.
No patient had any complication. Assessment of outcome included corneal and
ocular surface health and protection, eye closure, tear secretion, and lid
contours. These were aesthetically and functionally satisfactory. Histology
confirmed complete tumour clearance, and clinical diagnosis. There was no
recurrence of tumour. An astounding, new, unique and original observation of the
study is that cornea and ocular surface remained undamaged throughout, despite
absence of conjunctival lining of MT flap. This goes against all current tenets
of oculoplasty. Use of the modified MT flap is a safe, versatile, and effective
procedure yielding satisfactory aesthetic and functional results for
reconstruction of the lower eyelid.
PMID- 29787361
TI - Sorption and degradation of neonicotinoid insecticides in tropical soils.
AB - Neonicotinoids are the most widely applied class of insecticides in cocoa farming
in Ghana. Despite the intensive application of these insecticides, knowledge of
their fate in the Ghanaian and sub-Saharan African environment remains low. This
study examined the behavior of neonicotinoids in soils from cocoa plantations in
Ghana by estimating their sorption and degradation using established kinetic
models and isotherms. Studies of sorption were conducted using the batch
equilibrium method on imidacloprid, thiamethoxam, clothianidin, acetamiprid and
thiacloprid, while degradation of imidacloprid, thiamethoxam and their respective
deuterated counterparts was studied using models proposed by the European forum
for coordination of pesticide fate and their use (FOCUS). Analytes were extracted
using the quick, easy, cheap, effective, rugged and safe (QuEChERS) procedure and
quantified by liquid chromatography-tandem mass spectrometry (LC-MS/MS). Average
recoveries were high (>= 85%) for all analytes. The findings from the study
suggest that neonicotinoid insecticides may be persistent in the soils studied
based on estimated half-lives > 150 days. The study also revealed generally low
sorption coefficients for neonicotinoids in soils, largely influenced by soil
organic carbon.
PMID- 29787362
TI - J Pouch: Imaging Findings, Surgical Variations, Natural History, and Common
Complications.
AB - Ileal pouch-anal anastomosis, or J pouch, surgery has become the procedure of
choice for treatment of medically refractory ulcerative colitis and familial
adenomatous polyposis. Overall, this operation is associated with a low rate of
postoperative morbidity and good long-term function. However, when complications
develop, there is a heavy reliance on imaging to facilitate an accurate
diagnosis. Reported postoperative complication rates range from 5% to 35%.
Complications generally can be categorized as structural, inflammatory, or
neoplastic conditions. Structural complications include leaks, strictures,
afferent and efferent limb syndromes, and pouch prolapse. Inflammatory conditions
include cuffitis, pouchitis, and Crohn disease of the pouch. In addition, a
variety of neoplastic conditions can develop in the pouch. Overall, pouchitis and
leaks are the most common complications, occurring in up to 50% and 20% of
individuals, respectively. Many imaging modalities are used to evaluate the J
pouch and associated postoperative complications. The indications and various
surgical techniques for J pouch surgery, normal postoperative appearance of the
pouch, and most common associated complications are reviewed. In addition, the
various imaging findings associated with J pouch surgery are described and
illustrated. The radiologist's familiarity with the potential complications of
the pouch can facilitate appropriate imaging, hasten an accurate diagnosis, and
aid in rendering proper management. (c)RSNA, 2018.
PMID- 29787363
TI - Nonepithelial Neoplasms of the Pancreas, Part 2: Malignant Tumors and Tumors of
Uncertain Malignant Potential From the Radiologic Pathology Archives.
AB - Almost all neoplasms of the pancreas are derived from pancreatic epithelial
components, including the most common pancreatic mass, primary pancreatic ductal
adenocarcinoma (PDAC). Nonepithelial neoplasms comprise only 1%-2% of all
pancreatic neoplasms. Although some may arise directly from intrapancreatic
elements, many originate from mesenchymal, hematopoietic, or neural elements in
the retroperitoneal peripancreatic space and grow into the pancreas. Once these
tumors reach a certain size, it can be challenging to identify their origin.
Because these manifest at imaging as intrapancreatic masses, awareness of the
existence and characteristic features of these nonepithelial neoplasms is crucial
for the practicing radiologist in differentiating these tumors from primary
epithelial pancreatic tumors, an important distinction given the vastly different
management and prognosis. In part 1 of this article, the authors reviewed benign
nonepithelial neoplasms of the pancreas. This article focuses on malignant
nonepithelial neoplasms and those of uncertain malignant potential that can be
seen in the pancreas. The most common malignant or potentially malignant
nonepithelial pancreatic tumors are of mesenchymal origin and include soft-tissue
sarcomas, solitary fibrous tumor, and inflammatory myofibroblastic tumor. These
tumors commonly manifest as large heterogeneous masses, often containing areas of
necrosis and hemorrhage. The clinical features associated with these tumors and
the imaging characteristics including enhancement patterns and the presence of
fat or calcification help distinguish these tumors from PDAC. Hematopoietic
tumors, including lymphoma and extramedullary plasmacytoma, can manifest as
isolated pancreatic involvement or secondarily involve the pancreas as widespread
disease. Hyperenhancing paragangliomas or hypervascular metastatic disease can
mimic primary pancreatic neuroendocrine tumors or vascular anomalies.
PMID- 29787364
TI - Effect of respiratory Achromobacter spp. infection on pulmonary function in
patients with cystic fibrosis.
AB - PURPOSE: Cystic fibrosis (CF) patients are susceptible to infection with
Achromobacter spp., although its clinical significance remains controversial. The
aim of this study was to investigate the clinical impact of infection with
Achromobacter spp. in CF patients. METHODS: CF outpatients with multiple sputum
cultures and follow-up lung function tests were assigned to the case group
(infected with Achromobacter spp.) or the control group (never infected with
Achromobacter spp.) according to the isolation of Achromobacter spp. The
Achromobacter spp. group included two subgroups, taking into consideration
whether the isolation of Achromobacter spp. was intermittent or chronic. Baseline
lung function tests and longitudinal behaviour were examined in relation to
Achromobacter spp. status. RESULTS: A total of 190 CF patients were treated from
January 2003 to December 2015 in the CF unit and 21 (11 %) had at least one
positive culture for Achromobacter spp. Of these, 11/21 (52.4 %) patients were
chronically infected with Achromobacter spp. An analysis of changes during follow
up showed the annual rate of FEV1 decline: -2.3+/-1.6 % in the Achromobacter spp.
group compared to -1.1+/-0.9 % (P=0.02) in the control group. The chronically
infected group also had a significantly greater decline in FEV1 compared to the
control group (-2.9+/-1.9 vs -1.1+/-0.9; P=0.04). The mean number of annual
pulmonary exacerbations during the study period was significantly higher in the
case group (1.9+/-0.9 vs 1.1+/-0.8; P=0.03). CONCLUSIONS: The Achromobacter spp.
status in CF shows a trend towards more severe airflow obstruction and an
association with accelerated decline in lung function parameters.
PMID- 29787365
TI - KPC-2 producing ST101 Klebsiella pneumoniae from bloodstream infection in India.
AB - This study characterizes KPC-2 producing Klebsiella pneumoniae belonging to
ST101. Whole genome sequencing using the Ion Torrent PGM platform with 400 bp
chemistry was performed. blaKPC-2 was found on an IncFIIK plasmid associated with
ISKpn6 and ISKpn7 without Tn4401. This is the first report of KPC-2 K. pneumoniae
from bacteremia in India. The isolate also coded for other resistance genes such
as aadA1, aadA2, armA, aac(3)-Ild, aac(6')-Ild for aminoglycoside; blaSHV-11,
blaTEM-1B, blaOXA-9, for beta-lactams and aac(6')-Ild, oqxA, oqxB, qnrB1 for
fluoroquinolones. It belonged to the K17 capsular type. India is endemic to New
Delhi metallo-beta-lactamase and OXA48-like carbapenemases and K. pneumoniae
carbapenemase (KPC) is seldom reported. With high rates of carbapenem resistance,
emergence of KPC in India will challenge patient management. The isolate was
susceptible to colistin. The patient had a fatal outcome.
PMID- 29787366
TI - Parasympathetic activity increases with digital microvascular damage and vascular
endothelial growth factor in systemic sclerosis.
AB - OBJECTIVES: The imbalance between angiogenic and angiostatic factors with
derangement of the microvasculature are hallmarks of systemic sclerosis (SSc).
Raynaud's phenomenon in SSc probably is due to the impaired neuroendothelial
control mechanisms between vasoconstriction and vasodilatation. The aim of this
study is to evaluate autonomic nervous system function using heart rate
variability (HRV) analysis and to correlate with vascular endothelial growth
factor (VEGF). METHODS: Twenty-seven SSc patients were enrolled. HRV was measured
and markers of global sympathetic and parasympathetic system, respectively
standard deviation of normal-to-normal RR intervals (SDNN) and square root of the
mean of the sum of the squares of differences between adjacent NN intervals
(RMSSD) were evaluated. Serum VEGF levels and nailfold videocapillaroscopy (NVC)
were performed. RESULTS: A linear positive correlation was observed between RMSSD
and VEGF (p<0.01, r=0.55), and RMSSD and disease duration (p< 0.01, r=0.54). The
RMSSD median value was significantly increased (p< 0.05) with NVC damage
progression. The RMSSD median value was significantly (p<0.05) higher in SSc
patients with digital ulcers (DUs) than in SSc patients without DUs [44 (39.4
60.2) vs 24.6 (23-37.1)]. CONCLUSIONS: In our study parasympathetic modulation
increases in relation to VEGF. When microcirculation is modified with
capillaroscopic pattern progression and DUs, autonomic system seems to stimulate
vasodilatation trough parasympathetic system. We can conclude that
parasympathetic activity increases with digital microvascular damage and promotes
VEGF release.
PMID- 29787367
TI - Case - Ex-vivo aneurysm resection, autotransplantation, and aorto-renal bypass in
a solitary kidney with fibromuscular dysplasia.
PMID- 29787368
TI - Contemporary surgical outcomes of venous tumour thrombectomy managed with
intraoperative Doppler ultrasound for kidney cancer.
AB - INTRODUCTION: Radical nephrectomy (RN) with venous tumour thrombectomy (VTT)
carries a significant morbidity and mortality risk. Examination of a contemporary
single-institution series permits the development of a management algorithm and
an audit its results. We report outcomes following the use of intraoperative
colour Doppler ultrasound and our surgical pathway. METHODS: We retrospectively
reviewed the records of all patients who underwent RN with VTT for kidney cancer
between January 1, 2013 and October 1, 2016. Surgical complications,
postoperative complications (Clavien-Dindo classification >=3), 90-day
readmission rates, and outcomes are reported. Multivariate linear regression,
logistic regression, and Cox proportional hazard modelling were used to identify
associations. RESULTS: Fifty-eight patients underwent RN with VTT. Of these, 26
(45%) patients had Mayo Clinic level III or IV thrombus and nineteen required
venovenous/cardiopulmonary bypass. Three patients required patch grafting. The
median length of hospital stay was eight days and there were 20 major
complications. The 30-day readmission rate was 21% and the 90-day mortality rate
was 8.9%. In multivariate analysis, low serum albumin and age-adjusted Charlson
comorbidity score predicted length of stay. Increased intraoperative blood loss
was significantly associated with increasing body mass index, serum creatinine,
tumour thrombus level, and a history of significant weight loss >9.1kg. Low serum
hematocrit predicted 90-day mortality. CONCLUSIONS: Intraoperative colour Doppler
ultrasound is a useful tool and can facilitate caval preservation. Caval grafting
can be avoided in most cases. Venovenous bypass can be avoided in many level III
cases. Early therapeutic anticoagulation should be instituted with caution.
PMID- 29787369
TI - Images - Well-differentiated papillary mesothelioma of the tunica vaginalis.
PMID- 29787371
TI - The impact of targeted therapy on healthcare resource use in patients with
metastatic renal cell carcinoma: The University of Sherbrooke experience.
AB - INTRODUCTION: We assessed the impact of targeted therapies on healthcare resource
use and compared treatment regimens used in patients diagnosed with metastatic
renal cell carcinoma (mRCC). METHODS: Clinicopathological and administrative data
of patients with mRCC from our institution were retrospectively collected from
January 2000 to August 2014. Patients were divided into two groups based on the
use of targeted therapies. Healthcare resource use (HCRU) data included non
scheduled total number of hospitalizations, total days hospitalized, emergency
department visits, and healthcare professional consultations. Each variable was
presented with absolute and relative values (i.e., per month of survival).
Statistics relied on the use of t-student and Chi-square tests. RESULTS: Ninety
nine patients were included in the study; 60 were treated with targeted therapy.
There were no statistically significant differences between the two groups for
demographic features and clinicopathological stage. HCRU analysis revealed an
absolute increase in the median number of healthcare consultants (6 vs. 4;
p<0.01) and emergency department visits (1 vs. 0; p=0.02) for the targeted
therapy group. However, analysis per month of survival showed the targeted
therapy group had fewer consultants (0.33 vs. 0.40; p=0.04) and hospitalizations
(0.09 vs. 0.13; p=0.03) than their counterpart. Population size, non
randomization, treatment selection bias, and heterogeneity were the main
limitations of this study. CONCLUSIONS: Monthly use of HCRU is lower in mRCC
patients treated with targeted therapies. However, because of a greater overall
survival, their absolute total HCRU will be higher than those not exposed to
targeted agents.
PMID- 29787370
TI - How long do we have to treat overactive bladder syndrome (OAB)? A questionnaire
survey of Canadian urologists and gynecologists.
AB - INTRODUCTION: Overactive bladder syndrome (OAB) is a highly prevalent and costly
condition worldwide with negative impact on health-related quality of life.
Although many guidelines exist and anticholinergics are considered to be the
mainstay of pharmacological treatment, data are lacking regarding optimal
treatment duration. Therefore, the aim of this study was to determine practice
patterns of Canadian urologists and gynecologists regarding duration of OAB
pharmacotherapy. METHODS: A 14-question survey was designed and survey links
(English and French) were sent by email to all practicing urologists and
gynecologists registered with the Canadian Urological Association and the Society
of Obstetricians and Gynecologists of Canada via the associations' email lists.
The SurveyMonkey website served as platform where responses were collected and
stored. RESULTS: A total of 301 physicians completed the questionnaire; 250
respondents (83%) prescribe anticholinergics or beta-3-adrenoceptor agonist
(mirabegron) in their practice, and 202 (81%) start patient treatment with the
lowest recommended medication dose. One hundred and twelve respondents (45% of
those who prescribe OAB medications) classified OAB pharmacotherapy as a lifelong
management strategy, whereas 130 (52% of those who prescribe OAB medications)
think that OAB pharmacotherapy should be administered for a defined time period.
Six-month and one-year time periods of drug treatments are the most commonly
chosen answers given by physicians who treat their patients for a defined
duration. CONCLUSION: There is general agreement among Canadian urologists and
gynecologists that OAB treatment should be started with the lowest recommended
medication dose. A slim majority of respondents think that OAB pharmacotherapy
should be administered for a defined duration.
PMID- 29787372
TI - Current use of medical expulsive therapy among endourologists.
AB - INTRODUCTION: We aimed to characterize current practice patterns among
endourologists on medical expulsive therapy (MET) for treatment of ureteral
calculi. METHODS: An online survey was administered to Endourological Society
members. Respondents' MET usage, index case management, and awareness of recent
guidelines and literature were compared based on international status, practice
setting, interval since training, and endourological fellowship training.
RESULTS: Of the 237 complete responses, 65% were international, 61% were
academic, 66% had >10 years in practice, and 71% were endourology fellowship
trained. MET was used by 88%, with no differences between international,
academic, practice length, and fellowship-trained groups. MET was used more
frequently for <8 mm and distal stones and more U.S.-based respondents reported
use for proximal/midureteral stones (68% vs 43%; p<0.001). For the index patient,
70% preferred MET as the initial approach and respondents <10 years from training
were more likely to choose MET (82% vs. 64%; p=0.006). While 82% of respondents
were aware of the SUSPEND trial, 70% reported that it had not altered their use
of MET. Current American Urological Association (AUA) guideline awareness was
90%. Mean MET prescription length was 19.9+/-10.3 days, and was statistically
significantly longer for respondents who were U.S.-based, academic and <10 years
from training. CONCLUSIONS: MET is the preferred approach for patients with
ureteral calculi <10 mm among endourologists despite conflicting data in the
literature. While current AUA practice guidelines are followed by the majority of
respondents, our survey suggests MET is being used more liberally than the
guideline criteria, specifically in proximal and midureteral stones.
PMID- 29787373
TI - Gynecological organ involvement at robot-assisted radical cystectomy in females:
Is anterior exenteration necessary?
AB - INTRODUCTION: We aimed to investigate patient and disease variables associated
with gynecological organ invasion in females with bladder cancer at the time of
robot-assisted radical cystectomy (RARC). METHODS: We conducted a retrospective
review of female patients who underwent robot-assisted anterior pelvic
exenteration (RAAE) between 2005 and 2016. Patients were divided into two groups:
those with gynecological organ involvement at RAAE and those without. Data were
reviewed for perioperative and pathological outcomes. Kaplan-Meier method was
used to depict survival outcomes. Multivariable stepwise regression analysis was
performed to identify predictors of gynecological organ involvement. RESULTS: A
total of 118 female patients were identified; 17 (14%) showed evidence of
gynecological organ invasion at RAAE. Patients with gynecological organ invasion
had more lymphovascular invasion at transurethral resection of bladder tumour
(TURBT) (82% vs. 46%; p=0.006), trigonal tumours at TURBT (59% vs. 18%; p=0.001),
multifocal disease (65% vs. 33%; p=0.01), pN+ (71% vs. 22%; p<0.001), positive
surgical margins (24% vs. 4%; p=0.02), and they less commonly demonstrated pure
urothelial carcinoma at TURBT (18% vs. 66%; p<0.001). On multivariate analysis,
significant predictors of gynecological organ invasion were pN positive disease
(odds ratio [OR] 6.48; 95% confidence interval [CI] 1.64-25.51; p=0.008),
trigonal tumour location (OR 5.72; 95% CI 1.39-23.61; p=0.02), and presence of
variant histology (OR18.52; 95% CI 3.32-103.4; p=0.001). CONCLUSIONS: Patients
with trigonal tumours, variant histology, and nodal involvement are more likely
to have gynecological organs invasion at RAAE. This information may help improve
counselling of patients and better identify candidates for gynecological organ
sparing cystectomy.
PMID- 29787374
TI - Evaluating the impact of the genitourinary multidisciplinary tumour board: Should
every cancer patient be discussed as standard of care?
AB - INTRODUCTION: We sought to prospectively evaluate the effectiveness of the
multidisciplinary tumour board (MTB) on altering treatment plans for
genitourinary (GU) cancer patients. METHODS: All GU cancer patients seen at our
tertiary care hospital are discussed at MTB. We prospectively collected data on
adult patients discussed over a continuous, 20-month period. Physicians completed
a survey prior to MTB to document their opinion on the likelihood of change in
their patient's treatment plan. Logistic regression was used to asses for factors
associated with a change by the MTB, including patient age or sex, malignancy
type, the predicted treatment plan, and the provider's years of experience or
fellowship training. RESULTS: A total of 321 cancer patients were included.
Patients were primarily male (84.4%) with a median age of 67 (range 20-92) years
old. Prostate (38.9%), bladder (31.8%), and kidney cancer (19.6%) were the most
common malignancies discussed. A change in management plan following MTB was
observed in 57 (17.8%) patients. The physician predicted a likely change in six
(10.5%) of these patients. Multivariate logistic regression did not determine
physician prediction to be associated with treatment plan change, and the only
significant variable identified was a plan to discuss multiple treatment options
with a patient (odds ratio 2.46; 95% confidence interval 1.09-9.54). CONCLUSIONS:
Routine discussion of all urologic oncology cases at MTB led to a change in
treatment plan in 17.8% of patients. Physicians cannot reliably predict which
patients have their treatment plan altered. Selectively choosing patients to be
presented likely undervalues the impact of a multidisciplinary approach to care.
PMID- 29787375
TI - Transperitoneal laparoscopic heminephroureterectomy in pediatric population: A
single-centre experience using a sealing device.
AB - INTRODUCTION: We sought to report the outcomes of transperitoneal laparoscopic
heminephroureterectomy (LHNU) in pediatric population and to describe the
technical details of this minimally invasive surgery. METHODS: Seventeen
pediatric patients (18 renal units), who had consecutive transperitoneal LHNU in
our department between January 2012 and July 2017 were included in the study. In
all patients, diagnostic cystoscopy and retrograde pyelography were carried out
immediately before the operation. A catheter was inserted in the unaffected
ureter and fixed. LHNU with a transperitoneal approach was carried out in all
patients with the aid of LigaSure(r). After removal of the specimen, the
intervention was finalized with the insertion of a drain. All intraoperative and
postoperative data of the patients were recorded prospectively. RESULTS: The
average age of the patients was 55.9+/-35.8 months (range 8-121). The average
duration of the operations was 121.7+/-24.0 minutes (range 100-200). The average
hospitalization time was 1.6+/-0.4 days (range 1-2). No intraoperative
complication occurred in our patients. The average followup period was 29.1+/
13.4 months (range 4-48). During the followup period, no complications were
observed except one patient who had pyelonephritis within the first month of
surgery. CONCLUSIONS: Transperitoneal LHNU is a minimally invasive method that
can be used safely in pediatric patients. Using a standardized technique during
the procedure is critical to increase the success and decrease the complication
rates.
PMID- 29787376
TI - Symptom assessment to guide treatment selection and determine progression in
metastatic castration-resistant prostate cancer: Expert opinion and review of the
evidence.
AB - Multiple new agents to treat metastatic castration-resistant prostate cancer
(mCRPC) have become available in recent years; however, the appropriate timing
and sequencing of these agents have yet to be elucidated. Until accurate
biomarkers become available to allow more focused therapeutic targeting for this
population, treatment selection for men with mCRPC will continue to be driven
largely by close assessment of patient-related factors and symptoms. Pain, as the
predominant symptom of mCRPC, is often the focus when assessing progression and
the need for a change in treatment. A myriad of other symptoms, including
fatigue, impact on activities of daily living, sleep, and lower urinary tract
symptoms, also affect men with mCRPC, and assessment of the composite of these
symptoms provides an earlier signal for the need to adjust treatment. A number of
tools are available for assessing symptoms in patients with advanced prostate
cancer, but they are not used routinely used, given their complexity and length.
A new simplified questionnaire is proposed for the assessment of symptoms, beyond
pain, to inform treatment decisions for men with mCRPC.
PMID- 29787377
TI - The effects of inulin and fructo-oligosaccharide on the probiotic properties of
Lactobacillus spp. isolated from human milk.
PMID- 29787378
TI - Larval hemolymph of rhinoceros beetle, Allomyrina dichotoma, enhances insulin
secretion through ATF3 gene expression in INS-1 pancreatic beta-cells.
PMID- 29787379
TI - Selection of bone dosimetry models for application in Monte Carlo simulations to
provide CT scanner-specific organ dose coefficients.
AB - This is the second paper arising from a project concerning the application of
Monte Carlo simulations to provide scanner-specific organ dose coefficients for
modern CT scanners. The present focus is centred on the bone dosimetry models
that have been developed. Simulations have been performed in photon only
transport mode, with the assumption of electron equilibrium. This approximation
breaks down for doses to active marrow and endosteum since the target cells are
localised within tens of micrometre from bone tissue and dose enhancement
functions are necessary to correct for the additional dose from photoelectric
electrons created in adjacent material. The dose enhancement models used
previously in publications NRPB-SR250 (Jones and Shrimpton 1993 Software Report
NRPB-SR250, National Radiological Protection Board, Chilton, UK) and ORNL-TM8381
(Cristy and Eckerman 1987 Technical Report Oak Ridge National Laboratory, Oak
Ridge, TN) have been implemented and compared with the contemporary approaches of
Johnson et al (2011 Phys. Med. Biol. 56 2347-65) and ICRP Publication 116 (ICRP
2010 Ann. ICRP 40 1-257) that are being adopted in the present project. In
addition, the calculation of dose to endosteum in the medullary cavity is
reviewed and updated using electron mode simulations. For the purposes of quality
assurance and comparison, the various dose enhancement functions have been
applied in relation to the NRPB18+DJ and HPA18+ stylised hermaphrodite phantoms
and also the adult male and female voxel phantoms recommended in ICRP Publication
110 (ICRP 2009 Ann. ICRP 39 1-165), for exposure from three CT scanners modelled
previously. Contemporary results for standard examinations on the head and trunk
calculated for these latter phantoms demonstrate moderate increases (modal value
+18%) in active marrow dose coefficients relative to values derived from data
published in NRPB-SR250. A similar analysis in relation to endosteum dose
coefficients shows larger reductions (modal value -46%), owing at least in part
to changes in assumed location of the target cells. Even larger changes are
apparent for both of these dose coefficients in relation to examination of the
upper legs (-39% and -94%, respectively). However, resultant changes in any
values of effective dose will be less owing to the low weighting factors applied
for these tissues.
PMID- 29787380
TI - An image reconstruction method with a locally adaptive gating scheme for PET
data.
AB - In conventional gating approaches for positron emission tomography (PET), a
single number of gates is predetermined for the whole field of view (FOV)
regardless of spatially variant motion blurring effects, which compromises image
quality by under-gating regions of large motion and over-gating static regions.
To achieve the best resolution-noise trade-off for the whole FOV, we proposed a
new approach that incorporates a spatially variant number of gates into gated
image reconstruction. The first step was to estimate the motion amplitude of each
spatial location. A preliminary set of gated image reconstructions was generated
from the PET data. The spatially variant motion amplitudes were approximated
based on the registration of 2D maximum intensity projections of the gated
reconstructions as well as prior knowledge. Second, the spatially varying motion
amplitudes were used to determine the optimal number of gates for each region.
Finally, the adaptive gating image reconstruction algorithm that incorporates a
gating transform function to model the spatially variant number of gates was
applied to generate adaptively gated 4D images. Scans from large FOV systems were
simulated using actual multi-bed patient data from a clinical scanner for
evaluation purposes. Images reconstructed with the conventional gating scheme as
well as static reconstruction were obtained for comparison with the results
obtained using our new method. In areas with lower estimated motion amplitudes
(such as the spine), the reconstructed images using the new approach showed
reduced noise compared to images with conventional gated reconstructions and
comparable quality with non-gated images. In areas with large estimated motion
amplitudes, such as in the lung and liver, contrast and resolution of images
using the new method and conventional gated-reconstructions were comparable, and
both were higher than those of non-gated images. The results indicate that using
a locally adaptive number of gates based on respiratory motion amplitude instead
of a fixed number of gates can improve the statistics of gated PET images by
optimizing the local noise-resolution trade-off.
PMID- 29787381
TI - Multi atlas based segmentation: should we prefer the best atlas group over the
group of best atlases?
AB - Multi atlas based segmentation (MABS) uses a database of atlas images, and an
atlas selection process is used to choose an atlas subset for registration and
voting. In the current state of the art, atlases are chosen according to a
similarity criterion between the target subject and each atlas in the database.
In this paper, we propose a new concept for atlas selection that relies on
selecting the best performing group of atlases rather than the group of highest
scoring individual atlases. Experiments were performed using CT images of 50
patients, with contours of brainstem and parotid glands. The dataset was randomly
split into two groups: 20 volumes were used as an atlas database and 30 served as
target subjects for testing. Classic oracle selection, where atlases are chosen
by the highest dice similarity coefficient (DSC) with the target, was performed.
This was compared to oracle group selection, where all the combinations of atlas
subgroups were considered and scored by computing DSC with the target subject.
Subsequently, convolutional neural networks were designed to predict the best
group of atlases. The results were also compared with the selection strategy
based on normalized mutual information (NMI). Oracle group was proven to be
significantly better than classic oracle selection (p < 10-5). Atlas group
selection led to a median +/- interquartile DSC of 0.740 +/- 0.084, 0.718 +/
0.086 and 0.670 +/- 0.097 for brainstem and left/right parotid glands
respectively, outperforming NMI selection 0.676 +/- 0.113, 0.632 +/- 0.104
and 0.606 +/- 0.118 (p < 0.001) as well as classic oracle selection. The
implemented methodology is a proof of principle that selecting the atlases by
considering the performance of the entire group of atlases instead of each single
atlas leads to higher segmentation accuracy, being even better then current
oracle strategy. This finding opens a new discussion about the most appropriate
atlas selection criterion for MABS.
PMID- 29787382
TI - Machine learning-based dual-energy CT parametric mapping.
AB - The aim is to develop and evaluate machine learning methods for generating
quantitative parametric maps of effective atomic number (Zeff), relative electron
density (rho e), mean excitation energy (I x ), and relative stopping power (RSP)
from clinical dual-energy CT data. The maps could be used for material
identification and radiation dose calculation. Machine learning methods of
historical centroid (HC), random forest (RF), and artificial neural networks
(ANN) were used to learn the relationship between dual-energy CT input data and
ideal output parametric maps calculated for phantoms from the known compositions
of 13 tissue substitutes. After training and model selection steps, the machine
learning predictors were used to generate parametric maps from independent
phantom and patient input data. Precision and accuracy were evaluated using the
ideal maps. This process was repeated for a range of exposure doses, and
performance was compared to that of the clinically-used dual-energy, physics
based method which served as the reference. The machine learning methods
generated more accurate and precise parametric maps than those obtained using the
reference method. Their performance advantage was particularly evident when using
data from the lowest exposure, one-fifth of a typical clinical abdomen CT
acquisition. The RF method achieved the greatest accuracy. In comparison, the ANN
method was only 1% less accurate but had much better computational efficiency
than RF, being able to produce parametric maps in 15 s. Machine learning methods
outperformed the reference method in terms of accuracy and noise tolerance when
generating parametric maps, encouraging further exploration of the techniques.
Among the methods we evaluated, ANN is the most suitable for clinical use due to
its combination of accuracy, excellent low-noise performance, and computational
efficiency.
PMID- 29787383
TI - Deep learning for undersampled MRI reconstruction.
AB - This paper presents a deep learning method for faster magnetic resonance imaging
(MRI) by reducing k-space data with sub-Nyquist sampling strategies and provides
a rationale for why the proposed approach works well. Uniform subsampling is used
in the time-consuming phase-encoding direction to capture high-resolution image
information, while permitting the image-folding problem dictated by the Poisson
summation formula. To deal with the localization uncertainty due to image
folding, a small number of low-frequency k-space data are added. Training the
deep learning net involves input and output images that are pairs of the Fourier
transforms of the subsampled and fully sampled k-space data. Our experiments show
the remarkable performance of the proposed method; only 29[Formula: see text] of
the k-space data can generate images of high quality as effectively as standard
MRI reconstruction with the fully sampled data.
PMID- 29787384
TI - Automatic centerline extraction of cerebrovascular in 4D CTA based on tubular
features.
AB - Vascular centerlines have crucial significance in reconstruction, registration,
segmentation and vascular parameter analysis. The extraction of vessel structures
remains a difficult problem in the completeness and continuity of results. In
this paper, we present a novel method to extract cerebrovascular centerlines from
four-dimensional computed tomography angiography images. Tubular features and
vascular directions are used to extract initial centerlines, and the offset
correction is introduced in the vascular orthogonal plane. In addition, we also
present a post-processing method to connect interruptions of centerlines. We
perform a quantitative validation using clinical images and public data sets of
MRA brain images. Our experimental results demonstrate that the proposed
algorithm not only shows higher accuracy in complicated vessel structures, but
also outperforms previous approaches in terms of high validity and universality.
PMID- 29787385
TI - A Systematic Review and Narrative Synthesis to Explore the Effectiveness of
Exercise-Based Interventions in Improving Fatigue, Dyspnea, and Depression in
Lung Cancer Survivors.
AB - BACKGROUND: Lung cancer survival rates are increasing; however, lung cancer
survivors' mental and physical well-being can suffer from experiencing symptoms
of fatigue, dyspnea, and depression. Exercise can improve these symptoms.
However, no studies have examined the effects of different exercise interventions
on these symptoms. OBJECTIVE: This review aims to examine the evidence on the
effects of exercise interventions on fatigue, dyspnea, and depression in lung
cancer survivors. METHODS: PRISMA guidelines were followed. CINAHL, MEDLINE,
EMBASE, and Cochrane databases were searched between 2000 and May 2017. Gray
literature was searched. All identified studies were screened for inclusion.
Quantitative data were narratively synthesized. RESULTS: From 852 records
retrieved and screened, 10 full-text articles were included. Seven studies had a
high risk of bias, 2 had an unclear risk, and 1 study had a low risk, limiting
the robustness of findings. Exercise interventions included pulmonary
rehabilitation, aerobic exercise, resistance training, exercise and balance
programs, and medical qigong. Six studies reported statistically significant
reductions in fatigue; 2 reported significant improvements in dyspnea, and one a
significant reduction in depression postintervention. CONCLUSIONS: Exercise
interventions may be effective and are unlikely to cause harm for lung cancer
survivors. However, evidence quality is limited. More rigorous study designs are
required to provide guidance about which interventions may help lung cancer
survivors self-manage these symptoms. IMPLICATIONS FOR PRACTICE: Health
professionals should provide comprehensive, customized exercise screening and
treatment plans to lung cancer survivors to complement their lifestyle needs and
ensure appropriate recommendations aimed at improving symptom control are
communicated to them.
PMID- 29787386
TI - Comparison of Tracheal Intubation Conditions in Operating Room and Intensive Care
Unit: A Prospective, Observational Study.
AB - WHAT WE ALREADY KNOW ABOUT THIS TOPIC: WHAT THIS ARTICLE TELLS US THAT IS NEW:
BACKGROUND:: Tracheal intubation is a common intervention in the operating room
and in the intensive care unit. The authors hypothesized that tracheal intubation
using direct laryngoscopy would be associated with worse intubation conditions
and more complications in the intensive care unit compared with the operating
room. METHODS: The authors prospectively evaluated during 33 months patients who
were tracheally intubated with direct laryngoscopy in the operating room, and
subsequently in the intensive care unit (within a 1-month time frame). The
primary outcome was to compare the difference in glottic visualization using the
modified Cormack-Lehane grade between intubations performed on the same patient
in an intensive care unit and previously in an operating room. Secondary outcomes
were to compare first-time success rate, technical difficulty (number of
attempts, operator-reported difficulty, need for adjuncts), and the incidence of
complications. RESULTS: A total of 208 patients met inclusion criteria. Tracheal
intubations in the intensive care unit were associated with worse glottic
visualization (Cormack-Lehane grade I/IIa/IIb/III/IV: 116/24/47/19/2) compared
with the operating room (Cormack-Lehane grade I/IIa/IIb/III/IV: 159/21/16/12/0; P
< 0.001). First-time intubation success rate was lower in the intensive care unit
(185/208; 89%) compared with the operating room (201/208; 97%; P = 0.002).
Tracheal intubations in the intensive care unit had an increased incidence of
moderate and difficult intubation (33/208 [16%] vs. 18/208 [9%]; P < 0.001), and
need for adjuncts to direct laryngoscopy (40/208 [19%] vs. 21/208 [10%]; P =
0.002), compared with the operating room. Complications were more common during
tracheal intubations in the intensive care unit (76/208; 37%) compared with the
operating room (13/208; 6%; P < 0.001). CONCLUSIONS: Compared with the operating
room, tracheal intubations in the intensive care unit were associated with worse
intubation conditions and an increase of complications.
PMID- 29787387
TI - Targeting Microglia: A New Avenue for Anesthesia Neuroprotection after Brain
Injury?
PMID- 29787388
TI - Dying as a Pathway to Death in Sepsis.
PMID- 29787390
TI - Genitourinary Syndrome of Menopause.
AB - Genitourinary syndrome of menopause (GSM) describes a collection of exam findings
and bothersome symptoms associated with estrogen deficiency involving changes to
the labia, introitus, clitoris, vagina, urethra, and bladder. Vulvovaginal
atrophy is a component of GSM. GSM is a highly prevalent medical condition with
adverse effects on the health and quality of life of midlife women. There are
many effective treatment options, including nonhormonal lubricants and
moisturizers, physical therapy, low-dose vaginal estrogen therapy, vaginal
dehydroepiandrosterone, and oral ospemifene. Despite the availability of safe and
effective therapies, GSM often remains unrecognized and untreated.
PMID- 29787389
TI - Liposomal Bupivacaine Does Not Reduce Inpatient Opioid Prescription or Related
Complications after Knee Arthroplasty: A Database Analysis.
AB - WHAT WE ALREADY KNOW ABOUT THIS TOPIC: WHAT THIS MANUSCRIPT TELLS US THAT IS NEW:
BACKGROUND:: Although some trials suggest benefits of liposomal bupivacaine, data
on real-world use and effectiveness is lacking. This study analyzed the impact of
liposomal bupivacaine use (regardless of administration route) on inpatient
opioid prescription, resource utilization, and opioid-related complications among
patients undergoing total knee arthroplasties with a peripheral nerve block. It
was hypothesized that liposomal bupivacaine has limited clinical influence on the
studied outcomes. METHODS: The study included data on 88,830 total knee
arthroplasties performed with a peripheral nerve block (Premier Healthcare
Database 2013 to 2016). Multilevel multivariable regressions measured
associations between use of liposomal bupivacaine and (1) inpatient opioid
prescription (extracted from billing) and (2) length of stay, cost of
hospitalization, as well as opioid-related complications. To reflect the
difference between statistical and clinical significance, a relative change of
15% in outcomes was assumed to be clinically important. RESULTS: Overall,
liposomal bupivacaine was used in 21.2% (n = 18,817) of patients that underwent a
total knee arthroplasty with a peripheral nerve block. Liposomal bupivacaine use
was not associated with a clinically meaningful reduction in inpatient opioid
prescription (group median, 253 mg of oral morphine equivalents, adjusted effect
9.3% CI -11.1%, -7.5%; P < 0.0001) and length of stay (group median, 3 days,
adjusted effect -8.8% CI -10.1%, -7.5%; P < 0.0001) with no effect on cost of
hospitalization. Most importantly, liposomal bupivacaine use was not associated
with decreased odds for opioid-related complications. CONCLUSIONS: Liposomal
bupivacaine was not associated with a clinically relevant improvement in
inpatient opioid prescription, resource utilization, or opioid-related
complications in patients who received modern pain management including a
peripheral nerve block.
PMID- 29787391
TI - Comparing wavefront-optimized, wavefront-guided and topography-guided laser
vision correction: clinical outcomes using an objective decision tree.
AB - PURPOSE OF REVIEW: This review is intended to update and educate the reader on
the currently available options for laser vision correction, more specifically,
laser-assisted in-situ keratomileusis (LASIK). In addition, some related clinical
outcomes data from over 1000 cases performed over a 1-year are presented to
highlight some differences between the various treatment profiles currently
available including the rapidity of visual recovery. The cases in question were
performed on the basis of a decision tree to segregate patients on the basis of
anatomical, topographic and aberrometry findings; the decision tree was
formulated based on the data available in some of the reviewed articles. RECENT
FINDINGS: Numerous recent studies reported in the literature provide data related
to the risks and benefits of LASIK; alternatives to a laser refractive procedure
are also discussed. The results from these studies have been used to prepare a
decision tree to assist the surgeon in choosing the best option for the patient
based on the data from several standard preoperative diagnostic tests. SUMMARY:
The data presented here should aid surgeons in understanding the effects of
currently available LASIK treatment profiles. Surgeons should also be able to
appreciate how the findings were used to create a decision tree to help choose
the most appropriate treatment profile for patients. Finally, the retrospective
evaluation of clinical outcomes based on the decision tree should provide
surgeons with a realistic expectation for their own outcomes should they adopt
such a decision tree in their own practice.
PMID- 29787392
TI - Aortic Dissection: True or False?
PMID- 29787394
TI - New developments in the genetic diagnosis of short stature.
AB - PURPOSE OF REVIEW: Genome-wide approaches including genome-wide association
studies as well as exome and genome sequencing represent powerful new approaches
that have improved our ability to identify genetic causes of human disorders. The
purpose of this review is to describe recent advances in the genetic causes of
short stature. RECENT FINDINGS: In addition to SHOX deficiency which is one of
the most common causes of isolated short stature, PAPPA2, ACAN, NPPC, NPR2,
PTPN11 (and other rasopathies), FBN1, IHH and BMP2 have been identified in
isolated growth disorders with or without other mild skeletal findings. In
addition, novel genetic causes of syndromic short stature have been discovered,
including pathogenic variants in BRCA1, DONSON, AMMECR1, NFIX, SLC25A24, and FN1.
SUMMARY: Isolated growth disorders are often monogenic. Specific genetic causes
typically have specific biochemical and/or phenotype characteristics which are
diagnostically helpful. Identification of additional subjects with a specific
genetic cause of short stature often leads to a broadening of the known clinical
spectrum for that condition. The identification of novel genetic causes of short
stature has provided important insights into the underlying molecular mechanisms
of growth failure.
PMID- 29787393
TI - Recanalization of Chronic Occlusion of the Middle Cerebral Artery With Tissue
Plasminogen Activator Treatment: A Case Report.
AB - OBJECTIVES: Patients with chronic proximal middle cerebral artery (MCA) occlusion
do not present with severe symptoms, but early recanalization of acute occlusion
is associated with high morbidity and mortality. Tissue plasminogen activator
(tPA) is a recombinant thrombolytic agent approved for treating ischemic stroke,
although only a few cases of tPA administration in chronic MCA occlusion have
yielded positive results. METHODS: A 71-year-old patient had a history of right
MCA territorial infarction with the occlusion occurring 12 years ago (November
2005). Although the patient was treated with antiplatelet agents, MCA
recanalization was not achieved. The patient was referred to our hospital again
(January 2017) for newly discovered symptoms. We immediately administered tPA
because the patient presented 2 hours after onset of symptoms. RESULTS:
Neurological symptoms resolved within 24 hours of treatment, and magnetic
resonance angiography confirmed recanalization of the right MCA territorial
lesion. No neurological abnormalities were observed during the 12-week follow-up.
CONCLUSIONS: At present, tPA is the only approved treatment for acute ischemic
stroke, although it presents a limited time frame to avoid severe medication
related adverse effects. Our report suggests nonatherosclerotic chronic MCA
occlusion as an alternative application of tPA therapy.
PMID- 29787395
TI - CORR Insights(r): What is the Success of Repeat Surgical Treatment of a Local
Recurrence After Initial Wide Resection of Soft Tissue Sarcomas?
PMID- 29787396
TI - Editorial: Orthopaedic Surgery, Medical Publishing, and the First Amendment of
the United States Constitution.
PMID- 29787397
TI - Age Differences in Health Behavior and Weight Changes in Japanese Workers: 1-Year
Follow-Up Study.
AB - OBJECTIVE: To examine 1-year changes in stage of health behavior change and its
associations with changes in health behavior and weight in a working population
cohort. METHODS: Using annual health examination data, 57,431 eligible Japanese
workers aged 18 to 64 years were examined for their 1-year changes in stage of
change, eating and exercise habits, and weight. RESULTS: The stages of change
became polarized into the precontemplation and the action/maintenance (ie, post
action) and became stabilized with increasing age. The 1-year change in stage of
change was accompanied by changes in eating and exercise habits and weight.
CONCLUSIONS: The age-dependent tendency towards bipolarization and stabilization
of stages of change suggested that workplace health promotion interventions for
facilitating health behavior changes should be started at younger ages.
PMID- 29787399
TI - How Does a Changing Climate Impact the Health of Workers? Part 2: Weather and
Climate Disasters, Worker Health, and Occupational Resiliency.
PMID- 29787398
TI - Slips, Trips, and Falls Among Home Care Aides: A Mixed-Methods Study.
AB - OBJECTIVE: To address the gap of knowledge about slips, trips, and falls (STFs)
among home care aides (HCAs) who work in clients' homes. METHODS: This mixed
method study used survey and focus group data of HCAs in a Medicaid-funded
homecare program. RESULTS: STFs were common with over 12% of HCAs reporting
occurrence in the previous 12 months, of whom 58% fell to the ground. Both survey
and focus group data identified ice, clutter, workload, rushing and other
hazards. Focus group data explained the reasons for not reporting STFs, even
among those who sustained injury, and added HCAs' voices to the understanding of
causes, consequences and prevention strategies for STFs. CONCLUSIONS: Empowering
HCAs with knowledge, training, and involvement may transform "near miss" STFs
into opportunities to prevent STFs among care workers and their clients.
PMID- 29787400
TI - Subclinical Markers of Cardiovascular Disease Among Police Officers: A
Longitudinal Assessment of the Cortisol Awakening Response and Flow Mediated
Artery Dilation.
AB - OBJECTIVE: To examine the association of the cortisol awakening response (CAR)
with change in brachial artery flow-mediated dilation (FMD%) in police officers
over a 7-year period. METHODS: Baseline CAR was obtained from four saliva samples
taken 15 minutes apart immediately after awakening. Analysis of covariance was
used to compare the change in FMD% (FMD%Follow-up-FMD%Baseline) across tertiles
of area under the cortisol curve with respect to increase (AUCI). Regression
analysis was use to assess trend. RESULTS: Officers (n = 172; 81% men) had a mean
+/- SD age of 41 +/- 7.6 years. Men in the lowest AUCI tertile (ie, atypical
waking cortisol pattern) had a significantly larger 7-year mean decline in FMD%
(mean +/- SE: -2.56 +/- 0.64) compared with men in the highest tertile (-0.89 +/-
0.69) (P = 0.0087). CONCLUSIONS: An awakening cortisol AUCI predicted worsening
of FMD% approximately 7 years later among male officers.
PMID- 29787401
TI - Melatonin Receptor Agonist Ramelteon Reduces Ischemia-Reperfusion Injury Through
Activation of Mitochondrial Potassium Channels.
AB - Activation of melatonin receptors induces cardioprotection. Mitochondrial
potassium channels (mKCa and mKATP) are involved in the signaling cascade of
preconditioning. The melatonin receptor agonist ramelteon is an approved oral
medication for treatment of insomnia, but nothing is known about possible
cardioprotective properties. We investigated whether (1) ramelteon induces
cardioprotection mediated by the melatonin receptor; (2) this effect is
concentration-dependent; and (3) mKCa and/or mKATP channels are critically
involved in ramelteon-induced cardioprotection. Hearts of male Wistar rats were
randomized and placed on a Langendorff system, perfused with Krebs-Henseleit
buffer at a constant pressure of 80 mm Hg. All hearts were subjected to 33
minutes of global ischemia and 60 minutes of reperfusion. Before, ischemic hearts
were perfused with different concentrations of ramelteon (0.01-5 MUM) for
determination of a concentration-effect curve. In subsequent experiments, the
lowest protective concentration of ramelteon was administered together with
paxilline (mKCa channel inhibitor) and 5-hydroxydecanoate (mKATP channel
inhibitor). To determine whether the reduction of ischemia and reperfusion injury
by ramelteon is mediated by melatonin receptor, we combined ramelteon with
luzindole, a melatonin receptor antagonist. Infarct size was determined by
triphenyltetrazolium chloride staining. In control animals, infarct size was 58%
+/- 6%. Ramelteon in a concentration of 0.03 uM reduced infarct size to 28% +/-
4% (P < 0.0001 vs. Con). A lower concentration of ramelteon did not initiate
cardioprotection, and higher concentrations did not further decrease infarct
size. Paxilline, 5-hydroxydecanoate, and luzindole completely blocked the
ramelteon-induced cardioprotection. This study shows for the first time that (1)
ramelteon induces cardioprotection through melatonin receptor; (2) the effect is
not concentration-dependent; and (3) activation of mKCa and mKATP channels is
involved.
PMID- 29787402
TI - A Pilot Study of a Novel Automated Somatosensory Evoked Potential (SSEP)
Monitoring Device for Detection and Prevention of Intraoperative Peripheral Nerve
Injury in Total Shoulder Arthroplasty Surgery.
AB - INTRODUCTION: Peripheral nerve injury is a potentially devastating complication
after total shoulder arthroplasty (TSA) surgery. This pilot study aimed to assess
the feasibility of using an automated somatosensory evoked potential (SSEP)
device to provide a timely alert/intervention to minimize intraoperative nerve
insults during TSA surgery. METHODS: A prospective, single-arm, observational
study was conducted in a single university hospital. The attending
anesthesiologist monitored the study participants using the EPAD automated SSEP
device and an intervention was made if there was an alert during TSA surgery. The
median, radial, and ulnar nerve SSEP on the operative arm, as well as the median
nerve SSEP of the nonoperative arm were monitored for each patient. All patients
were evaluated for postoperative neurological deficits 6 weeks postoperatively.
RESULTS: In total, 21 patients were consented and were successfully monitored. In
total, 4 (19%) patients developed intraoperative abnormal SSEP signal changes in
the operative arm, in which 3 were reversible and 1 was irreversible till the end
of surgery. Median and radial nerves were mostly involved (3/4 patients). The
mean cumulative duration of nerve insult (abnormal SSEP) was 21.7+/-26.2 minutes.
Univariate analysis did not identify predictor of intraoperative nerve insults.
No patients demonstrated postoperative peripheral neuropathy at 6 weeks.
CONCLUSIONS: A high incidence (19%) of intraoperative nerve insult was observed
in this study demonstrating the feasibility of using an automated SSEP device to
provide a timely alert and enable an intervention in order to minimize peripheral
nerve injury during TSA. Further randomized studies are warranted.
PMID- 29787404
TI - Hospital Value-Based Purchasing and Trauma-Certified Hospitals Performance.
AB - INTRODUCTION: Hospital Value-Based Purchasing (HVBP) is an initiative that
rewards acute-care hospitals with incentive payments for the quality of care they
provide. A hospital's trauma certification has the potential to influence HVBP
scores as attaining the certification provides indication of the service quality
offered by the hospital. As such, this study focuses on hospitals' level of
trauma certification attainment through the American College of Surgeons and
whether this certification is associated with greater HVBP. METHODS: A
retrospective review of the 2015 HVBP database, 2015 Area Health Resources Files
(AHRF) database, and the 2015 American Hospital Association (AHA) database is
utilized, and propensity score matching was employed to determine the association
between level of trauma certification and scores on HVBP dimensions. RESULTS:
Results reveal trauma certification is associated with lower HVBP domain scores
when compared to hospitals without trauma certification. In addition, hospitals
with a greater degree of trauma specialization were associated with lower total
performance score and efficiency domain scores. CONCLUSIONS: Although payers
attempt to connect hospital reimbursements with quality and outcomes, unintended
consequences may occur. In response to these results, HVBP risk adjustment and
scoring methods should receive further scrutiny.
PMID- 29787403
TI - Driving Comparisons Between Young Adults with Autism Spectrum Disorder and
Typical Development.
AB - OBJECTIVE: Many individuals with autism spectrum disorder (ASD) are reluctant to
pursue driving because of concerns about their ability to drive safely. This
study aimed to assess differences in simulated driving performance in young
adults with ASD and typical development, examining relationships between driving
performance and the level of experience (none, driver's permit, licensed) across
increasingly difficult driving environments. METHOD: Participants included 50
English-speaking young adults (16-26 years old) with ASD matched for sex, age,
and licensure with 50 typically-developing (TD) peers. Participants completed a
structured driving assessment using a virtual-reality simulator that included
increasingly complex environmental demands. Differences in mean speed and speed
and lane variability by diagnostic group and driving experience were analyzed
using multilevel linear modeling. RESULTS: Young adults with ASD demonstrated
increased variability in speed and lane positioning compared with controls, even
during low demand tasks. When driving demands became more complex, group
differences were moderated by driving experience such that licensed drivers with
ASD drove similarly to TD licensed drivers for most tasks, whereas unlicensed
drivers with ASD had more difficulty with speed and lane management than TD
drivers. CONCLUSION: Findings suggest that young adults with ASD may have more
difficulty with basic driving skills than peers, particularly in the early stages
of driver training. Increased difficulty compared with peers increases as driving
demands become more complex, suggesting that individuals with ASD may benefit
from a slow and gradual approach to driver training. Future studies should
evaluate predictors of driving performance, on-road driving, and ASD-specific
driving interventions.
PMID- 29787405
TI - Introducing a Clinical Documentation Specialist to Improve Coding and
Collectability on a Surgical Service.
AB - INTRODUCTION: Inadequate electronic medical record (EMR) documentation remains a
significant source of revenue loss. The Department of Surgery in a trauma and
tertiary care teaching hospital developed a revenue optimization initiative for
inpatients on general, vascular, and trauma surgery and surgical intensive care
unit services to enhance clinical documentation and increase revenue capture.
METHODS: Clinical documentation management program included six trained clinical
documentation specialists (CDSs), five physician assistants (PAs), directors of
health information management (HIM), and two surgical champions. Lean methodology
was applied to develop a coding and documentation program wherein trained CDS
polled ICD-10 codes in the surgical EMR for accuracy in diagnoses documentation.
An opportunity for improved documentation prompted query generation for a
specially trained PA review. Physician assistant adjusted EMR documentation
according to query to more accurately describe high impact diagnoses. Outcomes
included PA query response rate, potential revenue opportunities, validated
revenue gains, and missed revenue opportunity. RESULTS: Twelve thousand EMRs were
queried in the study interval. $2,206,620.16 in validated revenues were realized.
Interestingly, we identified $1,792,591.91 in potential opportunities and
$65,097.30 in lost opportunities. Query response rate increased from 17% to
94.7%. CONCLUSIONS: The authors demonstrate a concentrated Coding and
Documentation Program involving CDS, and Surgical PAs results in significant
revenue gains for an inpatient surgery service in a public hospital.
PMID- 29787406
TI - Effect of Patient and Provider Education on Antibiotic Overuse for Respiratory
Tract Infections.
AB - Antibiotic overuse for respiratory tract infections (RTIs) in primary care (PC)
is a known important contributor to the serious health threat of antibiotic
resistance, yet remains a difficult problem to improve. The purpose of the study
was to assess the effects of a combination patient and provider education program
on antibiotic prescribing in RTIs in a rural primary care clinic. Utilizing a
quasi-experimental pretest-posttest design, a retrospective electronic medical
record review was conducted to determine if a patient and provider education
program changed the rates of antibiotics being prescribed (immediate or delayed)
during a visit for RTI for 207 randomly selected patients during the established
evaluation time periods. The antibiotic prescription rate for the preintervention
group was 56.3% compared to 28.8% for the postintervention group (p < .01).
Immediate antibiotics were ordered in the preintervention group 31.1% of the time
compared to 13.5% for the postintervention group (p < .05). The results of this
study demonstrate that educational interventions can be effective in rural
settings and that changes in antibiotic prescribing are possible.
PMID- 29787407
TI - Identifying Barriers to Implementation of the National Partnership for Maternal
Safety Obstetric Hemorrhage Bundle at a Tertiary Center: Utilization of the
Delphi Method.
AB - BACKGROUND: In 2015, the National Partnership for Maternal Safety (NPMS)
developed an obstetric hemorrhage consensus bundle to provide birthing facilities
in the United States with consistent, validated practice guidelines for
postpartum hemorrhage management. The process of implementing each bundle element
at a large tertiary labor and delivery unit has not been described; we sought to
identify practice deficiencies and perceived barriers to bundle implementation
among multidisciplinary providers. METHODS: We conducted a prospective, cross
sectional, consensus-building study based on the Delphi method. A
multidisciplinary expert panel comprised of anesthesiologists, obstetricians,
nurses, and surgical technicians was assembled and participated in 4 sequential
questionnaires. The first round identified bundle elements that experts
determined as not currently adequate and perceived barriers to implementation.
The second round established prioritization of elements within each professional
group; and the third round ranked the elements with at least 60% agreement on
feasibility of implementation and positive impact on patient care. The last round
revealed responses across all 4 professional groups to derive a final consensus.
Descriptive statistics were performed. RESULTS: A total of 38 experts completed
the study (11 anesthesiologists, 11 obstetricians, 10 nurses, and 6 surgical
technicians). While all 13 (100%) NPMS obstetric bundle elements were described
as deficient in our labor and delivery unit by a provider in at least 1
discipline, consensus among at least 3 of the 4 disciplines was achieved for 6
element deficiencies. Barriers to implementation were determined. The initiatives
that achieved consensus as possessing high patient impact and implementation
feasibility were protocol-driven management, unit-based simulation drills, blood
loss quantification, and team huddles and debriefings. CONCLUSIONS: The NPMS
obstetric hemorrhage bundle was created to help guide practice and systems
improvement for US birthing facilities. The Delphi method enabled identification
of deficient elements and perceived barriers to element implementation, as well
as group consensus on elements with highest patient impact and feasibility.
Multidisciplinary group consensus can identify deficiencies and promote tangible,
quality improvements in a large, tertiary-care labor and delivery unit.
Institutions may utilize our described technique to guide implementation of
future care bundles.
PMID- 29787408
TI - Organ Donation After Circulatory Death: Ethical Issues and International
Practices.
AB - Donation after circulatory death (DCD) is an increasingly utilized practice that
can contribute to reducing the difference between the supply of organs and the
demand for organs for transplantation. As the number of transplanted organs from
DCD donors continues to increase, there is an essential need to address the
ethical aspects of DCD in institutional DCD protocols and clinical practice.
Ethical issues of respecting the end-of-life wishes of a potential donor,
respecting a recipient's wishes, and addressing potential conflicts of interest
are important considerations in developing policies and procedures for DCD
programs. Although there may be diversity among DCD programs in Europe,
Australia, Israel, China, the United States, and Canada, addressing ethical
considerations in these DCD programs is essential to respect donors and
recipients during the altruistic and generous act of organ donation.
PMID- 29787409
TI - "Modified Dynamic Needle Tip Positioning" Short-Axis, Out-of-Plane, Ultrasound
Guided Radial Artery Cannulation in Neonates: A Randomized Controlled Trial.
AB - BACKGROUND: Radial artery cannulation is extremely challenging in neonatal
patients. Herein, we compared the success rate of the modified dynamic needle tip
positioning short-axis, out-of-plane, ultrasound-guided technique with that of
the traditional palpation technique in neonatal radial artery cannulation.
METHODS: Sixty term neonates undergoing major abdominal surgery were randomized
into the ultrasound or palpation group via the sealed-envelope method. The
ultrasound group underwent radial artery cannulation using an ultrasonic
apparatus, while traditional palpation of arterial pulsation was used in the
palpation group. The arterial diameter and depth were measured on ultrasound
before the puncture. We recorded age, weight, sex, and other background
characteristics. The primary outcomes included the first-attempt, total success
rates, and the total puncture procedure duration. Secondary outcomes included the
incidence of complications (hematoma and thrombosis). Data were compared between
the 2 groups. RESULTS: Sixty term neonates were enrolled in the study. The
success rates of the first attempt in the ultrasound and palpation groups were
40% (n = 30) and 10% (n = 30), respectively (P = .007; relative risk, 4.0; 95%
confidence interval, 1.3-12.8). The total success rate was 96.7% in the
ultrasound group and 60.0% in the palpation group (P = .001; relative risk, 1.61;
95% confidence interval, 1.19-2.17). The average time to accomplish radial artery
cannulation in the ultrasound and palpation groups was 91.4 +/- 55.4 and 284.7 +/
153.6 seconds, respectively (P < .001; estimated difference, -193; 95%
confidence interval, -256 to -130). In addition, 3.3% of the patients in the
ultrasound group and 26.7% in the palpation group suffered puncture hematoma (P =
.026; relative risk, 0.13; 95% confidence interval, 0.02-0.94). CONCLUSIONS:
Modified dynamic needle tip positioning short-axis, out-of-plane, ultrasound
guided radial artery cannulation in neonates improves the first-attempt and total
success rates and decreases the total procedural time and incidence of
cannulation-related complications.
PMID- 29787410
TI - The Effect of Dexmedetomidine on Propofol Requirements During Anesthesia
Administered by Bispectral Index-Guided Closed-Loop Anesthesia Delivery System: A
Randomized Controlled Study.
AB - BACKGROUND: Dexmedetomidine, a selective alpha2-adrenergic agonist currently
approved for continuous intensive care unit sedation, is being widely evaluated
for its role as a potential anesthetic. The closed-loop anesthesia delivery
system (CLADS) is a method to automatically administer propofol total intravenous
anesthesia using bi-spectral index (BIS) feedback and attain general anesthesia
(GA) steady state with greater consistency. This study assessed whether
dexmedetomidine is effective in further lowering the propofol requirements for
total intravenous anesthesia facilitated by CLADS. METHODS: After ethics
committee approval and written informed consent, 80 patients undergoing elective
major laparoscopic/robotic surgery were randomly allocated to receive GA with
propofol CLADS with or without the addition of dexmedetomidine. Quantitative
reduction of propofol and quality of depth-of-anesthesia (primary objectives),
intraoperative hemodynamics, incidence of postoperative adverse events (sedation,
analgesia, nausea, and vomiting), and intraoperative awareness recall (secondary
objectives) were analyzed. RESULTS: There was a statistically significant
lowering of propofol requirement (by 15%) in the dexmedetomidine group for
induction of anesthesia (dexmedetomidine group: mean +/- standard deviation 0.91
+/- 0.26 mg/kg; nondexmedetomidine group: 1.07 +/- 0.23 mg/kg, mean difference:
0.163, 95% CI, 0.04-0.28; P = .01) and maintenance of GA (dexmedetomidine group:
3.25 +/- 0.97 mg/kg/h; nondexmedetomidine group: 4.57 +/- 1.21 mg/kg/h, mean
difference: 1.32, 95% CI, 0.78-1.85; P < .001). The median performance error of
BIS control, a measure of bias, was significantly lower in dexmedetomidine group
(1% [-5.8%, 8%]) versus nondexmedetomidine group (8% [2%, 12%]; P = .002). No
difference was found for anesthesia depth consistency parameters, including
percentage of time BIS within +/-10 of target (dexmedetomidine group: 79.5 [72.5,
85.3]; nondexmedetomidine group: 81 [68, 88]; P = .534), median absolute
performance error (dexmedetomidine group: 12% [10%, 14%]; nondexmedetomidine
group: 12% [10%, 14%]; P = .777), wobble (dexmedetomidine group: 10% [8%, 10%];
nondexmedetomidine group: 8% [6%, 10%]; P = .080), and global score
(dexmedetomidine group: 25.2 [23.1, 35.8]; nondexmedetomidine group: 24.7 [20,
38.1]; P = .387). Similarly, there was no difference between the groups for
percentage of time intraoperative heart rate and mean arterial pressure remained
within 20% of baseline. However, addition of dexmedetomidine to CLADS propofol
increased the incidence of significant bradycardia (dexmedetomidine group: 14
[41.1%]; nondexmedetomidine group: 3 [9.1%]; P = .004), hypotension
(dexmedetomidine group: 9 [26.5%]; nondexmedetomidine group: 2 [6.1%]; P = .045),
and early postoperative sedation. CONCLUSIONS: The addition of dexmedetomidine to
propofol administered by CLADS was associated with a consistent depth of
anesthesia along with a significant decrease in propofol requirements, albeit
with an incidence of hemodynamic depression and early postoperative sedation.
PMID- 29787411
TI - Perianesthetic and Anesthesia-Related Mortality in a Southeastern United States
Population: A Longitudinal Review of a Prospectively Collected Quality Assurance
Data Base.
AB - BACKGROUND: Perianesthetic mortality (death occurring within 48 hours of an
anesthetic) continues to vary widely depending on the study population examined.
The authors study in a private practice physician group that covers multiple
anesthetizing locations in the Southeastern United States. This group has in
place a robust quality assurance (QA) database to follow all patients undergoing
anesthesia. With this study, we estimate the incidence of anesthesia-related and
perianesthetic mortality in this QA database. METHODS: Following institutional
review board approval, data from 2011 to 2016 were obtained from the QA database
of a large, community-based anesthesiology group practice. The physician practice
covers 233 anesthetizing locations across 20 facilities in 2 US states. All
detected cases of perianesthetic death were extracted from the database and
compared to the patients' electronic medical record. These cases were further
examined by a committee of 3 anesthesiologists to determine whether the death was
anesthesia related (a perioperative death solely attributable to either the
anesthesia provider or anesthetic technique), anesthetic contributory (a
perioperative death in which anesthesia role could not be entirely excluded), or
not due to anesthesia. RESULTS: A total of 785,467 anesthesia procedures were
examined from the study period. A total of 592 cases of perianesthetic deaths
were detected, giving an overall death rate of 75.37 in 100,000 cases (95% CI,
69.5-81.7). Mortality judged to be anesthesia related was found in 4 cases,
giving a mortality rate of 0.509 in 100,000 (95% CI, 0.198-1.31). Mortality
judged to be anesthesia contributory were found in 18 cases, giving a mortality
of 2.29 in 100,000 patients (95% CI, 1.45-3.7). A total of 570 cases were judged
to be nonanesthesia related, giving an incidence of 72.6 per 100,000 anesthetics
(95% CI, 69.3-75.7). CONCLUSIONS: In a large, comprehensive database representing
the full range of anesthesia practices and locations in the Southeastern United
States, the rate of perianesthestic death was 0.509 in 100,000 (95% CI, 0.198
1.31). Future in-depth analysis of the epidemiology of perianesthetic deaths will
be reported in later studies.
PMID- 29787412
TI - Does Respiratory Variation in Inferior Vena Cava Diameter Predict Fluid
Responsiveness in Mechanically Ventilated Patients? A Systematic Review and Meta
analysis.
AB - BACKGROUND: We performed a systematic review and meta-analysis of studies
investigating the diagnostic accuracy of respiratory variation in inferior vena
cava diameter (DeltaIVC) for predicting fluid responsiveness in patients
receiving mechanical ventilation. METHODS: MEDLINE, EMBASE, the Cochrane Library,
and Web of Science were screened from inception to February 2017. The meta
analysis assessed the pooled sensitivity, specificity, diagnostic odds ratio, and
area under the receiver operating characteristic curve. In addition,
heterogeneity and subgroup analyses were performed. RESULTS: A total of 12
studies involving 753 patients were included. Significant heterogeneity existed
among the studies, and meta-regression indicated that ventilator settings were
the main sources of heterogeneity. Subgroup analysis indicated that DeltaIVC
exhibited better diagnostic performance in the group of patients ventilated with
tidal volume (TV) >=8 mL/kg and positive end-expiratory pressure (PEEP) <=5 cm
H2O than in the group ventilated with TV <8 mL/kg or PEEP >5 cm H2O, as
demonstrated by higher sensitivity (0.80 vs 0.66; P = .02), specificity (0.94 vs
0.68; P < .001), diagnostic odds ratio (68 vs 4; P < .001), and area under the
receiver operating characteristic curve (0.88 vs 0.70; P < .001). The best
DeltaIVC threshold for predicting fluid responsiveness was 16% +/- 2% in the
group of TV >=8 mL/kg and PEEP <=5 cm H2O, whereas in the group of TV <8 mL/kg or
PEEP >5 cm H2O, this threshold was 14% +/- 5%. CONCLUSIONS: DeltaIVC shows
limited ability for predicting fluid responsiveness in distinct ventilator
settings. In patients with TV >=8 mL/kg and PEEP <=5 cm H2O, DeltaIVC was an
accurate predictor of fluid responsiveness, while in patients with TV <8 mL/kg or
PEEP >5 cm H2O, DeltaIVC was a poor predictor. Thus, intensivists must be
cautious when using DeltaIVC.
PMID- 29787413
TI - Halving the Volume of AnaConDa: Evaluation of a New Small-Volume Anesthetic
Reflector in a Test Lung Model.
AB - BACKGROUND: Volatile anesthetics are increasingly used for sedation in intensive
care units. The most common administration system is AnaConDa-100 mL (ACD-100;
Sedana Medical, Uppsala, Sweden), which reflects volatile anesthetics in open
ventilation circuits. AnaConDa-50 mL (ACD-50) is a new device with half the
volumetric dead space. Carbon dioxide (CO2) can be retained with both devices. We
therefore compared the CO2 elimination and isoflurane reflection efficiency of
both devices. METHODS: A test lung constantly insufflated with CO2 was ventilated
with a tidal volume of 500 mL at 10 breaths/min. End-tidal CO2 (EtCO2) partial
pressure was measured using 3 different devices: a heat-and-moisture exchanger
(HME, 35 mL), ACD-100, and ACD-50 under 4 different experimental conditions:
ambient temperature pressure (ATP), body temperature pressure saturated (BTPS)
conditions, BTPS with 0.4 Vol% isoflurane (ISO-0.4), and BTPS with 1.2 Vol%
isoflurane. Fifty breaths were recorded at 3 time points (n = 150) for each
device and each condition. To determine device dead space, we adjusted the tidal
volume to maintain normocapnia (n = 3), for each device. Thereafter, we
determined reflection efficiency by measuring isoflurane concentrations at
infusion rates varying from 0.5 to 20 mL/h (n = 3), for each device. RESULTS:
EtCO2 was consistently greater with ACD-100 than with ACD-50 and HME (ISO-0.4,
mean +/- standard deviations: ACD-100, 52.4 +/- 0.8; ACD-50, 44.4 +/- 0.8; HME,
40.1 +/- 0.4 mm Hg; differences of means of EtCO2 [respective 95% confidence
intervals]: ACD-100 - ACD-50, 8.0 [7.9-8.1] mm Hg, P < .001; ACD-100 - HME, 12.3
[12.2-12.4] mm Hg, P < .001; ACD-50 - HME, 4.3 [4.2-4.3] mm Hg, P < .001). It was
greatest under ATP, less under BTPS, and least with ISO-0.4 and BTPS with 1.2
Vol% isoflurane. In addition to the 100 or 50 mL "volumetric dead space" of each
AnaConDa, "reflective dead space" was 40 mL with ACD-100 and 25 mL with ACD-50
when using isoflurane. Isoflurane reflection was highest under ATP. Under BTPS
with CO2 insufflation and isoflurane concentrations around 0.4 Vol%, reflection
efficiency was 93% with ACD-100 and 80% with ACD-50. CONCLUSIONS: Isoflurane
reflection remained sufficient with the ACD-50 at clinical anesthetic
concentrations, while CO2 elimination was improved. The ACD-50 should be
practical for tidal volumes as low as 200 mL, allowing lung-protective
ventilation even in small patients.
PMID- 29787414
TI - Perioperative Peripheral Nerve Injury After General Anesthesia: A Qualitative
Systematic Review.
AB - Perioperative peripheral nerve injury (PNI) is a well-recognized complication of
general anesthesia that continues to result in patient disability and malpractice
claims. However, the multifactorial etiology of PNI is often not appreciated in
malpractice claims given that most PNI is alleged to be due to errors in patient
positioning. New advances in monitoring may aid anesthesiologists in the early
detection of PNI. This article reviews recent studies of perioperative PNI after
general anesthesia and discusses the epidemiology and potential mechanisms of
injury and preventive measures. We performed a systematic literature search,
reviewed the available evidence, and identified areas for further investigation.
We also reviewed perioperative PNI in the Anesthesia Closed Claims Project
database for adverse events from 1990 to 2013. The incidence of perioperative PNI
after general anesthesia varies considerably depending on the type of surgical
procedure, the age and risk factors of the patient population, and whether the
detection was made retrospectively or prospectively. Taken together, studies
suggest that the incidence in a general population of surgical patients
undergoing all types of procedures is <1%, with higher incidence in cardiac,
neurosurgery, and some orthopedic procedures. PNI represent 12% of general
anesthesia malpractice claims since 1990, with injuries to the brachial plexus
and ulnar nerves representing two-thirds of PNI claims. The causes of
perioperative PNI after general anesthesia are likely multifactorial, resulting
in a "difficult to predict and prevent" phenomenon. Nearly half of the PNI closed
claims did not have an obvious etiology, and most (91%) were associated with
appropriate anesthetic care. Future studies should focus on the interaction
between different mechanisms of insult, severity and duration of injury, and
underlying neuronal reserves. Recent automated detection technology in
neuromonitoring with somatosensory evoked potentials may increase the ability to
identify at-risk patients and individualize patient management.
PMID- 29787416
TI - Cecal Ligation and Puncture Alters Glucocorticoid Receptor Expression.
AB - OBJECTIVES: Interventional trials on glucocorticoids in sepsis have yielded
capricious results. Recent studies have identified multiple glucocorticoid
receptor isoforms. The relative abundance of these isoforms in septic patients
and following murine cecal ligation and puncture is unknown. The objective of
this study is to determine the effects of cecal ligation and puncture on
glucocorticoid receptor isoform abundance. DESIGN: Determination of effects of
cecal ligation and puncture on glucocorticoid receptor isoform subtype abundance
in C57BL/6 mice. Examination of glucocorticoid receptor isoform abundance in
tissues harvested from patients immediately after death from sepsis or nonseptic
critical illness. SETTING: Research laboratory. SUBJECTS: C57BL/6 mice and human
tissue sections from recently deceased critically ill patients. INTERVENTIONS:
C57BL/6 mice were subjected to cecal ligation and puncture or sham operation.
Abundance of the activating glucocorticoid receptor alpha and the inactivating
glucocorticoid receptor beta isoforms was determined in mouse and human tissue
using immunoblotting. Cardiac output with or without stimulation with
dexamethasone was assessed using echocardiography. The expression of the gene
encoding the glucocorticoid-dependent enzyme glucose-6-phosphatase was identified
using polymerase chain reaction. Statistical significance (p < 0.05) was
determined using analysis of variance. MEASUREMENTS AND MAIN RESULTS: Results in
baseline and sham operation mice were identical. At baseline, glucocorticoid
receptor alphaA predominated in heart, lung, and skeletal muscle; abundance was
decreased post cecal ligation and puncture. All glucocorticoid receptor alpha
subtypes were identified in liver. Cecal ligation and puncture decreased the
summed abundance of hepatic glucocorticoid receptor alpha subtypes and those of
glucocorticoid receptors alphaA, B, and D. However, glucocorticoid receptor
alphaC abundance was unchanged. Cecal ligation and puncture increased
glucocorticoid receptor beta protein abundance in the heart and lung. Relative to
T0, cecal ligation and puncture decreased cardiac output and attenuated the
cardiac output response to dexamethasone. Cecal ligation and puncture also
decreased expression of glucose-6-phosphatase. Compared with nonseptic patients,
human sepsis decreased the abundance of glucocorticoid receptor alpha and
increased the abundance of glucocorticoid receptor beta in heart and liver
biopsies. CONCLUSIONS: Cecal ligation and puncture altered glucocorticoid
receptor alpha and glucocorticoid receptor beta isoform expression in tissues and
decreased functional responses in heart and liver. Decreases in glucocorticoid
receptor alpha and increases in glucocorticoid receptor beta might explain the
diminished glucocorticoid responsiveness observed in sepsis.
PMID- 29787417
TI - Human leukocyte antigen mismatch and precision medicine in transplantation.
AB - PURPOSE OF REVIEW: Pretransplant and posttransplant alloimmune risk assessment
needs to evolve towards a precision medicine model already used in other areas of
medicine. Although this has not been possible with traditional risk factors
available at the time of transplant, new methods of human leukocyte antigen (HLA)
molecular mismatch have generated hope that alloimmune risk assessment may be
precise enough for personalized treatment strategies. RECENT FINDINGS: This
review describes the various HLA molecular mismatch methods and some of the
recent publications for each method. These include studies that have evaluated
HLA molecular mismatch in the context of lung, pancreas and kidney transplant as
a correlate with short and long-term outcomes. The limitations of traditional
alloimmune risk assessment strategies are highlighted in the context of
individualized patient care. CONCLUSION: Recent studies that have evaluated HLA
molecular mismatch in the context of immunosuppression minimization are examples
of how more precise measurements of alloimmune risk can lead to novel insights
that may help personalize immunosuppression protocols.
PMID- 29787415
TI - Co-Occurrence of Post-Intensive Care Syndrome Problems Among 406 Survivors of
Critical Illness.
AB - OBJECTIVES: To describe the frequency of co-occurring newly acquired cognitive
impairment, disability in activities of daily livings, and depression among
survivors of a critical illness and to evaluate predictors of being free of post
intensive care syndrome problems. DESIGN: Prospective cohort study. SETTING:
Medical and surgical ICUs from five U.S. centers. PATIENTS: Patients with
respiratory failure or shock, excluding those with preexisting cognitive
impairment or disability in activities of daily livings. INTERVENTIONS: None.
MEASUREMENTS AND MAIN RESULTS: At 3 and 12 months after hospital discharge, we
assessed patients for cognitive impairment, disability, and depression. We
categorized patients into eight groups reflecting combinations of cognitive,
disability, and mental health problems. Using multivariable logistic regression,
we modeled the association between age, education, frailty, durations of
mechanical ventilation, delirium, and severe sepsis with the odds of being post
intensive care syndrome free. We analyzed 406 patients with a median age of 61
years and an Acute Physiology and Chronic Health Evaluation II of 23. At 3 and 12
months, one or more post-intensive care syndrome problems were present in 64% and
56%, respectively. Nevertheless, co-occurring post-intensive care syndrome
problems (i.e., in two or more domains) were present in 25% at 3 months and 21%
at 12 months. Post-intensive care syndrome problems in all three domains were
present in only 6% at 3 months and 4% at 12 months. More years of education was
associated with greater odds of being post-intensive care syndrome free (p <
0.001 at 3 and 12 mo). More severe frailty was associated with lower odds of
being post-intensive care syndrome free (p = 0.005 at 3 mo and p = 0.048 at 12
mo). CONCLUSIONS: In this multicenter cohort study, one or more post-intensive
care syndrome problems were present in the majority of survivors, but co
occurring problems were present in only one out of four. Education was protective
from post-intensive care syndrome problems and frailty predictive of the
development of post-intensive care syndrome problems. Future studies are needed
to understand better the heterogeneous subtypes of post-intensive care syndrome
and to identify modifiable risk factors.
PMID- 29787418
TI - Nonalcoholic fatty liver disease and albuminuria: a systematic review and meta
analysis.
AB - BACKGROUND/OBJECTIVES: The relationship between nonalcoholic fatty liver disease
(NAFLD) and albuminuria has been shown in many epidemiologic studies, although
the results were inconsistent. This meta-analysis was conducted to summarize all
available data and to estimate the risk of albuminuria among patients with NAFLD.
METHODS: Comprehensive literature review was conducted utilizing Medline and
Embase database through January 2018 to identify studies that compared the risk
of albuminuria among patients with NAFLD versus those without NAFLD. Effect
estimates from each study were extracted and combined using the random-effect,
generic inverse variance method of DerSimonian and Laird. RESULTS: Nineteen
studies (17 cross-sectional studies and two cohort studies) with 24 804
participants fulfilled the eligibility criteria and were included in this meta
analysis. The risk of albuminuria among patients with NAFLD was significantly
higher than those without NAFLD with the pooled odds ratio (OR) of 1.67 [95%
confidence interval (CI): 1.32-2.11]. Subgroup analysis demonstrated the
significantly increased risk of albuminuria among patients with NAFLD without
diabetes with pooled OR of 2.25 (95% CI: 1.65-3.06). However, we found no
significant association between albuminuria and NAFLD among diabetic patients
[pooled OR 1.28 (95% CI: 0.94-1.75)]. CONCLUSION: A significantly increased risk
of albuminuria among patients with NAFLD was observed in this meta-analysis.
Physicians should pay more attention to the early detection and subsequent
treatment of individuals with microalbuminuria especially in patients with NAFLD.
PMID- 29787420
TI - Letter to the Editor.
PMID- 29787421
TI - The Impact of Saphenous Vein Sparing During Inguinal Lymphadenectomy on
Postoperative Morbidity in Women With Vulval Cancer: An Updated Per-Groin Meta
analysis of Short-term Outcomes.
AB - OBJECTIVE: Inguinofemoral lymphadenectomy (IFL) is included in the standard
surgical management of early-stage vulval cancer (VC) but is often accompanied by
surgical complications. Efforts have been made to limit the postoperative
morbidity by adopting more conservative IFL techniques without compromising the
surgical outcomes. Saphenous vein (SV) preservation during IFL for VC appears to
reduce the incidence of postoperative complications including lymphedema. To
ascertain the efficacy of SV preservation, we aimed to revisit the impact of SV
preservation on short-term per groin complications by updating on a previous meta
analysis to further guide current clinical practice. METHODS: A systematic
literature review was conducted to identify studies that reported postoperative
complications following IFL with SV preservation and controls (SV ligation during
IFL) in VC patients. We included articles in English language and avoided date
restrictions. Direct-comparison meta-analysis was performed between the use of SV
preservation and SV ligation for the short-term outcomes of lymphedema,
cellulitis, and wound dehiscence/breakdown. Fixed- and random-effects models were
fitted to calculate the odds ratios (ORs) and 95% confidence intervals (CIs).
RESULTS: Five studies were included in the final analysis. Direct-comparison per
groin meta-analysis between SV preservation and SV ligation significantly
decreased the odds for developing lymphedema (OR, 0.363; 95% CI, 0.228-0.578; P <
0.001), cellulitis (OR, 0.481; 95% CI, 0.28-0.825; P = 0.008), and wound
dehiscence/breakdown (OR, 0.296; 95% CI, 0.191-0.458; P < 0.001). When SV sparing
was clearly the sole intervention, lymphedema was the only complication in which
the positive effect of SV sparing is exerted (OR, 0.28; 95% CI, 0.149-0.526; P <
0.001). CONCLUSIONS: This per groin meta-analysis updates on the current evidence
suggesting the SV sparing improves postoperative outcomes following IFL in VC
patients. Where sentinel biopsy is not indicated, this risk-reducing strategy
should be considered in selected VC patients undergoing IFL until a multicenter
randomized controlled trial becomes available.
PMID- 29787422
TI - Activity of Pembrolizumab in Recurrent Cervical Cancer: Case Series and Review of
Published Data.
AB - OBJECTIVES: Recent data support the use of pembrolizumab in cervical cancer. The
aim of this study was to investigate pembrolizumab in heavily pretreated patients
with recurrent cervical cancer. METHODS: Data from consecutive patients treated
with pembrolizumab at a single academic institution were assessed. Programmed
cell death ligand 1 (PD-L1) status and microsatellite instability were assessed
from tumor samples. Irrespective of PD-L1 expression status, pembrolizumab was
administered at fixed dose of 200 mg intravenously every 3 weeks. Treatment
response was evaluated by computed tomography, using iRECIST (2017) criteria.
Descriptive statistics were performed. Results from previous publications were
summarized. RESULTS: In total, 11 heavily pretreated patients with recurrent
cervical cancer received pembrolizumab. Of these, 2 (18%) patients showed partial
response and 2 (18%) patients showed disease stabilization on computed
tomography, resulting in a clinical benefit rate of 36%. These 4 patients are
still on treatment and durable antitumor activity of up to 52 weeks was observed.
Treatment was generally well tolerated with 1 patient showing dose-limiting
toxicity. Median overall survival was 26 (3-53) weeks, and a 6-month overall
survival rate of 65% was observed. Of the 5 patients with high PD-L1 expression,
3 showed response to treatment. CONCLUSIONS: Pembrolizumab shows promising
activity in heavily pretreated patients with recurrent cervical cancer in a real
life clinical setting. Treatment was generally well tolerated, and adverse
effects were manageable. Growing evidence supports the use of pembrolizumab in
this group of patients.
PMID- 29787419
TI - A locus at 7p14.3 predisposes to refractory celiac disease progression from
celiac disease.
AB - BACKGROUND: Approximately 5% of patients with celiac disease (CeD) do not respond
to a gluten-free diet and progress to refractory celiac disease (RCD), a severe
progression that is characterized by infiltration of intraepithelial T
lymphocytes. Patients with RCD type II (RCDII) show clonal expansions of
intraepithelial T lymphocytes that result in a poor prognosis and a high
mortality rate through development of aggressive enteropathy-associated T-cell
lymphoma. It is not known whether genetic variations play a role in severe
progression of CeD to RCDII. PATIENTS AND METHODS: We performed the first genome
wide association study to identify the causal genes for RCDII and the molecular
pathways perturbed in RCDII. The genome-wide association study was performed in
38 Dutch patients with RCDII, and the 15 independent top-associated single
nucleotide polymorphism (SNP) variants (P<5*10) were replicated in 56 independent
French and Dutch patients with RCDII. RESULTS: After replication, SNP rs2041570
on chromosome 7 was significantly associated with progression to RCDII
(P=2.37*10, odds ratio=2.36) but not with CeD susceptibility. SNP rs2041570 risk
allele A was associated with lower levels of FAM188B expression in blood and
small intestinal biopsies. Stratification of RCDII biopsies based on rs2041570
genotype showed differential expression of innate immune and antibacterial genes
that are expressed in Paneth cells. CONCLUSION: We have identified a novel SNP
associated with the severe progression of CeD to RCDII. Our data suggest that
genetic susceptibility to CeD might be distinct from the progression to RCDII and
suggest a role for Paneth cells in RCDII progression.
PMID- 29787423
TI - Autoimmune Granulomatous Inflammation of Lacrimal Glands and Axonal Neuritis
Following Treatment With Ipilimumab and Radiation Therapy.
AB - Immune checkpoint inhibitors such as anti-CTLA-4 (cytotoxic T-lymphocyte
associated protein 4), anti PD-1 (programmed cell death protein 1) and PD-L1
(programmed cell death protein-ligand 1) monoclonal antibodies are emerging as
standard oncology treatments in various tumor types. The indications will expand
as immunotherapies are being investigated in various tumors with promising
results. Currently, there is inadequate identification of predictive biomarkers
of response or toxicity. Unique response patterns include pseudoprogression and
delayed response. The use of immune checkpoint inhibitors exhibit an unique
toxicity profile, the immune-related adverse events (irAEs). The most notable
immune reactions are noted in skin (rash), gastrointestinal track (colitis,
hepatitis, pancreatitis), lung (pneumonitis), heart (myocarditis), and endocrine
system (thyroiditis, hypophysitis). We present a patient with metastatic adenoid
cystic carcinoma of the left submandibular gland with granulomatous inflammation
of the lacrimal glands and axonal neuritis of the cervical and paraspinal nerves
following treatment with ipilimumab and radiation therapy.
PMID- 29787424
TI - Conrad-Global Conference on Radiation Topics: Preparedness, Response, Protection,
and Research, Munich, 8-11 May 2017.
PMID- 29787426
TI - Actinide-contaminated Skin: Comparing Decontamination Efficacy of Water,
Cleansing Gels, and DTPA Gels.
AB - Skin contamination by alpha-emitting actinides is a risk to workers during
nuclear fuel production and reactor decommissioning. Also, the list of items for
potential use in radiological dispersal devices includes plutonium and americium.
The actinide chemical form is important and solvents such as tributyl phosphate,
used to extract plutonium, can influence plutonium behavior. This study
investigated skin fixation and efficacy of decontamination products for these
actinide forms using viable pig skin in the Franz cell diffusion system. Commonly
used or recommended decontamination products such as water, cleansing gel,
diethylenetriamine pentaacetic acid, or octadentate hydroxypyridinone compound
3,4,3-LI(1,2-HOPO), as well as diethylenetriamine pentaacetic acid hydrogel
formulations, were tested after a 2-h contact time with the contaminant. Analysis
of skin samples demonstrated that more plutonium nitrate is bound to skin as
compared to plutonium-tributyl phosphate, and fixation of americium to skin was
also significant. The data show that for plutonium-tributyl phosphate all the
products are effective ranging from 80 to 90% removal of this contaminant. This
may be associated with damage to the skin by this complex and suggests a
mechanical/wash-out action rather than chelation. For removal of americium and
plutonium, both Trait Rouge cleansing gel and diethylenetriamine pentaacetic acid
are better than water, and diethylenetriamine pentaacetic acid hydrogel is better
than Osmogel. The different treatments, however, did not significantly affect the
activity in deeper skin layers, which suggests a need for further improvement of
decontamination procedures. The new diethylenetriamine pentaacetic acid hydrogel
preparation was effective in removing americium, plutonium, and plutonium
tributyl phosphate from skin; such a formulation offers advantages and thus
merits further assessment.
PMID- 29787425
TI - A Metabolomic Serum Signature from Nonhuman Primates Treated with a Radiation
Countermeasure, Gamma-tocotrienol, and Exposed to Ionizing Radiation.
AB - The search for and development of radiation countermeasures to treat acute lethal
radiation injury has been underway for the past six decades, resulting in the
identification of multiple classes of radiation countermeasures. However, to date
only granulocyte colony-stimulating factor (Neupogen) and PEGylated granulocyte
colony-stimulating factor (Neulasta) have been approved by the U.S. Food and Drug
Administration for the treatment of hematopoietic acute radiation syndrome. Gamma
tocotrienol has demonstrated radioprotective efficacy in murine and nonhuman
primate models. Currently, this agent is under advanced development as a
radioprotector, and the authors are trying to identify its efficacy biomarkers.
In this study, global metabolomic changes were analyzed using ultraperformance
liquid chromatography quadrupole time-of-flight mass spectrometry. The pilot
study using 16 nonhuman primates (8 nonhuman primates each in gamma-tocotrienol-
and vehicle-treated groups), with samples obtained from gamma-tocotrienol-treated
and irradiated nonhuman primates, demonstrates several metabolites that are
altered after irradiation, including compounds involved in fatty acid beta
oxidation, purine catabolism, and amino acid metabolism. The machine-learning
algorithm, Random Forest, separated control, irradiated gamma-tocotrienol
treated, and irradiated vehicle-treated nonhuman primates at 12 h and 24 h as
evident in a multidimensional scaling plot. Primary metabolites validated
included carnitine/acylcarnitines, amino acids, creatine, and xanthine. Overall,
gamma-tocotrienol administration reduced high fluctuations in serum metabolite
levels, suggesting an overall beneficial effect on animals exposed to radiation.
This initial assessment also highlights the utility of metabolomics in
determining underlying physiological mechanisms responsible for the
radioprotective efficacy of gamma-tocotrienol.
PMID- 29787427
TI - Impact of Ionizing Radiation on Electrophysiological Behavior of Human-induced
Ipsc-derived Cardiomyocytes on Multielectrode Arrays.
AB - Cardiac arrhythmia presumably induced through cardiac fibrosis is a recurrent
long-term consequence of exposure to ionizing radiation. However, there is also
evidence that cardiac arrhythmia can occur in patients shortly after irradiation.
In this study, the authors employed multielectrode arrays to investigate the
short-term effects of x-ray radiation on the electrophysiological behavior of
cardiomyocytes derived from human-induced pluripotent stem cells. These
cardiomyocytes with spontaneous pacemaker activity were cultured on single-well
multielectrode arrays. After exposure to 0, 0.5, 1, 2, 5, 10 Gy x-ray radiation,
electrical activity was measured at time points ranging from 10 min to 96 h. RNA
sequencing was employed to verify the expression of genes specifically involved
in cardiomyocyte differentiation and function. A decrease in beating rate was
observed after irradiation with 5 and 10 Gy starting 48 h after exposure. Cells
exposed to higher doses of radiation were more prone to show changes in
electrophysiological spatial distribution. No radiation-induced effects with
respect to the corrected QT interval were detectable. Gene expression analysis
showed up regulation of typical cardiac features like ACTC1 or HCN4. In this
study, early dose-dependent changes in electrophysiological behavior were
determined after x-ray irradiation. Results point towards a dose-dependent effect
on pacemaker function of cardiomyocytes and indicate a possible connection
between irradiation and short-term changes in electrophysiological cardiac
function. Cardiomyocytes derived from human-induced pluripotent stem cells on
multielectrode arrays represent a promising in vitro cardiac-modeling system for
preclinical studies.
PMID- 29787428
TI - Use of Proteomic and Hematology Biomarkers for Prediction of Hematopoietic Acute
Radiation Syndrome Severity in Baboon Radiation Models.
AB - Use of plasma proteomic and hematological biomarkers represents a promising
approach to provide useful diagnostic information for assessment of the severity
of hematopoietic acute radiation syndrome. Eighteen baboons were evaluated in a
radiation model that underwent total-body and partial-body irradiations at doses
of Co gamma rays from 2.5 to 15 Gy at dose rates of 6.25 cGy min and 32 cGy min.
Hematopoietic acute radiation syndrome severity levels determined by an analysis
of blood count changes measured up to 60 d after irradiation were used to gauge
overall hematopoietic acute radiation syndrome severity classifications. A panel
of protein biomarkers was measured on plasma samples collected at 0 to 28 d after
exposure using electrochemiluminescence-detection technology. The database was
split into two distinct groups (i.e., "calibration," n = 11; "validation," n =
7). The calibration database was used in an initial stepwise regression
multivariate model-fitting approach followed by down selection of biomarkers for
identification of subpanels of hematopoietic acute radiation syndrome-responsive
biomarkers for three time windows (i.e., 0-2 d, 2-7 d, 7-28 d). Model 1 (0-2 d)
includes log C-reactive protein (p < 0.0001), log interleukin-13 (p < 0.0054),
and procalcitonin (p < 0.0316) biomarkers; model 2 (2-7 d) includes log CD27 (p <
0.0001), log FMS-related tyrosine kinase 3 ligand (p < 0.0001), log serum amyloid
A (p < 0.0007), and log interleukin-6 (p < 0.0002); and model 3 (7-28 d) includes
log CD27 (p < 0.0012), log serum amyloid A (p < 0.0002), log erythropoietin (p <
0.0001), and log CD177 (p < 0.0001). The predicted risk of radiation injury
categorization values, representing the hematopoietic acute radiation syndrome
severity outcome for the three models, produced least squares multiple regression
fit confidences of R = 0.73, 0.82, and 0.75, respectively. The resultant
algorithms support the proof of concept that plasma proteomic biomarkers can
supplement clinical signs and symptoms to assess hematopoietic acute radiation
syndrome risk severity.
PMID- 29787430
TI - Successful Teaching of Radiobiology Students in the Medical Management of Acute
Radiation Effects From Real Case Histories Using Clinical Signs and Symptoms and
Taking Advantage of Recently Developed Software Tools.
AB - In 2015, the Bundeswehr Institute of Radiobiology organized a North Atlantic
Treaty Organization exercise to examine the significance of clinical signs and
symptoms for the prediction of late-occurring acute radiation syndrome. Cases
were generated using either the Medical Treatment Protocols for Radiation
Accident Victims (METREPOL, n = 167) system or using real-case descriptions
extracted from a database system for evaluation and archiving of radiation
accidents based on case histories (SEARCH, n = 24). The cases ranged from
unexposed [response category 0 (RC 0, n = 89)] to mild (RC 1, n = 45), moderate
(RC 2, n = 19), severe (RC 3, n = 20), and lethal (RC 4, n = 18) acute radiation
syndrome. During the previous exercise, expert teams successfully predicted
hematological acute radiation syndrome severity, determined whether
hospitalization was required, and gave treatment recommendations, taking
advantage of different software tools developed by the North Atlantic Treaty
Organization teams. The authors provided the same data set to radiobiology
students who were introduced to the medical management of acute effects after
radiation exposure and the software tools during a class lasting 15 h.
Corresponding to the previous results, difficulties in the discrimination between
RC 0/RC 1 and RC 3/RC 4, as well as a systematic underestimation of RC 1 and RC
2, were observed. Nevertheless, after merging reported response categories into
clinically relevant groups (RC 0-1, RC 2-3, and RC 3-4), it was found that the
majority of cases (95.2% +/- 2.2 standard deviations) were correctly identified
and that 94.7% (+/-2.6 standard deviations) developing acute radiation syndrome
and z96.4% (+/-1.6 standard deviations) requiring hospitalization were identified
correctly. Two out of three student teams also provided a dose estimate. These
results are comparable to the best-performing team of the 2015 North Atlantic
Treaty Organization exercise (response category: 92.5%; acute radiation syndrome:
95.8%; hospitalization: 96.3%).
PMID- 29787429
TI - First Insights Into the M2 Inflammatory Response After Adipose-Tissue-Derived
Stem Cell Injections in Radiation-Injured Muscles.
AB - The cutaneous radiation syndrome is the clinical consequence of local high-dose
irradiation. It is characterized by extensive inflammation, necrosis, and poor
revascularization of the skin, resulting in muscle inflammation and fibrosis.
Based on these physiopathological processes, subcutaneous injections of adipose
tissue-derived stem/stromal cells have shown favorable effects on skin-wound
healing in a minipig model of cutaneous radiation syndrome, in which muscle
fibrosis persisted. Since fibrosis is mainly due to the inflammatory processes
that often affect underlying tissues as well, the beneficial effects of
intramuscular injections of adipose-tissue-derived stem/stromal cells on tissue
recovery were evaluated. The polarization of the inflammatory response of
irradiated muscle in a minipig model of cutaneous radiation syndrome was
determined after acute local irradiation with 50 Gy gamma rays in a preliminary
study (six minipigs). Analysis of the main inflammatory cytokines of the
inflammatory response M1 (IL-1-beta and IL-6) and M2 (IL-10 and TGF-beta) by
western blotting and in situ hybridization, as well as analysis of CD80/CD206
M1/M2 macrophage-specific markers by immunohistochemistry on minipig muscle
samples, was performed 76 d after irradiation. The treatment of irradiated
muscles with autologous adipose-tissue-derived stem/stromal cells led to an
increase in IL-10 and TGF-beta, being associated with an increase in CD68+/CD206+
cells in this area. This highlights a polarization of M2 in the inflammatory
response and indicates that adipose-tissue-derived stem/stromal cells may direct
the irradiated tissues' inflammatory response towards a proregenerative outcome.
PMID- 29787431
TI - Rapid Response, Dose Assessment, and Clinical Management of a Plutonium
contaminated Puncture Wound.
AB - Internalization of radionuclides occurs not only by inhalation, ingestion,
parenteral injection (i.e., administration of radioactive material for a medical
purpose), and direct transdermal absorption, but also by contaminated wounds. In
June 2010, a glove-box operator at the U.S. Department of Energy's Savannah River
Site sustained a puncture wound while venting canisters containing legacy
materials contaminated with Pu. To indicate the canisters had been vented, a flag
was inserted into the vent hole. The shaft of the flag penetrated the protective
gloves worn by the operator. Initial monitoring performed with a zinc-sulfide
alpha detector indicated 300 dpm at the wound site. After being cleared by
radiological controls personnel, the patient was taken to the site medical
facility where decontamination was attempted and diethylenetriaminepentaacetic
acid (DTPA) was administered intravenously within 1.5 h of the incident. The
patient was then taken to the Savannah River Site In Vivo Counting Facility where
the wound was counted with a Canberra GL 2820 high-purity germanium detector,
capable of quantifying contamination by detecting low-energy x rays and gamma
rays. In addition to the classic 13, 17, and 20 keV photons associated with Pu,
the low-yield (0.04%) 43.5 keV peak was also detected. This indicated a level of
wound contamination orders of magnitude above the initial estimate of 300 dpm
detected with handheld instrumentation. Trace quantities of Am were also
identified via the 59.5 keV peak. A 24 h urine sample collection was begun on day
1 and continued at varying intervals for over a year. The patient underwent a
punch biopsy at 3 h postincident (14,000 dpm removed) and excisional biopsies on
days 1 and 9 (removal of an additional 3,200 dpm and 3,800 dpm, respectively).
The initial post-DTPA urine sample analysis report indicated excretion in excess
of 24,000 dpm Pu. Wound mapping was performed in an effort to determine migration
from the wound site and indicated minimum local migration. In vivo counts were
performed on the liver, axillary lymph nodes, supratrochlear lymph nodes, and
skeleton to assess uptake and did not indicate measurable activity. Seventy-one
total doses of DTPA were administered at varying frequencies for 317 d post
intake. After allowing 100 d for removal of DTPA from the body, five 24 h urine
samples were collected and analyzed for dose assessment by using the wound model
described in National Council on Radiation Protection and Measurements Report No.
156. The total effective dose averted via physical removal of the contaminant and
DTPA administration exceeded 1 Sv, demonstrating that rapid recognition of
incident magnitude and prompt medical intervention are critical for dose
aversion.
PMID- 29787432
TI - PEGylated IL-11 (BBT-059): A Novel Radiation Countermeasure for Hematopoietic
Acute Radiation Syndrome.
AB - Interleukin-11 was developed to reduce chemotherapy-induced thrombocytopenia;
however, its clinical use was limited by severe adverse effects in humans.
PEGylated interleukin-11 (BBT-059), developed by Bolder Biotechnology, Inc.,
exhibited a longer half-life in rodents and induced longer-lasting increases in
hematopoietic cells than interleukin-11. A single dose of 1.2 mg kg of BBT-059,
administered subcutaneously to CD2F1 mice (12-14 wk, male) was found to be safe
in a 14 d toxicity study. The drug demonstrated its efficacy both as a
prophylactic countermeasure and a mitigator in CD2F1 mice exposed to Co gamma
total-body irradiation. A single dose of 0.3 mg kg, administered either 24 h pre
, 4 h post-, or 24 h postirradiation increased the survival of mice to 70-100%
from lethal doses of radiation. Preadministration (-24 h) of the drug conferred a
significantly (p < 0.05) higher survival compared to 24 h post-total-body
irradiation. There was significantly accelerated recovery from radiation-induced
peripheral blood neutropenia and thrombocytopenia in animals pretreated with BBT
059. The drug also increased bone marrow cellularity and megakaryocytes and
accelerated multilineage hematopoietic recovery. In addition, BBT-059 inhibited
the induction of radiation-induced hematopoietic biomarkers, thrombopoietin,
erythropoietin, and Flt-3 ligand. These results indicate that BBT-059 is a
promising radiation countermeasure, demonstrating its potential to be used both
pre- and postirradiation for hematopoietic acute radiation syndrome with a broad
window for medical management in a radiological or nuclear event.
PMID- 29787433
TI - Investigation of Spatial Organization of Chromosome Territories in Chromosome
Exchange Aberrations After Ionizing Radiation Exposure.
AB - Higher-order organization of the human genome is well established with
chromosomes occupying distinct domains or territories in the interphase nucleus.
Spatial organization of chromosome territories in the interphase nucleus occurs
in a cell-type-specific manner. Since both stable and unstable aberrations
induced by ionizing radiation involve the exchange of material between two or
more chromosomes, this study investigated the role of spatial organization of
chromosome domains in ionizing-radiation-induced chromosome translocation events.
Using multicolor fluorescence in situ hybridization, the study characterized the
positioning of each human chromosome relative to its neighborhood territories in
the interphase nucleus of lymphocytes and B-lymphoblastoid cells before ionizing
radiation and compared this interphase positioning with the spectrum of exchanges
observed after ionizing radiation in the metaphase chromosomes. In addition to
multicolor fluorescence in situ hybridization, the genome-wide chromosome
conformation capture technique (Hi-C) was also performed in mock and x-ray
irradiated human B-lymphoblastoid and fibroblast cells to characterize the
interactions among chromosomes and to assess the genome reorganization changes,
if any, after ionizing radiation exposure. On average, 35-50% of the total
translocations induced by x rays and neutrons correlated with proximity of
chromosome territories detected by multicolor fluorescence in situ hybridization
in both lymphocytes and lymphoblastoid cells. The translocation rate observed in
proximally positioned chromosome territories was consistently higher than
distally located territories and was found to be statistically significant (p =
0.01) in human lymphoblastoid cells after x rays. The interchromosome interaction
frequencies detected by Hi-C correlate fairly well with ionizing-radiation
induced translocations detected by multicolor fluorescence in situ hybridization,
suggesting the importance of chromosome proximity effects in ionizing-radiation
induced chromosomal translocation events.
PMID- 29787435
TI - NHEJ Contributes to the Fast Repair of Radiation-induced DNA Double-strand Breaks
at Late Prophase I Telomeres.
AB - Exposure of cells to ionizing radiation induces DNA double-strand breaks. To
repair double-strand breaks correctly, cells must distinguish between the ends of
chromosomes (telomeres) and DNA double-strand breaks within chromosomes. Double
strand breaks in telomeric DNA may lead to telomere shortening and mutagenesis.
Eukaryotic cells repair double-strand breaks primarily by two mechanisms: error
free homologous recombination and error-prone nonhomologous end joining, of which
homologous recombination is used in early meiotic prophase I to create recombined
haploid gametes by two meiotic cell divisions lacking an intervening S-phase.
Genotoxic exposures put meiosis at risk to transmit mutations, and ionizing
radiation is known to induce large double-strand break-marking phospho (gamma)
H2AX foci along the cores and ends of mouse meiotic chromosomes. However, it
remained unclear through which repair pathway the ionizing radiation-induced
telomeric double-strand breaks are repaired in late prophase I spermatocytes.
Using male wild-type and nonhomologous end joining-deficient (severe combined
immunodeficient) mice, this study investigated the kinetics of in vivo double
strand break formation and repair at telomeres of late prophase I chromosomes up
to 12 h after 0.5 Gy of whole-body gamma irradiation. Late pachytene and
diplotene spermatocytes revealed overlapping gamma-H2AX and telomere repeat
signal foci, indicating telomeric DNA damage. The comparison of double-strand
break repair rates at telomeres and internal prophase chromosome sites revealed a
more rapid double-strand break repair at wild-type telomeres during the first
hour after irradiation. Increased double-strand break foci numbers at
nonhomologous end joining-deficient telomeres and chromosomes and a slowed repair
rate in this DNA-dependent protein kinase catalytic subunit mutant suggest that
the fast repair of double-strand breaks in telomeric DNA repeats during late
prophase I is largely mediated by canonical nonhomologous end joining.
PMID- 29787434
TI - Influence of Confounding Factors on Radiation Dose Estimation Using In Vivo
Validated Transcriptional Biomarkers.
AB - For triage purposes following a nuclear accident, blood-based gene expression
biomarkers can provide rapid dose estimates for a large number of individuals.
Ionizing-radiation-responsive genes are regulated through the DNA damage-response
pathway, which includes activation of multiple transcription factors. Modulators
of this pathway could potentially affect the response of these biomarkers and
consequently compromise accurate dose estimation calculations. In the present
study, four potential confounding factors were selected: cancer condition, sex,
simulated bacterial infection (lipopolysaccharide), and curcumin, an anti
inflammatory/antioxidant agent. Their potential influence on the transcriptional
response to radiation of the genes CCNG1 and PHPT1, two biomarkers of radiation
exposure ex vivo, was assessed. First, both CCNG1 and PHPT1 were detected in vivo
in blood samples from radiotherapy patients and as such were validated as
biomarkers of exposure. Importantly, their basal expression level was slightly
but significantly affected in vivo by patients' cancer condition. Moreover,
lipopolysaccharide stimulation of blood irradiated ex vivo led to a significant
modification of CCNG1 and PHPT1 transcriptional response in a dose- and time
dependent manner with opposite regulatory effects. Curcumin also affected CCNG1
and PHPT1 transcriptional response counteracting some of the radiation induction.
No differences were observed based on sex. Dose estimations calculated using
linear regression were affected by lipopolysaccharide and curcumin. In
conclusion, several confounding factors tested in this study can indeed modulate
the transcriptional response of CCNG1 and PHPT1 and consequently can affect
radiation exposure dose estimations but not to a level which should prevent the
biomarkers' use for triage purposes.
PMID- 29787436
TI - Immunological Markers of Chronic Occupational Radiation Exposure.
AB - This study aimed to identify immunological biomarkers for prolonged occupational
radiation exposure and thus studied a random sample of the Mayak Production
Association worker cohort (91 individuals). The control group included 43 local
individuals never employed at the Mayak Production Association. To identify
biomarkers, two groups of workers were formed: the first one included workers
chronically exposed to external gamma rays at cumulative doses of 0.5-3.0 Gy (14
individuals); the second one included workers exposed to combined radiation
external gamma rays at doses ranging from 0.7 to 5.1 Gy and internal alpha
radiation from incorporated plutonium with 0.3-16.4 kBq body burden (77
individuals). The age range of the study individuals was 66-91 y. Peripheral
blood serum protein concentrations of cytokines, immunoglobulins, and matrix
metalloproteinase-9 were analyzed using enzyme-linked immunoassay following the
manufacturer's protocol. Flow cytometry was used to analyze levels of various
lymphocyte subpopulations. The findings of the current study demonstrate that
some immunological characteristics may be considered as biomarkers of prolonged
chronic radiation exposure for any radiation type (in the delayed period after
the exposure) based on fold differences from controls: M immunoglobulin fold
differences were 1.75 +/- 0.27 (p = 0.0001) for external gamma-ray exposure and
1.50 +/- 0.27 (p = 0.0003) for combined radiation exposure; matrix
metalloproteinase-9 fold differences were 1.5 +/- 0.22 (p = 0.008) for external
gamma-ray exposure and 1.69 +/- 0.24 (p = 0.00007) for combined radiation
exposure; A immunoglobulin fold differences were 1.61 +/- 0.27 (p = 0.002) for
external gamma-ray exposure and 1.56 +/- 0.27 (p = 0.00002) for combined
radiation exposure; relative concentration of natural killer cell fold
differences were 1.53 +/- 0.23 (p = 0.01) for external gamma-ray exposure and
1.35 +/- 0.22 (p = 0.001) for combined radiation exposure; and relative
concentration of T-lymphocytes fold differences were 0.89 +/- 0.04 (p = 0.01) for
external gamma-ray exposure and 0.95 +/- 0.05 (p = 0.003) for combined radiation
exposure. Based on fold differences from controls, interferon-gamma (3.50 +/-
0.65, p = 0.031), transforming growth factor-beta (2.91 +/- 0.389, p = 0.026),
and relative blood serum levels of T-helper cells (0.90 +/- 0.065, p = 0.02) may
be used as immunological markers of chronic external gamma-ray exposure.
Moreover, there was a significant inverse linear association of relative
concentration of T-helper cells with dose from external gamma rays accumulated
over an extended period.
PMID- 29787438
TI - Predicting the Public Health Consequences of a Nuclear Terrorism Attack: Drawing
on The Experiences of Hiroshima and Fukushima.
AB - The increasing threat of nuclear terrorism warrants consideration of the health
consequences of a terrorist incident should preventive measures fail. Although
there has not yet been a nuclear terrorist attack of any kind, experiences with
the aftermath of the bombing of Hiroshima and the core meltdowns at Fukushima can
provide useful insight and allow some inferences to be made regarding the types
of casualties that might be sustained and the rescue efforts that might be
required. There are many parallels between the events at Hiroshima and what might
be expected from an improvised nuclear device, and there are parallels between
the radioactivity released to the environment at Fukushima and the aftermath of a
radiological dispersal device attack. Nevertheless, there are some unique aspects
to a ground-detonated improvised nuclear device that pose health threats beyond
those seen at Hiroshima (i.e., fallout). And psychological health may be impacted
more than physical health in the case of a radiological dispersal device.
Preparedness requires consideration of all of these various health hazards in
order to determine how best to mitigate the consequences of a nuclear terrorism
attack.
PMID- 29787437
TI - Liquid Biopsy Using Whole Blood from Testis Tumor and Colon Cancer Patients-A New
and Simple Way?
AB - Tumor cells shed exosomes, which are released to the blood. Detecting tumor
derived exosomes containing RNA in plasma (liquid biopsy) is currently being
investigated for early identification of occult metastases or relapses. Isolation
of exosomes is laborious, resulting in low RNA yields. As a more robust (but less
sensitive) alternative, the authors examined whether whole blood can be used as
well. Tumor samples from nonmetastasized seminoma (n = 5) and colon cancer
patients (n = 6) were taken during surgery. Whole-blood samples were taken before
and 5-7 d after surgery. A whole genome mRNA microarray screening was performed.
Candidate genes were selected based on two criteria: (1) gene expression in the
presurgical whole-blood sample/tumor biopsy; and (2) a two-fold decrease in the
copy number of candidate genes was expected in the postsurgical whole-blood
sample 5-7 d after intervention, relative to the presurgical blood sample. The
rationale behind this is the loss of tumor material in the body and the decline
in the release of tumor-derived RNA in exosomes. For both tumor entities and for
each patient, several hundred candidate genes could be identified. In a group
wise comparison, 20 candidate genes could be identified in the seminoma and 32 in
the colon cancer group. These findings indicate that whole blood might be
suitable for a liquid biopsy. However, this study identified the short period
after surgery (5-7 d) as a possible confounder. The authors plan to add an
additional time point several weeks after the operation to discriminate tumor
candidate genes from genes induced by the surgery.
PMID- 29787439
TI - Genotoxic Effects in Human Fibroblasts Exposed to Microwave Radiation.
AB - In the last decades, technological development has led to an increasing use of
devices and systems based on microwave radiation. The increased employment of
these devices has elicited questions about the potential long-term health
consequences associated with microwave radiation exposure. From this perspective,
biological effects of microwave radiation have been the focus of many studies,
but the reported scientific data are unclear and contradictory. The aim of this
study is to evaluate the potential genotoxic and cellular effects associated with
in vitro exposure of human fetal and adult fibroblasts to microwave radiation at
the frequency of 25 GHz. For this purpose, several genetic and biological end
points were evaluated. Results obtained from comet assay, phosphorylation of H2AX
histone, and antikinetochore antibody (CREST)-negative micronuclei frequency
excluded direct DNA damage to human fetal and adult fibroblasts exposed to
microwaves. No induction of apoptosis or changes in prosurvival signalling
proteins were detected. Moreover, CREST analysis showed for both the cell lines
an increase in the total number of micronuclei and centromere positive
micronuclei in exposed samples, indicating aneuploidy induction due to chromosome
loss.
PMID- 29787441
TI - Exome Sequencing Discloses Ionizing-radiation-induced DNA Variants in the Genome
of Human Gingiva Fibroblasts.
AB - Ionizing radiation can induce genomic lesions such as DNA double-strand breaks
whose incomplete or faulty repair can result in mutations, which in turn can
influence cellular functions and alter the fate of affected cells and organ
systems. Ionizing-radiation-induced sequence alterations/mutations occur in a
stochastic manner, which contributes to an increased cancer risk in irradiated
individuals. Ionizing radiation exposure, and particularly acute doses at high
dose rates (as often observed in radiation accidents), induce alterations in the
genome that in part will reflect specific characteristics of the DNA damage
response and the repair mechanisms involved. Here, the exome of primary human
gingival fibroblasts not exposed or exposed to 0.2, 2, 5, or 10 Gy of x rays was
investigated after 16 h of DNA repair for ionizing-radiation-induced mutations.
The irradiation effect with varying dose was investigated using three different
bioinformatic filters for the analysis of accumulated variants per Mb of genomic
DNA and per cytogenetic bands. A highly stringent cutoff of 20-fold coverage was
used for all analyses. Comparing exome DNA from irradiated and nonirradiated
cells disclosed a characteristic variation of the frequency of ionizing-radiation
induced single-nucleotide variants as well as small insertions and deletions
among chromosomes and their subregions. Increases in ionizing-radiation-induced
variants with increasing dose were highly significant (p = 2.2 * 10, Kruskal
Wallis test). These results indicate that certain chromosomal regions may be more
prone to accumulating particular ionizing-radiation-induced alterations than
others, which points to a characteristic metasignature in the irradiated exome.
PMID- 29787440
TI - Developments in Biodosimetry Methods for Triage With a Focus on X-band Electron
Paramagnetic Resonance In Vivo Fingernail Dosimetry.
AB - Instrumentation and application methodologies for rapidly and accurately
estimating individual ionizing radiation dose are needed for on-site triage in a
radiological/nuclear event. One such methodology is an in vivo X-band, electron
paramagnetic resonance, physically based dosimetry method to directly measure the
radiation-induced signal in fingernails. The primary components under development
are key instrument features, such as resonators with unique geometries that allow
for large sampling volumes but limit radiation-induced signal measurements to the
nail plate, and methodological approaches for addressing interfering signals in
the nail and for calibrating dose from radiation-induced signal measurements. One
resonator development highlighted here is a surface resonator array designed to
reduce signal detection losses due to the soft tissues underlying the nail plate.
Several surface resonator array geometries, along with ergonomic features to
stabilize fingernail placement, have been tested in tissue-equivalent nail models
and in vivo nail measurements of healthy volunteers using simulated radiation
induced signals in their fingernails. These studies demonstrated radiation
induced signal detection sensitivities and quantitation limits approaching the
clinically relevant range of <= 10 Gy. Studies of the capabilities of the current
instrument suggest that a reduction in the variability in radiation-induced
signal measurements can be obtained with refinements to the surface resonator
array and ergonomic features of the human interface to the instrument. Additional
studies are required before the quantitative limits of the assay can be
determined for triage decisions in a field application of dosimetry. These
include expanded in vivo nail studies and associated ex vivo nail studies to
provide informed approaches to accommodate for a potential interfering native
signal in the nails when calculating the radiation-induced signal from the nail
plate spectral measurements and to provide a method for calibrating dose
estimates from the radiation-induced signal measurements based on quantifying
experiments in patients undergoing total-body irradiation or total-skin electron
therapy.
PMID- 29787442
TI - Epidemiology of Late Health Effects in Ukrainian Chornobyl Cleanup Workers.
AB - This article summarizes the results of 30 y of follow-up of cancer and noncancer
effects in Ukrainian cleanup workers after the Chornobyl accident. The number of
power plant employees and first responders with acute radiation syndrome under
follow-up by the National Research Center for Radiation Medicine decreased from
179 in 1986-1991 to 105 in 2011-2015. Cancers and leukemia (19) and
cardiovascular diseases (21) were the main causes of deaths among acute radiation
syndrome survivors (54) during the postaccident period. Increased radiation risks
of leukemia in the Ukrainian cohort of 110,645 cleanup workers exposed to low
doses are comparable to those among survivors of the atomic bomb explosions in
Japan in 1945. Additionally, an excess of chronic lymphocytic leukemia was
demonstrated in the cleanup workers cohort for 26 y after the exposure. A
significant excess of multiple myeloma incidence [standardized incidence rate
(SIR) 1.61 %, 95% confidence interval (CI) 1.01-2.21], thyroid cancer (SIR 4.18,
95% CI 3.76-4.59), female breast cancer (SIR 1.57 CI 1.40-1.73), and all cancers
combined (SIR 1.07; 95% CI 1.05-1.09) was registered. High prevalence was
demonstrated for cardio- and cerebrovascular diseases and mental health changes.
However, the reasons for the increases require further investigation. To monitor
other possible late effects of radiation exposure in Chornobyl cleanup workers,
analytical cohort and case-control studies need to include cardiovascular
pathology, specifically types of potentially radiogenic cancers using a molecular
epidemiology approach. Possible effects for further study include increased rates
of thyroid, breast, and lung cancers and multiple myeloma; reduction of radiation
risks of leukemia to population levels; and increased morbidity and mortality of
cleanup workers from cardio- and cerebrovascular pathology.
PMID- 29787443
TI - Old Data-New Concepts: Integrating "Indirect Effects" Into Radiation Protection.
AB - PURPOSE: To address the following key question, what are the consequences of
nontargeted and delayed effects for linear nonthreshold models of radiation risk?
This paper considers low-dose "indirect" or nontargeted effects and how they
might impact radiation protection, particularly at the level of the environment.
Nontargeted effects refer to effects in cells, tissues, or organisms that were
not targeted by irradiation and that did not receive direct energy deposition.
They include genomic instability and lethal mutations in progeny of irradiated
cells and bystander effects in neighboring cells, tissues, or organisms. Low-dose
hypersensitivity and adaptive responses are sometimes included under the
nontargeted effects umbrella, but these are not considered in this paper. Some
concepts emerging in the nontargeted effects field that could be important
include historic dose. This suggests that the initial exposure to radiation
initiates the instability phenotype which is passed to progeny leading to a
transgenerational radiation-response phenotype, which suggests that the system
response rather than the individual response is critical in determining outcome.
CONCLUSION: Nontargeted effects need to be considered, and modeling,
experimental, and epidemiological approaches could all be used to determine the
impact of nontargeted effects on the currently used linear nonthreshold model in
radiation protection.
PMID- 29787444
TI - French Policies for Victim Management During Mass Radiological Accidents/Attacks.
AB - In the current international context, emergency medical services have to be
prepared for chemical, biological, radiological, and nuclear events. Emergency
response to radiological or nuclear events requires coordination between many
components: the fire brigade, emergency medical services (including nurses and
physicians), police, hospitals, etc. To optimize efficiency, victim management in
France is governed by specific policies and planned responses. This plan for
radiological/nuclear event response is inspired by military chemical, biological,
radiological, and nuclear victim management in the operational theatre and is
based on extraction (removal to a safe environment), first triage,
decontamination, second triage, treatment, substance identification, and
training. It is also supported by specific equipment. Prehospital victim
management in case of nuclear and radiological accident or attack will be
described, as well as French-specific supplies. This response plan is constantly
evolving due to the complexity of radiological and nuclear events.
PMID- 29787448
TI - A Model for Sustaining NCLEX-RN Success.
AB - Beginning in 2013, an individualized National Council Licensure Exam (NCLEX-RN)
study process with follow through postgraduation was initiated to achieve
benchmark pass rates in a nursing program where pass rates had dropped.
Identification of students at risk for NCLEX failure and using resources
available through an integrated testing program led to the implementation of an
individualized NCLEX preparation process. Since implementation, first-time pass
rates exceeded the national norm for baccalaureate test takers by 5.41 percent to
11.5 percent for four consecutive years. This model can be used by schools of
nursing to guide students in NCLEX preparation.
PMID- 29787445
TI - Deployment of the DosiKit System Under Operational Conditions: Experience From a
French Defense National Nuclear Exercise.
AB - Estimation of the dose received by accidentally irradiated victims is based on a
tripod: clinical, biological, and physical dosimetry. The DosiKit system is an
operational and mobile biodosimetry device allowing the measurement of external
irradiation directly on the site of a radiological accident. This tool is based
on capillary blood sample and hair follicle collection. The aim is to obtain a
whole-body and local-surface dose assessment. This paper is about the technical
evaluation of the DosiKit; the analytical process and scientific validation are
briefly described. The Toulon exercise scenario was based on a major accident
involving the reactor of a nuclear attack submarine. The design of the scenario
made it impossible for several players (firefighters, medical team) to leave the
area for a long time, and they were potentially exposed to high dose rates. The
DosiKit system was fully integrated into a deployable radiological emergency
laboratory, and the response to operational needs was very satisfactory.
PMID- 29787449
TI - Functional connectivity during monitoring for visuomotor incongruence.
AB - Previous human studies on monitoring for visuomotor incongruence emphasized the
contribution of the fronto-parietal network and revealed significant activation
of the right dorsolateral prefrontal cortex (DLPFC) and the right rostral
inferior parietal lobule. Using functional MRI, this study investigated the brain
regions involved in explicit monitoring for incongruence between motor intention
and visual and/or proprioceptive information, particularly focusing on the fronto
parietal network. During in-phase bimanual movements, a static image of the
participant's own hands was randomly inserted within real-time visual feedback of
the movements to produce a mismatch between the actual performance and the visual
input. The results of our task were similar to those of previous studies, in that
greater activity was observed in the right DLPFC during incongruence conditions
than during congruence conditions. However, the anatomical location of the DLPFC
cluster was found in a more ventral region, compared with previous studies.
Psychophysiological interaction analysis for the entire brain, using the right
DLPFC as a seed region, indicated significantly greater functional connectivity
with the bilateral dorsal premotor cortex, middle temporal gyri (area V5), and
right rostral inferior parietal lobule (area PFt).
PMID- 29787450
TI - Cannabinoids in the Management of Musculoskeletal Pain: A Critical Review of the
Evidence.
PMID- 29787452
TI - Message From the Editor.
PMID- 29787451
TI - Wide-Awake Hand Surgery: Principles and Techniques.
PMID- 29787453
TI - Information Reception and Expectations Among Hospitalized Elderly Patients in
Taiwan: A Pilot Study.
AB - BACKGROUND: Communicating with patients, especially geriatric patients, is a
challenge for medical professionals. Medical message receiving and expectations
among hospitalized elderly patients have not been studied. PURPOSE: The aim of
this study was to explore medical message receiving and expectations concerning
medical information among hospitalized elderly patients in Taiwan. METHODS: A
descriptive study design was used. Convenience sampling was applied, and the
research was conducted in a geriatric ward in a medical center in southern
Taiwan. Patients were recruited who were 65 years or older and capable of verbal
communication. Data were collected using a self-developed semistructured
questionnaire. For each participant, data were collected in one regular ward
round. The messages of the physician were recorded. During the first and fourth
hours after the ward round, the researcher collected the medical messages that
were conveyed by the physician in the ward round and that could be repeated by
the patients. Open-ended questions were used to collect data about patient
expectations of medical messages during the first hour after the ward round.
Quantitative data were analyzed using descriptive statistics, and qualitative
data were analyzed using content analysis. RESULTS: Thirty patients participated
in this study. All of the participants remembered the visit of the physician.
Seventeen participants (56.6%), however, could not repeat the messages, and the
total message repetition rate was 17.8% at the first hour after the ward round.
By the fourth hour, the message repetition rate fell to 8.9%. Furthermore, three
participants (10%) reported messages incorrectly. Participants reported the
importance and necessity of physicians conveying medical messages. "Desire to
know the reasons for discomfort" and "discharge date" were the messages most
expected by the participants. CONCLUSIONS: This study suggests that most
hospitalized elderly patients cannot repeat medical messages that are conveyed by
their physicians. Written materials and the involvement of principal caregivers
in the medical informing process may be necessary. Besides, before providing
medical information, medical professionals should be cognizant of the key
concerns of their patients.
PMID- 29787454
TI - Moving Patient Safety Into Ambulatory Settings and Beyond.
PMID- 29787455
TI - A National Report of Nursing Home Quality and Information Technology: Two-Year
Trends.
PMID- 29787456
TI - Fecal Microbial Transplant in Children With Ulcerative Colitis: A Randomized,
Double Blinded, Placebo-Controlled Pilot Study: Retraction.
PMID- 29787457
TI - Threshold Concepts in Doctoral Education: A Framework for Writing Development in
Novice Nurse Scientists.
AB - Pedagogical practices for writing development in doctoral programs are often the
by-product of completing dissertation research and may lack deliberate strategies
to assist students with complex genres of writing. This article proposes a
framework for doctoral education to assist students with mastery of threshold
concepts in writing. Threshold concepts in writing are examined for their
applicability to the evolution of writing in PhD nursing students as they begin
to think and write like nurse scientists.
PMID- 29787459
TI - Effects of Faculty Development and Computerized Learning and Assessment Tools on
Testing Outcomes.
PMID- 29787458
TI - Peer Teaching in High-Fidelity Simulation: Participant Experiences and
Reflections.
AB - Peer teaching provides students with opportunities to experience the educator
role and increase self-confidence and problem-solving skills. To address the
shortage of meaningful leadership experiences for senior baccalaureate nursing
students, faculty implemented an alternative leadership experience involving
senior students taking on the role of peer teachers in the high-fidelity
simulation (HFS) laboratory. We conducted focus groups to assess peer teachers
and learners' experiences and used thematic analysis to examine and interpret the
data. Findings included the intersection of learning, HFS, clinical experience,
and teaching; the benefits of practicing teaching in a safe environment; how
empathy enhanced understanding of the continuum of expertise; and power
differentials experienced by peer teachers and learners.
PMID- 29787460
TI - Unmet information needs of patients with melanoma in Germany.
AB - There is a scarcity of available data on unmet information needs (UINs) of
melanoma patients (MPs) from Germany and of MPs with clinical stage IV. In a
multicenter cross-sectional survey, we explored the UINs of 529 MPs by applying a
standardized questionnaire. Subgroup differences in scope and contents of UINs
were determined by univariate analyses. Predictors of the presence of UINs were
identified by binary logistic regression. Overall, 55% of MPs reported UINs. Most
MPs felt poorly or not informed about psychosocial support (24-31%). In MPs
currently receiving medical treatment [odds ratio (OR): 1.9; P=0.017], MPs aging
of at least 55 years (OR: 1.7; P=0.029), and in MPs who generally had a high need
for information on their condition (OR: 2.4; P=0.001), the presence of UINs was
significantly more likely than in post-treatment MPs, MPs more than 55 years of
age, and those whose general information need was low. Most UINs concerned
treatment-related information and were reported by MPs with tumor progression.
Presence and scope of UINs did not differ significantly between metastatic and
nonmetastatic MPs (57 vs. 53%; P=0.436). We highlighted differences in the
presence, scope, and contents of UINs between MP subgroups, which should be
considered when educating them in medical consultations and providing information
via media. In particular, MPs felt insufficiently informed about psychosocial
support and desired more treatment information.
PMID- 29787461
TI - Cost-effectiveness of Routine Postoperative Retrograde Voiding Trials Following
Pelvic Surgeries.
AB - OBJECTIVE: The aim of this study was to evaluate the cost-effectiveness of
retrograde voiding trials in the management of postoperative voiding dysfunction.
METHODS: We developed a disease simulation model to assess under which conditions
routine retrograde voiding trial is the optimal strategy in terms of cost per
quality-adjusted life-year and cost per case of chronic voiding dysfunction
avoided. We varied the incidence of voiding dysfunction between 2% and 60%. We
discounted future costs and utilities at 3% annually. We conducted 1- and 2-way
sensitivity analyses on uncertain model parameters. RESULTS: The lifetime
analysis showed that when the incidence of postoperative voiding dysfunction
exceeded 12.2%, retrograde voiding trials were cost-effective, assuming a
willingness-to-pay (WTP) for health of $100,000/quality-adjusted life-year. When
the incidence exceeded 31.1%, retrograde voiding trials became the dominant
strategy (less costly and more effective). For a simple hysterectomy with voiding
dysfunction incidence of approximately 10%, lifetime cost is $230,069/case of
chronic voiding dysfunction avoided; for a midurethral sling with voiding
dysfunction incidence of approximately 20%, lifetime cost is $60,449/case
avoided. Sensitivity analyses showed that WTP for health, the incidence of
presentation to the emergency department (ED) for urinary retention and the
incidence of chronic urinary retention following treatment in the ED had the
greatest impact on the cost-effectiveness results. CONCLUSIONS: Routine
retrograde voiding trials following pelvic surgery can be cost-effective compared
with expectant management when the incidence of voiding dysfunction exceeds
12.2%. These results were sensitive to WTP for health, incidence of ED visits for
urinary retention, and incidence of chronic urinary retention following ED
visits.
PMID- 29787462
TI - Current Role of Urethrolysis and Partial Excision in Patients Seeking Revision of
Anti-Incontinence Sling.
AB - OBJECTIVES: Stress urinary incontinence is highly prevalent and sling surgery has
increased since 2000. Urethrolysis traditionally had been standard management of
complications after anti-incontinence surgery; however, partial excision is a
less aggressive option. This study describes the different populations in a
contemporary cohort that undergo sling excision and urethrolysis and their
surgical outcomes. METHODS: Chart analysis was performed on patients assigned
Current Procedural Terminology codes for removal or revision of sling for stress
incontinence, urethrolysis, or revision of graft at our institution from 2010 to
2015. Demographics, indications, outcomes, and subsequent treatment were
evaluated. RESULTS: A total of 110 patients underwent surgery and were included.
Partial excision was performed on 82 patients and urethrolysis on 28 patients.
About 32.7% had prior revision, and median length to revision was 3.1 years.
Overall success was 75.0% for urethrolysis and 86.6% for partial excision.
Without concomitant sling placement, stress incontinence developed in 25.0% of
urethrolysis and 21.6% of partial excision patients. New onset overactive bladder
symptoms developed in 21.4% of urethrolysis patients and 7.3% of partial
excision, which was significantly different (P = 0.039). CONCLUSIONS: Both
approaches had good success, 75.0% for formal urethrolysis and 86.6% for partial
excision. New onset urgency was lower for partial excision, but rates of all
other complications were similar. These procedures are often used for different
patient populations, and thus, outcomes are not meant to be directly compared.
Future work on sling revision should report these procedures separately.
PMID- 29787463
TI - Lateral Patellar Instability in the Skeletally Mature Patient: Evaluation and
Surgical Management.
AB - Lateral patellar instability is a common disease process that affects all types
of patients. Depending on the patient's anatomy and the results of preoperative
imaging, surgical management options include medial patellofemoral ligament
reconstruction, tibial tubercle osteotomy, and sulcus-deepening trochleoplasty.
Medial patellofemoral ligament reconstruction or repair is useful for almost all
patients, whereas tibial tubercle osteotomy is helpful to correct a lateralized
tibial tubercle and the associated elevated lateral pull of the extensor
mechanism. For a select subset of patients with severe trochlear dysplasia, a
sulcus-deepening trochleoplasty can be a useful option to prevent future patellar
instability. Many technical considerations exist for each procedure, and in most
situations, no consensus exists to direct surgeons on the superior technique.
PMID- 29787464
TI - Costs and Radiographic Outcomes of Rotational Ankle Fractures Treated by
Orthopaedic Surgeons With or Without Trauma Fellowship Training.
AB - INTRODUCTION: We evaluated the radiographic outcomes and surgical costs of
surgically treated rotational ankle fractures in our health system between
providers who had completed a trauma fellowship and those who had not. METHODS:
We grouped patients into those treated by trauma-trained orthopaedic surgeons
(TTOS) and non-trauma-trained orthopaedic surgeons (NTTOS). We graded the quality
of fracture reductions and calculated implant-related costs of treatment.
RESULTS: A total of 208 fractures met the inclusion criteria, with 119 in the
TTOS group and 89 in the NTTOS group. Five patients lost reduction during the
follow-up period. The adequacy of fracture reduction at final follow-up did not
differ (P = 0.29). The median surgical cost was $2,940 for the NTTOS group and
$1,233 for the TTOS group (P < 0.001). DISCUSSION: We found no notable
differences in radiographic outcomes between the TTOS and NTTOS groups. Cost
analysis demonstrated markedly higher implant-related costs for the NTTOS group,
with the median surgical cost being more than twice that for the TTOS group.
LEVEL OF EVIDENCE: Level III.
PMID- 29787465
TI - PREDICTIVE FACTORS FOR PROLIFERATIVE VITREORETINOPATHY FORMATION AFTER
UNCOMPLICATED PRIMARY RETINAL DETACHMENT REPAIR.
AB - PURPOSE: To determine predictive factors of proliferative vitreoretinopathy (PVR)
formation after uncomplicated primary retinal detachment repair. METHODS:
Retrospective, single-center, case-control study of 74 consecutive patients with
(37 eyes) and without (37 eyes) PVR formation after undergoing uncomplicated
primary surgery for retinal detachment repair. Logistic regression was used to
assess factors associated with PVR formation. RESULTS: Retinal detachment
involving the macula was 4.2 times (adjusted odds ratio; 95% confidence interval,
1.4-12.9; P = 0.0119) more likely to have PVR formation compared with those
without. Patients who were current or former smokers were 3.6 times (adjusted
odds ratio; 95% confidence interval, 1.1-11.7; P = 0.0352) more likely to have
PVR formation compared with nonsmokers. Compared with 25-gauge (g) vitrectomy,
larger gauge vitrectomy (20 g or 23 g) was 3.6 times (adjusted odds ratio; 95%
confidence interval, 1.2-11.3; P = 0.0276) more likely to have PVR formation.
Duration of retinal detachment symptoms, high myopia, lens status, lattice
degeneration, location of retinal break, number of retinal breaks, and surgical
technique (e.g., scleral buckle with or without vitrectomy versus vitrectomy
alone) were not found to be predictive of PVR formation. CONCLUSION: Cigarette
smoking and macular involvement are significant risk factors predictive of PVR
formation after uncomplicated primary retinal detachment repair.
PMID- 29787466
TI - Dactylitis as the Presenting Manifestation of Congenital Syphilis in an Infant.
PMID- 29787467
TI - Historical and Current Trends in the Epidemiology of Early Syphilis in San
Francisco, 1955 to 2016.
AB - BACKGROUND: Seventeen years into a sustained epidemic, early syphilis (ES) rates
in San Francisco (SF) are continuing to increase and the demographics of the
affected population are changing. We provide a historical overview of ES in SF
among men who have sex with men (MSM) and describe trends in the epidemiology and
disease investigation outcomes. METHODS: We examined data from the SF Department
of Public Health's patient-based registry of integrated STD surveillance,
clinical, and field investigation data to describe demographic and behavioral
characteristics of ES cases, as well as outcomes of syphilis partner services
(PS). chi Tests were performed to examine categorical differences across periods.
Analysis of variance was used to examine differences in continuous variables.
RESULTS: In 2016, 1095 ES cases were reported among males in SF, a 219% increase
from the 343 cases identified 10 years ago. Between 1996-1999 and 2010-2016, an
increasing proportion of ES cases were among MSM younger than 25 years, nonwhite,
and HIV negative (P < 0.05). A decreasing proportion of ES cases were assigned
for PS, among whom a smaller proportion of reported sex partners were identified
by name, resulting in an overall decline in the proportion of cases who had at
least one named partner treated as a result of PS (Disease Intervention Rate)
from 30.5 in 2000-2004 to 14.8 in 2010-2016. CONCLUSIONS: Syphilis case rates
continue to increase in SF and the epidemic is expanding beyond a core
population. Additional resources and innovative prevention approaches are needed
to reduce the burden of syphilis among MSM.
PMID- 29787468
TI - Cortical Thickness Alterations in Chronic Pain Disorder: An Exploratory MRI
Study.
AB - OBJECTIVE: Chronic pain disorder (CPD) has been associated with brain changes,
especially in limbic circuits. However, in most patients with chronic pain,
depression or anxiety is a common comorbidity. In this exploratory and
naturalistic study, we investigated brain cortical thickness (CTh) differences
between patients with CPD and healthy controls, with consideration of concurrent
psychiatric symptoms. METHODS: Twenty-three patients with CPD and 23 age- and sex
matched healthy volunteers were included in this study. CTh was estimated using
Freesurfer on high-resolution three-dimensional T1-weighted images acquired with
a 3T scanner. Group differences were investigated using an analysis of covariance
model that included age, sex, and Beck Depression Inventory I and Trait Anxiety
Inventory scores as covariates. The relationship between CTh and Toronto
Alexithymia Scale (TAS-20) scores was also investigated in patients. Data were
corrected for multiplicity using the False Discovery Rate approach (q < .05).
RESULTS: The comparison between groups using demographics and Beck Depression
Inventory I scores as covariates showed thinner cortex in patients compared with
controls, after correction for multiplicity in the left precentral (F(1,42) =
21.9, p < .05) and postcentral gyri (F(1,42) = 26.9, p < .05) and in the left
inferior temporal sulcus (F(1,42) = 19.6, p < .05). Moreover, using the Trait
Anxiety Inventory as covariate, a trend toward significance (p < .001
uncorrected) was seen for the left precentral gyrus (F(1,42) = 13.8), right
middle frontal (F(1,42) = 14.3) and inferior parietal gyri (F(1,42) = 13.4), and
right anterior temporal pole (F(1,42) = 15.9). CONCLUSIONS: The results indicate
that brain morphological differences between patients with chronic pain disorder
and healthy controls are localized to regions that correspond to sensory as well
as affective dimensions of pain processing.
PMID- 29787469
TI - Changes in Blood Pressure After the 2016 United States Presidential Election.
Analyses in Response to "The Association of Posttraumatic Stress Disorder With
Clinic and Ambulatory Blood Pressure in Healthy Adults" by Edmondson et al.
PMID- 29787470
TI - Importance of being collaborative for return to work with back pain.
PMID- 29787471
TI - Hemisensory disturbances in patients with complex regional pain syndrome.
AB - Sensory disturbances often spread beyond the site of injury in complex regional
pain syndrome (CRPS) but whether this applies equally to CRPS I and II, or
changes across the course of the disease, is unknown. Establishing this is
important, because different symptom profiles in CRPS I and II, or in acute vs
chronic CRPS, might infer different pathophysiology and treatment approaches. To
explore these questions, sensory disturbances were assessed in the limbs and
forehead of 71 patients with CRPS I and 33 patients with CRPS II. Pain had
persisted up to 12 months in 32 patients, for 13 to 36 months in 29 patients, and
for longer than this in 43 patients. Patients with CRPS I were more likely to be
female, and pain was more likely to be present in an additional limb, than
patients with CRPS II. Conversely, pain was more likely to be associated with
sensory deficits and allodynia in patients with CRPS II than CRPS I.
Nevertheless, heightened sensitivity, allodynia, and/or hyperalgesia to
mechanical and thermal stimuli were detected in a hemisensory distribution
ipsilateral to the affected limb in both forms of CRPS. Some of these hemisensory
disturbances strengthened with chronicity of pain. These findings suggest that
heightened excitability of nociceptive pathways in CRPS spreads to hemisensory
convergence points in the brainstem or higher brain centres, possibly in
association with compromised pain controls. The similarity of symptom profiles in
chronic CRPS I and II implies shared mechanisms despite different triggers.
PMID- 29787472
TI - A randomised, placebo-controlled clinical trial with the alpha2/3/5 subunit
selective GABAA positive allosteric modulator PF-06372865 in patients with
chronic low back pain.
AB - The effect of PF-06372865, a subtype-selective positive allosteric modulator of
the gamma-aminobutyric acid type A (GABAA) receptor, on chronic low back pain was
investigated in a randomised, placebo- and active-controlled phase 2 clinical
trial. The parallel treatment group trial consisted of a 1-week single-blind
placebo run in the phase, followed by 4-week double-blind treatment. Patients
were randomised to receive either PF-06372865, naproxen, or placebo twice a day
for 4 weeks. The primary end point was the numerical rating score of low back
pain intensity after 4 weeks of active treatment. Secondary end points included
the Roland Morris Disability Questionnaire and the Hopkins Verbal Learning Test
Revised. The trial had predefined decision rules based on the probability that PF
06372865 was better than placebo. The study was stopped at the interim analysis
for futility. At this time, a total of 222 patients were randomised and the mean
PF-06372865 4-week response on the low back pain intensity was 0.16 units higher
(worse) than placebo (90% confidence interval -0.28 to 0.60). There were small,
statistically significant reductions in the delayed recall test score with PF
06372865, as measured by Hopkins Verbal Learning Test-Revised. The effects of
naproxen were in line with expectations. PF-06372865 was well tolerated. The most
common treatment-related adverse events in the PF-06372865 arm were somnolence (5
mild and 4 moderate), dizziness (2 mild and 3 moderate), and nausea (2 mild).
Although the reason for the lack of analgesic effect is not completely clear, it
may be a result of not achieving sufficient receptor occupancy to drive efficacy.
PMID- 29787473
TI - Factors Contributing to Medial Tibial Stress Syndrome in Runners: A Prospective
Study.
AB - PURPOSE: Medial tibial stress syndrome (MTSS) is one of the most common overuse
injuries sustained by runners. Despite the prevalence of this injury, risk
factors for developing MTSS remain unclear. The purpose of this study was to
prospectively evaluate differences in passive range of motion, muscle strength,
plantar pressure distributions, and running kinematics between runners who
developed MTSS and those who did not. METHODS: Twenty-four National Collegiate
Athletic Association Division 1 cross-country runners participated in this study.
Participants underwent a clinical examination documenting passive range of motion
and muscle strength at the hips and ankles. Plantar pressure analysis was used to
quantify mediolateral pressure balances while walking and 3D motion capture was
used to quantify running kinematics. Participants were followed up for a 2-yr
period during which time any runners who developed MTSS were identified by the
team's certified athletic trainer. RESULTS: Runners who developed MTSS
demonstrated tighter iliotibial bands (P = 0.046; effect size [ES] = 1.07),
weaker hip abductors (P = 0.008, ES = 1.51), more pressure under the medial
aspect of their foot at initial foot contact (P = 0.001, ES = 1.97), foot flat (P
< 0.001, ES = 3.25), and heel off (P = 0.034, ES = 1.30), greater contralateral
pelvic drop (P = 0.021, ES = 1.06), and greater peak amounts (P = 0.017, ES =
1.42) and durations (P < 0.001, ES = 2.52) of rearfoot eversion during stance
phase. A logistic regression (chi = 21.31, P < 0.001) indicated that every 1%
increase in eversion duration increased odds of developing MTSS by 1.38 (P =
0.015). CONCLUSIONS: These findings demonstrate that the development of MTSS is
multifactorial, with passive range of motion, muscle strength, plantar pressure
distributions, and both proximal and distal kinematics all playing a role. We
suggest that coaches or sports medicine professionals screening runners for
injury risk consider adopting a comprehensive evaluation which includes all these
areas.
PMID- 29787475
TI - Isometric versus Dynamic Measurements of Fatigue: Does Age Matter? A Meta
analysis.
AB - PURPOSE: The assessment of power changes after fatiguing exercise provides
important additional information about neuromuscular function compared with
traditional isometric measurements, especially when exploring age-related changes
in fatigability. Therefore, the aim of this review was to explore the effects of
age on neuromuscular fatigue (NMF) when measured in isometric compared with
dynamic contractions. The importance of central and peripheral mechanisms
contributing to age-related NMF was discussed. METHODS: Medline, EMBASE, Cochrane
Central Register of Controlled Trials, and SPORT Discus databases were searched.
The combination of terms related to the intervention (fatiguing exercise),
population (old people) and outcomes (isometric force and power) were used. This
meta-analysis was registered on PROSPERO (CRD42016048389). RESULTS: Thirty-one
studies were included. The meta-analyses revealed that force decrease was greater
(there was more NMF) in young subjects than their older counterparts when fatigue
was induced by isometric tasks (effect size [ES], 0.913; confidence interval
[CI], 0.435-1.391; P < 0.001), but not when the fatiguing exercise was performed
in dynamic mode (ES, 0.322; CI, -0.039 to 0.682; P = 0.08). Older individuals
demonstrated a greater reduction in power after fatigue induced by either dynamic
or isometric tasks (ES, -0.891; CI, -1.657 to -0.125; P = 0.023). CONCLUSIONS:
There is no difference in the isometric force loss between young and old people
when fatigue is induced by dynamic tasks. However, maximal power is more
decreased after fatigue tasks in older adults. Thus, the assessment of fatigue
(isometric force vs power) must be considered in identifying age-related NMF
mechanisms.
PMID- 29787474
TI - Hypothermia Decreases O2 Cost for Ex Vivo Contraction in Mouse Skeletal Muscle.
AB - INTRODUCTION: Evidence suggests that the energy efficiency of key ATPases
involved in skeletal muscle contractile activity is improved in a hypothermic
condition. However, it is unclear how a decrease in temperature affects skeletal
muscle O2 consumption (mVO2) induced by muscle contraction. METHODS: Isolated
mouse extensor digitorum longus (EDL) muscles were incubated in a temperature
controlled (37 degrees C or 25 degrees C) bath that included an O2 probe. EDL
muscles from one limb were subjected to the measurement of resting mVO2, and the
contralateral EDL muscles were used for the measurement of mVO2 with electrically
stimulated contraction. For the resting protocol, muscles were suspended at
resting tension for 15 min with continuous O2 recordings. For the contraction
protocol, EDL muscles underwent 10 electrically stimulated isometric contractions
with continuous O2 recordings for 15 min. The rate of O2 disappearance was
quantified as micromoles of O2 per minute and normalized to the wet weight of the
muscle. RESULTS: Resting mVO2 was greater at 37 degrees C than at 25 degrees C,
consistent with the idea that lower temperature reduces basal metabolic rate.
Electrically stimulated contraction robustly increased mVO2 at both 37 degrees C
and 25 degrees C, which was sustained for ~3 min postcontraction. During that
period, mVO2 was elevated approximately fivefold at both 37 degrees C and 25
degrees C. Greater contraction-induced mVO2 at 37 degrees C compared with 25
degrees C occurred despite lower force generated at 37 degrees C than at 25
degrees C. CONCLUSIONS: Together, O2 cost for muscle contraction (force-time
integral per O2 consumed) was greater at 37 degrees C than at 25 degrees C.
Levels of high-energy phosphates were consistent with greater energy demand at 37
degrees C compared with 25 degrees C. In conclusion, these results indicate that
muscle contraction that occurs at subnormal temperature requires less O2 than at
37 degrees C.
PMID- 29787476
TI - Maternal Physical Activity and Sex Impact Markers of Hepatic Mitochondrial
Health.
AB - INTRODUCTION: Maternal exercise and physical activity during the gestational
period can be protective against maternal high-fat diet-induced hepatic steatosis
in older offspring. However, it is unknown whether these protective effects are
seen in younger offspring. In this study, we investigated whether maternal
physical activity would attenuate maternal western diet (WD)-induced steatosis in
young adult rats. METHODS: Female Wistar rats (7-8 wk of age) were randomized
into WD (42% fat, 27% sucrose) or normal chow diet (ND), and further randomized
into physical activity (RUN) or sedentary (SED) conditions for a total of four
groups. Dams returned to ND/SED conditions after parturition. Postweaning,
offspring were maintained in ND/SED conditions for 18 wk. RESULTS: Maternal WD
induced increases in male offspring body mass was attenuated in the WD/RUN
offspring (P < 0.05). Maternal WD feeding significantly increased hepatic
steatosis in male (but not female offspring), which was not attenuated by
maternal RUN. However, maternal RUN increased (P < 0.05) hepatic markers of
mitochondrial biogenesis and mitophagy (mitochondrial transcription factor A,
peroxisome proliferator activator receptor gamma, and nuclear factor E2-related
factor 2) in all offspring and the mitophagy marker BCL2-interacting protein 3 in
WD/RUN offspring. Interestingly, hepatic markers of de novo lipogenesis (fatty
acid synthase and acetyl coenzyme A carboxylase), mitophagy (autophagy-related
gene 12:5, BCL2-interacting protein 3, P62, and LC3 II/I), and mitochondria
biogenesis/content (mitochondrial transcription factor A and OXPHOS-Complex II)
were significantly increased in female versus male offspring. CONCLUSION:
Although maternal physical activity did not attenuate maternal WD-induced hepatic
steatosis as has been previously reported in older adult offspring, it did
significantly increase hepatic markers of mitochondrial biogenesis and mitophagy.
Furthermore, female offspring had elevated hepatic markers of mitochondrial
health, possibly explaining why female rats are protected against maternal WD
induced hepatic steatosis. Future studies are warranted to shed light on the time
line of hepatic steatosis development under the influence of maternal physical
activity.
PMID- 29787477
TI - Metabolic syndrome and its components in premenopausal and postmenopausal women:
a comprehensive systematic review and meta-analysis on observational studies.
AB - OBJECTIVES: To perform a meta-analysis on the global prevalence of metabolic
syndrome (MetS) in postmenopausal women. The meta-analysis also sought to measure
the relationship menopause status has with MetS and its components. METHODS: The
Web of Science, Medline, PubMed, Scopus, Embase, CINAHL, DOAJ, and Google Scholar
were all searched using the relevant keywords. Articles published during the
period 2004 to 2017 that met our inclusion criteria and reported the prevalence
of MetS among premenopausal and postmenopausal women were included. In the
presence of heterogeneity, random-effects models were used to pool the prevalence
and odds ratios (ORs), as measures of association in cross-sectional and
comparative cross-sectional studies, respectively. RESULTS: The prevalence of
MetS among postmenopausal women (119 studies [n = 95,115]) and the OR comparing
the prevalence of MetS among postmenopausal and premenopausal women (23 studies
[n = 66,801]) were pooled separately. The pooled prevalence of MetS among
postmenopausal women was found to be 37.17% (95% confidence interval [CI] 35.00%
39.31%), but varied from 13.60% (95% CI 13.55%-13.64%) to 46.00% (95% CI 1.90%
90.09%), depending upon the diagnostic criteria used. The overall pooled OR for
MetS in postmenopausal women, compared with premenopausal women, was OR 3.54 (95%
CI 2.92-4.30), but this ranged from OR 2.74 (95% CI 1.32-5.66) to OR 5.03 (95% CI
2.25-11.22), depending upon the criteria used. Furthermore, the odds of high
fasting blood sugar (OR 3.51, 95% CI 2.11-5.83), low high-density lipoprotein
cholesterol (OR 1.45, 95% CI 1.03-2.03), high blood pressure (OR 3.95, 95% CI
2.01-7.78), high triglycerides (OR 3.2, 95% CI 2.37-4.31), and high waist
circumference (OR 2.75, 95% CI 1.80-4.21) were all found to be higher in
postmenopausal women than in premenopausal women. CONCLUSIONS: The prevalence of
MetS is relatively high in postmenopausal women and was more prevalent among
postmenopausal than premenopausal women. Menopausal hormone therapy should be
used with caution in patients with MetS, as its safety has not yet been evaluated
among MetS patients and meticulous evaluation of each individual patient before
starting MHT is needed.
PMID- 29787478
TI - Effect of a 1-year elastic band resistance exercise program on cardiovascular
risk profile in postmenopausal women.
AB - OBJECTIVE: The aim of this study was to investigate the effects of a 1-year
progressive resistance training program using elastic bands on cardiovascular
profile parameters in sedentary postmenopausal (>12 months' amenorrhea) women.
METHODS: This longitudinal prospective experimental study included 38 menopausal
women who were randomly divided into two groups: an intervention group (IG, n =
18), who completed a progressive resistance training program with elastic bands
over the course of 12 months (six exercises for whole body training, three sets *
10 repetitions), and a control group (CG, n = 20) that did not perform any
training. Blood analysis and body composition were determined at baseline and 1
year after intervention. RESULTS: After the 1-year training program, weight,
waist circumference, total cholesterol, low-density lipoprotein cholesterol, and
C-reactive protein showed a decrease compared with baseline values in the IG,
showing a significant (P < 0.05) improvement in cardiovascular profile. Very-low
density lipoprotein, high-density lipoprotein, and triglycerides showed a
nonsignificant (P > 0.05) improvement. The CG increased significantly in weight
and waist circumference, whereas the rest of the variables remained unchanged.
CONCLUSION: One year of progressive resistance training with elastic bands has
beneficial effects on anti-inflammatory and anthropometric cardiovascular risk
factors in menopausal women, including changes in lipid profile.
PMID- 29787479
TI - In Reply.
PMID- 29787480
TI - Evaluation and management of migraine in midlife women.
AB - Migraine is highly prevalent in women and, in addition to the pain component, can
be associated with significant disability and loss of productivity. Migraine is
often connected to fluctuations in hormones (primarily estrogen), commonly
arising in puberty, worsening in perimenopause, and quiescing in late menopause.
This Practice Pearl discusses the various types of migraine with respect to
hormone fluctuations and details acute as well as preventive treatment.
PMID- 29787482
TI - Physical performance in relation to menopause status and physical activity.
AB - OBJECTIVE: The aim of this study was to examine differences in physical
performance (muscle power, muscle strength, aerobic capacity, and walking speed)
across menopausal stages and potential of leisure physical activity (PA) to
modify the impact of menopause on physical performance. METHODS: In this cross
sectional study, women aged 47 to 55 were randomly selected from the Finnish
National Registry and categorized as premenopausal (n = 233), perimenopausal (n =
381), or postmenopausal (n = 299) based on serum concentrations of follicle
stimulating hormone and bleeding diary. Physical performance was measured by knee
extension force, handgrip force, vertical jumping height, maximal walking speed,
and 6-minute walking distance. PA level was assessed by self-report and
categorized as low, moderate, or high. Multivariate linear regression modeling
was used for data analysis. RESULTS: After including fat mass, height, PA, and
education in the model, the postmenopausal women showed 12.0 N weaker (P < 0.001)
handgrip force and 1.1 cm lower (P < 0.001) vertical jumping height than the
premenopausal women. There was no significant interaction between menopausal
stage and PA on physical performance. The peri- and postmenopausal women with a
high PA, however, showed better performance in the maximal knee extension
strength and 6-minute walking test, and showed greater lower body muscle power
than those with a low PA. CONCLUSIONS: Menopause status is associated with muscle
strength and power, whereas the association between menopause status and
mobility/walking is clearly weaker. A high leisure PA level provides more
capacity to counteract the potential negative influence of menopausal factors on
muscle function.
PMID- 29787483
TI - The effects of mindfulness and relaxation training for insomnia (MRTI) on
postmenopausal women: a pilot study.
AB - OBJECTIVE: The aim of the study was to evaluate the effects of mindfulness and
relaxation training for insomnia on insomnia and quality of life in
postmenopausal women. METHODS: Thirty postmenopausal women aged 50 to 65 years,
who were not using hormone therapy, and had a diagnosis of insomnia and an apnea
hypopnea index of less than 15, were randomly assigned to two groups: a
mindfulness intervention group and a control group. They were assessed before the
intervention, and 8 weeks after its completion using questionnaires assessing
sleep quality (Pittsburgh Sleep Quality Index), insomnia (Insomnia Severity
Index), quality of life in menopause (Menopause-Specific Quality of Life),
menopausal symptoms (Kupperman Menopausal Index), and level of attention
(Mindfulness Awareness Attention Scale). They were also assessed through
ambulatory polysomnography. This is a pilot study and is limited by its small
sample size. RESULTS: The results of the questionnaires showed significant
differences in the group that received mindfulness training compared with the
control group, namely, improvements in sleep quality, a reduction in the severity
of insomnia, a better quality of life, improved attention levels, and a reduction
in menopausal and vasomotor symptoms. Polysomnography results showed no
differences between the groups. CONCLUSIONS: Eight weeks mindfulness meditation
training improved sleep quality, quality of life, attention levels, and reduced
vasomotor symptoms in postmenopausal women with insomnia.
PMID- 29787481
TI - Sex hormone levels and risk of primary open-angle glaucoma in postmenopausal
women.
AB - OBJECTIVE: We evaluated the relation of prediagnostic sex hormone levels in
postmenopausal women with primary open-angle glaucoma (POAG) and intraocular
pressure (IOP). METHODS: Among postmenopausal participants of the Nurses' Health
Study, POAG cases (n = 189; diagnosed 1990-2008) and controls (n = 189) were
matched on age, fasting status, and postmenopausal hormone use at blood draw
(1989-1990). Plasma concentrations of estrone sulfate, estradiol, testosterone,
sex hormone binding globulin, and dehydroepiandrosterone sulfate were assessed.
The primary outcome was POAG; in secondary analyses, among cases only, we
evaluated maximum untreated IOP at diagnosis. Multivariable-adjusted
logistic/multiple linear regression models were used to evaluate tertiles (Ts) of
biomarker levels and the two outcomes, adjusting for various potential
confounders. RESULTS: We observed no significant associations of estrone,
estradiol, sex hormone binding globulin, or dehydroepiandrosterone sulfate with
POAG risk or with maximum IOP at glaucoma diagnosis among cases. Suggestive
significant associations were observed with highest testosterone and POAG risk
(T3 vs T1 multivariable-adjusted odds ratio 1.84; 95% confidence interval 1.02,
3.33; P trend 0.10). Similarly, for maximum IOP at diagnosis among cases only
(mean 8 years after blood draw), higher testosterone was significantly associated
with higher IOP (multivariable-adjusted difference in IOP T3 vs T1 2.17 mm Hg;
95% confidence interval 0.34, 3.99; P trend 0.02). CONCLUSIONS: Overall, plasma
sex hormone levels in postmenopausal women were not associated with POAG risk;
however, a trend of higher testosterone levels being associated with higher POAG
risk and higher IOP at diagnosis was observed and needs confirmation.
PMID- 29787484
TI - Post-therapy Functional Magnetic Resonance Imaging in Adults with Symptomatic
Convergence Insufficiency.
AB - SIGNIFICANCE: Prior studies have demonstrated the effectiveness of vergence
accommodative therapy in the treatment of convergence insufficiency (CI). These
results show the changes in brain activation following therapy through the use of
functional magnetic resonance imaging (fMRI). PURPOSE: The purpose of this study
was to investigate changes in brain activation following office-based vergence
accommodative therapy versus placebo therapy for CI using the blood oxygenation
level-dependent signal from fMRI. METHODS: Adults (n = 7, aged 18 to 30 years)
with symptomatic CI were randomized to 12 weeks of vergence-accommodative therapy
(n = 4) or placebo therapy (n = 3). Vergence eye movements were performed during
baseline and outcome fMRI scans. RESULTS: Before therapy, activation (z score >=
2.3) was observed in the occipital lobe and areas of the brain devoted to
attention, with the largest areas of activation found in the occipital lobe.
After vergence-accommodative therapy, activation in the occipital lobe decreased
in spatial extent but increased in the level of activation in the posterior,
inferior portion of the occipital lobe. A new area of activation appeared in the
regions of the lingual gyrus, which was not seen after placebo therapy. A
significant decrease in activation was also observed in areas of the brain
devoted to attention after vergence-accommodative therapy and to a lesser extent
after placebo therapy. CONCLUSIONS: Observed activation pre-therapy consistent
with top-down processing suggests that convergence requires conscious effort in
symptomatic CI. Decreased activation in these areas after vergence-accommodative
therapy was associated with improvements in clinical signs such as fusional
vergence after vergence-accommodative therapy. The increase in blood oxygen level
dependent response in the occipital areas following vergence-accommodative
therapy suggests that disparity processing for both depth and vergence may be
enhanced following vergence-accommodative therapy.
PMID- 29787485
TI - The Effect of Contact Lens Wear on the Cellular Morphology of the Lid Wiper Area.
AB - SIGNIFICANCE: This cross-sectional study presented a link between contact lens
wear and changes on the cellular morphology characteristics of the lid wiper (LW)
epithelium, which was not visible by LW staining. PURPOSE: The aim of this study
was to establish if the duration of contact lens (CL) wear affects the cellular
morphology of the LW epithelium. METHODS: This was a cross-sectional study of 100
individuals with different exposures to CL wear: short, moderate, and long
experience of CL wear; previous CL wearers; and nonwearers (NWs) as controls.
Impression cytology samples were collected from the central upper lid margin (LW
area). After fixing, samples were stained with periodic acid-Schiff and
haematoxylin for cell morphology analysis and subsequently graded according to
the Nelson 0- to 3-point scale. Lid wiper staining was assessed with the aid of
lissamine green and graded using the Korb (0- to 3-point) scale. One-way Kruskal
Wallis analysis followed by the Dunn multiple-comparisons test was used for
statistical comparison. RESULTS: The Nelson grade for LW epithelium morphology
was significantly different between groups (P = .003). Abnormal epithelial
morphology as defined by grade 2 or 3 was evident in 66.7% of CL wearers with
short experience and 76.5% of CL wearers with moderate experience. This was
significantly higher than NWs of whom only 21.5% showed greater than grade 1 (P =
.02 and .005, respectively). There was no significant difference between NWs and
other groups. Lid wiper staining did not significantly differ between groups (P =
.50) or correlate with the Nelson grade (Spearman r = 0.02, P = .08).
CONCLUSIONS: Metaplasia of the LW epithelium was significantly greater in the
early to moderate stages of CL. This supports the view that mechanical irritation
is responsible for LW changes in CL wear. Ceasing CL wear seems to lead to
recovery. Lid wiper staining did not reflect the underlying morphological
changes.
PMID- 29787486
TI - A Random Dot Computer Video Game Improves Stereopsis.
AB - SIGNIFICANCE: Currently, treatments for amblyopia are occlusion or penalization
of the stronger fellow eye. Fewer than 30% of patients improve stereoacuity using
these treatments. In order to improve these outcomes, this group proposes a
treatment to stimulate the stereoacuity through perceptual learning in a game
format for use at home. PURPOSE: The aim of this study was to determine whether
perceptual learning with random dot stimuli (RDS) in the form of a computer video
game improves stereopsis in patients with a history of amblyopia. METHODS: Thirty
two stereo-deficient patients (7 to 14 years old) previously treated for
amblyopia participated in a prospective, randomized, double-blind study.
Participants followed a perceptual learning program at home using RDS software.
In the experimental group, the demand of stereopsis was increased, until reaching
the lowest detectable disparity. In the comparison group, the stimulation
interval was a constant (840 to 750"). Stereoacuity was evaluated with the Randot
Preschool Stereoacuity Test (RPST) and the Wirt Circles. RESULTS: Median
compliance was 100% (interquartile range [IQR] = 78.50 to 100). Log10
stereoacuity outcomes were significantly different between groups (RPST, P =
.041; Wirt Circles Test, P = .009). Median stereoacuity improvement with RPST was
50% (IQR = 0.00 to 75%) and 0% (IQR = 0.00 to 7.5%), respectively, for
experimental and comparison groups (P = .008). Wirt Circles improvement was
46.42% (IQR = 6.25 to 73.75%) and 0% (IQR = 0.00 to 57.50%), respectively, for
experimental and comparison groups (P = .089). Stereoacuity improvement was not
different between groups when success was considered a 70% gain in RPST (P =
.113); it was statistically different when success was considered a gain of two
levels on Wirt Circles and stereoacuity 140" or less (P = .023). Stereoacuity
remained stable after 6 months when measured with RPST, whereas it worsened in
two subjects when measured with Wirt Circles. CONCLUSIONS: Direct stimulation of
stereopsis at home using RDS in a game environment improves the stereoacuity in
stereo-deficient subjects with a history of amblyopia.
PMID- 29787487
TI - Case Report: Hydroxychloroquine Retinopathy.
AB - SIGNIFICANCE: Hydroxychloroquine retinopathy causes irreversible central visual
loss and can progress despite medication discontinuation. Appropriate dosing and
recognition of early disease are important to minimize adverse visual sequelae.
In 2016, the American Academy of Ophthalmology updated its 2011 recommendations
for dosing, screening, and monitoring of hydroxychloroquine retinopathy. PURPOSE:
The aim of this study was to report a case of hydroxychloroquine retinopathy in a
patient who developed toxicity on a dose meeting safety thresholds from the 2011
guidelines (i.e., 6.5 mg/kg ideal body weight and cumulative dose <1000 g), but
exceeding that from the 2016 revised recommendations (i.e., 5.0 mg/kg real body
weight). CASE REPORT: A 61-year-old woman with rheumatoid arthritis treated with
400 mg/kg hydroxychloroquine daily for 6 years (daily dose, 5.72 mg/real body
weight or 6.5 mg/kg ideal body weight; cumulative dose, 876 g) experienced
progressive central vision loss and a scotoma affecting her reading ability and
was referred to the Retina service. Prior yearly examination with only Ishihara
color vision and Amsler grid testing was normal. On examination, visual acuity
was 20/40 in the right eye and 20/30 in the left eye. A fundus examination showed
bilateral bull's-eye maculopathy, a classic finding of hydroxychloroquine retinal
toxicity. Fundus autofluorescence showed a parafoveal ring of speckled
hypoautofluorescence and an external ring of increased signal. There were
characteristic structural changes on spectral domain-optical coherence
tomography, including parafoveal loss of the ellipsoid zone and outer nuclear
layer. Humphrey visual field testing of the central 10-2 revealed incomplete
paracentral annular scotoma. Subsequently, hydroxychloroquine was switched to
sulfasalazine. CONCLUSIONS: The 2016 American Academy of Ophthalmology guidelines
for hydroxychloroquine retinopathy were revised to reflect new dosing and care
guidelines for early detection of retinal toxicity and to minimize the extent of
irreversible vision loss.
PMID- 29787488
TI - Effects of Scleral-lens Tear Clearance on Corneal Edema and Post-lens Tear
Dynamics: A Pilot Study.
AB - SIGNIFICANCE: The present study with small-diameter scleral lenses (SLs) revealed
that post-lens tear thickness (PoLTT) was significantly associated with post-lens
tear mixing, but not with central corneal edema, after short-term SL wear.
PURPOSE: The aim of this study was to investigate the influence of SL tear
clearance (PoLTT) on central corneal thickness and post-lens tear dynamics during
5-hour lens wear. METHODS: Neophytes with no active ocular disease were fitted
bilaterally with SLs (hofocon A; 15.6-mm diameter; ~438-MUm thickness; 97 Dk;
1.44 refractive index) with various initial PoLTT values ranging from 74 to 543
MUm. Central corneal thickness and PoLTT were measured using optical coherence
tomography during lens wear. Tear mixing was assessed using fluorogram and "out
in" method. RESULTS: The mean central corneal edema after 5-hour lens wear was
1.51% (95% confidence interval, 1.26 to 1.76%; P < .001), reached its peak at 2
hour post-lens insertion (1.65% [95% confidence interval, 1.45 to 1.85%]), and
was independent of PoLTT. The fastest fluorescence decay of the post-lens tear
film was observed superiorly. The fluorescence decay rate increased from center
to periphery in all quadrants except superiorly. An inverse relationship was
found between PoLTT and fluorescence decay rate at both 20-minute and 5-hour wear
after lens insertion (P < .05). Excluding observations with out-in time exceeding
5 minutes, we found a direct relationship between PoLTT at 20 minutes after lens
insertion and out-in time (P = .047). The % change in the PoLTT after 5-hour wear
was greater with a thinner initial tear clearance than those with a thicker one
(P = .034). CONCLUSIONS: Within our study parameters, a thinner PoLTT under a
small-diameter SL was associated with faster PoLTT mixing. However, there was no
relationship between PoLTT and central corneal thickness during 5-hour SL wear.
PMID- 29787489
TI - Case Report: Optical Coherence Tomography Angiography in Morning Glory Disc
Anomaly.
AB - SIGNIFICANCE: Morning glory disc anomaly is a congenital abnormality of the optic
disc. Optical coherence tomography angiography shows vascular rarefaction of the
superficial and deep capillary plexuses and increased vascularity of the radial
peripapillary capillary plexus. We hypothesize that this congestion represents a
compensatory mechanism to an abnormal vasculogenesis. PURPOSE: The aim of this
study was to describe the optic disc vascular alterations occurring at
choriocapillaris and retinal vascular plexuses in a patient affected by bilateral
morning glory disc anomaly with optical coherence tomography angiography. CASE
REPORT: A 24-year-old white man presented for clinical evaluation to our
Department of Ophthalmology (San Raffaele Hospital, Milan) complaining of reduced
vision in his left eye. Whereas his right eye was unremarkable (20/20), the
visual acuity in the left one was limited to 20/30 Snellen equivalent.
Funduscopic examination revealed bilateral funnel-shaped optic disc excavation,
with a central mass of glial tissue and straight retinal vessels radially
emerging from the disc margin, more evident in the left eye; this presentation
was considered compatible with bilateral morning glory disc anomaly. Optical
coherence tomography angiography of the optic disc disclosed prominent vascular
rarefaction of the peripapillary superficial and deep capillary plexuses and
choriocapillaris, with an increased vascularity of the radial peripapillary
capillary network. CONCLUSIONS: Based on these observations, we hypothesize that
the congestion of the radial peripapillary capillary plexus might be a
compensatory mechanism to the abnormal vasculogenesis occurring in the optic disc
of patients affected by morning glory disc anomaly.
PMID- 29787490
TI - Clinical Performance of a New Bitangential Mini-scleral Lens.
AB - SIGNIFICANCE: New bitangential mini-scleral lens designs provide a highly precise
fit, follow the scleral shape, are comfortable to wear, and can correct residual
astigmatism. This new scleral lens design complements the arsenal of medical
contact lenses available to eye care practitioners. PURPOSE: The aim of this
study was to evaluate the subjective and objective performance of a new mini
scleral lens design with a bitangential periphery. METHODS: In this observational
study, data were collected for up to 15 months (median, 84 days; interquartile
range, 76 days) from the left eyes of 133 patients fitted with this newly
designed lens. Data were recorded during regular visits at Visser Contact Lens
Practice's scleral lens clinics: diagnosis, clinical indication for scleral
lenses, previous contact lens type, subjective performance, horizontal visible
iris diameter, corrected distance visual acuity, and scleral lens fitting
characteristics. RESULTS: The most common indication was keratoconus (45%),
followed by irregular astigmatism (22%), keratoplasty (16.5%), ocular surface
disease (13.5%), and other forms of irregular astigmatism (3%). The majority of
patients (79%) scored comfort as either a 4 or 5 (out of 5), and 82% wore their
lenses 12 hours or longer a day. Most lenses (81%) had a diameter of 16 mm
(median, 16 mm; range, 15.5 to 17 mm) and were composed of Boston XO2 (46%),
Menicon Z (44%), Boston XO (9%), or Boston Equalens II (1%). The median corrected
distance visual acuity was 0.022 logarithm of the minimal angle of resolution
(interquartile range, 0.155). The fitting characteristics revealed optimal values
for centration and movement in 91% and 83%, respectively. Finally, the median
stabilization axis was 50 degrees. CONCLUSIONS: New mini-scleral lenses with
bitangential peripheral geometry yield satisfactory clinical results and good
subjective performance and are therefore an effective option for managing
patients who have irregular astigmatism or other corneal pathology.
PMID- 29787491
TI - Detecting Cheating when Testing Vision: Variability in Acuity Measures Reveals
Misrepresentation.
AB - SIGNIFICANCE: In certain scenarios, it is advantageous to misrepresent one's
ability and "cheat" on vision tests. Our findings suggest that increased
variability when testing visual acuity holds promise as a novel means to help
detect this cheating and may generalize to other subjective tests of visual
function. PURPOSE: People who cheat on vision tests generally do so to make their
vision appear better than it actually is (e.g., for occupational or driving
purposes). However, there are particular settings in which it is advantageous for
their vision to appear to be worse than is the case (e.g., to qualify for
benefits available to people with low vision). Therefore, a method to help detect
cheating in these scenarios is desirable. The aim of this study was to
investigate whether the intentional underrepresentation of vision could be
detected when testing visual acuity. METHODS: We tested the visual acuity of 13
participants with simulated vision impairment using the Berkeley Rudimentary
Vision Test. Participants were tested in an honest condition when providing their
best effort and in a cheating condition when attempting to make their visual
acuity appear to be markedly worse. We also tested visual acuity of 17
participants with a wide range of vision impairments. RESULTS: Participants were
successfully able to "cheat" on the tests; however, their responses were
significantly more variable when cheating (P < .001). Although the variability in
visual acuity was larger in individuals with actual vision impairment compared
with those providing honest answers with simulated impairment (P < .01), their
responses remained significantly less variable than those for individuals in the
cheating condition (P = .01). CONCLUSIONS: The variability in the estimations of
vision provides a promising novel means of detecting the intentional
underrepresentation of vision and could help to minimize the chance of
successfully cheating on tests of vision.
PMID- 29787492
TI - Dot Motion Perception in Young Adult Emmetropes and Myopes.
AB - SIGNIFICANCE: Central motion perception, as assessed by minimum displacement
detection, was reduced in highly myopic eyes. Peripheral motion detection may be
influenced by myopia, particularly in the inferior-nasal retina. PURPOSE: Motion
discrimination is a complex visual task processed mainly via the magnocellular
pathway. We investigated whether it is affected in myopia. METHODS: Ninety young
adults aged 18 to 28 years participated: 30 emmetropes (spherical equivalent
refractions +1.00 to -0.50 diopters [D]), 30 low myopes -1.00 to -4.75 D) and 30
higher myopes (<-5 D). Random dot patterns were used to measure motion perception
thresholds for minimum displacement (Dmin), maximum displacement (Dmax), and
motion coherence tasks. Each task was performed centrally and at four oblique
peripheral visual field locations (at 3.65 and 12 degrees for Dmin and Dmax
tasks, respectively). Macular retinal thickness was measured with optical
coherence tomography. RESULTS: The central Dmin task was correlated significantly
with refraction (r = -0.303, P = .004) and axial length (r = +0.224, P = .04),
and the superior-temporal field Dmin task was correlated significantly with axial
length (r = 0.230, P = .04). Higher myopes had poorer performance than emmetropes
by 25% (higher values). For the Dmin peripheral task and for Dmax and coherence
tasks at both central and peripheral locations, there were no significant
correlations with refraction or axial length. At three of four positions, the
peripheral Dmin task was correlated significantly with thickness in the
corresponding retinal region: superior-temporal Dmin (Pearson r = -0.357, P <
.001), inferior-nasal Dmin (r = -0.237, P = .03) and inferior-temporal Dmin (r =
0.233, P = .03). CONCLUSIONS: Central motion perception, as assessed by minimum
displacement detection, was reduced by 25% in highly myopic eyes. Peripheral
motion detection may be influenced by myopia, particularly in the inferior-nasal
retina. Retinal stretching due to axial elongation may be linked to reduced
performance in higher myopes.
PMID- 29787493
TI - Computed Diffusion Weighted Imaging of the Liver Using Extrapolation Technique in
Patients Who Underwent Liver Transplantation With Hepatocellular Carcinomas:
Initial Experience and Feasibility Study.
AB - OBJECTIVE: This study aimed to evaluate the feasibility and image quality of
computed diffusion weighted imaging (DWI) of the liver in patients with
hepatocellular carcinoma (HCC). METHODS: Twenty-four patients who underwent liver
transplantation with HCC were enrolled. Computed DWI was synthesized for b-values
of 800 (cDWI800) and 1200 s/mm (cDWI1200) using directly acquired DWI with b
values of 0, 50, and 500 s/mm. Signal intensity of HCC, background liver, and
contrast-to-noise ratio were evaluated for directly acquired DWI of 800 s/mm
(dDWI800), cDWI800, and cDWI1200. Two radiologists evaluated the image quality
for contrast between HCC and liver, suppression of background signal and T2 shine
through, and overall image quality. RESULTS: cDWI1200 showed the lowest contrast
to-noise ratio. Qualitative scores for background suppression and decreased T2
shine-through were highest for cDWI1200. However, contrast between HCC and
background liver was worst in cDWI1200. CONCLUSIONS: In computed DWI of high b
values, contrast between HCC and background liver was very low.
PMID- 29787494
TI - "Barbell Sign": A Diagnostic Imaging Finding in Progressive Multifocal
Leukoencephalopathy.
AB - The recently proposed diagnostic algorithm for progressive multifocal
leukoencephalopathy underscores the importance of imaging and emphasizes the role
of the radiologist in the diagnostic workup. We describe a case series of
patients with visual symptoms and a typical pattern of brain involvement in
definite progressive multifocal leukoencephalopathy, for which we have coined the
term barbell sign.
PMID- 29787495
TI - Differentiating Neuromyelitis Optica-Related and Multiple Sclerosis-Related Acute
Optic Neuritis Using Conventional Magnetic Resonance Imaging Combined With
Readout-Segmented Echo-Planar Diffusion-Weighted Imaging.
AB - PURPOSE: In clinical practice, acute optic neuritis (ON) associated with the
development of neuromyelitis optica (NMO) after the first attack is often
indistinguishable from that associated with multiple sclerosis (MS). We aimed to
determine the optimal combination of features derived from conventional magnetic
resonance imaging (MRI) and diffusion-weighted imaging using readout-segmented
echo-planar imaging (RESOLVE-DWI) for the differentiation of these conditions.
MATERIALS AND METHODS: Orbital conventional MRI and RESOLVE-DWI were performed
using a 3.0-T scanner on 54 patients with acute ON (26 NMO-related and 28 MS
related). The features detected by conventional MRI (including laterality, the
enhancement pattern, and the extent and position of involvement) and the apparent
diffusion coefficient (ADC) measurements were retrospectively compared between
the NMO-related and MS-related groups. A multivariate logistic regression
analysis was used to identify the most significant variables, and receiver
operating characteristic curve analyses were performed to determine the ability
of a combined diagnostic model based on the qualitative and quantitative
characteristics identified in this study to differentiate the 2 conditions.
RESULTS: The multivariate logistic regression analyses indicated that the
presence of chiasm involvement and lower ADC values were significantly associated
with NMO-related acute ON compared with MS-related acute ON (P = 0.037 and 0.008,
respectively). The diagnostic criterion of chiasm involvement or "ADC < 791 * 10
mm/s and chiasm involvement" had the highest specificity (96.9%), and "ADC < 791
* 10 mm/s or chiasm involvement" showed the optimal sensitivity (77.8%) for
differentiating NMO-related from MS-related acute ON. CONCLUSIONS: Conventional
MRI RESOLVE-DWI is helpful for differentiating NMO-related acute ON from MS
related acute ON. The combination of the ADC value chiasm involvement appears to
be effective for discriminating these 2 types of acute ON.
PMID- 29787496
TI - Quantitative Comparison of Virtual Monochromatic Images of Dual Energy Computed
Tomography Systems: Beam Hardening Artifact Correction and Variance in Computed
Tomography Numbers: A Phantom Study.
AB - OBJECTIVE: The aim of this study was to quantitatively compare the reduction in
beam hardening artifact (BHA) and variance in computed tomography (CT) numbers of
virtual monochromatic energy (VME) images obtained with 3 dual-energy computed
tomography (DECT) systems at a given radiation dose. METHODS: Five different
iodine concentrations were scanned using dual-energy and single-energy (120 kVp)
modes. The BHA and CT number variance were evaluated. RESULTS: For higher iodine
concentrations, 40 and 80 mgI/mL, BHA on VME imaging was significantly decreased
when the energy was higher than 50 keV (P = 0.003) and 60 keV (P < 0.001) for GE,
higher than 80 keV (P < 0.001) and 70 keV (P = 0.002) for Siemens, and higher
than 40 keV (P < 0.001) and 60 keV (P < 0.001) for Toshiba, compared with single
energy CT imaging. CONCLUSIONS: Virtual monochromatic energy imaging can decrease
BHA and improve CT number accuracy in different dual-energy computed tomography
systems, depending on energy levels and iodine concentrations.
PMID- 29787497
TI - Magnetic Resonance Imaging Features of Breast Encapsulated Papillary Carcinoma.
AB - OBJECTIVE: This study aimed to describe the magnetic resonance imaging (MRI)
features of pure breast encapsulated papillary carcinoma (EPC). MATERIALS AND
METHODS: Ten patients with histopathologically confirmed breast pure EPC were
reviewed. Two radiologists evaluated lesion MRI characteristics. RESULTS: The EPC
presented oval or round mass with circumscribed margin on MRI. In addition, 4
cases exhibited a cystic-solid mixed mass with mural nodules, and 4 cases
exhibited a liquid level that indicated the possibility of hemorrhage.
CONCLUSIONS: A well-defined cystic-solid mixed mass with mural nodules, or a
circumscribed mass exhibiting the possibility of hemorrhage, may suggest the
diagnosis of EPC.
PMID- 29787498
TI - The Value of Modified Renal Rim Grade in Predicting Acute Kidney Injury Following
Severe Acute Pancreatitis.
AB - OBJECTIVE: To retrospectively determine the value of modified renal rim grade
(MRRG) in predicting acute kidney injury (AKI) in the early phase of severe acute
pancreatitis (SAP). METHODS: This institutional review board-approved
retrospective study included patients with SAP who underwent abdominal contrast
enhanced computed tomography (CT) within 48 hours after disease onset. Modified
renal rim grade, renal rim grade, CT severity index, modified CT severity index,
extrapancreatic inflammation on CT scores, and posterior pararenal (PPR) space
involvement were assessed. Clinical data, including bedside index of severity in
acute pancreatitis and New Japanese Severity Scoring system scores, were
collected. Primary end points were AKI and mortality. Scores were evaluated by
receiver operating characteristic curve analysis. Correlational analyses between
MRRG scores and the other scores were performed with Spearman analysis. RESULT:
One hundred five consecutive patients were enrolled in our study. The areas under
the curve (AUCs) of MRRG in predicting AKI (0.90) and mortality (0.83) were
comparable to extrapancreatic inflammation on CT (0.89 and 0.85, P > 0.05) and
were higher than those of the other CT scores (P < 0.05). Modified renal rim
grade score of greater than 4 yielded sensitivities and specificities of 81% and
89% for predicting AKI and 88% and 66% for mortality. Modified renal rim grade
correlated moderately with bedside index of severity in acute pancreatitis
(Spearman r = 0.47) and New Japanese Severity Scoring system (r = 0.43) scores.
Besides, the prevalence of PPR space involvement in nonrecovery AKI patients was
higher than that in recovery patients (94% vs 36%, P < 0.05). CONCLUSIONS:
Modified renal rim grade is well correlated with the occurrence of AKI and
mortality in SAP. The PPR space involvement is a promising prognostic factor for
nonrecovery of AKI in SAP patients.
PMID- 29787499
TI - Acute Appendicitis Involving a De Garengeot Hernia.
AB - We present a case of appendicitis within a De Garengeot hernia. The patient
presented to the emergency department with 4 days of worsening abdominal pain.
Computed tomography examination revealed an inflamed appendix within a femoral
hernia. The patient underwent appendectomy and repair of the femoral defect.
Pathological examination was consistent with acute appendicitis. De Garengeot
hernia is a rare phenomenon, with less than 5% of femoral hernias containing the
appendix and 0.08% to 0.13% containing an incarcerated acute appendicitis.
PMID- 29787500
TI - Evaluation of the Communication Between Arachnoid Cysts and Neighboring
Cerebrospinal Fluid Spaces by T2W 3D-SPACE With Variant Flip-Angle Technique at 3
T.
AB - PURPOSE: Phase-contrast cine magnetic resonance imaging (PC-MRI) is a widely used
technique for determination of possible communication of arachnoid cysts (ACs).
Three-dimensional (3D) sampling perfection with application-optimized contrasts
using different flip-angle evolutions (3D-SPACE) technique is a relatively new
method for 3D isotropic scanning of the entire cranium within a short time. In
this research, the usage of the 3D-SPACE technique in differentiation of
communicating or noncommunicating type ACs was evaluated. MATERIALS AND METHODS:
Thirty-five ACs in 34 patients were retrospectively examined. The 3D-SPACE, PC
MRI, and contrast material-enhanced cisternography (if present) images of the
patients were analyzed. Each cyst was described according to cyst size/location,
third ventricle diameter, Evans index, and presence of hydrocephalus.
Communication was defined as absent (score 0), suspected (score 1), or present
(score 2) on each sequence. Results of PC-MRI or cisternography (if available)
examinations were used as criterion standard techniques to categorize all cysts
as communicating or noncommunicating type. The results of 3D-SPACE were compared
with criterion standard techniques. The comparisons between groups were performed
using Mann-Whitney and Fisher exact tests. RESULTS: For demonstration of
communication status of the cysts, criterion standard test results and 3D-SPACE
findings were almost in perfect harmony (kappa[95% confidence interval: 0.94]; P
< 0.001). When evaluating the communicative properties, 3D-SPACE findings
correlated with other final results at a rate of 97%. There is a positive
correlation with third ventricular diameters and Evans index for all patients (r
= 0.77, P < 0.001). For other analyzed variables, there is no significant
difference or correlation between the groups. CONCLUSIONS: The 3D-SPACE technique
is an easy, useful, and noninvasive alternative for the evaluation of morphology,
topographical relationships, and communication status of ACs.
PMID- 29787501
TI - An Apparent Diffusion Coefficient Histogram Method Versus a Traditional 2
Dimensional Measurement Method for Identifying Non-Puerperal Mastitis From Breast
Cancer at 3.0 T.
AB - PURPOSE: This study aimed to investigate the utility of a volumetric apparent
diffusion coefficient (ADC) histogram method for distinguishing non-puerperal
mastitis (NPM) from breast cancer (BC) and to compare this method with a
traditional 2-dimensional measurement method. MATERIALS AND METHODS: Pretreatment
diffusion-weighted imaging data at 3.0 T were obtained for 80 patients (NPM, n =
27; BC, n = 53) and were retrospectively assessed. Two readers measured ADC
values according to 2 distinct region-of-interest (ROI) protocols. The first
protocol included the generation of ADC histograms for each lesion, and various
parameters were examined. In the second protocol, 3 freehand (TF) ROIs for local
lesions were generated to obtain a mean ADC value (defined as ADC-ROITF). All of
the ADC values were compared by an independent-samples t test or the Mann-Whitney
U test. Receiver operating characteristic curves and a leave-one-out cross
validation method were also used to determine diagnostic deficiencies of the
significant parameters. RESULTS: The ADC values for NPM were characterized by
significantly higher mean, 5th to 95th percentiles, and maximum and mode ADCs
compared with the corresponding ADCs for BC (all P < 0.05). However, the minimum,
skewness, and kurtosis ADC values, as well as ADC-ROITF, did not significantly
differ between the NPM and BC cases. CONCLUSIONS: Thus, the generation of
volumetric ADC histograms seems to be a superior method to the traditional 2
dimensional method that was examined, and it also seems to represent a promising
image analysis method for distinguishing NPM from BC.
PMID- 29787502
TI - Evaluation of Stomach Neoplasms With 3-Dimensional Computed Tomography: Focus on
the Potential Role of Cinematic Rendering.
AB - Evaluation of stomach neoplasms by traditional 3-dimensional (3D) computed
tomography methods such as volume rendering and maximum-intensity projection
plays an important role in lesion detection and characterization, preoperative
planning, staging, and follow-up. Recently, a new 3D visualization method has
become available known as cinematic rendering (CR). This novel technique makes
use of a complex global lighting model to impart photorealistic levels of detail
to 3D images. Although this new technique has yet to be systematically studied
for the evaluation of stomach neoplasms, its intrinsic ability to create
realistic shadowing effects to enhance understanding of the 3D relative locations
of anatomic structures and to enhance detail and texture may prove valuable for a
variety of applications. In this article, we demonstrate the CR appearance of
multiple different gastric neoplasms, describe potential advantages of CR, and
suggest future research directions.
PMID- 29787503
TI - Deaths of Despair and Building a National Resilience Strategy.
PMID- 29787504
TI - The North American Opioid Experience and the Role of Community Pharmacy.
PMID- 29787505
TI - Preventing Leader Derailment-A Strategic Imperative for Public Health Agencies.
PMID- 29787506
TI - Lessons in Infection Control: The Role of Local Health Departments in Prevention,
Preparedness, and Response.
PMID- 29787507
TI - At What Distance Can One Assess Diversity?
PMID- 29787508
TI - Impact of a Required Service-Learning Curriculum on Preclinical Students.
AB - PURPOSE: The impact of brief service-learning curricula on physician assistant
(PA) students is not well reported. This study explores student learning
immediately and then one to 2 years after a preclinical service-learning
experience through written reflections and a survey. METHODS: The 16-hour
curriculum within a behavioral science course involved community immersion and
engagement with underserved clients. Reflections written by students from 3
consecutive classes were coded for major themes, and theme frequency was
assessed. We then administered a survey to explore the curricular impact after
students completed their clinical clerkships. RESULTS: All students (132/132)
completed the required curriculum. We identified 4 major themes from 132
reflections. The themes were (most to least frequent) self-discovery (54/132),
patient focus (30/132), community resources (28/132), and patient-provider
relationship (20/132). The primary attitude change (self-discovery) was student
awareness of their own biases and recognition of the need for cultural humility
when caring for underserved clients. In the postcurriculum survey (response
69/95), students recalled community resources as the most important learning,
followed by self-discovery. Students viewed the curriculum positively and noted
that the exposure increased their comfort with caring for underserved patients in
their clerkships. CONCLUSIONS: Immersion in a community-based service-learning
experience with underserved clients is associated with increased self-awareness
and intent to change behavior. Students perceive the experience as important for
future clerkships. Preclinical service-learning curricula prepare students to
care for underserved patients.
PMID- 29787509
TI - Use of Moulage to Evaluate Student Assessment of Skin in an Objective Structured
Clinical Examination.
AB - PURPOSE: This study investigated the physician assistant (PA) student evaluation
of skin and the use of moulage in an objective structured clinical examination
(OSCE). METHODS: Clinical-year PA students participated in an OSCE, taking a
history, completing a physical examination, and writing a focused clinical note.
The standardized patient's history simulated a primary diagnosis of right-sided
carpal tunnel syndrome and an incidental skin lesion consistent with melanoma,
only if the student made an inquiry in this replication study. Faculty members'
assessments of students' physical examinations were standardized using an agreed
upon rubric. Students completed an anonymous survey on the OSCE experience
immediately following the activity. RESULTS: All students identified the primary
diagnosis of carpal tunnel. Sixty-three percent of students verbalized
examination of the skin; however, only 38% identified an atypical skin lesion in
their clinical note documentation. Of those, only 33% of students referred the
patient for biopsy. Most students believed that the use of moulage increased the
realism of the standardized patient encounter. Sixty percent of students reported
that they noticed a skin lesion but did not believe that it was part of the
simulated experience. CONCLUSIONS: Moulage increased the realism of the OSCE.
This study found that the ability of a student to verbalize the evaluation of a
particular body system did not necessarily mean that they adequately or correctly
assessed that system upon physical examination. Implementation of an OSCE and use
of moulage provided an opportunity to explicitly assess student performance
related to evaluation and assessment of skin. It provided a mechanism for
meaningful, formative feedback of students' performance.
PMID- 29787510
TI - Metacognition, Formative Assessment, and Student Perspective: Learning About
Metacognition Through In-Class Comparison of Response Systems.
AB - PURPOSE: Educators influence learning context through, among other things,
triadic reciprocal causation-a behavioral model used in social cognitive theory.
Eliciting responses from learners during instruction may contribute to learning
by engaging their metacognition. In this study, metacognition was examined based
on learners' experience with 2 different polling methods: student response
systems (SRS), commonly known as "clickers," and a low-technology response system
(LTRS), where students raise labeled signs, each with a different response. Scale
item results are reported. METHODS: Scales measuring the influence of
metacognition from polling methods were administered, following treatment (SRS)
and comparison method (LTRS), to first-year physician assistant (PA) students (n
= 54). Statistical tests of significance and effect size for each item were
compared. RESULTS: Performance outcomes suggest that the SRS enhances learning
experiences more than low-technology polling. Self-reported surveys indicate that
self-monitoring, note-taking, and understanding during lectures are significantly
improved with the use of clickers. Peer and social comparison items did not
demonstrate significance collectively, but survey results indicate that
candidates compared themselves to their peers significantly more with the LTRS
than with the SRS. CONCLUSION: Findings support the practice of using an
electronic SRS to poll PA students and enhance learning.
PMID- 29787511
TI - The Mechanism of Heartbreak.
PMID- 29787512
TI - Browning of Human Subcutaneous Adipose Tissue after Its Transplantation in Nude
Mice.
AB - BACKGROUND: The clinical unpredictability of autologous fat grafting originates
partially from the unique characteristics of adipose tissue. Evidence of adipose
remodeling toward browning (developing of brown-like adipocytes in white adipose
tissue) in response to trauma has been emerging. With regard to fat grafting
surgery by which adipose tissue depots are directly and ubiquitously traumatized,
whether it affects adipose phenotype change toward browning has not been
previously reported. METHODS: Human subcutaneous adipose tissues were harvested
from the abdominal region of female patients by means of liposuction and were
then injected into the dorsal flanks of athymic nude mice. After 12 weeks, fat
grafts were harvested and subjected to histologic analysis. RESULTS: Hematoxylin
and eosin staining showed the appearance of small multilocular adipocytes in the
peripheral region of the grafts. These adipocytes exhibited higher staining for
uncoupling protein 1 (a fat browning-specific marker), mitochondrial protein, and
CD31 compared with the central ones, indicating the presence of brown-like
adipocytes (i.e., beige adipocytes) in this area. Furthermore, immunofluorescence
staining demonstrated that these beige adipocytes might be derived from de novo
adipogenesis from progenitors of graft origin. CONCLUSION: Results of this study
suggest that browning of subcutaneous white adipose tissue participates in
adaptive tissue remodeling following grafting and contributes to adipose tissue
repair.
PMID- 29787513
TI - Real-Time Ultrasound-Assisted Gluteal Fat Grafting.
AB - BACKGROUND: The number of gluteal fat augmentation procedures has increased
recently and so has the number of complications. Because of the increased risk of
morbidity and mortality when fat is injected intramuscularly, not knowing where
fat is injected is concerning. We sought to identify the planes in which fat is
injected during the procedure. METHODS: We selected 15 consecutive female
patients who desired gluteal fat augmentation. All patients had epidural
anesthesia and the gluteal region was infiltrated with a vasoconstrictive
solution. With the patient in prone position, an ultrasound probe placed on the
buttocks was used to identify the fascial layers. While decanted fat was being
injected with a blunt cannula, the images were projected wirelessly to a screen,
so that the surgeon and assistant could follow the planes in which the cannula
was being introduced and the fat injected. RESULTS: The mean volume of harvested
fat was 3533 ml and the mean volume of fat injected per gluteal region was 528
ml. The evaluation of the depth and location of the cannula was performed in real
time with the ultrasound, accurately and reliably identifying the planes of fat
injection. All injections were subcutaneous. The downsides of this technique were
the purchase cost of the ultrasound device, increased surgical time, the need for
an assistant to follow the cannula and the probe constantly, and the learning
curve. CONCLUSION: Real-time ultrasound-assisted gluteal fat grafting is reliable
and may avoid injuring the deep vessels, further decreasing the risks of major
complications.
PMID- 29787514
TI - Therapeutic Effects of Human Adipose-Derived Products on Impaired Wound Healing
in Irradiated Tissue.
AB - BACKGROUND: Clinical sequelae of irradiation result in tissue devitalization
(e.g., ischemia, fibrosis, and atrophy) where wound healing capacity is impaired.
Fat-derived products may work to treat such pathology. METHODS: Nonlethal
irradiation at various doses (5, 10, and 15 Gy) and frequencies (one to three
times on sequential days) was delivered to dorsal skin of nude mice, and
subsequent gross and microscopic changes were evaluated for up to 4 weeks.
Cutaneous punch wounds were then created to compare wound healing in irradiated
and nonirradiated states. Wounds were also locally injected with vehicle,
cultured adipose-derived stem cells, centrifuged fat tissue, or micronized
cellular adipose matrix, and the therapeutic impact was monitored for up to 15
days. RESULTS: Nude mice given total doses greater than 15 Gy spontaneously
developed skin ulcers, and radiation damage was dose-dependent; however, a
fractionated irradiation protocol was able to reduce the damage. Histologic
assessment revealed dose-dependent dermal fibrosis/thickening and subcutaneous
atrophy. Dose-dependent (5 to 15 Gy) impairment of wound healing was also
evident. At the highest dosage (15 Gy three times), open wounds persisted on day
15. However, wounds injected with cultured adipose-derived stem cells were nearly
healed on day 12, and those treated with injection of centrifuged fat or
micronized tissue healed faster than untreated controls (p < 0.05). There was no
significant differences between treated groups. CONCLUSIONS: Tissue
devitalization by irradiation was dose-dependent, although fractionated protocols
helped to reduce it. Adipose-derived stem cells and other fat-derived products
harboring adipose-derived stem cells successfully revitalized irradiated tissues
and accelerated wound healing.
PMID- 29787515
TI - The Evolving Role of Blending of the Lid-Cheek Junction in Lower Blepharoplasty.
AB - BACKGROUND: Lower blepharoplasty has evolved from traditional lower
blepharoplasty involving skin-muscle flaps and fat resection to newer concepts
that emphasize blending the lid-cheek junction. It is the objective of this
review to evaluate these new concepts for their efficacy in blending the lid
cheek junction. METHODS: A comprehensive review of literature was performed using
PubMed/MEDLINE to identify studies evaluating fat conservation, fat augmentation,
fat repositioning, orbicularis retaining ligament (ORL) release, septal reset,
and use of fillers in deep cheek fat compartments to obtain aesthetically
pleasing lid-cheek junction. RESULTS: A total of 22 studies meeting inclusion
criteria and evaluating fat conservation, fat augmentation, fat repositioning,
ORL release, septal reset, and use of fillers in deep cheek fat compartments are
analyzed and discussed. CONCLUSIONS: This review provides the current evidence
for various strategies that can be used alone or combined to obtain youthful lid
cheek junction. It provides the current evidence-based scientific rationale for
optimal management of the lower eyelid cheek area for facial rejuvenation.
PMID- 29787516
TI - Microanatomy of Sensory Nerves in the Upper Eyelid: A Cadaveric Anatomical Study.
AB - BACKGROUND: Plastic surgery requires detailed knowledge of upper eyelid anatomy,
but few authors have sufficiently described the specifics of upper eyelid nerve
anatomy. This study aimed to provide a thorough description of sensory nerve
anatomy in the upper eyelid and to propose considerations for upper eyelid
surgery. METHODS: Sixteen orbits were dissected from 16 fixed, adult human
cadavers. Microscopically, the authors identified the main trunks of the
infratrochlear, supratrochlear, and supraorbital nerves and all branches that
projected toward the upper eyelid. The number, size, and distribution of nerve
branches were recorded. RESULTS: The branches of the infratrochlear,
supratrochlear, and supraorbital nerves covered a wide range in the upper eyelid.
The mean numbers of branches per nerve were 1.6 +/- 1.2, 3.2 +/- 1.5, and 2.6 +/-
1.4, respectively. The branches of the infratrochlear nerve were distributed
throughout the medial area of the upper eyelid. Those of the supratrochlear nerve
were distributed throughout the medial and central areas, and the palpebral
branches of the supraorbital nerve were distributed throughout the central and
lateral areas of the upper eyelid. The lateral branches of the supraorbital nerve
and the cutaneous branches of the lacrimal nerve were distributed in the lateral
region of the orbit. CONCLUSIONS: The authors show that upper eyelid sensation is
transmitted mainly by the supratrochlear and supraorbital nerves, and the authors
provide a map of the distribution of upper eyelid sensory nerves. This precise
anatomical knowledge about upper eyelid sensory nerves will facilitate pain
control and help minimize nerve injuries during surgery.
PMID- 29787518
TI - Hepatitis B and C: Report of the FISPGHAN Working Group: Erratum.
PMID- 29787517
TI - Applying standardized uptake values in gallium-67-citrate single-photon emission
computed tomography/computed tomography studies and their correlation with blood
test results in representative organs.
AB - OBJECTIVES: Recently, semiquantitative analysis using standardized uptake value
(SUV) has been introduced in bone single-photon emission computed
tomography/computed tomography (SPECT/CT). Our purposes were to apply SUV-based
semiquantitative analytic method for gallium-67 (Ga)-citrate SPECT/CT and to
evaluate correlation between SUV of physiological uptake and blood test results
in representative organs. METHODS: The accuracy of semiquantitative method was
validated using an National Electrical Manufacturers Association body phantom
study (radioactivity ratio of sphere : background=4 : 1). Thereafter, 59 patients
(34 male and 25 female; mean age, 66.9 years) who had undergone Ga-citrate
SPECT/CT were retrospectively enrolled in the study. A mean SUV of physiological
uptake was calculated for the following organs: the lungs, right atrium, liver,
kidneys, spleen, gluteal muscles, and bone marrow. The correlation between
physiological uptakes and blood test results was evaluated using Pearson's
correlation coefficient. RESULTS: The phantom study revealed only 1% error
between theoretical and actual SUVs in the background, suggesting the sufficient
accuracy of scatter and attenuation corrections. However, a partial volume effect
could not be overlooked, particularly in small spheres with a diameter of less
than 28 mm. The highest mean SUV was observed in the liver (range: 0.44-4.64),
followed by bone marrow (range: 0.33-3.60), spleen (range: 0.52-2.12), and
kidneys (range: 0.42-1.45). There was no significant correlation between hepatic
uptake and liver function, renal uptake and renal function, or bone marrow uptake
and blood cell count (P>0.05). CONCLUSION: The physiological uptake in Ga-citrate
SPECT/CT can be represented as SUVs, which are not significantly correlated with
corresponding blood test results.
PMID- 29787520
TI - Early TLR4 Blockade Attenuates Sterile Inflammation-mediated Stress in Islets
During Isolation and Promotes Successful Transplant Outcomes.
AB - BACKGROUND: During the isolation process, pancreatic islets are exposed to an
environment of sterile inflammation resulting in an upregulated inflammatory
state before transplantation. Toll-like receptor 4 (TLR4) has been identified as
a major mediator of sterile inflammation. Therefore, we sought to determine
whether early TLR4 blockade would be effective in reducing the inflammatory
burden in islets pretransplant. METHODS: Islets from C57BL/6 mice were treated
with a TLR4 antagonist during the pancreatic ductal perfusion and digestion steps
of the isolation process. Islets were then analyzed for inflammation by RT-PCR
and Western blot, and for viability and function in vitro. A syngeneic transplant
model using a marginal mass of islets transplanted intraportally into mice with
streptozotocin-induced diabetes was used to study transplant outcomes after early
TLR4 blockade. RESULTS: Diabetic mice receiving 150 islets treated with early
TLR4 blockade achieved euglycemia at a higher rate than mice receiving untreated
islets (75% vs 29%; P < 0.05) and had improved long-term function (P < 0.05).
Serum markers for islet damage and inflammation were significantly reduced
posttransplant (P < 0.05). Both the expression of key inflammatory genes and the
activation of mitogen-activated protein kinases were reduced by early TLR4
blockade. Islet viability was improved (P < 0.05) while preserving islet insulin
secretory capacity postisolation. CONCLUSIONS: Early TLR4 blockade protects
islets from sterile inflammation-mediated stress sustained during isolation and
promotes positive transplant outcomes. Our findings support the use of early TLR4
blockade during clinical islet isolation procedures to reduce pretransplant
inflammation and improve transplant outcomes.
PMID- 29787519
TI - Population Health, Ethnicity, and Rate of Living Donor Kidney Transplantation.
AB - BACKGROUND: Living donor kidney transplantation has declined in the United States
since 2004, but the relationship between population characteristics and rate of
living donation is unknown. The goal of our study was to use data on general
population health and socioeconomic status to investigate the association with
living donation. METHODS: This cross-sectional, ecological study used population
health and socioeconomic status data from the CDC Behavioral Risk Factor
Surveillance System to investigate the association with living donation.
Transplant centers performing 10 or greater kidney transplants reported to the
Scientific Registry of Transplant Recipients in 2015 were included. Center rate
of living donation was defined as the proportion of all kidney transplants
performed at a center that were from living donors. RESULTS: In a linear mixed
effects model, a composite index of health and socioeconomic status factors was
negatively associated with living donation, with a rate of living donation that
was on average 7.3 percentage points lower among centers in areas with more
comorbid disease and poorer socioeconomic status (95% confidence interval, -12.2
to -2.3, P = 0.004). Transplant centers in areas with higher prevalence of
minorities had a rate of living donation that was 7.1 percentage points lower
than centers with fewer minorities (95% confidence interval, -11.8 to -2.3, P =
0.004). CONCLUSIONS: Center-level variation in living donation was associated
with population characteristics and minority prevalence. Further examination of
these factors in the context of patient and center-level barriers to living
donation is warranted.
PMID- 29787521
TI - Survival and Metabolic Function of Syngeneic Mouse Islet Grafts Transplanted Into
the Hepatic Sinus Tract.
AB - BACKGROUND: Islet grafts are transplanted into the liver via a portal vein in 90%
of the clinical islet transplantations. However, the portal vein is far from
being the ideal infusion site due to its unique drawbacks. These issues
necessitated the exploration of an alternatively optimized site for clinical
islet transplantation. With the widespread clinical application of percutaneous
transhepatic puncture technique, we envisioned the possibility of islet
transplantation into the hepatic sinus tract (HST). METHODS: The HST was created
by temporarily placing a medically approved material into the hepatic parenchyma
of C57BL/6 mice. The syngeneic islets were transplanted into the HST, after
which, the nonfasting blood glucose, intraperitoneal glucose tolerance, and
morphology were evaluated. RESULTS: A collagen-lined HST was formed by the 28-day
implantation of a cylindrical nylon rod. Transplantation of ~300 syngeneic islets
into the HST routinely reversed the hyperglycemia of the recipient mice and
maintained normoglycemia for longer than 100 days until the graft was removed.
The islet grafts within the HST stained positively for insulin, glucagon, and
abundant microvessels and achieved comparable results to the islet grafts under
the kidney capsule with respect to glycemic control and glucose tolerance.
CONCLUSIONS: These results suggested that an HST can be constructed for islet
transplantation by temporarily placing a nylon material in the liver parenchyma.
The HST is a promising site for clinical islet transplantation, thereby providing
a satisfactory environment for the survival and metabolic function of islet
grafts.
PMID- 29787523
TI - Secondhand Hypertrophy: A Rare Case of Genetically Based Pathology Developing
Within a Transplanted Heart.
PMID- 29787522
TI - Belatacept in Solid Organ Transplant: Review of Current Literature Across
Transplant Types.
AB - Calcineurin inhibitors (CNIs) have been the backbone immunosuppressant for solid
organ transplant recipients for decades. Long-term use of CNIs unfortunately is
associated with multiple toxicities, with the biggest concern being CNI-induced
nephrotoxicity. Belatacept is a novel agent approved for maintenance
immunosuppression in renal transplant recipients. In the kidney transplant
literature, it has shown promise as being an alternative agent by preserving
renal function and having a minimal adverse effect profile. There are emerging
studies of its use in other organ groups, particularly liver transplantation, as
well as using with other alternative immunosuppressive strategies. The purpose of
this review is to analyze the current literature of belatacept use in solid organ
transplantation and discuss its use in current practice.
PMID- 29787525
TI - Lithium batteries: A technological advance with unintended injury consequences.
AB - Lithium-ion (Li-ion) batteries have been powering portable electronic equipment
since the mid-1990s. Today, they are ubiquitous in portable electronics, with
more than four billion manufactured each year. However, Li-ion batteries are also
associated with a spectrum of injuries related to the type of device as well as
the person using the device. These injuries range from cutaneous injuries due to
flame burns and explosions to corrosion injuries from ingestion. This article
describes how the composition of Li-ion batteries can cause injury, the types and
extent of Li-ion battery-related injuries, and suggests strategies for
prevention.
PMID- 29787526
TI - The effect of verified pediatric trauma centers, state laws, and crash
characteristics on time trends in adolescent motor vehicle fatalities, 1999-2015.
AB - BACKGROUND: Motor vehicle crashes are a leading cause of adolescent death from
trauma. A recent study found American College of Surgeons-verified pediatric
trauma centers (vPTC) were inversely correlated with pediatric mortality, but the
analysis was limited to a single year. This study assesses the contribution of
vPTCs, crash characteristics, and state driver laws on 15- to 17-year-old motor
vehicle crash mortality for all 50 states from 1999 to 2015. METHODS: Prospective
data on motor vehicle fatalities, crash characteristics, state driving laws, and
American College of Surgeons-verified trauma centers were collected from publicly
available sources for 50 US states from 1999 to 2015. A mixed fixed/random
effects multivariate regression model was fitted to assess the relative
contribution of crash characteristics, state laws, and vPTCs while controlling
for state variation and time trends. RESULTS: The final regression model included
driver and crash characteristics, verified trauma centers, and state laws. Camera
laws ([B = -0.57 [p < 0.001]) were associated with a 57% decrease in the rate of
change in adolescent crude fatalities. The lagged Level 1 vPTC crude rate (B =
0.12 [p < .001]) was protective and contributed independently to a 12% decline in
the rate of change in teen fatalities over the time period. Seat belt laws (B =
0.15 [p < 0.001]), graduated driver's license passenger restrictions (B = -0.07[p
< 0.001]), graduated driver's license learner permit period (B = -0.04 [p <
0.002]), nondeployed airbag (B = -0.003 [p < 0.001]), and Hispanic heritage (B =
0.003 [p < 0.05]) were protective. Increased risk of fatality was associated with
minivan (B = 0.01 [p < 0.001]), speed > 90 mph (B = 0.004 [p < 0.001]), rural
roads (B = 0.002 [p < 0.002], unknown seat belt compliance (B = 0.004 [p <
0.001]), and dry road surface (B = 0.005 [p < 0.001]). CONCLUSIONS: State camera
laws during the study time frame are associated with a 57% decrease in the rate
of change in adolescent crude fatalities; vPTCs during the study time period
reduced overall rate of change in the crude fatality rate by 12%. State laws,
restrictions on teenage passengers and longer learner's permit periods, and seat
belt laws are associated with significant decreases in the crude teen mortality
rate. LEVEL OF EVIDENCE: Prospective study and prevention, level III.
PMID- 29787528
TI - Increased trauma activation is not equally beneficial for all elderly trauma
patients.
AB - BACKGROUND: Physiologic changes in the elderly lead to higher morbidity and
mortality after injury. Increasing level of trauma activation has been proposed
to improve geriatric outcomes, but the increased cost to the patient and stress
to the hospital system are significant downsides. The purpose of this study was
to identify the age at which an increase in activation status is beneficial.
METHODS: A retrospective review of trauma patients 70 years or older from October
1, 2011, to October 1, 2016, was performed. On October 1, 2013, a policy change
increased the activation criteria to the highest level for patients 70 years or
older with a significant mechanism of injury. Patients who presented prior to
(PRE) were compared with those after the change (POST). Data collected included
age, Injury Severity Score (ISS), length of stay (LOS), complications, and
mortality. Primary outcome was mortality, and secondary outcome was LOS.
Multivariable regressions controlled for age, ISS, injury mechanism, and number
of complications. RESULTS: A total of 4,341 patients met the inclusion criteria,
1,919 in PRE and 2,422 in POST. Mean age was 80.4 and 81 years in PRE and POST
groups, respectively (p = 0.0155). Mean ISS values were 11.6 and 12.4 (p <
0.0001) for the PRE and POST groups. POST had more Level 1 activations (696 vs.
220, p < 0.0001). After controlling for age, ISS, mechanism of injury, and number
of complications, mortality was significantly reduced in the POST group 77 years
or older (odds ratio, 0.53; 95% confidence interval, 0.3-0.87) (Fig. 1). Hospital
LOS was significantly reduced in the POST group age 78 years or older (regression
coefficient, -0.55; 95% confidence interval, -1.09 to -0.01) (Fig. 2).
CONCLUSIONS: This study suggests geriatric trauma patients 77 years or older
benefit from the highest level of trauma activation with shorter LOS and lower
mortality. A focused approach to increasing activation level for elderly patients
may decrease patient cost. LEVEL OF EVIDENCE: Therapeutic/Care Management, level
IV.
PMID- 29787527
TI - An AAST-MITC analysis of pancreatic trauma: Staple or sew? Resect or drain?
AB - INTRODUCTION: Pancreatic trauma results in high morbidity and mortality, in part
caused by the delay in diagnosis and subsequent organ dysfunction. Optimal
operative management strategies remain unclear. We therefore sought to determine
CT accuracy in diagnosing pancreatic injury and the morbidity and mortality
associated with varying operative strategies. METHODS: We created a multicenter,
pancreatic trauma registry from 18 Level 1 and 2 trauma centers. Adult, blunt or
penetrating injured patients from 2005 to 2012 were analyzed. Sensitivity and
specificity of CT scan identification of main pancreatic duct injury was
calculated against operative findings. Independent predictors for mortality,
adult respiratory distress syndrome (ARDS), and pancreatic fistula and/or
pseudocyst were identified through multivariate regression analysis. The
association between outcomes and operative management was measured. RESULTS: We
identified 704 pancreatic injury patients of whom 584 (83%) underwent a pancreas
related procedure. CT grade modestly correlated with OR grade (r 0.39) missing 10
ductal injuries (9 grade III, 1 grade IV) providing 78.7% sensitivity and 61.6%
specificity. Independent predictors of mortality were age, Injury Severity Score
(ISS), lactate, and number of packed red blood cells transfused. Independent
predictors of ARDS were ISS, Glasgow Coma Scale score, and pancreatic fistula (OR
5.2, 2.6-10.1). Among grade III injuries (n = 158, 22.4%), the risk of pancreatic
fistula/pseudocyst was reduced when the end of the pancreas was stapled (OR 0.21,
95% CI 0.05-0.9) compared with sewn and was not affected by duct stitch
placement. Drainage alone in grades IV (n = 25) and V (n = 24) injuries carried
increased risk of pancreatic fistula/pseudocyst (OR 8.3, 95% CI 2.2-32.9).
CONCLUSION: CT is insufficiently sensitive to reliably identify pancreatic duct
injury. Patients with grade III injuries should have their resection site stapled
instead of sewn and a duct stitch is unnecessary. Further study is needed to
determine if drainage alone should be employed in grades IV and V injuries. LEVEL
OF EVIDENCE: Epidemiologic/Diagnostic study, level III.
PMID- 29787529
TI - Motor vehicle crash fatalaties and undercompensated care associated with
legalization of marijuana.
AB - BACKGROUND: Half of the US states have legalized medical cannabis (marijuana),
some allow recreational use. The economic and public health effects of these
policies are still being evaluated. We hypothesized that cannabis legalization
was associated with an increase in the proportion of motor vehicle crash
fatalities involving cannabis-positive drivers, and that cannabis use is
associated with high-risk behavior and poor insurance status. METHODS: Hawaii
legalized cannabis in 2000. Fatality Analysis Reporting System data were analyzed
before (1993-2000) and after (2001-2015) legalization. The presence of cannabis
(THC), methamphetamine, and alcohol in fatally injured drivers was compared. Data
from the state's highest level trauma center were reviewed for THC status from
1997 to 2013. State Trauma Registry data from 2011 to 2015 were reviewed to
evaluate association between cannabis, helmet/seatbelt use, and payor mix.
RESULTS: THC positivity among driver fatalities increased since legalization,
with a threefold increase from 1993-2000 to 2001-2015. Methamphetamine, which has
remained illegal, and alcohol positivity were not significantly different before
versus after 2000. THC-positive fatalities were younger, and more likely, single
vehicle accidents, nighttime crashes, and speeding. They were less likely to have
used a seatbelt or helmet. THC positivity among all injured patients tested at
our highest level trauma center increased from 11% before to 20% after
legalization. From 2011 to 2015, THC-positive patients were significantly less
likely to wear a seatbelt or helmet (33% vs 56%). They were twice as likely to
have Medicaid insurance (28% vs 14%). CONCLUSION: Since the legalization of
cannabis, THC positivity among MVC fatalities has tripled statewide, and THC
positivity among patients presenting to the highest level trauma center has
doubled. THC-positive patients are less likely to use protective devices and more
likely to rely on publically funded medical insurance. These findings have
implications nationally and underscore the need for further research and policy
development to address the public health effects and the costs of cannabis
related trauma. LEVEL OF EVIDENCE: Prognostic, level III.
PMID- 29787530
TI - CRISIS UNDER THE RADAR: ILLICIT AMPHETAMINE USE IS REACHING EPIDEMIC PROPORTIONS
AND CONTRIBUTING TO RESOURCE OVER-UTILIZATION AT A LEVEL 1 TRAUMA CENTER.
AB - INTRODUCTION: Trauma centers reported illicit amphetamine use in approximately
10% of trauma admissions in the previous decade. From experience at a trauma
center located in a southwestern metropolis, our perception is that illicit
amphetamine use is on the rise, and that these patients utilize in-hospital
resources beyond what would be expected for their injuries. The purpose of this
study was to document the incidence of illicit amphetamine use among our trauma
patients and to evaluate its impact on resource utilization. METHODS: We
conducted a retrospective cohort study using 7 consecutive years of data
(starting July 2010) from our institution's trauma registry. Toxicology
screenings were used to categorize patients into one of three groups: illicit
amphetamine, other drugs, or drug free. Adjusted linear and logistic regression
models were used to predict hospital cost, length of stay, ICU admission and
ventilation between drug groups. Models were conducted with combined injury
severity (ISS) and then repeated for ISS <9, ISS 9-15 and ISS 16 and above.
RESULTS: 8,589 patients were categorized into the following three toxicology
groups: 1255 (14.6%) illicit amphetamine, 2214 (25.8%) other drugs, and 5120
(59.6%) drug free. Illicit amphetamine use increased threefold over the course of
the study (from 7.85% to 25.0% of annual trauma admissions). Adjusted linear
models demonstrated that illicit amphetamine among patients with ISS<9 was
associated with 4.6% increase in hospital cost (P=.019) and 7.4% increase in LOS
(P=.043). Logistic models revealed significantly increased odds of ventilation
across all ISS groups and increased odds of ICU admission when all ISS groups
were combined (P=.001) and within the ISS<9 group (P=.002). CONCLUSION: Hospital
resource utilization of amphetamine patients with minor injuries is significant.
Trauma centers with similar epidemic growth in proportion of amphetamine patients
face a potentially significant resource strain relative to other centers. STUDY
TYPE: Prognostic and Epidemiological LEVEL OF EVIDENCE: III.
PMID- 29787531
TI - Blunt traumatic scapular fractures are associated with great vessel injuries in
children.
AB - BACKGROUND: Patients with stable blunt great vessel injury (GVI) can have poor
outcomes if the injury is not identified early. With current pediatric trauma
radiation reduction efforts, these injuries may be missed. As a known association
between scapular fracture and GVI exists in adult blunt trauma patients, we
examined whether that same association existed in pediatric blunt trauma
patients. METHODS: Bluntly injured patients younger than 18 years old were
identified from 2012 to 2014 in the National Trauma Data Bank. Great vessel
injury included all major thoracic vessels and carotid/jugular. Demographics of
patients with and without scapular fracture were compared with descriptive
statistics. The chi test was used to examine this association using SAS Version
9.4 (SAS Institute, Inc, Cary, NC). RESULTS: We found a significant association
between pediatric scapular fracture and GVI. Of 291,632 children identified,
1,960 had scapular fractures. Children with scapular fracture were 10 times more
likely to have GVI (1.2%) compared to those without (0.12%, p < 0.0001). Most
common GVI seen were carotid artery, thoracic aorta, and brachiocephalic or
subclavian artery or vein. Children with both scapular fracture and GVI were most
commonly injured by motor vehicles (57% collision, 26% struck). CONCLUSIONS:
Injured children with blunt scapular fracture have a 10-fold greater risk of
having a GVI when compared to children without scapular fracture. Presence of
blunt traumatic scapular fracture should have appropriate index of suspicion for
a significant GVI in pediatric trauma patients. LEVEL OF EVIDENCE: Epidemiologic
and prognostic study, level III; Therapeutic, level IV.
PMID- 29787532
TI - Do screening and a randomized brief intervention at a Level 1 trauma center
impact acute stress reactions to prevent later development of posttraumatic
stress disorder?
AB - BACKGROUND: Approximately 20% to 40% of trauma survivors experience posttraumatic
stress disorder (PTSD). The American College of Surgeons Committee on Trauma
reports that early screening and referral has the potential to improve outcomes
and that further study of screening and intervention for PTSD would be
beneficial. This prospective randomized study screened hospitalized patients for
traumatic stress reactions and assessed the effect of a brief intervention in
reducing later development of PTSD. METHODS: The Primary Care PTSD (PC-PTSD)
screen was administered to admitted patients. Patients with symptoms were
randomized to an intervention or control group. The brief intervention focused on
symptom education and normalization, coping strategies, and utilizing supports.
The control group received a 3-minute educational brochure review. Both groups
completed in-hospital interviews, then 45- and 90-day telephone interviews.
Follow-up collected the PTSD checklist-civilian (PCL-C) assessment and
qualitative data on treatment-seeking barriers. RESULTS: The PC-PTSD screen was
successful in predicting later PTSD symptoms at both 45 days (beta = 0.43, p <
0.001) and 90 days (beta = 0.37, p < 0.001) even after accounting for depression.
Correlations of the intervention with the PCL-C scores and factor score estimates
did not reach statistical significance at either time point (p = 0.827; p =
0.838), indicating that the brief intervention did not decrease PTSD symptoms
over time. Of those at or above the PCL-C cutoff at follow-ups, a minority had
sought treatment for their symptoms (43.2%). Primary barriers included focusing
on their injury or ongoing rehabilitation, financial concerns, or location of
residence. CONCLUSION: The PC-PTSD screen identified patients who later assess
positive for PTSD using the PCL-C. The brief intervention did not reduce 45- and
90-day PTSD development. Follow-up interviews revealed lack of treatment
infrastructure in the community. It will be important for trauma centers to align
with community resources to address the treatment needs of at-risk patients.
LEVEL OF EVIDENCE: Prospective randomized controlled trial, level II.
PMID- 29787533
TI - High-volume hospitals are associated with lower mortality among high-risk
emergency general surgery patients.
AB - INTRODUCTION: We have previously demonstrated that Emergency General Surgery
(EGS) patients treated at high-volume hospitals experience lower mortality rates
than those treated at low-volume hospitals. However, EGS comprises a wide
spectrum of diseases. Our goal was to determine which EGS diseases had better
outcomes at high-volume hospitals. METHODS: We undertook a retrospective analysis
of the National Inpatient Sample database for 2013 (a nationwide representative
sample). Patients with EGS diseases were identified using American Association
for the Surgery of Trauma definitions. A hierarchical logistic regression model
was used to measure risk-adjusted probability of death, adjusting for age, sex,
race, ethnicity, insurance type, and comorbidities. Patients were then grouped
into 16 risk groups based upon their predicted probability of death. We then
compared observed mortality rates at high- versus low-volume hospitals within
each risk group. RESULTS: Nationwide, 3,006,615 patients with EGS diseases were
treated at 4,083 hospitals in 2013. Patients with predicted risk of death of 4%
or higher (275,615 patients, 9.2%) had lower observed mortality rates at high
volume hospitals than at low-volume hospitals (7.7% vs. 10.2%, p < 0.001). We
estimated that 1,002 deaths were potentially preventable if high-risk patients
who were treated at low-volume hospitals were instead transferred to high-volume
hospitals. CONCLUSION: EGS patients with predicted risk of death of 4% or higher
experience lower mortality rates at high-volume hospitals than at low-volume
hospitals. A regional system of EGS care that enables rapid transfer of high-risk
patients to high-volume hospitals may prevent several deaths. LEVEL OF EVIDENCE:
Prognostic and epidemiological, level III; Therapeutic/Case Management, level IV.
PMID- 29787534
TI - A metal organic framework reduces thrombus formation and platelet aggregation ex
vivo.
AB - BACKGROUND: Management of hemostasis is a key challenge during extracorporeal
life support (ECLS). Metal organic frameworks are being investigated for use as
nitric oxide (NO) catalysts for incorporation into ECLS circuitry to prevent
thrombosis at the blood-biomaterial interface. A specific metal organic
framework, CuBTTri, has been shown to accelerate NO release from bioavailable
donors like S-nitrosoglutathione (GSNO). We hypothesized that CuBTTri would
reduce thrombus formation in whole blood (WB) and inhibit platelet aggregation.
METHODS: CuBTTri particles were added to WB and analyzed by thromboelastography.
Biostable metal-based frameworks (MIL-100, Zeolite USY) were added to blood as
controls, in addition to a saline vehicle control. Reaction time (R), clot
formation time (K), alpha-angle, clot strength (MA), and percent fibrinolysis
(LY30/LY60) were recorded. The effect of CuBTTri on platelet aggregation was
assessed in WB and platelet-rich plasma (PRP), both with and without addition of
GSNO. RESULTS: CuBTTri significantly prolonged R and K and decreased alpha-angle
and MA relative to the metal framework controls. Dose escalation results suggest
that the control metal-based particles induce thrombus formation, as R and K were
significantly reduced compared with the saline control; however, this did not
occur in the CuBTTri group. LY30/LY60 were elevated in the CuBTTri group versus
saline (p = 0.014) but were not different from metal framework controls. CuBTTri
alone and with GSNO reduced platelet aggregation in WB (p < 0.0001), whereas GSNO
alone had no effect. In PRP, GSNO and CuBTTri inhibited platelet aggregation
separately, and together decreased aggregation by 35% relative to GSNO alone (p =
0.004). CONCLUSIONS: CuBTTri reduced thrombus formation and inhibited platelet
aggregation. CuBTTri enhanced platelet inhibition with GSNO, which was consistent
with reports that CuBTTri accelerates NO release from endogenous NO donors. This
initial characterization of CuBTTri demonstrated its potential as an
antithrombogenic agent to be further evaluated with incorporation into ECLS
circuitry.
PMID- 29787535
TI - Can acute care surgeons perform while fatigued? An EAST multicenter study.
AB - BACKGROUND: Fatigued surgeon performance has only been assessed in simulated
sessions or retrospectively after a night on call. We hypothesized that
objectively assessed fatigue of acute care surgeons affects patient outcome.
METHODS: Five acute care surgery services prospectively identified emergency
cases over 27 months. Emergency cases were defined by the surgeon identifying the
patient as requiring immediate operation upon consultation or admission. Within
48 hours, surgeons reported sleep time accumulated before operation, if
nonclinical delays to operation occurred, and patient volume during the shift. To
maximize differences, fatigued surgeons were defined as performing a case after
midnight without having slept in the prior 18 hours. Rested surgeons performed
cases at or before 8 PM or after at least 3 hours of sleep before operation. A
four-level ordinal scale was used to assign case complexity. Hierarchical
logistic regression models were constructed to assess the impact of fatigue on
mortality and major morbidity while controlling for center and patient level
factors. RESULTS: Of 882 cases collected, 611 met criteria for fatigue or rested.
Of these cases, 370 were performed at night and 182 by a fatigued surgeon. Rested
surgeons were more likely to be operating on an older or female patient; other
characteristics were similar. Mortality and major morbidity were similar between
fatigued and rested surgeons (12.1% vs 12.1% and 46.9% vs 48.9%), respectively.
After controlling for center and patient factors, surgeon fatigue did not affect
mortality or major morbidity. Mortality variance was 6.30% and morbidity variance
was 7.02% among centers. CONCLUSION: Acute care surgeons have similar outcomes in
a fatigued or rested state. Work schedules for acute care surgeons should not be
adjusted to shifts less than 24 hours for the sole purpose of improving patient
outcomes. LEVEL OF EVIDENCE: Prognostic study, level IV.
PMID- 29787536
TI - A meta-analysis of the incidence of complications associated with groin access
after the use of resuscitative endovascular balloon occlusion of the aorta in
trauma patients.
AB - BACKGROUND: Serious complications related to groin access have been reported with
the use of resuscitative endovascular balloon occlusion of the aorta (REBOA). We
performed a systematic review and meta-analysis to estimate the incidence of
complications related to groin access from the use of REBOA in adult trauma
patients. METHODS: We identified articles in MEDLINE and EMBASE. We reviewed all
studies that involved adult trauma patients who underwent the placement of a
REBOA and included only those that reported the incidence of complications
related to groin access. A meta-analysis of proportions was performed. RESULTS:
We identified 13 studies with a total of 424 patients. REBOA was inserted most
commonly by trauma surgeons or emergency room physicians. Information regarding
puncture technique was reported in 12 studies and was available for a total of
414 patients. Percutaneous access and surgical cutdown were performed in 304
(73.4%) and 110 (26.5%) patients, respectively. Overall, complications related to
groin access occurred in 5.6% of patients (n = 24/424). Lower limb amputation was
required in 2.1% of patients (9/424), of which three cases (3/424 [0.7%]) were
directly related to the vascular puncture from the REBOA insertion. A meta
analysis that used the logit transformation showed a 5% (95% CI 3%-9%) incidence
of complications without significant heterogeneity (LR test: chi = 0.73, p = 0.2,
Tau-square = 0.2). In a second meta-analysis, we used the Freeman-Tukey double
arcsine transformation and found an incidence of complications of 4% (95% CI 2%
7%) with low heterogeneity (I = 16.3%). CONCLUSION: We found that the incidence
of complications related to groin access was of 4-5% based on a meta-analysis of
13 studies published worldwide. Currently, there are no benchmarks or quality
measures as a reference to compare, and thus, further work is required to
identify these benchmarks and improve the practice of REBOA in trauma surgery.
LEVEL OF EVIDENCE: Systematic review and meta-analysis, level III.
PMID- 29787537
TI - "It is a sin to be good when you were sent to be great: Quality in trauma care".
PMID- 29787538
TI - Intrathoracic transmural esophageal perforation (Boerhaave's syndrome):
Challenges in management of the delayed presentation.
PMID- 29787539
TI - A concomitant bone fracture delays cognitive recovery from traumatic brain
injury.
AB - BACKGROUND: Brain injury progression after severe traumatic brain injury (TBI) is
associated with worsening cerebral inflammation but it is unknown how a
concomitant bone fracture (BF) affects this progression. Enoxaparin (ENX)
decreases penumbral leukocyte mobilization after TBI and improves neurologic
recovery. We hypothesized that a concomitant BF worsens learning/memory recovery
weeks after TBI and that ENX improves this recovery. METHODS: CD1 male mice
underwent controlled cortical impact or sham craniotomy with or without tibial
fracture, receiving either daily ENX (0.8 mg/kg) or saline for 14 days after
injury. Randomization defined four groups (Sham, TBI only, TBI + Fx, TBI + Fx +
ENX, n = 5/each). Body weight loss and neurologic recovery (Garcia Neurologic
Test, max score = 18) were assessed each day. Mouse learning (swimming time [s]
and total distance [m] to reach the submerged platform Days 14 to 17 after TBI)
and memory (swimming time [s] in platform quadrant after platform removed
[probe]) was assessed by the Morris water maze. Ly-6G (cerebral neutrophil
sequestration) and glial fibrillary acidic protein were evaluated by
immunohistochemistry in brain tissue post mortem. Analysis of variance with
Tukey's post hoc test determined significance (p < 0.05). RESULTS: A concurrent
BF worsened Garcia Neurologic Test scores post-TBI Days 2 to 4 (p < 0.01) as
compared with TBI only, and ENX reversed this worsening on Day 4 (p < 0.01).
Learning was significantly slower (greater swimming time and distance) in TBI +
Fx versus TBI only on Day 17 (p < 0.01). This was despite similar swimming
velocities in both groups, indicating intact extremity motor function. Memory was
similar in isolated TBI and Sham which was significantly better than in TBI + Fx
animals (p < 0.05). Glial fibrillary acidic protein-positive cells in penumbral
cortex were most prevalent in TBI + Fx animals, significantly greater than in
Sham (p < 0.05). CONCLUSION: A long BF accompanying TBI worsens early neurologic
recovery and subsequent learning/memory. Enoxaparin may partially counter this
and improve neurologic recovery.
PMID- 29787540
TI - Rib fractures fixation: Always worthwhile?
PMID- 29787541
TI - Validation of predictive equations to assess energy expenditure in acute spinal
cord injury.
AB - BACKGROUND: Acute spinal cord injury (SCI) is devastating with morbidities
compounded by inadequate nutrition. The American Society for Parenteral and
Enteral Nutrition recommends indirect calorimetry (IC) to evaluate energy needs
in SCI because no predictive energy equations have been validated. We sought to
determine the accuracy of predictive equations to predict measured energy
expenditure (MEE). METHODS: A retrospective review was performed over 2 years.
Patients 18 years or older with cervical SCI who received IC were included.
Height, weight, maximum temperature and minute ventilation on day of IC, plus MEE
and VCO2 from IC were obtained. Predicted energy expenditure (PEE) was calculated
using Harris-Benedict (HB), Penn State (PS), Mifflin St. Jeor (MSJ), Weir, Ireton
Jones (IJ), and 25 kcal/kg formulas. MEE was then compared to the PEE of each
method. RESULTS: Thirty-nine IC studies were completed for 20 patients. Weir had
the strongest correlation to MEE (r = 0.98), followed by PS (r = 0.82).
Correlations were similar among HB (r = 0.78), MSJ (r = 0.75), and IJ (r = 0.73),
and weakest with 24 kcal/kg (r = 0.55). All had a p value <0.001. Deming
regression confirmed strong correlations between Weir and PS to MEE, with
coefficients of 1.03 and 1.515 (p < 0.001), respectively. Other formulas had
comparatively higher coefficients and standard errors. Bland-Altman analysis
confirmed Weir had the narrowest range of difference, with a mean difference of
25.5 kcal/day, followed by PS (-336.1 kcal/day). CONCLUSIONS: Weir is the best
predictive energy equation, with all statistical tests demonstrating a strong
correlation between MEE and Weir. The second best predictive equation is the Penn
State formula, which predicts actual MEE measured by IC with high accuracy. LEVEL
OF EVIDENCE: Diagnostic study, level III.
PMID- 29787542
TI - Hemorrhagic shock alters fracture callus composition and activates the IL6 and
RANKL/OPG pathway in mice.
AB - BACKGROUND: Fracture and hemorrhagic shock often lead to impaired fracture
healing. To elucidate underlying pathogenesis, this study aimed to analyze
histological properties during fracture healing after hemorrhagic shock and
involved signaling pathways in mice. METHODS: Male C57BL/6NCrl mice were assigned
into five groups. Control group underwent no interventions. Sham group had a
catheter and external fixator but neither blood loss nor osteotomy. Trauma
hemorrhage (TH) group received a pressure-controlled hemorrhagic shock; osteotomy
(Fx) group, an osteotomy and fixator; and combined trauma (THFx) group, both
hemorrhagic shock and externally fixed osteotomy. After 1, 2, 3, and 4 weeks, the
animals were killed. Undecalcified bones were analyzed histologically and
signaling pathways relevant for fracture healing by polymerase chain reaction and
Western blot. Statistical significance was set at 0.05 or less. Comparisons were
performed using the Mann-Whitney U or Kruskal-Wallis test. RESULTS: In the THFx
group, a decreased bone formation after 3 weeks, a reduction of both bone and
cartilage after 2 weeks, and an enhanced activation of the RANKL/OPG and IL6
signaling pathway after 1 week were shown in comparison to Fx. CONCLUSIONS:
Hemorrhagic shock has a retarding effect on fracture healing in the early phase
of fracture healing and leads to activation of the IL6 and RANKL/OPG signaling
pathways.
PMID- 29787543
TI - Using clinical analysis to classify 30-day trauma readmissions.
AB - BACKGROUND: Unplanned hospital readmissions are common across specialties.
Descriptive readmission studies commonly query large administrative databases,
which some speculate lack clinical granularity. This article provides the
framework for a process improvement initiative aimed at identifying clinically
meaningful reasons for trauma readmission. Our study hypothesizes an expected
difference between the clinically abstracted reasons for readmission and those
documented by the data processing staff in the trauma registry and that those
differences will be the starting point to target performance improvement.
METHODS: This is a retrospective, cohort study from 2014 to 2016 involving 18,998
trauma evaluations at a Level I trauma center. The systematic categorization of
trauma readmissions was completed via clinical chart review. Readmissions were
categorized following an organizational flowchart. The chart reviews ultimately
resulted in two readmission categories: primary and secondary reasons for 30-day
trauma readmission. RESULTS: There were 413 readmissions, an overall readmission
rate of 2.7%. The highest rate of readmission, by mechanism of injury, was
gunshot wounds (11%). Secondary reasons for readmission predominated (76.1%).
Complications led (41%), followed by observation (8.8%) and pain (8.6%).
Following readmission chart review and categorization, the trauma registry data
were queried and categorized via the same method. When the two methods of data
collection were compared, there was a significant difference (p < 0.0001).
CONCLUSIONS: The granular dissection of readmission charts proved to assist in
isolating clinically significant readmission variables, providing clarity into
the reasons behind trauma readmission. If determined solely by the trauma
registry data, our performance and quality improvement initiatives would be
misguided. We recommend clinical oversight of databases, with clinical review of
key areas in order to guide performance improvement.
PMID- 29787544
TI - A clinical prediction model for raised intracranial pressure in patients with
traumatic brain injuries.
AB - BACKGROUND: Intracranial hypertension is believed to contribute to secondary
brain insult in traumatically brain injured patients. Currently, the diagnosis of
intracranial hypertension requires intracranial monitoring or advanced imaging.
Unfortunately, prehospital transport times can be prolonged, delaying time to the
initial radiographic assessment. The aim of this study was to identify clinical
variables associated with raised intracranial pressure (ICP) prior to the
completion of neuroimaging. METHODS: We performed a retrospective cohort study of
head injured patients over a 3-year period. Patients were labeled as having
increased ICP if they had a single reading of ICP greater than 20 mm Hg within 1
hour of ICP monitor insertion or computed tomography findings suggestive of
raised ICP. Patient and clinical characteristics were analyzed using stepwise
multivariable logistic regression with ICP as the dependent variable. RESULTS: Of
701 head injured patients identified, 580 patients met inclusion criteria. Mean
age was 48.65 +/- 21 years, 73.3% were male. The mean Injury Severity Score was
22.71 +/- 12.38, and the mean Abbreviated Injury Scale for body region head was
3.34 +/- 1.06. Overall mortality was 14.7%. Only 46 (7.9%) patients had an ICP
monitor inserted; however, a total of 107 (18%) patients met the definition of
raised ICP. The mortality rate for patients with raised ICP was 50.4%.
Independent predictors of raised ICP were as follows: age, older than 55 years
(odds ratio [OR], 2.26; 95% confidence interval [CI], 1.35-3.76), pupillary
fixation (OR, 5.76; 95% CI, 3.16-10.50), signs of significant head trauma (OR,
2.431; 95% CI, 1.39-4.26), and need for intubation (OR, 3.589; 95% CI, 2.10
6.14). CONCLUSION: This study identified four independent variables associated
with raised ICP and incorporated these findings into a preliminary risk
assessment scale that can be implemented at the bedside to identify patients at
significant risk of raised ICP. Future work is needed to prospectively validate
these findings prior to clinical implementation. LEVEL OF EVIDENCE: Prognostic,
Epidemiological, level III.
PMID- 29787545
TI - Recent advances in austere combat surgery: Use of aortic balloon occlusion as
well as blood challenges by special operations medical forces in recent combat
operations.
AB - BACKGROUND: Resuscitative endovascular balloon occlusion of the aorta (REBOA) for
control of noncompressible torso hemorrhage is a technology that is increasingly
being utilized in the combat casualty setting. Its use in the resource restricted
environment holds potential to improve hemorrhage control, decrease blood product
utilization, decrease morbidity, and improve combat mortality. The objective of
this report is to present the single largest series of REBOA use on severely
injured combat casualties. METHODS: Over an 18-month period, austere surgical
teams comprised of coalition partners provided initial damage control
resuscitation (DCR) and surgical stabilization for over 2,300 combat casualties
prior to transferring patients to the next level of trauma care. RESULTS: Twenty
patients presented with injuries from explosion and gunshot wounds with mean
initial heart rate of 129 bpm and mean initial systolic blood pressure of 71 mm
Hg. Femoral cutdowns were used in six patients. Aortic occlusion was achieved
with REBOA catheter placement in Zone 1 (n = 17) and Zone 3 (n = 2). Systolic
blood pressure increased an average of 56 mm Hg with aortic occlusion. There were
no access related site complications. All patients survived transport to the next
level of care. The majority of blood products transfused in this cohort were
whole blood, largely supported by emergent blood drives. CONCLUSION: This series
demonstrates the potential for REBOA as a lifesaving technique for the patient
who presents with hemodynamic instability and noncompressible torso hemorrhage.
Resuscitative endovascular balloon occlusion of the aorta allows austere surgical
teams to rapidly stabilize severely injured combat casualties, expand capability,
and provide enhanced DCR while minimizing personnel, resources, and blood product
utilization. The use of "whole blood only" strategy for DCR shows potential to be
superior to traditional component therapy, and when combined with "proactive"
REBOA utilization, provides significant improvements in hemodynamics and
hemorrhage control. LEVEL OF EVIDENCE: Case series, level V.
PMID- 29787546
TI - Selective nonoperative management of pharyngoesophageal injuries secondary to
penetrating neck trauma: A single-center review of 86 cases.
AB - BACKGROUND: This article describes our experience with penetrating
pharyngoesophageal injuries (PEI) in the light of a selective conservative
approach, and has the objective to define criteria for nonoperative management
(NOM). METHODS: This retrospective single-center review of patients with
penetrating neck injury treated for confirmed PEI over a 6-year period aimed to
test our proposed hypothesis that NOM is safe for hemodynamically stable patients
with PEI, who have no competing indications for exploration, have no established
sepsis, and who have a water-soluble contrast swallow either showing no- or a
contained extravasation. RESULTS: Eighty-six (9%) patients with PEI (oropharynx,
17; hypopharynx, 40; esophagus, 29) of 948 patients with penetrating neck injury
were included. Of the cohort 38 (44%) underwent NOM (oropharynx, 15 [88%];
hypopharynx, 18 [45%]; esophagus, 5 [17%]), and 48 (56%) were managed
operatively. The median length of stay was 12 days (interquartile range, 19-8).
Fifteen (17%) had a persistent leak and six (7%) mediastinitis. Five (6%)
patients died but only one (1%) had isolated PEI. Retrospectively, 27 patients
fulfilled our proposed criteria for NOM of which 23 had been treated actively by
NOM (oropharynx, 8; hypopharynx, 12; esophagus, 3). For these patients, the
length of stay was 10.0 days (interquartile range, 13-6), and none developed deep
wound sepsis, mediastinitis, persistent leaks, or died. Of the remaining patients
treated by NOM without fulfilling the proposed criteria, two were palliated
(esophagus) and 13 were managed actively (oropharynx, 7; hypopharynx, 6). Only
four of these patients (oropharynx, 1; hypopharynx, 3) were assessed with water
soluble contrast swallow, which showed noncontained extravasation, and three
complicated with persistent leaks. CONCLUSION: Nonoperative management of PEI is
safe for a carefully selected subgroup of patients. However, most injuries to the
caudal part of the cervical digestive tract mandate urgent exploration. LEVEL OF
EVIDENCE: Clinical Management Study, Level V evidence.
PMID- 29787547
TI - Interrupted versus continuous fascial closure in patients undergoing emergent
laparotomy: A randomized controlled trial.
AB - BACKGROUND: The optimal method of fascial closure, interrupted fascial closure
(IFC) versus continuous fascial closure (CFC) has never been studied exclusively
in the setting of emergency surgery. We hypothesized that IFC decreases
postoperative incisional hernia development following emergent laparotomies.
METHODS: Between August 2008 and September 2015, patients undergoing emergent
laparotomies were consented and randomly assigned to either IFC or CFC. Patients
were followed up postoperatively for at least 3 months and assessed for
incisional hernia, dehiscence, or wound infection. We excluded those with trauma,
elective surgery, mesh in place, primary ventral hernia, previous abdominal
surgery within 30 days, or those not expected to survive for more than 48 hours.
Our primary endpoint was the incidence of postoperative incisional hernias.
RESULTS: One hundred thirty-six patients were randomly assigned to IFC (n = 67)
or CFC (n = 69). Baseline characteristics were similar between the groups. No
difference was noted in the length of the abdominal incision, or the peak
inspiratory pressure after the closure. The median time needed for closure was
significantly longer in the IFC group (22 minutes vs. 13 minutes, p < 0.001).
Thirty-seven (55.2%) IFC and 41 (59.4%) CFC patients completed their follow-up
visits. There was no statistically significant difference in baseline and
intraoperative characteristics between those who completed follow-ups and those
who did not. The median time from the day of surgery to the day of the last
follow-up was similar between IFC and CFC (233 days vs. 216 days, p = 0.67), as
were the rates of incisional hernia (13.5% versus 22.0%, p = 0.25), dehiscence
(2.7% vs. 2.4%, p = 1.0), and surgical site infection (16.2% vs. 12.2%, p =
0.75). CONCLUSION: There was no statistically detectable difference in
postoperative hernia development between those undergoing IFC versus CFC after
emergent laparotomies. However, this may be due to the relatively low sample
size. LEVEL OF EVIDENCE: Therapeutic/Care Management Study, level III.
PMID- 29787548
TI - A subset of five human mitochondrial formyl peptides mimics bacterial peptides
and functionally deactivates human neutrophils.
AB - BACKGROUND: Trauma causes inflammation by releasing mitochondria that act as
Danger-Associated Molecular Patterns (DAMPs). Trauma also increases
susceptibility to infection. Human mitochondria contain 13 N-formyl peptides
(mtFPs). We studied whether mtFPs released into plasma by clinical injury induce
neutrophil (PMN) inflammatory responses, whether their potency reflects their
similarity to bacterial FPs and how their presence at clinically relevant
concentration affects PMN function. METHODS: N-terminal sequences of the 13 mtFPs
were synthesized. Changes in human PMN cytosolic Ca concentration ([Ca]i) and
chemotactic responses to mtFPs were studied. Sequence similarity of mtFPs to the
canonical bacterial peptide f-Met-Leu-Phe (fMLF/fMLP) was studied using the
BLOcks SUbstitution Matrix 62 (BLOSUM 62) system. The presence of mtFPs in plasma
of trauma patients was assayed by Enzyme-linked immunosorbent assay (ELISA). The
effects of the most potent mtFP (ND6) on PMN signaling and function were then
studied at ambient clinical concentrations by serial exposure of native PMN to
ND6, chemokines and leukotrienes. RESULTS: Five mtFPs (ND6, ND3, ND4, ND5, and
Cox 1) induced [Ca]i flux and chemotaxis in descending order of potency.
Evolutionary similarity to fMLF predicted [Ca]i flux and chemotactic potency
linearly (R = 0.97, R = 0.95). Chemoattractant potency was also linearly related
to [Ca]i flux induction (R = 0.92). Active mtFPs appear to circulate in
significant amounts immediately after trauma and persist through the first week.
The most active mtFP, ND6, suppresses responses to physiologic alveolar
chemoattractants (CXCL-1, leukotriene B4) as well as to fMLF where CXCL-1 and
leukotriene B4 do not suppress N-formyl peptide receptor (FPR)-1 responses to
mtFPs. Prior FPR-1 inhibition rescues PMN from heterologous suppression of CXCR-1
and BLT-1 by mtFPs. CONCLUSION: The data suggest mtFPs released by injured tissue
may attract PMN to trauma sites while suppressing PMN responses to other
chemoattractants. Inhibition of mtFP-FPR1 interactions might increase PMN
recruitment to lung bacterial inoculation after trauma. These findings suggest
new paradigms for preventing infections after trauma. LEVEL OF EVIDENCE:
Therapeutic, Level IV.
PMID- 29787549
TI - Long-term, patient-centered outcomes of lower-extremity vascular trauma.
AB - OBJECTIVE: To describe the long-term outcomes of military lower-extremity
vascular injuries, and the decision making of surgeons treating these injuries.
BACKGROUND: Lower-extremity vascular trauma is an important cause of preventable
death and severe disability, and decisions on amputation or limb salvage can be
difficult. Additionally, the complexity of the condition is not amenable to
controlled study, and there is limited data to guide clinical decision making and
establish sensible treatment expectations during rehabilitation. METHODS: A
cohort study of 554 US service members who sustained lower-extremity vascular
injury in Iraq or Afghanistan (March 2003 to February 2012) was performed using
the military's trauma registry, its electronic health record, patient interviews,
and quality-of-life surveys. Long-term surgical and functional outcomes, and the
timing and rationale of surgical decisions, were analyzed. RESULTS: Of 579
injured extremities, 49 (8.5%) underwent primary amputation and 530 (91.5%) an
initial attempt at salvage. Ninety extremities underwent secondary amputation,
occurring in the early (n = 60; <30 days) or late (n = 30; >30 days) phases after
injury. For salvage attempts, freedom from amputation 10 years after injury was
82.7% (79.1%-85.7%). Long-term physical and mental health outcomes were similar
between service members who underwent reconstruction and those who underwent
amputation. CONCLUSION: This military experience provides data that will inform
an array of military and civilian providers who care for patients with severe
lower-extremity injury. While the majority salvage attempts endure, success is
hindered by ischemia and necrosis during the acute stage and pain, dysfunction
and infection in the later phases of recovery. LEVEL OF EVIDENCE:
Therapeutic/prognostic, level III.
PMID- 29787550
TI - Timing of surgery and the risk of complications in patients with acute
appendicitis: A population-level case-crossover study.
AB - BACKGROUND: Delays in surgery for patients with acute appendicitis may increase
the risk of perforation and complications. These risks must be balanced with the
theoretical risk of nighttime operations. OBJECTIVE: To determine the effect of
(i) time of day of surgery and (ii) time between triage presentation and surgery,
on surgical complications in patient undergoing appendectomy for appendicitis.
METHODS: Population level data from Ontario from 2009 to 2015 was used to conduct
this retrospective cohort study. The primary exposure was (i) time of day of
surgery and (ii) time between triage and surgery. The primary outcome was a
composite outcome of perioperative complications. Conditional logistic regression
adjusted for patient factors was used to estimate adjusted odds ratios (OR)
between the exposure and complications. RESULTS: Twelve thousand nine hundred
thirty-seven matched pairs (N = 25,874) of adult patients were included. Compared
to day operations, the odds of complications were lower during the evening (OR,
0.90; 95% confidence interval [CI], 0.84-0.96) and no different at night (OR,
0.90; 95% CI, 0.77-1.04). The odds of complications were higher in patients who
waited longer than 24 hours for surgery compared with those who waited less than
6 hours (OR, 1.27; 95% CI, 1.14-1.43) with no increased risk in those who waited
6 hours to 12 hours or 12 hours to 24 hours. CONCLUSION: This large population
study is the first to assess surgical risks using a case-crossover design to
account for the surgeon as a potential confounder. Nighttime surgery and delaying
surgery up to 24 hours were not associated increased risks of complications.
LEVEL OF EVIDENCE: Therapeutic, level III.
PMID- 29787552
TI - Subtotal cholecystectomy as an effective and safe option for complicated
cholecystitis: A 2018 EAST Master Class Video Presentation.
PMID- 29787551
TI - Transfusion of cryopreserved platelets exacerbates inflammatory liver and lung
injury in a mice model of hemorrhage.
AB - BACKGROUND: Platelets are essential for primary hemostasis and also play an
important role in inflammatory reactions. The hemostatic property of
cryopreserved platelets (CPPs) has been confirmed in the treatment of bleeding
casualties, but inflammatory injury induced by CPP transfusion is relatively
unclear. We aim to investigate the effects of CPP transfusion on inflammatory
organ injury in mice after hemorrhage. METHODS: Mice were subjected to a volume
controlled hemorrhage over 1 hour, and then were transfused with fresh platelets
(FPs), Liquid-stored platelets (LPPs), CPPs, or fresh frozen plasma (FFP,
control). At 6 hours posttransfusion, mice were sacrificed, and blood and tissues
were sampled. Tissue sections were examined histologically and by
immunohistochemical staining of neutrophils and macrophages. Plasma alanine
aminotransferase, hepatic myeloperoxidase activity and inflammatory cytokine
levels were measured. RESULTS: Transfusion of stored platelets (LPPs and CPPs)
caused more serious histological injury in liver and lung compared with FPs and
FFP (p < 0.05). However, kidney histological injury was similar among groups.
Significantly higher numbers of Ly-6G-positive neutrophils were detected in liver
and of F4/80-positive macrophages in liver and lung of mice transfused with LPPs
or CPPs compared with FPs or FFP (p < 0.05). Transfusion of CPPs caused the most
severe inflammatory liver injury, as reflected by alanine aminotransferase
levels, hepatic macrophage infiltration, and hepatic myeloperoxidase activity and
inflammatory cytokine levels (macrophage inflammatory protein-2, tumor necrosis
factor-alpha, and interleukin-1beta). CONCLUSION: Cryopreserved platelet
transfusion is more likely to aggravate hemorrhage-induced liver and lung injury
by activating macrophage and facilitating neutrophil infiltration into hepatic
tissues.
PMID- 29787553
TI - Logistics of air medical transport: When and where does helicopter transport
reduce prehospital time for trauma?
AB - BACKGROUND: Trauma is a time sensitive disease. Helicopter emergency medical
services (HEMS) have shown benefit over ground emergency medical services (GEMS),
which may be related to reduced prehospital time. The distance at which this time
benefit emerges depends on many factors that can vary across regions. Our
objective was to determine the threshold distance at which HEMS has shorter
prehospital time than GEMS under different conditions. METHODS: Patients in the
Pennsylvania trauma registry 2000 to 2013 were included. Distance between zip
centroid and trauma center was calculated using straight-line distance for HEMS
and driving distance from geographic information systems network analysis for
GEMS. Contrast margins from linear regression identified the threshold distance
at which HEMS had a significantly lower prehospital time than GEMS, indicated by
nonoverlapping 95% confidence intervals. The effect of peak traffic times and
adverse weather on the threshold distance was evaluated. Geographic effects
across EMS regions were also evaluated. RESULTS: A total of 144,741 patients were
included with 19% transported by HEMS. Overall, HEMS became faster than GEMS at
7.7 miles from the trauma center (p = 0.043). Helicopter emergency medical
services became faster at 6.5 miles during peak traffic (p = 0.025) compared with
7.9 miles during off-peak traffic (p = 0.048). Adverse weather increased the
distance at which HEMS was faster to 17.1 miles (p = 0.046) from 7.3 miles in
clear weather (p = 0.036). Significant variation occurred across EMS regions,
with threshold distances ranging from 5.4 to 35.3 miles. There was an inverse but
non-significant relationship between urban population and threshold distance
across EMS regions (rho, -0.351, p = 0.28). CONCLUSION: This is the first study
to demonstrate that traffic, weather, and geographic region significantly impact
the threshold distance at which HEMS are faster than GEMS. Helicopter emergency
medical services was faster at shorter distances during peak traffic while
adverse weather increased this distance. The threshold distance varied widely
across geographic region. These factors must be considered to guide appropriate
HEMS triage protocols. LEVEL OF EVIDENCE: Therapeutic, level IV.
PMID- 29787554
TI - Western Trauma Association Critical Decisions in Trauma: Management of renal
trauma.
PMID- 29787555
TI - Implementation of a CT scan practice guideline for pediatric trauma patients
reduces unnecessary scans without impacting outcomes.
AB - INTRODUCTION: Computed tomography (CT) scans are useful in the evaluation of
trauma patients, but are costly and pose risks from ionizing radiation in
children. Recent literature has demonstrated the use of CT scan guidelines in the
management of pediatric trauma. The study objective is to review our treatment of
pediatric blunt trauma patients and evaluate CT use before and after CT-guideline
implementation. METHODS: Our Pediatric Level 2 Trauma Center (TC) implemented a
CT scan practice guideline for pediatric trauma patients in March 2014. The
guideline recommended for or against CT of the head and abdomen/pelvis using
published criteria from the Pediatric Emergency Care and Research Network. There
was no chest CT guideline. We reviewed all pediatric trauma patients for CT scans
obtained during initial evaluation before and after guideline implementation,
excluding inpatient scans. The Trauma Registry Database was queried to include
all pediatric (age < 15) trauma patients seen in our TC from 2010 to 2016,
excluding penetrating mechanism and deaths in the TC. Scans were considered
positive if organ injury was detected. Primary outcome was the proportion of
patients undergoing CT and percent positive CTs. Secondary outcomes were hospital
length of stay, readmissions, and mortality. Categorical and continuous variables
were analyzed with chi and Wilcoxon rank-sum tests, respectively. p < 0.05 was
considered significant. RESULTS: We identified 1,934 patients: 1,106 pre- and 828
post-guideline. Absolute reductions in head, chest, and abdomen/pelvis CT scans
were 17.7%, 11.5%, and 18.8%, respectively (p < 0.001). Percent positive head CTs
were equivalent, but percent positive chest and abdomen CT increased after
implementation. Secondary outcomes were unchanged. CONCLUSIONS: Implementation of
a pediatric CT guideline significantly decreases CT use, reducing the radiation
exposure without a difference in outcome. Trauma centers treating pediatric
patients should adopt similar guidelines to decrease unnecessary CT scans in
children. LEVEL OF EVIDENCE: Therapeutic study, level IV.
PMID- 29787556
TI - Unrealized potential of the US military battlefield trauma system: DOW rate is
higher in Iraq and Afghanistan than in Vietnam, but CFR and KIA rate are lower.
AB - LEVEL OF EVIDENCE: Observational/retrospective/historic controls, level IV.
PMID- 29787557
TI - Extending the Golden Hour For Zone 1 Reboa: Improved Survival and Reperfusion
Injury with Intermittent Versus Continuous Reboa in a Porcine Severe Truncal
Hemorrhage Model.
AB - BACKGROUND: Non-compressible hemorrhage can be controlled using resuscitative
endovascular occlusion of the aorta (REBOA). Prolonged ischemia limits REBOA
application during Zone 1 deployment. Intermittent inflation/deflation may
effectively mitigate this problem. METHODS: A lethal abdominal vascular injury
was created in 28 swine. Animals were randomized to controls (n=7), 60min full
REBOA (FR, n=5), time-based intermittent REBOA (iRT, n=7), and pressure-based
REBOA (iRP, n=9). Intermittent groups had an initial inflation for 15min,
followed by 10min inflation: 3min deflation cycles (iRT) or an inflate/deflate
schedule based on blood pressure (MAP)<40mmHg (iRP). Experiments were concluded
after 120min or death (MAP<20mmHg). RESULTS: Intermittent REBOA animals all
survived to 120min versus 15min for controls and 63min for FR(p<0.001). After
60min, FR animals were more hypotensive(MAP 20mmHg vs 80mmHg(iRP) and
100mmHg(iRT), p<0.001), had lower cardiac output(1.06mL/min vs 5.1L/min(iRP) and
8.2L/min(iRT), p<0.001), higher lactate(12.5mg/dL vs 8.5mg/dL(iRP), p=0.02), and
decreased clot firmness on ROTEM than iRP/T(64mm vs 69mm(iRP) and 69mm(iRT),
p=0.04). Acidosis was worse in iRT versus iRP at 120min (pH 7.28 vs 7.12,
p=0.02), improved lactate (11.9mg/dL vs 16.3mg/dL, p=0.04), and decreased whole
blood resuscitation (452cc vs 646cc, p=0.05). Blood loss (clot weight) was higher
in controls (2.0kg) versus iRT and iRP(1.16kg and 1.23kg, p<0.01) and not
different from FR(0.87kg, p=0.10). CONCLUSION: Intermittent REBOA can maintain
supraceliac hemorrhage control while decreasing distal ischemia in a swine model.
Prolonged survival times, decreased acidosis, and lower resuscitation
requirements indicate that this technique could potentially extend Zone 1 REBOA
deployment times. Schedules based on MAP may be superior to time-based regimens.
LEVEL OF EVIDENCE: Not applicable as an animal study. STUDY DESIGN: Original
article.
PMID- 29787558
TI - A new weighted injury severity scoring system: Better predictive power for
pediatric trauma mortality.
AB - BACKGROUND: An accurate injury severity measurement is essential for the
evaluation of pediatric trauma care and outcome research. The traditional Injury
Severity Score (ISS) does not consider the differential risks of the Abbreviated
Injury Scale (AIS) from different body regions nor is it pediatric specific. The
objective of this study was to develop a weighted ISS (wISS) system for pediatric
blunt trauma patients with better predictive power than ISS. METHODS: Based on
the association between mortality and AIS from each of the six ISS body regions,
we generated different weights for the component AIS scores used in the
calculation of ISS. The weights and wISS were generated using the National Trauma
Data Bank. The Nationwide Emergency Department Sample (NEDS) was used to validate
our main results. Pediatric blunt trauma patients younger than 16 years were
included, and mortality was the outcome. Discrimination (areas under the receiver
operating characteristic curve, sensitivity, specificity, positive predictive
value, negative predictive value, concordance) and calibration (Hosmer-Lemeshow
statistic) were compared between the wISS and ISS. RESULTS: The areas under the
receiver operating characteristic curves from the wISS and ISS are 0.88 versus
0.86 in ISS of 1 to 74 and 0.77 versus 0.64 in ISS of 25 to 74 (p < 0.0001). The
wISS showed higher specificity, positive predictive value, negative predictive
value, and concordance when they were compared at similar levels of sensitivity.
The wISS had better calibration (smaller Hosmer-Lemeshow statistic) than the ISS
(11.6 vs. 19.7 for ISS = 1-74 and 10.9 vs. 12.6 for ISS = 25-74). The wISS showed
even better discrimination with the Nationwide Emergency Department Sample.
CONCLUSION: By weighting the AIS from different body regions, the wISS had
significantly better predictive power for mortality than the ISS, especially in
critically injured children. LEVEL OF EVIDENCE AND STUDY TYPE:
Prognostic/epidemiological, level IV.
PMID- 29787559
TI - Peptidoglycan Suppresses Phagocytic Activities and Apoptosis of Macrophages in
Colonic Mucosa Tissues of Crohn's Disease Patients and In Vitro.
AB - BACKGROUND Rac1 signaling plays a crucial role in controlling macrophage
functions in CD. Peptidoglycan triggers several intracellular signaling pathways,
including activation of Rac1, to regulate the function of macrophage. Suppressed
Rac1 signaling in non-inflamed colonic mucosa of Crohn's disease patients has
been shown to correlate with increased innate immunity. MATERIAL AND METHODS We
examined the effect of peptidoglycan on Rac1 signaling in macrophages and mucosal
tissue samples collected from 10 patients with active Crohn's disease and further
investigated the effects of peptidoglycan on apoptosis and phagocytic activities
of macrophages in vitro. RESULTS Macrophage infiltration and Rac1 signaling was
increased in inflamed mucosal tissues of Crohn's disease patients. Immunoblotting
assays revealed that peptidoglycan dose- and time-dependently increased the
expression of Rac1-GTP, phosphorylated VAV1, and phosphorylated PAK1in RAW264.7
macrophages, which, however, was attenuated by 6-thioguanine. Peptidoglycan also
dose-dependently inhibited phagocytic activities of human peripheral blood
monocytic cells (PBMCs), which were partially abated by 6-thioguanine or
NSC23766. Flow cytometry showed that peptidoglycan (3 MUg/mL) decreased the
proportion of apoptotic human PBMCs versus controls. The addition of 6
thioguanine or NSC3766 to peptidoglycan led to a sharper rise in the proportion
of apoptotic human PBMCs than 6-thioguanine or NSC3766 alone. CONCLUSIONS Our
findings suggest that Rac1 signaling is a common molecular target shared by
peptidoglycan and immunosuppressive treatment in intestinal macrophages.
Inhibiting Rac1 activation may be crucial for optimizing macrophage immunity for
treatment of Crohn's disease.
PMID- 29787560
TI - Neutrophils to lymphocytes ratio and platelets to lymphocytes ratio in pregnancy:
A population study.
AB - BACKGROUND: Neutrophils to lymphocytes ratio (NLR) and platelets to lymphocytes
ratio (PLR) are both inflammatory ratios that can be easily calculated from a
simple blood count. They are frequently reported and tested as prognostic factors
in several medical disciplines. Pregnancy involves special reference values for
laboratory assays. OBJECTIVE: The aim of this study was to define pregnancy
related reference values for NLR and PLR according to trimester, background
morbidity and according to the patient's age. STUDY DESIGN: A retrospective
analysis of a large cohort undergoing community-based pregnancy surveillance
between the years 2011-2016. Data were analyzed according to high-risk patient
versus normal-risk patient. RESULTS: A total of 11,415 patients were included.
Mean PLR and NLR values were 136.3+/-44.3, 2.6+/-1, respectively during the first
trimester, 144.6+/-47.1, 4.0+/-1.4 respectively during the second trimester and
118.1+/-42.0, 3.5+/-1.2 respectively during the third trimester. No difference
was detected between the high-risk and the normal population (P-values 0.3, 0.5
and 0.4 for PLR in each trimester respectively and 0.3, 0.4, 0.6 for NLR in each
trimester, respectively). No differences were detected among parity categories.
The correlation between patient's age and either PLR and NLR was a weak positive
correlation (though statistically significant). Both PLR and NLR reached a
maximum value during the second trimester. The differences between mean NLR and
PLR between trimesters were significant (P <0.01 for all differences tested). PLR
rises in the presence of anemia, reaching statistical significance (P-value for
PLR in each trimester was <0.01). NLR showed an opposite trend (P-values for NLR
were 0.4, 0.005 and 0.06 in each trimester, respectively). CONCLUSIONS: In our
cohort, there were generally no differences between the high-risk and the normal
population, excluding patients with a fibroid uterus or inflammatory bowel
disease who presented a significantly elevated PLR through all trimesters. Both
PLR and NLR reached a maximum value during the second trimester and were
positively correlated with age. We anticipate that the population-based data will
assist in providing accurate reference values for future research testing NLR and
PLR measures during pregnancy.
PMID- 29787562
TI - A thirty year, fine-scale, characterization of area burned in Canadian forests
shows evidence of regionally increasing trends in the last decade.
AB - Fire as a dominant disturbance has profound implications on the terrestrial
carbon cycle. We present the first ever multi-decadal, spatially-explicit, 30
meter assessment of fire regimes across the forested ecoregions of Canada at an
annual time-step. From 1985 to 2015, 51 Mha burned, impacting over 6.5% of
forested ecosystems. Mean annual area burned was 1,651,818 ha and varied markedly
(sigma = 1,116,119), with 25% of the total area burned occurring in three years:
1989, 1995, and 2015. Boreal forest types contained 98% of the total area burned,
with the conifer-dominated Boreal Shield containing one-third of all burned area.
While results confirm no significant national trend in burned area for the period
of 1985 to 2015, a significant national increasing trend (alpha = 0.05) of 11%
per year was evident for the past decade (2006 to 2015). Regionally, a
significant increasing trend in total burned area from 1985 to 2015 was observed
in the Montane Cordillera (2.4% increase per year), while the Taiga Plains and
Taiga Shield West displayed significant increasing trends from 2006 to 2015
(26.1% and 12.7% increases per year, respectively). The Atlantic Maritime, which
had the lowest burned area of all ecozones (0.01% burned per year), was the only
ecozone to display a significant negative trend (2.4% decrease per year) from
1985 to 2015. Given the century-long fire return intervals in many of these
ecozones, and large annual variability in burned area, short-term trends need to
be interpreted with caution. Additional interpretive cautions are related to year
used for trend initiation and the nature and extents of spatial regionalizations
used for summarizing findings. The results of our analysis provide a baseline for
monitoring future national and regional trends in burned area and offer spatially
and temporally detailed insights to inform science, policy, and management.
PMID- 29787561
TI - Interplay of strain and race/ethnicity in the innate immune response to M.
tuberculosis.
AB - BACKGROUND: The roles of host and pathogen factors in determining innate immune
responses to M. tuberculosis are not fully understood. In this study, we examined
host macrophage immune responses of 3 race/ethnic groups to 3 genetically and
geographically diverse M. tuberculosis lineages. METHODS: Monocyte-derived
macrophages from healthy Filipinos, Chinese and non-Hispanic White study
participants (approximately 45 individuals/group) were challenged with M.
tuberculosis whole cell lysates of clinical strains Beijing HN878 (lineage 2),
Manila T31 (lineage 1), CDC1551 (lineage 4), the reference strain H37Rv (lineage
4), as well as with Toll-like receptor 2 agonist lipoteichoic acid (TLR2/LTA) and
TLR4 agonist lipopolysaccharide (TLR4/LPS). Following overnight incubation,
multiplex assays for nine cytokines: IL-1beta, IL-2, IL-6, IL-8, IL-10, IL-12p70,
IFNgamma, TNFalpha, and GM-CSF, were batch applied to supernatants. RESULTS:
Filipino macrophages produced less IL-1, IL-6, and more IL-8, compared to
macrophages from Chinese and Whites. Race/ethnicity had only subtle effects or no
impact on the levels of IL-10, IL-12p70, TNFalpha and GM-CSF. In response to the
Toll-like receptor 2 agonist lipoteichoic acid (TLR2/LTA), Filipino macrophages
again had lower IL-1 and IL-6 responses and a higher IL-8 response, compared to
Chinese and Whites. The TLR2/LTA-stimulated Filipino macrophages also produced
lower amounts of IL-10, TNFalpha and GM-CSF. Race/ethnicity had no impact on IL
12p70 levels released in response to TLR2/LTA. The responses to TLR4 agonist
lipopolysaccharide (TLR4/LPS) were similar to the TLR2/LTA responses, for IL-1,
IL-6, IL-8, and IL-10. However, TLR4/LPS triggered the release of less IL-12p70
from Filipino macrophages, and less TNFalpha from White macrophages. CONCLUSIONS:
Both host race/ethnicity and pathogen strain influence the innate immune
response. Such variation may have implications for the development of new tools
across TB therapeutics, immunodiagnostics and vaccines.
PMID- 29787563
TI - Graph complexity analysis identifies an ETV5 tumor-specific network in human and
murine low-grade glioma.
AB - Conventional differential expression analyses have been successfully employed to
identify genes whose levels change across experimental conditions. One limitation
of this approach is the inability to discover central regulators that control
gene expression networks. In addition, while methods for identifying central
nodes in a network are widely implemented, the bioinformatics validation process
and the theoretical error estimates that reflect the uncertainty in each step of
the analysis are rarely considered. Using the betweenness centrality measure, we
identified Etv5 as a potential tissue-level regulator in murine neurofibromatosis
type 1 (Nf1) low-grade brain tumors (optic gliomas). As such, the expression of
Etv5 and Etv5 target genes were increased in multiple independently-generated
mouse optic glioma models relative to non-neoplastic (normal healthy) optic
nerves, as well as in the cognate human tumors (pilocytic astrocytoma) relative
to normal human brain. Importantly, differential Etv5 and Etv5 network expression
was not directly the result of Nf1 gene dysfunction in specific cell types, but
rather reflects a property of the tumor as an aggregate tissue. Moreover, this
differential Etv5 expression was independently validated at the RNA and protein
levels. Taken together, the combined use of network analysis, differential RNA
expression findings, and experimental validation highlights the potential of the
computational network approach to provide new insights into tumor biology.
PMID- 29787565
TI - Expression, purification, and inhibition profile of dihydrofolate reductase from
the filarial nematode Wuchereria bancrofti.
AB - Filariasis is a tropical disease caused by the parasitic nematodes Wuchereria
bancrofti and Brugia malayi. Known inhibitors of dihydrofolate reductase (DHFR)
have been previously shown to kill Brugia malayi nematodes and to inhibit Brugia
malayi DHFR (BmDHFR) at nanomolar concentrations. These data suggest that BmDHFR
is a potential target for the treatment of filariasis. Here, protocols for
cloning, expression and purification of Wuchereria bancrofti DHFR (WbDHFR) were
developed. The Uniprot entry J9F199-1 predicts a 172 amino acid protein for
WbDHFR but alignment of this sequence to the previously described BmDHFR shows
that this WbDHFR sequence lacks a crucial, conserved 13 amino acid loop. The
presence of the loop in WbDHFR is supported by a noncanonical splicing event and
the loop sequence was therefore included in the gene design. Subsequently, the KM
for dihydrofolate (3.7 +/- 2 MUM), kcat (7.4 +/- 0.6 s-1), and pH dependence of
activity were determined. IC50 values of methotrexate, trimethoprim,
pyrimethamine, raltitrexed, aminopterin, (-)-epicatechin gallate, (-)
epicatechin, and vitexin were measured for WbDHFR and BmDHFR. Methotrexate and
structurally related aminopterin were found to be effective inhibitors of WbDHFR,
with an KI of 1.2 +/- 0.2 nM and 2.1 +/- 0.5 nM, respectively, suggesting that
repurposing of known antifolate compound may be an effective strategy to treating
filariasis. Most compounds showed similar inhibition profiles toward both
enzymes, suggesting that the two enzymes have important similarities in their
active site environments and can be targeted with the same compound, once a
successful inhibitor is identified.
PMID- 29787564
TI - Microbial micropatches within microbial hotspots.
AB - The spatial distributions of organism abundance and diversity are often
heterogeneous. This includes the sub-centimetre distributions of microbes, which
have 'hotspots' of high abundance, and 'coldspots' of low abundance. Previously
we showed that 300 MUl abundance hotspots, coldspots and background regions were
distinct at all taxonomic levels. Here we build on these results by showing
taxonomic micropatches within these 300 MUl microscale hotspots, coldspots and
background regions at the 1 MUl scale. This heterogeneity among 1 MUl subsamples
was driven by heightened abundance of specific genera. The micropatches were most
pronounced within hotspots. Micropatches were dominated by Pseudomonas,
Bacteroides, Parasporobacterium and Lachnospiraceae incertae sedis, with
Pseudomonas and Bacteroides being responsible for a shift in the most dominant
genera in individual hotspot subsamples, representing up to 80.6% and 47.3%
average abundance, respectively. The presence of these micropatches implies the
ability these groups have to create, establish themselves in, or exploit
heterogeneous microenvironments. These genera are often particle-associated, from
which we infer that these micropatches are evidence for sub-millimetre aggregates
and the aquatic polymer matrix. These findings support the emerging paradigm that
the microscale distributions of planktonic microbes are numerically and
taxonomically heterogeneous at scales of millimetres and less. We show that
microscale microbial hotspots have internal structure within which specific local
nutrient exchanges and cellular interactions might occur.
PMID- 29787566
TI - Influence of learning strategy on response time during complex value-based
learning and choice.
AB - Measurements of response time (RT) have long been used to infer neural processes
underlying various cognitive functions such as working memory, attention, and
decision making. However, it is currently unknown if RT is also informative about
various stages of value-based choice, particularly how reward values are
constructed. To investigate these questions, we analyzed the pattern of RT during
a set of multi-dimensional learning and decision-making tasks that can prompt
subjects to adopt different learning strategies. In our experiments, subjects
could use reward feedback to directly learn reward values associated with
possible choice options (object-based learning). Alternatively, they could learn
reward values of options' features (e.g. color, shape) and combine these values
to estimate reward values for individual options (feature-based learning). We
found that RT was slower when the difference between subjects' estimates of
reward probabilities for the two alternative objects on a given trial was
smaller. Moreover, RT was overall faster when the preceding trial was rewarded or
when the previously selected object was present. These effects, however, were
mediated by an interaction between these factors such that subjects were faster
when the previously selected object was present rather than absent but only after
unrewarded trials. Finally, RT reflected the learning strategy (i.e. object-based
or feature-based approach) adopted by the subject on a trial-by-trial basis,
indicating an overall faster construction of reward value and/or value comparison
during object-based learning. Altogether, these results demonstrate that the
pattern of RT can be informative about how reward values are learned and
constructed during complex value-based learning and decision making.
PMID- 29787568
TI - Using Discursis to enhance the qualitative analysis of hospital pharmacist
patient interactions.
AB - INTRODUCTION: Pharmacist-patient communication during medication counselling has
been successfully investigated using Communication Accommodation Theory (CAT).
Communication researchers in other healthcare professions have utilised Discursis
software as an adjunct to their manual qualitative analysis processes. Discursis
provides a visual, chronological representation of communication exchanges and
identifies patterns of interactant engagement. AIM: The aim of this study was to
describe how Discursis software was used to enhance previously conducted
qualitative analysis of pharmacist-patient interactions (by visualising
pharmacist-patient speech patterns, episodes of engagement, and identifying CAT
strategies employed by pharmacists within these episodes). METHODS: Visual plots
from 48 transcribed audio recordings of pharmacist-patient exchanges were
generated by Discursis. Representative plots were selected to show moderate-high
and low- level speaker engagement. Details of engagement were investigated for
pharmacist application of CAT strategies (approximation, interpretability,
discourse management, emotional expression, and interpersonal control). RESULTS:
Discursis plots allowed for identification of distinct patterns occurring within
pharmacist-patient exchanges. Moderate-high pharmacist-patient engagement was
characterised by multiple off-diagonal squares while alternating single coloured
squares depicted low engagement. Engagement episodes were associated with
multiple CAT strategies such as discourse management (open-ended questions).
Patterns reflecting pharmacist or patient speaker dominance were dependant on
clinical setting. DISCUSSION AND CONCLUSIONS: Discursis analysis of pharmacist
patient interactions, a novel application of the technology in health
communication, was found to be an effective visualisation tool to pin-point
episodes for CAT analysis. Discursis has numerous practical and theoretical
applications for future health communication research and training. Researchers
can use the software to support qualitative analysis where large data sets can be
quickly reviewed to identify key areas for concentrated analysis. Because
Discursis plots are easily generated from audio recorded transcripts, they are
conducive as teaching tools for both students and practitioners to assess and
develop their communication skills.
PMID- 29787567
TI - Automated method to differentiate between native and mirror protein models
obtained from contact maps.
AB - Mirror protein structures are often considered as artifacts in modeling protein
structures. However, they may soon become a new branch of biochemistry. Moreover,
methods of protein structure reconstruction, based on their residue-residue
contact maps, need methodology to differentiate between models of native and
mirror orientation, especially regarding the reconstructed backbones. We analyzed
130 500 structural protein models obtained from contact maps of 1 305 SCOP
domains belonging to all 7 structural classes. On average, the same numbers of
native and mirror models were obtained among 100 models generated for each
domain. Since their structural features are often not sufficient for
differentiating between the two types of model orientations, we proposed to apply
various energy terms (ETs) from PyRosetta to separate native and mirror models.
To automate the procedure for differentiating these models, the k-means
clustering algorithm was applied. Using total energy did not allow to obtain
appropriate clusters-the accuracy of the clustering for class A (all helices) was
no more than 0.52. Therefore, we tested a series of different k-means clusterings
based on various combinations of ETs. Finally, applying two most differentiating
ETs for each class allowed to obtain satisfying results. To unify the method for
differentiating between native and mirror models, independent of their structural
class, the two best ETs for each class were considered. Finally, the k-means
clustering algorithm used three common ETs: probability of amino acid assuming
certain values of dihedral angles Phi and Psi, Ramachandran preferences and
Coulomb interactions. The accuracies of clustering with these ETs were in the
range between 0.68 and 0.76, with sensitivity and selectivity in the range
between 0.68 and 0.87, depending on the structural class. The method can be
applied to all fully-automated tools for protein structure reconstruction based
on contact maps, especially those analyzing big sets of models.
PMID- 29787570
TI - Adjuvant chemotherapy-Radiotherapy-Chemotherapy sandwich protocol in resectable
soft tissue sarcoma: An updated single-center analysis of 104 cases.
AB - Adjuvant therapy of local soft tissue sarcomas (STS) after wide surgical excision
still is a topic under controversial scientific debate. In this single center
report we have offered an adjuvant "sandwich" therapy protocol consisting of 4
cycles of doxorubicin (75 mg/m2 i.v. over 1 h on day 1) followed by ifosfamide (5
g/m2 i.v. over 24 h starting on day 1) and local radiotherapy scheduled between
chemotherapy cycles 2 and 3 to 104 consecutive patients after wide surgical
excision (R0) of histologically proven high-grade STS. After a mean follow-up of
39 months (range 5-194 months) relapse free survival (RFS) at 2 and 5 years was
68.1% (95% CI, 58.5-77.7%) and 61.2% (95% CI, 50.4-71.6%). When analyzing the 82
STS cases of the extremities only 2- and 5-year RFS was 74.0% (95% CI, 64.0
84.0%) and 65.3% (95% CI, 53.7-76.9%). By intent-to-treat analysis, the overall
survival (OS) at 2 years was 87.3% (95% CI, 80.5-94.1%) and 75.6% (95% CI, 65.2
86.0%) at 5 years, while OS for STS of the extremities only cohort was 90.5% (95%
CI, 83.7-97.3%) and 79.0% (95% CI, 68.4-89.6%), respectively. Tolerability of the
treatment was good. This analysis demonstrates the feasibility of adjuvant
chemoradiotherapy and reflects the results of the long lasting intensive
multidisciplinary team approach at our "high-volume" sarcoma center. The long
term survival in our patients is among the highest reported and the low local and
distant recurrence rate in high-risk STS is at least comparable to the published
data.
PMID- 29787571
TI - RNAi screening of subtracted transcriptomes reveals tumor suppression by taurine
activated GABAA receptors involved in volume regulation.
AB - To identify coding and non-coding suppressor genes of anchorage-independent
proliferation by efficient loss-of-function screening, we have developed a method
for enzymatic production of low complexity shRNA libraries from subtracted
transcriptomes. We produced and screened two LEGO (Low-complexity by Enrichment
for Genes shut Off) shRNA libraries that were enriched for shRNA vectors
targeting coding and non-coding polyadenylated transcripts that were reduced in
transformed Mouse Embryonic Fibroblasts (MEFs). The LEGO shRNA libraries included
~25 shRNA vectors per transcript which limited off-target artifacts. Our method
identified 79 coding and non-coding suppressor transcripts. We found that taurine
responsive GABAA receptor subunits, including GABRA5 and GABRB3, were induced
during the arrest of non-transformed anchor-deprived MEFs and prevented
anchorless proliferation. We show that taurine activates chloride currents
through GABAA receptors on MEFs, causing seclusion of cell volume in large
membrane protrusions. Volume seclusion from cells by taurine correlated with
reduced proliferation and, conversely, suppression of this pathway allowed
anchorage-independent proliferation. In human cholangiocarcinomas, we found that
several proteins involved in taurine signaling via GABAA receptors were
repressed. Low GABRA5 expression typified hyperproliferative tumors, and loss of
taurine signaling correlated with reduced patient survival, suggesting this tumor
suppressive mechanism operates in vivo.
PMID- 29787572
TI - TDP-43 causes neurotoxicity and cytoskeletal dysfunction in primary cortical
neurons.
AB - TDP-43-mediated proteinopathy is a key factor in the pathology of amyotrophic
lateral sclerosis (ALS). A potential underlying mechanism is dysregulation of the
cytoskeleton. Here we investigate the effects of expressing TDP-43 wild-type and
M337V and Q331K mutant isoforms on cytoskeletal integrity and function, using rat
cortical neurons in vitro. We find that TDP-43 protein becomes mislocalised in
axons over 24-72 hours in culture, with protein aggregation occurring at later
timepoints (144 hours). Quantitation of cell viability showed toxicity of both
wild-type and mutant constructs which increased over time, especially of the
Q331K mutant isoform. Analysis of the effects of TDP-43 on axonal integrity
showed that TDP-43-transfected neurons had shorter axons than control cells, and
that growth cone sizes were smaller. Axonal transport dynamics were also impaired
by transfection with TDP-43 constructs. Taken together these data show that TDP
43 mislocalisation into axons precedes cell death in cortical neurons, and that
cytoskeletal structure and function is impaired by expression of either TDP-43
wild-type or mutant constructs in vitro. These data suggest that dysregulation of
cytoskeletal and neuronal integrity is an important mechanism for TDP-43-mediated
proteinopathy.
PMID- 29787569
TI - Association between IL-6 production in synovial explants from rheumatoid
arthritis patients and clinical and imaging response to biologic treatment: A
pilot study.
AB - INTRODUCTION: The need for biomarkers which can predict disease course and
treatment response in rheumatoid arthritis (RA) is evident. We explored whether
clinical and imaging responses to biologic disease modifying anti-rheumatic drug
treatment (bDMARD) were associated with the individual's mediator production in
explants obtained at baseline. METHODS: RA Patients were evaluated by disease
activity score 28 joint C-reactive protein (DAS 28-)), colour Doppler ultrasound
(CDUS) and 3 Tesla RA magnetic resonance imaging scores (RAMRIS). Explants were
established from synovectomies from a needle arthroscopic procedure prior to
initiation of bDMARD. Explants were incubated with the bDMARD in question, and
the productions of interleukin-6 (IL-6), monocyte chemo-attractive protein-1 (MCP
1) and macrophage inflammatory protein-1-beta (MIP-1b) were measured by multiplex
immunoassays. The changes in clinical and imaging variables following a minimum
of 3 months bDMARD treatment were compared to the baseline explant results. Mixed
models and Spearman's rank correlations were performed. P-values below 0.05 were
considered statistically significant. RESULTS: 16 patients were included. IL-6
production in bDMARD-treated explants was significantly higher among clinical non
responders compared to responders (P = 0.04), and a lack of suppression of IL-6
by the bDMARDS correlated to a high DAS-28 (rho = 0.57, P = 0.03), CDUS (rho =
0.53, P = 0.04) and bone marrow oedema (rho = 0.56, P = 0.03) at follow-up. No
clinical association was found with explant MCP-1 production. MIP-1b could not be
assessed due to a large number of samples below the detection limit. CONCLUSIONS:
Synovial explants appear to deliver a disease-relevant output testing which when
carried out in advance of bDMARD treatment can potentially pave the road for a
more patient tailored treatment approach with better treatment effects.
PMID- 29787573
TI - Male resource defense mating system in primates? An experimental test in wild
capuchin monkeys.
AB - Ecological models of mating systems provide a theoretical framework to predict
the effect of the defendability of both breeding resources and mating partners on
mating patterns. In resource-based mating systems, male control over breeding
resources is tightly linked to female mating preference. To date, few field
studies have experimentally investigated the relationship between male resource
control and female mating preference in mammals due to difficulties in
manipulating ecological factors (e.g., food contestability). We tested the within
group male resource defense hypothesis experimentally in a wild population of
black capuchin monkeys (Sapajus nigritus) in Iguazu National Park, Argentina.
Sapajus spp. represent an ideal study model as, in contrast to most primates,
they have been previously argued to be characterized by female mate choice and a
resource-based mating system in which within-group resource monopolization by
high-ranking males drives female mating preference for those males. Here, we
examined whether females (N = 12) showed a weaker preference for alpha males
during mating seasons in which food distribution was experimentally manipulated
to be less defendable relative to those in which it was highly defendable.
Results did not support the within-group male resource defense hypothesis, as
female sexual preferences for alpha males did not vary based on food
defendability. We discuss possible reasons for our results, including the
possibility of other direct and indirect benefits females receive in exercising
mate choice, the potential lack of tolerance over food directed towards females
by alpha males, and phylogenetic constraints.
PMID- 29787574
TI - Child morbidity and mortality associated with alternative policy responses to the
economic crisis in Brazil: A nationwide microsimulation study.
AB - BACKGROUND: Since 2015, a major economic crisis in Brazil has led to increasing
poverty and the implementation of long-term fiscal austerity measures that will
substantially reduce expenditure on social welfare programmes as a percentage of
the country's GDP over the next 20 years. The Bolsa Familia Programme (BFP)-one
of the largest conditional cash transfer programmes in the world-and the
nationwide primary healthcare strategy (Estrategia Saude da Familia [ESF]) are
affected by fiscal austerity, despite being among the policy interventions with
the strongest estimated impact on child mortality in the country. We investigated
how reduced coverage of the BFP and ESF-compared to an alternative scenario where
the level of social protection under these programmes is maintained-may affect
the under-five mortality rate (U5MR) and socioeconomic inequalities in child
health in the country until 2030, the end date of the Sustainable Development
Goals. METHODS AND FINDINGS: We developed and validated a microsimulation model,
creating a synthetic cohort of all 5,507 Brazilian municipalities for the period
2017-2030. This model was based on the longitudinal dataset and effect estimates
from a previously published study that evaluated the effects of poverty, the BFP,
and the ESF on child health. We forecast the economic crisis and the effect of
reductions in BFP and ESF coverage due to current fiscal austerity on the U5MR,
and compared this scenario with a scenario where these programmes maintain the
levels of social protection by increasing or decreasing with the size of Brazil's
vulnerable populations (policy response scenarios). We used fixed effects
multivariate regression models including BFP and ESF coverage and accounting for
secular trends, demographic and socioeconomic changes, and programme duration
effects. With the maintenance of the levels of social protection provided by the
BFP and ESF, in the most likely economic crisis scenario the U5MR is expected to
be 8.57% (95% CI: 6.88%-10.24%) lower in 2030 than under fiscal austerity-a
cumulative 19,732 (95% CI: 10,207-29,285) averted under-five deaths between 2017
and 2030. U5MRs from diarrhoea, malnutrition, and lower respiratory tract
infections are projected to be 39.3% (95% CI: 36.9%-41.8%), 35.8% (95% CI: 31.5%
39.9%), and 8.5% (95% CI: 4.1%-12.0%) lower, respectively, in 2030 under the
maintenance of BFP and ESF coverage, with 123,549 fewer under-five
hospitalisations from all causes over the study period. Reduced coverage of the
BFP and ESF will also disproportionately affect U5MR in the most vulnerable
areas, with the U5MR in the poorest quintile of municipalities expected to be
11.0% (95% CI: 8.0%-13.8%) lower in 2030 under the maintenance of BFP and ESF
levels of social protection than under fiscal austerity, compared to no
difference in the richest quintile. Declines in health inequalities over the last
decade will also stop under a fiscal austerity scenario: the U5MR concentration
index is expected to remain stable over the period 2017-2030, compared to a 13.3%
(95% CI: 5.6%-21.8%) reduction under the maintenance of BFP and ESF levels of
protection. Limitations of our analysis are the ecological nature of the study,
uncertainty around future macroeconomic scenarios, and potential changes in other
factors affecting child health. A wide range of sensitivity analyses were
conducted to minimise these limitations. CONCLUSIONS: The implementation of
fiscal austerity measures in Brazil can be responsible for substantively higher
childhood morbidity and mortality than expected under maintenance of social
protection-threatening attainment of Sustainable Development Goals for child
health and reducing inequality.
PMID- 29787575
TI - Safety of intravenous alteplase within 4.5 hours for patients awakening with
stroke symptoms.
AB - BACKGROUND: Up to 25% of acute stroke patients first note symptoms upon
awakening. We hypothesized that patients awaking with stroke symptoms may be
safely treated with intravenous alteplase (IV tPA) using non-contrast head CT
(NCHCT), if they meet all other standard criteria. METHODS: The SAfety of
Intravenous thromboLytics in stroke ON awakening (SAIL ON) was a prospective,
open-label, single treatment arm, pilot safety trial of standard dose IV tPA in
patients who presented with stroke symptoms within 0-4.5 hours of awakening. From
January 30, 2013, to September 1, 2015, twenty consecutive wakeup stroke patients
selected by NCHCT were enrolled. The primary outcome was symptomatic
intracerebral hemorrhage (sICH) in the first 36 hours. Secondary outcomes
included NIH stroke scale (NIHSS) at 24 hours; and modified Rankin Score (mRS),
NIHSS, and Barthel index at 90 days. RESULTS: The average age was 65 years (range
47-83); 40% were women; 50% were African American. The average NIHSS was 6 (range
4-11). The average time from wake-up to IV tPA was 205 minutes (range 114-270).
The average time from last known well to IV tPA was 580 minutes (range 353-876).
The median mRS at 90 days was 1 (range 0-5). No patients had sICH; two of 20
(10%) had asymptomatic ICH on routine post IV tPA brain imaging. CONCLUSIONS:
Administration of IV tPA was feasible and may be safe in wakeup stroke patients
presenting within 4.5 hours from awakening, screened with NCHCT. An adequately
powered randomized clinical trial is needed. CLINICAL TRIAL REGISTRATION:
ClinicalTrials.gov NCT01643902.
PMID- 29787576
TI - Flotillin proteins recruit sphingosine to membranes and maintain cellular
sphingosine-1-phosphate levels.
AB - Sphingosine-1-phosphate (S1P) is an important lipid signalling molecule. S1P is
produced via intracellular phosphorylation of sphingosine (Sph). As a lipid with
a single fatty alkyl chain, Sph may diffuse rapidly between cellular membranes
and through the aqueous phase. Here, we show that the absence of microdomains
generated by multimeric assemblies of flotillin proteins results in reduced S1P
levels. Cellular phenotypes of flotillin knockout mice, including changes in
histone acetylation and expression of Isg15, are recapitulated when S1P synthesis
is perturbed. Flotillins bind to Sph in vitro and increase recruitment of Sph to
membranes in cells. Ectopic re-localisation of flotillins within the cell causes
concomitant redistribution of Sph. The data suggest that flotillins may directly
or indirectly regulate cellular sphingolipid distribution and signalling.
PMID- 29787577
TI - Analysis of microRNAs in familial Mediterranean fever.
AB - OBJECTIVES: Although Familial Mediterranean fever (FMF) is categorized as
autosomal recessive, frequent exceptions to this model exist and therefore we
aimed to search epigenetic modifications in this disease. METHODS: Ten M694V
homozygous FMF patients (the most severe phenotype) were recruited for this
study. Patients with inflammatory flare were excluded. Total RNA was extracted
from peripheral blood, and microRNA expression profiled using NanoString nCounter
technology. These patients were compared to 10 healthy age- and sex-matched
controls. RESULTS: Seven hundred nighty-eight mature human miRNAs were probed,
103 of which had expression levels above the negative control probes. Seven
miRNAs showed significant differences in expression in samples from FMF patients
compared to healthy controls: four miRNAs were upregulated (miR-144-3p, miR-21
5p, miR-4454, and miR-451a), and three were downregulated (miR-107, let-7d-5p,
and miR-148b-3p). CONCLUSION: In this pilot study, we identified epigenetic
modifications in clinically quiescent FMF patients. More studies are required for
exploration of their contribution to FMF pathogenesis and their potential role as
clinical biomarkers.
PMID- 29787579
TI - Conformity of package inserts information to regulatory requirements among
selected branded and generic medicinal products circulating on the East African
market.
AB - BACKGROUND: Availability of correct and adequate information about medicines is
an important aspect in ensuring rational use of medicines and hence facilitating
safety and expected efficacy of medicines during therapy. Package inserts have
proven to be a good source of information to the prescribers and patients whereby
they have been useful in highlighting important information pertaining proper use
and handling of the medicines. The present study was aimed at establishing the
extent to which package inserts of medicines circulating on the markets of the
East African Community (EAC) Partner States conform to medicines information
requirements as established in the harmonized guidelines as well as national
guidelines. METHODS: A total of 99 package inserts from six (6) types of
medicines namely Albendazole, Artemether/Lumefantrine (ALu), Ciprofloxacin,
Paracetamol, Amoxicillin and Metronidazole were purposefully collected from three
EAC Partner States: Kenya, Tanzania and Uganda. The medicines were selected based
on their indications as first line treatments, high rates of utilization within
the medicines supply system and their positions in treatment of diseases of
public importance across EAC Partner States. The inserts were evaluated on the
availability of information regarding fifteen (15) parameters as extracted from
the EAC harmonized guidelines for registration of medicines. Moreover,
comparisons were made between the percentage conformity of the branded versus
generic products, markets from which the samples were collected, origin of the
manufacturer and type of medicine. RESULTS: Majority (93.9-100%) of the
medicines' package inserts highly conformed to the inclusion of the information
regarding the description and composition of the medications, indications, dosage
and methods of administration, warnings and precautions, contraindications and
storage conditions. However, the information on handling and disposal, container
package description, excipients used, clinical pharmacology of the medicines, and
directions regarding overdose ranked the least in conformance with conformity
ranging from 13.1-52.5%. The parameter with the lowest observed percentage
conformity among the branded products scored 50% as compared to 10.8% among the
generic products. Moreover, there was no significant difference (P<0.05) in the
percentage conformity of the package inserts collected from each of the three
Partner States as compared to the average from studied medicines. A generally
good conformity was observed among medicines manufactured by European based
manufacturers as compared to those based in Asia and EAC Partner States. In
addition, PIs of Albendazole, Ciprofloxacin, Amoxicillin and
Artemether/Lumefantrine did show overall high conformity across most of the
product information requirements. CONCLUSION: Our study revealed the existence of
a significant number of medicinal products circulating on the markets of EAC
Partner States without necessary compliance with all product information
requirements. We therefore recommend that NMRAs ensure thorough pre-market
assessment of product information as well as strengthening their post marketing
surveillance to ensure that medicines circulating on the market comply to
medicines information requirements at all times. Emphasis should also be given to
manufacturers on the importance of inclusion of appropriate and adequate product
information for the safety of patients, including advocating for inclusion of
patient-friendly and easy to understand medicines information.
PMID- 29787578
TI - mTh1 driven expression of hTDP-43 results in typical ALS/FTLD neuropathological
symptoms.
AB - Transgenic mouse models are indispensable tools to mimic human diseases and
analyze the effectiveness of related new drugs. For a long time amyotrophic
lateral sclerosis (ALS) research depended on only a few mouse models that exhibit
a very strong and early phenotype, e.g. SOD1 mice, resulting in a short treatment
time window. By now, several models are available that need to be characterized
to highlight characteristics of each model. Here we further characterized the
mThy1-hTDP-43 transgenic mouse model TAR6/6 that overexpresses wild type human
TARDBP, also called TDP-43, under control of the neuronal Thy-1 promoter
presented by Wils and colleagues, 2010, by using biochemical, histological and
behavioral readouts. Our results show that TAR6/6 mice exhibit a strong TDP-43
expression in the hippocampus, spinal cord, hypothalamus and medulla oblongata.
Apart from prominent protein expression in the nucleus, TDP-43 protein was found
at lower levels in the cytosol of transgenic mice. Additionally, we detected
insoluble TDP-43 in the cortex, motoneuron loss, and increased neuroinflammation
in the central nervous system of TAR6/6 animals. Behavioral analyses revealed
early motor deficits in the clasping- and wire suspension test as well as
decreased anxiety in the elevated plus maze. Further motor tests showed
differences at later time points compared to non-transgenic littermates, thus
allowing the observation of onset and severity of such deficits. Together, TAR6/6
mice are a valuable tool to test new ALS/FTLD drugs that target TDP-43 expression
and insolubility, neuroinflammation, motoneuron loss or other TDP-43 related
downstream signaling pathways since these mice exhibit a later pathology as
previously used ALS/FTLD mouse models.
PMID- 29787580
TI - Risk behaviors and HIV care continuum outcomes among criminal justice-involved
HIV-infected transgender women and cisgender men: Data from the Seek, Test,
Treat, and Retain Harmonization Initiative.
AB - BACKGROUND: Transgender persons are highly victimized, marginalized,
disproportionately experience incarceration, and have alarmingly increased rates
of HIV infection compared to cis-gender persons. Few studies have examined the
HIV care continuum outcomes among transgender women (TW), particularly TW who are
involved with the criminal justice (CJ) system. METHODS: To improve our
understanding of HIV care continuum outcomes and risk behaviors among HIV
infected TW who are involved with the CJ system, we analyzed data from the
National Institute on Drug Abuse-supported Seek, Test, Treat, Retain (STTR) Data
Harmonization Initiative. Baseline data were pooled and analyzed from three U.S.
STTR studies to examine HIV risk and care continuum indicators among CJ-involved
HIV-infected TW compared to cisgender men (CM), matched on age (within 5 years)
and study at a ratio of 1:5. RESULTS: Eighty-eight TW and 440 CM were included in
the study. Among matched participants, TW were more likely to report crack and
cocaine use compared to CM (40%,16% respectively, p<0.001); both TW and CM
reported high rates of condomless sex (58%, 64%, respectively); TW were more
likely than CM to have more than one sexual partner (OR = 2.9, 95% CI: 1.6, 5.2;
p<0.001) and have engaged in exchange sex (OR = 3.9, 95% CI: 2.3, 6.6; p<0.001).
There were no significant differences between TW and CM in the percentage
currently taking ART (52%, 49%, respectively), the mean percent adherence to ART
(77% for both groups), and the proportion who achieved viral suppression (61%,
58%, respectively). CONCLUSIONS: HIV-infected CJ-involved TW and CM had similar
use of ART and viral suppression but TW were more likely than matched CM to
engage in exchange sex, have multiple sexual partners, and use crack/cocaine. TW
and CM had similarly high rates of condomless sex and use of other drugs. TW
require tailored risk reduction interventions, however both CJ-involved TW and CM
require focused attention to reduce HIV risk and improve HIV continuum of care
outcomes.
PMID- 29787581
TI - Autologous and not allogeneic adipose-derived stem cells improve acute burn wound
healing.
AB - Adipose-derived stem cells (ADSCs) transplant has been reported to be a potential
treatment for burn wounds. However, the effects of autogenicity and allogenicity
of ADSCs on burn wound healing have not been investigated and the method for
using ADSCs still needs to be established. This study compared the healing
effects of autologous and allogenic ADSCs and determined an optimal method of
using ADSCs to treat acute burn wounds. Experiments were performed in 20 male
Wistar rats (weight, 176-250 g; age, 6-7 weeks). Two identical full-thickness
burn wounds (radius, 4 mm) were created in each rat. ADSCs harvested from
inguinal area and characterized by their high multipotency were injected into
burn wounds in the original donor rats (autologous ADSCs group) or in other rats
(allogenic ADSCs group). The injection site was either the wound center or the
four corners 0.5 cm from the wound edge. The reduction of burn surface areas in
the two experimental groups and in control group were evaluated with Image J
software for 15 days post-wounding to determine the wound healing rates. Wound
healing was significantly faster in the autologous ADSCs group compared to both
the allogenic ADSCs group (p<0.05) and control group (p<0.05). Wound healing in
the allogenic ADSC group did not significantly differ from that in control group.
Notably, ADSC injections 0.5cm from the wound edge showed significantly improved
healing compared to ADSCs injections in the wound center (p<0.05). This study
demonstrated the therapeutic efficacy of ADSCs in treating acute burn wounds in
rats. However, only autologous ADSCs improved healing in acute burn wounds;
allogenic ADSCs did not. This study further determined a superior location of
using ADSCs injections to treat burn wounds including the injection site. Future
studies will replicate the experiment in a larger and long-term scale burn wounds
in higher mammalian models to facilitate ADSCs therapy in burn wound clinical
practice.
PMID- 29787582
TI - Determination of red blood cell deformability using centrifugal force in a three
dimensional-printed mini-disk (3D-PMD).
AB - Measuring red blood cell (RBC) deformability has become important for clinical
disease diagnostics. Various methods for measuring RBC deformability have been
developed; however, they require costly and large instruments, long measuring
time, and skilled personnel. In this study, we present a three-dimensional
printed mini-disk (3D-PMD) for measuring RBC deformability to overcome the
previous limitations. For a miniaturized and low-cost setup, the 3D-PMD was
fabricated by a 3D printing technique, which had not yet been used for
fabricating a lab-on-a-compact disk (LOCD). Using a 3D printing technique, a
multi-layered fluidic channel on the mini CD could be fabricated easily. During
rotation by a spinning motor, the difference of the length of compressed RBCs in
the fluidic channel was measured and analysed as compressibility indices (CIs) of
normal and glutaraldehyde-treated hardened RBCs. The rotation speed and time were
decided as 3000 rpm and 30 min, respectively, at which the difference of CI
values between normal and hardened RBCs was largest (CInormal-CIhardened =
0.195).
PMID- 29787583
TI - Gender associated muscle-tendon adaptations to resistance training.
AB - PURPOSE: To compare the relative changes in muscle-tendon complex (MTC)
properties following high load resistance training (RT) in young males and
females, and determine any link with circulating TGFbeta-1 and IGF-I levels.
METHODS: Twenty-eight participants were assigned to a training group and
subdivided by sex (T males [TM] aged 20+/-1 year, n = 8, T females [TF] aged 19+/
3 year, n = 8), whilst age-matched 6 males and 6 females were assigned to control
groups (ConM/F). The training groups completed 8 weeks of resistance training
(RT). MTC properties (Vastus Lateralis, VL) physiological cross-sectional area
(pCSA), quadriceps torque, patella tendon stiffness [K], Young's modulus, volume,
cross-sectional area, and length, circulating levels of TGFbeta-1 and IGF-I were
assessed at baseline and post RT. RESULTS: Post RT, there was a significant
increase in the mechanical and morphological properties of the MTC in both
training groups, compared to ConM/F (p<0.001). However, there were no significant
sex-specific changes in most MTC variables. There were however significant sex
differences in changes in K, with females exhibiting greater changes than males
at lower MVC (Maximal Voluntary Contraction) force levels (10% p = 0.030 & 20%
MVC p = 0.032) and the opposite effect seen at higher force levels (90% p = 0.040
& 100% MVC p = 0.044). There were significant increases (p<0.05) in IGF-I in both
TF and TM following training, with no change in TGFbeta-1. There were no gender
differences (p>0.05) in IGF-I or TGFbeta-1. Interestingly, pooled population data
showed that TGFbeta-1 correlated with K at baseline, with no correlations
identified between IGF-I and MTC properties. CONCLUSIONS: Greater resting TGFbeta
1 levels are associated with superior tendon mechanical properties. RT can impact
opposite ends of the patella tendon force-elongation relationship in each sex.
Thus, different loading patterns may be needed to maximize resistance training
adaptations in each sex.
PMID- 29787585
TI - Correction: Cardiorespiratory Fitness, Sedentary Behaviour and Physical Activity
Are Independently Associated with the Metabolic Syndrome, Results from the SCAPIS
Pilot Study.
AB - [This corrects the article DOI: 10.1371/journal.pone.0131586.].
PMID- 29787586
TI - Procrustes-based geometric morphometrics on MRI images: An example of inter
operator bias in 3D landmarks and its impact on big datasets.
AB - Using 3D anatomical landmarks from adult human head MRIs, we assessed the
magnitude of inter-operator differences in Procrustes-based geometric
morphometric analyses. An in depth analysis of both absolute and relative error
was performed in a subsample of individuals with replicated digitization by three
different operators. The effect of inter-operator differences was also explored
in a large sample of more than 900 individuals. Although absolute error was not
unusual for MRI measurements, including bone landmarks, shape was particularly
affected by differences among operators, with up to more than 30% of sample
variation accounted for by this type of error. The magnitude of the bias was such
that it dominated the main pattern of bone and total (all landmarks included)
shape variation, largely surpassing the effect of sex differences between
hundreds of men and women. In contrast, however, we found higher reproducibility
in soft-tissue nasal landmarks, despite relatively larger errors in estimates of
nasal size. Our study exemplifies the assessment of measurement error using
geometric morphometrics on landmarks from MRIs and stresses the importance of
relating it to total sample variance within the specific methodological framework
being used. In summary, precise landmarks may not necessarily imply negligible
errors, especially in shape data; indeed, size and shape may be differentially
impacted by measurement error and different types of landmarks may have
relatively larger or smaller errors. Importantly, and consistently with other
recent studies using geometric morphometrics on digital images (which, however,
were not specific to MRI data), this study showed that inter-operator biases can
be a major source of error in the analysis of large samples, as those that are
becoming increasingly common in the 'era of big data'.
PMID- 29787587
TI - Application of individual behavioral models to predict willingness to use modern
contraceptives among pastoralist women in Afar region, Northern Ethiopia.
AB - BACKGROUND: Use of modern contraceptive methods reduces the risk of unwanted
pregnancy, and is influenced by individual-level factors. Willingness to use
modern contraceptive methods maybe a useful metric when considering health
outcomes as it could predict health behaviors. Therefore, the current study aimed
to assess the willingness of women to use modern contraceptives in Afar
pastoralist communities. METHODS: A community-based cross-sectional study was
conducted from May 1 to 30, 2016. Three hundred forty-five women of childbearing
age (15-49 years) were systematically sampled with proportionate allocation from
seven randomly selected kebeles (neighborhoods) in Aballa District of Afar
Region, Ethiopia. All women meeting the inclusion criteria in each selected
household were interviewed at home using a semi-structured questionnaire.
Construct validity was assured using factor analysis. A combination of individual
behavioral models were applied in order to measure willingness to use modern
contraceptive methods. Multiple logistic regressions were utilized to identify
factors associated with willingness to use contraceptive at P-value of less than
0.05. RESULTS: Three hundred twenty-two women participated in the study, for a
response rate of 93.3%. The mean age of respondents was 27 (+/-6) years. About
one-third (N = 106, 32.9%) of the participants reported that they were willing to
use modern contraceptives. Orthodox Christians (AOR = 4.22, 95% CI 1.94-8.92),
women aged 19 or older at first marriage (AOR = 2.89, 95% CI 1.16-7.23), and
women who had never experienced a stillbirth (AOR = 3.85, 95%CI 1.37-10.78) were
more likely to report being willing to use modern contraceptives. Additionally,
perceived severity of an unwanted pregnancy (AOR = 1.71, 95% CI 1.57-1.93) and
perceived self-efficacy to use contraceptives (AOR = 1.26, 95% CI 1.17-1.65) were
positively associated with the willingness. Women who had never had an abortion
were less likely to express willingness to use modern contraceptives (AOR = 0.41,
95% CI 0.19-0.92) and perceived importance of cultural and religious norms (AOR =
0.85, 95% CI 0.62-0.90) was also negatively associated with willingness.
CONCLUSIONS: The majority of women in this study were not willing to use modern
contraceptive methods. A previous pregnancy outcome of stillbirth was associated
with reduced willingness, while a prior abortion was associated with increased
willingness. Perceived severity of unwanted pregnancy and higher self-efficacy
surrounding contraceptive use were strong predictors of increased willingness to
use contraceptives. Religious and cultural norms also appear to influence
perception towards modern contraception. Thus, involvement of cultural and
religious leaders and consideration of a woman's reproductive history are
recommended when designing health education messages on contraception for Afar
pastoralist women.
PMID- 29787584
TI - Method overtness, forensic autopsy, and the evidentiary suicide note: A
multilevel National Violent Death Reporting System analysis.
AB - OBJECTIVE: Higher prevalence of suicide notes could signify more conservatism in
accounting and greater proneness to undercounting of suicide by method. We tested
two hypotheses: (1) an evidentiary suicide note is more likely to accompany
suicides by drug-intoxication and by other poisoning, as less violent and less
forensically overt methods, than suicides by firearm and hanging/suffocation; and
(2) performance of a forensic autopsy attenuates any observed association between
overtness of method and the reported presence of a note. METHODS: This multilevel
(individual/county), multivariable analysis employed a generalized linear mixed
model (GLMM). Representing the 17 states participating in the United States
National Violent Death Reporting System throughout 2011-2013, the study
population comprised registered suicides, aged 15 years and older. Decedents
totaled 32,151. The outcome measure was relative odds of an authenticated suicide
note. RESULTS: An authenticated suicide note was documented in 31% of the suicide
cases. Inspection of the full multivariable model showed a suicide note was more
likely to manifest among drug intoxication (adjusted odds ratio [OR], 1.70; 95%
CI, 1.56, 1.85) and other poisoning suicides (OR, 2.12; 1.85, 2.42) than firearm
suicides, the referent. Respective excesses were larger when there was no autopsy
or autopsy status was unknown (OR, 1.86; 95% CI, 1.61, 2.14) and (OR, 2.25; 95%
CI, 1.86, 2.72) relative to the comparisons with a forensic autopsy (OR, 1.62,
95% CI, 1.45, 1.82 and OR, 2.01; 95% CI, 1.66, 2.43). Hanging/suffocation
suicides did not differ from the firearm referent given an autopsy. CONCLUSIONS:
Suicide requires substantial affirmative evidence to establish manner of death,
and affirmation of drug intoxication suicides appears to demand an especially
high burden of proof. Findings and their implications argue for more stringent
investigative standards, better training, and more resources to support
comprehensive and accurate case ascertainment, as the foundation for developing
evidence-based suicide prevention initiatives.
PMID- 29787588
TI - Angiogenic and pleiotropic effects of VEGF165 and HGF combined gene therapy in a
rat model of myocardial infarction.
AB - Since development of plasmid gene therapy for therapeutic angiogenesis by J.
Isner this approach was an attractive option for ischemic diseases affecting
large cohorts of patients. However, first placebo-controlled clinical trials
showed its limited efficacy questioning further advance to practice. Thus,
combined methods using delivery of several angiogenic factors got into spotlight
as a way to improve outcomes. This study provides experimental proof of concept
for a combined approach using simultaneous delivery of VEGF165 and HGF genes to
alleviate consequences of myocardial infarction (MI). However, recent studies
suggested that angiogenic growth factors have pleiotropic effects that may
contribute to outcome so we expanded focus of our work to investigate potential
mechanisms underlying action of VEGF165, HGF and their combination in MI.
Briefly, Wistar rats underwent coronary artery ligation followed by injection of
plasmid bearing VEGF165 or HGF or mixture of these. Histological assessment
showed decreased size of post-MI fibrosis in both-VEGF165- or HGF-treated animals
yet most prominent reduction of collagen deposition was observed in VEGF165+HGF
group. Combined delivery group rats were the only to show significant increase of
left ventricle (LV) wall thickness. We also found dilatation index improved in
HGF or VEGF165+HGF treated animals. These effects were partially supported by our
findings of c-kit+ cardiac stem cell number increase in all treated animals
compared to negative control. Sporadic Ki-67+ mature cardiomyocytes were found in
peri-infarct area throughout study groups with comparable effects of VEGF165, HGF
and their combination. Assessment of vascular density in peri-infarct area showed
efficacy of both-VEGF165 and HGF while combination of growth factors showed
maximum increase of CD31+ capillary density. To our surprise arteriogenic
response was limited in HGF-treated animals while VEGF165 showed potent positive
influence on a-SMA+ blood vessel density. The latter hinted to evaluate
infiltration of monocytes as they are known to modulate arteriogenic response in
myocardium. We found that monocyte infiltration was driven by VEGF165 and reduced
by HGF resulting in alleviation of VEGF-stimulated monocyte taxis after combined
delivery of these 2 factors. Changes of monocyte infiltration were concordant
with a-SMA+ arteriole density so we tested influence of VEGF165 or HGF on
endothelial cells (EC) that mediate angiogenesis and inflammatory response. In a
series of in vitro experiments we found that VEGF165 and HGF regulate production
of inflammatory chemokines by human EC. In particular MCP-1 levels changed after
treatment by recombinant VEGF, HGF or their combination and were concordant with
NF-kappaB activation and monocyte infiltration in corresponding groups in vivo.
We also found that both-VEGF165 and HGF upregulated IL-8 production by EC while
their combination showed additive type of response reaching peak values. These
changes were HIF-2 dependent and siRNA-mediated knockdown of HIF-2alpha abolished
effects of VEGF165 and HGF on IL-8 production. To conclude, our study supports
combined gene therapy by VEGF165 and HGF to treat MI and highlights neglected
role of pleiotropic effects of angiogenic growth factors that may define efficacy
via regulation of inflammatory response and endothelial function.
PMID- 29787589
TI - High seroprevalence of hepatitis E virus in the ethnic minority populations in
Yunnan, China.
AB - Hepatitis E virus (HEV) infection is relatively high in the southern regions of
China. Yunnan, located in southwestern China, has the highest number of ethnic
groups. However, HEV infection in the ethnic population is largely unknown.
Therefore, we aimed to investigate the seropositive rate, risk factor, and
clinical impact of HEV infection in the ethnic groups of Yunnan. We recruited
1912 individuals from four minority groups in three prefectures of Yunnan
province. Epidemiological records on potential risk factors for exposure to HEV
and blood biochemical index were analyzed. All the serum samples were tested for
anti-HEV IgM/IgG by enzyme-linked immunosorbent assay, and the IgM-positive
samples were subjected to nested reverse transcription-PCR to detect HEV RNA.
Overall, 1273 individuals (66.58%) were positive for anti-HEV IgG, 16 (0.84%) for
anti-HEV IgM, and 64 (3.35%) for anti-HEV IgG and IgM both; none of them had
detectable HEV RNA. Multivariate analysis revealed a strong statistical
association between ethnic origin and HEV IgG seroprevalence. Anti-HEV IgG
reactivity in the Hani ethnic (82.3%; 401/487) population was higher than that in
the Naxi (71.9%, 340/473), Bulang (65.1%; 302/464), and Wa (60.2%; 294/488)
ethnic populations (p < 0.0001). Older age and male sex were independently
associated with the risk of past HEV infection. Moreover, anti-HEV IgG-positive
individuals showed significantly higher levels of total and direct bilirubin and
alanine amino transferase but significantly lower levels of globulin and low
density lipoprotein, than the respective levels in anti-HEV IgG-negative
individuals. Thus, the seroprevalence of HEV infection is high in the ethnic
populations of Yunnan, China. It is therefore necessary to increase the
surveillance of specific risk groups and raise awareness about the possible
infectious diseases to help limit the HEV transmission here.
PMID- 29787590
TI - Cost-effectiveness of an autoantibody test (EarlyCDT-Lung) as an aid to early
diagnosis of lung cancer in patients with incidentally detected pulmonary
nodules.
AB - OBJECTIVE: Patients who have incidentally detected pulmonary nodules and an
estimated intermediate risk (5-60%) of lung cancer frequently are followed via
computed tomography (CT) surveillance to detect nodule growth, despite guidelines
for a more aggressive diagnostic strategy. We examined the cost-effectiveness of
an autoantibody test (AABT)-Early Cancer Detection Test-Lung (EarlyCDT-LungTM)-as
an aid to early diagnosis of lung cancer among such patients. METHODS: We
developed a decision-analytic model to evaluate use of the AABT versus CT
surveillance alone. In the model, patients with a positive AABT-because they are
at substantially enhanced risk of lung cancer-are assumed to go directly to
biopsy, resulting in diagnosis of lung cancer in earlier stages than under
current guidelines (a beneficial stage shift). Patients with a negative AABT, and
those scheduled for CT surveillance alone, are assumed to have periodic CT
screenings to detect rapid growth and thus to have their lung cancers diagnosed
on average-at more advanced stages. RESULTS: Among 1,000 patients who have
incidentally detected nodules 8-30 mm, have an intermediate-risk of lung cancer,
and are evaluated by CT surveillance alone, 95 (9.5%) are assumed to have lung
cancer (local, 73.6%; regional, 22.0%; distant, 4.4%). With use of the AABT set
at a sensitivity/specificity of 41%/93% (stage shift = 10.8%), although expected
costs would be higher by $949,442 ($949 per person), life years would be higher
by 53 (0.05 per person), resulting in a cost per life-year gained of $18,029 and
a cost per quality-adjusted life year (QALY) gained of $24,330. With use of the
AABT set at a sensitivity/specificity of 28%/98% (stage shift = 7.4%),
corresponding cost-effectiveness ratios would be $18,454 and $24,833.
CONCLUSIONS: Under our base-case assumptions, and reasonable variations thereof,
using AABT as an aid in the early diagnosis of lung cancer in patients with
incidentally detected pulmonary nodules who are estimated to be at intermediate
risk of lung cancer and are scheduled for CT surveillance alone is likely to be a
cost-effective use of healthcare resources.
PMID- 29787592
TI - Which antiarrhythmic drug to choose after electrical cardioversion: A study on
non-valvular atrial fibrillation patients.
AB - The relative efficacy of antiarrhythmic drugs (AADs) after electrical
cardioversion are not well established. This study aimed to investigate the
efficacies of different AADs for maintaining sinus rhythm (SR) after electrical
cardioversion for atrial fibrillation (AF). We selected patients from a
retrospective registry including patients admitted for cardioversion between
January 2012 and June 2016. The primary outcome was time to AF recurrence during
the first year after cardioversion. The secondary outcomes included AF recurrence
within 1 month, and first readmission due to heart failure, stroke, or additional
non-pharmacological rhythm control. A total of 265 patients were divided into the
4 groups according to AAD type: flecainide (n = 33), propafenone (n = 64),
amiodarone (n = 128), and dronedarone (n = 40). During the first year after
cardioversion, the AF recurrence-free survival was similar between all AAD groups
(69.7% vs. 67.2% vs. 71.9% vs. 80.0%, p = 0.439). About half of all recurrences
occurred during the first month. There was no difference in any of the secondary
outcomes, although the amiodarone group showed a trend toward more non
pharmacological rhythm control. AAD type was not associated with recurrence in
multivariate analysis. In this study, half of all patients received amiodarone
after electrical cardioversion. Flecainide, propafenone, amiodarone, and
dronedarone showed similar efficacies for maintaining SR after electrical
cardioversion. Thus, it might be reasonable to reconsider amiodarone use after
cardioversion, since it did not show superior efficacy to the other drugs
considered and is associated with potential side effects.
PMID- 29787593
TI - Improving the effectiveness of performance feedback by considering personality
traits and task demands.
AB - Although performance feedback is widely employed as a means to improve
motivation, the efficacy and reliability of performance feedback is often
obscured by individual differences and situational variables. The joint role of
these moderating variables remains unknown. Accordingly, we investigate how the
motivational impact of feedback is moderated by personality and task-difficulty.
Utilizing three samples (total N = 916), we explore how Big Five personality
traits moderate the motivational impact of false positive and negative feedback
on playful, neutral, and frustrating puzzle tasks, respectively. Conscientious
and Neurotic individuals together appear particularly sensitive to task
difficulty, becoming significantly more motivated by negative feedback on playful
tasks and demotivated by negative feedback on frustrating tasks. Results are
discussed in terms of Goal-Setting and Self Determination Theory. Implications
for industry and education are considered.
PMID- 29787594
TI - Is Community-Led Total Sanitation connected to the rebuilding of latrines?
Quantitative evidence from Mozambique.
AB - To reduce open defecation, many implementers use the intervention strategies of
Community-Led Total Sanitation (CLTS). But CLTS focuses on latrine construction
and does not include latrine maintenance and repair damage or collapse. Some
households rebuild their latrine while others return to open defecation. The
reasons why are unknown. Using data from a cross-sectional survey, this article
shows how physical, personal, and social context factors and psychosocial factors
from the RANAS model are associated with CLTS participation, and how these
factors connect to latrine rebuilding. In 2015, heavy rains hit the north of
Mozambique and many latrines collapsed. Subsequently, 640 household interviews
were conducted in the affected region. Logistic regression and mediation analyses
reveal that latrine rebuilding depends on education, soil conditions, social
cohesion, and a feeling of being safe from diarrhea, the perception that many
other community members own a latrine, and high confidence in personal ability to
repair or rebuild a broken latrine. The effect of CLTS is mediated through social
and psychosocial factors. CLTS already targets most of the relevant factors, but
can still be improved by including activities that would focus on other factors
not yet sufficiently addressed.
PMID- 29787591
TI - Pharmacophore modeling for identification of anti-IGF-1R drugs and in-vitro
validation of fulvestrant as a potential inhibitor.
AB - Insulin-like growth factor 1 receptor (IGF-1R) is an important therapeutic target
for breast cancer treatment. The alteration in the IGF-1R associated signaling
network due to various genetic and environmental factors leads the system towards
metastasis. The pharmacophore modeling and logical approaches have been applied
to analyze the behaviour of complex regulatory network involved in breast cancer.
A total of 23 inhibitors were selected to generate ligand based pharmacophore
using the tool, Molecular Operating Environment (MOE). The best model consisted
of three pharmacophore features: aromatic hydrophobic (HyD/Aro), hydrophobic
(HyD) and hydrogen bond acceptor (HBA). This model was validated against World
drug bank (WDB) database screening to identify 189 hits with the required
pharmacophore features and was further screened by using Lipinski positive
compounds. Finally, the most effective drug, fulvestrant, was selected.
Fulvestrant is a selective estrogen receptor down regulator (SERD). This
inhibitor was further studied by using both in-silico and in-vitro approaches
that showed the targeted effect of fulvestrant in ER+ MCF-7 cells. Results
suggested that fulvestrant has selective cytotoxic effect and a dose dependent
response on IRS-1, IGF-1R, PDZK1 and ER-alpha in MCF-7 cells. PDZK1 can be an
important inhibitory target using fulvestrant because it directly regulates IGF
1R.
PMID- 29787595
TI - Current and previous spatial distributions of oilseed rape fields influence the
abundance and the body size of a solitary wild bee, Andrena cineraria, in
permanent grasslands.
AB - Wild bees are essential pollinators whose survival partly depends on the capacity
of their environment to offer a sufficient amount of nectar and pollen. Semi
natural habitats and mass-flowering crops such as oilseed rape provide abundant
floristic resources for bees. The aim of this study was to evaluate the
influences of the spatial distribution of semi-natural habitats and oilseed rape
fields on the abundance and the mean body size of a solitary bee in grasslands.
We focused on a generalist mining bee, Andrena cineraria, that forages and
reproduces during oilseed rape flowering. In 21 permanent grasslands of Eastern
France, we captured 1 287 individuals (1 205 males and 82 females) and measured
the body size of male individuals. The flower density in grasslands was
quantified during bee captures (2016) and the landscape surrounding grasslands
was characterized during two consecutive years (2015 and 2016). The influence of
oilseed rape was tested through its distribution in the landscape during both the
current year of bee sampling and the previous year. Bee abundance was positively
influenced by the flower density in grasslands and by the area covered by oilseed
rape around grasslands in the previous year. The mean body size of A. cineraria
was explained by the interaction between flower density in the grassland and the
distance to the nearest oilseed rape field in the current year: the flower
density positively influenced the mean body size only in grasslands distant from
oilseed rape. A. cineraria abundance and body size distribution were not affected
by the area of semi-natural habitats in the landscape. The spatial distribution
of oilseed rape fields (during both the current and the previous year) as well as
the local density of grassland flowers drive both bee abundance and the mean
value of an intraspecific trait (body size) in permanent grasslands. Space-time
variations of bee abundance and mean body size in grasslands may have important
ecological implications on plant pollination and on interspecific interactions
between pollinators. Specifically, a competition between bee species for nesting
sites might occur in oilseed rape rich landscapes, thus raising important
conservation issues for bee species that do not benefit from oilseed rape
resources.
PMID- 29787596
TI - Survival and predictors of mortality among children co-infected with tuberculosis
and human immunodeficiency virus at University of Gondar Comprehensive
Specialized Hospital, Northwest Ethiopia. A retrospective follow-up study.
AB - BACKGROUND: Tuberculosis (TB) is the leading cause of death in Human
immunodeficiency virus (HIV) infected children globally. The aims of this study
were to determine the mortality rate and to identify the predictors of mortality
among TB/HIV co-infected children at University of Gondar Comprehensive
Specialized Hospital. METHOD: A retrospective follow-up study was conducted among
TB/HIV co-infected children from February 2005 to March 2017. A Kaplan-Meier
curve was used to estimate the median survival time. Bivariate and multivariable
Cox proportional hazards models were fitted to identify the predictors of
mortality. RESULTS: A total of 271 TB/HIV co-infected children were included in
the analysis. Of these, 38(14.02%) children were died during the follow-up
period. This gives a total of 1167.67 child-years of observations. The overall
mortality rate was 3.27(95%CI: 2.3-4.5) per 100 child-years. The independent
predictors of time to death were age 1-5 years (as compared to age <1 year) (AHR
= 0.3; 95%CI:0.09-0.98)), being anemic (AHR = 2.6; 95%CI:1.24-5.3), cotrimoxazole
preventive therapy(CPT) non-users (AHR = 4.1; 95%CI:1.4-16.75), isoniazid
preventive therapy(IPT) non-users (AHR = 2.95; 95%CI:1.16-7.5), having extra
pulmonary tuberculosis(EPTB) (AHR = 2.43; 95%CI:1.1-5.3)) and fair or poor
adherence to Anti-Retroviral Therapy (ART)(AHR = 3.5; 95%CI:1.7-7.5). CONCLUSION:
Mortality rate among TB/HIV co-infected children was high at University of Gondar
Comprehensive Specialized Hospital. Age, extra-pulmonary tuberculosis, anemia,
adherence, CPT and IPT were the independent predictors of mortality.
PMID- 29787598
TI - A citizen science based survey method for estimating the density of urban
carnivores.
AB - Globally there are many examples of synanthropic carnivores exploiting growth in
urbanisation. As carnivores can come into conflict with humans and are potential
vectors of zoonotic disease, assessing densities in suburban areas and
identifying factors that influence them are necessary to aid management and
mitigation. However, fragmented, privately owned land restricts the use of
conventional carnivore surveying techniques in these areas, requiring development
of novel methods. We present a method that combines questionnaire distribution to
residents with field surveys and GIS, to determine relative density of two urban
carnivores in England, Great Britain. We determined the density of: red fox
(Vulpes vulpes) social groups in 14, approximately 1km2 suburban areas in 8
different towns and cities; and Eurasian badger (Meles meles) social groups in
three suburban areas of one city. Average relative fox group density (FGD) was
3.72 km-2, which was double the estimates for cities with resident foxes in the
1980's. Density was comparable to an alternative estimate derived from trapping
and GPS-tracking, indicating the validity of the method. However, FGD did not
correlate with a national dataset based on fox sightings, indicating
unreliability of the national data to determine actual densities or to
extrapolate a national population estimate. Using species-specific clustering
units that reflect social organisation, the method was additionally applied to
suburban badgers to derive relative badger group density (BGD) for one city
(Brighton, 2.41 km-2). We demonstrate that citizen science approaches can
effectively obtain data to assess suburban carnivore density, however publicly
derived national data sets need to be locally validated before extrapolations can
be undertaken. The method we present for assessing densities of foxes and badgers
in British towns and cities is also adaptable to other urban carnivores
elsewhere. However this transferability is contingent on species traits meeting
particular criteria, and on resident responsiveness.
PMID- 29787597
TI - Asymmetric and symmetric dimethylarginines and mortality in patients with
hematological malignancies-A prospective study.
AB - The study was designed to determine the associations of asymmetric (ADMA) and
symmetric (SDMA) dimethylarginines plasma concentrations with all-cause mortality
in patients with hematological malignancies. 33 patients with acute myeloid
leukemia (AML), 31 patients with non-Hodgkin's lymphoma (nHL), 32 patients with
chronic lymphocytic leukemia (CLL) and 48 patients without malignancy were
enrolled into the study. Each patient was followed until death or for at least
14.5 months (range: 14.5-53). Median ADMA and SDMA were significantly elevated in
AML, nHL and CLL compared to controls (ADMA: 1.36, 1.24, 1.03, 0.55 MUmol/l
respectively, p<0.0001; SDMA: 0.86, 0.76, 0.71, 0.52 MUmol/l respectively,
p<0.0001). High ADMA and SDMA were associated with increased risk for all-cause
mortality in CLL group (Hazard ratio (HR) for ADMA: 3.05, 95% CI:1.58-5.88, p =
0.001; HR for SDMA: 4.71, 95% CI:1.91-11.58, p = 0.001). Our study suggests that
ADMA and SDMA could be novel prognostic factors for all-cause mortality in CLL
patients.
PMID- 29787600
TI - Correction: The Effect of Timing and Frequency of Push Notifications on Usage of
a Smartphone-Based Stress Management Intervention: An Exploratory Trial.
AB - [This corrects the article DOI: 10.1371/journal.pone.0169162.].
PMID- 29787599
TI - Pathological molecular mechanism of symptomatic late-onset Fuchs endothelial
corneal dystrophy by bioinformatic analysis.
AB - Fuchs endothelial corneal dystrophy (FECD) is a degenerative disease
characterized by corneal endothelial decompensation. FECD causes corneal stromal
and epithelial edema and progressively develops into bullous keratopathy, which
can eventually lead to blindness. However, the exact pathogenesis is unknown. In
this study, we performed an in-depth bioinformatic analysis of the dataset
GSE74123 to determine the differentially expressed genes (DEGs) of symptomatic
late-onset FECD compared with a normal control. Gene ontology (GO) terms and
Kyoto Encyclopedia of Genes and Genomes (KEGG) pathways analysis were used to
analyze the pathological molecular mechanism of FECD. We found that cell
senescence, reactive oxygen species (ROS), the extracellular matrix (ECM),
epithelial-mesenchymal transition (EMT) and immune response-related genes play an
important role in the pathological development of symptomatic late-onset FECD. In
addition, we revealed that down-regulated IL-6, enhanced NF-kappaB activity and a
suite of orchestrated chemokine responses induce fibrocyte differentiation from
monocyte to dendritic cell maturation. PI3K plays a key role in the molecular
mechanism of symptomatic late-onset FECD. This study enhances our understanding
of the molecular mechanism of FECD pathogenesis and will improve the diagnostics
and therapy of FECD patients in the future.
PMID- 29787601
TI - Impact of vascular endothelial growth factor (VEGF) and vascular endothelial
growth factor receptor (VEGFR) single nucleotide polymorphisms on outcome in
gastroenteropancreatic neuroendocrine neoplasms.
AB - Angiogenesis represents a key event in cancer development, leading to local
invasion e metastatization, and might be considered a basic feature in
gastroenteropancreatic neuroendocrine neoplasms (GEP-NENs) with a high expression
of angiogenic molecules. We aimed to analyze the prognostic and predictive role
of angiogenic factors in GEP-NENs through the analysis of single nucleotide
polymorphisms (SNPs) of VEGF-A, VEGFR2 and VEGFR3. The genomic DNA of 58
consecutive patients with GEP-NENs treated at our Institution was extracted from
peripheral blood. Two SNPs were identified respectively in VEGF-A (rs2010963G>C,
rs699947A>C), VEGFR-2 (rs2305948C>T, rs1870377T>A), and VEGFR-3 (rs307821T>C,
rs307826C>A) gene. Gene polymorphisms were determined by Real-Time PCR using
TaqMan assays. Median age was 57 years (range 24-79 years); 32 patients were male
and 77.5% of NENs were localized in the pancreas. The allele frequency of VEGFR-2
rs2305948T and of VEGF-A rs2010963C showed a trend of higher frequency than in
general population (12.1% vs. 8.0% and 34.5% vs. 31.2%, respectively). Three out
SNPs (VEGF-A rs699947C, VEGF-A rs2010963GC and VEGFR-3 rs307821C) showed a
correlation with an increased risk of disease relapse. Moreover median PFS
changes according to the presence of 0-1 SNPs (20.7% of cases; 61.9 months), 2
SNPs (25.9%; 49.2 months) and 3 SNPs (53.4%; 27.8 months) (p = 0.034). Results
suggest, for the first time, that specific SNPs in VEGF-A and VEGFR-3 correlate
with poor prognosis in GEP-NENs. The identification of this new prognostic factor
might be helpful in order to optimize the management of these heterogeneous
neoplasms.
PMID- 29787602
TI - Endocrine disrupting potency of organic pollutant mixtures isolated from
commercial fish oil evaluated in yeast-based bioassays.
AB - The aim of this work was to evaluate the activity of xenobiotic mixtures
containing persistent organic pollutants isolated from commercial fish oil
samples against sex hormone receptors, including estrogen and androgen. The
applied bioassay was based on transgenic yeast strains. The mixtures were
extracted from the samples using the semi-permeable membrane dialysis technique
and analyzed with gas chromatography/ion trap mass spectrometry. It turned out
that mixtures of chemicals isolated from fish oil may interact with human steroid
sex hormone receptors in various ways: the tested samples showed both estrogenic
and anti-androgenic activity. Calculated 17beta-estradiol equivalents for the
tested samples ranged between 0.003 and 0.073 pg g-1 (fat). Anti-androgenic
activity expressed as the flutamide equivalent concentration was in the 18.58
216.21 ng g-1 (fat) range. Polychlorinated biphenyls and various DDT metabolites
were the main fish oil pollutants influencing the receptors. Additivity and/or
synergy between chemicals was observed in the ER/AR mediated response.
PMID- 29787603
TI - Association of ocular, cardiovascular, morphometric and lifestyle parameters with
retinal nerve fibre layer thickness.
AB - BACKGROUND: Glaucoma is a neurodegenerative disease, leading to thinning of the
retinal nerve fibre layer (RNFL). The exact influence of ocular, cardiovascular,
morphometric, lifestyle and cognitive factors on RNFL thickness (RNFLT) is
unknown and was analysed in a subgroup of the Gutenberg Health Study (GHS).
METHODS: Global peripapillary RNFLT was measured in 3224 eyes of 1973 subjects
(49% female) using spectral-domain optical coherence tomography (SD-OCT). The
association of age, sex, ocular, cardiovascular, morphometric, lifestyle and
cognitive factors on RNFLT was analysed using Pearson correlation coefficient and
fitting a linear mixed model. RESULTS: In the univariable analysis highest
correlations were found for axial length (r = -0.27), spherical equivalent (r =
0.24), and glaucoma (r = -0.15) (p<0.0001, respectively). Other significant
correlations with RNFLT were found for age, sex, intraocular pressure, systemic
hypertension and systolic blood pressure, previous eye surgery, cholesterol,
homocysteine, history of coronary artery disease, history of myocardial
infarction, apnoea, diabetes and alcohol intake, p<0.05, respectively. Body
length, body weight, BMI, diastolic blood pressure, blood glucose, HbA1c, history
of apoplexy, cognitive function, peripheral artery disease, tinnitus, migraine,
nicotine intake, central corneal thickness, and pseudophakia were not
significantly correlated with RNFLT. The regression model revealed a significant
relationship between RNFLT and age in decades (p<0.02), spherical equivalent
(p<0.0001), axial length (p<0.0001), glaucoma (p<0.0001), tinnitus (p = 0.04),
apnoea (p = 0.047), homocysteine (p = 0.05) and alcohol intake >10g/d for women
and >20g/d for men (p = 0.02). Glaucoma, apnoea, higher homocysteine, higher
alcohol intake and higher axial length as well as age were related to decreased
RNFLT while higher spherical equivalent or history for tinnitus were related to
thicker RNFL. CONCLUSION: RNFLT is related to age, ocular parameters and
lifestyle factors. Considering these parameters in normative databases could
improve the evaluation of peripapillary RNFLT. It is necessary to evaluate if a
reduction of alcohol intake as well as the therapy of apnea or high homocysteine
levels could positively influence RNFLT.
PMID- 29787605
TI - Correction: Evaluating signals of oil spill impacts, climate, and species
interactions in Pacific herring and Pacific salmon populations in Prince William
Sound and Copper River, Alaska.
AB - [This corrects the article DOI: 10.1371/journal.pone.0172898.].
PMID- 29787604
TI - Laparoscopic uterine surgery as a risk factor for uterine rupture during
pregnancy.
AB - The incidence of uterine rupture through a previous cesarean scar (CS) is
declining as a result of a lower parity and fewer options for vaginal birth after
cesarean. However, uterine ruptures attributable to other causes that traumatize
the myometrium are on the rise. To determine whether changes in the causes of
uterine rupture had occurred in recent years, we retrospective retrieved the
clinical records of all singletons with uterine rupture observed in the delivery
room of a Taiwanese tertiary obstetric center over a 15-year period. The overall
uterine rupture rate was 3.8 per 10,000 deliveries. A total of 22 cases in 20
women (with two of them experiencing two episodes). Seven uterine ruptures
occurred through a previous cesarean scar (CS ruptures, 32%), 13 through a non
cesarean scar (non-CS ruptures, 59%), whereas the remaining two (9%) were in
women who did not previously undergo any surgery. All of the 13 non-CS ruptures
were identified in women with a history of laparoscopic procedures to the uterus.
Specifically, 10 (76%) occurred after a previous laparoscopic myomectomy, one
(8%) following a hysteroscopic myomectomy, and two (16%) after a laparoscopic
wedge resection of cornual ectopic pregnancy. Severe bleeding (blood loss >1500
mL) requiring transfusions was more frequent in women who experienced non-CS
compared with CS ruptures (10 versus 1 case, respectively, P = 0.024). Patients
with a history of endoscopic uterine surgery should be aware of uterine rupture
during pregnancy.
PMID- 29787606
TI - Profiling neurotransmitters in a crustacean neural circuit for locomotion.
AB - Locomotor systems are widely used to study rhythmically active neural networks.
These networks have to be coordinated in order to produce meaningful behavior.
The crayfish swimmeret system is well suited to investigate such coordination of
distributed neural oscillators because the neurons and their connectivity for
generating and especially for coordinating the motor output are identified. The
system maintains a fixed phase lag between the segmental oscillators, independent
of cycle period. To further the understanding of the system's plasticity for
keeping the phase lag fixed, we profiled the neurotransmitters used by the
Coordinating Neurons, which are necessary and sufficient for coordination of the
segmental oscillators. We used a combination of electrophysiological,
immunohistochemical, and mass spectrometric methods. This arrangement of methods
ensured that we could screen for several specific neurotransmitters, since a
single method is often not suitable for all neurotransmitters of interest. In a
first step, to preselect neurotransmitter candidates, we investigated the effect
of substances known to be present in some swimmeret system neurons on the motor
output and coordination. Subsequently, we demonstrated electrophysiologically
that the identified synapse between the Coordinating Neurons and their target is
mainly chemical, but neither glutamate antagonist nor gamma-aminobutyric acid
antagonist application affected this synapse. With immunohistochemical
experiments, we provide strong evidence that the Coordinating Neurons are not
serotonergic. Single-cell MALDI-TOF mass spectrometry with subsequent principal
component analysis identified acetylcholine as the putative neurotransmitter for
both types of Coordinating Neurons.
PMID- 29787607
TI - Differential sequences of exosomal NANOG DNA as a potential diagnostic cancer
marker.
AB - NANOG has been demonstrated to play an essential role in the maintenance of
embryonic stem cells, and its pseudogene, NANOGP8, is suggested to promote the
cancer stem cell phenotype. As the roles of these genes are intimately involved
with glioblastoma multiforme progression and exosomes are critical in
intercellular communication, we conducted a detailed analysis of the association
of the NANOG gene family with exosomes to identify diagnostic markers for cancer.
Exosomes were precipitated from conditioned culture media from various cell
lines, and NANOG gene fragments were directly amplified without DNA isolation
using multiple primer sets. The use of the enzymes AlwNI and SmaI with
restriction fragment length polymorphism analysis functioned to distinguish
NANOGP8 from other NANOG family members. Collectively, results suggest that the
NANOG DNA associated with exosomes is not full length and that mixed populations
of the NANOG gene family exist. Furthermore, sequence analysis of exosomal DNA
amplified with a NANOGP8 specific primer set frequently showed an insertion of a
22 bp sequence into the 3' UTR. The occurrence rate of this insertion was
significantly higher in exosomal DNA clones from cancer cells as compared to
normal cells. We have detected mixed populations of NANOG DNA associated with
exosomes and have identified preferential modulations in the sequences from
cancer samples. Our findings, coupled with the properties of exosomes, may allow
for the detection of traditionally inaccessible cancers (i.e. GBM) through
minimally invasive techniques. Further analysis of exosomal DNA sequences of
NANOG and other embryonic stemness genes (OCT3/4, SOX2, etc.) may establish a
robust collection of exosome based diagnostic markers, and further elucidate the
mechanisms of cancer formation, progression, and metastasis.
PMID- 29787608
TI - Loci under selection and markers associated with host plant and host-related
strains shape the genetic structure of Brazilian populations of Spodoptera
frugiperda (Lepidoptera, Noctuidae).
AB - We applied the ddRAD genotyping-by-sequencing technique to investigate the
genetic distinctiveness of Brazilian populations of the noctuid moth Spodoptera
frugiperda, the fall armyworm (FAW), and the role of host-plant association as a
source of genetic diversification. By strain-genotyping all field-collected
individuals we found that populations collected from corn were composed primarily
of corn-strain individuals, while the population collected from rice was composed
almost entirely of rice-strain individuals. Outlier analyses indicated 1,184 loci
putatively under selection (ca. 15% of the total) related to 194 different Gene
Ontologies (GOs); the most numerous GOs were nucleotide binding, ATP binding,
metal-ion binding and nucleic-acid binding. The association analyses indicated
326 loci associated with the host plant, and 216 loci associated with the
individual strain, including functions related to Bacillus thuringiensis and
insecticide resistance. The genetic-structure analyses indicated a moderate level
of differentiation among all populations, and lower genetic structure among
populations collected exclusively from corn, which suggests that the population
collected from rice has a strong influence on the overall genetic structure.
Populations of S. frugiperda are structured partially due to the host plant, and
pairs of populations using the same host plant are more genetically similar than
pairs using different hosts. Loci putatively under selection are the main factors
responsible for the genetic structure of these populations, which indicates that
adaptive selection on important traits, including the response to control
tactics, is acting in the genetic differentiation of FAW populations in Brazil.
PMID- 29787610
TI - The influence of somatotype on anaerobic performance.
AB - The link between athlete physique and performance in sports is well established.
However, a direct link between somatotype three-numeral rating and anaerobic
performance has not yet been reported. The purpose of this study was to assess
the relations between somatotype and anaerobic performance using both singular
and multivariate analyses. Thirty-six physically active males (mean +/- standard
deviation age 26.0 +/- 9.8 years; body mass 79.5 +/- 12.9 kg; height 1.82 +/-
0.07 m) were somatotype-rated using the Heath-Carter method. Subjects were
assessed for 3 repetition maximum (3 RM) bench press and back squat, and
completed a 30-second maximal sprint cycle test. Positive correlations were
observed between mesomorphy and 3 RM bench press (r = 0.560, p < 0.001),
mesomorphy and 3 RM back squat (r = 0.550, p = 0.001) and between mesomorphy and
minimum power output (r = 0.357, p = 0.033). Negative correlations were observed
between ectomorphy and 3 RM bench press (r = -0.381, p = 0.022), and ectomorphy
and 3 RM back squat (r = -0.336, p = 0.045). Individual regression analysis
indicated that mesomorphy was the best predictor of 3 RM bench press performance,
with 31.4% of variance in 3 RM bench press performance accounted for by the
mesomorphy rating (p < 0.001). A combination of mesomorphy and ectomorphy best
predicted 3 RM back squat performance (R2 = 0.388, p < 0.04). Around one third of
strength performance is predicted by somatotype-assessed physique in physically
active males. This could have important implications for the identification of
those predisposed to perform well in sports containing strength-based movements
and prescription of training programmes.
PMID- 29787609
TI - An investigation of cerebral oxygen utilization, blood flow and cognition in
healthy aging.
AB - BACKGROUND: Understanding how vascular and metabolic factors impact on cognitive
function is essential to develop efficient therapies to prevent and treat
cognitive losses in older age. Cerebral metabolic rate of oxygen (CMRO2),
cerebral blood flow (CBF) and venous oxygenation (Yv) comprise key physiologic
processes that maintain optimum functioning of neural activity. Changes to these
parameters across the lifespan may precede neurodegeneration and contribute to
age-related cognitive decline. This study examined differences in blood flow and
metabolism between 31 healthy younger (<50 years) and 29 healthy older (>50
years) adults; and investigated whether these parameters contribute to cognitive
performance. METHOD: Participants underwent a cognitive assessment and MRI scan.
Grey matter CMRO2 was calculated from measures of CBF (phase contrast MRI),
arterial and venous oxygenation (TRUST MRI) to assess group differences in
physiological function and the contribution of these parameters to cognition.
RESULTS: Performance on memory (p<0.001) and attention tasks (p<0.001) and total
CBF were reduced (p<0.05), and Yv trended toward a decrease (p = .06) in the
older group, while grey matter CBF and CMRO2 did not differ between the age
groups. Attention was negatively associated with CBF when adjusted (p<0.05) in
the older adults, but not in the younger group. There was no such relationship
with memory. Neither cognitive measure was associated with oxygen metabolism or
venous oxygenation in either age group. CONCLUSION: Findings indicated an age
related imbalance between oxygen delivery, consumption and demand, evidenced by a
decreased supply of oxygen with unchanged metabolism resulting in increased
oxygen extraction. CBF predicted attention when the age-effect was controlled,
suggesting a task- specific CBF- cognition relationship.
PMID- 29787611
TI - Evaluation of anaesthetic protocols for laboratory adult zebrafish (Danio rerio).
AB - In the last decades, the use of zebrafish (Danio rerio) in biomedical research
has increased. Anaesthesia is daily used in fish during experimental procedures
to avoid discomfort, stress or pain. Also, fish welfare and the reliability of
results can be compromised if an unsuitable anaesthetic protocol is used.
Therefore, we aimed to refine anaesthetic protocols to be used in adult zebrafish
by evaluating the efficacy of different anaesthetics, used alone or in
combination. For that, zebrafish were randomly assigned to 8 different groups:
100 MUg/mLMS-222 (MS); 0.2 MUg/mL etomidate (E); 0.2 MUg/mL etomidate + 100
MUg/mL lidocaine (E+L); 1.25 MUg/mL propofol (P); 1.25 MUg/mL propofol + 100
MUg/mL lidocaine (P+L); 100 MUg/mL ketamine (K); 100 MUg/mL ketamine + 1.25
MUg/mL medetomidine (K+M); and 100 MUg/mL ketamine + 1.25 MUg/mL
medetomidine/3.125 MUg/mL atipamezole (K+M/A). The animals were placed in an
anaesthetic water bath, then, the following parameters were registered: time for
equilibrium loss and anaesthesia induction, loss of sensitivity to soft and
painful stimuli, respiratory rate, recovery time, and activity after recovery.
The combined forms of E+L, P+L and K+M were the fastest to induce a surgical
anaesthetic stage. Nevertheless, E+L induced respiratory depression, while K+M
was shown to have the longer recovery time compared to MS-222, even when
atipamezole was added. In conclusion, the P+L combination was shown to provide
good anaesthesia with analgesia, without causing a major respiratory depression,
providing as well a quick recovery, similar to MS-222.
PMID- 29787613
TI - Clinical and functional outcomes of vascularized bone graft in the treatment of
scaphoid non-union.
AB - INTRODUCTION: Scaphoid non-union is a challenging and complex problem. Various
methods have been proposed for the management of patients with scaphoid non-union
and to reduce the risk of complications. In this study, our aim was to evaluate
the clinical and functional outcomes of using a vascularized bone graft in the
treatment of scaphoid non-union. METHODS: Patients with scaphoid non-union who
underwent 1,2 intercompartmental supraretinacular artery pedicled vascularized
bone graft between January 2005 and January 2011 were enrolled. The parameters
assessed included clinical and functional outcomes, radiological measures, and
potential risk factors. RESULTS: Forty-one patients were finally included. Thirty
patients achieved union (73%) and 11 did not. Smoking was a significant risk
factor for non-union after the surgery. In patients who achieved union, grip
strength and radioulnar abduction were greater in comparison to that in patients
who did not achieve union. Functional measures, including the Disabilities of Arm
and Shoulder score and the Modified Mayo Wrist Score, improved in patients with
scaphoid union. The scaphoid length also improved significantly postoperatively
in these patients. CONCLUSION: Surgical treatment of scaphoid non-union using
vascularized bone graft led to a high union rate with good clinical and
functional outcomes. Smoking is a risk factor for non-union, even with the use of
a vascularized bone graft. Avascular necrosis was not associated with an
increased risk for non-union.
PMID- 29787614
TI - Outcome of polycystic kidney disease patients on peritoneal dialysis: Systematic
review of literature and meta-analysis.
AB - BACKGROUND: Polycystic kidney disease (PKD) is the most frequent hereditary cause
of chronic kidney disease. Peritoneal dialysis (PD) is often avoided for patients
with PKD because of the suspected risk of mechanical and infectious
complications. Only a few studies have analyzed the outcome of PKD patients on PD
with sometimes conflicting results. The purpose of this meta-analysis was to
investigate outcomes of patients with PKD treated by PD. METHODS: A systematic
review and meta-analysis were performed examining all studies which included
"Polycystic kidney disease" and "Peritoneal dialysis" in their titles, excluding
commentaries, letters to the authors and abstracts. PubMed, Embase, Google
scholar and Scopus were searched to December 31st 2017. The primary outcome was
overall patient survival. Additional outcomes were PD technique survival,
incidence of peritonitis and incidence of abdominal wall hernia. RESULTS: 9
studies published between 1998 and 2016 were included for analysis with a total
of 7,197 patients including 882 PKD patients. Overall survival of PKD patients
was found to be better compared to non-PKD patients (HR = 0.70 [95% CI, 0.54
0.92]). There were no statistical differences between PKD and non-PKD patients in
terms of peritonitis (OR = 0.86 [95% CI, 0.66-1.12]) and technical survival (HR =
0.98 [95% CI, 0.83-1.16]). There was an increased risk of hernia in PKD patients
(OR = 2.28 [95% CI, 1.26-4.12]). CONCLUSIONS: PKD is associated with a better
global survival, an increased risk of abdominal hernia, but no differences in
peritonitis rate or technical survival were found. PD is a safe dialysis modality
for PKD patients. Properly designed controlled studies are needed to determine
whether all PKD patients are eligible for PD or whether some specific criteria
should be determined.
PMID- 29787612
TI - Psychosocial needs of young breast cancer survivors in Mexico City, Mexico.
AB - OBJECTIVE: Young breast cancer survivors in Mexico face distinct psychosocial
challenges that have not been characterized. This study aims to describe the
psychosocial needs of young breast cancer survivors in Mexico at 5 or more years
of survivorship, identifying areas of focus for early interventions. METHODS:
Breast cancer patients diagnosed at age 40 or prior with 5 or more years since
diagnosis were invited to participate in one-on-one 30-60 minute semi-structured
audio-recorded interviews at the Instituto Nacional de Cancerologia in Mexico
City. Transcripts were coded using thematic analysis with NVivo software.
RESULTS: 25 women participated. Five major phenomena emerged from analysis: (1)
minimization of fertility concerns; (2) persistence of body image disturbance
over time; (3) barriers to employment during survivorship; (4) impact on family
relationships and social networks; & (5) unmet psychological care and
informational needs. CONCLUSIONS: Early interventions with a focus on fertility
loss education, access to reconstructive surgery and body image support, guidance
during return-to-work, assistance with childcare, integration of psychological
care and the fulfillment of informational needs could ameliorate long-term
psychological and social distress for young breast cancer survivors in Mexico.
PMID- 29787615
TI - Plasma gelsolin is associated with hip BMD in Chinese postmenopausal women.
AB - Gelsolin (GSN) protein, expressed in circulating monocytes, was previously
reported to be associated with osteoporosis in both Chinese and Caucasian women.
This study aims to test if plasma GSN protein level is associated with hip bone
mineral density (BMD) in Chinese population. Based on two study Groups containing
6,308 old Chinese, we adopted extreme sampling scheme and selected 3 independent
samples (Subgroups 1-3) for discovery, replication, and validation purposes. We
tested plasma GSN concentration, and analyzed whether plasma GSN level differs
between subjects with extremely low vs. high hip BMD. In Group 1 (N = 1,860), the
plasma GSN level increased in the female with low BMD, which was discovered in
the Subgroup 1 (N = 42, p = 0.093) and replicated in the Subgroup 2 (N = 39, p =
0.095). With more extreme sampling for the Subgroup 3 from the Group 2 (N =
4,448), the difference of plasma GSN level in the female with low BMD vs. high
BMD is more significant (N = 45, p = 0.037). After the subjects were pooled from
Subgroups 2 and 3, the difference in plasma GSN between low and high BMD subjects
became even more significant (p = 0.016). The plasma GSN level was negatively
correlated with total hip BMD (r = -0.26, p = 0.033). We concluded that plasma
GSN was associated with hip BMD in Chinese postmenopausal women and plasma GSN
might be a potential risk biomarker for osteoporosis.
PMID- 29787616
TI - Efficacy and safety of saxagliptin in patients with type 2 diabetes: A systematic
review and meta-analysis.
AB - OBJECTIVE: To evaluate the comparative efficacy and safety of saxagliptin for
type 2 diabetes (T2D). METHODS: A systematic search of PubMed, Embase, the
Cochrane Library, Web of Science, ClinicalTrials.gov and two Chinese databases
for randomized controlled trials (RCTs) comparing saxagliptin with placebo or
active comparators was performed up to July 2017. A complementary search was done
to cover literature until March 2018. For continuous data, estimates were pooled
using inverse variance methodology to calculate weighted mean differences (WMDs).
Dichotomous data were presented as Mantel-Haenzel risk ratios (RRs). RESULTS:
Thirty-nine references of 30 RCTs involving 29,938 patients were analyzed.
Compared with placebo, saxagliptin significantly reduced glycated hemoglobin
(HbA1c, WMD -0.52%, 95% CI -0.60 to -0.44) and fasting plasma glucose (WMD -13.78
mg/dL, 95% CI -15.31 to -12.25), and increased the proportion of patients
achieving HbA1c <7% (RR 1.64, 95% CI 1.53 to 1.75). When combined with submaximal
dose metformin, saxagliptin significantly increased the proportion of patients
achieving HbA1c <7% compared with acarbose (RR 2.38, 95% CI 1.17 to 4.83) and
uptitrated metformin (RR 1.30, 95% CI 1.04 to 1.63). Saxagliptin was similar to
other DPP-4 inhibitors but inferior to liraglutide and dapagliflozin on glycemic
control. Saxagliptin significantly decreased the incidences of overall adverse
events compared with acarbose (RR 0.71, 95% CI 0.57 to 0.89) and liraglutide (RR
0.41, 95% CI 0.24 to 0.71) when added to metformin. Weight gain and hypoglycemia
with saxagliptin was slightly but significantly higher than placebo and lower
than sulfonylureas. Saxagliptin did not increase the risk of arthralgia, heart
failure, pancreatitis and other adverse events. CONCLUSIONS: Generally,
saxagliptin has similar efficacy compared with most oral antidiabetic drugs and
may be more effective than acarbose, while having a better safety profile than
both acarbose and sulfonylureas.
PMID- 29787618
TI - Correction: Freshwater reservoir offsets and food crusts: Isotope, AMS, and lipid
analyses of experimental cooking residues.
AB - [This corrects the article DOI: 10.1371/journal.pone.0196407.].
PMID- 29787619
TI - Mutational analysis of CCM1, CCM2 and CCM3 in a Han Chinese cohort with multiple
cerebral cavernous malformations in Taiwan.
PMID- 29787617
TI - Intact memory for local and distal cues in male and female rats that lack adult
neurogenesis.
AB - The dentate gyrus is essential for remembering the fine details of experiences
that comprise episodic memory. Dentate gyrus granule cells receive highly
processed sensory information and are hypothesized to perform a pattern
separation function, whereby similar sensory inputs are transformed into
orthogonal neural representations. Behaviorally, this is believed to enable
distinct memory for highly interfering stimuli. Since the dentate gyrus is
comprised of a large number of adult-born neurons, which have unique synaptic
wiring and neurophysiological firing patterns, it has been proposed that
neurogenesis may contribute to this process in unique ways. Some behavioral
evidence exists to support this role, whereby neurogenesis-deficient rodents are
impaired at discriminating the fine visuospatial details of experiences. However,
the extent to which newborn neurons contribute to dentate gyrus-dependent
learning tasks is unclear. Furthermore, since most studies of dentate gyrus
function are conducted in male rats, little is known about how females perform in
similar situations, and whether there might be sex differences in the function of
adult neurogenesis. To address these issues, we examined spatial discrimination
memory in transgenic male and female rats that lacked adult neurogenesis. The
first task probed memory for the position of local objects in an open field,
assessed by behavioral responses to novel object locations. The second task
examined memory for distal environmental cues. All rats were able to successfully
discriminate local and distal cue changes. Males and females also performed
comparably, although females displayed higher levels of rearing and locomotion.
Collectively, our results indicate that rats are capable of learning about local
and distal cues in the absence of adult neurogenesis.
PMID- 29787620
TI - Intact goal-directed control in treatment-seeking drug users indexed by outcome
devaluation and Pavlovian to instrumental transfer: critique of habit theory.
AB - Animal studies have demonstrated that chronic exposure to drugs of abuse impairs
goal-directed control over action selection indexed by the outcome-devaluation
and specific Pavlovian to instrumental transfer procedures, suggesting this
impairment might underpin addiction. However, there is currently only weak
evidence for impaired goal-directed control in human drug users. Two experiments
were undertaken in which treatment-seeking drug users and non-matched normative
reference samples (controls) completed outcome-devaluation and specific Pavlovian
to instrumental transfer procedures notionally translatable to animal procedures
(Experiment 2 used a more challenging biconditional schedule). The two
experiments found significant outcome-devaluation and specific Pavlovian to
instrumental transfer effects overall and there was no significant difference
between groups in the magnitude of these effects. Moreover, Bayes factor
supported the null hypothesis for these group comparisons. Although limited by
non-matched group comparisons and small sample sizes, the two studies suggest
that treatment-seeking drug users have intact goal-directed control over action
selection, adding uncertainty to already mixed evidence concerning the role of
habit learning in human drug dependence. Neuro-interventions might seek to tackle
goal-directed drug-seeking rather than habit formation in drug users.
PMID- 29787621
TI - Recent evolution of the human skin barrier.
AB - The skin is the first line of defense against the environment, with the epidermis
as the outermost tissue providing much of the barrier function. Given its direct
exposure to and encounters with the environment, the epidermis must evolve to
provide an optimal barrier for the survival of an organism. Recent advances in
genomics have identified a number of genes for the human skin barrier that have
undergone evolutionary changes since humans diverged from chimpanzees. Here, we
highlight a selection of key and innovative genetic findings for skin barrier
evolution in our divergence from our primate ancestors and among modern human
populations.
PMID- 29787622
TI - Mother-to-child transmission of HIV in Australia and other high-income countries:
Trends in perinatal exposure, demography and uptake of prevention strategies.
AB - Virtual elimination of mother-to-child transmission (MTCT) of human
immunodeficiency virus (HIV) is a global target. A review of the literature was
conducted using medical databases and health department websites to examine the
current trends related to perinatal HIV exposure and MTCT in Australia in
comparison with other high-income countries (HICs). The review discusses the
uptake of prevention strategies and barriers that impede MTCT prevention. The
literature suggests an increase in the numbers of HIV-exposed deliveries, but a
marked decline in the rates of MTCT within HICs. MTCT remains high when the
mother's HIV infection is diagnosed late or postpartum. Data supports increasing
trends of perinatal HIV exposure in migrant populations from low- and middle
income countries (particularly African women). Increased uptake and earlier
initiation of antiretroviral therapy (ART) was associated with overall MTCT
decline. Caesarean section remains the main mode of delivery described; however,
the numbers of planned vaginal deliveries are increasing over time. Heterogeneity
of data periods and outcome measures within published literature made comparisons
between countries difficult. Future development should focus on clear national
guidelines and a potential national database for perinatal HIV, culturally
appropriate service provision, and more evidence on acute infections in pregnancy
and the effects that longer duration and increased uptake of ART has on the fetus
and resistance to ART.
PMID- 29787623
TI - Paediatric mastocytosis: long-term follow-up of 53 patients with whole sequencing
of KIT. A prospective study.
AB - BACKGROUND: Mastocytosis is a heterogeneous group of clinical disorders
characterized by the abnormal accumulation of mast cells. The adult and
paediatric forms differ in their clinical and genetic features and outcomes.
OBJECTIVES: To describe the clinical evolution of a well-characterized cohort of
paediatric mastocytosis (PM), and to analyse the relationship between KIT
mutation and the clinical course. METHODS: This was a prospective cohort study
performed at the National Clinical Reference Center for Mastocytosis. Diagnosis
was confirmed by identification of KIT mutation on lesional skin biopsy.
Mastocytosis subtype, mast cell mediator-related symptoms (MC MRS) and clinical
course were recorded. Fifty-three patients with PM and > 4 years of disease
course were enrolled. The mean +/- SD age at the final evaluation was 13.2 +/-
4.8 years. The main outcome was the type of KIT mutation as a predictor of
evolution and clinical characteristics. RESULTS: Patients presented with
maculopapular cutaneous mastocytosis (n = 44), diffuse cutaneous mastocytosis (n
= 6) or mastocytoma (n = 3). The mean duration of disease was 12.1 years.
Substantial or partial cutaneous regression (18 of 53 and 16 of 53),
stabilization or aggravation (16 of 53) and complete cutaneous regression (three
of 53) were noted. MC MRS mainly regressed (21 of 53). For 22 patients, evolution
of MC MRS and evolution of cutaneous lesions were different. No significant
association between evolution and KIT mutation or between evolution and type of
cutaneous mastocytosis was found. A late onset of the disease (after 2 years) is
associated with worse evolution. CONCLUSIONS: PM is not systematically self
regressive. MC MRS manifestations and cutaneous lesions can persist or increase
overtime. KIT mutation is not a predictor of evolution.
PMID- 29787625
TI - A bald statement - Current approaches to manipulate miniaturisation focus only on
promoting hair growth.
AB - Hair plays a large part in communication and society with its role changing
through time and across cultures. Most people do not leave the house before
combing their hair or shaving their beard and for many hair loss or irregular
hair growth can have a significant impact on their psychological health. Somewhat
unsurprisingly, according to GMR Data, today's global hair care industry is worth
an estimated $87 Billion, with hair loss estimated at $2.8 Billion. Considering
that no current hair loss-related products can completely reverse hair loss, it
is reasonable to believe this market could expand significantly with the
discovery of a comprehensive therapy. As such, a great deal of research focuses
on overcoming hair loss, and in particular, a common form of hair loss known as
androgenetic alopecia (AGA) or male pattern baldness. In AGA, hair follicles
miniaturise in a large step change from a terminal to a vellus state. Within this
viewpoint article, we discuss how influx and efflux of cells into and out from
the dermal papilla (DP) can modulate DP size during the hair cycle. As DP size is
positively correlated with the size of the hair fibre produced by a follicle, we
argue here that therapies for treating AGA should be developed which can alter DP
size, rather than just promote hair growth. We also discuss current therapeutics
for AGA and emphasise the importance of using the right model systems to analyse
miniaturisation.
PMID- 29787624
TI - Anaphylaxis admissions to pediatric intensive care units in France.
PMID- 29787627
TI - Hydroxylamine Derivatives as Nitrogen-Radical Precursors in Visible-Light
Photochemistry.
AB - In recent years, hydroxylamines derivatives have been exploited as nitrogen
radical precursors in visible-light photochemistry. Their ability to serve as
electrophores in redox chemistry has propelled the development of many novel
transformations. Fundamental mechanistic aspects as well as the importance in the
preparation of nitrogen-containing molecules will be highlighted.
PMID- 29787626
TI - Organotin Selenide Clusters and Hybrid Capsules.
AB - Several compounds with unique structural motifs that have already been known from
organotin sulfide chemistry, but remained unprecedented in organotin selenide
chemistry so far, have been synthesized. The reaction of [(R1 Sn)4 Se6 ] (R1
=CMe2 CH2 C(O)Me) with N2 H4 ?H2 O/(SiMe3 )2 Se and PhN2 H3 /(SiMe3 )2 Se led to
the formation of [{(R2 Sn)2 SnSe4 }2 (MU-Se)2 ] (1; R2 =CMe2 CH2 C(Me)NNH2 ) and
[{(R3 Sn)2 SnSe4 }2 (MU-Se)2 ] (2; R3 =CMe2 CH2 C(Me)NNPhH)). The addition of
ortho-phthalaldehyde to [(R2 Sn)4 Se6 ] yielded a cluster with intramolecular
bridging of the organic groups, namely, [(R4 Sn2 )2 Se6 ] (3; R4 =(CMe2 CH2
C(Me)NNCH)2 C6 H4 ). The introduction of organic ligands with longer chains
finally allowed the isolation of inorganic-organic capsules of the type [(MU-R)3
(Sn3 Se4 )2 ]X2 , with R=(CMe2 CH2 C(Me)NNHC(O))2 (CH2 )4 and X=[SnC3 ], Cl (4 a,
b) or R=CMe2 CH2 C(Me)NNH)2 and X=[SnCl3 ] (5). The capsules enclose solvent
molecules and/or anions as guests. All compounds were characterized by means of
single-crystal X-ray diffraction studies, NMR spectroscopy, and mass
spectrometry.
PMID- 29787628
TI - Simultaneous Stabilization of Potassium Metal and Superoxide in K-O2 Batteries on
the Basis of Electrolyte Reactivity.
AB - In superoxide batteries based on O2 /O2- redox chemistry, identifying an
electrolyte to stabilize both the alkali metal and its superoxide remains
challenging owing to their reactivity towards the electrolyte components.
Bis(fluorosulfonyl)imide (FSI- ) has been recognized as a "magic anion" for
passivating alkali metals. The KFSI-dimethoxyethane electrolyte passivates the
potassium metal anode by cleavage of S-F bonds and the formation of a KF-rich
solid-electrolyte interphase (SEI). However, the KFSI salt is chemically unstable
owing to nucleophilic attack by superoxide and/or hydroxide species. On the other
hand, potassium bis(trifluorosulfonyl)imide (KTFSI) is stable to KO2 , but
results in mossy potassium deposits and irreversible plating and stripping. To
circumvent this dilemma, we developed an artificial SEI for the metal anode and
thus long-cycle-life K-O2 batteries. This study will guide the development of
stable electrolytes and artificial SEIs for metal-O2 batteries.
PMID- 29787629
TI - Thermally Twistable, Photobendable, Elastically Deformable, and Self-Healable
Soft Crystals.
AB - The first example of a smart crystalline material, the 2:1 cocrystal of
probenecid and 4,4'-azopyridine, which responds reversibly to multiple external
stimuli (heat, UV light, and mechanical pressure) by twisting, bending, and
elastic deformation without fracture is reported. This material is also able to
self-heal on heating and cooling, thereby overcoming the main setbacks of
molecular crystals for future applications as crystal actuators. The photo- and
thermomechanical effects and self-healing capabilities of the material are rooted
in reversible trans-cis isomerization of the azopyridine unit and crystal-to
crystal phase transition. Fairly isotropic intermolecular interactions and
interlocked crisscrossed molecular packing secure high elasticity of the
crystals.
PMID- 29787630
TI - People with dementia getting lost in Australia: Dementia-related missing person
reports in the media.
AB - OBJECTIVE: This study aimed to understand the circumstances in which people with
dementia become lost, and the outcomes of these incidents. METHODS: A search was
conducted of news articles published in Australia between 2011 and 2015 reporting
a missing person with a diagnosis of dementia. RESULTS: Over the five-year
period, 130 missing person cases were reported. The average age of the missing
person was 75 years with more men (74%) than women reported missing. Most missing
persons travelled on foot (62%) and were last seen at home (66%). The newspaper
reports described 92 (71%) of the individuals being found. Of these, 60% were
found well, 20% were found injured, and 20% were deceased. CONCLUSIONS: People
with dementia are at risk of becoming lost from their homes or health-care
settings, and this can have catastrophic outcomes. Care strategies need to focus
on promoting autonomy while ensuring adverse outcomes are minimised.
PMID- 29787631
TI - Tooth Loss and Dental Implant Outcomes-Where is dentistry going? A Survey by
SIdP, the Italian Society of Periodontology and Implantology.
PMID- 29787632
TI - Peri-implant soft tissue status and crestal bone levels around adjacent implants
placed in patients with and without type-2 diabetes mellitus: 6 years follow-up
results.
AB - BACKGROUND: The long-term success and survival of dental implants type-2 diabetic
subjects is debatable. PURPOSE: The present 6 years' follow-up prospective
clinical study compared the peri-implant soft tissue status and crestal bone loss
(CBL) around adjacent implants placed among type-2 diabetic and nondiabetic
subjects. MATERIALS AND METHODS: Type-2 diabetic (Group-1) and nondiabetic
individuals (Group-2) with adjacent dental implants were included. Hemoglobin A1c
(HbA1c) levels were recorded; and a questionnaire was used to collect demographic
information. Information regarding implant dimensions, duration in function,
loading protocol, and type of restoration was recorded. Peri-implant bleeding-on
probing (BOP), plaque index (PI), probing depth (PD), and mesial and distal CBL
were measured. P < .05 were considered statistically significant. RESULTS: Eighty
six male participants (44 in Group-1 and 42 in Group-2) were included. The mean
age of individuals in groups 1 and 2 were 57.6 +/- 5.5 and 61.6 +/- 4.3 years,
respectively. In Group-1, the mean duration of type-2 diabetes was 10.1 +/- 3.5
years. A family history of diabetes was more often reported by individuals in
Group-1 than Group-2. In groups 1 and 2, 44 and 42 pairs of adjacent implants,
respectively were placed in the regions of missing premolars and molars in both
arches. All implants were delayed loaded and were fixed with non-splinted screw
retained restorations. In groups 1 and 2, tooth-brushing twice daily was reported
by 79.5% and 85.7% individuals, respectively. There was no difference in peri
implant PI, BOP, PD, mesial and distal CBL and HbA1c levels among individuals in
groups 1 and 2. CONCLUSION: Adjacent implants can remain esthetically and
functionally stable in type 2 diabetic patients in a manner similar to healthy
individuals provided glycemic levels are strictly controlled and maintained.
PMID- 29787633
TI - Mass Spectrometry Imaging with Isomeric Resolution Enabled by Ozone-Induced
Dissociation.
AB - Mass spectrometry imaging (MSI) enables the spatial distributions of molecules
possessing different mass-to-charge ratios to be mapped within complex
environments revealing regional changes at the molecular level. Even at high mass
resolving power, however, these images often reflect the summed distribution of
multiple isomeric molecules, each potentially possessing a unique distribution
coinciding with distinct biological function(s) and metabolic origin. Herein,
this chemical ambiguity is addressed through an innovative combination of ozone
induced dissociation reactions with MSI, enabling the differential imaging of
isomeric lipid molecules directly from biological tissues. For the first time, we
demonstrate both double bond- and sn-positional isomeric lipids exhibit distinct
spatial locations within tissue. This MSI approach enables researchers to unravel
local lipid molecular complexity based on both exact elemental composition and
isomeric structure directly from tissues.
PMID- 29787634
TI - Confined Ultrathin Pd-Ce Nanowires with Outstanding Moisture and SO2 Tolerance in
Methane Combustion.
AB - An efficient strategy (enhanced metal oxide interaction and core-shell
confinement to inhibit the sintering of noble metal) is presented confined
ultrathin Pd-CeOx nanowire (2.4 nm) catalysts for methane combustion, which
enable CH4 total oxidation at a low temperature of 350 degrees C, much lower
than that of a commercial Pd/Al2 O3 catalyst (425 degrees C). Importantly,
unexpected stability was observed even under harsh conditions (800 degrees C,
water vapor, and SO2 ), owing to the confinement and shielding effect of the
porous silica shell together with the promotion of CeO2 . Pd-CeOx solid solution
nanowires (Pd-Ce NW) as cores and porous silica as shells (Pd-CeNW@SiO2 ) were
rationally prepared by a facile and direct self-assembly strategy for the first
time. This strategy is expected to inspire more active and stable catalysts for
use under severe conditions (vehicle emissions control, reforming, and water-gas
shift reaction).
PMID- 29787635
TI - Abnormal bone remodelling activity of dental follicle cells from a cleidocranial
dysplasia patient.
AB - OBJECTIVES: To explore the role of dental follicle cells (DFCs) with a novel
cleidocranial dysplasia (CCD) causative gene RUNX2 mutation (DFCsRUNX2+/m ) in
delayed permanent tooth eruption. MATERIALS AND METHODS: A CCD patient with
typical clinical features was involved in this study. DFCsRUNX2+/m were cultured
and DNA was extracted for RUNX2 mutation screening. Measurements of cell
proliferation, alkaline phosphatase (ALP) activity, alizarin red staining and
osteoblast-specific genes expression were performed to assess osteogenesis of
DFCsRUNX2+/m . Co-culture of DFCs and peripheral blood mononuclear cells (PBMCs),
followed tartrate-resistant acid phosphatase (TRAP) staining, real-time PCR and
western blot were performed to evaluate osteoclast-inductive capacity of
DFCsRUNX2+/m . RESULTS: A missense RUNX2 mutation (c. 557G>C) was found in
DFCsRUNX2+/m from the CCD patient. Compared with normal controls, this mutation
did not affect the proliferation of DFCsRUNX2+/m , but down-regulated the
expression of osteogenesis-related genes, leading to a decrease in ALP activity
and mineralisation. Co-culture results showed that DFCsRUNX2+/m reduced the
formation of TRAP+ multinucleated cells and the expression of osteoclastogenesis
associated genes. Furthermore, the mutation reduced the ratio of RANKL/OPG in
DFCsRUNX2+/m . CONCLUSIONS: DFCsRUNX2+/m disturbs bone remodelling activity
during tooth eruption through RANK/RANKL/OPG signalling pathway and may thus be
responsible for impaired permanent tooth eruption in CCD patients.
PMID- 29787636
TI - An Objective Alternative to IUPAC's Approach To Assign Oxidation States.
AB - The IUPAC has recently clarified the term oxidation state (OS), and provided
algorithms for its determination based on the ionic approximation (IA) of the
bonds supported by atomic electronegativities (EN). Unfortunately, there are a
number of exceptions and ambiguities in IUPAC's algorithms when it comes to
practical applications. Our comprehensive study reveals the critical role of the
chemical environment on establishing the OS, which cannot always be properly
predicted using fix atomic EN values. By identifying what we define here as
subsystems of enhanced stability within the molecular system, the OS can be
safely assigned in many cases without invoking exceptions. New insights about the
effect of local aromaticity upon OS are revealed. Moreover, we prove that there
are intrinsic limitations of the IA that cannot be overcome. In this context, the
effective oxidation state (EOS) analysis arises as a robust and general scheme to
derive an OS without any external guidance.
PMID- 29787638
TI - Incidence, trends and severity of primary postpartum haemorrhage in Australia: A
population-based study using Victorian Perinatal Data Collection data for 764 244
births.
AB - BACKGROUND: Increasing incidence and severity of postpartum haemorrhage, together
with postpartum haemorrhage-associated morbidities, have been reported in many
high-resource countries. In-depth analysis of such factors in Victorian births
since 2002 was lacking. AIMS: Our aim was to determine the incidence and trends
for primary postpartum haemorrhage (World Health Organization and International
Classification of Diseases 10th revision, Australian Modification definitions)
for all confinements in Victoria, Australia, for the years 2003-2013 and the
incidence and trends for severe postpartum haemorrhage (>=1500 mL) for 2009-2013.
MATERIALS AND METHODS: In this population-based cross-sectional study de
identified data from the Victorian Perinatal Data Collection were analysed for
confinements (excluding terminations) from 2003 to 2013 (n = 764 244). Perinatal
information for all births >=20 weeks (or of at least 400 g birthweight if
gestation was unknown) were prospectively collected. RESULTS: One in five women
(21.8%) who gave birth between 2009 and 2013 experienced a primary postpartum
haemorrhage and one in 71 women (1.4%) experienced a severe primary postpartum
haemorrhage. The increasing trends in incidence of primary postpartum
haemorrhage, severe primary postpartum haemorrhage, blood transfusion, admission
to an intensive care or high dependency unit and peripartum hysterectomy were
significant (P < 0.001). Women who had an unassisted vaginal birth had the lowest
incidence of primary postpartum haemorrhage. The highest incidence was
experienced by women who had an unplanned caesarean section birth. Women who had
a forceps birth had the highest incidence of severe primary postpartum
haemorrhage. CONCLUSIONS: The incidence of primary postpartum haemorrhage, severe
primary postpartum haemorrhage and associated maternal morbidities have increased
significantly over time in Victoria.
PMID- 29787637
TI - Fear-based niche shifts in neotropical birds.
AB - Predation is a strong ecological force that shapes animal communities through
natural selection. Recent studies have shown the cascading effects of predation
risk on ecosystems through changes in prey behavior. Minimizing predation risk
may explain why multiple prey species associate together in space and time. For
example, mixed-species flocks that have been widely documented from forest
systems, often include birds that eavesdrop on sentinel species (alarm calling
heterospecifics). Sentinel species may be pivotal in (1) allowing flocking
species to forage in open areas within forests that otherwise incur high
predation risk, and (2) influencing flock occurrence (the amount of time species
spend with a flock). To test this, we conducted a short-term removal experiment
in an Amazonian lowland rainforest to test whether flock habitat use and flock
occurrence was influenced by sentinel presence. Antshrikes (genus Thamnomanes)
act as sentinels in Amazonian mixed-species flocks by providing alarm calls
widely used by other flock members. The alarm calls provide threat information
about ambush predators such as hawks and falcons which attack in flight. We
quantified home range behavior, the forest vegetation profile used by flocks, and
the proportion occurrence of other flocking species, both before and after
removal of antshrikes from flocks. We found that when sentinel species were
removed, (1) flock members shifted habitat use to lower risk habitats with
greater vegetation cover, and (2) species flock occurrence decreased. We conclude
that eavesdropping on sentinel species may allow other species to expand their
realized niche by allowing them to safely forage in high-risk habitats within the
forest. In allowing species to use extended parts of the forest, sentinel species
may influence overall biodiversity across a diverse landscape.
PMID- 29787640
TI - Elevated levels of 8-OHdG and PARK7/DJ-1 in peri-implantitis mucosa.
AB - BACKGROUND: Reactive oxygen species contribute to periodontal tissue homeostasis
under control of anti-oxidative responses. Disruption in this balance induces
severe inflammation and extended tissue degradation. PURPOSE: Aim of this study
was to identify the expression levels of nuclear factor, erythroid 2 like 2
(NFE2L2/NRF2), Parkinsonism associated deglycase (PARK7/DJ-1), kelch-like ECH
associated protein 1 (KEAP1), and 8-hydroxy-deoxyguanosine (8-OHdG) in peri
implant mucosal tissues affected by peri-implantitis, and to compare the levels
to those of periodontally diseased and healthy tissue samples. METHODS: Tissue
biopsies were collected from systemically healthy, non-smoking 12 peri
implantitis patients, 13 periodontitis patients, and 13 periodontally healthy
controls. Expression levels of NFE2L2/NRF2, PARK7/DJ-1, KEAP1, and 8-OHdG in
tissue samples were analyzed immunohistochemically. Statistical analysis was
performed by one-way ANOVA with Tukey's HSD test. RESULTS: Inflammatory cell
infiltration in the connective tissue and loss of architecture in the spinous
layer of the epithelium were prominent in peri-implantitis. Proportions of 8-OHdG
and PARK7/DJ-1 expressing cells were elevated in both peri-implantitis (P = .025
for 8-OHdG and P = .014 for PARK7/DJ-1) and periodontitis (P = .038 for 8-OHdG
and P = .012 for PARK7/DJ-1) groups in comparison with controls. Staining
intensities of 8-OHdG and PARK7/DJ-1 were higher in the periodontitis and peri
implantitis groups than in the control (P < .01) groups. There was no difference
in the expression levels of NFE2L2/NRF2 between the groups. KEAP1 was not
observed in any tissue sample. CONCLUSIONS: Peri-implantitis is characterized by
severe inflammation and architectural changes in the epithelium and connective
tissue. The expressions of 8-OHdG and PARK7/DJ-1 are elevated in both peri
implantitis and periodontitis.
PMID- 29787639
TI - Detergent-assisted sample preparation for MALDI-MS: Investigation of
octylglucoside and docecylmaltoside for matrix crystallization, on-plate
digestion, and trypsin activity.
AB - We show an easy and fast method for improved detection of lipophilic peptides
with MALDI-MS utilizing the nonionic detergents n-octylglucoside and n
dodecylmaltoside (laurylmaltoside). Investigations comprised on-plate digestion
of proteins with trypsin, detergent effects on the protease trypsin, and the
changes in MALDI matrix crystallization. Investigations also exhibited a higher
tryptic activity in trypsin activity assay of 139% when using laurylmaltoside as
supplement. Crystallization changed toward a more homogeneous crystal
distribution and especially trypsinized insulin spectra recorded with MALDI-MS
showed improved detectability of lipophilic peptides.
PMID- 29787642
TI - New Initiative Slashes Opioid Prescriptions, Boosts Community Response.
AB - The Community Hospital of Monterey Peninsula (CHOMP) has spearheaded a
multiagency collaborative that has been successful at more than halving the
prescriptions for narcotics in the region and trimming ED visits among frequent
users. Known as "Prescribe Safe," the approach can be credited, in part, with
nudging emergency providers to view addiction as a disease and to reevaluate
their role in linking patients with needed treatment. Under its recurrent
visitors program, emergency providers at CHOMP reject the term "drug seeking,"
instead focusing on addressing the underlying reasons for a patient's addiction
problem. A centerpiece of the Prescribe Safe initiative is county-wide
implementation of pain management protocols for the ED, coupled with provider and
patient education initiatives about these guidelines and why they are important.
Physician leaders of the Prescribe Safe initiative note that networking with
community partners has produced alliances with law enforcement on drug take back
events and a more effective response to drug diversion and prescription forgery.
By making safety the ultimate goal of the initiative, patient provider conflict
over prescribing choices has been minimized.
PMID- 29787641
TI - Effect of implant therapy on oral health-related quality of life (OHIP-49),
health status (SF-36), and satisfaction of patients with several agenetic teeth:
Prospective cohort study.
AB - BACKGROUND: Effect of fixed prosthodontics on patients with several agenetic
teeth is not well understood. PURPOSE: To assess the effect of implant-based
fixed prosthodontics on oral health-related quality of life (OHRQoL), general
health status, and satisfaction regarding dental appearance, ability to chew and
speech in patients with several agenetic teeth. MATERIALS AND METHODS: For this
prospective cohort study, all patients (>=18 years) with several agenetic teeth
who were scheduled for treatment with fixed dental implants between September
2013 and July 2015 at our department were approached. Participants received a set
of questionnaires before and 1 year after implant placement to assess OHRQoL
(OHIP-NL49), general health status (SF-36), and satisfaction regarding dental
appearance, ability to chew and speech. RESULTS: About 25 out of 31 eligible
patients (10 male, 15 female; median age: 20 [19;23] years; agenetic teeth: 7
[5;10]) were willing to participate. Pre- and post-treatment OHIP-NL49 sum-scores
were 38 [28;56] and 17 [7;29], respectively (P < .001). Scores of all OHIP-NL49
subdomains decreased tool, representing an improved OHRQoL (P < .05) as well as
that satisfaction regarding dental appearance, ability to chew and speech
increased (P < .001). General health status did not change with implant treatment
(P > .05). CONCLUSIONS: Treatment with implant-based fixed prosthodontics
improves OHRQoL and satisfaction with dental appearance, ability to chew and
speech, while not affecting general health status.
PMID- 29787643
TI - A Second Look at ED-initiated Buprenorphine for Opioid Addiction.
PMID- 29787644
TI - Guide Patients into Treatment Through Outreach Visits.
AB - By working with the police department and area addiction treatment centers, Beth
Israel Deaconess Hospital in Plymouth, MA, (BID-Plymouth) has been able to
persuade many more patients who present to the ED with addiction problems to seek
needed treatment. The approach involves the creation of an outreach team that
visits patients in their homes within a day of discharge from the hospital
following an overdose. A behavioral health team embedded in the ED sees all
patients who pres- ent to the ED with addiction issues. While the vast majority
of these patients reject addiction treatment alternatives at this stage,
administrators have found patients to be much more amenable to accepting
treatment once patients have returned home. In the first nine months of the
program, roughly 80% of patients with addic- tion problems agreed to seek
treatment following an outreach visit. A police officer always accompanies
clinicians on outreach visits and can help the team work around confidentiality
issues. Emergency providers at BID-Plymouth have devised opioid guidelines to
ensure prescriptions are used only when appropriate. By sharing information with
providers about how their own prescribing practices compare with the prescribing
practices of their peers, administrators have produced significant declines in
opioid prescribing.
PMID- 29787645
TI - Warm Handoffs Connect Substance Abuse Patients to Vital Services.
PMID- 29787646
TI - Doing the right thing: moral distress and disclosure of health information.
PMID- 29787647
TI - Preventing infection: guidelines for hand hygiene.
PMID- 29787648
TI - Alberta: Home of great nursing leaders.
PMID- 29787649
TI - THE palliative APPROACH.
AB - DEATH. It's not an easy thing to think about. People are often reluctant to
consider their own mortality. However, death and dying are a fact of life. The
fact that end-of-life care will be required for the vast majority of the
population isn't something we often consider - unless you are a palliative care
consultant or another health professional working in palliative care. We face
death on a daily basis. Palliative care is an approach to health care that aims
to relieve suffering and improve the quality of both living and dying. We,
palliative care consultants, endeavour to help patients deal with important
physical, psychological, social, spiritual, and practical issues as they prepare
for dying. We also help their loved ones cope with loss and grief after death has
occurred.
PMID- 29787650
TI - PART FOUR OF FOUR: A History of Nursing - Current era: 1990 to present.
PMID- 29787651
TI - The Night Dallas Seemed More Like Afghanistan.
AB - Just one month after a mass shooting in Orlando, FL, emergency providers in
Dallas were challenged to respond to another incident of gun violence. In this
case, a sniper opened fire on a peaceful protest, hitting 14 people, including
five police officers who died from their wounds. Emergency and trauma personnel
from Parkland Memorial Hospital and Baylor University Medical Center report that
their teams performed well, but having worked side-by-side with law enforcement
many times, many providers and emergency staff are dealing with a heavy dose of
emotional distress. With no notice, victims of the shooting began arriving at
Baylor University Medical Center in police cars. Emergency personnel noted that
some of the vehicles themselves were riddled with bullet holes. At Parkland
Memorial Hospital, trauma staff immediately activated their incident command to a
"code yellow, level three," meaning there were multiple casualties. Not knowing
how many shooters there were, the hospital also activated security, using its own
100-person police force to patrol the hospital's perimeter. Before the night was
over, Parkland treated seven patients from the mass shooting--all of them police
officers. But the 108-bed ED also had many other cases to manage that were not
related to the mass shooting incident. This incident illustrates the importance
of including the chaplains of police and fire departments as well as the hospital
when preparing and drilling for mass-casualty events, and putting resources in
place to help emergency staff deal with distress.
PMID- 29787652
TI - Best Practices, Investments Needed to Communicate Effectively with LEP Patients.
AB - Resource-challenged hospitals have long struggled to meet the needs of patients
with limited English proficiency (LEP), often relying on ad hoc interpreters to
communicate with these individuals. However, such shortcuts carry risks, and with
the increasing diversity of the population, there is a new push by the Department
of Health and Human Services' Office of Civil Rights and other organizations for
hospitals to make the investments needed to ensure effective communications
between providers and LEP patients. Experts note that many hospitals across the
country lack a basic foundation for communicating with LEP patients. Many
hospitals with dedicated interpreter services haven't built the systems or
trained staff to use these services effectively. Experts advise ED providers to
collect and track English proficiency data on all patients, and provide trained
medical interpreters to all LEP patients and families. Printed materials, such as
discharge instructions and prescriptions, also must be translated into a
patient's native language, and these materials must be reviewed with the patient
with the help of a translator.
PMID- 29787653
TI - Tragedies Provide Learning Opportunities.
AB - With the increasing frequency of mass-casualty events, the American College of
Emergency Physicians has assembled a High Threat Task Force to look for ways to
improve the emergency response to these events. The panel intends to focus on
training and operations, but the ultimate goal is to find ways to eliminate
preventable deaths. The panel intends to standardize and unify improvement
efforts that have thus far been disparate. The co-chairman of the panel says one
of the biggest gaps is the lack of evidence-based guidelines for how emergency
personnel should respond to mass-shooting events. Noting that the incident
command structure was created for fighting wildfires, the co-chairman of the task
force notes that mass-shooting events require a more dynamic leadership response.
PMID- 29787654
TI - Optimal Teams and Performance Feedback Drive Improvements in Processing Measures.
AB - The ED at ProMedica Toledo Hospital, a Level I trauma facility in Toledo, OH, has
been able to chart impressive metrics on patient processing measures year after
year. Administrators credit an overhaul in their triage process, a comprehensive
approach to providing staff with regular feedback on their performance, and a
system that relies on optimally sized teams to deliver care. Department leaders
are hoping to boost patient satisfaction scores with an initiative that will
leverage champions, fresh metrics, and a new mission statement to highlight the
importance of the patient experience. Hospital administrators report that the
median door-to-bed time in the ED is 23 minutes, and the median bed-to-physician
time is eight minutes. The median length of stay for all ED patients stands at
121 minutes, and hospital administrators note that the ED's leave-without-being
seen rate tends to hover beneath 1%, far below the naticnal average. The ED uses
a zone system that can adjust from two to five zones, depending on patient
volume. The charge nurse is responsible for assigning patients to specific zones
for care.
PMID- 29787655
TI - NURSING PRACTICE Higher education Learning what it means to provide spiritual
care.
PMID- 29787656
TI - PROFESSIONAL-DEVELOPMENT Frontline change Building the next generation of
leaders, problem-solvers and innovators.
PMID- 29787657
TI - NURSING PRACTICE AND WORK ENVIRONMENT Gaining momentum: Collaboration for
academic progression.
PMID- 29787658
TI - PRACTICE Preventing tragedies New Mexico nurses lead initiative on shaken baby
syndrome.
PMID- 29787660
TI - Prevent Mental Health Problems from Escalating into Crises.
PMID- 29787659
TI - Novel Paramedic Programs Respond to Behavioral Health-related Calls.
AB - A number of communities are turning to community paramedicine programs to help
manage the crushing demand on EDs and EMS providers by patients with behavioral
health (BH) concerns. In Modesto, CA, a pilot program provides extra training to
paramedics to respond to BH-related calls, and a program in Atlanta pairs
paramedics with mental health social workers to meet the needs of BH patients,
many of whom repeatedly call 911 for help. Both programs curb the need for
hospital and ED visits while linking patients with appropriate care more
expeditiously. However, a shortage of psychiatric treatment facilities remains a
barrier. Paramedics in the Modesto, CA, program undergo 140 hours of specialized
training in how to handle BH related 911 calls safely and appropriately. Program
developers note that most of these patients can be stabilized within 23 hours,
nixing the need for a bed in an inpatient psychiatric facility. Developers say
that the pilot program has saved more than $1 million and significantly reduced
the time to treatment for BH patients. The Upstream Crisis Intervention program
in Atlanta teams a paramedic with a mental health social worker to respond to BH
related calls through a mental health unit that is dispatched through the 911
system. The mental health unit teams also check on BH patients when they are not
in crisis to make sure they have their medicine and are on track with their plan
of care; the teams will intervene if patients need assistance.
PMID- 29787661
TI - Administrators Leverage Predictive Analytics to Manage Capacity, Streamline
Decision-making.
AB - A growing number of hospitals are turning to predictive analytics to anticipate
and manage volume better. The approach, which involves using sophisticated
simulation and modeling techniques, enables administrators to get ahead of
patient surges and to focus on pressure points. For example, Johns Hopkins
Hospital in Baltimore has made significant progress on a range of measures, using
a centralized command center to monitor the hospital's data streams. The approach
enables the hospital to accelerate decision-making and optimize hospital
resources. Investigators at Columbia University believe similar modeling
techniques can be used to avert ED congestion when used in conjunction with
proactive diversion strategies. The 5,000-square-foot command center at Johns
Hopkins Hospital monitors 14 IT systems on a 24/7 basis so that all relevant
inputs can factor into decision-making about beds, transfers, consults,
admissions, discharges, and other aspects of care. Administrators say they have
been able to achieve 96% accuracy in their predictions. In just 10 months of
operation, the data-driven command center has achieved dramatic improvements,
including a 30% reduction in the number of emergency patients who must wait for
an inpatient bed and a one-hour reduction in the time it takes to get out the
door to retrieve a patient identified for transfer to the Hopkins facility. In
addition, the hospital has all but eliminated procedure cancellations due to OR
holds. Investigators at Columbia University contend that by using predictive
analytics to guide proactive diversion strategies, ED delays can be reduced by as
much as 15%.
PMID- 29787662
TI - New Mobile Stroke Unit Programs Aim to Improve Outcomes.
AB - Now well-established throughout Europe, mobile stroke unit (MSU) programs are in
the early stages of development in the United States. The concept aims to improve
outcomes by bringing diagnostic capabilities and clot-busting care to the
patients experiencing stroke, thereby reducing the time to treatment. In October,
New York Presbyterian/Weill Cornell Medical Center in New York became the first
medical center on the East Coast to deploy an MSU, and in July, the University of
Tennessee College of Medicine in Memphis deployed a first-of-its-kind MSU that is
larger and more robust than other MSUs currently in use. In the first month of
operation, the MSU in New York responded to 29 calls and brought 12 patients to
the hospital with suspected strokes. Two of these patients received tissue
plasminogen activator in the field and both made full recoveries by the next day.
The MSU deployed in Memphis is larger and carries more sophisticated diagnostic
equipment than other MSUs. The program also replies on doctorally prepared,
vascular neurology fellowship-trained nurse practitioners, instead of relying on
telemedicine connections to external experts. Now operating with the assistance
of grant funding, leaders of both programs hope that strong outcomes will prove
convincing to hospitals and prayers, although the U.S. healthcare model
complicates the establishment of a reimbursement structure for MSUs.
PMID- 29787663
TI - Combined Pediatric ED/Inpatient Unit Concept Catches On with Maryland Community
Hospitals.
AB - Some community hospitals that struggle to maintain a financially viable pediatric
inpatient service have found success with a model that combines their pediatric
inpatient unit with a pediatric ED. The approach was developed first at Howard
County General Hospital in Columbia, MD, nearly two decades ago, and has been
duplicated at other community hospitals in the state. Now, community hospitals
elsewhere are taking a look. In addition to the financial benefits, users of this
approach say that it can improve throughput while also boosting patient and
provider satisfaction. The concept involves placing the pediatric inpatient unit
adjacent to the pediatric ED so that pediatric physicians and nurses can float
between the two sides of the unit as needed, maximizing resources. Although the
approach initially takes volume away from the adult ED, administrators say
hospitals generally replace this volume within two years. Pioneers of the
combined model note community hospitals must engage in at least 10,000-12,000
pediatric encounters in the ED every year for the combined pediatric inpatient
unit/pediatric ED model to be successful.
PMID- 29787664
TI - [COMPARISON OF PHARMACOLOGICAL EFFECTS OF HEPTAPEPTIDE SELANK AFTER INTRANASAL
AND INTRAPERITONEAL ADMINISTRATION TO BALB/c AND C57BL/6 MICE.]
AB - Pharmacological effects of intraperitoneal (i.p.) and intranasal (i.n.)
administration of heptapeptide selank (300 MUg/kg/day for 5 days), known to
possess anxiolytic and nootropic properties, were compared by studying the
elevated-plus-maze behavior of inbred BALB/c and C57BL/6 mice and measuring the
binding of markers to NMDA and GABA receptors of brain. The anxiolytic and
nootropic efficiency of selank administered via both routes was observed only in
BALB/c mice, which were characterized by initially reduced exploratory activity
and higher levels of anxiety as compared to C57BL/6 mice. In BALB/c mice, i.p.
selank increased the number of [G-(3)H]SR 95531 binding sites with GABA-receptors
in the frontal cortex by 38%, without change in binding to NMDA receptors in the
hippocampus. On the contrary, i.n. selank led to an increase in the density of [G
(3)H]MK-801 binding sites by 23% with no effect on GABA receptors. It is
suggested that the differences in pharmacological spectra observed for the two
routes of selank administration are determined by specific features of drug
pharmacokinetics and biotransformation as well as by the dynamics of formation of
the anxiolytic and nootropic effects of selank.
PMID- 29787665
TI - [THE INFLUENCE OF NITROGLYCERIN ON SPECTRAL AND OXYGEN-BINDING CHARACTERISTICS OF
HUMAN INTRACELLULAR HEMOGLOBIN.]
AB - The spectral and oxygen-binding characteristics of human intracellular hemoglobin
in the presence of nitroglycerin at concentrations of 5 ng/mL and 5 MUg/mL have
been studied. Short incubation (20 min) of erythrocytes with the drug led
increasing hemoglobin affinity to oxygen and weakening of cooperative
interactions in hemoprotein molecules. As a result, the amount of O(2) supplied
to tissues in the process of gas exchange decreased by 23.96% (5 ng/mL) and
26.68% (5 MUg/ml), p < 0.05. Incubation of cells for 24 h resulted in oxidation
of the heme iron atom, accumulation of methemoglobin, and partial hemolysis.
Nitroglycerin reduces the intensity of oxidative processes. However, no
dependence of the degree of changes in the physical and chemical properties of
hemoglobin on the concentration of nitroglycerin was found.
PMID- 29787666
TI - [POLYMORPHISM OF CYP2C9 GENE AND RISK OF HEPATOTOXICITY DEVELOPMENT DURING
ANTIRETROVIRAL THERAPY.]
AB - We have studied the association of polymorphic variants of CYP2C9 genes with the
risk of drug-induced liver injury (DILI) during antiretroviral therapy of HIV
infected patients. The analysis of polymorphic variants of CYP2C9*2.(Argl44Cys)
and CYP2C9*3 (Ile359Leu) genes showed that the dominant genotype of CYP2C9*2 was
the honiozygous CC carriership and for CYP2C9*3 it was the prevalence of AA
genotype, the incidence of which was close and amounted to 80%. There was no
association of these genotypes CYP2C9 with the risk of DILI. Thus, the
carriership of individual C and T alleles in the case of CYP2C9*2 gene, as well
as A and C for CYP2C9*3 is not a predictor of antiretroviral DILI.
PMID- 29787667
TI - [INFLUENCE OF PROGESTERONE DERIVATIVES ON THE VIABILITY AND EXPRESSION OF
ESTROGEN RECEPTOR-ALPHA MRNA IN HELA CELLS.]
AB - We have studied the effect of new ligands of progesterone receptors, including
pregna-D'-pentaran 6-methoxyimino-16a,17a-cyclohexanopregn-4-en-3,20-dio-ne
(K1047), 17a-acetoxy-3b-butanoyloxy-6-methylpregna-4,6-dien-20-one (buterol),
progesterone (P4), and medroxyprogesterone acetate on the viability of HeLa cells
and expression of estrogen receptor-alpha (Era) mRNA gene in these cells. K1I047
and buterol exhibited high cytostatic activity, which exceeded the activity of
reference compounds on the average by 15% (p < 0.05). Both buterol and K-1047 (at
10(-6)M) effectively suppressed ERa mRNA gene expression in HeLa cell culture by
83.4 - 9 8.6%.
PMID- 29787668
TI - [INFLUENCE OF A NEW SUBSTANCE WITH ANTIDEPRESSANT ACTIVITY ON ORGANO- AND
FETOGENESIS PROCESSES REGISTERED DURING ANTHENATAL PERIOD.]
AB - Experiments on pregnant female rats showed that the beta-phenylglutaminic acid
hydrochloride derivative neuroglutam (glutaron), exhibiting antidepressant and
anxiolytic activity upon intragastric administration in doses of 26, 130 and 650
mg/kg to female rats from 6 to 16 days of pregnancy, does not impair organo- and
fetogenesis processes (developments of fetus) registered during the anthenatal
period, decreases fetal death, and activates the processes of prenatal
development of the fetus bv 11.1% (p < 0.001), 8.3% (p < 0.001), and 2.8% (p <
0.05), respectively.
PMID- 29787669
TI - [STRESS-PROTECTIVE EFFECT OF CYTOFLAVIN ON CHRONIC CEREBRAL ISCHEMIA IN RATS.]
AB - Analysis of stress-releasing blood reactions (electrophoretic mobility of red
blood cells, WBC count, and leukocyte ratio) in rats with experimental cerebral
ischernia under the action of cytoflavin held showed that cytoflavin in
combination with basic therapy leads to further activation of compensatory
adaptive reactions of the body and stimulated peripheral stress-limiting
mechanisms.
PMID- 29787670
TI - [PROFESSOR VLADIMIR V. NIKOLAEV AND RUSSIAN PHARMACOLOGY.]
AB - Various stages of scientific research activity of Prof. Vladimir V. Nikolaev are
analyzed. The importance of Prof. Nikolaev's discovery of the two-neuron
parasympathetic nervous system and some new methods of pharmacological substances
evaluation is shown. Prof. Nikolaev is known as the editor of the first USSR
Pharmacopoeia. Peculiarities of pharmacology teaching at the First Moscow Medical
institute under conditions of changing social demands are described. Successful
research of Prof. Nikolaev with colleagues in studying new mechanisms of drug
action and developing original pharmacological substances is summarized.
PMID- 29787671
TI - [PROTECTIVE ROLE OF MELATONIN IN ETIOLOGY AND COURSE OF PARKINSON'S DISEASE:
EXPERIMENTAL EVIDENCE.]
AB - Literature data about participation of melatonin - the main hormone of pineal
gland - in the origin and course of Parkinson's disease are reviewed. On the
cellular level, melatonin demonstrates neuroprotective activity based on the
limitation of oxidative stress, inflammation, and degradation of dopamine in
nervous tissue, the attenuation of mitochondrial dysfunction, and the
accumulation of alpha-synuclein, which are observed in parkinsonian animals and
human patients. Moreover, melatonin is capable of inducing some systemic changes
that limit disorganization of circadian rhythm and insomnia. It is suggested
that, after extensive placebo controlled and randomized examinations in clinical
practice, melatonin may be recommended for complex therapy of Parkinson's disease
with obligatory taking into consideration some variable factors.
PMID- 29787672
TI - Oxidized Nanocarbons-Tripeptide Supramolecular Hydrogels: Shape Matters!
AB - Short peptide hydrogels are attractive biomaterials but typically suffer from
limited mechanical properties. Inclusion of other nanomaterials can serve the
dual purpose of hydrogel reinforcement and of conferring additional
physicochemical properties ( e. g., self-healing, conductivity), as long as they
do not hamper peptide self-assembly. In particular, nanocarbons are ideal
candidates, and their physicochemical properties have demonstrated great
potential in nanocarbon-polymer gel biomaterials for tissue engineering or drug
delivery. Recently, increasing interest in supramolecular hydrogels drove
research also on their enhancement with nanocarbons. However, little is known on
the effect of nanocarbon morphology on the self-assembly of short peptides, which
are among the most popular hydrogel building blocks. In this work, three
different oxidized nanocarbons ( i. e., carbon nanotube or CNT as 1D material,
graphene oxide sheet or GO as 2D material, and carbon nanohorn or CNH as 3D
material) were evaluated for their effects on the self-assembly of the
unprotected tripeptide Leu-DPhe-DPhe at physiological conditions. Supramolecular
hydrogels were obtained in all cases, and viscoelastic properties were clearly
affected by the nanocarbons, which increased stiffness and resistance to applied
stress. Notably, self-healing behavior was observed only in the case of CNTs.
Tripeptide-nanotube interaction was noted already in solution prior to self
assembly, with the tripeptide acting as a dispersing agent in phosphate buffer.
Experimental and in silico investigation of the interaction between peptide and
CNTs suggests that the latter acts as nucleation templates for self-assembly and
reassembly. Overall, we provide useful insights for the future design of
composite biomaterials with acquired properties.
PMID- 29787673
TI - Freeze-Dried Carbon Nanotube Aerogels for High-Frequency Absorber Applications.
AB - A novel technique for millimeter wave absorber material embedded in a metal
waveguide is proposed. The absorber material is a highly porous carbon nanotube
(CNT) aerogel prepared by a freeze-drying technique. CNT aerogel structures are
shown to be good absorbers with a low reflection coefficient, less than -12 dB at
95 GHz. The reflection coefficient of the novel absorber is 3-4 times lower than
that of commercial absorbers with identical geometry. Samples prepared by freeze
drying at -25 degrees C demonstrate resonance behavior, while those prepared at
liquid nitrogen temperature (-196 degrees C) exhibit a significant decrease in
reflection coefficient, with no resonant behavior. CNT absorbers of identical
volume based on wet-phase drying preparation show significantly worse performance
than the CNT aerogel absorbers prepared by freeze-drying. Treatment of the freeze
dried CNT aerogel with n- and p-dopants (monoethanolamine and iodine vapors,
respectively) shows remarkable improvement in the performance of the waveguide
embedded absorbers, reducing the reflection coefficient by 2 dB across the band.
PMID- 29787674
TI - Single Quantum Dot Tracking Reveals Serotonin Transporter Diffusion Dynamics are
Correlated with Cholesterol-Sensitive Threonine 276 Phosphorylation Status in
Primary Midbrain Neurons.
AB - Serotonin transporter (SERT) terminates serotonin signaling in the brain by
enabling rapid clearance of the neurotransmitter. SERT dysfunction has been
associated with a variety of psychiatric disorders, including depression,
anxiety, and autism. Visualizing SERT behavior at the single molecule level in
endogenous systems remains a challenge. In this study, we utilize quantum dot
(QD) single particle tracking (SPT) to capture SERT dynamics in primary rat
midbrain neurons. Membrane microenvironment, specifically membrane cholesterol,
plays a key role in SERT regulation and has been found to affect SERT
conformational state. We sought to determine how reduced cholesterol content
affects both lateral mobility and phosphorylation of conformationally sensitive
threonine 276 (Thr276) in endogenous SERT using two different methods of
cholesterol manipulation, statins and methyl-beta-cyclodextrin. Both chronic and
acute cholesterol depletion increased SERT lateral diffusion, radial displacement
along the membrane, mobile fraction, and Thr276 phosphorylation levels. Overall,
this work has provided new insights about endogenous neuronal SERT mobility and
its associations with membrane cholesterol and SERT phosphorylation status.
PMID- 29787675
TI - Metal-Stabilized Quinoidal Dibenzo[ g, p]chrysene-Fused Bis-dicarbacorrole
System.
AB - We report here a metal complexation-based strategy that permits access to a
highly stable expanded porphyrin-type quinoidal polycyclic aromatic hydrocarbons
(PAH). Specifically, double insertion of Pd(II) ions into a dibenzo[ g,
p]chrysene-fused bis-dicarbacorrole (bis-H3) gives rise to a bis-metalated
species (bis-Pd) that undergoes a facile benzenoid-quinonoid transformation. In
contrast to what is true for the corresponding mono-Pd(II) complex, which has
organic radical character, well resolved 1H NMR and 19F NMR spectra are seen for
bis-Pd. This complex is also electron paramagnetic resonance (EPR) silent over a
range of temperatures. On the basis of crystallographic analyses, Raman
spectroscopic studies, harmonic oscillator model of aromaticity (HOMA), and
nucleus-independent chemical shift (NICS) calculations, we suggest that the
dibenzo[ g, p]chrysene bridge in bis-Pd has quinoidal character and that the
system as a whole is a closed shell species. As expected for a quinoidal system,
bis-Pd is characterized by a lowest energy absorption band that is shifted into
the NIR (lambdamax = ca. 1420 nm (epsilon > 1.5 * 105 M-1 cm-1) for bis-Pd vs 780
nm (epsilon < 5.0 * 103 M-1 cm-1) for bis-H3). On the other hand, bis-Pd displays
solvent dependent ground state and transient absorption spectral features. Such
findings provide support for a zwitterionic resonance contribution to what is a
predominantly a quinonoid-type ground state. The use of specific metalation to
fine-tune the electronic features of polytopic ligands, as reported here, opens
the door to what might be a potentially generalizable approach to the design of
quinoidal PAH structures with long wavelength solvatochromic absorption features.
PMID- 29787677
TI - Synergistic Exposure of Return-Sludge to Anaerobic Starvation, Sulfide, and Free
Ammonia to Suppress Nitrite Oxidizing Bacteria.
AB - A key step toward energy-positive sewage treatment is the development of
mainstream partial nitritation/anammox, a nitrogen removal technology where
aerobic ammonium-oxidizing bacteria (AerAOB) are desired, while nitrite-oxidizing
bacteria (NOB) are not. To suppress NOB, a novel return-sludge treatment was
investigated. Single and combined effects of sulfide (0-600 mg S L-1), anaerobic
starvation (0-8 days), and a free ammonia (FA) shock (30 mg FA-N L-1 for 1 h)
were tested for immediate effects and long-term recovery. AerAOB and NOB were
inhibited immediately and proportionally by sulfide, with AerAOB better coping
with the inhibition, while the short FA shock and anaerobic starvation had minor
effects. Combinatory effects inhibited AerAOB and NOB more strongly. A combined
treatment of sulfide (150 mg S L-1), 2 days of anaerobic starvation, and FA shock
(30 mg FA-N L-1) inhibited AerAOB 14% more strongly compared to sulfide addition
alone, while the AerAOB/NOB activity ratio remained constant. Despite no positive
change being observed in the immediate-stress response, AerAOB recovered much
faster than NOB, with a nitrite accumulation ratio (effluent nitrite on nitrite +
nitrate) peak of 50% after 12 days. Studying long-term recovery is therefore
crucial for design of an optimal NOB-suppression treatment, while applying
combined stressors regularly may lead toward practical implementation.
PMID- 29787676
TI - Surface Plasmon Resonance Study of the Binding of PEO-PPO-PEO Triblock Copolymer
and PEO Homopolymer to Supported Lipid Bilayers.
AB - Poloxamer 188 (P188), a poly(ethylene oxide)- b-poly(propylene oxide)- b
poly(ethylene oxide) triblock copolymer, protects cell membranes against various
external stresses, whereas poly(ethylene oxide) (PEO; 8600 g/mol) homopolymer
lacks protection efficacy. As part of a comprehensive effort to elucidate the
protection mechanism, we used surface plasmon resonance (SPR) to obtain direct
evidence of binding of the polymers onto supported lipid bilayers. Binding
kinetics and coverage of P188 and PEO were examined and compared. Most notably,
PEO exhibited membrane association comparable to that of P188, evidenced by
comparable association rate constants and coverage. This result highlights the
need for additional mechanistic understanding beyond simple membrane association
to explain the differential efficacy of P188 in therapeutic applications.
PMID- 29787678
TI - Three-Dimensional, Solid-State Mixed Electron-Ion Conductive Framework for
Lithium Metal Anode.
AB - Solid-state electrolytes (SSEs) have been widely considered as enabling materials
for the practical application of lithium metal anodes. However, many problems
inhibit the widespread application of solid state batteries, including the growth
of lithium dendrites, high interfacial resistance, and the inability to operate
at high current density. In this study, we report a three-dimensional (3D) mixed
electron/ion conducting framework (3D-MCF) based on a porous-dense-porous
trilayer garnet electrolyte structure created via tape casting to facilitate the
use of a 3D solid state lithium metal anode. The 3D-MCF was achieved by a
conformal coating of carbon nanotubes (CNTs) on the porous garnet structure,
creating a composite mixed electron/ion conductor that acts as a 3D host for the
lithium metal. The lithium metal was introduced into the 3D-MCF via slow
electrochemical deposition, forming a 3D lithium metal anode. The slow lithiation
leads to improved contact between the lithium metal anode and garnet electrolyte,
resulting in a low resistance of 25 Omega cm2. Additionally, due to the
continuous CNT coating and its seamless contact with the garnet we observed
highly uniform lithium deposition behavior in the porous garnet structure. With
the same local current density, the high surface area of the porous garnet
framework leads to a higher overall areal current density for stable lithium
deposition. An elevated current density of 1 mA/cm2 based on the geometric area
of the cell was demonstrated for continuous lithium cycling in symmetric lithium
cells. For battery operation of the trilayer structure, the lithium can be cycled
between the 3D-MCF on one side and the cathode infused into the porous structure
on the opposite side. The 3D-MCF created by the porous garnet structure and
conformal CNT coating provides a promising direction toward new designs in solid
state lithium metal batteries.
PMID- 29787679
TI - Dynamic Proteome Alteration and Functional Modulation of Human Saliva Induced by
Dietary Chemosensory Stimuli.
AB - Saliva flow measurements and SDS-PAGE separation of human whole saliva freshly
collected after oral stimulation with citric acid (sour), aspartame (sweet), iso
alpha-acids (bitter), mono sodium l-glutamate (umami), NaCl (salty), 6-gingerol
(pungent), hydroxy-alpha-sanshool (tingling), and hydroxy-beta-sanshool
(numbing), followed by tryptic digestion, nano-HPLC-MS/MS, and label-free protein
quantitation demonstrated a stimulus- and time-dependent influence of the dietary
chemosensates on salivation and the salivary proteome composition. Gene ontology
enrichment analysis showed evidence for stimulus-induced alterations of the
saliva proteome to boot an efficient molecular defense network of the oral
cavity, e.g., 6-gingerol increased salivary lactoperoxidase activity, catalyzing
the oxidation of thiocyanate to produce the antimicrobial and antifungal
hypothiocyanate, from 0.37 +/- 0.02 to 0.91 +/- 0.05 mU/mL 45 s after
stimulation. In comparison, oral citric acid stimulation induced an increase of
myeloperoxidase activity, catalyzing the chloride oxidation to generate
antimicrobial hypochloride in saliva, from 0.24 +/- 0.04 to 0.70 +/- 0.1 mU/mL as
well as an increase of salivary levels of lysozyme, exhibiting antimicrobial
activity on Gram-positive bacteria, from 6.0-10 to 100-150 MUg/mL. Finally,
microbial growth experiments clearly demonstrated for the first time that the
increase of the salivary lysozyme abundance upon oral citric acid stimulation
translates into an enhanced biological function, that is an almost complete
growth inhibition of the two lysozyme-sensitive Gram-positive bacteria tested.
PMID- 29787680
TI - Revision Lingual Frenotomy Improves Patient-Reported Breastfeeding Outcomes: A
Prospective Cohort Study.
AB - BACKGROUND: Lingual frenotomy improves patient-reported outcome measures,
including infant reflux and maternal nipple pain, and prolongs the nursing
relationship; however, many mother-infant dyads continue to experience
breastfeeding difficulty despite having had a frenotomy. Research aim: The aim of
this study was to determine how incomplete release of the tethered lingual
frenulum may result in persistent breastfeeding difficulties. METHODS: A one
group, observational, prospective cohort study was conducted. The sample
consisted of breastfeeding mother-infant (0-9 months of age) dyads ( N = 54)
after the mothers self-elected completion lingual frenotomy and/or maxillary
labial frenectomy following prior lingual frenotomy performed elsewhere.
Participants completed surveys preoperatively, 1-week postoperatively, and 1
month postoperatively consisting of the Breastfeeding Self-Efficacy Scale-Short
Form (BSES-SF), Visual Analog Scale (VAS) for nipple pain severity, and the
Revised Infant Gastroesophageal Reflux Questionnaire (I-GERQ-R). RESULTS:
Significant postoperative improvements were reported between mean preoperative
scores compared with 1-week and 1-month scores of the BSES-SF, F(2) = 41.2, p <
.001; the I-GERQ-R, F(2) = 22.7, p < .001; and VAS pain scale, F(2) = 46.1, p <
.001. CONCLUSION: We demonstrated that besides nipple pain, measures of infant
reflux symptoms and maternal breastfeeding self-confidence can improve following
full release of the lingual frenulum. Additionally, a patient population was
identified that could benefit from increased scrutiny of infant tongue function
when initial frenotomy fails to improve breastfeeding symptoms.
PMID- 29787681
TI - Higher anthocyanin accumulation associated with higher transcription levels of
anthocyanin biosynthesis genes in spinach.
AB - Spinach (Spinacia oleracea L.) is widely cultivated as an economically important
green leafy vegetable crop for fresh and processing consumption. The red-purple
spinach shows abundant anthocyanin accumulation in the leaf and leaf petiole.
However, the molecular mechanisms of anthocyanin synthesis in this species are
still undetermined. In the present study, we investigated pigment formation and
identified anthocyanin biosynthetic genes in spinach. We also analyzed the
expression of these genes in purple and green cultivars by quantitative PCR. The
accumulation of anthocyanin showed that it was the dominant pigment resulting in
the red coloration in spinach. In total, 22 biosynthesis genes and 25 regulatory
genes were identified in spinach, based on the spinach genomic and transcriptomic
database. Furthermore, the expression patterns of genes encoding enzymes
indicated that SoPAL, SoUFGT3, and SoUFGT4 were possible candidate genes for
anthocyanin biosynthesis in red-purple spinach. The expression patterns of
transcription factors indicated that two SoMYB genes, three SobHLH genes, and one
SoWD40 gene were drastically up-regulated and co-expression in red-purple
spinach, suggesting an essential role of regulatory genes in the anthocyanin
biosynthesis of spinach. These results will enhance our understanding of the
molecular mechanisms of anthocyanin biosynthesis in purple spinach.
PMID- 29787682
TI - Maternal Perception of Adequacy of Mother's Milk Among Mothers Giving Birth at a
Teaching Hospital in Sri Lanka.
AB - BACKGROUND: Sri Lanka boasts high rates of early and exclusive breastfeeding.
Perceived inadequacy of milk, a global problem, is the main cause for early
cessation of breastfeeding. Research Aims: The aims of this study are to (a)
determine the prevalence, (b) identify the risk factors, and (c) ascertain the
association that maternal psychological distress has with perceived inadequacy of
milk (PIM), among mothers during the early postpartum period. Identifying and
addressing modifiable risk factors for PIM may improve mothers' satisfaction with
breastfeeding. METHODS: A cross-sectional descriptive study of mothers ( n = 249)
during the first week after birth was conducted at Colombo North Teaching
Hospital (Ragama, Sri Lanka) from May 1, 2016, to June 10, 2016. Participants
were recruited when the infant was more than 24 hours but less than 7 days old. A
self-administered questionnaire, including the six-item Kessler Psychological
Distress Scale, was used. RESULTS: The majority of mothers (78%) perceived their
milk quantity to be adequate. A family member telling mothers that their milk
supply was low had the most significant associations with perceived inadequacy.
Other associations were antenatal maternal complications and birth by cesarean
section. Kessler scores indicating psychological distress occurred in 26% of all
participating mothers, with a higher mean score in those with PIM. CONCLUSIONS:
Sri Lankan family members should be educated further about normal patterns of
milk production during the postpartum period. The authors recommend that PIM be
included in screening tools for postpartum depression in Sri Lanka.
PMID- 29787683
TI - MicroRNAs as potential regulators of platelet function and bleeding diatheses.
AB - Although a growing number of studies suggest that microRNAs (miRNAs) play a
relevant role in platelet biology, their implications in bleeding diatheses are
starting to be investigated. Indeed, several studies have shown that alterations
in the intracellular levels of highly expressed platelet miRNAs provoke a
thrombotic phenotype. On the other hand, primary immune thrombocytopenia (ITP),
which is considered the hallmark of acquired bleeding disorders, has been
recently associated with altered levels of miRNAs in peripheral blood mononuclear
cells, plasma, and platelets. In this review, we will focus on miRNAs that may
affect the hemostatic and thrombotic functions of platelets, and we will discuss
the different studies that have attempted to associate miRNAs with regulatory
mechanisms of ITP.
PMID- 29787684
TI - Trends and variation in prescribing of low-priority treatments identified by NHS
England: a cross-sectional study and interactive data tool in English primary
care.
AB - Objectives NHS England recently announced a consultation seeking to discourage
the use of treatments it considers to be low-value. We set out to produce an
interactive data resource to show savings in each NHS general practice and to
assess the current use of these treatments, their change in use over time, and
the extent and reasons for variation in such prescribing. Design Cross-sectional
analysis. Setting English primary care. Participants English general practices.
Main outcome measures We determined the cost per 1000 patients for prescribing of
each of 18 treatments identified by NHS England for each month from July 2012 to
June 2017, and also aggregated over the most recent year to assess total cost and
variation among practices. We used mixed effects linear regression to determine
factors associated with cost of prescribing. Results Spend on low-value
treatments was L153.5 m in the last year, across 5.8 m prescriptions (mean, L26
per prescription). Among individual treatments, liothyronine had the highest
prescribing cost at L29.6 m, followed by trimipramine (L20.2 m). Over time, the
overall total number of low-value prescriptions decreased, but the cost
increased, although this varied greatly between treatments. Three treatment areas
increased in cost and two increased in volume, all others reduced in cost and
volume. Annual practice level spending varied widely (median, L2262 per thousand
patients; interquartile range L1439 to L3298). Proportion of patients over 65 was
strongly associated with low-value prescribing, as was Clinical Commissioning
Group. Our interactive data tool was deployed to OpenPrescribing.net where
monthly updated figures and graphs can be viewed. Conclusions Prescribing of low
value treatments is extensive but varies widely by treatment, geographic area and
individual practice. Despite a fall in prescription numbers, the overall cost of
prescribing for low-value items has risen. Prescribing behaviour is clustered by
Clinical Commissioning Group, which may represent variation in the optimisation
efficiency of medicines, or in some cases access inequality.
PMID- 29787685
TI - IBFAN News Brief: Who We Are, What We Do.
PMID- 29787686
TI - Social Support for Breastfeeding in the Era of mHealth: A Content Analysis.
AB - BACKGROUND: Several barriers to successful breastfeeding exist, including a lack
of support from peers or professionals. With the emergence of mobile health
(mHealth) tools to provide additional breastfeeding support, a more thorough
review of social support resources was needed. Research Aim: The aim of this
study was to determine mHealth breastfeeding support resources and characterize
the type of social support they provide. METHODS: A content analysis of mHealth
programs ( n = 65), including text messaging ( n = 12) and mobile applications (
n = 53), was conducted on the basis of searches of the iTunes and Google Play
stores ( n = 50) and PubMed, the Cumulative Index of Nursing and Allied Health,
and American Business Information/INFORM Complete Plus ( n = 15). Results were
then dual-coded for key characteristics and types of support provided. RESULTS:
Thirty-eight apps (76%) were free to users, 14 (28%) were offered in a variety of
languages, and 47 (94%) provided informational support. The mobile applications
were targeted largely to postpartum women, focused on breastfeeding duration, and
included troubleshooting information. Mobile applications often included media
components ( n = 12 [24%]); few were tailored or personalized ( n = 5 [10%]) and
interactive ( n = 15 [30%]). Text-messaging programs were available in a variety
of countries ( n = 7 [58%]) and provided mostly informational support ( n = 5
[42%]), were pro-breastfeeding ( n = 12 [100%]), were targeted to both pregnant
and postpartum women ( n = 6 [50%]), focused on both breastfeeding initiation and
duration ( n = 9 [75%]), and had two-way interactivity ( n = 6 [50%]) and
personalization ( n = 5 [42%]). CONCLUSIONS: Although freely available mobile
applications and text-messaging programs exist, with potential for wide reach,
the majority provide only informational support. These programs would benefit
from additional study of their usefulness.
PMID- 29787687
TI - Rapid determination of polar pesticides and plant growth regulators in fruits and
vegetables by liquid chromatography/tandem mass spectrometry.
AB - A simple high-throughput liquid chromatography/tandem mass spectrometry (LC
MS/MS) multiresidue analysis method was developed for the simultaneous
determination of polar pesticides, plant growth regulators and other polar
compounds. These included amitrole, chlormequat, mepiquat, cyromazine, ETU, PTU,
perchlorate, and daminozide using a mixed-mode column. A 10 g test portion was
shaken with acidified methanol for 10 min. After centrifugation, the sample
extract was injected and analyzed within 11 min by LC-MS-MS. This column
eliminated the need for derivatization or the use of ion paring reagent. Two MS
MS transitions were monitored in the method for each target compound to achieve
true positive identification. Eight isotopically-labeled internal standards
corresponding to each analyte were used to correct for matrix suppression effect
and/or instrument signal drift. The average recovery for all analytes at 20, 40,
and 250 ng/g (n = 6) ranged from 73-136%, with a relative standard deviation of
<= 20%.
PMID- 29787688
TI - Intake and Factors Associated with Consumption of Pureed Food in Long Term Care:
An Analysis of Making the Most of Mealtimes (M3) Project.
AB - Residents living in long term care (LTC) who consume a pureed diet tend to have
inadequate intake; understanding factors associated with poor intake in this
group of residents is not well established. This study examined the adequacy of
nutrient intake among LTC residents consuming a pureed diet and the factors
associated with this intake (n = 67). Data was collected as part of a cross
sectional study conducted in 32 LTC homes. Weighed food intake was measured on
three non-consecutive days and analyzed using Food Processor software. Intake of
nutrients were adjusted for intra-individual variability and compared to the
Estimated Average Requirement or Adequate Intake for women only. Consumers of a
pureed diet had low micronutrient intakes. Multivariate analysis found that the
average number of staff assisting with a meal was associated with energy and
protein intake. Overcoming eating challenges, careful menu planning and nutrient
dense options for pureed diets in LTC are recommended.
PMID- 29787689
TI - Patient-specific multiscale computational fluid dynamics assessment of
embolization rates in the hybrid Norwood: effects of size and placement of the
reverse Blalock-Taussig shunt.
AB - The hybrid Norwood operation is performed to treat hypoplastic left heart
syndrome. Distal arch obstruction may compromise flow to the brain. In a variant
of this procedure, a synthetic graft (reverse Blalock-Taussig shunt) is placed
between the pulmonary trunk and innominate artery to improve upper torso blood
flow. Thrombi originating in the graft may embolize to the brain. In this study,
we used computational fluid dynamics and particle tracking to investigate the
patterns of particle embolization as a function of the anatomic position of the
reverse Blalock-Taussig shunt. The degree of distal arch obstruction and position
of particle origin influence embolization probabilities to the cerebral arteries.
Cerebral embolization probabilities can be reduced by as much as 20% by
optimizing graft position, for a given arch geometry, degree of distal arch
obstruction, and particle origin. There is a tradeoff, however, between cerebral
pulmonary and coronary embolization probabilities.
PMID- 29787690
TI - Breastfeeding Practices Among Hispanic and Non-Hispanic Women at the Postpartum
Visit.
AB - BACKGROUND: Breastfeeding is an active area in public health advocacy. Despite
documented benefits for infants and mothers, exclusive breastfeeding is not
universal. Ethnicity, among other variables, has been shown to influence
breastfeeding practice. Research aim: Our study aimed to determine which
variables are associated with infant feeding patterns at the postpartum visit;
compare the sociodemographic variables associated with infant feeding patterns
between Hispanic and non-Hispanic mothers; and determine the odds of exclusive
breastfeeding, mixed feeding, and exclusive formula feeding associated with
sociodemographic characteristics. METHODS: A retrospective, cross-sectional two
group comparison design was used. Hispanic and non-Hispanic women's ( N = 666)
infant feeding patterns at 6-week postpartum were analyzed. Group comparisons
were made of the demographic characteristics and infant feeding practice.
RESULTS: Thirty-four percent of Hispanic participants reported exclusive
breastfeeding compared with 59% of non-Hispanic White participants. Language and
body mass index were significantly associated with infant feeding patterns among
Hispanic participants. Compared with non-Hispanic White participants, Hispanic
participants had increased odds of reporting mixed feeding and exclusive formula
feeding. CONCLUSION: Breastfeeding initiatives should target English-speaking
Hispanic mothers and obese Hispanic mothers to align breastfeeding rates with
medical recommendations. Healthcare providers may benefit from additional
training to address barriers to breastfeeding among obese women and to provide
culturally sensitive support that encourages continued breastfeeding in this
population.
PMID- 29787691
TI - The Influence of Spinal Cord Injury on Breastfeeding Ability and Behavior.
AB - BACKGROUND: Lactation dysfunction following spinal cord injury has been noted in
the literature. However, researchers have often grouped together all women of
physical disability or do not account for injury level. The extent of lactation
dysfunction and influence of spinal cord injury on breastfeeding ability and
behavior is not well understood. Research aim: This study aimed to identify major
barriers to lactation and breastfeeding related to spinal cord injury,
specifically comparing high- and low-level injuries. METHODS: A retrospective
cross-sectional survey design was used. Two online questionnaires were developed
and completed by women ( N = 52) who chose to breastfeed with spinal cord injury,
primarily in Canada and Sweden. RESULTS: The first questionnaire was completed by
52 women with spinal cord injury; 38 of the original 52 participants completed
the second questionnaire. Of the 52 women, 28 (53.8%) had high-level spinal cord
injury (at or above T6) and 24 (46.1%) had low-level injury (below T6). On the
second questionnaire, 14 (77.8%) women with high-level injury reported
insufficient milk production or ejection. Only 35% of women ( n = 7) with low
level injury reported the same. Autonomic dysreflexia was experienced by 38.9% of
women ( n = 7) with high-level injury. Exclusive breastfeeding duration was
significantly shorter ( p < .05) in the high-level injury group (3.3 months)
compared with women with low-level injury (6.5 months). CONCLUSION: These results
further support the notion that spinal cord injury (particularly at or above T6)
disrupts lactation and is associated with shorter breastfeeding duration.
Autonomic dysreflexia should be addressed in prospective mothers with high-level
spinal cord injury.
PMID- 29787692
TI - Comparing Different Methods of Measuring Accommodative Amplitude with
Hofstetter's Normative Values in a Ghanaian Population.
AB - AIM: Amplitude of accommodation (AoA) can be determined clinically using
different methods. Some methods are known to be more reliable than others for
measuring AoA in different age categories. The purpose of this study was to
compare Hofstetter's age-expected norms with five recommended methods of
measuring AoA in order to determine age-appropriate techniques for a Ghanaian
population. MATERIALS AND METHODS: AoA was measured using four subjective methods
(push-up, push-down, minus lens, and modified push-up) and one objective method,
the modified dynamic retinoscopy. The amplitudes obtained by each technique were
compared to each other and also compared to the age-expected amplitudes as
predicted by Hofstetter's equations. RESULTS: 352 non-presbyopes aged 10-39 years
were included in this study. All five methods except the push-up (p = 0.089) and
modified push-up (p = 0.081) differed significantly from Hofstetter's data, while
the modified dynamic retinoscopy recorded the strongest agreement with
Hofstetter's average (ICC = 0.78, p ? 0.001). With reference to Hofstetter's
expected AoA, the minus lens, push-down, modified dynamic retinoscopy, and
modified push-up methods underestimated AoA by -4.18D, -1.99D, -0.48D, and
0.43D, respectively. As age increased, underestimated AoA values by the minus
lens (10-19 years: -5.57D, 20-29 years: -3.50D, 30-39 years: -2.39D), modified
push-up (10-19 years: -1.51D, 20-29 years: +0.40D, 30-39 years: +0.56D), and push
down (10-19 years: -2.90D, 20-29 years: -1.07D, 30-39 years: -1.46D) methods
decreased but the modified push-up in relation to Hofstetter's expected was most
accurate for the older age. The push-up, on the other hand, overestimated
accommodation in all age categories by +0.42D (10-19 years: +0.01D, 20-29 years:
+0.82D, 30-39 years: 0.67D). Thus, the push-up method became more accurate as age
decreased. CONCLUSION: This study suggested that Hofstetter's formulae could be
used to predict the amplitudes of Ghanaian non-presbyopes aged 10-39 years using
the push-up and modified push-up. With regard to Hofstetter's data, the push-up
method was more accurate for the younger age-group 10-19 years while the modified
push-up was more accurate for the older age-group 20-39.
PMID- 29787693
TI - Quadriceps Rate of Torque Development and Disability in Persons With Tibiofemoral
Osteoarthritis.
AB - Background Declines in the ability to rapidly generate quadriceps muscle torque
may underlie disability in individuals with tibiofemoral osteoarthritis.
Objective To determine whether quadriceps rate of torque development (RTD)
predicts self-reported disability and physical performance outcomes in
individuals with tibiofemoral osteoarthritis. Methods This controlled laboratory,
cross-sectional study assessed quadriceps strength and RTD in 76 individuals (55%
female; mean +/- SD age, 61.83 +/- 7.11 years) with symptomatic and radiographic
tibiofemoral osteoarthritis. Early (0-50 milliseconds), late (100-200
milliseconds), and overall peak RTDs were quantified in the symptomatic
(involved) and contralateral limbs and used to calculate bilateral average
values. Disability was assessed using the Western Ontario and McMaster
Universities Osteoarthritis Index (WOMAC) function subscale and 3 physical
performance tests, including the (1) 20-m fast-paced walk, (2) 30-second chair
stand, and (3) timed stair climb. Separate univariate regression models were used
to determine the unique associations among measures of quadriceps RTD, WOMAC
function score, and physical performance outcomes after accounting for quadriceps
strength (change in R2). Results Greater involved-side late RTD and greater
bilateral average early RTD were associated with faster walking (change in R2 =
0.05, P = .013 and change in R2 = 0.05, P = .043, respectively). Greater
bilateral average late RTD was associated with faster walking (change in R2 =
0.20, P<.001) and faster stair climb (change in R2 = 0.11, P = .001). No
quadriceps RTD variable was significantly associated with WOMAC function score
(change in R2 range, <0.01-0.017). Conclusion Involved-limb quadriceps RTD was
weakly associated with physical performance outcomes, but not self-reported
disability, in individuals with tibiofemoral osteoarthritis. Bilateral average
quadriceps RTD was moderately associated with walking speed. Level of Evidence
Prognosis, level 2b. J Orthop Sports Phys Ther 2018;48(9):694-703. Epub 22 May
2018. doi:10.2519/jospt.2018.7898.
PMID- 29787694
TI - Gait Alterations in Femoroacetabular Impingement Syndrome Differ by Sex.
AB - Background Femoroacetabular impingement (FAI) syndrome may affect gait kinematics
differently between males and females. Objectives To investigate whether
individuals with FAI syndrome have different hip and pelvic motion during gait,
at their preferred speed and a prescribed speed, compared to individuals of the
same sex without pain. Methods Twenty-one participants (11 males and 10 females)
with FAI syndrome and 41 participants (19 males and 22 females) without hip pain
were included in this case-control laboratory study. There were no differences
between the 2 groups in age, body mass index, and activity score. Kinematic data
for all participants were collected while walking at a preferred speed and at
1.25 m/s. For sex and walking speed, linear regression analyses were used to
examine the effect of group and the interaction of group by limb. Results At both
speeds, males with FAI syndrome walked with more than 6 degrees less peak hip
extension (P<=.018), 5 degrees greater anterior pelvic tilt (P<=.020), and 5
degrees less posterior pelvic tilt (P<=.018) compared to males without hip pain.
Females with FAI syndrome walked with 2 degrees less hip extension (P<=.012) and
at least 3 degrees more hip adduction (P<.001) in the more painful hip than in
the less painful hip at both speeds. Conclusion Males and females with FAI
syndrome have different gait alterations when compared to a same-sex comparison
group. In males, differences were between groups. In females with FAI syndrome,
differences were between the more painful and the less painful limb. J Orthop
Sports Phys Ther 2018;48(8):649-658. Epub 22 May 2018.
doi:10.2519/jospt.2018.7913.
PMID- 29787695
TI - Incidence of Musculoskeletal Injury in US Army Unit Types: A Prospective Cohort
Study.
AB - BACKGROUND: Musculoskeletal injuries during military service are a primary source
of disability, resulting in 2.4 million annual health care visits and 25 million
limited-duty days. While the injury incidence during basic training is well
documented, there is little understanding of injury distribution by organization
type in the US Army following initial training. OBJECTIVE: To compare injury
incidence, distribution, and impact across various military units. METHODS: In
this prospective observational cohort study, comprehensive injury data from
subject questionnaires and medical chart reviews were collected over 12 months
for 1430 initially healthy Army personnel, representing combat, combat support,
combat service support, and ranger units. Health care utilization and time loss
due to injury were also collected. RESULTS: Of 1430 soldiers, 481 (33.6%) had
time-loss injury, 222 (15.5%) were injured without limited work, 60 (4.2%)
reported an injury but did not seek medical care, and 667 (46.6%) were uninjured.
Across the whole sample, injuries were responsible for 5.9 +/-14.4 medical visits
per soldier, 21 902 days of limited work, and $1 337 000 ($1901 +/- $6535 per
soldier) in medical costs. Considering only those reporting injury, each person
averaged 36.3 +/- 59.7 limited-work days. The injury incidence was highest in
combat service support units (65.6%), with a risk ratio 1.60 times that of the
reference group (combat, 41.1%). CONCLUSION: Combat support and combat service
support personnel were more likely to have 1 or more injuries compared to rangers
and combat personnel. The higher relative risk of injury in support units should
be explored further. J Orthop Sports Phys Ther 2018;48(10):749-757. Epub 22 May
2018. doi:10.2519/jospt.2018.7979.
PMID- 29787696
TI - Impact of Risk Adjustment on Provider Ranking for Patients With Low Back Pain
Receiving Physical Therapy.
AB - Background The impact of risk adjustment on clinic quality ranking for patients
treated in physical therapy outpatient clinics is unknown. Objectives To compare
clinic ranking, based on unadjusted versus risk-adjusted outcomes for patients
with low back pain (LBP) who are treated in physical therapy outpatient clinics.
Methods This retrospective cohort study involved a secondary analysis of data
from adult patients with LBP treated in outpatient physical therapy clinics from
2014 to 2016. Patients with complete outcomes data at admission and discharge
were included to develop the risk-adjustment model. Clinics with complete
outcomes data for at least 50% of patients and at least 10 complete episodes of
care per clinician per year were included for ranking assessment. The R2
shrinkage and predictive ratio were used to assess overfitting. Agreement between
unadjusted and adjusted rankings was assessed with percentile ranking by deciles
or 3 distinct quality ranks based on uncertainty assessment. Results The primary
sample included 414 125 patients (mean +/- SD age, 57 +/- 17 years; 60% women)
treated by 12 569 clinicians from 3048 clinics from all US states; 82% of
patients from 2107 clinics were included in the ranking assessment. The R2
shrinkage was less than 1%, with a predictive ratio of 1. Risk adjustment
impacted ranking for 70% or 31% of clinics, based on deciles or 3 distinct
quality levels, respectively. Conclusion Important changes in ranking were found
after adjusting for basic patient characteristics of those admitted to physical
therapy for treatment of LBP. Risk-adjustment profiling is necessary to more
accurately reflect quality of care when treating patients with LBP. Level of
Evidence Therapy, level 2b. J Orthop Sports Phys Ther 2018;48(8):637-648. Epub 22
May 2018. doi:10.2519/jospt.2018.7981.
PMID- 29787697
TI - Rehabilitation Practice Patterns Following Anterior Cruciate Ligament
Reconstruction: A Survey of Physical Therapists.
AB - BACKGROUND: Recovery from anterior cruciate ligament reconstruction (ACLR)
requires an intensive course of postoperative rehabilitation. Although guidelines
outlining evidence-based rehabilitation recommendations have been published,
actual practice patterns of physical therapists are unknown. OBJECTIVES: To
analyze the current landscape of clinical practice as it pertains to
rehabilitation progression and the use of time and objective criteria in
rehabilitation following ACLR. METHODS: In this cross-sectional study, an online
survey was distributed to members of the Academy of Orthopaedic Physical Therapy,
the American Academy of Sports Physical Therapy, and the Private Practice Section
of the American Physical Therapy Association between January and March 2017.
RESULTS: The study analyzed a sample of 1074 responses. Supervised physical
therapy was reported to last 5 months or less by 56% of survey respondents. The
most frequent time frames for activity progression were 3 to 4 months (58%) for
jogging, 4 to 5 months (50%) for modified sports activity, and 9 to 12 months
(40%) for unrestricted sports participation. More than 80% of respondents
reported using strength and functional measures during rehabilitation. Of those
physical therapists who assessed strength, 56% used manual muscle testing as
their only means of strength testing. Single-limb hop testing (89%) was the most
frequently reported measure used to allow patients to begin modified sports
activity following ACLR. Performance criteria for strength and functional tests
varied significantly across all phases of rehabilitation. The 45% of respondents
who reported using patient-reported outcome measures indicated that just under
10% of those measures involved fear or athletic confidence scales. CONCLUSION:
Considerable variation in practice exists among American Physical Therapy
Association members regarding rehabilitation following ACLR. This variability in
practice may contribute to suboptimal outcomes and confusion among practitioners
and patients. J Orthop Sports Phys Ther 2018;48(10):801-811. Epub 22 May 2018.
doi:10.2519/jospt.2018.8264.
PMID- 29787698
TI - US Ethnic Group Differences in Family Member Support for People With Diabetes in
the 2nd Diabetes Attitudes, Wishes and Needs (DAWN2) Study.
AB - Purpose The purpose of the study was to describe the perceptions of family
members (FM) and people with diabetes (PWD) regarding the frequency and
helpfulness of FM support for PWD, including differences among US ethnic groups.
Methods The US 2nd Diabetes Attitudes, Wishes and Needs (DAWN2) substudy was a
survey of independent samples of 238 adult FM and 540 adult PWD. Outcome measures
included ratings by FM and PWD of the frequency and perceived helpfulness of 7 FM
support behaviors and composite scores for frequency and helpfulness. Results
Ratings of individual FM support behaviors were strongly correlated between FM
and PWD but significantly different among behaviors. FM and PWD reported most
frequent support for listening, assisting, and doing activities with PWD and
reporting PWD was doing poorly least frequently. Both groups reported listening,
assisting, and reporting PWD was doing well as most helpful; reporting PWD was
doing poorly was least helpful. PWD rated support and helpfulness of most
behaviors lower than FM. Composite measures of support frequency and helpfulness
were strongly correlated for both FM and PWD. Ethnic minority PWD and FM reported
most support behaviors as more frequent and more helpful than non-Hispanic white
Americans. Conclusions FM more frequently engage in the support behaviors they
view as most helpful, but PWD perceive support to be less frequent and less
helpful than FM. FM support differs across ethnic groups, with ethnic minorities
reporting higher support frequency and helpfulness. Diabetes care providers
should consider ethnic group differences in FM support for PWD.
PMID- 29787700
TI - Predictors of excess birth weight in Brazil: a systematic review.
AB - OBJECTIVE: To describe the main predictors for excess birth weight in Brazilian
children. DATA SOURCES: Systematic review carried out in the bibliographic
databases: PubMed/MEDLINE, Cochrane, Scopus, Web of Science, and LILACS. The
research in the gray literature was performed using the Google Scholar database.
The bias risk analysis was adapted from the Downs and Black scale, used to
evaluate the methodology of the included studies. DATA SYNTHESIS: Using the
classifications of fetal macrosomia (>4000g or >=4000g) and large for gestational
age (above the 90th percentile), 64 risk factors for excess birth weight were
found in 33 scientific articles in the five regions of the country. Of the 64
risk factors, 31 were significantly associated with excess birth weight, with
excess gestational weight gain, pre-gestational body mass index >=25kg/m2, and
gestational diabetes mellitus being the most prevalent. CONCLUSION: The main
predictors for excess birth weight in Brazil are modifiable risk factors. The
implementation of adequate nutritional status in the gestational period and even
after childbirth appears to be due to the quality and frequency of the follow-up
of the mothers and their children by public health agencies.
PMID- 29787701
TI - The effect of sleep quality on academic performance is mediated by Internet use
time: DADOS study.
AB - OBJECTIVE: The aims of the present study were to analyze the association of sleep
patterns with academic and cognitive performance in adolescents, and to test the
potential mediating effect of different activities of screen media usage on this
association. METHODS: A sample of 269 adolescents (140 boys) aged 14 years from
the baseline data of the Deporte, ADOlescencia y Salud study completed
questionnaires about sleep quality, cognitive performance, and leisure-time
sedentary behaviors. Sleep duration was objectively computed using a wrist-worn
GENEActiv accelerometer and academic performance was analyzed through school
records. RESULTS: Sleep quality (but not sleep duration) was associated with all
the academic performance indicators (all p<0.05). Analysis of covariance revealed
higher grades among adolescents with better sleep quality (PSQI<=5; all p<0.05).
These analyses showed no differences regarding cognitive performance. Internet
use time was revealed as a mediator of the association between sleep quality and
academic performance, being significant for all academic performance indicators
(PM ranging from 15.5% to 16.0%). CONCLUSIONS: The association between sleep
quality and academic performance in adolescents is mediated by time of Internet
use. Overall, reducing Internet use in adolescents could be an achievable
intervention for improving sleep quality, with potentially positive effects on
academic performance.
PMID- 29787702
TI - Lip Morphology in Patients With Facial Asymmetry Can Be Corrected by 2-Jaw
Surgery.
AB - PURPOSE: Surgical orthodontic patients with facial asymmetry frequently show
asymmetry of the lips, and this is often a major complaint of patients. This
study investigated whether lip asymmetry associated with the maxilla and mandible
was improved when 2-jaw surgery was performed in surgical orthodontic treatment.
MATERIALS AND METHODS: Inclusion criteria for this retrospective cohort study
were 1) an anteroposterior maxillary relation defined as skeletal Class I; 2)
menton (Me) tranverse deviation greater than 5.0 mm; 3) maxillary cant greater
than 3.0 degrees ; and 4) 2-jaw surgery. Primary predictor variables in this
study were skeletal morphologic measurements (Me deviation, maxillary cant, and
maxillary distance ratio) before and after treatment. Outcome variables were lip
morphology measurements (labial commissure distance, lip angle, and lip area).
Additional variables included age and gender. Vertical distances, angles, and
area of the upper and lower lips were measured and compared before and after
treatment. Hard tissues were measured using posteroanterior cephalograms. Paired
t test and correlation coefficients were calculated. RESULTS: Fourteen patients
(4 men [28.5%] and 10 women [71.5%]; mean age, 29 yr) were included. Meaningful
changes were observed in distance and angle measurements of the lips from before
to after treatment. In area measurement, ratios of the area on the deviated side
to that on the contralateral side for the upper and lower lips changed markedly
and were close to 1.0 compared with before treatment. A relevant correlation was
found between change in Me deviation and change in ratio of the height of the
labial commissure. CONCLUSION: In cases of facial asymmetry caused by deviation
of the maxilla and mandible, lip asymmetry can be adequately corrected by
leveling the canted occlusal plane and positioning the Me toward the midline with
2-jaw surgery.
PMID- 29787704
TI - CCTalpha Commands Phospholipid Homeostasis from the Nucleus.
AB - Enzyme control by their products facilitates cellular homeostasis, but for
phospholipids, feedback mechanisms also arise from changes in membrane physical
properties. In this issue of Developmental Cell, Haider et al. (2018) show that
in many actively growing cells, an enzyme of phosphatidylcholine synthesis senses
lipid packing in the nuclear membrane.
PMID- 29787703
TI - Misshapen Connects Food, Mechanosensing, and Intestinal Growth.
AB - Adult tissues such as intestinal epithelium can rapidly adapt their growth in
response to environmental stimuli. In this issue of Developmental Cell, Li et al.
(2018) demonstrate that gut epithelium stretching caused by food ingestion drives
Drosophila intestinal growth via Hippo pathway regulation.
PMID- 29787705
TI - The Incredible Shrinking Spindle.
AB - As cell size decreases during the reductive divisions of early development,
intracellular structures must shrink to fit. In this issue of Developmental Cell,
Lacroix et al. (2018) identify a conserved mechanism of spindle scaling in
nematode and sea urchin embryos whereby spindle microtubule polymerization rates
decrease as development proceeds.
PMID- 29787706
TI - Circuit Wiring: Neurite Speed Dating versus Stable Synaptic Matchmaking.
AB - Understanding the mechanisms establishing the complex but precise pattern of
connectivity characterizing neural circuits remains an immense challenge. In a
recent issue of Neuron, Mao and colleagues (2018) provide new insights by showing
that the activation kinetics of EphB2, a transmembrane receptor tyrosine kinase,
control whether dendritic filopodia makes a synapse with candidate axons.
PMID- 29787707
TI - Pulmonary Neuroendocrine Cells: Sensors and Sentinels of the Lung.
AB - Organisms have developed cellular "antennas" to sense, interpret, and integrate
environmental stimuli. In a recent issue of Science, Sui et al. (2018)
demonstrate that discrete clusters of pulmonary neuroendocrine cells in the lung
can sense airborne allergens and relay signals to stimulate immune cells and
induce tissue/organ-wide responses.
PMID- 29787708
TI - Emerging Links between Lipid Droplets and Motor Neuron Diseases.
AB - Lipid droplets (LDs) are ubiquitous fat storage organelles and play key roles in
lipid metabolism and energy homeostasis; in addition, they contribute to protein
storage, folding, and degradation. However, a role for LDs in the nervous system
remains largely unexplored. We discuss evidence supporting an intimate functional
connection between LDs and motor neuron disease (MND) pathophysiology, examining
how LD functions in systemic energy homeostasis, in neuron-glia metabolic
coupling, and in protein folding and clearance may affect or contribute to
disease pathology. An integrated understanding of LD biology and
neurodegeneration may open the way for new therapeutic interventions.
PMID- 29787710
TI - Microtubule Dynamics Scale with Cell Size to Set Spindle Length and Assembly
Timing.
AB - Successive cell divisions during embryonic cleavage create increasingly smaller
cells, so intracellular structures must adapt accordingly. Mitotic spindle size
correlates with cell size, but the mechanisms for this scaling remain unclear.
Using live cell imaging, we analyzed spindle scaling during embryo cleavage in
the nematode Caenorhabditis elegans and sea urchin Paracentrotus lividus. We
reveal a common scaling mechanism, where the growth rate of spindle microtubules
scales with cell volume, which explains spindle shortening. Spindle assembly
timing is, however, constant throughout successive divisions. Analyses in silico
suggest that controlling the microtubule growth rate is sufficient to scale
spindle length and maintain a constant assembly timing. We tested our in silico
predictions to demonstrate that modulating cell volume or microtubule growth rate
in vivo induces a proportional spindle size change. Our results suggest that
scalability of the microtubule growth rate when cell size varies adapts spindle
length to cell volume.
PMID- 29787712
TI - Myonuclear Positioning and Aneurysms Are LINC'd by Ariadne.
PMID- 29787711
TI - Tild-CRISPR Allows for Efficient and Precise Gene Knockin in Mouse and Human
Cells.
AB - The targeting efficiency of knockin sequences via homologous recombination (HR)
is generally low. Here we describe a method we call Tild-CRISPR (targeted
integration with linearized dsDNA-CRISPR), a targeting strategy in which a PCR
amplified or precisely enzyme-cut transgene donor with 800-bp homology arms is
injected with Cas9 mRNA and single guide RNA into mouse zygotes. Compared with
existing targeting strategies, this method achieved much higher knockin
efficiency in mouse embryos, as well as brain tissue. Importantly, the Tild
CRISPR method also yielded up to 12-fold higher knockin efficiency than HR-based
methods in human embryos, making it suitable for studying gene functions in vivo
and developing potential gene therapies.
PMID- 29787709
TI - Plasma Membrane Localization of Apoptotic Caspases for Non-apoptotic Functions.
AB - Caspases are best characterized for their function in apoptosis. However, they
also have non-apoptotic functions such as apoptosis-induced proliferation (AiP),
where caspases release mitogens for compensatory proliferation independently of
their apoptotic role. Here, we report that the unconventional myosin, Myo1D,
which is known for its involvement in left/right development, is an important
mediator of AiP in Drosophila. Mechanistically, Myo1D translocates the initiator
caspase Dronc to the basal side of the plasma membrane of epithelial cells where
Dronc promotes the activation of the NADPH-oxidase Duox for reactive oxygen
species generation and AiP in a non-apoptotic manner. We propose that the basal
side of the plasma membrane constitutes a non-apoptotic compartment for caspases.
Finally, Myo1D promotes tumor growth and invasiveness of the neoplastic scrib
RasV12 model. Together, we identified a new function of Myo1D for AiP and
tumorigenesis, and reveal a mechanism by which cells sequester apoptotic caspases
in a non-apoptotic compartment at the plasma membrane.
PMID- 29787714
TI - Genomic Analyses of Pre-European Conquest Human Remains from the Canary Islands
Reveal Close Affinity to Modern North Africans.
PMID- 29787715
TI - Muscle stem cells.
AB - Feige and Rudnicki introduce muscle stem cells.
PMID- 29787713
TI - Interferon-gamma release assay performance in northeastern Brazil: influence of
the IFNG+874 A>T polymorphism.
AB - INTRODUCTION: Latent tuberculosis infection diagnosis based on the release of
interferon-gamma in cultures of peripheral blood cells stimulated with
Mycobacterium tuberculosis antigens has replaced the tuberculin skin test in many
countries with low tuberculosis prevalence. The IFN-gamma production can be
influenced by genetic polymorphisms, of which the IFNG+874 (rs62559044) locus is
the most studied. We investigated the possible influence of the IFNG+874 A/T
polymorphism on interferon-gamma test performance. METHODS: Patients diagnosed
with pulmonary tuberculosis (75), volunteers with positive tuberculin skin test
(70) and healthy volunteers with negative tuberculin skin test and no history of
contact with tuberculosis (57) were evaluated regarding the IFNG+874 genotype and
the IFN-gamma levels in whole blood cultures performed using an interferon-gamma
commercial kit (QuantiFERON-TB(r) Gold In-Tube). RESULTS: IFN-gamma production
was not influenced by the IFNG+874 genotype, regardless of antigen or mitogen
based stimulation, which suggests that other genes may influence IFN-gamma
production in response to mycobacteria. The IFNG+874 polymorphism was found to
exert no influence over QFT-IT test sensitivity in our study. CONCLUSIONS: The
IFNG+874 polymorphism was not shown to influence QuantiFERON-TB(r) Gold In-Tube
test performance in an admixed population from northeastern Brazil.
PMID- 29787717
TI - Imminent extinction in the wild of the world's largest amphibian.
AB - Species with large geographic ranges are considered resilient to global decline
[1]. However, human pressures on biodiversity affect increasingly large areas, in
particular across Asia, where market forces drive overexploitation of species
[2]. Range-wide threat assessments are often costly and thus extrapolated from
non-representative local studies [3]. The Chinese giant salamander (Andrias
davidianus), the world's largest amphibian, is thought to occur across much of
China, but populations are harvested for farming as luxury food [4]. Between 2013
and 2016, we conducted field surveys and 2,872 interviews in possibly the largest
wildlife survey conducted in China. This extensive effort revealed that
populations of this once-widespread species are now critically depleted or
extirpated across all surveyed areas of their range, and illegal poaching is
widespread.
PMID- 29787718
TI - Methodological problems in a study of fetal visual perception.
AB - Reid et al.[1] analysed data from 39 third-trimester fetuses, concluding that
they showed a preferential head-orienting reaction towards lights projected
through the uterine wall in a face-like arrangement, as opposed to an inverted
triangle of dots. These results imply not only that assessment of visual
perceptive responses is possible in prenatal subjects, but also that a measurable
preference for faces exists before birth. However, we have identified three
substantial problems with Reid et al.'s [1] method and analyses, which we outline
here.
PMID- 29787716
TI - The Chinese giant salamander exemplifies the hidden extinction of cryptic
species.
AB - Overexploitation, habitat destruction, human-driven climate change and disease
spread are resulting in the extinction of innumerable species, with amphibians
being hit harder than most other groups [1]. Few species of amphibians are
widespread, and those that are often represent complexes of multiple cryptic
species. This is especially true for range-restricted salamanders [2]. Here, we
used the widespread and critically endangered Chinese giant salamander (Andrias
davidianus) to show how genetically uninformed management efforts can negatively
affect species conservation. We find that this salamander consists of at least
five species-level lineages. However, the extensive recent translocation of
individuals between farms, where the vast majority of extant salamanders now
live, has resulted in genetic homogenization. Mitochondrial DNA (mtDNA)
haplotypes from northern China now predominate in farms. Unfortunately, hybrid
offspring are being released back into the wild under well-intentioned, but
misguided, conservation management. Our findings emphasize the necessity of
genetic assessments for seemingly well-known, widespread species in conservation
initiatives. Species serve as the primary unit for protection and management in
conservation actions [3], so determining the taxonomic status of threatened
species is a major concern, especially for amphibians. The level of threat to
amphibians may be underestimated, and existing conservation strategies may be
inadvertently harmful if conducted without genetic assessment.
PMID- 29787719
TI - Response to Scheel et al.
AB - Scheel et al.[1] highlight three types of methodological concern with the work
reported in our recent paper [2], related to analytical decisions, fetal
behavior, and how light interfaces with maternal tissue. Here we outline why the
issues raised do not detract from our originally reported conclusions. In our
view, the procedural and analytical decisions that we made in our study [2] were
the most appropriate given the uncharted territory that we explored. The best
test of methodological robustness of our approach would be replication by another
laboratory.
PMID- 29787720
TI - The importance of true collaboration in efforts to increase diversity in genetic
analyses.
AB - In Michael Gross's recent article ('Mind the genome diversity gap'), he rightly
states that global health equity demands an overhaul of the current approach to
genetic analysis of psychiatric conditions, which relies heavily on European
sample collections. Unfortunately, the article missed the mark in its description
of work undertaken by the Broad Institute of MIT and Harvard, the Harvard T.H.
Chan School of Public Health, and collaborative partners in Africa and Asia that
aims to change the status quo.
PMID- 29787721
TI - Memory: Ironing Out a Wrinkle in Time.
AB - Individual hippocampal neurons encode time over seconds, whereas large-scale
changes in population activity of hippocampal neurons encode time over minutes
and days. New research shows how the hippocampus represents these multiple
timescales simultaneously.
PMID- 29787722
TI - Programmed DNA Elimination: Keeping Germline Genes in Their Place.
AB - Each of our cells contains a full set of instructions needed to make an entire
human: the genome. But a few special species buck this trend. A new study now
identifies the first germline-specific gene in zebra finch, one of a small number
of vertebrates that are known to undergo developmentally programmed DNA
elimination.
PMID- 29787723
TI - Evolution: More Mysticete Mysteries.
AB - Fossils of one of the oldest relatives to baleen-bearing whales have been
described from Antarctica. Aspects of its anatomy cast doubt on conventional
views for the evolution of filter-feeding and body size in whales.
PMID- 29787724
TI - Disease Tolerance: Linking Sickness Behaviours to Metabolism Helps Mitigate
Malaria.
AB - Malaria-infected mice exhibit a range of sickness behaviours, and experience
metabolic shifts and physiological pathologies that result in reduced energy
expenditure. Treating sick mice with glucose increases disease tolerance by
improving the physiological and behavioural symptoms of malaria infection without
affecting parasite loads.
PMID- 29787725
TI - Sensory Coding: Neurons That Wire Together Fire Longer.
AB - Sensory neurons for smell and taste in insects reside in sensilla. Experiments in
bumblebees reveal an unusual benefit of this arrangement: interaction between co
housed gustatory neurons promotes bursting in their responses and delays their
adaptation.
PMID- 29787726
TI - Hearing: Representing the Aural Wallpaper.
AB - Human listeners appear to represent the textures of sounds through a process of
automatic time averaging that exists beyond volition. This process distils likely
background sounds into their summary statistics, a computationally efficient way
of dealing with complex auditory scenes.
PMID- 29787727
TI - Chromosome Biology: The Smc-Kleisin Enzymology Finally Comes of Age.
AB - Cohesin and condensin are Smc-kleisin complexes responsible for shaping our
chromosomes. Despite extensive genetic and genomic information available on their
function, their biochemistry has been hard to study. Two recent studies finally
bring exciting new insights into their enzymology.
PMID- 29787728
TI - Sex: The End Is All You Need.
AB - Sex is rewarding. Out of the many steps needed for successful mating, from
courtship through copulation, the ultimate ejaculatory step in male fruit flies
has profound rewarding properties.
PMID- 29787729
TI - Respiration: Life Without Complex I.
AB - Eukaryotic life has developed a fascinating and highly optimized system for
energy transduction: the mitochondrial respiratory chain. Typically composed of
five core protein complexes, we now learn from two studies that plant hemi
parasites of the type Viscum cope without Complex I, the entry point of the
classical respiratory system.
PMID- 29787731
TI - An assay of optimal cytochrome c oxidase activity in fish gills.
AB - Cytochrome c oxidase (COX) catalyzes the terminal oxidation reaction in the
electron transport chain (ETC) of aerobic respiratory systems. COX activity is an
important indicator for the evaluation of energy production by aerobic
respiration in various tissues. On the basis of the respiratory characteristics
of muscle, we established an optimal method for the measurement of maximal COX
activity. To validate the measurement of cytochrome c absorbance, different ionic
buffer concentrations and tissue homogenate protein concentrations were used to
investigate COX activity. The results showed that optimal COX activity is
achieved when using 50-100 MUg fish gill homogenate in conjunction with 75-100 mM
potassium phosphate buffer. Furthermore, we compared branchial COX activities
among three species of euryhaline teleost (Chanos chanos, Oreochromis
mossambicus, and Oryzias dancena) to investigate differences in aerobic
respiration of osmoregulatory organs. COX activities in the gills of these three
euryhaline species were compared with COX subunit 4 (COX4) protein levels. COX4
protein abundance and COX activity patterns in the three species occurring in
environments with various salinities increased when fish encountered salinity
challenges. This COX activity assay therefore provides an effective and accurate
means of assessing aerobic metabolism in fish.
PMID- 29787732
TI - Randomized, Controlled, Phase 2 Trial of Povidone-Iodine/Dexamethasone Ophthalmic
Suspension for Treatment of Adenoviral Conjunctivitis.
AB - PURPOSE: To evaluate the efficacy/safety of an ophthalmic suspension of povidone
iodine (PVP-I) 0.6% and dexamethasone 0.1% in patients with acute adenoviral
conjunctivitis. DESIGN: Multicenter, randomized, vehicle-controlled, double
masked trial. METHODS: Adults with a positive Rapid Pathogen Screening Adeno
Detector Plus test were randomized 1:1:1 to PVP-I 0.6%/dexamethasone 0.1%, PVP-I
0.6%, or vehicle, bilaterally 4 times daily for 5 days (days 1-5). Patients were
evaluated on days 3, 6, and 12 (+1-day window). Efficacy measures included
clinical resolution and adenoviral eradication. RESULTS: Overall, 144 patients
were included in the efficacy analysis (PVP-I/dexamethasone, n = 48; PVP-I, n =
50; vehicle, n = 46). The proportion of patients with clinical resolution
(primary study eye with last observation carried forward [LOCF]) at the day 6
visit was higher with PVP-I/dexamethasone (31.3%) than with vehicle (10.9%; P =
.0158) and PVP-I (18.0%; P = nonsignificant). The proportion with adenoviral
eradication (primary study eye with LOCF) was higher with PVP-I/dexamethasone
than with vehicle at the day 3 (35.4% vs 8.7%; P = .0019) and day 6 (79.2% vs
56.5%; P = .0186) visits and vs PVP-I (day 3 visit, 32.0%; day 6 visit, 62.0%;
each P = nonsignificant). Treatment-emergent adverse events (AEs) occurred in
69.0% (vehicle), 62.7% (PVP-I), and 53.4% (PVP-I/dexamethasone) of patients in
the safety dataset. Discontinuation owing to AEs occurred in 37 patients
(vehicle, n = 16; PVP-I, n = 12; PVP-I/dexamethasone, n = 9). CONCLUSION: PVP
I/dexamethasone appeared safe and well tolerated, and significantly improved
clinical resolution and adenoviral eradication in patients with acute adenoviral
conjunctivitis.
PMID- 29787730
TI - Plant-Pathogen Warfare under Changing Climate Conditions.
AB - Global environmental changes caused by natural and human activities have
accelerated in the past 200 years. The increase in greenhouse gases is predicted
to continue to raise global temperature and change water availability in the 21st
century. In this Review, we explore the profound effect the environment has on
plant diseases - a susceptible host will not be infected by a virulent pathogen
if the environmental conditions are not conducive for disease. The change in CO2
concentrations, temperature, and water availability can have positive, neutral,
or negative effects on disease development, as each disease may respond
differently to these variations. However, the concept of disease optima could
potentially apply to all pathosystems. Plant resistance pathways, including
pattern-triggered immunity to effector-triggered immunity, RNA interference, and
defense hormone networks, are all affected by environmental factors. On the
pathogen side, virulence mechanisms, such as the production of toxins and
virulence proteins, as well as pathogen reproduction and survival are influenced
by temperature and humidity. For practical reasons, most laboratory
investigations into plant-pathogen interactions at the molecular level focus on
well-established pathosystems and use a few static environmental conditions that
capture only a fraction of the dynamic plant-pathogen-environment interactions
that occur in nature. There is great need for future research to increasingly use
dynamic environmental conditions in order to fully understand the
multidimensional nature of plant-pathogen interactions and produce disease
resistant crop plants that are resilient to climate change.
PMID- 29787733
TI - The major outer membrane protein of Legionella pneumophila Lpg1974 shows pore
forming characteristics similar to the human mitochondrial outer membrane pore,
hVDAC1.
AB - Legionella pneumophila is an aerobic and nonspore-forming pathogenic Gram
negative bacterium of the genus Legionella. It is the causative agent of
Legionnaires' disease, also known as Legionellosis. The hosts of this organism
are diverse, ranging from simple water borne protozoans such as amoebae to more
complex hosts such as macrophages in humans. Genome analyses have shown the
presence of genes coding for eukaryotic like proteins in several Legionella
species. The presence of these proteins may assist L. pneumophila in its
adaptation to the eukaryotic host. We studied the characteristics of a protein
(Lpg1974) of L. pneumophila that shows remarkable homologies in length of the
primary sequence and for the identity/homology of many amino acids to the voltage
dependent anion channel (human VDAC1, Porin 31HL) of human mitochondria. Two
different forms of Lpg1974 were overexpressed in Escherichia coli and purified to
homogeneity: the one containing a putative N-terminal signal sequence and one
without it. Reconstituted protein containing the signal sequence formed ion
permeable pores in lipid bilayer membranes with a conductance of approximately
5.4 nS in 1 M KCl. When the predicted N-terminal signal peptide of Lpg1974
comprising an alpha-helical structure similar to that at the N-terminus of hVDAC1
was removed, the channels formed in reconstitution experiments had a conductance
of 7.6 nS in 1 M KCl. Both Lpg1974 proteins formed pores that were voltage
dependent and anion-selective similar to the pores formed by hVDAC1. These
results suggest that Lpg1974 of L. pneumophila is indeed a structural and
functional homologue to hVDAC1.
PMID- 29787734
TI - Osteopathic Manipulative Treatment Including Specific Diaphragm Techniques
Improves Pain and Disability in Chronic Nonspecific Low Back Pain: A Randomized
Trial.
AB - OBJECTIVE: To investigate the effects of an osteopathic manipulative treatment
(OMT), which includes a diaphragm intervention compared to the same OMT with a
sham diaphragm intervention in chronic nonspecific low back pain (NS-CLBP).
DESIGN: Parallel group randomized controlled trial. SETTING: Private and
institutional health centers. PARTICIPANTS: Participants (N=66) (18-60y) with a
diagnosis of NS-CLBP lasting at least 3 months. INTERVENTIONS: Participants were
randomized to receive either an OMT protocol including specific diaphragm
techniques (n=33) or the same OMT protocol with a sham diaphragm intervention
(n=33), conducted in 5 sessions provided during 4 weeks. MAIN OUTCOME MEASURES:
The primary outcomes were pain (evaluated with the Short-Form McGill Pain
Questionnaire [SF-MPQ] and the visual analog scale [VAS]) and disability
(assessed with the Roland-Morris Questionnaire [RMQ] and the Oswestry Disability
Index [ODI]). Secondary outcomes were fear-avoidance beliefs, level of anxiety
and depression, and pain catastrophization. All outcome measures were evaluated
at baseline, at week 4, and at week 12. RESULTS: A statistically significant
reduction was observed in the experimental group compared to the sham group in
all variables assessed at week 4 and at week 12 (SF-MPQ [mean difference -6.2;
95% confidence interval, -8.6 to -3.8]; VAS [mean difference -2.7; 95% confidence
interval, -3.6 to -1.8]; RMQ [mean difference -3.8; 95% confidence interval, -5.4
to -2.2]; ODI [mean difference -10.6; 95% confidence interval, -14.9 to 6.3]).
Moreover, improvements in pain and disability were clinically relevant.
CONCLUSIONS: An OMT protocol that includes diaphragm techniques produces
significant and clinically relevant improvements in pain and disability in
patients with NS-CLBP compared to the same OMT protocol using sham diaphragm
techniques.
PMID- 29787735
TI - PRP4 kinase induces actin rearrangement and epithelial-mesenchymal transition
through modulation of the actin-binding protein cofilin.
AB - Cell actin cytoskeleton is primarily modulated by Rho family proteins. RhoA
regulates several downstream targets, including Rho-associated protein kinase
(ROCK), LIM-Kinase (LIMK), and cofilin. Pre-mRNA processing factor 4B (PRP4)
modulates the actin cytoskeleton of cancer cells via RhoA activity inhibition. In
this study, we discovered that PRP4 over-expression in HCT116 colon cancer cells
induces cofilin dephosphorylation by inhibiting the Rho-ROCK-LIMK-cofilin
pathway. Two-dimensional gel electrophoresis, and matrix-assisted laser
desorption/ionization time-of-flight mass-spectrometry (MALDI-TOF MS) analysis
indicated increased expression of protein phosphatase 1A (PP1A) in PRP4
transfected HCT116 cells. The presence of PRP4 increased the expression of PP1A
both at the mRNA and protein levels, which possibly activated cofilin through
dephosphorylation and subsequently modulated the cell actin cytoskeleton.
Furthermore, we found that PRP4 over-expression did not induce cofilin
dephosphorylation in the presence of okadaic acid, a potent phosphatase
inhibitor. Moreover, we discovered that PRP4 over-expression in HCT116 cells
induced dephosphorylation of migration and invasion inhibitory protein (MIIP),
and down-regulation of E-cadherin protein levels, which were further restored by
the presence of okadaic acid. These findings indicate a possible molecular
mechanism of PRP4-induced actin cytoskeleton remodeling and epithelial
mesenchymal transition, and make PRP4 an important target in colon cancer.
PMID- 29787736
TI - G-quadruplexes in the BAP1 promoter positively regulate its expression.
AB - Accumulating evidence suggests a key role of BAP1 in oncogenesis, but mechanisms
regulating BAP1 gene expression remain unexplored. In this report, we revealed
that the BAP1 promoter contains multiple G-tracts in its negative strand with
high potential of forming G-quadruplex (G4) structures. In circular dichroism
studies, synthesized oligonucleotides within these G-rich regions upstream the
BAP1 transcription start site showed molar ellipticity at specific wavelengths
characteristic of G4 structures. Analyses of these oligonucleotides by native
polyacrylamide gel electrophoresis revealed formation of multiple types of G4
structures. In reporter assays, mutations or deletion of predicted G4 structures
reduced BAP1 promoter activity. Additionally, DNA helicases CHD2 and CHD7 could
reduce BAP1 promoter activity, likely through unwinding its G4 structures.
PMID- 29787738
TI - Altered brain activity during withdrawal from chronic alcohol is associated with
changes in IL-6 signal transduction and GABAergic mechanisms in transgenic mice
with increased astrocyte expression of IL-6.
AB - Interleukin-6 (IL-6) is an important neuroimmune factor that is increased in the
brain by alcohol exposure/withdrawal and is thought to play a role in the actions
of alcohol on the brain. To gain insight into IL-6/alcohol/withdrawal
interactions and how these interactions affect the brain, we are studying the
effects of chronic binge alcohol exposure on transgenic mice that express
elevated levels of IL-6 in the brain due to increased astrocyte expression (IL-6
tg) and their non-transgenic (non-tg) littermate controls. IL
6/alcohol/withdrawal interactions were identified by genotypic differences in
spontaneous brain activity in electroencephalogram (EEG) recordings from the
mice, and by Western blot analysis of protein activation or expression in
hippocampus obtained from the mice after the final alcohol withdrawal period.
Results from EEG studies showed frequency dependent genotypic differences in
brain activity during withdrawal. For EEG frequencies that were affected by
alcohol exposure/withdrawal in both genotypes, the nature of the effect was
similar, but differed across withdrawal cycles. Differences between IL-6 tg and
non-tg mice were also observed in Western blot studies of the activated form of
STAT3 (phosphoSTAT3), a signal transduction partner of IL-6, and subunits of
GABAA receptors (GABAAR). Regression analysis revealed that pSTAT3 played a more
prominent role during withdrawal in the IL-6 tg mice than in the non-tg mice, and
that the role of GABAAR alpha-5 and GABAAR alpha-1 in brain activity varied
across genotype and withdrawal. Taken together, our results suggest that IL-6 can
significantly impact mechanisms involved in alcohol withdrawal.
PMID- 29787737
TI - Resistance training attenuates inflammation and the progression of renal fibrosis
in chronic renal disease.
AB - Patients with chronic kidney disease (CKD) have progressive renal fibrosis,
inflammation, and reduced muscle mass and strength. Resistance training (RT) has
been suggested to mitigate the loss of muscle mass, of strength and the
inflammation in CKD, but the mechanisms are unknown. The aim of this study was to
evaluate the influence of RT on renal fibrosis, renal cytokine expression,
creatine kinase levels, and muscle mass and strength in CKD rats. A CKD model was
obtained by 5/6 nephrectomy (Nx). Fifteen 8-week-old male rats were divided into
3 groups: Sham (control), Nx SED (CKD sedentary) and Nx RT (CKD trained). The RT
consisted of ladder climbing at 70% of the animal's maximal carrying capacity for
10 weeks. Muscle strength, creatine kinase levels, renal fibrosis and mRNA
interleukin (IL)-4, IL-6 and IL-10 were analyzed after the RT protocol. There was
significant improvement in the muscle strength and creatine kinase levels in the
Nx RT group. Moreover, renal fibrosis and inflammation were attenuated, with
increased IL-4 and IL-10 expression and reduced IL-6 expression in the Nx RT
group compared with that in the Nx SED group. No difference in muscle mass was
observed among the groups. In conclusion, RT was effective in reducing fibrosis
and inflammation, in addition to increasing muscle strength and creatine kinase
levels, in rats with CKD, independent of muscle mass.
PMID- 29787739
TI - Apathy in individuals with Parkinson's disease associated with mild cognitive
impairment. A neuropsychological investigation.
AB - Apathy is frequently reported in individuals with Parkinson's disease (PD) and is
hypothesized to be associated with frontal-striatal related cognitive functions.
Available data, however, do not provide univocal results. Moreover, this
relationship has been poorly investigated in PD patients with mild cognitive
impairment (MCI). This study was aimed at investigating the association between
severity of apathy of PD patients and their performance on neuropsychological
tests investigating executive abilities. Individuals with PD (i.e., with and
without MCI) and healthy controls (HCs) were administered a neuropsychological
test battery that investigated episodic memory, language, short-term memory and
attention, visual-spatial abilities and executive functions. Subjects were also
administered additional neuropsychological tests to evaluate the different
executive subcomponents (i.e., planning/abstract reasoning, self
monitoring/response inhibition, working memory, shifting and fluency). The Apathy
Evaluation Scale (AES) was administered to assess apathy severity. Linear
regression analyses were applied to the data; results showed that in the PD group
with MCI, the best cognitive factor associated to the AES score was patients'
scores on the executive tests and, in particular, their scores on tests examining
planning/abstract reasoning. By contrast, in the PD group without MCI, the
cognitive performance was not significantly associated to apathy severity.
Findings of the study document a specific association between reduced efficiency
of the executive system and apathy severity in individuals with PD and MCI. This
association indirectly supports the hypothesis of a relationship between
motivational disorders and dysregulation of the activity of the frontal-striatal
networks in PD patients.
PMID- 29787740
TI - Conservative Management Following Complete Clinical Response to Neoadjuvant
Chemotherapy of Muscle Invasive Bladder Cancer: Contemporary Outcomes of a Multi
Institutional Cohort Study.
AB - PURPOSE: We report the outcomes in patients with muscle invasive bladder cancer
from 2 institutions who experienced a clinically complete response to neoadjuvant
platinum based chemotherapy and elected active surveillance. It was unknown
whether conservative treatment could be safely implemented in these patients.
MATERIALS AND METHODS: We retrospectively reviewed the records of patients with
muscle invasive bladder cancer at our institutions who elected surveillance
following a clinically complete response to transurethral resection of bladder
tumors and neoadjuvant chemotherapy from 2001 to 2017. A clinically complete
response was defined as absent tumor on post-chemotherapy transurethral resection
of bladder tumor, negative cytology and normal cross-sectional imaging. RESULTS:
In the 148 patients followed a median of 55 months (range 5 to 145) the 5-year
disease specific, overall, cystectomy-free and recurrence-free survival rates
were 90%, 86%, 76% and 64%, respectively. Of the patients 71 (48%) experienced
recurrence in the bladder, including 16 (11%) with muscle invasive disease and 55
(37%) with noninvasive disease. Salvage radical cystectomy prevented cancer
specific death in 9 of 12 patients (75%) who underwent cystectomy after muscle
invasive relapse and in 13 of 14 (93%) after noninvasive relapse. CONCLUSIONS: We
observed high rates of overall and disease specific survival with bladder
preservation in patients who achieved a clinically complete response to
neoadjuvant chemotherapy. These outcomes support the safety of active
surveillance in carefully selected, closely monitored patients with muscle
invasive bladder cancer. Future studies should aim to improve patient selection
by identifying biomarkers predicting invasive relapse and developing novel
imaging methods of early detection.
PMID- 29787741
TI - The prognostic value of long noncoding RNA Sox2ot expression in various cancers:
A systematic review and meta-analysis.
AB - BACKGROUND: Several investigations have explored the prognostic value of long
noncoding RNA Sox2 overlapping transcript (lncRNA Sox2ot) expression in human
cancers, however, with inconsistent results. The aim of this study was to
evaluate the prognostic role of lncRNA Sox2ot expression in various cancers.
METHODS: PubMed, Web of Science, Embase, and Cochrane Library were
comprehensively searched to retrieve relevant studies. The relationships between
lncRNA Sox2ot expression and prognostic parameters were detected, including
overall survival (OS), tumor differentiation, clinical stage, distant metastasis,
lymph node metastasis and so on. RESULTS: A total of 10 studies involving 943
cancer patients were finally included into the study. High lncRNA Sox2ot
expression was significantly related to shorter OS in cancers (HR = 2.06, 95%CI =
1.67-2.55, P < 0.01). The cancer patients with high lncRNA Sox2ot expression
tended to have worse tumor differentiation (P = 0.04), advanced clinical stage (P
< 0.01), earlier distant metastasis (P < 0.01), and earlier lymph node metastasis
(P = 0.01) compared to those with low lncRNA Sox2ot expression. However, there
was no distinct correlation between lncRNA Sox2ot expression and age (P = 0.87),
gender (P = 0.48), tumor size (P = 0.08), or vascular invasion (P = 0.07).
CONCLUSION: High lncRNA Sox2ot expression was significantly associated with worse
OS, advanced clinical stage, worse tumor differentiation, earlier distant
metastasis, and earlier lymph node metastasis in various cancers. LncRNA Sox2ot
expression might a promising prognostic factor in various cancers.
PMID- 29787742
TI - Hidden sites in the distribution of the crayfish plague pathogen Aphanomyces
astaci in Eastern Europe: Relicts of genetic groups from older outbreaks?
AB - The crayfish plague agent Aphanomyces astaci is one of the world's most
threatening invasive species. Originally from North America, the pathogen is
being imported alongside American crayfish species, which are used for various
purposes. In this study, we investigated the marginal, currently known
distribution area of the pathogen in Eastern Europe by sampling narrow-clawed
crayfish (Astacus leptodactylus) and spiny-cheek crayfish (Orconectes limosus)
populations. In addition, using specific real-time PCR, we tested several marine
decapod species, which also occur in brackish waters of the Danube at the West
coast of the Black Sea and the Dniester River basin. By sequencing the nuclear
chitinase gene, mitochondrial rnnS/rnnL DNA and by genotyping using
microsatellite markers, we identified the A. astaci haplogroups of highly
infected specimens. The A. astaci DNA was detected in 9% of the investigated A.
leptodactylus samples, both in invaded and non-invaded sectors, and in 8% of the
studied O. limosus samples. None of the marine decapods tested positive for A.
astaci. The results revealed that narrow-clawed crayfish from the Dniester River
carried the A. astaci B-haplogroup, while A. astaci from the Danube Delta
belonged to the A- and B-haplogroups. In the invaded sector of the Danube, we
also identified the A-haplogroup. Microsatellite analysis revealed a genotype
identical to the genotype Up. It might be that some of the detected A. astaci
haplogroups are relics from older outbreaks in the late 19th century, which may
have persisted as a chronic infection for several decades in crayfish
populations.
PMID- 29787743
TI - Persistence of Central Venous Oxygen Desaturation During Early Sepsis Is
Associated With Higher Mortality: A Retrospective Analysis of the ALBIOS Trial.
AB - BACKGROUND: Relevance of low (< 70%) central venous oxygen saturation (ScvO2)
during early sepsis has been recently questioned by three negative trials
(Protocol-Based Care for Early Septic Shock, Australasian Resuscitation in Sepsis
Evaluation, and Protocolized Management in Sepsis) on early goal-directed
therapy; however, subjects included in those trials had ScvO2 at enrollment as
high as 71 +/- 13%, 73 +/- 11%, and 70 +/- 12%. Here we assess the association
between ScvO2 < 70% at 6 h and 90-day mortality in subjects enrolled in the
Albumin Italian Outcome Sepsis (ALBIOS) trial, focusing on those with initial
ScvO2 < 70%. METHODS: Regardless of treatment assignment (to receive albumin or
not), all subjects enrolled in the ALBIOS trial received early goal-directed
therapy aiming for ScvO2 >= 70% at 6 h. Using multivariable logistic regression
analyses, we tested the association between ScvO2 < 70% at 6 h and 90-day
mortality in those with initial ScvO2 < 70% (n = 514) or >= 70% (n = 961).
RESULTS: ScvO2 < 70% at 6 h was independently associated with higher 90-day
mortality in subjects with initial ScvO2 < 70% (OR, 1.84; 95% CI, 1.19-2.85; P =
.007) but not in those with initial ScvO2 >= 70% (OR, 1.25; 95% CI, 0.79-1.95; P
= .357). ScvO2 < 70% at enrollment and at 6 h was associated with history and/or
signs of cardiac dysfunction but not with greater severity of disease or more
aggressive resuscitation (required per protocol). CONCLUSIONS: In the ALBIOS
trial, persistence of low ScvO2 was associated with higher 90-day mortality,
possibly because it reflected underlying cardiac dysfunction. Subjects with ScvO2
< 70% may benefit most from individually tailored interventions aimed at
normalizing the balance between systemic oxygen delivery and consumption. TRIAL
REGISTRY: ClinicalTrials.gov; No. NCT00707122; URL: www.clinicaltrials.gov.
PMID- 29787745
TI - Modeling transposable element dynamics with fragmentation equations.
AB - Transposable elements (TEs), segments of DNA capable of self-replication, are
abundant in the genomes of most organisms and thus serve as a record of past
mutational events. While some work suggests TEs may serve a regulatory function
for the host, most empirical and theoretical studies have shown that TEs often
have deleterious effects on a host. Because they are not essential, the host
genome consists of both full-length (actively replicating) and partial length
(inactive remnant) copies of TEs. We developed a novel mathematical formulation
of TE dynamics by modeling the density of full and partial length copies
resulting from mutations (insertions and deletions) and TE replication within the
host genome. More specifically, we model the time-evolution of the complete TE
length distribution (full and partial elements) in a genome using fragmentation
equations in both a discrete and continuous framework under two models of TE
replication. In the first case, we assume that full-length TEs replicate at a
constant rate regardless of the number of full-length TEs present in the genome.
While this assumption simplifies the underlying biological processes, it allows
us to derive an explicit analytical form of the time-varying TE density, as well
as the steady-state behavior, under both discrete and continuous formulations.
Next, we take into account the potential deleterious effects of TEs by modeling
TE replication with a logistic growth equation. Under this assumption, the number
of actively replicating TEs in a genome is limited by a carrying capacity. While
we are still able to derive to derive analytical forms for the time-varying TE
density, for both discrete and continuous length formulations, these solutions
are implicit. For all four proposed models, we prove existence and uniqueness of
these solutions describing TE length distributions. We compare both models and
note that the logistic and exponential models initially agree. Since most TEs
have not reached carrying capacity, we use the explicit exponential solution to
quantify rates of replication to mutations. We apply our model to present day
annotated collections of TEs from the genomes of species of fruit-flies, birds,
and primates to uncover quantitative relationships of TE dynamics. With the
increasing availability of complete genomes, our method is likely to uncover
relationships of biological drivers of genomic variation in many species.
PMID- 29787746
TI - Two-photon microscopy of fungal keratitis-affected rabbit cornea ex vivo using
moxifloxacin as a labeling agent.
AB - Two-photon microscopy (TPM) is a three dimensional (3D) microscopic technique
based on nonlinear two-photon fluorescence, which has been tested as an
alternative to reflectance confocal microscopy (RCM) for detecting fungal
keratitis via optical imaging. Although TPM provided images with better contrast
than RCM for fungal keratitis, its imaging speed was relatively low because of
weak intrinsic signal. Moxifloxacin, a Food and Drug Administration (FDA)
approved antibiotic, was recently used as a cell-labeling agent for TPM. In this
study, moxifloxacin was used to label fungal cells for TPM imaging of fungal
keratitis models. Fungal cell suspensions and ex vivo fungal keratitis-affected
rabbit corneas were prepared using two types of fungal pathogens, Aspergillus
fumigatus and Candida albicans, and TPM imaging was performed both with and
without moxifloxacin treatment. Fungal cells with enhanced fluorescence were
clearly visible by TPM of moxifloxacin-treated fungal cell suspensions. TPM of
moxifloxacin-treated fungal keratitis rabbit corneas revealed both the infecting
fungal cells and corneal cells similar to those observed in TPM without
moxifloxacin treatment, albeit with approximately 10-times enhanced fluorescence.
Fungal cells were distinguished from corneal cells on the basis of their distinct
morphologies. Thus, TPM with moxifloxacin labeling might be useful for the
detection of fungal keratitis at the improved imaging speed.
PMID- 29787744
TI - Repression of MAP3K1 expression and JNK activity by canonical Wnt signaling.
AB - Morphogenesis is a complex and highly coordinated process orchestrated by
temporal spatial activity of developmental pathways. How the different pathways
interact to guide the developmental program remains an intriguing and open
question. MAP3K1-JNK and Wnt are signaling pathways crucial for embryonic eyelid
closure, an epithelial morphogenetic event conserved in mammals. Here we used a
mouse model of eyelid development and genetic and biochemistry tools to
investigate the relationships between the two pathways. We found that Wnt
activation repressed MAP3K1 expression. Using Axin-LacZ reporter mice, spatial
Wnt activity was detected in the leading edge of the developing eyelid.
Conditional knockout of Wntless (Wls) in ocular surface ectoderm blocked eyelid
formation, and significantly increased MAP3K1 expression in eyelid cells at the
nasal canthus region. Conversely, knockout of Dkk2, encoding a canonical Wnt
antagonist, resulted in an increase of Wnt activity in cells at the upper eyelid
margin near the nasal canthus. Up-regulation of Wnt signaling in the Dkk2
knockout embryos corresponded to down-regulation of MAP3K1 expression. In vitro
data showed that Wnt3a treatment decreased MAP3K1 promoter activity, whereas
activation of Wnt by lithium chloride inhibited MAP3K1 expression, and attenuated
MAP3K1-mediated JNK activity. Our data identify a unique signal crosstalk between
Wnt signaling and the MAP3K1-JNK pathway in epithelial morphogenesis.
PMID- 29787747
TI - Spatially explicit approach to estimation of total population abundance in field
surveys.
AB - Population abundance is fundamental in ecology and conservation biology, and
provides essential information for predicting population dynamics and
implementing conservation actions. While a range of approaches have been proposed
to estimate population abundance based on existing data, data deficiency is
ubiquitous. When information is deficient, a population estimation will rely on
labor intensive field surveys. Typically, time is one of the critical constraints
in conservation, and management decisions must often be made quickly under a data
deficient situation. Hence, it is important to acquire a theoretical
justification for survey methods to meet a required estimation precision. There
is no such theory available in a spatially explicit context, while spatial
considerations are critical to any field survey. Here, we develop a spatially
explicit theory for population estimation that allows us to examine the
estimation precision under different survey designs and individual distribution
patterns (e.g. random/clustered sampling and individual distribution). We
demonstrate that clustered sampling decreases the estimation precision when
individuals form clusters, while sampling designs do not affect the estimation
accuracy when individuals are distributed randomly. Regardless of individual
distribution, the estimation precision becomes higher with increasing total
population abundance and the sampled fraction. These insights provide theoretical
bases for efficient field survey designs in information deficiency situations.
PMID- 29787748
TI - Vitamin D Receptor Is Required for Proliferation, Migration, and Differentiation
of Epidermal Stem Cells and Progeny during Cutaneous Wound Repair.
AB - Epidermal stem cells residing in the skin play an essential role in epidermal
regeneration. When skin is injured, the stem cells are first activated to
proliferate, and subsequently the progeny migrate and differentiate to regenerate
the epidermis. Here, we demonstrate that the vitamin D receptor (VDR) is
essential for these processes to occur. The requirement for VDR on epidermal stem
cell function was revealed in conditional VDR knockout mice, in which VDR was
deleted from stem cells and progeny, and mice were maintained on a low calcium
diet. First, self-renewal and niche formation of epidermal stem cells were
impaired. Wound-induced activation of epidermal stem cells was blunted associated
with a reduction of beta-catenin signaling. Second, wound induced migration of
stem cells and progeny was impaired as shown by lineage tracing and delayed
migration of VDR silenced cells. Epidermal differentiation of progeny was
impaired at the wounding site associated with reduced E-cadherin expression.
Deletion of VDR also changed stem cell fate blunting hair development, increasing
sebaceous glands, and altering expression and location of epidermal markers.
These results suggest that VDR is required for self-renewal, migration, and
differentiation of epidermal stem cells and progeny during cutaneous wound
healing.
PMID- 29787749
TI - Epidermal HMGB1 Activates Dermal Fibroblasts and Causes Hypertrophic Scar
Formation in Reduced Hydration.
AB - HMGB1 protein is a multifunctional cytokine involved in inflammatory reactions
and is known to play a key role in tissue repair and fibrosis. However, the
function of HMGB1 in fibrotic skin diseases, such as hypertrophic scar formation,
remains unclear. In this study, HMGB1 was detected in the nuclei of epidermal
cells in normal skin and had accumulated in the cytoplasm in hypertrophic scars.
By establishing a keratinocyte-fibroblast co-culture and conditional medium
treatment models, we found that a reduced hydration condition increased the
expression and secretion of HMGB1 in keratinocytes, subsequently activating
dermal fibroblasts. HMGB1 secreted from keratinocytes activated fibroblasts by
promoting the nuclear import of MRTF-A, increased the nuclear accumulation of
MRTF-A/SRF complexes and consequently enhanced alpha-smooth muscle actin promoter
activation. Moreover, blockade of advanced glycation end products or Toll-like
receptor 2/4 inhibited the fibroblast activation induced by HMGB1. Finally, local
delivery of HMGB1 resulted in marked hypertrophic scar formation in rabbit
hypertrophic scar models, while HMGB1 blockade exerted a clear anti-scarring
effect. Our results indicate that high HMGB1 levels induced by a reduced
hydration status play an important role in hypertrophic scar formation, strongly
suggesting that HMGB1 is a novel target for preventing scarring.
PMID- 29787750
TI - Erybraedin A is a potential Src inhibitor that blocks the adhesion and viability
of non-small-cell lung cancer cells.
AB - The adhesion of cancer cells to the extracellular matrix (ECM) is crucial for
cell proliferation, survival, and metastasis. Thus, it is necessary to inhibit
cell-ECM adhesion by blocking the activation of the associated signaling to
control cancer. Here, we identify erybraedin A (EBA) as a potential Src inhibitor
that blocks cell adhesion and viability in non-small-cell lung cancer (NSCLC).
EBA significantly inhibited the adhesion of NSCLC cells to fibronectin. EBA also
markedly inhibited the activation of Src and its downstream targets, including
FAK and Akt. The interaction between integrin beta1 or integrin beta3 and Src was
inhibited by EBA treatment. A docking study revealed the bindings of EBA to the
ATP-binding pocket and the allosteric regulatory site of the Src kinase.
Additionally, EBA markedly inhibited the viability and the colony formation of
NSCLC cells and induced apoptotic cell death. These results describe novel
biological properties of EBA, which can block the Src-mediated adhesion and
survival of NSCLC cells, suggesting the potential of EBA as an anticancer Src
inhibitor that warrants further development in advanced preclinical and clinical
settings.
PMID- 29787753
TI - miR-193a inhibits osteogenic differentiation of bone marrow-derived stroma cell
via targeting HMGB1.
AB - BACKGROUND: miR-193a has been shown to be involved in a variety of biological
processes, including cell proliferation, differentiation, and apoptosis. However,
little is known about how miR-193a regulates osteogenic differentiation. METHODS:
We employed RT-qPCR to determine the level of miR-193a and mRNA level of HMGB1
and osteoblast-specific markers (Runx2, ALP, OSX, OCN). Besides, westernblot was
used to probe protein level of phosphorylated MAPK family members and beta
catenin. Bioinformatic analysis was used to predict the putative binding sequence
of miR-193a to the 3'-UTR of HMGB1 and we confirmed this result by dual
luciferase reporter assay. Alizarin red staining assay (ARS) and alkaline
phosphatase activity (ALP) were performed to detect osteogenic differentiation.
RESULTS: miR-193a was downregulated in OM (osteogenic medium)induced hBMSC. More
interestingly, we found that miR-193a mimic attenuated matrix mineralization and
alkaline phosphatase activity, whereas miR-193a inhibitor exerted the opposite
phenotypes. Mechanistically, we observed that miR-193a played an inhibitory role
in expression of osteoblast-specific markers and activation of MAPK and Wnt
signaling pathways. Bioinformatic analysis and dual luciferase assay demonstrated
that miR-193a directly targeted 3'-UTR of HMGB1. Furthermore, we overexpressed
HMGB1 in miR-193a overexpressed hBMSC to establish that HMGB1 acted as downstream
target of miR-193a-inhibited osteogenic differentiation. CONCLUSIONS: Here, we
reveal miR-193a plays a suppressive role in osteogenic differentiation of hBMSC
via targeting HMGB1. These findings provide a novel mechanism underlying
osteogenic differentiation and offer therapeutical strategy for bone formation.
PMID- 29787751
TI - The N-terminal domain of gastrulation brain homeobox 2 (Gbx2) is required for
iridophore specification in zebrafish.
AB - Although body color pattern formation by pigment cells plays critical roles in
animals, pigment cell specification has not yet been fully elucidated. In
zebrafish, there are three chromatophores: melanophore, iridophore, and
xanthophore, that are derived from neural crest cells (NCCs). A recent study has
reported the differentially expressed genes between melanophores and iridophores.
Based on transcriptome data, we identified that Gbx2 is required for iridophore
specification during development. In support of this, iridophore formation is
suppressed by gbx2 knockdown by morpholino antisense oligonucleotide, at 72 h
post fertilization (hpf) in zebrafish. Moreover, gbx2 is expressed in sox10
expressing NCCs and guanine crystal plates-containing iridophores during
development at 24 and 48 hpf, respectively. In gbx2 knockdown zebrafish embryos,
apoptosis of sox10-expressing NCCs was detected at 24 hpf without any effect on
the formation of melanophores and xanthophores at 48 hpf. We further observed
that the N-terminal domain of Gbx2 is able to rescue the iridophore formation
defect caused by gbx2 knockdown. Our study provides insights into the requirement
of N-terminal domain of Gbx2 for iridophore specification in zebrafish.
PMID- 29787752
TI - Systemic inhibition of Janus kinase induces browning of white adipose tissue and
ameliorates obesity-related metabolic disorders.
AB - Browning of white adipose tissue is a promising strategy to tackle obesity.
Recently, Janus kinase (JAK) inhibition was shown to induce white-to-brown
metabolic conversion of adipocytes in vitro; however effects of JAK inhibition on
browning and systemic metabolic health in vivo remain to be elucidated. Here, we
report that systemic administration of JAK inhibitor (JAKi) ameliorated obesity
related metabolic disorders. Administration of JAKi in mice fed a high-fat diet
increased UCP-1 and PRDM16 expression in white adipose tissue, indicating the
browning of white adipocyte. Food intake was increased in JAKi-treated mice,
while the body weight and adiposity was similar between the JAKi- and vehicle
treated mice. In consistent with the browning, thermogenic capacity was enhanced
in mice treated with JAKi. Chronic inflammation in white adipose tissue was not
ameliorated by JAKi-treatment. Nevertheless, insulin sensitivity was well
preserved in JAKi-treated mice comparing with that in vehicle-treated mice. Serum
levels of triglyceride and free fatty acid were significantly reduced by JAKi
treatment, which is accompanied by ameliorated hepatosteatosis. Our data
demonstrate that systemic administration of JAKi has beneficial effects in
preserving metabolic health, and thus inhibition of JAK signaling has therapeutic
potential for the treatment of obesity and its-related metabolic disorders.
PMID- 29787754
TI - Different players generate positive responses in two in vitro cytokine assay
formats with aqueous and immobilized TGN1412 analog.
AB - To detect potential risk of severe cytokine release syndrome, in vitro assay
formats with human cells have been developed. The two major testing platforms are
a combination of whole blood with aqueous-phase test articles (whole blood
cytokine assay, WBCA) and peripheral blood mononuclear cells with solid-phase
articles (PBMC assay). Significant induction of cytokines was seen in both assays
after treatment with a widely used control agent, TGN1412 or its analog CD28SA,
but the WBCA cytokine profile differed from what was expected from clinical
experience. In the WBCA, potential risk of CD28SA was detected by elevation of IL
8 whereas IL-2, a key cytokine after stimulation of CD28, was not induced in
approximately 40% of donor samples. Therefore, further mechanistic understanding
of the different responses in the in vitro assay was needed. In this study of
donor samples treated with CD28SA, we compared the induction of cytokines and
identified the cytokine-producing cells in the two assays. IL-2 was markedly
elevated in all the donors in the PBMC assay but only in 1 of 3 donors in the
WBCA. IL-8, the most sensitive biomarker in the WBCA, was produced by monocytes
and granulocytes. T cells, the most relevant player in the PBMC assay with
CD28SA, did not contribute to the positive response seen in two donors in the
WBCA, which suggests that different players caused the positive cytokine
responses to CD28SA in the two assays.
PMID- 29787755
TI - Vibsanin A sensitizes human acute myeloid leukemia cells to tyrosine kinase
inhibitor-induced myeloid differentiation via activation of PKC and upregulation
of Lyn.
AB - Differentiation therapies have been proposed to overcome the impaired cell
differentiation in acute myeloid leukemia (AML). However, thus far the all-trans
retinoic acid-based differentiation therapy has been the only successful modality
in treating acute promyelocytic leukemia. Here, we showed that vibsanin A, a
novel protein kinase C (PKC) activator, sensitized AML cells to tyrosine kinase
inhibitor (TKI)-induced differentiation. Vibsanin A augmented the ability of TKIs
to induce growth inhibition and G1 cell cycle arrest of AML cells.
Mechanistically, PKC activation was involved in the differentiation-inducing
effects of combining vibsanin A with TKIs. Moreover, we found that vibsanin A
enhanced TKI-induced Lyn expression and suppression of Lyn interfered with AML
cell differentiation, indicating an essential role for Lyn expression in the
combination-induced differentiation. Finally, combining vibsanin A and TKIs
enhanced the activation of the Raf/MEK/ERK cascade. Together, this is the first
study to evaluate the synergy of vibsanin A and TKIs in AML cell differentiation.
Our study lays the foundation in assessing new opportunities for the combination
of vibsanin A and TKIs as a promising approach for future differentiation
therapy.
PMID- 29787757
TI - Dlx2 overexpression enhanced accumulation of type II collagen and aggrecan by
inhibiting MMP13 expression in mice chondrocytes.
AB - Genetic studies revealed a crucial role of Distal-homebox (Dlx) genes in skeletal
development, and our previous study demonstrated overexpressing Dlx2 in neural
crest cells led to abnormal cartilage structure, including ectopic cartilage in
the maxillary region and nasal bone in mice. The aim of this study was to
investigate how Dlx2 overexpression affects chondrogenesis in mouse chondroblast
cell line TMC23 and the underlying mechanism. We first demonstrated that Dlx2
expression was upregulated during chondrogenesis in TMC23 cells. Moreover, forced
overexpression of Dlx2 in TMC23 cells led to increased accumulation of aggrecan
and type II collagen, markers of early chondrocyte differentiation, but had
little effect on mRNA and protein levels of Aggrecan and Col2alpha1, type II
collagen gene. Importantly, Dlx2 overexpression decreased mRNA and protein levels
of MMP13, a major collagenase degrading aggrecan and type II collagen during late
stages of chondrogenesis. Luciferase-reporter and Chromatin-immunoprecipitation
analysis demonstrated that MMP13 promoter contained two Dlx2-response elements,
and Dlx2 inhibited MMP13 expression by directly binding to these two elements.
Based on these observations, we propose that forced overexpression of Dlx2
enhances early chondrocyte differentiation by increasing accumulation of type II
collagen and aggrecan, but interferes later stages of chondrocyte differentiation
through inhibiting MMP13 expression.
PMID- 29787756
TI - Fibronectin Type III Domain Containing 4 attenuates hyperlipidemia-induced
insulin resistance via suppression of inflammation and ER stress through HO-1
expression in adipocytes.
AB - Although Fibronectin Type III Domain Containing 4 (FNDC4) has been reported to be
involved in the modulation of inflammation in macrophages, its effects on
inflammation and insulin resistance in adipose tissue are unknown. In the current
study, we investigated the effects of FNDC4 on hyperlipidemia-mediated
endoplasmic reticulum (ER) stress, inflammation, and insulin resistance in
adipocytes via the AMP-activated protein kinase (AMPK)/heme oxygenase-1 (HO-1)
mediated pathway. Hyperlipidemia-induced nuclear factor kappaB (NFkappaB),
inhibitory kappaBalpha (IkappaBalpha) phosphorylation, and pro-inflammatory
cytokines such as TNFalpha and MCP-1 were markedly mitigated by FNDC4.
Furthermore, FNDC4 treatment attenuated impaired insulin signaling in palmitate
treated differentiated 3T3-L1 cells and in subcutaneous adipose tissue of HFD-fed
mice. FNDC4 administration ameliorated glucose intolerance and reduced HFD
induced body weight gain in mice. However, FNDC4 treatment did not affect calorie
intake. Additionally, treatment with FNDC4 attenuated hyperlipidemia-induced
phosphorylation or expression of ER stress markers such as IRE-1, eIF2alpha, and
CHOP in 3T3-L1 adipocytes and in subcutaneous adipose tissue of mice. FNDC4
treatment stimulated AMPK phosphorylation and HO-1 expression in 3T3-L1
adipocytes and in subcutaneous adipose tissue of mice. siRNA-mediated suppression
of AMPK and HO-1 abrogated the suppressive effects of FNDC4 on palmitate-induced
ER stress, inflammation, and insulin resistance. In conclusion, our results show
that FNDC4 ameliorates insulin resistance via AMPK/HO-1-mediated suppression of
inflammation and ER stress, indicating that FNDC4 may be a novel therapeutic
agent for treating insulin resistance and type 2 diabetes.
PMID- 29787758
TI - Tissue factor mediates microvesicles shedding from MDA-MB-231 breast cancer
cells.
AB - Extracellular vesicles, such as microvesicles (MVs), were identified as important
players in tumor progression and acquisition of an aggressive phenotype. Tissue
factor (TF) is a transmembrane protein that initiates the blood coagulation
cascade. In tumor cells, TF has been associated with aggressiveness and cancer
progression. Previous studies demonstrate that TF is incorporated into MVs
secreted by tumor cells; however, it is unknown whether TF is actively involved
in the release of MVs. Here, we investigated the influence of TF expression on
the release of MVs. TF silencing was achieved through CRISPR/Cas9 approaches in
the human breast cancer cell line, MDA-MB-231. TF knockout in MDA-MB-231 cells
efficiently reduced TF-dependent signaling and procoagulant activity. Remarkably,
silencing of TF caused a significant decrease in the number of MVs released by
MDA-MB-231 cells. We also observed an increase in actin-positive membrane
projections in TF knockout cells and a reduction in RhoA expression when compared
to TF-expressing cells. Treatment of MDA-MB-231 cells with the RhoA-ROCK
signaling pathway inhibitor, fasudil, significantly reduced the release of MVs.
Taken together, our results suggest a novel and relevant role for TF in tumor
biology by playing an active role in the MVs secretion.
PMID- 29787759
TI - Glycosylation status of nicastrin influences catalytic activity and substrate
preference of gamma-secretase.
AB - gamma-Secretase complex, the assembly of nicastrin (NCT), Presenilin (PS),
Presenilin Enhancer-2 (PEN-2) and Anterior pharynx defective 1 (Aph-1), catalyzes
the cleavage of amyloid precursor protein to generate amyloid-beta protein
(Abeta), the main culprit of Alzheimer's disease. NCT becomes matured through
complex glycosylation and play important role in gamma-secretase activity by
interacting with catalytic subunit PS. However, the role of NCT glycosylation on
gamma-secretase activity and substrate specificity is still unknown. The purpose
of this study is to investigate the effect of NCT glycosylation on gamma
secretase activity and substrate specificity in a group of glycosylation mutant
lectin resistant CHO (Lec) cells. CHO Lec-1 cells lack glycosyltransferase-I, GnT
I, thus N-glycan on NCT are all oligomannose type, whereas CHO Lec-2 cells
synthesize NCT containing sialic acid deficient oligosaccharides due to the
impairment of cytidine 5'-monophosphate-sialic acid transporter. Here, we
reported that mutant CHO Lec-1 and Lec-2 reduced gamma-secretase activity in both
cell-based and biochemical assays, and that CHO Lec-1 preferentially reduced
Abeta generation. Endogenous level of gamma-secretase complex, subcellular
distribution of gamma-secretase subunits and the level of functional gamma
secretase complex remained unchanged in mutants. Interestingly,
Coimmunoprecipitation study revealed that mutant gamma-secretase could recognize
substrate as well as parental gamma-secretase. Our data suggests that thorough
glycosylation of NCT is critical for enzymatic activity and substrate preference
of gamma-secretase.
PMID- 29787760
TI - In vivo gene correction with targeted sequence substitution through microhomology
mediated end joining.
AB - Genome editing technology using programmable nucleases has rapidly evolved in
recent years. The primary mechanism to achieve precise integration of a transgene
is mainly based on homology-directed repair (HDR). However, an HDR-based genome
editing approach is less efficient than non-homologous end-joining (NHEJ).
Recently, a microhomology-mediated end-joining (MMEJ)-based transgene integration
approach was developed, showing feasibility both in vitro and in vivo. We
expanded this method to achieve targeted sequence substitution (TSS) of mutated
sequences with normal sequences using double-guide RNAs (gRNAs), and a donor
template flanking the microhomologies and target sequence of the gRNAs in vitro
and in vivo. Our method could realize more efficient sequence substitution than
the HDR-based method in vitro using a reporter cell line, and led to the survival
of a hereditary tyrosinemia mouse model in vivo. The proposed MMEJ-based TSS
approach could provide a novel therapeutic strategy, in addition to HDR, to
achieve gene correction from a mutated sequence to a normal sequence.
PMID- 29787761
TI - Biophysical studies and modelling indicate the binding preference of TAZ WW
domain for LATS1 PPxY motif.
AB - The Hippo tumor suppressor pathway is an important regulator of cell
proliferation and apoptosis, and signal transduction occurs through
phosphorylation of the effector protein TAZ by the serine/threonine kinase
LATS1/2. Here, we report the biophysical and computational studies to
characterize the interaction between TAZ and LATS1/2 through WW domain-PPxY motif
binding. We show that the TAZ WW domain exhibits a binding preference for the
second of the two PPxY motifs of LATS1 in vitro. We modelled the structure of the
domain in complex with LATS1 PPxY2 peptide and, through molecular dynamics
simulations, show that WW domain-PPxY2 complex is stable with some flexibility in
the peptide region. Next, we predict and verify that L143 and T150 of the WW
domain are important for TAZ binding with the PPxY2 peptide using mutational and
isothermal titration calorimetric studies. Furthermore, we suggest that the
electrostatic potential of charged residues within the binding pocket may
influence the ligand affinity among otherwise highly similar WW domains.
PMID- 29787762
TI - Hypoxic glioblastoma release exosomal VEGF-A induce the permeability of blood
brain barrier.
AB - Exosomes are nano-vesicles released by tumor cells to modulate extracellular
environment. Accumulating evidence revealed that glioblastoma derived exosomes
contain multiple pro-angiogenic factors to induce the proliferation of
endothelial cells. Here, we investigated the role of GBM-derived exosomes in
inducing the permeability of the blood-brain barrier. We found that VEGF-A was
over-expressed in hypoxic GBM-derived exosomes, which enhance the permeability of
a BBB in vitro model by interrupting the expression of claudin-5 and occludin. In
vivo permeability assay showed hypoxic GBM-derived exosomes remained functional
in the blood circulation and induced the permeability of BBB.
PMID- 29787763
TI - The TORC1 signaling pathway regulates respiration-induced mitophagy in yeast.
AB - Mitophagy is an evolutionarily conserved autophagy process that selectively
degrades mitochondria. This catabolic event is considered to be a mitochondrial
quality control system crucial for cell homeostasis, however, mechanisms
regulating mitophagy remain largely unknown. Here we show that the TORC1 (target
of rapamycin complex 1) signaling pathway regulates mitophagy in budding yeast
via SEACIT (Seh1-associated complex inhibiting TORC1) consisting of Iml1, Npr2,
and Npr3. Cells lacking SEACIT displayed significant reductions in mitophagy
during prolonged respiratory growth, while the other selective autophagy
processes are less affected. Under the same conditions, mitophagy defects were
strikingly rescued in the SEACIT mutants (1) treated with rapamycin, a specific
TOR kinase inhibitor, (2) lacking Gtr1, a TORC1-stimulating Rag family GTPase
downstream of SEACIT, and (3) devoid of Pib2, a phosphatidylinositol 3-phosphate
binding TORC1 activator. Notably, loss of Npr2 exacerbated mitophagy defects in
cells lacking Atg13, a TORC1 effector crucial for activation of autophagy-related
processes, suggesting additional mitophagy-specific regulator(s) downstream of
TORC1. Finally, we found that npr2-null cells failed to stabilize the interaction
of Atg32 with Atg11, a scaffold protein essential for mitophagy. Collectively,
our data implicate SEACIT-mediated inactivation of TORC1 signaling as a critical
step to promote respiration-induced mitophagy.
PMID- 29787764
TI - APOBEC3B Nuclear Localization Requires Two Distinct N-Terminal Domain Surfaces.
AB - The APOBEC3 family of cytosine deaminases catalyzes the conversion of cytosines
to-uracils in single-stranded DNA. Traditionally, these enzymes are associated
with antiviral immunity and restriction of DNA-based pathogens. However, a role
for these enzymes in tumor evolution and metastatic disease has also become
evident. The primary APOBEC3 candidate in cancer mutagenesis is APOBEC3B (A3B)
for three reasons: (1) A3B mRNA is upregulated in several different cancers, (2)
A3B expression and mutational loads correlate with poor clinical outcomes, and
(3) A3B is the only family member known to be constitutively nuclear. Previous
studies have mapped non-canonical A3B nuclear localization determinants to a
single surface-exposed patch within the N-terminal domain (NTD). Here, we show
that A3B has an additional, distinct, surface-exposed NTD region that contributes
to nuclear localization. Disruption of residues within the first 30 amino acids
of A3B (import surface 1) or loop 5/alpha-helix 3 (import surface 2) completely
abolish nuclear localization. These import determinants also graft into NTDs of
related family members and mediate re-localization from cell-wide-to-nucleus or
cytoplasm-to-nucleus. These findings demonstrate that both sets of residues are
required for non-canonical A3B nuclear localization and describe unique surfaces
that may serve as novel therapeutic targets.
PMID- 29787765
TI - Using Single-Molecule Approaches to Understand the Molecular Mechanisms of Heat
Shock Protein Chaperone Function.
AB - The heat-shock proteins (Hsp) are a family of molecular chaperones, which
collectively form a network that is critical for the maintenance of protein
homeostasis. Traditional ensemble-based measurements have provided a wealth of
knowledge on the function of individual Hsps and the Hsp network; however, such
techniques are limited in their ability to resolve the heterogeneous, dynamic and
transient interactions that molecular chaperones make with their client proteins.
Single-molecule techniques have emerged as a powerful tool to study dynamic
biological systems, as they enable rare and transient populations to be
identified that would usually be masked in ensemble measurements. Thus, single
molecule techniques are particularly amenable for the study of Hsps and have
begun to be used to reveal novel mechanistic details of their function. In this
review, we discuss the current understanding of the chaperone action of Hsps and
how gaps in the field can be addressed using single-molecule methods.
Specifically, this review focuses on the ATP-independent small Hsps and the
broader Hsp network and describes how these dynamic systems are amenable to
single-molecule techniques.
PMID- 29787766
TI - Structure and Functional Characterization of Human Histidine Triad Nucleotide
Binding Protein 1 Mutations Associated with Inherited Axonal Neuropathy with
Neuromyotonia.
AB - Inherited peripheral neuropathies are a group of neurodegenerative disorders that
clinically affect 1 in 2500 individuals. Recently, genetic mutations in human
histidine nucleotide-binding protein 1 (hHint1) have been strongly and most
frequently associated with patients suffering from axonal neuropathy with
neuromyotonia. However, the correlation between the impact of these mutations on
the hHint1 structure, enzymatic activity and in vivo function has remained
ambiguous. Here, we provide detailed biochemical characterization of a set of
these hHint1 mutations. Our findings indicate that half of the mutations (R37P,
G93D and W123*) resulted in a destabilization of the dimeric state and a
significant decrease in catalytic activity and HINT1 inhibitor binding affinity.
The H112N mutant was found to be dimeric, but devoid of catalytic activity, due
to the loss of the catalytically essential histidine; nevertheless, it exhibited
high affinity to AMP and a HINT1 inhibitor. In contrast to the active-site
mutants, the catalytic activity and dimeric structure of the surface mutants,
C84R and G89V, were found to be similar to the wild-type enzyme. Taken together,
our results suggest that the pathophysiology of inherited axonal neuropathy with
neuromyotonia can be induced by conversion of HINT1 from a homodimer to monomer,
by modification of select surface residues or by a significant reduction of the
enzyme's catalytic efficiency.
PMID- 29787769
TI - Elevating Integrin-linked Kinase expression has rescued hippocampal neurogenesis
and memory deficits in an AD animal model.
AB - Alterations in adult neurogenesis have been regarded as a major cause of
cognitive impairment in Alzheimer's disease (AD). The underlying mechanism of
neurogenesis deficiency in AD remains unclear. In this study, we reported that
Integrin-linked Kinase (ILK) protein levels and phosphorylation were
significantly decreased in the hippocampus of APP/PS1 mice. Increased ILK
expression of dentate gyrus (DG) rescued the hippocampus-dependent neurogenesis
and memory deficits in APP/PS1 mice. Moreover, we demonstrated that the effect of
ILK overexpression in the hippocampus was exerted via AKT-GSK3beta pathway.
Finally, we found that Fluoxetine, a selective serotonin reuptake inhibitor,
could improve the impaired hippocampal neurogenesis and memory by enhancing ILK
AKT-GSK3beta pathway activity in APP/PS1 mice. Thus, these findings demonstrated
the effects of ILK on neurogenesis and memory recovery, suggesting that ILK is an
important therapeutic target for AD prevention and treatment.
PMID- 29787767
TI - Influence of gag and RRE Sequences on HIV-1 RNA Packaging Signal Structure and
Function.
AB - The packaging signal (Psi) and Rev-responsive element (RRE) enable unspliced HIV
1 RNAs' export from the nucleus and packaging into virions. For some
retroviruses, engrafting Psi onto a heterologous RNA is sufficient to direct
encapsidation. In contrast, HIV-1 RNA packaging requires 5' leader Psi elements
plus poorly defined additional features. We previously defined minimal 5' leader
sequences competitive with intact Psi for HIV-1 packaging, and here examined the
potential roles of additional downstream elements. The findings confirmed that
together, HIV-1 5' leader Psi sequences plus a nuclear export element are
sufficient to specify packaging. However, RNAs trafficked using a heterologous
export element did not compete well with RNAs using HIV-1's RRE. Furthermore,
some RNA additions to well-packaged minimal vectors rendered them packaging
defective. These defects were rescued by extending gag sequences in their native
context. To understand these packaging defects' causes, in vitro dimerization
properties of RNAs containing minimal packaging elements were compared to RNAs
with sequence extensions that were or were not compatible with packaging. In
vitro dimerization was found to correlate with packaging phenotypes, suggesting
that HIV-1 evolved to prevent 5' leader residues' base pairing with downstream
residues and misfolding of the packaging signal. Our findings explain why gag
sequences have been implicated in packaging and show that RRE's packaging
contributions appear more specific than nuclear export alone. Paired with recent
work showing that sequences upstream of Psi can dictate RNA folds, the current
work explains how genetic context of minimal packaging elements contributes to
HIV-1 RNA fate determination.
PMID- 29787768
TI - The angiotensin II type I receptor antagonist losartan retards amygdala kindling
induced epileptogenesis.
AB - Blood-brain barrier (BBB) breakdown and the subsequent exposure of the cerebral
cortex to serum albumin are known to activate transforming growth factor beta
(TGF-beta) signaling in astrocytes and to play key roles in epileptogenesis after
brain injury. It was recently reported that the angiotensin II type I receptor
antagonist losartan suppresses activation of TGF-beta signaling and prevents
epileptogenesis in a rat vascular injury model. Here, we investigated the effects
of losartan on epileptogenesis following amygdala kindling in rats. Systemic or
intracerebroventricular (i.c.v.) administration of losartan significantly delayed
the development of severe behavioral seizures and stimulus-induced seizures on
EEG (afterdischarge) in the early stage of amygdala kindling, as assessed by
electroencephalography. Losartan also significantly increased the number of
stimulations required to reach the fully kindled state. However, losartan had no
effects on the threshold for afterdischarge induction, the afterdischarge
duration, or seizure severity in fully kindled rats. Evaluation of BBB
permeability by Evans blue staining did not indicate BBB breakdown (extravasation
of serum albumin) in any region of the brain in the fully kindled animals. Thus,
losartan may be useful in preventing epileptogenesis, even in post brain-insult
epilepsy, in the absence of BBB breakdown.
PMID- 29787770
TI - Lateral hypothalamic orexin glucose-inhibited neurons may regulate reward-based
feeding by modulating glutamate transmission in the ventral tegmental area.
AB - Glucose inhibits ~60% of lateral hypothalamic (LH) orexin neurons. Fasting
increases the activation of LH orexin glucose-inhibited (GI) neurons in low
glucose. Increases in spontaneous glutamate excitatory postsynaptic currents
(sEPSCs) onto putative VTA DA neurons in low glucose are orexin dependent (Sheng
et al., 2014). VTA DA neurons modulate reward-based feeding. We tested the
hypothesis that increased activation of LH orexin-GI neurons in low glucose
increases glutamate signaling onto VTA DA neurons and contributes to reward-based
feeding in food restricted animals. N-methyl-D-aspartate (NMDA) and alpha-amino-3
hydroxy-5-methyl-4-isoxazolepropionic acid (AMPA) currents on putative VTA DA
neurons were measured using whole cell voltage clamp recording in horizontal
brain slices containing the LH and VTA. Decreased glucose increased the NMDA
receptor current for at least one hour after returning glucose to basal levels (P
< 0.05; N = 8). The increased current was blocked by an orexin 1 receptor
antagonist (P < 0.05; N = 5). Low glucose caused a similar persistent enhancement
of AMPA receptor currents (P < 0.05; N = 7). An overnight fast increased the
AMPA/NMDA receptor current ratio, an in vivo index of glutamate plasticity, on
putative VTA DA neurons. Conditioned place preference (CPP) for palatable food
was measured during LH dialysis with glucose. CPP score was negatively correlated
with increasing LH glucose (P < 0.05; N = 20). These data suggest that increased
activation of LH orexin-GI neurons in low glucose after weight loss, leads to
enhanced glutamate signaling on VTA DA neurons, increases the drive to eat
rewarding food, and may contribute to weight regain.
PMID- 29787771
TI - Inhibition of PTEN protects PC12 cells against oxygen-glucose deprivation induced
cell death through mitoprotection.
AB - Mitochondria involve in the determination of ischemic neuronal cell fate through
regulation of apoptotic and necrotic cell death. Phosphatase and tensin homolog
(PTEN) protein negatively regulates Akt/PKB signaling which is the major cell
survival pathway. The current study aimed to examine the impact of SF1670, a
potent PTEN inhibitor, on mitochondria-mediated cell survival pathways in an in
vitro stroke-like model. PC12 cells were exposed to one hour oxygen and glucose
deprivation (OGD) followed by different time points of reperfusion (0, 30, 60,
120 and 180 min) and SF1670 treatments. Our findings showed that OGD/R exposure
increased reactive oxygen species (ROS) levels, reduced phosphorylated Akt (p
Akt), ratios of Bcl-2/BAX, intracellular ATP, mitochondrial vital activity and
mitochondrial membrane potential (Deltapsim). OGD/R exposure also increased
cleaved caspase 3/pro-caspase 3 and cleaved caspase 9/pro-caspase 9 ratios
associated with low cell viability, high lactate dehydrogenase (LDH) release, and
greater apoptotic cell death in the TUNEL assay. Conversely, inhibition of PTEN
by SF1670 were associated with increased expression of p-Akt and anti-apoptotic
proteins (Bcl-2), attenuated pro-apoptotic proteins (BAX) and oxidative stress
index (ROS), improved mitochondrial function (restored MMP and ATP), and
decreased apoptotic cell death. These results strongly suggest that
neuroprotective effect of SF1670 against OGD/R-induced cell death at least is
partially mediated through mitoprotective properties of SF1670.
PMID- 29787772
TI - Ginkgolide K promotes astrocyte proliferation and migration after oxygen-glucose
deprivation via inducing protective autophagy through the AMPK/mTOR/ULK1
signaling pathway.
AB - Ischemic stroke is the leading cause of death around the world. Ginkgolide K (GK)
has been used to treat ischemic stroke due to its neuroprotective potential.
However, the molecular mechanism underlying the neuroprotective effect of GK in
ischemic stroke is still almost blank. In this study, astrocytes were divided
into four groups: control group, oxygen-glucose deprivation (OGD) group, OGD + GK
group and OGD + GK + Compound C (CC) group. The viability and proliferation of
astrocytes were examined by Cell Counting Kit-8 assay and 5-ethynyl-20
deoxyuridine (EdU) assay, respectively. Transwell migration and wound scratch
assays were conducted to evaluate astrocyte migration. The protein expression in
astrocytes were determined by western blot assay. We found that GK pretreatment
promoted astrocyte proliferation and migration after OGD as shown by the increase
in the viability of astrocytes, glial fibrillary acidic protein level, the number
of EdU positive cells and migrated cells, and the migration distance. GK
pretreatment induced autophagy after OGD, as indicated by upregulation of
autophagy-related protein 7, Beclin-1 protein and increase of microtubule
associated protein 1 light chain 3 (LC3)-II/LC3-I, and downregulation of p62
protein. Moreover, GK pretreatment activated the AMP activated protein kinase
(AMPK)/mammalian target of rapamycin (m-TOR)/ULK1 pathway in astrocytes following
OGD. Notably, CC treatment blocked the promotory effect of GK on astrocyte
proliferation and migration after OGD. Collectively, GK promoted astrocyte
proliferation and migration after OGD via inducing protective autophagy through
the AMPK/mTOR/ULK1 signaling pathway. Our findings suggested that GK might be a
potential agent for cerebral ischemia/reperfusion injury.
PMID- 29787773
TI - An optimized dose of raspberry ketones controls hyperlipidemia and insulin
resistance in male obese rats: Effect on adipose tissue expression of
adipocytokines and Aquaporin 7.
AB - Obesity constitutes a major worldwide problem in which hyperlipidemia and insulin
resistance represents adverse metabolic consequences of it. The present study was
conducted to elucidate the role of raspberry ketones (RKs) in controlling body
weight gain, hyperlipidemia and insulin resistance in male obese rats through
affecting the expression of various adipocytokines. As Aquaporin-7 is co-related
with the expression of various adipocytokines and has recently emerged as a
modulator of adipocyte metabolism, the present study evaluated the effect of RKs
on adipose tissue expression of aquaporin-7(AQP7) in high-fat (HF) diet-fed rats.
Groups of male rats were assigned to normal, HF diet-fed control rats and RKs
treated (250 and 500 mg/kg) groups. RKs administration effectively abrogated
hyperlipidemia and oxidative burden and enhanced insulin sensitivity. In
addition, treatment with RKs ameliorated adipose tissue and liver indices and the
reduced adipocyte diameters. Moreover, administration of the low dose of RKs
ameliorated the expression of apelin and its receptor, and visfatin with
upregulating adiponectin expression compared to HF diet control rats. However,
both doses effectively downregulated leptin expression. It was obvious that both
RKs doses revealed effectiveness in upregulating the AQP7 expression. The present
data suggest the promising therapeutic role of RKs in HF diet-induced obesity
that is likely attributable, at least in part, to upregulation of AQP7
expression.
PMID- 29787774
TI - Silencing of PAQR3 suppresses extracellular matrix accumulation in high glucose
stimulated human glomerular mesangial cells via PI3K/AKT signaling pathway.
AB - Progestin and AdipoQ Receptor 3 (PAQR3), a member of the PAQR family, was
involved in multiple biological processes, including tumorigenesis, cholesterol
homeostasis, autophagy, obesity, insulin sensitivity and energy metabolism.
However, the role of PAQR3 in diabetic nephropathy is still unclear. Therefore,
in this study, we investigated the effects of PAQR3 on cell proliferation and
extracellular matrix (ECM) accumulation in human glomerular mesangial cells (MCs)
cultured under high glucose (HG), and explored the underlying mechanism. Our
results demonstrated that HG significantly up-regulated the expression of PAQR3
in human MCs. In addition, knockdown of PAQR3 efficiently suppressed MC
proliferation and ECM production in HG-stimulated MCs. Furthermore, knockdown of
PAQR3 markedly reversed HG-induced PI3K/AKT activation in MCs. In summary, our
present study demonstrated that knockdown of PAQR3 suppressed HG-induced the
proliferation and ECM accumulation in human MCs, via inhibiting the PI3K/AKT
signaling pathway. Thus, PAQR3 may be a potential therapeutic target for the
treatment of diabetic nephropathy.
PMID- 29787775
TI - Health information exposure from information and communication technologies and
its associations with health behaviors: Population-based survey.
AB - Health information and communication technologies (ICTs) are increasingly used
but little is known about routine exposure to health information from ICTs and
its associations with health behaviors. A territory-wide population-based dual
landline and mobile telephone survey was conducted in 2016 in Hong Kong, where
smartphone ownership and Internet access are among the most prevalent, easiest
and fastest in the world. Health information exposure from traditional sources
(television/radio/newspaper/magazine), Internet websites, social media sites and
instant messaging (IM); and information on smoking, alcohol consumption and
physical activity were recorded. Prevalence was weighted by age, sex and
education level of the general population. Multinomial logistic regression was
used to assess the association of health information exposure with smoking and
alcohol consumption, whilst multivariable linear regression was used to assess
the association with frequency of moderate and vigorous physical activity
(days/week). Of 3063 respondents, most (71.6%) were often or sometimes exposed to
health information from traditional sources, followed by Internet websites
(40.9%), social media sites (40.7%), and IM (27.0%). Respondents with lower
education and household income were less frequently exposed to health information
from Internet websites, social media sites and IM (all P < 0.001). Health
information exposure from IM was associated with being never smokers, and more
frequent moderate and vigorous physical activity (all P for trend <0.05). Health
information exposure from IM was least frequent but associated with healthier
behaviors. Further public health education campaigns can consider using IM to
deliver information, particularly to disadvantaged groups.
PMID- 29787776
TI - Intraepidermal nerve fibres are not the exclusive tranducers of nociception.
AB - The goal of this article is to provide an insight of the most recent data on
cutaneous nociception. Indeed recent studies have demonstrated that keratinocytes
closely participate in sensory transduction, and therefore, intraepidermal free
nerve endings are not exclusive transducers of pain. Therefore, the results of
neurophysiological studies should be interpreted in the light of this
information.
PMID- 29787777
TI - Examination of the effects of cannabinoid ligands on decision making in a rat
gambling task.
AB - Although exposure to delta-9-tetrahydrocannabinol (THC) is perceived to be
relatively harmless, mounting evidence has begun to show that it is associated
with a variety of cognitive deficits, including poor decision making. THC-induced
impairments in decision making are thought to be the result of cannabinoid CB1
receptor activation, and although clinical literature suggests that chronic
activation via THC contributes to perturbations in decision making, acute CB1
receptor modulation has yielded mixed results. Using an animal model to examine
how CB1-specific ligands impact choice biases would provide significant insight
as to how recruitment of the endocannabinoid system may influence decision
making. Here, we used the rat gambling task (rGT), a validated analogue of the
human Iowa Gambling Task, to assess baseline decision making preferences in male
Wistar rats. After acquisition rGT performance was measured. Animals were
challenged with the CB1 receptor antagonist rimonabant, the partial agonist THC,
and the synthetic agonist WIN55,212-2. Animals were also treated acutely with the
fatty acid amide hydrolase (FAAH) inhibitor URB597 to selectively upregulate the
endocannabinoid anandamide. Blockade of the CB1 receptor produced a trend
improvement in decision making in animals who preferred the advantageous task
options, yet left choice unaffected in risk-prone rats. Neither CB1 receptor
agonist had strong effects on decision making, but a high dose THC decreased
premature responses, whereas WIN55,212-2 did the opposite. URB597 did not affect
task performance. These results indicate that although chronic CB1 receptor
activation may be associated with impaired decision making, acute modulation has
modest effects on choice and instead may play a substantive role in regulating
impulsive responding.
PMID- 29787778
TI - Monoclonal antibodies against loggerhead sea turtle, Caretta caretta, IgY
isoforms reveal differential contributions to antibody titers and relatedness
among other sea turtles.
AB - Serum from loggerhead sea turtles, Caretta caretta, was collected from the
southeast Atlantic Ocean during routine summer monitoring studies in 2017. Serum
immunoglobulin IgY was purified and used to develop IgY isoform-specific
monoclonal antibodies (mAb). mAb LH12 was developed against the 66 kDa heavy
chain of IgY, mAb LH1 was developed against the truncated heavy chain of
approximately 37 kDA, and mAb LH9 was developed against the 23 kDa light chains.
mAb LH9 reacts with the light chains of all sea turtles, mAb LH12 reacts with the
long heavy chain of all sea turtles within the family Cheloniidae, and mAb LH1
reacts with the truncated form of IgY in both olive and Kemp's ridley turtles.
Circulating IgY antibodies against three different marine bacterial pathogens
were determined in 16 loggerhead samples using these mAbs. mAb LH12 detects
higher titers than mAb LH1, and mAb LH9 detects the highest titers.
PMID- 29787779
TI - Stichodactyla helianthus' de novo transcriptome assembly: Discovery of a new
actinoporin isoform.
AB - Transcriptomic profiling of venom producing tissues from different animals is an
effective approach for discovering new toxins useful in biotechnological and
pharmaceutical applications, as well in evolutionary comparative studies of
venomous animals. Stichodactyla helianthus is a Caribbean sea anemone which
produces actinoporins as part of its toxic venom. This family of pore forming
toxins is multigenic and at least two different isoforms, encoded by separate
genes, are produced by S. helianthus. These isoforms, sticholysins I and II,
share 93% amino acid identity but differ in their pore forming activity and act
synergistically. This observation suggests that other actinoporin isoforms, if
present in the venomous mixture, could offer an advantageous strategy to modulate
whole venom activity. Using high-throughput sequencing we generated a de novo
transcriptome of S. helianthus and determined the relative expression of
assembled transcripts using RNA-Seq to better characterize components of this
species' venom, focusing on actinoporin diversity. Applying this approach, we
have discovered at least one new actinoporin variant from S. helianthus in
addition to several other putative venom components.
PMID- 29787780
TI - Association of ST2 polymorphisms with atopy, asthma, and leukemia.
PMID- 29787781
TI - Regulation of bile secretion by calcium signaling in health and disease.
AB - Calcium (Ca2+) signaling controls secretion in many types of cells and tissues.
In the liver, Ca2+ regulates secretion in both hepatocytes, which are responsible
for primary formation of bile, and cholangiocytes, which line the biliary tree
and further condition the bile before it is secreted. Cholestatic liver diseases,
which are characterized by impaired bile secretion, may result from impaired Ca2+
signaling mechanisms in either hepatocytes or cholangiocytes. This review will
discuss the Ca2+ signaling machinery and mechanisms responsible for regulation of
secretion in both hepatocytes and cholangiocytes, and the pathophysiological
changes in Ca2+ signaling that can occur in each of these cell types to result in
cholestasis.
PMID- 29787782
TI - Effect of desensitizing toothpastes on dentine hypersensitivity: A systematic
review and meta-analysis.
AB - OBJECTIVES: To evaluate the desensitizing effect of toothpastes that contain
ingredients that act against dentine hypersensitivity (DH) and to compare this
effect with negative controls. SOURCES: Five databases were searched to identify
relevant articles published up to November 27, 2017. STUDY SELECTION: Randomized
controlled trials (RCTs) comparing desensitizing toothpastes with a toothpastes
without desensitizing component in adult patients that suffer from DH were
included. The risk of bias was assessed according to the Cochrane guidelines, and
the quality of the evidence was evaluated using the GRADE tool. Inverse variance
random-effects meta-analyses of standardized mean differences (SMD) and 95%
confidence intervals (CIs) were calculated using RevMan 5.3 software. DATA: 53
RCTs with 4796 patients were finally included in the meta-analysis. The
toothpastes that contain active desensitization ingredients showed a better
desensitizing effect on DH than the negative control, except the strontium- and
amorphous calcium phosphate-containing toothpastes. The amorphous calcium
phosphate-containing toothpaste had very low-quality evidence, the strontium,
potassium and strontium, and potassium and stannous fluoride-containing
toothpastes had low-quality evidence, and the other five toothpastes had moderate
quality evidence. CONCLUSIONS: Our result support the premise that toothpastes
containing potassium, stannous fluoride, potassium and strontium, potassium and
stannous fluoride, calcium sodium phosphosilicate, arginine, and nano
hydroxyapatite relieve the symptoms of DH, but does not advise the use of
toothpastes that contain strontium and amorphous calcium phosphate. Furthermore,
high-quality studies are needed to confirm our results. (PROSPERO
CRD42018085639).
PMID- 29787783
TI - Segmentation of the rabies virus genome.
AB - We established a system for the recovery of a segmented recombinant rabies virus,
the virus genome RNA of which was divided into two parts: segment 1 encoding the
nucleoprotein, phosphoprotein, matrix protein, and glycoprotein genes, and
segment 2 encoding the large RNA-dependent RNA polymerase gene. The morphology of
the segmented recombinant rabies virus was bullet-like in shape with a length of
approximately 130 nm, which is shorter than the 200-nm long non-segmented
recombinant rabies virus. The segmented recombinant rabies virus was maintained
for at least 18 passages. The virus multiplication rate of the segmented
recombinant rabies virus was lower than that of the non-segmented recombinant
rabies virus during the passages, and the relative amounts of virus genome RNAs
for segment 1 and segment 2 differed in the supernatant of the segmented
recombinant rabies virus infected cells. These results suggest that the segmented
recombinant rabies virus packages either segment 1 or segment 2 into each virus
particle. Thus, co-infection with segmented recombinant rabies virus particles
packaging segment 1 or segment 2 may be necessary for the production of progeny
virus.
PMID- 29787784
TI - Heart rate variability response to affective pictures processed in and outside of
conscious awareness: Three consecutive studies on emotional regulation.
AB - Previous research has increased understanding of the neurobiological basis of
emotional regulation. However, less is known concerning the unconscious
processing of affective information. Three experiments were performed to
investigate the extent to which complex affective stimuli can be processed
outside of consciousness and demonstrate possible mechanisms for regulation of
resulting emotional responses. In Experiment 1, participants were either
instructed to passively observe blocked-picture cues (neutral and negative) or to
downregulate their emotions by distancing. Resulting emotional regulation
activity was assessed with 0.1-Hz heart rate variability (HRV) indices. In
Experiment 2, participants were presented with affective pictures that were
rendered consciously invisible by means of continuous flash suppression (CFS). In
Experiment 3, two equivalent sets of negative affective pictures were covertly
presented and the effect of a cognitive task on emotional regulation was
evaluated. Our findings revealed that 0.1-Hz HRV indices exhibited greater change
over baseline in response to negative compared to neutral stimuli for both
presentation conditions (consciously perceived or not). The implementation of
distancing and the cognitive task were both associated with higher 0.1-Hz HRV
change scores. These results indicate that even complex affective stimuli can be
processed without awareness, resulting in a congruent emotional response that is
physiologically detectable. Cognitive strategies can help more effectively
regulate this response, implying that conscious perception of a triggering
stimulus may not be essential for cognitive regulation.
PMID- 29787786
TI - Preparation of 99mTc-levetiracetam intranasal microemulsion as the first
radiotracer for SPECT imaging of the Synaptic Vesicle Protein SV2A.
AB - Selective receptors imaging using gamma emitting radiopharmaceuticals allows
accurate diagnosis and follow up of many brain related disorders. Levetiracetam,
a selective SV2A receptor antiepileptic, was successfully radiolabeled using
99mTc. Different conditions affecting the labelling process were studied and
optimum radiochemical yield of 89.8% was obtained. 99mTc-levetiracetam was
effectively formulated and characterized as microemulsion with particle size of
16.34 +/- 5.58 nm and polydispersity index of 0.382 +/- 0.05. Parallel
biodistribution studies were performed comparing brain targeting efficiency of
I.V 99mTc-levetiracetam solution, I.N 99mTc-levetiracetam solution and I.N 99mTc
levetiracetam microemulsion. Brain radioactivity uptake and brain/blood uptake
ratio for I.N 99mTc-levetiracetam microemulsion were higher than the other two
routes at all time intervals. Such results present intranasal 99mTc-levetiracetam
microemulsion as the first SPECT tracer for imaging SV2A receptor.
PMID- 29787785
TI - Junior temperament character inventory together with quantitative EEG
discriminate children with attention deficit hyperactivity disorder combined
subtype from children with attention deficit hyperactivity disorder combined
subtype plus oppositional defiant disorder.
AB - Oppositional defiant disorder (ODD) is frequently associated with Attention
Deficit Hyperactivity Disorder (ADHD) but no clear neurophysiological evidence
exists that distinguishes the two groups. Our aim was to identify biomarkers that
distinguish children with Attention Deficit Hyperactivity Disorder combined
subtype (ADHD_C) from children with ADHD_C + ODD, by combining the results of
quantitative EEG (qEEG) and the Junior Temperament Character Inventory (JTCI). 28
ADHD_C and 22 ADHD_C + ODD children who met the DSMV criteria participated in the
study. JTCI and EEG were analyzed. Stability based Biomarkers identification
methodology was applied to the JTCI and the qEEG separately and combined. The
qEEG was tested at the scalp and the sources levels. The classification power of
the selected biomarkers was tested with a robust ROC technique. The best
discriminant power was obtained when TCI and qEEG were analyzed together. Novelty
seeking, self-directedness and cooperativeness were selected as biomarkers
together with F4 and Cz in Delta; Fz and F4 in Theta and F7 and F8 in Beta, with
a robust AUC of 0.95 for the ROC. At sources level: the regions were the right
lateral and medial orbito-frontal cortex, cingular region, angular gyrus, right
inferior occipital gyrus, occipital pole and the left insula in Theta, Alpha and
Beta. The robust estimate of the total AUC was 0.91. These structures are part of
extensive networks of novelty seeking, self-directedness and cooperativeness
systems that seem dysregulated in these children. These methods represent an
original approach to associate differences of personality and behavior to
specific neuronal systems and subsystems.
PMID- 29787787
TI - The antidepressant-like effect of galanin in the dorsal raphe nucleus of rats
involves GAL2 receptors.
AB - Galanin is a neuropeptide distributed in human and rat brain regions that are
involved with emotional regulation, such as the dorsal raphe nucleus (DRN).
Galanin effects in the DRN are mediated by GAL1 and GAL2 receptors. Intracerebral
infusion of a GAL2 (AR-M1896) or a GAL1 (M617) agonist induced either
antidepressant or depressive-like effect, respectively, in rats exposed to the
forced swimming test (FST). However, it is not clear if GAL1 and/or GAL2
receptors present in the DRN would be involved in such effects. Therefore, we
investigated the effects induced by intra-DRN infusion of galanin (0.3 nmol), AR
M1896 (1 nmol, GAL2 agonist), or M617 (GAL1 agonist) in rats exposed to the FST.
Galanin and AR-M1896 intra-DRN administration induced antidepressant-like effect
in the FST. However, M617 did not induce any change in the FST. Neither M617 nor
AR-M1896 changed the locomotor activity of rats in the open field test. Intra-DRN
pre-treatment with M871 (1 nmol), a selective GAL2 antagonist, counteracted the
antidepressant-like effect induced by galanin. These results suggest that galanin
signaling through GAL2 receptors in the DRN produces triggers antidepressant-like
effect.
PMID- 29787788
TI - Increases in motor cortical excitability during mirror visual feedback of a
precision grasp is influenced by vision and movement of the opposite limb.
AB - Unimanual grasp movements with mirrored visual feedback (MVF) can improve
function and increase excitability of primary motor cortex (M1) ipsilateral to
the moving hand. However, no study to date has examined the contribution of
vision and movement of the opposite hand during an object-directed precision
grasp. In this study, we tested 15 healthy individuals in three conditions: MVF
(vision + motor), Movement (motor component), and Action Observation (vision
component). We hypothesized that unimanual grasp movements with MVF increases the
excitability and reduces intracortical inhibition of the M1 ipsilateral to the
moving hand. We found increased excitability in the right primary motor cortex
(M1) ipsilateral to the moving right hand for MVF movements compared to Rest
(Baseline). In contrast, no change was found in right M1 with only movement of
the right hand or observation of object-directed precision grasp with left hand.
We also found a reduction in short-interval intracortical inhibition in MVF
movements compared to baseline. These findings suggest that excitability in M1
during an object-directed precision grasp is mediated by the combination of
viewing the movement performed and performing the movement from the opposite
hand.
PMID- 29787789
TI - The cognitive up- and down-regulation of positive emotion: Evidence from
behavior, electrophysiology, and neuroimaging.
AB - Although numerous studies have investigated emotion regulation, the physiological
responses and neural substrates of positive emotion regulation remain unclear. To
address this question, we explored the effect of reappraisal on subjective
experience, zygomatic electromyography (zEMG) response, and blood oxygen level
response, using the same materials across three independent experiments.
Behaviorally, up-regulating positive emotion increased the valence, arousal, and
zEMG response, whereas down-regulating positive emotion decreased the valence,
but not arousal or the zEMG response. The neuroimaging results indicate that
reappraisal-related prefrontal and cingulate regions were recruited in both the
up- and down-regulation conditions, while the bilateral occipital lobe was more
active in the up-regulation than in the down-regulation. The psychophysiological
interaction analysis revealed that the prefrontal-subcortical (amygdala and
ventral striatal) connections were primarily recruited during up-regulation. This
study expands the research on emotion regulation and enhances the understanding
of the mechanisms underlying the cognitive regulation of positive emotion.
PMID- 29787790
TI - A discriminative test among the different theories proposed to explain the origin
of the genetic code: The coevolution theory finds additional support.
AB - A discriminative statistical test among the different theories proposed to
explain the origin of the genetic code is presented. Gathering the amino acids
into polarity and biosynthetic classes that are the first expression of the
physicochemical theory of the origin of the genetic code and the second
expression of the coevolution theory, these classes are utilized in the Fisher's
exact test to establish their significance within the genetic code table. Linking
to the rows and columns of the genetic code of probabilities that express the
statistical significance of these classes, I have finally been in the condition
to be able to calculate a chi2 value to link to both the physicochemical theory
and to the coevolution theory that would express the corroboration level referred
to these theories. The comparison between these two chi2 values showed that the
coevolution theory is able to explain - in this strictly empirical analysis - the
origin of the genetic code better than that of the physicochemical theory.
PMID- 29787791
TI - Pathogen-dependent modulation of milk neutrophils competence, plasma inflammatory
cytokines and milk quality during intramammary infection of Sahiwal (Bos indicus)
cows.
AB - The aim of the current study was to investigate the responses of milk neutrophils
and plasma inflammatory cytokines to various mastitis pathogens and subsequently
on milk composition. Milk was collected from healthy (n = 10) and clinical
mastitis indigenous Sahiwal cows naturally infected either with gram-positive
bacteria mainly S. aureus (n = 10) and Strep. agalactiae (n = 10) or with gram
negative bacteria, E. coli (n = 10). Phagocytic activity of milk neutrophils
decreased in all mastitis cows with the lowest values recorded during gram
positive bacterial infections. Maximum plasma cortisol levels were observed in
cows infected with gram-positive bacteria and were positively correlated with the
milk neutrophils percentage and negatively correlated with the phagocytic
activity of neutrophils and expression of glucocorticoid receptor. The plasma
concentrations of IL-2 and IL-8 increased in all mastitis groups with maximum
values recorded during E. coli infections. Unlike gram-negative bacterial
infections, gram-positive bacterial infections evoked a minimal tumor necrosis
factor-alpha (TNF-alpha), and IL-6 response. Milk somatic cell counts, fat,
protein, pH and electrical conductivity increased in mastitis cows with the
highest values exhibited by Strep. agalactiae infection. The expression of
chemokine receptors (CXCR1, CXCR2), IL-8 and CD11b was maximum in mastitis
neutrophils infected with E. coli. The expression of glucocorticoid receptor
(GRalpha) decreased in all mastitis groups with the lowest values were found in
S. aureus infection. Among the various mastitis pathogens, Strep. agalactiae
showed maximum adverse effect on milk quality. Attenuated neutrophils, TNF-alpha
and IL-6 response in cows infected by gram-positive bacteria may contribute to
the establishment of chronic mastitis.
PMID- 29787792
TI - Age-dependent alterations in expression and co-localization of Pax6 and Ras-GAP
in brain of aging mice.
AB - As the brain ages, the survival and plasticity of neurons and glia are
compromised. The data-mining and in silico studies suggest interactions of Pax6
with Ras and binding sites in Ras-GAP promoter. The Pax6 also shows age-dependent
alterations. Therefore, it is presumed that Pax6 may be associated with the Ras
GAP, a synaptic protein, either directly or indirectly in brain. The expression,
co-localization and interaction of Pax6 and Ras-GAP in different regions of brain
of mice during aging were investigated through immunofluorescence assay, co
immunoprecipitation and western blotting, respectively. The co-localization of
Pax6 and Ras-GAP were observed in dentate gyrus (DG) and sub-granular zone (SGZ)
of hippocampus, in glomerular (GlLa) and mitral cells (MiCe) of olfactory lobe,
granular cells (GrCe), Purkinje cell (PuCe) and molecular cell layer (MoLa) of
cerebellum, internal plexiform layer (InPl), molecular layer (MoLa) of cerebral
cortex and in intercalated cells of amygdala (ITC), caudate nucleus regions in
brain of aging mice. The expression of Pax6 and Ras-GAP was altered in
hippocampus, amygdala, caudate nucleus, olfactory lobe, cerebral cortex and
cerebellum from young to old mice. The Pax6 interacts with Ras-GAP in brain of
mice. Results indicate impact of Pax6 on Ras-GAP-mediated activities of synapses,
learning and memory, emotions and fear as well as motor functions. Alterations in
expression and co-localization of Pax6 and Ras-GAP during aging may be
responsible for age-associated compromised survival and plasticity of neurons and
glia.
PMID- 29787793
TI - Chlorogenic acid ameliorates alcohol-induced liver injuries through scavenging
reactive oxygen species.
AB - The key role of oxidative stress in alcoholic liver disease (ALD) has been
established by the large body of evidence from previous studies. Excessive
consumption of ethanol induces the production of a variety of reactive oxygen
species (ROS) in the liver, such as superoxide, H2O2, and hydroxyl radical. These
products activate oxidant-sensitive signaling cascades and modulators of
apoptosis. Because ROS accumulation is closely related to ALD, a number of
studies have investigated the benefits of antioxidants. Recent studies
demonstrated that polyphenol chlorogenic acid (CGA) has antioxidant properties
and health benefits, such as reduction of relative risk of cardiovascular
diseases and hepatoprotective effects against acetaminophen toxicity. However,
the protective effects of CGA against ALD have not been studied in detail. We
hypothesize that CGA plays a role in preventing ALD through its antioxidant
properties. In this study, we investigated the protective effects of CGA against
liver injuries in vivo. Reduced alcohol-induced-steatosis, apoptotic cell death,
and fibrosis due to reduced levels of oxidative stress were observed. These
findings suggest that CGA treatment can be an effective approach to attenuate ALD
through the suppression of oxidative stress.
PMID- 29787794
TI - Early growth response gene 1 is essential for urban particulate matter-induced
inflammation and mucus hyperproduction in airway epithelium.
AB - Particulate matter (PM) has been implicated as a risk factor for human airway
disorders. However, the biological mechanisms underlying the correlation between
PM exposure and adverse airway effects have not yet been fully clarified. The
objective of this study was to explore the possible role of early growth response
gene 1 (Egr-1) in PM-induced toxic effects in pulmonary inflammation and mucus
hyperproduction in vitro and in vivo. Particulate matter exposure induced a rapid
Egr-1 expression in human bronchial epithelial (HBE) cells and in mouse lungs.
Genetic blockage of Egr-1 markedly reduced PM-induced inflammatory cytokines,
e.g., IL6 and IL8, and MUC5AC in HBE cells, and these effects were
mechanistically mediated by the nuclear factor-kappaB (NF-kappaB) and activator
protein-1 (AP-1) pathways, respectively. Egr-1-knockout mice displayed
significantly reduced airway inflammation and mucus hyperproduction in response
to PM exposure in vivo. Moreover, polycyclic aromatic hydrocarbons (PAHs)
contained in the PM also induced Egr-1 expression, and also played a role in the
inflammatory responses and mucus production. Taken together, our data reveal
novel Egr-1 signaling that mediates the NF-kappaB and AP-1 pathways to
orchestrate PM-induced pulmonary inflammation and mucus hyperproduction,
suggesting that Egr-1 inhibition could be an effective therapeutic approach for
airway disorders or disease exacerbations induced by airborne particulate
pollution.
PMID- 29787796
TI - Prediction of poor neurological outcome within 24 h from cardiac arrest: Can
electrophysiology-based indices be helpful?
PMID- 29787795
TI - Anti-allergy and anti-tussive activity of Clitoria ternatea L. in experimental
animals.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Clitoria ternatea flower is traditionally used in
the treatment of respiratory disorders including bronchitis and is one of the
ingredients in different Ayurvedic preparations that are used in respiratory
disorders. However, till date there is no scientific report on the anti-asthmatic
activity of this flower. AIM OF THE STUDY: Ethanolic extract of Clitoria ternatea
flowers (ECT) was evaluated for its anti-allergy and anti-tussive potential in
experimental animals. Additionally, the anti-inflammatory potential of ECT was
carried out to draw a plausible mechanism of action of the drug. MATERIALS AND
METHODS: In-vitro anti-asthmatic activity of ECT was evaluated in goat tracheal
chain and isolated guinea pig ileum preparations. Acute and chronic anti
asthmatic activity of ECT (100, 200 and 400 mg/kg; p.o.) was estimated in
histamine aerosol exposed guinea pigs and in OVA sensitized and challenged mice
respectively. Anti-tussive activity of ECT (100, 200 and 400 mg/kg; p.o.) was
evaluated against sulfur dioxide- and citric acid-induced cough in experimental
animals. Moreover, the anti-inflammatory activity of ECT (100, 200 and 400 mg/kg;
p.o.) was evaluated against carrageenan- and acetic acid-induced inflammation in
rats. RESULTS: ECT attenuated histamine-induced contraction in both goat tracheal
chain and isolated guinea pig ileum preparations. ECT (400 mg/kg) attenuated
histamine-induced dyspnoea and OVA-induced changes in differential cell count in
broncheoalveolar fluid, levels of interleukins (IL-1beta and IL-6) and
immunoglobulin (OVA-sensitive IgG1) in animals. ECT (400 mg/kg) further
ameliorated sulfur dioxide- and citric acid-induced cough in experimental
animals. Additionally, ECT (400 mg/kg) attenuated inflammation in carrageenan and
acetic acid challenged rodents. CONCLUSIONS: Standardized ECT could be considered
as a potential therapeutic alternative in the management of allergy-induced
asthma.
PMID- 29787797
TI - Developing neurites from mouse basal forebrain gonadotropin-releasing hormone
neurons use Sonic hedgehog to modulate their growth.
AB - Hypothalamic gonadotropin-releasing hormone (GnRH) neurons are required for
fertility in all mammalian species studied to date. GnRH neuron cell bodies
reside in the basal forebrain, and most extend long neurites in the caudal
direction to terminate at the median eminence (ME), the site of hormone
secretion. Using in vitro neurite growth assays, histological methods, and
genetic deletion strategies in mice we have analysed the role of the morphogen
and neurite growth and guidance molecule, Sonic hedgehog (Shh), in the growth of
GnRH neurites to their target. Immunohistochemistry revealed that Shh was present
in the basal forebrain, the preoptic area (POA) and mediobasal hypothalamus (MBH)
at gestational day 14.5 (GD 14.5), a time when GnRH neurites grow towards the ME.
Furthermore, in situ hybridization revealed that mRNA encoding the Shh receptor,
Smoothened (Smo), was present in GnRH neurons from GD 15.5, when the first GnRH
neurites are extending towards the MBH. In vitro neurite growth assays using
hypothalamic explants from GD 15.5 fetuses in 3-D collagen gels showed that Shh
was able to significantly stimulate GnRH neurite outgrowth. Finally, genetic
deletion of Smo specifically from GnRH neurons in vivo, using Cre-loxP
technology, resulted in a significant decrease in GnRH neurites innervating the
ME. These experiments demonstrate that GnRH neurites use Shh for their neurite
development, provide further understanding of the mechanisms by which GnRH nerve
terminals arrive at their site of hormone secretion, and identify an additional
hypothalamic neuronal population for which Shh/Smo signaling is developmentally
important.
PMID- 29787798
TI - Comprehensive analysis of a metabolic model for lipid production in
Rhodosporidium toruloides.
AB - The yeast Rhodosporidium toruloides has been extensively studied for its
application in biolipid production. The knowledge of its metabolism capabilities
and the application of constraint-based flux analysis methodology provide useful
information for process prediction and optimization. The accuracy of the
resulting predictions is highly dependent on metabolic models. A metabolic
reconstruction for R. toruloides metabolism has been recently published. On the
basis of this model, we developed a curated version that unblocks the central
nitrogen metabolism and, in addition, completes charge and mass balances in some
reactions neglected in the former model. Then, a comprehensive analysis of
network capability was performed with the curated model and compared with the
published metabolic reconstruction. The flux distribution obtained by lipid
optimization with flux balance analysis was able to replicate the internal
biochemical changes that lead to lipogenesis in oleaginous microorganisms. These
results motivate the development of a genome-scale model for complete elucidation
of R. toruloides metabolism.
PMID- 29787799
TI - New insights on the phylogenetic relationships among the traditional Philodendron
subgenera and the other groups of the Homalomena clade (Araceae).
AB - Philodendron (Araceae) is one of the largest Neotropical plant genera, with
approximately 500 species and at least 1000 species predicted. There is a
considerable ecological diversity in the group, although most species occur in
the humid forests of tropical America. Despite being relatively well-studied in
taxonomic analyses, the relationships among the traditional morphological groups
of the genus are not well-established, mainly regarding the three traditional
subgenera, referred here as Philodendron sensu lato (s.l.), P. subg.
Pteromischum, P. subg. Philodendron and P. subg. Meconostigma, which was recently
recognized as a separate genus, Thaumatophyllum. Therefore, the present work
evaluates the phylogenetic position and the monophyly of Philodendron s.l. and
its three main subdivisions, and the sister groups within the Homalomena clade,
which also includes the Neotropical genus Adelonema, the two Asian genera
Homalomena and Furtadoa, and the two African genera Cercestis and Culcasia, by
means of molecular phylogenetic approaches including chloroplast DNA (atpF-atpH,
rpl32-trnL, trnQ-5'-rps16 and trnV-ndhC) and nuclear (ITS2) markers. The
monophyly of Philodendron s.l. and its three lineages is confirmed and our
analyses corroborate previous morphologic data indicating Thaumatophyllum as
sister to the clade formed by P. subg. Pteromischum and P. subg. Philodendron.
PMID- 29787800
TI - The effect of formulation on spray dried Sabin inactivated polio vaccine.
AB - The objective of this study was to develop a stable spray dried formulation,
containing the three serotypes of Sabin inactivated polio vaccine (sIPV), aiming
for minimal loss of native conformation (D-antigen) during drying and subsequent
storage. The influence of atomization and drying stress during spray drying on
trivalent sIPV was investigated. This was followed by excipient screening, in
which monovalent sIPV was formulated and spray dried. Excipient combinations and
concentrations were tailored to maximize both the antigen recovery of respective
sIPV serotypes after spray drying and storage (T = 40 degrees C and t = 7 days).
Furthermore, a fractional factorial design was developed around the most
promising formulations to elucidate the contribution of each excipient in
stabilizing D-antigen during drying. Serotype 1 and 2 could be dried with 98% and
97% recovery, respectively. When subsequently stored at 40 degrees C for 7 days,
the D-antigenicity of serotype 1 was fully retained. For serotype 2 the D
antigenicity dropped to 71%. Serotype 3 was more challenging to stabilize and a
recovery of 56% was attained after drying, followed by a further loss of 37%
after storage at 40 degrees C for 7 days. Further studies using a design of
experiments approach demonstrated that trehalose/monosodium glutamate and
maltodextrin/arginine combinations were crucial for stabilizing serotype 1 and 2,
respectively. For sIPV serotype 3, the best formulation contained Medium199,
glutathione and maltodextrin. For the trivalent vaccine it is therefore probably
necessary to spray dry the different serotypes separately and mix the dry powders
afterwards to obtain the trivalent vaccine.
PMID- 29787802
TI - Permeability and diffusivity of nitric oxide in human plasma and red cells.
AB - A simple diffusion cell was made to measure the permeability and diffusivity of
Nitric Oxide in human plasma and red cells. Nitric oxide was passed through the
cell containing plasma or nitrited red cells enclosed by silicone membranes.
Steady state permeability (alphaNODNO ) was calculated from the cell dimensions
and from the NO bulk flow entering and leaving the cell. The diffusion
coefficient (DNO) was calculated in three ways: (i) by dividing the steady state
permeability by published values for solubility (alphaNO ) in water at 26
degrees C and 37 degrees C (ii) by a numerical method and (iii) by an analytical
method. Mean steady state permeability (95% confidence intervals) were plasma (26
degrees C) 5.57 * 10-11 (2.35 * 10-11-1.32 * 10-10) and (37 degrees C) 5.48 *
10-11 (2.13 * 10-11-1.41 * 10-10) mol cm-1 s-1 atm-1 and red cells (26 degrees
C) 6.74 * 10-12 (1.29 * 10-12-3.53 * 10-11) and (37 degrees C) 3.93 * 10-11
(1.39 * 10-11-1.11.10-10) mol cm-1 s-1 atm-1. Median Diffusion Coefficients (DNO)
for plasma at 37 degrees C ranged from 3-3.36 * 10-5 cm2 s-1 and red cells 2.41
2.94 * 10-5 cm2 s-1 depending on the method used. These values may be used for
modelling NO transport in vivo in the human lung and capillary. Parameters used
for modelling in vivo should be measured at 37 degrees C.
PMID- 29787801
TI - Looking inside the 'black box': Freezing engineering to ensure the quality of
freeze-dried biopharmaceuticals.
AB - The freezing step plays a central role in reaching the most stringent
requirements of quality, homogeneity and standardization of freeze-dried
products. In this paper, a systematic procedure has been proposed to obtain a
quantitative estimation of the pore-size variability of lyophilized products
resulting from uncontrollable variations of the nucleation temperature. This
procedure consisted in collecting the nucleation temperature from a statistically
significant number of samples and correlating each nucleation temperature to the
corresponding product morphology, using a mathematical model, to obtain a
statistical description of the lyophilized product structure. This approach can
also be used to obtain an estimation of the variability of the mass transfer
resistance to vapor flow and, finally, of the drying time. Two different freezing
configurations, i.e., conventional and suspended-vial freezing, have been used as
case studies since they can produce significantly different freezing rates.
PMID- 29787804
TI - The prognostic significance of preoperative neutrophil-lymphocyte ratio in
patients with hepatocellular carcinoma receiving hepatectomy: A systematic review
and meta-analysis.
AB - BACKGROUND AND AIMS: Numerous reports have indicated that preoperative Neutrophil
Lymphocyte ratio (NLR) was correlated with the prognosis of hepatocellular
carcinoma who underwent hepatectomy. However, the results still remained
controversial. Therefore, the present meta-analysis of 17 studies was performed
to evaluate the prognostic value of preoperative NLR in HCC patients. METHOD:
Databases of PubMed, Embase, Cochrane Library and Web of Science were retrieved.
Hazard Ratio (HR) or Odds Ratio (OR) with its 95% confidence intervals (CI) was
used to evaluate the association between preoperative NLR and the prognosis or
clinical features of HCC patients. RESULT: A total of 17 studies eventually were
included in this meta-analysis. Elevated preoperative NLR had a close
relationship with the overall survival (OS) (HR 1.52; 95% CI 1.37-1.69),
recurrence-free survival (RFS) (HR 1.64; 95% CI 1.44-1.87) and disease-free
survival (DFS) (HR 1.50; 95% CI 1.35-1.67) of hepatocellular carcinoma.
Additionally, preoperative NLR was also associated with tumor vascular invasion
(OR 2.08; 95% CI 1.60-2.70), HBV (OR 0.68; 95% CI 0.51-0.90) and large tumor size
(OR: 4.07; 95% CI 2.60-6.37). CONCLUSION: The present meta-analysis indicated
that preoperative NLR had significant association with the prognosis of
hepatocellular carcinoma patients and may be an effectively prognostic indicator.
PMID- 29787803
TI - Quality of life and functional assessment of facial palsy patients: A
questionnaire study.
AB - BACKGROUND: Facial palsy leads to functional and aesthetic deficits, which impair
the quality of life of affected patients. General health-related and disease
specific questionnaires are available for quality of life assessment. In this
study, observer-based analysis of facial function (Sunnybrook Facial Grading
Scale) was compared patient-based to facial palsy-specific gradings (Facial
Clinimetric Evaluation Scale and Facial Disability Index), and general health
related quality of life questionnaires (SF-36). We hypothesized that only facial
palsy-specific instruments capture functional and social impairments of affected
patients. METHODS: Thirty facial palsy patients treated at a tertiary referral
centre were included in this study. Inclusion criteria were unilateral facial
palsy with stable facial function, age over 18 years and fluency in German.
Facial function was assessed with general and disease-specific patient-reported
outcome measures and subsequently evaluated by the treating facial plastic
surgeon. Statistical analysis included descriptive statistics for all assessed
measurements. Correlations were calculated to compare general and facial palsy
specific instruments, as well as observer-based grading. RESULTS: Observer-based
evaluation of facial function correlated well to the patients-based assessment of
physical function, however social subscores did not correlate demonstrating the
limited correlation of patient distress and facial nerve impairment. Physical
function scores of disease-specific instruments did not correlate with general
health assessment scores, while social function scores showed moderate to good
correlations. CONCLUSION: Validated disease-specific instruments are essential
for the assessment of facial palsy patients. Patient-reported outcome measures
like the FaCE Scale and the Facial Disability Index should be applied in addition
to standardized observer-based ratings to capture the patients' perspective on
functional and social impairments associated with facial palsy to fully assess
the burden of disease.
PMID- 29787805
TI - N-acylanthranilic acid derivatives with anti-Abeta1-42 aggregation activity from
the leaves of Isatis indigotica fortune.
AB - Seven new N-acylanthranilic acid derivatives (1-3, 4a/4b, 5a/5b) including two
pairs of enantiomers (4a/4b and 5a/5b) were isolated from the leaves of Isatis
indigotica Fortune. Their chemical structures were elucidated by extensive
spectroscopic data analyses. The absolute configurations of compounds 4a/4b and
5a/5b were determined by comparison of the experimental and calculated ECD
spectra. All compounds were tested for their anti-Abeta1-42 aggregation activity.
As a result, compounds 1 (72.1%), 2 (79.8%) and 5a (81.8%) showed stronger
inhibitory activity than the positive control curcumin (67.0%). By the comparison
between 5a (81.8%) and 5b (63.1%), it was found that stereochemical
configurations may affect Abeta1-42 aggregation activity, which was discussed
through the molecular docking results of compounds 5a and 5b.
PMID- 29787806
TI - Factors associated with post-election psychological distress: The case of the
2016 U.S. presidential election.
AB - This study, through the lens of Social Cognitive Theory, examined potential risk
factors that may intensify election-related psychological distress. Six weeks
after the 2016 U.S. election, 772 U.S. adult citizens filled out an online survey
that assessed psychological distress along with sociodemographic characteristics,
and a set of variables tapping various dimensions of political self-efficacy
(i.e., importance of politics in one's life, preferences for different media
outlets, political directness, and voting choices). The findings showed that
election-related psychological distress was positively associated with young age,
greater reliance on new media, greater importance of politics in one's life,
higher political directness, and voting for the candidate who did not win the
election. Findings should be valuable for health-care providers informing them
about potential risk factors intensifying psychological distress in the context
of significant political events.
PMID- 29787807
TI - Temperament and characteristics related to nomophobia.
AB - Nomophobia is defined as the fear of being out of mobile phone contact and is
considered to be a phobia of the modern age. The current study set out to
establish the relationship between temperament and personality and the
development of nomophobia. The sample was composed of 968 participants selected
from the Andalusian population, of which there were 182 males and 785 females
aged from 23.19 years. The instruments used were the Questionnaire to Assess
Nomophobia (QANIP; Olivencia-Carrion et al., 2018) and the Temperament and
Character Inventory Revised (TCI-R; Cloninger et al., 1993). We found that
cooperation is a characteristic that significantly reduces nomophobic levels,
particularly for the two factors of Mobile Phone Addiction and Negative
Consequences. Furthermore, Reward Dependence appears to be positively related to
two of the factors involved in nomophobia, namely Mobile Phone Addiction and Loss
of Control, suggesting a relationship between Nomophobia and personality. These
findings are discussed in terms of their usefulness for identifying the
personality predictors of nomophobia in order to develop preventive and
intervention strategies.
PMID- 29787808
TI - Lipoxin A4 and its analog suppress hepatocarcinoma cell epithelial-mesenchymal
transition, migration and metastasis via regulating integrin-linked kinase axis.
AB - Epithelial-mesenchymal Transition (EMT) and migration play an important role in
tumor progression, and lipoxin (LX), the 'stop signal' for inflammation, has been
studied in basic research for its anti-inflammatory or inflammatory pro-resolving
properties. Here, in the in vitro experiment, we showed that LXA4 could inhibit
the EMT and migration in phorbol myristate acetate (PMA) or activated conditioned
medium (ACM)-stimulated Hep3B cells by downregulation of integrin-linked kinase
(ILK), a pseudokinase in cytoplasm and these effects were via inhibiting the
phosphorylation of Akt and GSK3beta. Morover, LXA4 could not affect the EMT and
migration of PMA-stimulated Hep3B cells by knockdown of ILK. In the in vivo
experiment, BML-111 (the analog of LXA4) could inhibit the EMT and metastasis of
hepatocarcinoma cells. We also demonstrated that ILK siRNA inhibited
phosphorylation of downstream signaling targets Akt and GSK3beta, decreased
expression of MMP-2 and MMP-9. These results showed that LXA4 could be a possible
candidate for liver cancer therapy, and blocking ILK axis would be an effective
drug target.
PMID- 29787810
TI - Comparison of several biomarkers (MMP-2, MMP-9, the MMP-9 inhibitor TIMP-1, CTX
II, calprotectin, and COMP) in the synovial fluid and serum of patients with and
without septic arthritis.
PMID- 29787811
TI - Gout furonculosis.
PMID- 29787812
TI - Muscular sarcoidosis under anti-TNF treatment in ankylosing spondylitis.
PMID- 29787809
TI - EET enhances renal function in obese mice resulting in restoration of HO-1-Mfn1/2
signaling, and decrease in hypertension through inhibition of sodium chloride co
transporter.
AB - BACKGROUND: We have previously reported that epoxyeicosatrienoic acid (EET) has
multiple beneficial effects on renal and adipose tissue function, in addition to
its vasodilatory action; it increases insulin sensitivity and inhibits
inflammation. In an examination of the signaling mechanisms by which EET reduces
renal and peri-renal fat function, we hypothesized that EET ameliorates obesity
induced renal dysfunction by improving sodium excretion, reducing the sodium
chloride cotransporter NCC, lowering blood pressure, and enhancing mitochondrial
and thermogenic gene levels in PGC-1alpha dependent mice. METHODS: EET-agonist
treatment normalized glucose metabolism, renal ENaC and NCC protein expression,
urinary sodium excretion and blood pressure in obese (db/db) mice. A marked
improvement in mitochondrial integrity, thermogenic genes, and PGC-1alpha-HO-1
adiponectin signaling occurred. Knockout of PGC-1alpha in EET-treated mice
resulted in a reversal of these beneficial effects including a decrease in sodium
excretion, elevation of blood pressure and an increase in the pro-inflammatory
adipokine nephroblastoma overexpressed gene (NOV). In the elucidation of the
effects of EET on peri-renal adipose tissue, EET increased adiponectin,
mitochondrial integrity, thermogenic genes and decreased NOV, i.e. "Browning'
peri-renal adipose phenotype that occurs under high fat diets. Taken together,
these data demonstrate a critical role of an EET agonist in the restoration of
healthy adipose tissue with reduced release of inflammatory molecules, such as
AngII and NOV, thereby preventing their detrimental impact on sodium absorption
and NCC levels and the development of obesity-induced renal dysfunction.
PMID- 29787813
TI - Vascular involvement in axial spondyloarthropathies.
AB - Ankylosing spondylitis (AS) is a chronic inflammatory joint disease that involves
the entheses, causing inflammatory pain and functional impairments. Patients may
experience extraarticular manifestations such as uveitis, psoriasis, and
inflammatory bowel disease. These, together with the increased risk of
cardiovascular disease and osteoporosis and the development of spinal fusion, are
the main determinants of adverse disease outcomes. As with many systemic
inflammatory diseases, AS is associated with excess cardiovascular mortality due
to increased risks of myocardial infarction, stroke, and venous thromboembolism.
Studies of markers for subclinical atheroma (endothelial dysfunction, arterial
stiffness, and intima-media thickness) have shown earlier onset of arterial
disease compared to healthy controls, with the difference being greatest for
patients with active AS. The potential vascular effects of drugs used to treat AS
have not been established. Few studies have focused on nonsteroidal
antiinflammatory drugs and biologics in patients with AS, and their results do
not conclusively establish a beneficial or deleterious effect in axial
spondyloarthritis. Statins have been found to improve endothelial dysfunction and
to decrease mortality. The latest EULAR recommendations on cardiovascular risk
management in patients with inflammatory joint disease indicate that statins
should be used in compliance with national guidelines.
PMID- 29787814
TI - Lipid-modified cell-penetrating peptide-based self-assembly micelles for co
delivery of narciclasine and siULK1 in hepatocellular carcinoma therapy.
AB - : Hepatocellular carcinoma (HCC) is the most frequent type of primary liver
cancer, and one therapeutic approach is to target both the AMPK and autophagy
pathways in order to synergistically promote programmed cell death. Here, a
series of amphiphilic, lipid-modified cell-penetrating peptides were synthesized
and allowed to self-assemble into micelles loaded with the AMPK activator
narciclasine (Narc) and short interfering RNA targeting the unc-51-like kinase 1
(siULK1). The size of these micelles, their efficiency of transfection into
cells, and their ability to release drug or siRNA cargo in vitro were pH
sensitive, such that drug release was facilitated in the acidic microenvironment
of the tumor. Transfecting the micelles into HCC cells significantly inhibited
protective autophagy within tumor cells, and delivering the micelles into mice
carrying HCC xenografts induced apoptosis, slowed tumor growth, and inhibited
autophagy. Our results indicate that co-delivering Narc and siULK1 in
biocompatible micelles can safely inhibit tumor growth and protective autophagy,
justifying further studies into this promising therapeutic approach against HCC.
STATEMENT OF SIGNIFICANCE: We have focused on the targeted therapy of HCC via
synergistically inhibiting the autophagy and inducing apoptosis. The lipid
modified cell-penetrating peptide can not only aggregate into micelles to load
natural product narciclasine and ULK1 siRNA simultaneously, but also facilitate
uptake and endosome escape with a pH-sensitive manner in HepG2 cells. HepG2 cell
treated with siULK1-M-Narc has increased apoptotic levels and declined autophagy
via the targeted regulation of AMPK-ULK1 signaling axis. The in vivo studies have
confirmed that siULK1-M-Narc efficiently reduce the growth of tumor on HCC
xenograft models with good safety. Thus, we suppose the lipid-modified cell
penetrating peptide has good application prospects in the targeted combinational
therapy of HCC.
PMID- 29787815
TI - Two extracellular sialidases from Bifidobacterium bifidum promote the degradation
of sialyl-oligosaccharides and support the growth of Bifidobacterium breve.
AB - We investigated the roles of extracellular sialidases (SiaBb1 and SiaBb2) in
cross-feeding between sialidase-carrying Bifidobacterium bifidum and sialic acid
utilizing Bifidobacterium breve. Using 6' sialyllactose (6'SL) as a carbon
source, the number of wild-type B. bifidum cells increased while that of a siabb2
inactivated strain (Deltasiabb2) did not. Coculture of these two strains in the
presence of 6'SL resulted in similar increase in cell numbers. Coculture of wild
type B. bifidum, but not the Deltasiabb2 strain, with sialic acid-utilizing
Bifidobacterium breve, which cannot release sialic acids from carbohydrates, in
the presence of 6'SL increased the number of B. breve cells. Moreover, when mucin
was used as a carbon source, B. breve growth was increased in cocultures with B.
bifidum wild-type and Deltasiabb2 strains, suggesting that SiaBb1 may be
involved. Additionally, B. breve cell numbers increased during cultivation with
recombinant SiaBb1-and SiaBb2-treated mucin as the sole carbon source. These
results indicated that B. bifidum SiaBb2 liberated sialic acid from sialyl-human
milk oligosaccharides and -mucin glycans, supporting the growth of B. breve
through sialic acid cross-feeding. SiaBb1 may assist in the degradation of mucin
glycan. Collectively, our results revealed that both the B. bifidum extracellular
sialidases promote the utilization of sialylated carbohydrates and supply free
sialic acid to other Bifidobacterium strains.
PMID- 29787816
TI - O-GlcNAcylation and neuronal energy status: Implications for Alzheimer's disease.
AB - Since the first clinical case reported more than 100 years ago, it has been a
long and winding road to demystify the initial pathological events underling the
onset of Alzheimer's disease (AD). Fortunately, advanced imaging techniques
extended the knowledge regarding AD origin, being well accepted that a decline in
brain glucose metabolism occurs during the prodromal phases of AD and is
aggravated with the progression of the disease. In this sense, in the last
decades, the post-translational modification O-linked beta-N
acetylglucosaminylation (O-GlcNAcylation) has emerged as a potential causative
link between hampered brain glucose metabolism and AD pathology. This is not
surprising taking into account that this dynamic post-translational modification
acts as a metabolic sensor that links glucose metabolism to normal neuronal
functioning. Within this scenario, the present review aims to summarize the
current understanding on the role of O-GlcNAcylation in neuronal physiology and
AD pathology, emphasizing the close association of this post-translational
modification with the emergence of AD-related hallmarks and its potential as a
therapeutic target.
PMID- 29787817
TI - Analysis of hepatitis B virus infection in blood sera using Raman spectroscopy
and machine learning.
AB - This study presents the analysis of hepatitis B virus (HBV) infection in human
blood serum using Raman spectroscopy combined with pattern recognition technique.
In total, 119 confirmed samples of HBV infected sera, collected from Pakistan
Atomic Energy Commission (PAEC) general hospital have been used for the current
analysis. The differences between normal and HBV infected samples have been
evaluated using support vector machine (SVM) algorithm. SVM model with two
different kernels i.e. polynomial function and Gaussian radial basis function
(RBF) have been investigated for the classification of normal blood sera from HBV
infected sera based on Raman spectral features. Furthermore, the performance of
the model with each kernel function has also been analyzed with two different
implementations of optimization problem i.e. Quadratic programming and least
square. 5-fold cross validation method has been used for the evaluation of the
model. In the current study, best classification performance has been achieved
for polynomial kernel of order-2. A diagnostic accuracy of about 98% with the
precision of 97%, sensitivity of 100% and specificity of 95% has been achieved
under these conditions.
PMID- 29787818
TI - Pathological significance and prognostic roles of densities of CD57+ cells, CD68+
cells, and mast cells, and their ratios in clear cell renal cell carcinoma.
AB - The immune system is closely associated with malignant behavior in renal cell
carcinoma (RCC). Therefore, understanding the pathological roles of immune cells
in tumor stroma is essential to discuss the pathological characteristics of RCC.
In this study, the clinical significance of densities of CD57+ cells, CD68+
cells, and mast cells, and their ratios were investigated in patients with clear
cell RCC. The densities of CD57+, CD68+, and mast cells were evaluated by
immunohistochemical techniques in 179 patients. Proliferation index, apoptotic
index, and microvessel density were evaluated by using anti-Ki-67, anti-cleaved
caspase-3, and anti-CD31 antibodies, respectively. The density of CD57+ cell was
negatively correlated with grade, pT stage, and metastasis, although densities of
CD68+ cell and mast cell were positively correlated. Ratios of CD68+ cell/CD57+
cell and mast cell/CD57+ cell were significantly correlated with grade, pT stage,
and metastasis. Survival analyses showed that the CD68+ cell/CD57+ cell ratio was
a significant predictor for cause-specific survival by multivariate analyses
(hazard ratio = 1.41, 95% confidence interval = 1.03-1.93, P = .031) and was
significantly correlated with proliferation index, apoptotic index, and
microvessel density (r = .47, P <. 001; r = -.31, P < .001; and r = .40, P <
.001, respectively). In conclusion, CD57+ cells, CD68+ cells, and mast cells
played important roles in malignancy in clear cell RCC. The CD68+ cell/CD57+ cell
ratio was strongly correlated with pathological features and prognosis in these
patients because this ratio reflected the status of cancer cell proliferation,
apoptosis, and angiogenesis.
PMID- 29787819
TI - Budding and tumor-infiltrating lymphocytes - combination of both parameters
predicts survival in colorectal cancer and leads to new prognostic subgroups.
AB - Tumor budding is an independent prognostic factor in colorectal cancer (CRC) and
has recently been well defined by the International Concensus Conference on Tumor
Budding (ITBCC). Tumor-infiltrating lymphocytes (TILs) are also an issue in
different human cancers and correlate with prognosis in CRC. Here we evaluate the
combination of budding and TILs in CRC with regard to prognosis. Hematoxylin and
eosin (H&E)-stained slides of 501 CRC patients, diagnosed between 2005 and 2010,
were reevaluated for tumor budding according to the ITBCC criteria. Low (n = 331)
was compared to intermediate/high budding (n = 170). The percentage of TILs was
also assessed, and the following four groups were established: low budding + TILs
>5% (n = 162), low budding + TILS <=5% (n = 169), high budding + TILS >5% (n =
68), high budding + TILs <=5% (n = 93). The combination of both markers revealed
highly significant differences in overall survival (OS) between the four groups
(P = .001). The low budding/>5% TILs group showed longest OS, followed by high
budding/>5% TILs cases, followed by tumors with low budding/<=5% TILs. OS was
worst for the high budding/<= 5% TILs group. The combined score also correlated
with T, N, M, L, Vstaging, development of disease relapse and distant metastasis.
Our study shows that - even in the age of molecular pathology - it is still
important to pay special attention to tumor morphology for additional information
on tumor behavior and prognosis. Combining different morphological parameters of
tumor and tumor environment can help to further subdivide CRC into new prognostic
groups.
PMID- 29787820
TI - Clinicopathological characteristics of thyroid transcription factor 1-negative
small cell lung cancers.
AB - Limitations in obtaining surgically resected or biopsy samples of small cell lung
cancer (SCLC) tumors make comprehensive biological analyses difficult. The loss
of thyroid transcription factor 1 (TTF-1) has been associated with the aggressive
behavior of non-small cell lung cancer; however, clinicopathological features of
TTF-1-negative SCLC remain unclear. This study aimed to elucidate the
characteristics of TTF-1-negative SCLC. We studied the associations between the
expression of TTF-1 and the clinicopathological factors associated with SCLC,
including survival and expression of neuroendocrine markers (synaptophysin,
chromogranin A, and CD56), neuroendocrine cell-specific transcription factors
(ASCL1, BRN2), a proliferation marker (Ki-67 labeling index), and an oncogene
(NF1B). Formalin-fixed and paraffin-embedded sections of SCLC tumors were
subjected to immunohistochemistry and quantitative reverse-transcription
polymerase chain reaction analyses. In a case-control cohort matched for basic
clinical factors, expression of ProGRP, synaptophysin, chromogranin A, and ASCL1
was significantly decreased in TTF-1-negative SCLC samples. In contrast, there
was no significant correlation between Ki-67 labeling index and TTF-1. In a
larger serial case cohort, TTF-1-negative SCLC cases were older at diagnosis, but
there was no significant difference in the overall survival of patients with TTF
1-negative and TTF-1-positive SCLC. In conclusion, TTF-1-negative SCLC showed
decreased neuroendocrine differentiation, and significantly worse clinical
outcomes were not observed.
PMID- 29787821
TI - SLC25A13 c.1610_1612delinsAT mutation in an Indian patient and literature review
of 79 cases of citrin deficiency for genotype-phenotype associations.
AB - Here, we report SLC25A13 c.1610_1612delinsAT mutation from India in a 13-year old
boy who presented with recurrent episodes of delirium and hyperammonemia. This is
the second case with this mutation; the first case was of Pakistani origin. The
boy responded to diet modification, sodium benzoate and arginine supplementation.
Furthermore, we have aimed to establish genotype-phenotype correlation of 79
cases of citrin deficiency (46 males and 33 females) reported in 24 studies from
all over the world. Inverse association was observed between age of onset and
jaundice (r = -0.73). Late age of onset was associated with delirium (r = 0.61),
aggressive behaviour (r = 0.67), altered sensorium (r = 0.67) and tremors (r =
0.65). The most common mutations associated with citrin deficiency were
c.851_854del4, IVS16ins3kb, 1638-1660dup with a frequency of 42.41%, 16.46% and
6.33%, respectively. The c.851_854del4 mutation showed positive association with
alpha feto protein (r = 0.40), ammonia (r = 0.50) and tyrosine (r = 0.40) while
showing inverse association with threonine (r = -0.55). The IVS16ins3kb mutation
was associated with high total (r = 0.65) and conjugated bilirubin (r = 0.54)
along with high aspartate transaminase (r = 0.49) while citrulline levels are
lower (r = -0.36). To conclude, all cases of intrahepatic cholestasis and
neuropsychiatric abnormalities should be evaluated for citrin deficiency.
However, the ethnic group-specific mutation frequencies should be considered in
implementing screening.
PMID- 29787823
TI - Members of the neuropeptide transcriptional network in Helicoverpa armigera and
their expression in response to light stress.
AB - Neuropeptides and peptide hormones play central roles in the regulation of
various types of insect physiology and behavior. Artificial light at night, a
form of environmental stress, has recently been regarded as a source of light
stress on nocturnal insects. Because related genomic information is not
available, molecular biological studies on the response of neuropeptides in
nocturnal insects to light stress are limited. Based on the de novo sequencing of
the Helicoverpa armigera head transcriptome, we obtained 124,960 unigenes. Of
these, the number of unigenes annotated as neuropeptides and peptide hormones,
neurotransmitter precursor processing enzymes, and neurotransmitter receptors
were 34, 17, and 58, respectively. Under light stress, there were sex-specific
differences in gene expression measured by qRT-PCR. The IMFamide, leucokinin and
sNPF genes were differentially expressed at the mRNA level in males but not in
females in response to light stress. The results provide new insights on the
diversity of the neuropeptide transcriptional network of H. armigera. In
addition, some neuropeptides exhibited sex-specific differential expression in
response to light stress. Taken collectively, these results not only expand the
catalog of known insect neuropeptides but also provide a framework for future
functional studies on the physiological roles they play in the light stress
response behavior of nocturnal moths.
PMID- 29787822
TI - The complete plastome sequence of Rubus takesimensis endemic to Ulleung Island,
Korea: Insights into molecular evolution of anagenetically derived species in
Rubus (Rosaceae).
AB - Previous phylogenetic studies have suggested that Rubus takesimensis (Rosaceae),
which is endemic to Ulleung Island, Korea, is closely related to R.
crataegifolius, which is broadly distributed across East Asia. A recent
phylogeographic study also suggested the possible polyphyletic origins of R.
takesimensis from multiple source populations of its continental progenitor R.
crataegifolius in China, Japan, Korea, and the Russian Far East. However, even
though the progenitor-derivative relationship between R. crataegifolius and R.
takesimensis has been established, little is known about the chloroplast genome
(i.e., plastome) evolution of anagenetically derived species on oceanic islands
and their continental progenitor species. In the present study, we characterized
the complete plastome of R. takesimensis and compared it to those of R.
crataegifolius and four other Rubus species. The R. takesimensis plastome was
155,760 base pairs (bp) long, a total of 46 bp longer than the plastome of R.
crataegifolius (28 from LSC and 18 from SSC). No structural or content
rearrangements were found between the species pairs. Four highly variable
intergenic regions (rpl32/trnL, rps4/trnT, trnT/trnL, and psbZ/trnG) were
identified between R. takesimensis and R. crataegifolius. Compared to the
plastomes of other congeneric species (R. corchorifolius, R. fockeanus, and R.
niveus), six highly variable intergenic regions (ndhC/psaC, rps16/trnQ,
trnK/rps16, trnL/trnF, trnM/atpE, and trnQ/psbK) were also identified. A total of
116 simple sequence repeats (SSRs), including 48 mononucleotide, 64 dinucleotide,
and four trinucleotide repeat motifs were characterized in R. takesimensis. The
plastome resources generated by the present study will help to elucidate plastome
evolution within the genus and to resolve phylogenetic relationships within
highly complex and reticulated lineages. Phylogenetic analysis supported both the
monophyly of Rubus and the sister relationship between R. crataegifolius and R.
takesimensis.
PMID- 29787824
TI - Discovery and expression analysis of novel transcripts of the human SR-related
CTD-associated factor 1 (SCAF1) gene in human cancer cells using Next-Generation
Sequencing.
AB - The human SR-related CTD associated factor 1 (SCAF1) gene is a new member of the
human SR (Ser/Arg-rich) superfamily of pre-mRNA splicing factors, which has been
discovered and cloned by members of our lab. SCAF1 interacts with the CTD domain
of the RNA polymerase II polypeptide A and is firmly involved in pre-mRNA
splicing. Although it was found to be expressed widely in multiple human tissues,
its mRNA levels vary a lot. The significant relation of SCAF1 with cancer has
been confirmed by many studies, since SCAF1 mRNA transcript was found to be
overexpressed in breast and ovarian tumors, confirming its significant prognostic
value as a cancer biomarker in both these human malignancies. In this study, we
describe the discovery and cloning of fifteen novel transcripts of the human
SCAF1 gene (SCAF1 v.2 - v.16), using nested PCR and NGS technology. In detail,
extensive bioinformatic analysis revealed that these novel SCAF1 splice variants
comprise a total of nine novel alternative splicing events between the annotated
exons of the gene, thus producing seven novel SCAF1 transcripts with open-reading
frames, which are predicted to encode novel SCAF1 isoforms and eight novel SCAF1
transcripts with premature termination codons that are likely long non-coding
RNAs. Additionally, a novel 3' UTR was discovered and cloned using nested 3' RACE
and was validated with Sanger sequencing. In order to validate the NGS findings
as well as to investigate the expression profile of each novel transcript, RT-PCR
experiments were carried out with the use of variant-specific primers. Since
SCAF1 is implicated in many human malignancies, qualifying as a potential
biomarker, the quantification of the presented novel transcripts in human samples
may have clinical applications in different types of cancer.
PMID- 29787825
TI - Congenital sideroblastic anemia: Advances in gene mutations and pathophysiology.
AB - Congenital sideroblastic anemia (CSA) is a series of rare, heterogeneous
disorders, characterized by iron overload in the mitochondria of erythroblasts
and ringed sideroblasts in bone marrow. In recent years, rapid development of
next-generation sequencing technology brings great advance in understanding of
genetic and pathophysiologic features of CSA. Based on the pathophysiology of
mitochondrial iron metabolism, causative genes of CSA can be divided into three
subtypes: heme biosynthesis related; iron-sulfur cluster biosynthesis and
transportation related; and mitochondrial respiratory chain synthesis related.
Patients with CSA present various clinical manifestation due to relevant mutation
gene and require different treatment strategies. The recognition of the causative
genes and evolution of pathogenicity is critical. In this review, we summarize
the recent progress in mutation genes of CSA, and its potential role in the
pathogenesis, diagnosis and treatment.
PMID- 29787826
TI - Obesity-induced overexpression of miRNA-24 regulates cholesterol uptake and lipid
metabolism by targeting SR-B1.
AB - Scavenger Receptor B1 (SR-B1) is an 82 kDa integral membrane glycoprotein that
mediates selective uptake of high-density lipoprotein cholesteryl ester (CE) in
vitro and in vivo. Previously, we defined several kinds of regulatory mechanisms
of SR-B1 expression and function. Here, we have dissected the function of a novel
miR-24 on SR-B1 expression, HDL uptake and lipid metabolism. We showed that miR
24 was upregulated in HepG2 cells cultured in the mimicked hyperlipidemic
condition and in the livers of dietary induced and genetic obesity mice.
Overexpression of miR-24 inhibited SR-B1 expression by directly targeting SR-B1
3' UTR and repressed HDL uptake and steroidogenesis in steroidogenic cells. HepG2
cells with miR-24 showed attenuation of TG levels and lipid accumulation.
Moreover, we validated that overexpression of miR-24 downregulated the expression
of certain genes involved in lipogenesis, FASN, ACLY and SCD1, and increased the
expression of genes of cholesterol synthesis, HMGCR, DHCR24 and SREBP2. Taken
together, we demonstrated that obesity induced miR-24 repressed HDL uptake,
steroid hormone synthesis and lipid metabolism by targeting SR-B1.
PMID- 29787827
TI - Genetic variants of fatty acid elongase 6 in Chinese Holstein cow.
AB - In the dairy industry, genetic variants have contributed to the improvement of
milk production traits. Fatty acid elongase 6 (ELOVL6), which elongates saturated
fatty acids (SFAs) and monounsaturated fatty acids (MUFAs), plays a distinct role
in the balance of long-chain fatty acids composition in animals. ELOVL6 catalyzes
the elongation of palmitic acids (C16:0) which is the most common saturated fatty
acid found in animals and also an essential precursor to synthesize other long
chain fatty acids. However, the genetic variant research of bovine ELOVL6 on milk
quality traits is still unknown. Therefore, our study aimed to detect the single
nucleotide polymorphism (SNPs) of bovine ELOVL6 and explored the relationship
between SNPs and milk quality traits including milk yield, fat content, protein
content and somatic cell score. In this study, three SNPs, including SNP1
(g16379651A>G), SNP2 (g16458976A>G) and SNP3 (g16511290A>G), have been identified
in intron 3 and 3'UTR regions of ELOVL6 in Chinese Holstein (CH) cows. Besides,
the results of genetic diversity analysis, linkage disequilibrium and haplotype
analysis indicated that these SNPs presented moderate polymorphisms which
reflected relatively high genetic diversity. No strong linkage among these SNPs
were detected in sampled population of cows. Moreover, the results of correlation
analyses demonstrated that these SNPs of bovine ELOVL6 were significantly related
to milk yield (P < 0.05). The SNP1 was also correlated with somatic cell score,
whereas the SNP3 was associated with fat content. The 21 combined genotypes
(diplotypes) were highly significantly correlated (P < 0.01) with milk yield.
These results revealed that the genetic variants of bovine ELOVL6 influenced the
milk production of CH cows. Hence, the three SNPs could be regarded as molecular
markers in marker-assisted selection (MAS) of the dairy cow breeding.
PMID- 29787828
TI - Negative associations of frozen compared with fresh vegetables.
AB - Despite convenience and nutrition advantages of frozen vegetables, consumption of
them is low compared with fresh vegetables and continues to decrease. In two
studies, we observe a negative bias for frozen vegetables compared with fresh
vegetables. In study 1, we used an Implicit Association Test (IAT) to demonstrate
that generalized negative associations with frozen vegetables are automatic,
robust, and ingrained in long-term memory. In study 2, we conceptually replicate
this finding with an explicit measure and extend it by examining the role of
transforming the food product in formation of the observed negative bias. We find
no improvement in evaluation for frozen spinach when participants contemplate the
final cooked product. Instead, we see less favorable evaluations of fresh spinach
when participants contemplate the final cooked product. These findings are
consistent with previous research that demonstrates that transformation of a food
from its "natural" state leads to less favorable evaluations of it.
PMID- 29787829
TI - Social reward and social punishment sensitivity in relation to dietary restraint
and binge/purge symptoms.
AB - Disordered eating symptoms are associated with disrupted sensitivity to reward
and punishment, broadly assessed. However, it is unknown how eating pathology is
related to sensitivity to social reward and social punishment specifically.
Drawing on Reinforcement Sensitivity Theory, the current study utilized a multi
method design to test whether disordered eating symptoms, specifically dietary
restraint (DR) and binge/purge (BP), were similarly or uniquely related to
sensitivity to social punishment and social reward. Female university students (N
= 110, M = 18.66, SD = 0.89) completed self-report measures and a novel
behavioral task measuring willingness to work for or to avoid social feedback. DR
and BP symptoms were related to increased self-reported and behavioral
sensitivity to social punishment, yet only when symptoms were tested in
isolation. DR was associated with increased sensitivity to social reward across
self-report and behavioral paradigms. BP symptoms were uniquely and positively
related to self-reported sensitivity to social reward, but decreased behavioral
sensitivity to social reward. Findings suggest that sensitivity to punishment may
be a common factor related to DR and BP, whereas sensitivity to social reward may
be a key factor differentiating disordered eating symptoms.
PMID- 29787830
TI - The utility of event-related potentials (ERPs) in understanding food-related
cognition: A systematic review and recommendations.
AB - Daily dietary decisions have the potential to impact our physical, mental, and
emotional health. Event-related potentials (ERPs) can provide insight into
cognitive processes, such as attention, working memory, and inhibitory control,
that may influence the food-related decisions we make on a daily basis. We
conducted a systematic review of the food-related cognition and ERP research in
order to summarize the extant literature, identify future research questions,
synthesize how food-related ERP components relate to eating habits and appetite,
and demonstrate the utility of ERPs in examining food-related cognition. Forty
three articles were systematically extracted. In general, results indicated food
cues compared to less palatable foods or neutral cues elicited greater ERP
amplitudes reflecting early or late attention allocation (e.g., increased P2, P3,
late positive potential amplitudes). Food cues were associated with increased
frontocentral P3 and N2 ERP amplitudes compared to neutral or less palatable food
cues, suggesting increased recruitment of inhibitory control and conflict
monitoring resources. However, there was significant heterogeneity in the
literature, as experimental tasks, stimuli, and examined ERP components varied
widely across studies, and therefore replication studies are needed. In-depth
research is also needed to establish how food-related ERPs differ by BMI groups
and relate to real-world eating habits and appetite, in order to establish the
ecological validity.
PMID- 29787831
TI - Oleoylethanolamide increases the expression of PPAR-Alpha and reduces appetite
and body weight in obese people: A clinical trial.
AB - Obesity is a crucial public health problem worldwide and is considered as the
main cause of many chronic diseases. The present study evaluated the effects of
Oleoylethanolamide (OEA) supplementation on proximal proliferator-activated
receptor-alpha (PPAR-alpha) gene expression, appetite sensations, and
anthropometric measurements in obese people. This randomized, double-blind,
placebo-controlled clinical trial was carried out on 60 healthy obese people in
Tabriz, Iran, in 2016. The eligible subjects were divided into an intervention
group (who received two 125 mg OEA capsules daily) and a placebo group (who
received the same amount of starches) and treated for 60 days. Anthropometric
measurements and body composition were assessed in a fasting state at baseline
and at the end of the study. The visual analogue scales (VAS) were used to assess
appetite sensations. Quantitative real-time PCR analysis targeting the 16S rRNA
gene of PPAR-alpha was done. Analysis was done on 56 participants who continued
intervention until the end of the study. A significant increase in PPAR-alpha
gene expression was observed in the intervention group (p < 0.001). Weight, body
mass index, waist circumference, and fat percent decreased significantly at the
end of the study in the intervention group (all p < 0.01). Hunger, the desire to
eat, and cravings for sweet foods decreased significantly and fullness increased
significantly by the end of study in the intervention group at the end of study
(all p < 0.01). The fullness item increased significantly by the end of study in
the intervention group (p < 0.001). Use of OEA as a complementary approach could
be effective in suppressing appetite and modulating energy balance in obese
people.
PMID- 29787832
TI - A comparative study of quality of life, functional and bone outcomes in
osteogenesis imperfecta with bisphosphonate therapy initiated in childhood or
adulthood.
AB - Bisphosphonates have been used for treatment of bone fragility disorders for over
25 years to increase bone mineral density (BMD). Anecdotally, bisphosphonate
treated Osteogenesis Imperfecta (OI) has a different trajectory to the natural
history of untreated OI in terms of fracture incidence, quality of life and
physical function, with minimal published evidence to support this clinical
observation. This study describes functional outcomes of a cohort of adults with
OI, stratified according to severity and treated with intravenous bisphosphonates
as children. Reported outcomes included fracture incidence before and after
puberty, mobility and BMD outcomes of this cohort. The cohort was compared to
adults with OI who were never treated as children. All participants completed
four questionnaires: a study specific questionnaire addressing fracture and
treatment history, WHOQOL-BREF (quality of life), SF-36 (musculoskeletal
function) and IPAQ (physical activity), and medical records were reviewed. Fifty
two adults with OI (80% response rate) completed the questionnaires; 33 of whom
were treated with bisphosphonates in childhood. The childhood treated cohort had
higher lumbar spine BMD than the adult treated cohort (z-score - 0.4 at mean age
21.3 years versus -2.1 at mean age 40.9 years; p = 0.003). Pre-pubertal fracture
incidence was reduced for all severities of OI in the childhood treated cohort
(less severe OI, p = 0.01; more severe OI, p < 0.001), but post-pubertal fracture
incidence was higher for less severe OI (p < 0.001). In less severe OI, childhood
treated individuals had higher levels of physical activity (p = 0.004) and
physical functioning (p = 0.01) than adult treated individuals. Incidence of
scoliosis was not different between cohorts. There were no differences in quality
of life scores between the two cohorts. Improvements in BMD do not appear to
influence the prevalence of scoliosis. Results suggest that treatment with
bisphosphonates at an earlier age improves physical activity, particularly in
less severe forms of OI but may not alter quality of life.
PMID- 29787833
TI - Dehydroepiandrosterone: Molecular mechanisms and therapeutic implications in
osteoarthritis.
AB - Dehydroepiandrosterone (DHEA), a 19-carbon steroid hormone primarily synthesized
in the adrenal gland, exerts a chondroprotective effect against osteoarthritis
(OA) and has been considered an effective candidate of disease-modifying OA drugs
(DMOADs) that slow disease progression. We and others previously demonstrated
that DHEA exerted a beneficial effect on osteoarthritic cartilage by positively
modulating the balance between anabolic and catabolic factors (e.g., MMPs/TIMP-1,
ADAMTS/TIMP-3 and cysteine proteinases/cystatin C), inhibiting catabolic
signaling pathways (e.g., Wnt/beta-catenin), and suppressing proinflammatory
cytokines-mediated low-grade synovial inflammation (e.g., IL-1beta). However, the
full picture of the pharmacological molecular mechanism(s) underlying the
activity of DHEA against OA is still incomplete, and a comprehensive and up-to
date review article in this field is unavailable. In this review, recent findings
(apart from the well documented pathogenesis of OA) regarding disease-related
mechanisms involving low grade synovial inflammation, cartilage matrix stiffness,
chondrocyte autophagy and the roles of a variety of catabolic cellular signaling
pathways are discussed. Moreover, the possible relationship between these disease
related mechanisms and DHEA action is discussed. Emerging evidence from in vivo
and in vitro studies were scrutinized and are concisely presented to demonstrate
the investigational and putative mechanisms underlying the anti-OA potential of
DHEA.
PMID- 29787834
TI - Structure and mechanism of bacterial tripartite efflux pumps.
AB - Efflux pumps are membrane proteins which contribute to multi-drug resistance. In
Gram-negative bacteria, some of these pumps form complex tripartite assemblies in
association with an outer membrane channel and a periplasmic membrane fusion
protein. These tripartite machineries span both membranes and the periplasmic
space, and they extrude from the bacterium chemically diverse toxic substrates.
In this chapter, we summarise current understanding of the structural
architecture, functionality, and regulation of tripartite multi-drug efflux
assemblies.
PMID- 29787837
TI - Comment on "Prognostic value of sentinel lymph node biopsy according to Breslow
thickness for cutaneous melanoma".
PMID- 29787835
TI - The expression of the genes involved in leucine catabolism of Pseudomonas
aeruginosa is controlled by the transcriptional regulator LiuR and by the
CbrAB/Crc system.
AB - Pseudomonas aeruginosa metabolizes leucine through the leucine/isovalerate
utilization pathway, whose enzymes are encoded in the liuRABCDE gene cluster
(liu). In this study, we investigated the role of the LiuR protein in the liu
cluster regulation. Our results indicated that liu expression is regulated at the
transcriptional level by LiuR. Mobility shift assays using purified recombinant
His-tagged LiuR showed that it was able to bind at the promoter region of liuR,
in a dose-dependent manner. Results revealed that expression of the liu operon is
subjected to carbon catabolite repression control (CCR); protein LiuD was
strongly expressed in the presence of leucine, but it was repressed in the
presence of glucose or succinate. Furthermore, this CCR control was dependent on
LiuR as in the liuR- mutant the LiuD protein was strongly expressed in all the
carbon sources tested. In agreement with this result, in the absence of the Crc
protein, LiuD was expressed independently of the carbon source used, whereas in a
cbrB- mutant its expression was severely impaired. The results indicated that the
liu cluster is subjected to a coordinated transcriptional and translational
regulation by the LiuR repressor and by the CbrAB/Crc system, respectively, in
response to the available carbon source.
PMID- 29787838
TI - Optimal Surgical Modality for Early Merkel Cell Carcinoma-Results from the
National Cancer Data Base.
PMID- 29787836
TI - Increased severity and epidermal alterations in persistent versus evanescent skin
lesions in adult-onset Still disease.
PMID- 29787839
TI - Reply to: "Comment on 'Prognostic value of sentinel lymph node biopsy according
to Breslow thickness for cutaneous melanoma'".
PMID- 29787840
TI - Cutaneous features and diagnosis of primary Sjogren syndrome: An update and
review.
AB - Sjogren syndrome (SS) is an autoimmune connective tissue disorder (CTD) that
principally affects the lacrimal and salivary glands. Although SS is 1 of the 3
most common autoimmune CTDs alongside systemic lupus erythematosus and
progressive systemic sclerosis, it is the least researched CTD overall. SS poses
a particular diagnostic challenge because it shares multiple clinical and
immunologic features with other CTDs. However, there are some characteristic
cutaneous clinical features that can precede the well-known sicca symptoms by
years. By familiarizing themselves with these clinical features and having a high
suspicion for SS, dermatologists can play an important role in the early
diagnosis and treatment of this disease.
PMID- 29787842
TI - Mesotherapy with Botulinum toxin for the treatment of refractory vascular and
papulopustular rosacea.
PMID- 29787841
TI - Undifferentiated pleomorphic sarcoma: Factors predictive of adverse outcomes.
AB - BACKGROUND: Undifferentiated pleomorphic sarcoma (UPS) encompasses rare neoplasms
that can arise either in the dermis or in the subfascial soft tissue. The
behavior of UPS ranges from indolent to aggressive, but data predicting outcomes
are limited. OBJECTIVE: Identify predictors of poor outcomes by analyzing a large
collection of UPS cases. METHODS: We evaluated all available cases of UPS
(including those termed atypical fibroxanthoma, malignant fibrous histiocytoma,
pleomorphic dermal sarcoma, and subfascial UPS) across 3 tertiary care centers.
RESULTS: Among the 319 patients, 45 experienced recurrence, 33 experienced
metastasis, and 96 died of any cause. Risk factors for recurrence were clinical
tumor size larger than 5 cm and invasion beyond subcutaneous fat. Risk factors
for distant metastases were tumor site, tumor size larger than 2 cm, invasion
beyond subcutaneous fat, and lymphovascular invasion. Risk factors for overall
mortality were age, immunosuppression, tumor size larger than 2 cm, and
lymphovascular invasion. History of skin cancer was associated with a lower risk
of recurrence and metastasis. LIMITATIONS: This was a retrospective study.
CONCLUSIONS: Using the unbiased approach of pooling all UPS cases regardless of
terminology, we identified clinical and histologic factors predicting poor
outcomes. We propose subcategorization of UPS (into superficial versus deep UPS),
which is consistent with the American Joint Committee on Cancer staging of soft
tissue sarcoma.
PMID- 29787843
TI - Adalimumab alleviates skin pain in patients with moderate-to-severe hidradenitis
suppurativa: Secondary efficacy results from the PIONEER I and PIONEER II
randomized controlled trials.
PMID- 29787844
TI - Relative survival analysis in patients with stage I-II Merkel cell carcinoma
treated with Mohs micrographic surgery or wide local excision.
PMID- 29787845
TI - Teaching pediatric dermoscopy in a resource-limited setting.
PMID- 29787846
TI - Chemical study, antioxidant, anti-hypertensive, and cytotoxic/cytoprotective
activities of Centaurea cyanus L. petals aqueous extract.
AB - This study aimed to optimise the experimental conditions of extraction of the
phytochemical compounds and functional properties of Centaurea cyanus petals. The
following parameters were determined: the chemical composition (LC-ESI-MS/MS),
the effects of pH on the stability and antioxidant activity of anthocyanins, the
inhibition of lipid peroxidation, antioxidant activity, anti-hemolytic activity,
antimicrobial, anti-hypertensive, and cytotoxic/cytoprotective effect, and the
measurements of intracellular reactive oxygen species. Results showed that the
temperature and time influenced (p <= 0.05) the content of flavonoids,
anthocyanins, and FRAP. Only the temperature influenced the total phenolic
content, non-anthocyanin flavonoids, and antioxidant activity (DPPH). The
statistical approach made it possible to obtain the optimised experimental
extraction conditions to increase the level of bioactive compounds. Chlorogenic,
caffeic, ferulic, and p-coumaric acids, isoquercitrin, and coumarin were
identified as the major compounds in the optimised extract. The optimised extract
presented anti-hemolytic and anti-hypertensive activity in vitro, in addition to
showing stability and reversibility of anthocyanins and antioxidant activity with
pH variation. The C. cyanus petals aqueous extract exhibited high IC50 and GI50
(>900 MUg/mL) values for all cell lines, meaning low cytotoxicity. Based on the
stress oxidative assay, the extract exhibited pro-oxidant action (10-100 MUg/mL)
but did not cause damage or cell death.
PMID- 29787847
TI - Leaves and stem bark from Allophylus africanus P. Beauv.: An approach to anti
inflammatory properties and characterization of their flavonoid profile.
AB - The small tree Allophylus africanus, widespread in the African continent, has
long been considered valuable, as noted by the number of reports on their
multiple medicinal uses. With this work, we aimed to extend the current, and so
far restricted, knowledge on the chemical composition of the plant, particularly
as source of flavonoids, as well as to assess its potential anti-inflammatory
properties. The chemical characterization of the aqueous extract obtained from
the leaves allowed the identification and quantitation of 30 flavones,
predominantly apigenin derivatives, but also four luteolin derivatives, while the
stem bark extract was solely characterized by apigenin di-C-glycosides and mono-C
glycosides-O-glycosylated. Strong inhibitory effects towards 5-lipoxygenase were
observed with the aqueous extracts obtained from the leaves and stem bark, with
IC50 values of 41.28 and 107.77 MUg mL-1, respectively. Both extracts were also
found to reduce NO levels in LPS-challenged RAW 264.7 macrophages, without
noticeable cytotoxicity. The flavonoid profile of the plant is disclosed for the
first time, allowing the identification of several molecules that may contribute
to mitigate the inflammatory response. Jointly, with the current study the anti
inflammatory use of the leaves and stem bark is partially validated.
PMID- 29787849
TI - Application of prickly pear fruit extract to improve domestic shelf life, quality
and microbial safety of sliced beef.
AB - The research aimed to expand the knowledge on the use of natural bioactive
compounds for food preservation. First-crop fruit of prickly pear were subjected
to water extraction and evaluated for total polyphenol content, antioxidant
activity, in vitro antimicrobial performance against food spoilage and pathogenic
bacteria, and betacyanin and betaxanthin content. The extract was then applied by
dipping technique to packed sliced beef, to evaluate its effect on physical and
chemical parameters, color and texture maintenance, as well as on microbial
growth during shelf life at domestic storage conditions. The in vitro
antimicrobial assay of prickly pear fruit extract evidenced a wide spectrum
activity, since it inhibited the growth of all Gram positive and negative
targeted strains. In vivo application of extract effectively reduced microbial
growth during refrigerated storage; total mesophilic count was maintained below
the limit established by Commission Regulation (EC) No 2073/2005 of 5 * 106 log
CFU/g of beef up to 8 d, in comparison to control sample that reached the
mentioned limit after 4 d. Moreover, extract addition preserved beef color and
texture over the considered storage period, supporting the potential prospect to
utilize the extract to improve overall quality and to prolong domestic shelf life
of sliced beef.
PMID- 29787848
TI - Effects of steaming on contaminants of emerging concern levels in seafood.
AB - Seafood consumption is a major route for human exposure to environmental
contaminants of emerging concern (CeCs). However, toxicological information about
the presence of CeCs in seafood is still insufficient, especially considering the
effect of cooking procedures on contaminant levels. This study is one among a few
who evaluated the effect of steaming on the levels of different CeCs (toxic
elements, PFCs, PAHs, musk fragrances and UV-filters) in commercially relevant
seafood in Europe, and estimate the potential risks associated with its
consumption for consumers. In most cases, an increase in contaminant levels was
observed after steaming, though varying according to contaminant and seafood
species (e.g. iAs, perfluorobutanoate, dibenzo(ah)anthracene in Mytilus edulis,
HHCB-Lactone in Solea sp., 2-Ethylhexyl salicylate in Lophius piscatorius).
Furthermore, the increase in some CeCs, like Pb, MeHg, iAs, Cd and carcinogenic
PAHs, in seafood after steaming reveals that adverse health effects can never be
excluded, regardless contaminants concentration. However, the risk of adverse
effects can vary. The drastic changes induced by steaming suggest that the effect
of cooking should be integrated in food risk assessment, as well as accounted in
CeCs regulations and recommendations issued by food safety authorities, in order
to avoid over/underestimation of risks for consumer health.
PMID- 29787850
TI - RIFM fragrance ingredient safety assessment 2-methyl-trans-2-butenoic acid, CAS
Registry Number 80-59-1.
PMID- 29787852
TI - Evaluating the Construct Validity of a Pulsatile Fresh Frozen Human Cadaver
Circulation Model for Endovascular Training.
AB - BACKGROUND: We recently described a pulsatile fresh frozen human cadaver model
(PHCM) for training endovascular practitioners. This present study aims to assess
the construct validity of PHCM; its ability to differentiate between participants
of varying expertise. METHODS: Twenty-three participants with varying
endovascular experience (12 novice, 4 intermediate, and 7 expert) were recruited.
Each attempted catheterization of the left renal artery on PHCM within 10 min
under exam conditions. Performances were video recorded and scored using a
validated scoring tool by 2 independent endovascular experts, blinded to
performer status. Each participant was given a task-specific checklist score
(TSC), global rating score (GRS), and overall procedure score (OPS). Finally,
examiners were asked whether they would be happy to supervise the participant in
theater, with each participant graded as "fail", "borderline," or "pass".
RESULTS: All expert and intermediate participants completed the index procedure
within the allotted 10 min; however, only one of the 12 novice participants
achieved this (P < 0.0005). Endovascular novices had significantly lower TSC,
GRS, and OPS than both intermediate participants and endovascular experts. There
were no significant differences in TSC, GRS, or OPS between intermediate
participants and endovascular experts. When participants were graded as "fail",
"borderline," or "pass," there were significant differences between groups (P =
0.001). All of the intermediate and expert participants received a pass. Out of
the 12 novice participants, 2 received a pass, 6 received a borderline, and 4
were failed. CONCLUSIONS: The PHCM demonstrates construct validity. Further work
is required to determine its educational impact in endovascular training.
PMID- 29787853
TI - False Aneurysm of the Popliteal Artery Complicated by a Deep Venous Thrombosis
Revealing an Exostosis in a 20-Year-Old Woman.
AB - Exostoses or osteochondromas are benign osseous tumors that develop on the bone
surface and can be sporadic or hereditary. Their evolution is generally benign,
but they may be complicated in some patients by conflicts with the surrounding
nervous or vascular structures, in particular arteries. We report a case of false
aneurysm of the popliteal artery secondary to an isolated exostosis of the left
femur in a 20-year-old woman. A delay in the diagnosis allowed the development of
the false aneurysm, which was at the origin of a major venous compression. The
surgical treatment consisted in aneurysmectomy and reconstruction by end-to-end
anastomosis associated with the resection of the osseous tumor, and the deep
venous thrombosis was treated medically.
PMID- 29787851
TI - Jetlag related sleep problems and their management: A review.
AB - OBJECTIVES: We reviewed Jetlag, particularly in view of its effects on sleep and
how it can be managed. METHODS: The Proquest Central database of Kirikkale
University, PubMed and Google scholar were used while searching for the following
key words: "Jetlag", "symptoms", "sleep", "melatonin" and "treatment". RESULTS:
Flight dysrhythmia, otherwise known as jetlag, is caused by flying globally over
various time zones. Most passengers who fly over six or more different time zones
generally require 4-6 days after travelling to resume their usual sleep patterns
and to feel less lethargic during the day. Signs of jet lag can vary between
debilitated awareness, insomnia, feeling tired during the day and frequent waking
during the night. During the night our pineal glands excrete a hormone called
melatonin; dim lights cause the continuation of excretion of these hormones
whereas any exposure to bright lights stems the flow of release. Common
precautionary measures are specific diets, bright lights and melatonin agonists
(Ramelteon, Agomelatine). CONCLUSION: Sleep issues derived from jetlag were found
to be most common in passengers who flew through various time belts. Melatonin
assumes a critical part in adjusting the body's circadian rhythms and has been
utilized restoratively to re-establish irritated circadian rhythms.
PMID- 29787854
TI - The Role of Duplex Ultrasound in Detecting Graft Thrombosis and Endoleak after
Endovascular Aortic Repair for Abdominal Aneurysm.
AB - BACKGROUND: To assess the role of duplex ultrasound (DUS) in detecting endoleaks
(ELs) and graft thrombosis (GT) in a cohort of patients submitted to endovascular
aortic repair (EVAR) for elective infrarenal abdominal aortic aneurysm (AAA) in 2
centers. METHODS: Data, of all consecutive patients treated in 2 operative units
of vascular surgery, from January 01, 2000 to December 31, 2016, were
retrospectively collected and evaluated. Follow-up data were analyzed to evaluate
survival and device-related complications, both at 30-day and in the midterm. The
results of computed tomography angiography (CTA) and DUS which were performed
yearly, with a time interval between the 2 examinations lower than 30 days, were
paired in terms of maximum transverse diameters of the aneurysmal sac,
identification of EL, and of GT. Sensibility (SE), specificity (SP), positive
predictive value (PPV), negative predictive value (NPV), and accuracy (ACC) of
the DUS were calculated with respect to data of each paired CTA and to data of
the real clinical diagnosis of either EL or GT. A Student's t-test was performed
to compare the aneurysmal sac diameters measured with DUS to those of CTA.
"Kappa" coefficient of agreement was also calculated. A P value < 0.05 was the
level reference for statistical significance. RESULTS: A total of 920 patients
(104 female, 11.3%) underwent EVAR for elective infrarenal AAA. Technical success
was achieved in 910 cases (98.9%). At 30 days, there were 5 deaths (0.5%), no GT,
and 3 small type II ELs which did not require any adjunctive procedure. Mean
follow-up was 64.8 months (range 1-120.3 months). Survival and freedom from
complications were estimated to be 78.4% +/- 1.9% and 48.3% +/- 1.7%,
respectively, at 10 years. Seventy-six more ELs and 8 GTs were recorded.
Considering the CTA as the gold standard, SE, SP, and ACC of DUS in the detection
of the EL were 93.2% +/- 5.8%, 98.8% +/- 1.1%, and 97.8%, respectively, with a
PPV of 94.5% +/- 5.2% and a NPV of 98.3% +/- 1.4%. Considering, however, the real
diagnosis of the EL, SE, SP, and ACC of DUS were slightly lower (89.4% +/- 6.9%,
98.5% +/- 1.3%, and 96.9%, respectively), as well as PPV and NPV (93.1% +/- 5.8%
and 97.7% +/- 1.6%, respectively). K coefficient of agreement between the
measurements of the sac maximum transverse diameter recorded at DUS and CTA was
0.91, with a little underestimation of the aneurysm diameter at DUS if compared
with CTA (mean diameter difference of 2.5 mm, 95% confidence interval: 2.25-2.75
mm). CONCLUSIONS: DUS has proven to be a reliable examination in identifying all
GT and most ELs after EVAR, compared with CTA. A good correlation was also
observed between the measurements of the sac maximum transverse diameter recorded
at DUS and CTA, with a little underestimation of the aneurysm diameter at DUS
compared with CTA.
PMID- 29787855
TI - Dysbiosis of microbiome and probiotic treatment in a genetic model of autism
spectrum disorders.
AB - Recent studies have determined that the microbiome has direct effects on
behavior, and may be dysregulated in neurodevelopmental conditions. Considering
that neurodevelopmental conditions, such as autism, have a strong genetic
etiology, it is necessary to understand if genes associated with
neurodevelopmental disorders, such as Shank3, can influence the gut microbiome,
and if probiotics can be a therapeutic tool. In this study, we have identified
dysregulation of several genera and species of bacteria in the gut and colon of
both male and female Shank3 KO mice. L. reuteri, a species with decreased
relative abundance in the Shank3 KO mice, positively correlated with the
expression of gamma-Aminobutyric acid (GABA) receptor subunits in the brain.
Treatment of Shank3 KO mice with L. reuteri induced an attenuation of unsocial
behavior specifically in male Shank3 mice, and a decrease in repetitive behaviors
in both male and female Shank3 KO mice. In addition, L. reuteri treatment
affected GABA receptor gene expression and protein levels in multiple brain
regions. This study identifies bacterial species that are sensitive to an autism
related mutation, and further suggests a therapeutic potential for probiotic
treatment.
PMID- 29787856
TI - The association of disease activity, pro-inflammatory cytokines, and neurotrophic
factors with depression in patients with rheumatoid arthritis.
AB - Inflammation and trophic factors (brain-derived neurotrophic factor [BDNF],
vascular endothelial growth factor, glial cell line-derived neurotrophic factor,
and insulin-like growth factor-1) are associated with depression in the general
population. Rheumatoid arthritis (RA) is a chronic representative inflammatory
autoimmune disease; however, the association of disease activity, pro
inflammatory cytokines, and neurotrophic factors with depression has not been
sufficiently investigated. Therefore, we determined the prevalence of depression
and risk factors for depression and deterioration of depressive symptoms in RA
patients. In addition, we analyzed the association between disease activity, pro
inflammatory cytokines, trophic factors, and depression in RA (N = 474).
Demographic and laboratory data were examined, and routine assessment of patient
index data 3 (RAPID 3) and disease activity score 28-joint count C-reactive
protein (DAS 28-CRP) was performed to assess disease activity of RA. Depression
was measured using the Korean version of the Beck Depression Inventory-second
edition (K-BDI II). A K-BDI score >=18 was considered the cut-off for depression
in accordance with a previous validation study. The serum level of pro
inflammatory cytokines and neurotrophic factors was assessed by enzyme-linked
immune sorbent assay. The prevalence of depression was 32.4% in patients with RA.
The severity of disease activity of RA (RAPID 3 score [OR 2.34; 95% confidence
interval, CI 1.22-4.51], DAS 28-CRP [>=3.2] [OR 1.60, 95% CI 1.01-2.53]) and
severity of fatigue (OR 1.26 95% CI 1.15-1.38) were associated with depression
and deterioration of depressive symptoms in the multivariate analysis. Among the
components of RAPID 3 and DAS 28-CRP, patient assessment for global health and
abilities for daily performance were more related to depression. The level of pro
inflammatory cytokines (IL-1beta, IL-6, TNF-alpha) was not related to depression.
The level of BDNF was significantly lower in RA patients with depression and was
negatively correlated with K-BDI II score. Depression was related with the level
of fatigue, low expression of BDNF, and high RA disease activity, which was
associated with impaired ability to perform activities of daily life. Strict
control of fatigue and disease activity to improve one's capacity to perform
daily life activities would be important to regulate depression. The level of
BDNF might be one of the possible biomarkers to predict or monitor depression in
patients with RA.
PMID- 29787857
TI - High-fructose diet during adolescent development increases neuroinflammation and
depressive-like behavior without exacerbating outcomes after stroke.
AB - Diseases, disorders, and insults of aging are frequently studied in otherwise
healthy animal models despite rampant co-morbidities and exposures among the
human population. Stressor exposures can increase neuroinflammation and augment
the inflammatory response following a challenge. The impact of dietary exposure
on baseline neural function and behavior has gained attention; in particular, a
diet high in fructose can increase activation of the hypothalamic-pituitary
adrenal axis and alter behavior. The current study considers the implications of
a diet high in fructose for neuroinflammation and outcomes following the
cerebrovascular challenge of stroke. Ischemic injury may come as a "second hit"
to pre-existing metabolic pathology, exacerbating inflammatory and behavioral
sequelae. This study assesses the neuroinflammatory consequences of a peri
adolescent high-fructose diet model and assesses the impact of diet-induced
metabolic dysfunction on behavioral and neuropathological outcomes after middle
cerebral artery occlusion. We demonstrate that consumption of a high-fructose
diet initiated during adolescent development increases brain complement
expression, elevates plasma TNFalpha and serum corticosterone, and promotes
depressive-like behavior. Despite these adverse effects of diet exposure, peri
adolescent fructose consumption did not exacerbate neurological behaviors or
lesion volume after middle cerebral artery occlusion.
PMID- 29787859
TI - Design and rationale of the INSYTE study: A randomised, placebo controlled study
to test the efficacy of a synbiotic on liver fat, disease biomarkers and
intestinal microbiota in non-alcoholic fatty liver disease.
AB - BACKGROUND: Non-alcoholic fatty liver disease (NAFLD) represents a spectrum of
fat-related conditions ranging from simple fatty liver, to non-alcoholic
steatohepatitis (NASH), fibrosis and cirrhosis. There is growing evidence that
NAFLD is a multisystem disease, affecting several extra-hepatic organs and
regulatory pathways. Furthermore, since the gut and liver are linked anatomically
via the portal vein, disturbances of the gut microbiota (dysbiosis) can affect
the liver. OBJECTIVES: In patients with NAFLD, we are testing the effects of a
synbiotic which is the combination of a prebiotic (fructooligosaccharides; 4
g/day) and a probiotic (Bifidobacterium animalis subsp. lactis BB-12 at a minimum
of 10 billion CFU/day) on a) liver fat percentage, b) NAFLD fibrosis algorithm
scores, c) gut microbiota composition. Additionally, there will be several
hypothesis-generating secondary outcomes to understand the metaorganismal
pathways that influence the development and progression of NAFLD, type 2
diabetes, and cardiovascular risk. DESIGN: In a randomised double-blind placebo
controlled trial, 104 participants were randomised to 10-14 months intervention
with either synbiotic (n = 55) or placebo (n = 49). Recruitment was completed in
April 2017 and the last study visit will be completed by April 2018. METHODS:
Change in gut microbiota composition will be assessed using 16S ribosomal RNA
gene sequencing. Change in mean liver fat percentage will be quantified by
magnetic resonance spectroscopy (MRS). In addition, change in liver fat severity
will be measured using two NAFLD fibrosis algorithm scores. The INSYTE study was
approved by the local ethics committee (REC: 12/SC/0614) and is registered at
www.clinicaltrials.gov as NCT01680640.
PMID- 29787860
TI - Tissue transplantation in planarians: A useful tool for molecular analysis of
pattern formation.
AB - Freshwater planarians are well known for their remarkable plasticity and
regenerative capabilities. Most studies of planarian regeneration have
specifically examined regeneration after transverse or longitudinal sectioning or
during homeostasis in intact adults. However, tissue transplantation, first
performed over a century ago, constitutes another important tool in the study of
regeneration in planarians, and can be easily performed given this species'
extraordinary healing capacity and its lack of a circulatory system. Studies
conducted to date have demonstrated the viability of transplantations involving a
variety of tissue types of different positional identities, affecting any of the
3 main body axes. Moreover, these grafting experiments have shown that tissues
possess axial positional identities, which are retained following
transplantation. The confrontation between different positional identities that
occurs after any type of tissue transplantation is resolved by the formation of a
blastema, consisting of undifferentiated tissue produced by adult pluripotent
stem cells (neoblasts). This blastema intercalates the positional identities of
the graft and host tissues. The recent discovery of pathways involved in
planarian growth, patterning, and organogenesis, as well as corresponding
molecular markers, makes tissue transplantation a vital new tool with which to
explore pattern formation. Here, we discuss the different grafting approaches
used in planarians, and the corresponding intercalary regenerative response,
placing particular emphasis on the respective contributions of donor and host
tissue. Moreover, we discuss the temporal induction of blastema formation, and
present new molecular data on the generation of an ectopic anterior/posterior
axis in response to dorsal/ventral confrontations between host and donor tissue.
PMID- 29787861
TI - Morphological homeostasis in the fossil record.
AB - Morphological homeostasis limits the extent to which genetic and/or environmental
variation is translated into phenotypic variation, providing generation-to
generation fitness advantage under a stabilizing selection regime. Depending on
its lability, morphological homeostasis might also have a longer-term impact on
evolution by restricting the variation-and thus the response to directional
selection-of a trait. The fossil record offers an inviting opportunity to
investigate whether and how morphological homeostasis constrained trait evolution
in lineages or clades on long timescales (thousands to millions of years) that
are not accessible to neontological studies. Fossils can also reveal insight into
the nature of primitive developmental systems that might not be predictable from
the study of modern organisms. The ability to study morphological homeostasis in
fossils is strongly limited by taphonomic processes that can destroy, blur, or
distort the original biological signal: genetic data are unavailable; phenotypic
data can be modified by tectonic or compaction-related deformation; time
averaging limits temporal resolution; and environmental variation is hard to
study and impossible to control. As a result of these processes, neither allelic
sensitivity (and thus genetic canalization) nor macroenvironmental sensitivity
(and thus environmental canalization) can be unambiguously assessed in the fossil
record. However, homeorhesis-robustness against microenvironmental variation
(developmental noise)-can be assessed in ancient developmental systems by
measuring the level of fluctuating asymmetry (FA) in a nominally symmetric trait.
This requires the analysis of multiple, minimally time-averaged samples of
exquisite preservational quality. Studies of FA in fossils stand to make valuable
contributions to our understanding of the deep-time significance of homeorhesis.
Few empirical studies have been conducted to date, and future paleontological
research focusing on how homeorhesis relates to evolutionary rate (including
stasis), species survivorship, and purported macroevolutionary trends in
evolvability would reap high reward.
PMID- 29787858
TI - A randomized clinical trial of counseling and nicotine replacement therapy for
treatment of African American non-daily smokers: Design, accrual, and baseline
characteristics.
AB - BACKGROUND: Non-daily smokers (NDS) who smoke on some but not all days are a
growing subset of United States (US) tobacco users. Racial/ethnic minorities are
more likely to be NDS. African American NDS have strikingly high levels of
nicotine and carcinogen exposure, making treatment of this high risk group a
priority. METHODS: The current study is one of three ongoing federally-funded
clinical trials of NDS and, to our knowledge the only RCT focused on
racial/ethnic minority NDS. The design has been guided by input from Patient and
Stakeholder Advisory Panels who helped develop the research questions, design the
intervention, and select the outcomes. The objective is to compare the
effectiveness of smoking cessation counseling alone (C) or smoking cessation
counseling plus participant's choice of nicotine replacement therapy (NRT; C +
NRT) for African American NDS. Two-hundred seventy-eight African American NDS
will be randomized in a 2:1 fashion to C + NRT or C. All participants receive
five sessions of smoking cessation counseling; those randomized to C + NRT
receive their choice of nicotine gum, patch, and/or lozenge. Treatment in both
groups lasts for 12 weeks. We hypothesize that C + NRT will be more effective
than C on the primary outcome of biochemically-confirmed abstinence from smoking
at week 12. Secondary aims will compare C + NRT and C on patient- and provider
desired outcomes including abstinence from smoking at week 26, change in
biochemically-verified nicotine and carcinogen exposure, days abstinent, and
treatment process measures (e.g., NRT use and side effects). Predictors of
abstinence will also be explored. DISCUSSION: Findings will illuminate effective
treatment options for African American NDS and contribute to development of
evidence-based guidelines for treating the 8.9 million US adult NDS for whom no
guidelines currently exist. TRIAL REGISTRATION NUMBER: ClinicalTrials.gov:
NCT02244918.
PMID- 29787862
TI - Multiple modes of canalization: Links between genetic, environmental
canalizations and developmental stability, and their trait-specificity.
AB - The robustness of biological systems against mutational and environmental
perturbations is termed canalization. Because reducing phenotypic variability
under environmental and genetic perturbations can be adaptive and facilitated by
natural selection, it has been suggested that once canalization mechanisms have
evolved to buffer the effects of environmental perturbations, they may act to
buffer any and all sources of variation. Although whether canalization mechanisms
are general or specific to the types of perturbation or phenotypic traits that
they buffer is often addressed, the links between different canalization
mechanisms remain unclear. In this review, three major sources of phenotypic
variation, associated canalization concepts and indicators of the degree of
canalization are first outlined. Then, the molecular bases of canalization
mechanisms based on recent empirical studies are overviewed. Finally, the links
between the underlying processes of different canalization mechanisms are
explored.
PMID- 29787863
TI - Validity of Targeted Next-Generation Sequencing in Routine Care for Identifying
Clinically Relevant Molecular Profiles in Non-Small-Cell Lung Cancer: Results of
a 2-Year Experience on 1343 Samples.
AB - Theranostic assays are based on single-gene testing, but the ability of next
generation sequencing (NGS) to interrogate numerous genetic alterations will
progressively replace single-gene assays. Although NGS was evaluated to screen
for theranostic mutations, its usefulness in clinical practice on large series of
samples remains to be demonstrated. NGS performance was assessed following
guidelines. TaqMan probes and NGS were compared for their ability to detect EGFR
and KRAS mutations, and NGS mutation profiles were analyzed on a large series of
non-small-cell lung cancers (n = 1343). The R2 correlation between expected and
measured allelic ratio, using commercial samples, was >0.96. Mutation detection
threshold was 2% for 10 ng of DNA input. kappa Scores for TaqMan versus NGS were
0.99 (95% CI, 0.97-1.00) for EGFR and 0.98 (95% CI, 0.97-1.00) for KRAS after
exclusion of rare EGFR (n = 40) and KRAS (n = 60) mutations. NGS identified 693
and 292 mutations in validated and potential oncogenic drivers, respectively.
Significant associations were found between EGFR and PI3KCA or CTNNB1 and between
KRAS and STK11. Potential oncogenic driver mutations or gene amplifications were
more frequent in validated oncogenic driver nonmutated samples. This work is a
proof of concept that targeted NGS is accessible in routine screening, including
large screening, at reasonable cost. Clinical data should be collected and
implemented in specific databases to make molecular data meaningful for direct
patients' benefit.
PMID- 29787864
TI - The neonatal brain in critical congenital heart disease: Insights and future
directions.
AB - Neurodevelopmental outcomes are impaired in survivors of critical congenital
heart disease (CHD) in several developmental domains including motor, cognitive
and sensory outcomes. These deficits can extend into the adolescent and early
adulthood years. The cause of these neurodevelopmental impairments is multi
factorial and includes patient specific risk factors, cardiac anatomy and
physiology as well as brain changes seen on MRI. Advances in imaging techniques
have identified delayed brain development in the neonate with critical CHD as
well as acquired brain injury. These abnormalities are seen even before
corrective neonatal cardiac surgery. This review focuses on describing brain
changes seen on MRI in neonates with CHD, risk factors for these changes and the
association with neurodevelopmental outcome. There is an emerging focus on the
impact of cardiovascular physiology on brain health and the complex heart-brain
interplay that influences ultimate neurodevelopmental outcome in these patients.
PMID- 29787865
TI - A comparison of three fiber tract delineation methods and their impact on white
matter analysis.
AB - Diffusion magnetic resonance imaging (dMRI) is an important method for studying
white matter connectivity in the brain in vivo in both healthy and clinical
populations. Improvements in dMRI tractography algorithms, which reconstruct
macroscopic three-dimensional white matter fiber pathways, have allowed for
methodological advances in the study of white matter; however, insufficient
attention has been paid to comparing post-tractography methods that extract white
matter fiber tracts of interest from whole-brain tractography. Here we conduct a
comparison of three representative and conceptually distinct approaches to fiber
tract delineation: 1) a manual multiple region of interest-based approach, 2) an
atlas-based approach, and 3) a groupwise fiber clustering approach, by employing
methods that exemplify these approaches to delineate the arcuate fasciculus, the
middle longitudinal fasciculus, and the uncinate fasciculus in 10 healthy male
subjects. We enable qualitative comparisons across methods, conduct quantitative
evaluations of tract volume, tract length, mean fractional anisotropy, and true
positive and true negative rates, and report measures of intra-method and inter
method agreement. We discuss methodological similarities and differences between
the three approaches and the major advantages and drawbacks of each, and review
research and clinical contexts for which each method may be most apposite.
Emphasis is given to the means by which different white matter fiber tract
delineation approaches may systematically produce variable results, despite
utilizing the same input tractography and reliance on similar anatomical
knowledge.
PMID- 29787866
TI - Handedness-dependent functional organizational patterns within the bilateral
vestibular cortical network revealed by fMRI connectivity based parcellation.
AB - Current evidence points towards a vestibular cortex that involves a multisensory
bilateral temporo-parietal-insular network with a handedness-dependent
hemispheric lateralization. This study aimed to identify handedness-dependent
organizational patterns of (lateralized and non-lateralized) functional subunits
within the human vestibular cortex areas. 60 healthy volunteers (30 left-handed
and 30 right-handed) were examined on a 3T MR scanner using resting state
functional MRI (fMRI). The data was analyzed in four major steps using a
functional connectivity based parcellation (fCBP) approach: (1) independent
component analysis (ICA) on a whole brain level to identify different resting
state networks (RSN); (2) creation of a vestibular informed mask from four whole
brain ICs that included reference coordinates of the vestibular network extracted
from meta-analyses of vestibular neuroimaging experiments; (3) Re-ICA confined to
the vestibular informed mask; (4) cross-correlation of the activated voxels
within the vestibular subunits (parcels) to each other (P-to-P) and to the whole
brain RSN (P-to-RSN). This approach disclosed handedness-dependency, inter
hemispheric symmetry, the scale of connectedness to major whole brain RSN and the
grade of spatial overlap of voxels within parcels (common/unique) as meaningful
discriminatory organizational categories within the vestibular cortex areas. This
network consists of multiple inter-hemisphere symmetric (not lateralized), well
connected (many RSN-assignments) multisensory areas (or hubs; e.g., superior
temporal gyrus, temporo-parietal intersection) organized around an asymmetric
(lateralized, "dominant") and functionally more specialized (few RSN-assignments)
core region in the parieto-insular cortex. The latter is in the middle, posterior
and inferior insula. In conclusion, the bilateral cortical vestibular network
contains not only a handedness-dependent lateralized central region concentrated
in the right hemisphere in right-handers and left hemisphere in left-handers, but
also surrounding inter-hemisphere symmetric multisensory vestibular areas that
seem to be functionally influenced by their neighboring sensory systems (e.g.,
temporo-parietal intersection by the visual system). One may speculate that the
development of an asymmetrical organized vestibular subsystem reflects a more
recent phylogenetic evolution of various multisensory vestibular functions. The
right hemispheric dominance of spatial orientation and its disorders, spatial
neglect and pusher syndrome, may serve as examples.
PMID- 29787867
TI - Investigating common coding of observed and executed actions in the monkey brain
using cross-modal multi-variate fMRI classification.
AB - Mirror neurons are generally described as a neural substrate hosting shared
representations of actions, by simulating or 'mirroring' the actions of others
onto the observer's own motor system. Since single neuron recordings are rarely
feasible in humans, it has been argued that cross-modal multi-variate pattern
analysis (MVPA) of non-invasive fMRI data is a suitable technique to investigate
common coding of observed and executed actions, allowing researchers to infer the
presence of mirror neurons in the human brain. In an effort to close the gap
between monkey electrophysiology and human fMRI data with respect to the mirror
neuron system, here we tested this proposal for the first time in the monkey.
Rhesus monkeys either performed reach-and-grasp or reach-and-touch motor acts
with their right hand in the dark or observed videos of human actors performing
similar motor acts. Unimodal decoding showed that both executed or observed motor
acts could be decoded from numerous brain regions. Specific portions of rostral
parietal, premotor and motor cortices, previously shown to house mirror neurons,
in addition to somatosensory regions, yielded significant asymmetric action
specific cross-modal decoding. These results validate the use of cross-modal
multi-variate fMRI analyses to probe the representations of own and others'
actions in the primate brain and support the proposed mapping of others' actions
onto the observer's own motor cortices.
PMID- 29787869
TI - Shear stress sensitizes TRPV4 in endothelium-dependent vasodilatation.
AB - The aim of this study was to better understand the role of TRPV4 in the
regulation of blood vessel dilatation by blood flow and activation of GPCRs.
Using pressure myography, the dilator responses to the TRPV4 agonist GSK1016790A
and to acetylcholine, were examined in rat cremaster arterioles exposed to either
no shear stress or to 200 MUl/min flow for 6 min. In control vessels GSK1016709A
caused vasodilatation (pEC50 7.73 +/- 0.12 M, DeltaDmax 97 +/- 3%) which was
significantly attenuated by the TRPV4 antagonists GSK2193874 (100 nM) (pEC50 6.19
+/- 0.11 M, p < 0.05) and HC067047 (300 nM) (pEC50 6.44 +/- 0.12 M) and abolished
by removal of the endothelium. Shear conditioned arterioles were significantly
more sensitive to GSK1016790A (pEC50 8.34 +/- 0.11, p < 0.05). Acetylcholine
induced vasodilatation (pEC50 7.02 +/- 0.07 M, DeltaDmax 93 +/- 2%) was not
affected by shear forces (pEC50 7.08 +/- 0.07 M, DeltaDmax 95 +/- 1%). The
dilator response to acetylcholine was unaffected by the TRPV4 antagonist
GSK2193874 in control arterioles (pEC50 7.24 +/- 0.07 M, DeltaDmax 97 +/- 2%).
However, in shear treated arterioles, the acetylcholine-response was
significantly attenuated by GSK2193874 (pEC50 6.25 +/- 0.12 M, p < 0.05)
indicating an induced interaction between TRPV4 and muscarinic receptors. TRPV4
antibodies localized TRPV4 to the endothelium and shear stress had no effect on
its localisation. Finally, agonist activation of the M3 muscarinic receptor
opened TRPV4 in HEK293 cells. We concluded that shear stress increases
endothelial TRPV4 agonist sensitivity and links TRPV4 activation to muscarinic
receptor mediated endothelium-dependent vasodilatation, providing strong evidence
that blood flow modulates downstream signalling from at least one but not all
GPCRs expressed in the endothelium.
PMID- 29787868
TI - Individualized tractography-based parcellation of the globus pallidus pars
interna using 7T MRI in movement disorder patients prior to DBS surgery.
AB - The success of deep brain stimulation (DBS) surgeries for the treatment of
movement disorders relies on the accurate placement of an electrode within the
motor portion of subcortical brain targets. However, the high number of
electrodes requiring relocation indicates that today's methods do not ensure
sufficient accuracy for all patients. Here, with the goal of aiding DBS
targeting, we use 7 Tesla (T) MRI data to identify the functional territories and
parcellate the globus pallidus pars interna (GPi) into motor, associative and
limbic regions in individual subjects. 7 T MRI scans were performed in seventeen
patients (prior to DBS surgery) and one healthy control. Tractography-based
parcellation of each patient's GPi was performed. The cortex was divided into
four masks representing motor, limbic, associative and "other" regions. Given
that no direct connections between the GPi and the cortex have been shown to
exist, the parcellation was carried out in two steps: 1) The thalamus was
parcellated based on the cortical targets, 2) The GPi was parcellated using the
thalamus parcels derived from step 1. Reproducibility, via repeated scans of a
healthy subject, and validity of the findings, using different anatomical
pathways for parcellation, were assessed. Lastly, post-operative imaging data was
used to validate and determine the clinical relevance of the parcellation. The
organization of the functional territories of the GPi observed in our individual
patient population agrees with that previously reported in the literature: the
motor territory was located posterolaterally, followed anteriorly by the
associative region, and further antero-ventrally by the limbic territory. While
this organizational pattern was observed across patients, there was considerable
variability among patients. The organization of the functional territories of the
GPi was remarkably reproducible in intra-subject scans. Furthermore, the
organizational pattern was observed consistently by performing the parcellation
of the GPi via the thalamus and via a different pathway, going through the
striatum. Finally, the active therapeutic contact of the DBS electrode,
identified with a combination of post-operative imaging and post-surgery DBS
programming, overlapped with the high-probability "motor" region of the GPi as
defined by imaging-based methods. The consistency, validity, and clinical
relevance of our findings have the potential for improving DBS targeting, by
increasing patient-specific knowledge of subregions of the GPi to be targeted or
avoided, at the stage of surgical planning, and later, at the stage when
stimulation is adjusted.
PMID- 29787870
TI - Oral hydroxysafflor yellow A reduces obesity in mice by modulating the gut
microbiota and serum metabolism.
AB - Given the high and increasing prevalence of obesity, the safe and effective
treatment of obesity would be beneficial. Here, we examined whether oral
hydroxysafflor yellow A (HSYA), an active compound from the dried florets of
Carthamus tinctorius L., can reduce high-fat (HF) diet-induced obesity in C57BL/6
J mice. Our results showed that the average body weight of HF group treated by
HSYA was significantly lower than that of the HF group (P < 0.01). HSYA also
reduced fat accumulation, ameliorated insulin resistance, restored glucose
homeostasis, reduced inflammation, enhanced intestinal integrity, and increased
short-chain fatty acids (SCFAs) production in HF diet-fed mice. Sequencing of 16S
rRNA genes in fecal samples demonstrated that HSYA reversed HF diet induced gut
microbiota dysbiosis. Particularly, HSYA increased the relative abundances of
genera Akkermansia and Romboutsia, as well as SCFAs-producing bacteria, including
genera Butyricimonas and Alloprevotella, whereas it decreased the phyla
Firmicutes/Bacteroidetes ratio of HF diet-fed mice. Additionally, serum
metabolomics analysis revealed that HSYA increased lysophosphatidylcholines
(lysoPCs), L-carnitine and sphingomyelin, and decreased phosphatidylcholines in
mice fed a HF diet, as compared to HF group. These changed metabolites were
mainly linked with the pathways of glycerophospholipid metabolism and
sphingolipid metabolism. Spearman's correlation analysis further revealed that
Firmicutes was positively while Bacteroidetes and Akkermansia were negatively
correlated with body weight, fasting serum glucose and insulin. Moreover,
Akkermansia and Butyricimonas had positive correlations with lysoPCs, suggestive
of the role of gut microbiota in serum metabolites. Our findings suggest HSYA may
be a potential therapeutic drug for obesity and the gut microbiota may be
potential territory for targeting of HSYA.
PMID- 29787871
TI - Spontaneous Intracerebral Hemorrhage in a Plateau Area: A Study Based on the
Tibetan Population.
AB - OBJECTIVE: To reveal the characteristics of spontaneous intracerebral hemorrhage
(sICH) in a plateau area based on the Tibetan population. METHODS: Data of
Tibetan and Han patients (control group) with sICH treated at our center from
January 2013 to April 2017 were retrospectively reviewed. RESULTS: A total of 122
Tibetan and 927 Han patients were included. Compared with Han patients, Tibetan
patients were older (54.7 +/- 11.2 vs. 50.9 +/- 18.3 years, P = 0.027), exhibited
higher male-to-female ratios (73.8% vs. 55.0%, P < 0.001), were more overweight
(22.1% vs. 13.1%, P = 0.007) had more smokers (36.9% vs. 20.5%, P < 0.001), had a
higher concentration of hemoglobin (163.7 +/- 17.6 vs. 134.8 +/- 20.2 g/L, P <
0.001), and included a higher number of patients with hypertension (83.6% vs.
60.5%, P < 0.001), diabetes mellitus (19.2% vs. 9.3%, P = 0.002), and prior
hemorrhagic stroke (9.0% vs. 2.0%, P < 0.001). Tibetan patients also experienced
more brainstem hemorrhage (11.5% vs. 5.1%, P = 0.039) in the infratentorial
region and had a higher risk of in-hospital complications resulting from hematoma
enlargement (20.5% vs. 10.4%, P = 0.002) and cerebral infarction (59.0% vs. 9.7%,
P < 0.001). During a 6-month follow-up period, they had higher rates of
unfavorable outcomes and case mortality (P < 0.05). A multivariable analysis
adjusted for confounding factors revealed that the Tibetan race was positively
associated with unfavorable clinical outcomes in sICH patients (P < 0.05).
CONCLUSIONS: Tibetan sICH patients from the plateau area presented unique
characteristics in their baseline measurements, incidence of comorbidities,
hematoma location, risk of in-hospital complications, and clinical outcomes
compared with Han patients. The Tibetan race was positively associated with
unfavorable 6-month outcomes in ICH patients.
PMID- 29787872
TI - Development of a Preoperative Simulation Technique for Carotid Endarterectomy in
Patients with Contrast Contraindications.
AB - BACKGROUND: Vascular and osteological parameters, such as the heights of the
carotid bifurcation and distal end of the plaque, are important preoperative
considerations for patients undergoing carotid stenosis procedures, such as
carotid endarterectomy. However, for patients with contraindications to contrast
media, such as allergies or nephropathies, 3-dimensional computed tomography
angiography (3D-CTA) is unavailable, and preoperative evaluation remains
challenging. In the present study, we aimed to develop a preoperative simulation
for use in patients with contrast-contraindicated carotid stenosis. METHODS:
Images from noncontrast neck computed tomography scans and magnetic resonance
imaging obtained without the Leksell stereotactic frame were uploaded to
GammaPlan. Following delineation of various structures, preoperative simulations
were performed to determine the relationships between vascular and osteological
structures. We applied this technique in 10 patients with carotid stenosis to
verify the accuracy of the simulation. RESULTS: In all patients, the GammaPlan
simulation successfully visualized the heights of the carotid bifurcation and
distal end of the plaque without the use of contrast medium. Furthermore,
information regarding the location of internal arterial structures, such as
calcifications and unstable plaques, could be incorporated into GammaPlan images.
We verified simulation accuracy by comparing the simulation results with 3D-CTA
and operative findings. CONCLUSIONS: Simulations created using GammaPlan can be
used to obtain accurate vascular and osteological information regarding the
heights of the carotid bifurcation and distal end of the plaque, without the use
of contrast medium. The reconstruction of delineated structures using this
technique may be effective for preoperative evaluation in patients with contrast
contraindicated carotid stenosis.
PMID- 29787873
TI - Transforaminal Endoscopic Decompression for Foot Drop 12 Years After Lumbar Total
Disk Replacement.
AB - Lumbar total disk replacement is considered for the treatment of lumbar
degenerative disk disease with the hope that by preserving motion, the long-term
fusion complication of adjacent segment disease can be avoided. The complications
of lumbar total disk replacement can be divided into approach-related and long
term complications. Little has been described about the complications and
treatment for complications >10 years after the device has been implanted. Here
we describe a transforaminal endoscopic diskectomy procedure for a patient
presenting with foot drop 12 years after a L5-S1 total disk replacement.
PMID- 29787874
TI - Ancient Observation of Spinal Cord Injury: The Case of the Assyrian Lion.
AB - The description of paraplegia is considered a milestone in the history of
neurology. The Egyptians provided excellent descriptions of spinal cord injuries,
the Bible has several references to paraplegia, and, more recently, the pioneers
of neurology described the classic syndromes related to spinal injuries and
paraplegia. Here, we describe an ancient observation by the Assyrian people of
paraplegia in an animal. In ancient Assyria, lion hunting was a ritualized
activity conducted for political and religious purposes. The Lion Hunt of
Ashurbanipal, a series of Assyrian palace reliefs from the North Palace at
Nineveh dating from approximately 645 BCE, which is now in the British Museum in
London, UK, shows King Ashurbanipal hunting lions. Applying modern knowledge of
mammalian dermatomes to the images, we reveal a detailed and precise observation
of paraplegia after spinal cord injury.
PMID- 29787875
TI - Minimally Invasive Lateral Access Surgery and Reoperation Rates: A Multi
Institution Retrospective Review of 2060 Patients.
AB - BACKGROUND: Risk factors for surgical revision remain important because of
additional readmission, anesthesia, and morbidity for the patient and significant
cost for health care systems. Although the rate of reoperation (RRO) is well
described for traditional open posterior (OP) approaches, the RRO in minimally
invasive lateral (MIL) surgery remains poorly characterized. This study compares
the RRO in patients undergoing decompressive lumbar spine surgery via MIL versus
OP approaches. METHODS: Patient demographics and comorbidities were
retrospectively collected for 2060 patients undergoing single-stage elective
lumbar spinal surgery at multiple institutions. A subset of 1484 patients had
long-term data (long-term cohort [LT cohort]). The RRO was compared between
approaches through univariate and multivariate analysis. RESULTS: There were 1292
patients (62.7%) who underwent lateral access surgery, whereas 768 patients
(37.3%) underwent OP surgery. The MIL cohort was significantly older, had a
higher proportion of men, and had more comorbidities than the OP cohort. In the
LT cohort, lateral patients were significantly older and had more comorbidities,
with a lower body mass index and a lower proportion of men and smokers. Surgical
complications between the groups trended to be similar. The MIL cohort had a
significantly lower RRO at both 30 days (approximately 57% lower, MIL cohort:
1.01% vs. OP cohort: 2.36%, P = 0.02) and 2 years (approximately 61% lower, MIL
cohort: 2.09% vs. OP cohort: 5.37%, P < 0.01) after surgery. On multivariate
analysis, surgical approach was the only significant predictor for the RRO at
both 30 days (open posterior approach odds ratio [OR], 4.47; 95% confidence
interval [CI], 1.33-15.09; P = 0.02) and 2 years (open posterior approach OR,
3.26; 95% CI, 1.26-8.42; P = 0.01). CONCLUSIONS: This study shows that MIL
surgical approaches, compared with OP approaches, have a significantly lower RRO
after lumbar spine surgery.
PMID- 29787876
TI - Risk Analysis Based on the Timing of Tracheostomy Procedures in Patients with
Spinal Cord Injury Requiring Cervical Spine Surgery.
AB - OBJECTIVE: To determine the optimal moment to perform tracheostomy in a patient
requiring anterior cervical fixation. METHODS: A retrospective observational
study conducted over an 18-year period included 56 patients who had been admitted
to the intensive care unit with acute spinal cord injury and underwent
tracheostomy and surgical fixation. The sample was divided into 2 groups: at-risk
group (31 patients who had undergone tracheostomy before cervical surgery or <4
days after surgery) and not-at-risk group (25 patients who had undergone
tracheostomy >4 days after fixation surgery). Descriptive and comparative studies
were carried out. Overall trend of the collected data was analyzed using cubic
splines (graphic methods). RESULTS: The only infectious complications diagnosed
as related to the surgical procedure were infection of the surgical wound in 2
patients in the not-at-risk group (12%) and deep tissue infection in 1 patient in
the at-risk group (3.2%). During the study period, we identified a tendency
toward performance of early tracheostomies. CONCLUSIONS: Our results suggest that
the presence of a tracheostomy stoma before or immediately after surgery is
associated with a low risk of infection of the cervical surgical wound in
instrumented spinal fusion.
PMID- 29787877
TI - Anatomic Study of Superior Cluneal Nerves: Application to Low Back Pain and
Surgical Approaches to Lumbar Vertebrae.
AB - OBJECTIVE: The aim of this study was to investigate the anatomy of the superior
cluneal nerves more proximal to the posterior layer of the thoracolumbar fascia.
METHODS: Twelve sides of 6 fresh-frozen cadavers were used. The age at death
ranged from 54 to 88 years. After a transverse skin incision 10 mm above the
iliac crest, the superior cluneal nerves were detected by blunt dissection and
traced back to the dorsal root ganglia. The diameter of the nerves from L1 to L3
was measured. Also, the relationship to the erector spinae muscle and dorsal
ramus was recorded. RESULTS: The mean diameters of the origin of the L1, L2, and
L3 were 1.71 +/- 0.29 mm, 1.73 +/- 0.40 mm, and 1.52 +/- 0.55 mm, respectively.
On 7 sides (58.3%) for L1, seven sides (58.3%) for L2, and 10 sides (83.3%) for
L3, the nerves pierced the iliocostalis muscle. One side (8.3%) for L2 and one
(8.3%) for L3 had no cutaneous branch. CONCLUSIONS: The results of this study
could help to elucidate the anatomy of the superior cluneal nerves and help avoid
complications during surgical approaches to the lumbar spine.
PMID- 29787878
TI - Gamma Knife Radiosurgery of the Superior Laryngeal Neuralgia: A Report of 3
Cases.
AB - BACKGROUND: Superior laryngeal neuralgia (SLN) is a relatively rare disorder that
is characterized by neck pain. There are only a few reported cases and treatment
options for SLN to date. In this study, we report 3 patients with SLN who were
treated with Gamma Knife radiosurgery (GKRS) at the time of diagnosis. CASE
DESCRIPTION: For all 3 patients, GKRS was administered using a 4-mm collimator to
deliver a single shot of 80 Gy of radiation (100% isodose line). The target was
set at the jugular foramen where the vagus and glossopharyngeal nerves emerge
from the skull. Follow-up assessments were performed at 32, 31, and 30 months
after GKRS. The 3 patients described pain relief at 3 months, 2 days, and 6
weeks. None of the patients developed neurologic deficits during the follow-up
period. CONCLUSIONS: This preliminary report provides encouraging evidence that
GKRS represents an effective, safe, and relatively durable noninvasive treatment
option for patients with SLN.
PMID- 29787879
TI - Management of Pediatric Posttraumatic Thoracolumbar Vertebral Body Burst
Fractures by Use of Single-Stage Posterior Transpedicular Approach.
AB - PURPOSE: The posterior transpedicular approach (PTA) is a posterior approach that
has the advantage of achieving circumferential arthrodesis by a single posterior
only approach. The purpose of this study was to analyze our experience with PTA
in the management of pediatric traumatic thoracolumbar burst fractures (TTLBFs).
METHODS: Consecutive pediatric patients (age <=18 years) with TTLBFs treated with
PTA for 6 years were included in this retrospective study. Correction of kyphotic
deformity and change in neurologic status were analyzed to assess outcome. The
Cobb angle and American Spinal Injury Association (ASIA) grade were used for this
purpose. RESULTS: There were 6 male and 8 female patients. Five patients had
complete injury (ASIA-A), and 9 had incomplete injury. The mean Thoracolumbar
Injury Classification and Severity score was 6.71. The mean preoperative Cobb
angle was 14.71 degrees and improved to -3.35 degrees postoperatively (mean
kyphosis correction -18.05 degrees ). Two of the patients experienced iatrogenic
nerve root injury. There was 1 postoperative mortality due to complications
unrelated to the surgery. The mean Cobb angle was -0.07 degrees at the 32.2
month follow-up visit. Six patients experienced cage subsidence, but none
required revision surgery. Postoperatively, 11 (78.5%) patients showed neurologic
improvement, and none experienced deterioration. The average ASIA score improved
from 2.5 to 3.78. A fusion rate of 100% (n = 12) was observed at the last follow
up visit. CONCLUSIONS: The present study demonstrates that PTA is a feasible
approach in selected pediatric patients with unstable traumatic thoracolumbar
burst fractures, with results comparable with those in the adult population. This
study demonstrates in detail the procedure, along with the neurologic and
radiologic outcomes of this approach in the pediatric population.
PMID- 29787880
TI - Comparison of Surgical Invasiveness Between Microdiscectomy and 3 Different
Endoscopic Discectomy Techniques for Lumbar Disc Herniation.
AB - OBJECTIVE: Muscle injury is inevitable during surgical exposure of the spine.
This study compared paraspinal muscle injury after 4 surgical techniques:
microdiscectomy (MD), percutaneous endoscopic lumbar discectomy (PELD),
percutaneous endoscopic interlaminar discectomy (PEID), unilateral biportal
endoscopic discectomy (UBED). METHODS: Eighty patients who underwent MD, PELD,
PEID, and UBED were prospectively observed. Creatine phosphokinase (CPK) and C
reactive protein levels were measured on admission and postoperative days 1, 3,
5, and 7. CPK ratio was calculated as CPK on postoperative day 1/CPK on
admission. Cross-sectional area of the high-intensity lesion in the paraspinal
muscle was measured on magnetic resonance imaging after surgery. Operative time
and hospital stay duration were also examined. Clinical outcome was evaluated
using the visual analog scale for back and leg pain. RESULTS: MD group had the
highest CPK levels on postoperative days 1 and 3 and CPK ratio (P < 0.01, P =
0.02, P = 0.04). Serial C-reactive protein levels were highest in MD group (P <
0.01). PELD and PEID groups had lower C-reactive protein level on postoperative
day 1 than UBED group. MD group had largest cross-sectional area (P < 0.01).
Cross-sectional area was larger in UBED group than in PELD and PEID groups (P <
0.01). Operative time and hospital stay duration were shortest in PELD group (P <
0.01, P < 0.01). MD group had significantly higher visual analog scale scores for
back pain on postoperative days 1 and 3 than the other groups (P < 0.01, P =
0.02). CONCLUSIONS: PELD is the least invasive spinal surgical technique.
PMID- 29787882
TI - Effect of the surface acid sites of tungsten trioxide for highly selective
hydrogenation of cellulose to ethylene glycol.
AB - This work studied a facile and template-free hydrothermal route for controlled
synthesis of tungsten trioxide in the form of hexagonal nanorod (h-WO3) and
monoclinic nanosheet (m-WO3). The surface morphology, crystal plane, surface
bound water, and surface acid sites of the two kinds of WO3 nanocrystals were
investigated systematically. They were further evaluated as catalysts for
selective cellulose hydrolysis. While both of them exhibited good catalytic
performance, h-WO3 was found to be more preferential for ethylene glycol (EG)
generation. This catalytic performance relied on both the unique active crystal
surface (1 0 0) and surface binding water (WO3-H2O) formed by h-WO3 crystals,
which provided more Lewis acid sites for degrading cellulose into EG. Results
showed that the highest EG yield reaches 77.5% by a combination of loading 1 wt%
Ru on the h-WO3 catalyst.
PMID- 29787881
TI - Long-Term Follow-Up After Radiosurgery of Papillary Tumor of Pineal Region: 2
Case Reports and Review of Literature.
AB - BACKGROUND: Tumors of the pineal region are rare in adulthood, accounting for
approximately 1% of intracranial neoplasms in this age range. Because of their
rarity, it has proven to be difficult to establish the optimal therapy.
Furthermore, microsurgical total resection in this eloquent location is
associated with not low rates of morbidity. CASE DESCRIPTION: We describe 2
patients diagnosed with papillary tumors of the pineal region by stereotactic
biopsy and referred for Gamma Knife radiosurgery after shunting for
hydrocephalus. We report a long-term follow-up of 15 and 20 years, respectively,
showing a good response to the treatment. CONCLUSIONS: After a diagnosis of
papillary tumors of the pineal region, radiosurgery is an alternative treatment,
with high local control and low morbidity.
PMID- 29787883
TI - Modeling, experimental validation and optimization of Prosopis juliflora fuelwood
pyrolysis in fixed-bed tubular reactor.
AB - This work studied the optimal conditions for pyrolysis of Prosopis juliflora wood
in fixed-bed tubular reactor. The optimal conditions are measured by performing
pyrolysis experiment with respect to wood properties such as particle size,
moisture and pyrolysis condition such as, temperatures, heating rates. Higher
solid yield (36.8%) was recorded for a slower heating rate of larger particle
size at lower temperatures. Further, higher liquid yield (38.3%) was observed
while maintaining high heating rate and temperature. It is observed that with
increase in particle size, the yield of char and gas decreases and bio-oil
increases. The literature reported biomass pyrolysis kinetic model is validated
for Prosopis juliflora wood. The kinetic models are able to predict the
performance of fixed-bed tubular reactor in terms of pyrolysis product
properties. The validated kinetic model may be used for the design of commercial
fixed bed pyrolysis reactor to process Prosopis juliflora wood.
PMID- 29787884
TI - The pelletization and combustion properties of torrefied Camellia shell via dry
and hydrothermal torrefaction: A comparative evaluation.
AB - The torrefaction performance and properties of torrefied CS (Camellia shell) bio
char obtained via dry and hydrothermal torrefaction have been compared as well as
pyrolysis and combustion properties. And making of torrefied pellets and their
properties such as pellet density, Meyer hardness, and energy consumption are
also investigated. The results showed that dry torrefied bio-char had higher
energy and density at 220 degrees C and decreased significantly with
temperature, while hydrothermally prepared bio-char had stable energy and mass
yield with temperature. The coalification status of hydrothermally bio-char is
similar to that of sub-bituminous coal. The pellet formed from dry terrified bio
char via quart tube in 220 degrees C with high pellet density (1048 kg/m3) and
low energy consumption (17.6 KJ/kg) in spite of low the Meyer hardness (6.8
N/mm2). As for the process kinetics, the activation energy via dry torrefection
with auger showed lower activation energy 43.26 KJ/mol as well as lowest ignition
temperature (290 degrees C), compared to hydrothermal torrefaction.
PMID- 29787885
TI - Exposing splicing forgery based on color temperature estimation.
AB - Splicing is one of the most common tampering techniques for image manipulation in
many forensic cases. Normally color shift in images due to color temperature of
illumination can be seen as intrinsic features relative to imaging process. In
splicing forgeries, copied area and pasted target image come from different
imaging process, and are likely to have different color shift. In this paper, a
novel automated authentication method is presented to expose splicing
manipulation and locate manipulated areas by discriminating the inconsistencies
of color shift in an image. In order to minimize human interaction on detection
of splicing forgeries as well as localization of manipulated areas, a forensic
image is divided into blocks with grid-based strategy. After calculation on color
temperature of each blocks with white-point algorithm, reference color
temperature is obtained with a random restricted algorithm. Then color
temperature distance between each block and reference area is calculated
sequentially. At last, by comparing color temperature distance with an optimized
threshold determined by OSTU algorithm. This method enables us to judge if
splicing has occurred and furthermore localize manipulated area simultaneously.
Experiments show that the proposed method can speed up the quantitative detection
of possible splicing manipulation and localize manipulated area automatically.
PMID- 29787886
TI - Monomicrobial Klebsiella pneumoniae necrotizing fasciitis: an emerging life
threatening entity.
AB - BACKGROUND AND AIMS: Necrotizing fasciitis (NF) although rare, is a potentially
fatal infection. The majority of cases are polymicrobial, although a recent surge
has been reported in monomicrobial NF caused by Klebsiella pneumoniae (KP-NF). KP
NF recently accounted for an average of 16% among all pathogens, with highest
mortality rate of 60%. This review discusses the important aspects of KP-NF with
additional notes on the implications of multidrug resistant infections. SOURCES:
The literature was searched using PubMed. Klebsiella pneumoniae isolated
monomicrobially in NF cases was used as the selection criteria. CONTENT: KP-NF
predominates in East Asia with the majority of cases reported from Taiwan alone.
Reports from the Western hemisphere are also gradually rising. This infection has
invariably presented with underlying predisposing factors occurring mostly in
individuals with compromised host immunity. Diabetes, chronic liver disease, and
instrumentation are important risk factors. With haematogenous spread more
common, multifocal involvement via metastasis is reported. Clinical presentations
are usually aggressive with rapid progression despite antimicrobial therapy. It
may even present with severe sepsis. Clinicians must be aware of the differential
diagnosis of such severe presentations. Emergency surgical explorations and
microbiological investigations clinch the diagnosis. Outcomes are not favourable,
with a high mortality rate of 40% even after appropriate interventions.
Nosocomial KP-NF cases are more fulminant and multidrug resistant with even
higher mortality rates (approx. 70%). IMPLICATIONS: KP-NF with its virulent
course and high mortality, is an emerging life threat. Clinicians must be aware
of its key features. Further comprehensive studies are needed for better insights
into the spectrum of this fatal infection.
PMID- 29787887
TI - Human pegivirus persistence in the human blood virome after allogeneic
haematopoietic stem cell transplantation.
AB - OBJECTIVES: As commensal viruses are defined by the immunological tolerance
afforded to them, any immunomodulation, such as is received during haematopoietic
stem cell transplantation, may shift the demarcation between innocuous viral
resident and disease-causing pathogen. METHODS: We analysed by deep-sequencing
the plasma virome of 40 allogeneic haematopoietic stem cell transplant (allo
HSCT) patients 1 month post-transplantation. As human pegivirus (HPgV) was highly
prevalent, we performed a one-year screening of 122 plasma samples by specific
rRT-PCR assay. We used log rank test and the Gray test to assess association with
outcomes and Mann-Whitney test and multivariable linear regression model to
assess association with T-cell reconstitution. RESULTS: Polyomaviruses (PyV)
(20/40 patients), anelloviruses (16/40), pegiviruses (14/40) and herpesviruses
(14/40) were most frequently identified, including 10 cytomegalovirus, 3 Epstein
Barr, 2 herpes simplex-1, 1 human herpesvirus (HHV)-6b and 1 HHV-7; 18 Merkel
cell-PyV, 2 BK-PyV, 3 PyV-6 and 1 JC-PyV. Papillomavirus and adenovirus were
identified in 11 and 2 patients, respectively. The HPgV-specific rRT-PCR
screening identified 51/122 positive samples, high viral loads and persistent
infections up to one year post-transplantation. Comparison between patients with
or without HPgV infection at time of transplantation didn't reveal significant
difference in infections, engraftment, survival, graft-versus-host disease,
relapse or immune reconstitution. CONCLUSION: The blood virome after allo-HSCT
includes several DNA viruses notably herpesviruses and polyomaviruses. Among RNA
viruses, HPgV is highly prevalent, persisting for several months and thus may
deserve special attention in further research on immune reconstitution.
PMID- 29787888
TI - Understanding the microbiome of diabetic foot osteomyelitis: insights from
molecular and microscopic approaches.
AB - OBJECTIVES: Rigorous visual evidence on whether or not biofilms are involved in
diabetic foot osteomyelitis (DFO) is lacking. We employed a suite of molecular
and microscopic approaches to investigate the microbiome, and phenotypic state of
microorganisms involved in DFO. METHODS: In 20 consecutive subjects with
suspected DFO, we collected intraoperative bone specimens. To explore the
microbial diversity present in infected bone we performed next generation DNA
sequencing. We used scanning electron microscopy (SEM) and peptide nucleic acid
fluorescent in situ hybridization (PNA-FISH) with confocal microscopy to
visualize and confirm the presence of biofilms. RESULTS: In 19 of 20 (95%)
studied patients presenting with DFO, it was associated with an infected diabetic
foot ulcer. By DNA sequencing of infected bone, Corynebacterium sp. was the most
commonly identified microorganism, followed by Finegoldia sp., Staphylococcus
sp., Streptococcus sp., Porphyromonas sp., and Anaerococcus sp. Six of 20 bone
samples (30%) contained only one or two pathogens, while the remaining 14 (70%)
had polymicrobial communities. Using a combination of SEM and PNA-FISH, we
identified microbial aggregates in biofilms in 16 (80%) bone specimens and found
that they were typically coccoid or rod-shaped aggregates. CONCLUSIONS: The
presence of biofilms in DFO may explain why non-surgical treatment of DFO,
relying on systemic antibiotic therapy, may not resolve some chronic infections
caused by biofilm-producing strains.
PMID- 29787889
TI - Diagnosis of bloodstream infections from positive blood cultures and directly
from blood samples: recent developments in molecular approaches.
AB - BACKGROUND: Bloodstream infections are a major cause of death with increasing
incidence and severity. Blood cultures are still the reference standard for
microbiological diagnosis, but are rather slow. Molecular methods can be used as
add-on complementary assays. They can be useful to speed up microbial
identification and to predict antimicrobial susceptibility, applied to direct
blood samples or positive blood cultures. AIM: To review recent developments in
molecular-based diagnostic platforms used for the identification of bloodstream
infections, with a focus on assays performed directly on blood samples and
positive blood cultures. SOURCES: Peer reviewed articles, conference abstracts,
and manufacturers' websites. CONTENT: We give an update on recent developments of
molecular methods in diagnosing BSIs. We first describe the currently available
molecular methods to be used for positive blood cultures including: a) in situ
hybridization-based methods; b) DNA-microarray-based hybridization technology; c)
nucleic acid amplification-based methods; and d) combined methods. Subsequently,
molecular methods applied directly to whole blood samples are discussed,
including the use of nucleic acid amplification-based methods, T2 magnetic
resonance-based methods, and metagenomics for diagnosing BSIs. IMPLICATIONS:
Advances in molecular-based methods complementary to conventional blood culture
diagnostics and antimicrobial stewardship programmes may optimize infection
management by allowing rapid identification of pathogens and relevant
antimicrobial resistance genes. Rapid diagnosis of the causing microorganism and
relevant resistance determinants is important for early administration and
modification of appropriate antimicrobial therapy. Ultimately, this may lead to
improved quality and cost-effectiveness of health care, as well as reduced
antimicrobial resistance selection.
PMID- 29787890
TI - Invasive mould disease in haematologic patients: comparison between fusariosis
and aspergillosis.
AB - OBJECTIVES: To compare the epidemiology, clinical presentation, diagnosis,
treatment, and outcome of haematologic patients with invasive aspergillosis (IA)
or invasive fusariosis (IF). METHODS: We retrospectively reviewed the charts of
36 patients with IA and 26 with IF diagnosed between 2006 and 2017 in
haematologic patients, and compared baseline characteristics, coexisting
exposures, clinical manifestations, treatment, and the outcome. RESULTS: Fever
was more frequent in IF (96.2% vs. 63.9%, p 0.003), whereas pneumonia (88.9% vs.
50.0%, p 0.001) and sinusitis (63.9% vs. 38.5%, p 0.048) were more frequent in
IA. Skin lesions and positive blood cultures occurred exclusively in patients
with IF. Among patients with pneumonia, the halo sign was more frequent in IA
(62.5% vs. 23.1%, p 0.02). Serum galactomannan was positive in 88.6% of patients
with IA and in 73.3% with IF (p 0.18), with no differences in the median number
of positive tests and galactomannan values. Positive serum galactomannan plus
lung infiltrates was the predominant clinical presentation in IA and occurred in
four of 13 patients with IF and lung involvement. The 30-day survival was 77.7%
in IA and 46.1% in IF (p 0.01). CONCLUSIONS: IA and IF share the same
epidemiologic scenario but different clinical presentations in the majority of
cases, with disease in the airways in IA, and fever, metastatic skin lesions, and
positive blood cultures in IF. However, a substantial proportion of patients with
IF present with a clinical picture similar to IA, with fever, lung infiltrates,
and positive serum galactomannan.
PMID- 29787891
TI - Development of postural control in infancy in cerebral palsy and cystic
periventricular leukomalacia.
AB - BACKGROUND: Development of postural problems in Cerebral Palsy (CP) is largely
unknown. Postural muscle activity is organized into two levels: 1) direction
specificity; 2) fine-tuning of direction-specific activity. AIM: To study
development of postural control until 21 months corrected age in subgroups of
infants at very high-risk (VHR) of CP: a) with and without CP at 21 months; b)
with and without cystic periventricular leukomalacia (cPVL), the brain lesion
with highest risk of CP. METHODS AND PROCEDURES: Longitudinal electromyography
recordings of postural muscles during reaching were made in 38 VHR-infants
(severe brain lesion or clear neurological signs) between 4.7 and 22.6 months (18
CP, of which 8 with cPVL). Developmental trajectories were calculated using
linear mixed effect models. OUTCOMES AND RESULTS: VHR-infants with and without CP
showed virtually similar postural development throughout infancy. The subgroup of
VHR-infants with cPVL improved performance in direction-specificity with
increasing age, while they performed throughout infancy worse in fine-tuning of
postural adjustments than infants without cPVL. CONCLUSIONS AND IMPLICATIONS: VHR
infants with and without CP have a similar postural development that differs from
published trajectories of typically developing infants. Infants with cPVL present
from early age onwards dysfunctions in fine-tuning of postural adjustments; they
focus on direction-specificity.
PMID- 29787892
TI - The role of bisphosphonates or denosumab in light of the availability of new
therapies for prostate cancer.
AB - Most men with advanced prostate cancer will develop bone metastases, which have a
substantial impact on quality of life. Bone metastases can lead to skeletal
related events (SREs), which place a burden on patients and healthcare systems.
For men with castration-resistant prostate cancer (CRPC) and bone metastases, the
treatment landscape has evolved rapidly over the past few years. The relatively
recent approvals of the hormonal agents abiraterone acetate and enzalutamide,
second-line chemotherapy cabazitaxel, and the radiopharmaceutical radium-223
dichloride (radium-223), have provided clinicians with a greater choice of
treatments. These compounds have benefits in terms of overall survival based on
the results of pivotal phase 3 studies. The bisphosphonate zoledronic acid and
the RANK ligand inhibitor denosumab are indicated for the prevention of SREs in
men with metastatic CRPC but studies of these compounds have not demonstrated a
survival benefit. The important question of the role of bisphosphonates or
denosumab in combination with these new agents has thus materialised. Current and
emerging evidence from clinical studies of abiraterone acetate, enzalutamide and
radium-223, suggest that addition of bisphosphonates or denosumab to these new
therapies may provide further clinical benefits for patients with prostate cancer
and bone metastases. This evidence may help to shape clinical practice but are
based largely on post hoc analyses of clinical trial data. It is therefore
apparent that further data are required from both clinical studies and real-world
settings to enable physicians to understand the efficacy and safety of
combination therapy with the new agents plus bisphosphonates or denosumab.
PMID- 29787893
TI - Effect of glycerol on the physical and mechanical properties of thin gellan gum
films for oral drug delivery.
AB - In this work, deacylated gellan gum and the plasticizer glycerol were used as
primary components for the preparation of thin films intended for the oral
delivery of therapeutic molecules. The samples were prepared by a solvent casting
method and characterized for their thickness, tensile properties, swelling
ability, mucoadhesion capacity and uniform drug distribution. The amount of
glycerol was varied from 20% to 75% w/w in order to obtain films with tunable
mechanical properties and high drug loading efficiency. The addition of glycerol
was able to positively influence the mechanical characteristics of gellan gum
thin film overcoming the brittleness caused by the rigid interconnection among
the polymeric chains. Plasticized gellan gum films containing 50% w/w of glycerol
showed optimal mechanical resistance and mucoadhesion capacity, which were
adversely affected by the inclusion of higher concentrations of glycerol. On the
contrary, only high amounts of the plasticizer (>=70% w/w) enabled a homogeneous
distribution of the model drug fluconazole within the polymeric matrix. Overall,
these results indicate that gellan gum-based thin films can be potentially used
for buccal drug delivery upon precise selection of the appropriate concentration
of glycerol used as a plasticizer.
PMID- 29787894
TI - 3D printing of drug-loaded gyroid lattices using selective laser sintering.
AB - Three-dimensional printing (3DP) is gaining momentum in the field of
pharmaceuticals, offering innovative opportunities for medicine manufacture.
Selective laser sintering (SLS) is a novel, high resolution and single-step
printing technology that we have recently introduced to the pharmaceutical
sciences. The aim of this work was to use SLS 3DP to fabricate printlets (3D
printed tablets) with cylindrical, gyroid lattice and bi-layer structures having
customisable release characteristics. Paracetamol-loaded constructs from four
different pharmaceutical grade polymers including polyethylene oxide, Eudragit
(L100-55 and RL) and ethyl cellulose, were created using SLS 3DP. The novel
gyroid lattice structure was able to modulate the drug release from all four
polymers. This work is the first to demonstrate the feasibility of using SLS to
achieve customised drug release properties of several polymers, in a swift, cost
effective manner, avoiding the need to alter the formulation composition. By
creating these constructs, it is therefore possible to modify drug release, which
in practice, could enable the tailoring of drug performance to the patient simply
by changing the 3D design.
PMID- 29787895
TI - Poly (amidoamine) (PAMAM) dendrimer mediated delivery of drug and pDNA/siRNA for
cancer therapy.
AB - Poly (amidoamine) (PAMAM) dendrimers are well-defined, highly branched
macromolecules with numerous active amine groups on the surface. Because of their
unique properties, PAMAM dendrimers have steadily grown in popularity in drug
delivery, gene therapy, medical imaging and diagnostic application. This review
focuses on the recent developments on the application in PAMAM dendrimers as
effective carriers for drug and gene (pDNA, siRNA) delivery in cancer therapy,
including: a) PAMAM for anticancer drug delivery; b) PAMAM and gene therapy; c)
PAMAM used in overcoming tumor multidrug resistance; d) PAMAM used for hybrid
nanoparticles; and e) PAMAM linked or loaded in other nanoparticles.
PMID- 29787896
TI - Comparison of high pressure homogenization and stirred bead milling for the
production of nano-crystalline suspensions.
AB - Currently, the two technologies primarily used for the manufacturing of nano
crystalline suspensions using top down process (i.e. wet milling) are high
pressure homogenization (HPH) and stirred bead milling (SBM). These two
technologies are based upon different mechanisms, i.e., cavitation forces for HPH
and shear forces for stirred bead milling. In this article, the HPH and SBM
technologies are compared in terms of the impact of the suspension composition
the process parameters and the technological configuration on milling
performances and physical quality of the suspensions produced. The data suggested
that both HPH and SBM are suitable for producing nano-crystalline suspensions,
although SBM appeared more efficient than HPH, since the limit of milling (d50)
for SBM was found to be lower than that obtained with HPH (100 nm vs 200 nm). For
both these technologies, regardless of the process parameters used for milling
and the scale of manufacturing, the relationship of d90 versus d50 could be
described by a unique master curve (technology signature of milling pathway)
outlining that the HPH leads to more uniform particle size distribution as
compared to SBM.
PMID- 29787897
TI - Structural and genetic relatedness of the O-antigens of Escherichia coli O50 and
O2.
AB - An O-specific polysaccharide (O-antigen) was isolated by mild acid degradation of
the lipopolysaccharide of Escherichia coli O50 followed by gel chromatography on
Sephadex G-50. The following structure of the tetrasaccharide repeat was
established by sugar analysis and 1D and 2D 1H and 13C NMR spectroscopy: ->3)
alpha-l-Rhap-(1 -> 2)-alpha-l-Rhap-(1 -> 3)-beta-l-Rhap-(1 -> 4)-beta-d-GlcpNAc
(1-> The linear O50 polysaccharide has the same structure as the main chain of
the branched O polysaccharide of E. coli O2 studied earlier [Jansson et al.,
Carbohydr. Res. 161 (1987) 273-279], which differs in the presence of a side
chain alpha-d-Fucp3NAc residue. In spite of the difference between the O
polysaccharides, the corresponding genes in the O2- and O50-antigen gene cluster
are 99-100% identical. The genetic basis for the lack of d-Fucp3NAc from the O50
polysaccharide is evidently a point mutation in the aminotransferase gene fdtB of
the d-Fucp3NAc synthesis pathway resulting in a single amino acid change from
histidine in O2 to arginine in O50.
PMID- 29787898
TI - Long-term effects of air pollution on ankle-brachial index.
AB - BACKGROUND: Ankle-brachial index (ABI) has been linked to the risk of
cardiovascular events. However, the association between long-term exposure to air
pollution and abnormal ABI has not been fully investigated. METHODS: This cross
sectional study involved 4544 participants from the KORA Study (2004-2008) in the
region of Augsburg, Germany. Participants' residential annual mean concentrations
of particulate matter (PM) and nitrogen dioxide (NO2) were predicted with land
use regression models, and the traffic information was collected from geographic
information systems. We applied multinomial logistic regression models to assess
the effects of air pollution on the prevalence of low and high ABI, and quantile
regression models to explore the non-monotonic relationship between air pollution
and ABI. We also examined effect modification by individual characteristics.
RESULTS: Long-term exposure to PM with an aerodynamic diameter <= 10 MUm (PM10)
and <= 2.5 MUm (PM2.5) was significantly associated with a higher prevalence of
low ABI, with the respective odds ratios (ORs) of 1.82 (95%CI: 1.11-2.97) and
1.59 (95%CI: 1.01-2.51) for a 5th to 95th percentile increment in pollutants.
Positive associations with the prevalence of high ABI were observed for PM (e.g.,
PM10: OR = 1.63, 95%CI: 1.07-2.50) and NO2 (OR = 1.84, 95%CI: 1.15-2.94).
Quantile regression analyses revealed similar non-monotonic results. The effects
of air pollution on having abnormal ABI were stronger in physically inactive,
hypertensive, or non-diabetic participants. CONCLUSIONS: Long-term exposure to PM
and NO2 was associated with a higher prevalence of both low and high ABI,
indicating the adverse effects of air pollution on atherosclerosis and arterial
stiffness in the lower extremities.
PMID- 29787899
TI - Dermal contact with furniture fabrics is a significant pathway of human exposure
to brominated flame retardants.
AB - Despite extensive application in consumer products and concerns over their
adverse health effects, how external exposure to brominated flame retardants
(BFRs) contributes to their human body burdens is not yet fully understood. While
recent studies focused on inadvertent indoor dust ingestion and diet as potential
major pathways of exposure, dermal uptake has been largely overlooked. We provide
the first experimentally-based assessment of dermal uptake of BFRs via contact
with indoor dust and flame-retarded furniture fabrics. Results reveal substantial
uptake from furniture fabrics (e.g. 8.1 ng pentaBDE/kg bw/day for adults in
summer), exceeding the overall adult intake of pentaBDE estimated previously via
other exposure pathways. For HBCDs, despite the low absorption fraction (<2.5%)
from the studied fabrics, the estimated dermal uptake of UK adults and toddlers
(101 and 76.9 ng/kg bw/day) exceed the reported average daily intakes of 7.9 and
43.0 ng/kg bw/day for these UK age groups. Conversely, uptake from dust was low
(0.05 and 0.19 ng pentaBDE/kg bw/day for adults and toddlers, respectively),
indicating previous pharmacokinetic approaches may have overestimated the
significance of this route. Future exposure and risk assessment studies should
consider dermal contact with treated products as a significant pathway of human
exposure to BFRs and related chemicals.
PMID- 29787900
TI - Associations between prenatal and childhood PBDE exposure and early adolescent
visual, verbal and working memory.
AB - BACKGROUND: Prenatal and childhood exposure to polybrominated diphenyl ether
(PBDE) flame retardants has been inversely associated with cognitive performance,
however, few studies have measured PBDE concentrations in samples collected
during both prenatal and postnatal periods. METHODS: We examined prenatal (cord)
and childhood (ages 2, 3, 5, 7 and 9 years) plasma PBDE concentrations in
relation to memory outcomes assessed between the ages of 9 and 14 years. The
study sample includes a subset (n = 212) of the African American and Dominican
children enrolled in the Columbia Center for Children's Environmental Health
Mothers and Newborns birth cohort. We used multivariable linear regression to
examine associations between continuous log10-transformed PBDE concentrations and
performance on tests of visual, verbal and working memory in age-stratified
models. We additionally used latent class growth analysis to estimate
trajectories of exposure across early life, which we analyzed as a categorical
variable in relation to memory outcomes. We examined interactions between PBDE
exposure and sex using cross-product terms. RESULTS: Associations between
prenatal exposure and working memory significantly varied by sex (p-interaction =
0.02), with inverse relations observed only among girls (i.e. betaBDE-47 = -7.55,
95% CI: -13.84, -1.24). Children with sustained high concentrations of BDEs-47,
99 or 100 across childhood scored approximately 5-8 standard score points lower
on tests of visual memory. Children with PBDE plasma concentrations that peaked
during toddler years performed better on verbal domains, however, these
associations were not statistically significant. CONCLUSIONS: Exposure to PBDEs
during both prenatal and postnatal periods may disrupt memory domains in early
adolescence. These findings contribute to a substantial body of evidence
supporting the developmental neurotoxicity of PBDEs and underscore the need to
reduce exposure among pregnant women and children.
PMID- 29787901
TI - Profiling of phenolic compounds and antioxidant properties of European varieties
and cultivars of Vicia faba L. pods.
AB - Vicia faba L. pods are a by-product generated from the industrial processing of
beans for human and animal consumption. As phenolic compounds may play important
roles in health, the present work envisaged the phenolic characterization of
seven European varieties and cultivars of V. faba (major and minor) pods and the
assessment of their antioxidant activity. The V. faba methanolic extracts were
characterized by HPLC-DAD-MS/MS for identification of polyphenolic compounds. The
total phenolic content and antioxidant capacity of the extracts were evaluated by
colorimetric methods (Folin-Ciocalteu, DPPH scavenging capacity assay, and FRAP
assay). Main compounds identified by HPLC-DAD-MS/MS were derivatives of caffeic
acid, coumaric acid and kaempferol. The broad bean Jogeva variety presented the
highest content of free and esterified phenolics (26.3 and 26.7 mg 100 g-1 dry
weight, respectively), followed by the horse bean varieties Bauska and
Lielplatones. These results were corroborated by the analysis of total phenolic
content, DPPH scavenging capacity and FRAP. This study confirmed the rich
phenolic content of V. faba pods suggesting to be an interesting novel source for
animal nutrition, promoting product quality and consumers' health.
PMID- 29787902
TI - Mineralogy and morphology of sand: Key parameters in the durability for its use
in artificial beach nourishment.
AB - Sand is the third most consumed material in the world, although it is a very
scarce material. An exhaustive knowledge of sand and its behaviour against the
waves is important for selecting the most suitable material to avoid shoreline
erosion. To this end, a pattern of behaviour against accelerated wear test has
been sought for 26 sand samples with different characteristics and origins
(natural, dredged and quarried), with a focus on their mineralogy as well as a
comparison of beach evolution carried out by other authors. Several techniques
have been applied for characterization: granulometry, calcimetry, XRD and SEM.
The results show that the different degrees of sand grain wear are not only due
to their size and mineralogy, but also to the morphology of the particles.
PMID- 29787903
TI - Flood risk (d)evolution: Disentangling key drivers of flood risk change with a
retro-model experiment.
AB - Flood risks are dynamically changing over time. Over decades and centuries, the
main drivers for flood risk change are influenced either by perturbations or slow
alterations in the natural environment or, more importantly, by socio-economic
development and human interventions. However, changes in the natural and human
environment are intertwined. Thus, the analysis of the main drivers for flood
risk changes requires a disentangling of the individual risk components. Here, we
present a method for isolating the individual effects of selected drivers of
change and selected flood risk management options based on a model experiment. In
contrast to purely synthetic model experiments, we built our analyses upon a
retro-model consisting of several spatio-temporal stages of river morphology and
settlement structure. The main advantage of this approach is that the overall
long-term dynamics are known and do not have to be assumed. We used this model
setup to analyse the temporal evolution of the flood risk, for an ex-post
evaluation of the key drivers of change, and for analysing possible alternative
pathways for flood risk evolution under different governance settings. We showed
that in the study region the construction of lateral levees and the consecutive
river incision are the main drivers for decreasing flood risks over the last
century. A rebound effect in flood risk can be observed following an increase in
settlements since the 1960s. This effect is not as relevant as the river
engineering measures, but it will become increasingly relevant in the future with
continued socio-economic growth. The presented approach could provide a
methodological framework for studying pathways for future flood risk evolvement
and for the formulation of narratives for adapting governmental flood risk
strategies to the spatio-temporal dynamics in the built environment.
PMID- 29787904
TI - Effective and sensitive determination of eleven disinfection byproducts in
drinking water by DLLME and GC-MS.
AB - The aim of this study was to optimize the dispersive liquid-liquid
microextraction (DLLME) parameters for simultaneous analysis through DLLME-gas
chromatography-mass spectrometry (GC-MS) of six iodo-trihalomethanes, four
haloacetonitriles, and one halonitromethane, which are residual disinfection
products found in drinking water. Eleven disinfection by-product (DBPs) remaining
in aqueous samples were extracted and concentrated using a simple, rapid, and
environmentally friendly DLLME method, and then analyzed simultaneously by GC-MS.
The optimized DLLME parameters were a sample volume of 5 mL, 100 MUL of
dichloromethane as the extraction solvent, 1 mL of methanol as the dispersion
solvent, an extraction time of 60 s, and 1.5 g of sodium chloride for the salting
out effect. The enrichment factor values obtained using the established DLLME-GC
MS method were 19.8-141.5, and the limit of detection and limit of quantification
were 0.22-1.19 MUg/L and 0.75-3.98 MUg/L, respectively. The calibration curves
had correlation coefficients (r2) of 0.9958-0.9992 in the concentration range of
0.5-40 MUg/L, and they exhibited good linearity in quantitative analysis. This
new method could be useful for analyzing eleven DBPs that remain in drinking
water.
PMID- 29787905
TI - Heavy metal pollution caused by small-scale metal ore mining activities: A case
study from a polymetallic mine in South China.
AB - Although metal ore mining activities are well known as an important source of
heavy metals, soil pollution caused by small-scale mining activities has long
been overlooked. This study investigated the pollution of surface soils in an
area surrounding a recently abandoned small-scale polymetallic mining district in
Guangdong province of south China. A total of 13 tailing samples, 145 surface
soil samples, and 29 water samples were collected, and the concentrations of
major heavy metals, including Cr, Mn, Co, Ni, Cu, Zn, As, Cd, Pb, and Se, were
determined. The results show that the tailings contained high levels of heavy
metals, with Cu, Zn, As, Cd, and Pb occurring in the ranges of 739-4.15 * 103,
1.81 * 103-5.00 * 103, 118-1.26 * 103, 8.14-57.7, and 1.23 * 103-6.99 * 103
mg/kg, respectively. Heavy metals also occurred at high concentrations in the
mine drainages (15.4-17.9 mg/L for Cu, 21.1-29.3 mg/L for Zn, 0.553-0.770 mg/L
for Cd, and 1.17-2.57 mg/L for Pb), particularly those with pH below 3. The mean
contents of Cu, Zn, As, Cd, and Pb in the surface soils of local farmlands were
up to 7 times higher than the corresponding background values, and results of
multivariate statistical analysis clearly indicate that Cu, Zn, Cd, and Pb were
largely contributed by the mining activities. The surface soils from farmlands
surrounding the mining district were moderately to seriously polluted, while the
potential ecological risk of heavy metal pollution was extremely high. It was
estimated that the input fluxes from the mining district to the surrounding
farmlands were approximately 17.1, 59.2, 0.311, and 93.8 kg/ha/yr for Cu, Zn, Cd,
and Pb, respectively, which probably occurred through transport of fine tailings
by wind and runoff, and mine drainage as well. These findings indicate the
significant need for proper containment of the mine tailings at small-scale metal
ore mines.
PMID- 29787906
TI - The use of combined treatments for reducing parabens in surface waters: Ion
exchange resin and nanofiltration.
AB - In this study, the removal of parabens from waters, using a combined treatment of
magnetic ion exchange resins and subsequent filtration through nanofiltration
membranes, was investigated. The selected parabens were methylparaben,
ethylparaben, propylparaben and butylparaben. Two different magnetic anionic
exchanger resins, MIEX(r) DOC and MIEX(r) GOLD, and two nanofiltration membranes
(NF), NF-90 and DESAL-HL, were tested. The study was carried out using mono and
multicomponent systems, using deionized water and natural waters sampled from two
different rivers. In this way, competitive and matrix effects could be evaluated.
The results showed, that with the combined treatments, higher elimination rates
were obtained. The best removal efficiencies were obtained when the DOC resin was
combined with both NF-90 and DESAL-HL membranes. Thus, butylparaben and
propylparaben reached removal yields around 100% with both membranes, whereas the
corresponding values for methylparaben were 91%, when the NF-90 membrane was
employed, or 92% when DESAL-HL membrane was utilized. The elimination rates of
ethylparaben with the same treatments were 96% with the NF-90 and 97% when the
DESAL-HL membrane was combined with the DOC resin. The elimination percentages
were higher as the paraben alkyl chain length increased. In addition, no
competitiveness or matrix effects were detected. When the MIEX(r) GOLD resin was
used for pre-treatment, membrane fouling worsened which indicated that resin
selection needs to be carefully considered to achieve the best results.
PMID- 29787907
TI - Response of net primary production to land use and land cover change in mainland
China since the late 1980s.
AB - Land use and land cover patterns in mainland China have substantially changed in
the recent decades under the economic reform policies of the government. The
terrestrial carbon cycle, particularly the net primary productivity (NPP), has
been substantially changed on both local and national scales. With the growing
concern over the effects of the terrestrial carbon cycle on global climate
changes, the impacts of land use and cover change (LUCC) on NPP need to be
understood. In this study, variations in NPP caused by LUCC (e.g., urbanization
and conversion of other land use to forest and grassland) in mainland China from
the late 1980s to 2015 were evaluated based on land cover datasets and NPPs
simulated from the Carnegie-Ames-Stanford Approach model. The results indicate
that the national total losses in NPP attributed to urbanization reached 1.695
TgC between the late 1980s and 2015. A large proportion (63.02%) of the total
losses was due to the transformation from cropland to urban land. Urban expansion
decreased the monthly and total NPPs over southern China, which includes the
South China Region, Southwest China Region, and the middle and lower regions of
the Yangtze River. However, the total NPP increased in the majority of urbanized
areas in Northern China, including the Huang-Huai-Hai Region, Inner Mongolia
Region (MGR), Gan-Xin Region (GXR), and Northeast China Region; monthly NPP in
GXR and MGR increased throughout the year. By contrast, the conversion to
grassland or forestland increased the monthly and total NPPs of Northern China,
suggesting that returning to forestland and grassland could increase the carbon
sequestration capacity of terrestrial ecosystems in mainland China. Among the sub
regions, the Loess Plateau Region contributed the largest increase in NPP, which
was prompted by the conversion to grassland and forestland.
PMID- 29787908
TI - Impact of fouling on the decline of aeration efficiency under different
operational conditions at WRRFs.
AB - Biofilm formation influences the most energy-demanding process in the waste water
treatment cycle. Biofilm growth on the surface of wastewater aeration diffusers
in water resource recovery facilities (WRRFs) can increase the energy
requirements up to 50% in less than 2 years. The impact of biofilms in aeration
diffusers was quantified and assessed for first time using molecular tools (i.e.,
Energy-dispersive X-ray, Ra and RMS and Pyrosequencing) and state-of-the-art
techniques (i.e., EPS quantification, Hydrophobicity and DNA quantification). To
provide a better understanding and quantitative connections between biological
activity and aeration energy efficiency, two replicates of the most common
diffusers were installed and tested in two different operational conditions
(higher and lower organic loading rate processes) during 15 months. Different
scenarios and conditions provided for first time comprehensive understanding of
the major factors contributing to diffuser fouling. The array of analysis
suggested that higher loading conditions can promote specialized microbial
populations to halve aeration efficiency parameters (i.e., alphaF) in comparison
to lower loading conditions. Biofilms adapted to certain operational conditions
can trigger changes in diffuser membrane properties (i.e., biological enhanced
roughness and hydrophobicity) and enhance EPS growth rates. Improved
understanding of the effects of scaling, biofouling, aging and microbial
population shifts on the decrease in aeration efficiency is provided.
PMID- 29787909
TI - A modified method of high molecular weight adsorbable organic chlorine
measurement in saline water: Dialysis pretreatment.
AB - Adsorbable organic halogen is a mean to quantify total organic halogen, which is
an important toxicity indicator in disinfection byproduct studies. However,
quantification of low concentrations of adsorbable organic chlorine (AOCl)
formation in seawater chlorination using the USEPA Method 9020B was found
inaccurate due to the presence of high concentrations of chloride. In this study,
a dialysis-based pretreatment technique was proposed, optimized and adopted to
eliminate the interference of chloride in quantifying low concentrations of AOCl
in seawater. A volumetric ratio of dialysis samples to continuous-flow deionized
water at 1:1200 was found sufficient to remove over 99% of chloride. As a result,
chloride to AOCl ratios can be reduced to less than 20,000, and the interference
from chloride can thus be eliminated. The detainment of AOCl by the dialysis
pretreatment depends on the molecular weight cutoff of the cellulose seamless
dialysis membrane currently used, which was determined to be around 320 to 500
Da. The dialysis pretreatment can be used to measure AOCl concentrations in
chlorinated seawater samples at pH 6.5 to 10.
PMID- 29787910
TI - Temporal trends and transport of perfluoroalkyl substances (PFASs) in a
subtropical estuary: Jiulong River Estuary, Fujian, China.
AB - The seasonal variations and spatial distributions of fifteen perfluoroalkyl
substances (PFASs) were investigated in the water of the subtropical Jiulong
River Estuary (JRE) in Fujian, China. The concentrations and composition profiles
of PFASs showed significant seasonal variations. ?PFASs concentrations ranged
from 4.8 to 37.6 ng L-1, 12.2 to 110 ng L-1 and 3.3 to 43.0 ng L-1 in the dry,
medium and wet seasons, respectively. Perfluorooctane sulfonate (PFOS) was found
to be the most abundant PFAS in the dry season, with a composition of 33% +/- 5%,
Perfluorohexanoic acid PFHxA (47% +/- 13%) and perfluoropentanoic acid (PFPeA)
(52% +/- 15%) were the dominant compounds in the medium and wet seasons,
respectively. Seasonal and spatial distributions of ?PFASs were different in the
upstream and downstream sections. High concentration of PFHxA occurred in the
medium season, and showed a linear decreasing trend from upstream to downstream.
The majority of other PFASs did not show clear seasonal variation. Composition
profiles indicated that the JRE was mainly contaminated by short-chain
perfluoroalkyl carboxylic acids (PFCAs), shipbuilding industry, multiple
wastewater and river runoff were identified as major potential sources.
PMID- 29787911
TI - Morphology, morphogenesis, and phylogeny of an Anteholosticha intermedia
(Ciliophora, Urostylida) population from the United States.
AB - A distinct population of Anteholosticha intermedia was isolated from soil in the
Great Smoky Mountains of North Carolina, USA, and its morphology, morphogenesis
and molecular phylogeny investigated by microscopic observations of live and
protargol-prepared specimens and analyses of the sequence of small subunit (SSU)
rDNA. Our population closely resembles the populations from Austria and Korea.
Members of the genus Anteholosticha have been regarded as ontogenetically
diverse, which is confirmed by the present work. The most noteworthy ontogenetic
feature of the American population of A. intermedia is that the oral primordium
in the proter appears apokinetally at the posterior end of the undulating
membranes anlage at the beginning of division and then dedifferentiates midway
through morphogenesis. Molecular phylogenetic analyses demonstrate, with high
support, that the American population of A. intermedia is clearly distinct from
congeners and branches as part of a sister lineage to the Bakuella-Urostyla clade
that belongs to the major clade comprising the order Urostylida.
PMID- 29787912
TI - High-fat diet-induced lipidome perturbations in the cortex, hippocampus,
hypothalamus, and olfactory bulb of mice.
AB - Given their important role in neuronal function, there has been an increasing
focus on altered lipid levels in brain disorders. The effect of a high-fat (HF)
diet on the lipid profiles of the cortex, hippocampus, hypothalamus, and
olfactory bulb of the mouse brain was investigated using nanoflow ultrahigh
pressure liquid chromatography-electrospray ionization-tandem mass spectrometry
in the current study. For 8 weeks, two groups of 5-week-old mice were fed either
an HF or normal diet (6 mice from each group analyzed as the F and N groups,
respectively). The remaining mice in both groups then received a 4-week normal
diet. Each group was then subdivided into two groups for another 4-week HF or
normal diet. Quantitative analysis of 270 of the 359 lipids identified from brain
tissue revealed that an HF diet significantly affected the brain lipidome in all
brain regions that were analyzed. The HF diet significantly increased
diacylglycerols, which play a role in insulin resistance in all regions that were
analyzed. Although the HF diet increased most lipid species, the majority of
phosphatidylserine species were decreased, while lysophosphatidylserine species,
with the same acyl chain, were substantially increased. This result can be
attributed to increased oxidative stress due to the HF diet. Further, weight
cycling (yo-yo effect) was found more critical for the perturbation of brain
lipid profiles than weight gain without a preliminary experience of an HF diet.
The present study reveals systematic alterations in brain lipid levels upon HF
diet analyzed either by lipid class and molecular levels.
PMID- 29787913
TI - Modulating the degree of fucosylation of fucosylated chondroitin sulfate enhances
heparin cofactor II-dependent thrombin inhibition.
AB - Fucosylated chondroitin sulfate (FCS), an unusual glycosaminoglycan with fucose
side chains, is a promising anticoagulant agent. To assess the effect of its
structure on anticoagulant activity, its derivatives with various degrees of
fucosylation (DF), molecular weights (Mw) and sulfation patterns were prepared
and characterized. Biological tests showed that their APTT (activated partial
thromboplastin time) prolonging activity and intrinsic factor Xase complex
(factor IXa-VIIIa-Ca2+-PL complex) inhibitory activity were both reduced in FCS
derivatives with lower Mw and DF. However, FCSs with DF at least 16% resulted in
greater heparin cofactor II (HCII)-dependent thrombin inhibitory activity in
response to decreasing DF, and these activities did not depend on Mw (Mw > 5.2
kDa). Solution competition binding assay further suggested that modulating the DF
of FCS derivatives might enhance inhibition of thrombin by activating HCII. These
findings imply that FCS derivatives with suitable chain length and DF value may
be novel anticoagulants by activating HCII.
PMID- 29787914
TI - Free radical rearrangement synthesis and microbiological evaluation of novel 2
sulfoether-4-quinolone scaffolds as potential antibacterial agents.
AB - To develop novel antibacterial agents, 2-sulfoether-4-quinolone scaffolds were
synthesized by a free radical process and evaluated for their antibacterial
abilities. Excellent activities against Gram-positive bacteria were observed,
among which compounds 3m, 3n, 3p and 3t possessed the lowest MICs against both S.
aureus and B. cereus (0.8 MUM and 1.61 MUM, respectively). The structure-activity
relationship (SAR) showed that: (i) the antibacterial activity was related to the
substituent, such as 2-SCH3 = 2-SCH2CH3 > 2-S(=O)CH3 > 2-OH, 8-Br > 7-Br > 6-Br;
(ii) -CF3 increased the antibacterial activity; (iii) the di-substituted group
performed the better activity. The DNA supercoiling inhibitory analysis confirmed
their fluoroquinolone characters. The docking showed that compound 3n was nicely
bound into the DNA-gryase complex via extensive interactions, including
conventional hydrogen bonds, halogen bonds and hydrophobic interactions. The
microscopy analysis of compound 3n against S. aureus exhibited the damages on the
cell wall construction, which may facilitate the penetration into Gram-positive
bacteria.
PMID- 29787915
TI - Binding behavior of trelagliptin and human serum albumin: Molecular docking,
dynamical simulation, and multi-spectroscopy.
AB - This study aims to investigate the interaction mechanism of a hypoglycemic agent,
trelagliptin (TLP), and human serum albumin (HSA) through computer simulation and
assisted spectroscopy methods. Computer simulation including molecular docking
and molecular dynamics analysis was conducted under physiological conditions.
Molecular docking results indicate that TLP bound to HSA at site I, and the
binding behavior was mainly governed by hydrophobic force. Competitive
experiments further verified the theoretical conclusion from molecular docking.
Molecular dynamics simulation revealed that TLP indeed stably bound to site I of
HSA in the hydrophobic subdomain IIA. Moreover, TLP presented a certain effect on
the structural compactness of HSA. In molecular dynamics simulation, hydrogen
bonds appeared, which suggested the reliability and stability of the combination.
The binding energy of the stable phase is around -250 kJ/mol. Fluorescence
quenching studies and time-resolved fluorescence analysis indicated that the
evident fluorescence quenching phenomenon of HSA could be due to TLP binding
initiated by static quenching mechanism. The binding constants (Ka) of the
complex were found to be around 104 via fluorescence data, and the calculated
thermodynamic parameters indicated that hydrophobic force played major role in
the binding of TLP to HSA. Synchronous fluorescence and three-dimensional
fluorescence results demonstrated that TLP slightly disturbed the
microenvironment of amino residues. Circular dichroism spectra showed that TLP
affected the secondary structure of HSA. The theoretical and experimental results
showed excellent agreement.
PMID- 29787916
TI - Synthesis and photophysical characterization of isoindigo building blocks as
molecular acceptors for organic photovoltaics.
AB - Five isoindigo-based donor-acceptor-donor (D-A-D) type small molecules have been
synthesized in order to investigate their intramolecular charge transfer
characteristics. UV-vis absorption of these dyes exhibits a wide absorption band
ranging from 300 to 650 nm with two distinct bands, giving the narrow bandgaps
between 1.72 and 1.85 eV. Taking into account their HOMO-LUMO energy levels and
bandgaps, isoindigo dyes have been used in the active layer of organic solar cell
(OSC) devices. When these small molecule semiconductors were used as acceptors
with the donor poly(3-hexylthiophene-2,5-diyl (P3HT) polymer in the inverted OSC
devices, the highest power conversion efficiency (PCE) was obtained as 0.10% for
pyrene-substituted isoindigo derivative.
PMID- 29787917
TI - A2B corroles: Fluorescence signaling systems for sensing fluoride ions.
AB - Four free base corroles, 1-4, A2B, (where A = nitrophenyl, and B =
pentafluorophenyl, 2, 6-difluoro, 3, 4, 5-trifluoro and 4-carboxymethylphenyl
group) have been synthesized, characterized and demonstrated as excellent
chemosensor for the detection of fluoride ions selectively in toluene solution.
The reported corroles shows highest quantum yield in free base form of
porphyrinoid systems so far. All these corrole, 1-4, have the excellent ability
to sense fluoride ion. Cumulative effect of static and dynamic factors is
responsible for the quenching of fluorescence which indicates the detection of
fluoride ion in solution.
PMID- 29787918
TI - Management of adsorbent content in waste motor oil regeneration by
spectrophotometrical study and effective acidification in production of nano
porous clay.
AB - In the present work the application of novel technique was highlighted for
reduction of adsorbent content in recovery process of waste motor oil by
effective acidification. The effects of acidification factors such as acid
proportions, adsorbent dose, powder/acid ratio and residence time were analyzed
on removal of contaminates from waste oil. Acetic, hydrochloric and sulfuric
acids were mixed according to the statistical mixture design algorithm to prepare
acidification agents. The dry original clay was submitted into the prepared
acidic solutions. The designed instrumental setup allowed the preparation of nano
porous powders where the controlled factors were residence time. The distillation
of waste oil was carried out in the industrial scale. The significance of
independent variables and their interactions were tested by blending the obtained
powders with distillated oil and then the adsorption was evaluated,
spectrophotometrically. The experimental results revealed the region in which the
optimum regeneration of waste oil is obtainable. In order to well understand the
role of nano-structured material on regeneration, the adsorbents were
characterized through X-ray diffraction, Fourier transform infrared spectroscopy,
Brunauer-Emmett-Teller surface area measurement and scanning electron microscopy.
The employment of acetic acid in combination with sulfuric acid plays an
effective role in development porous structure and improvement of contaminant
adsorption. The powder produced in optimum condition contains nano-pores with
diameter about 11 nm. The employment of this technique provides a potential for
reduction of adsorbent content, 33.3 wt%. Finally, it was demonstrated that the
efficiency of prepared adsorbent supports further development for commercial
application purpose.
PMID- 29787919
TI - Optimal use of novel agents in chronic lymphocytic leukemia.
AB - Novel agents are changing therapy for patients with CLL, but their optimal use
remains unclear. We model the clinical situation in which CLL responds to
therapy, but resistant clones, generally carrying del17p, progress and lead to
relapse. Sub-clones of varying growth rates and treatment sensitivity affect
predicted therapy outcomes. We explore effects of different approaches to
starting novel agent in relation to bendamustine-rituximab induction therapy: at
initiation of therapy, at the end of chemo-immunotherapy, at molecular relapse,
or at clinical detection of relapse. The outcomes differ depending on the
underlying clonal architecture, raising the concept that personalized approaches
based on clinical evaluation of each patient's clonal architecture might optimize
outcomes while minimizing toxicity and cost.
PMID- 29787920
TI - Identification and characterization of chemosensory genes in the antennal
transcriptome of Spodoptera exigua.
AB - Chemical senses are crucial for insect behaviors such as host preference, mate
choice and oviposition site selection. Various protein families are involved in
these processes, including odorant receptors (ORs), ionotropic receptors (IRs),
gustatory receptors (GRs), chemosensory proteins (CSPs), odorant binding proteins
(OBPs) and sensory neuron membrane proteins (SNMPs). To better understand the
olfactory mechanism in Spodoptera exigua, we conducted transcriptome analysis of
adult antennae and identified a total of 157 candidate chemosensory genes
encoding 51 ORs, 20 IRs, 7 GRs, 32 CSPs, 45 OBPs and 2 SNMPs. Quantitative real
time PCR (qPCR) analysis of the tissue- and sex-specific expression profiles of
ORs, GRs and IRs revealed that these genes could be detected in at least one
tissue tested. SexiOR6, 11, 13 and 16, which were predicted to be pheromone
receptors based on phylogenetic analysis, exhibited male-specific antennae
expression. SexiOR18, 26, 28, 30, 34, 39, and 40 exhibited female-biased
expression. SexiGR1, SexiGR2 and SexiGR3, are predicted carbon dioxide receptors,
and the former was expressed specifically in antennae, and the latter two were
expressed both in antennae and labial palps. SexiIRs had diverse expression
profiles. SexiIR8a and SexiIR25a were quite conserved and expressed at high
levels. This work will greatly facilitate the understanding of olfactory system
in S. exigua and provides valuable information for further functional studies of
the chemoreception mechanism in Lepidopteran moths.
PMID- 29787921
TI - Diurnal rhythm and salivary electrolyte.
PMID- 29787922
TI - The association of panic and hyperventilation with psychogenic non-epileptic
seizures: A systematic review and meta-analysis.
AB - INTRODUCTION: Psychogenic Non-Epileptic Seizures (PNES) are events that appear
epileptic but are instead thought to have a psychological origin. Increased rates
of several psychiatric disorders have been reported in PNES, including anxiety
and panic disorders. Some theories suggest panic and/or hyperventilation have
aetiological roles in PNES, though these remain unproven. METHODS: We conducted a
systematic review of associations of panic and hyperventilation with PNES using
Ovid Medline and PubMed, and a meta-analysis where appropriate. RESULTS: We found
eighteen studies reporting rates of panic in PNES and eight studies reporting
hyperventilation. The reported rate of panic attacks in PNES ranged from 17% to
83%, with physical symptoms more commonly reported, and affective symptoms less
so. 'Dizziness or light-headedness' was found to be more prevalent than 'fear of
dying' by random-effects meta-analysis (68% vs. 23%). A proportion meta-analysis
found a weighted occurrence of 20% of panic disorder in PNES. A pooled meta
analytic rate of PNES events following voluntary hyperventilation induction was
30%, while the clinically observed rates of peri-ictal hyperventilation in PNES
without induction varied from 15 to 46%. CONCLUSIONS: Previous studies have
reported moderate rates of association of panic in PNES, though the proportions
varied considerably across the literature, with physical symptoms more commonly
reported than affective. Hyperventilation is an effective inducer of PNES events
in a minority, and can be observed occurring in a minority of patients without
induction. These results support an important, albeit not essential, role for
panic and hyperventilation in the pathogenesis of PNES events.
PMID- 29787923
TI - Epileptic spasms: Evidence for oral corticosteroids and implications for low and
middle income countries.
AB - Implementation of international guidelines for the treatment of epileptic spasms,
is challenging when access to adrenocorticotrophic hormone (ACTH) and vigabatrin
is restricted, especially in Low and Middle Income Countries (LMIC). Oral
corticosteroids are alternative interventions but evidence for the optimal agent,
dose, duration, efficacy and long-term effects is lacking. A systematic review of
the literature was performed to assess the quality of evidence of prednisone and
prednisolone (oral corticosteroids) for the management of epileptic spasms. There
is level C recommendation based on class III evidence to support the efficacy of
oral corticosteroids for the acute clinical control of epileptic spasms and EEG
resolution. Efficacy of oral corticosteroids in comparison to the internationally
recommended intervention of ACTH has class IV evidence supporting level U
recommendation. Similarly, there is no data on the risk of relapse with oral
corticosteroids (class IV, level U), compared to ACTH. There is class IV evidence
supporting level U recommendation for the safety of oral corticosteroids and
class II evidence for level B recommendation for ACTH. In terms of oral
corticosteroids and effects on long-term development there is class IV evidence
leading to level U recommendation, compared to class III evidence supporting
level C recommendation for ACTH. Randomized controlled studies are needed to
compare oral corticosteroids with ACTH, the optimal dosage and regimen as well as
the long-term neurodevelopmental outcomes. Based on the limited existing studies
a treatment guideline for LMIC is proposed which could be used to standardize
interventions permitting clarification of these unmet questions.
PMID- 29787924
TI - Sleep architecture and epileptic characteristics of drug naive patients in
childhood absence epilepsy spectrum. A prospective study.
AB - PURPOSE: Childhood absence epilepsy (CAE) is an epileptic syndrome presenting
between 2nd-10th years. The spells are elicited with hyperventilation (HV) while
sleep seems to exacerbate the electrical activity. Our aim is to describe sleep
architecture and its relationship with epileptic discharges (EDs) in patients
with CAE, before treatment and one year later. METHODS: Twenty-eight, drug-naive
children were recruited (21 girls), mean age 90.1 +/- 32.6 months. Routine-EEG
and overnight EEG-polygraphy were conducted upon diagnosis and one year later.
Patients were separated in two groups of similar mean age, according to their
clinical response at the second recording: group A: children with absolute
control of absences and group B: children with partial control. Sleep parameters,
EDs and arousals were measured. The effect of medication on sleep parameters was
examined, according to 2 groups: valproic-treated and non valproic-treated.
RESULTS: Group A showed significant improvement in total sleep time, REM-sleep
latency, REM-sleep, arousals-number/hour and arousals-duration/hour between the
two recordings. Comparing the two groups for each recording separately, group A
initially demonstrated greater epileptic activity and worse sleep parameters,
whereas in the second recording exhibited total elimination of the EDs and
significantly less arousals. Group B demonstrated persisting EDs and slight
deterioration in some sleep parameters during the second recording, despite the
lower epileptic load initially. No significant difference was identified between
valproic and non-valproic treated patients, regarding the effect on sleep
parameters. CONCLUSION: Absolute control of absences and normalization of the
electroencephalogram are accompanied by more continuous, stable and efficacious
sleep in children with CAE.
PMID- 29787925
TI - The association between perceived distress tolerance and cannabis use problems,
cannabis withdrawal symptoms, and self-efficacy for quitting cannabis: The
explanatory role of pain-related affective distress.
AB - Rates of cannabis use and related problems continue to rise, ranking as the third
most common substance use disorder in the United States, behind tobacco and
alcohol use. Past work suggests that perceived distress tolerance is related to
several clinically significant features of cannabis use (e.g., coping-oriented
use). However, there has been little exploration of the mechanisms that may
underlie relations between perceived distress tolerance and cannabis use
problems, withdrawal severity, and self-efficacy for quitting. The current study
sought to examine the experience of pain, which frequently co-occurs with
cannabis use (Ashrafioun, Bohnert, Jannausch, & Ilgen, 2015), as an underlying
factor in the relation between perceived distress tolerance and cannabis related
problems among 203 current cannabis-using adults (29.2% female, M = 37.7 years,
SD = 10.2, 63% African American). Results indicated that perceived distress
tolerance via pain related affective distress significantly predicted the
severity of cannabis use problems (Pm = 0.60), degree of cannabis withdrawal (Pm
= 0.39), and lower self-efficacy for quitting cannabis (Pm = 0.36). Future work
may usefully explore the role of pain-related affective distress as a mechanistic
factor in the context of perceived distress tolerance-cannabis relations.
PMID- 29787927
TI - Refugees' admission to mental health institutions in Norway: Is there an ethnic
density effect?
AB - Some recent European research claims that immigrants settle in urban areas with
low scores on level-of-living conditions and a high prevalence of health-risk
factors, and that these settlement patterns adversely affect their health. Other
studies question the association between immigrant segregation and area
deprivation on one hand, and negative health outcomes on the other hand, and
identify possible beneficial effects of segregation, specifically the ethnic
density effect. This paper aims to explore the possible ethnic density effect
among refugees, a sub-population that often appears relatively vulnerable
compared with immigrants in general. The data comprise 30 871 individuals, aged
20-69, with an (post-1989) officially registered refugee status from six major
countries, including Vietnam, Somalia, Iran, Iraq, Sri Lanka and Bosnia. Two
outcomes are analysed, covering the 2008-2011 period - the probability of being
admitted at least once to a mental health institution and the number of bed days
during that period. The results show that all immigrant clusters have relatively
high concentrations of negative level-of-living conditions. Despite this finding,
refugees living in clusters tend to have less use of mental healthcare services.
The results suggest that for most refugee groups, living in clusters has positive
health outcomes. Many countries use settlement policies to direct the inflow of
refugees away from immigrant-dense areas. Norway's settlement policy is no
exception, aiming at a geographic dispersal of refugees to avoid the emergence of
socially segregated urban ethnic communities. This paper discusses the relevance
of such a policy for refugees' overall integration and level-of-living
conditions.
PMID- 29787926
TI - Examining community and consumer food environments for children: An urban
suburban-rural comparison in Southwestern Ontario.
AB - The aim of this study is to evaluate how retail food environments for children in
the City of London and Middlesex County, Ontario, Canada, vary according to level
of urbanicity and level of socioeconomic distress. Urbanicity in this study is
defined as a neighbourhood's designation as urban, suburban, or rural. We
assessed community food environments (e.g., the type, location, and accessibility
of food outlets) using 800m and 1600m network buffers (school zones) around all
public and private elementary schools, and we calculated and compared density of
junk food opportunities (JFO) (e.g., fast food and full-service restaurants,
grocery stores, and convenience stores) within each school zone in urban,
suburban and rural settings. The study also assessed consumer food environments
(e.g., the price, promotion, placement, and availability of healthy options and
nutrition information) through restaurant children's menu audits using the
Children's Menu Assessment tool. Results suggest JFO density is greater around
elementary schools in areas with higher levels of socioeconomic distress and
urbanicity, while urbanicity is also associated with greater use of branded
marketing and inclusion of an unhealthy dessert on children's menus.
PMID- 29787928
TI - Principle of K+/Na+ selectivity in the active site of group II intron at various
stages of self-splicing pathway.
AB - Group II introns are ribozymes which can catalyze its own splicing and relegate
itself. They share common structural features and are evolutionarily related to
eukaryotic spliceosome. Hence, group II introns are an excellent model system for
understanding the mechanism of RNA-splicing in gene expression. Recent
advancement of structural studies has provided x-ray structures of group II
intron at different stages (Pre-hydrolytic, post-hydrolytic and free intron) of
splicing pathway and revealed heteronuclear metal ion cluster (two potassium; K1
and K2, two magnesium; M1 and M2) in the active site as common structural feature
of group II introns. It is believed that these four metal ions are very crucial
for catalysis. K+ is very important for the function and buffers containing only
Na+ can destroy the function of group II introns. Despite the available 3D
structures of different stages of self-splicing, the energetic origin of K+
selectivity over Na+ is not known. However, these structures provide sufficiently
good models for directly computing the energetics of K+ vs Na+ discrimination
that is required for understanding self-splicing. Here, we report extensive (~550
ns) of structure-based molecular dynamics free energy simulations that
quantitatively estimated K1 vs Na1 discrimination. We show that the strength of
discrimination varies along the self-splicing pathway. The free and pre
hydrolytic states are highly selective for K1 and the selectivity is lost in the
post-hydrolytic state. The simulations further reveal that Na1 in the free and
pre-hydrolytic state is trapped with an unsatisfied first coordination shell in
the active site, which is responsible for large discrimination. The Na1 in the
active site of post-hydrolytic state allow water entry and satisfy the Na1
bonding requirement, resulting in low discrimination. The results not only give
insights into the experimentally unresolved Na1 bound complexes but also provide
the link between structures and computed energetics.
PMID- 29787929
TI - Association between the ratio of serum arachidonic acid to eicosapentaenoic acid
and the presence of depressive symptoms in a general Japanese population: the
Hisayama Study.
AB - BACKGROUND: Epidemiological evidence suggests that fish consumption and intake of
n-3 polyunsaturated fatty acids (PUFA)-namely, eicosapentaenoic acid (EPA) and
docosahexaenoic acid (DHA)-confer protection against depression. However, few
studies have addressed the influence of the balance between n-3 PUFA and n-6 PUFA
in the human body on depression. METHODS: A total of 2,529 community-dwelling
Japanese residents aged >= 40 years were assessed for depressive symptoms
(defined as a score of 16 points or more on the Center for Epidemiologic Studies
Depression Scale [CES-D]) in 2007. The serum arachidonic acid (AA) /EPA ratio and
AA/DHA ratio were measured in frozen samples collected in 2002 and categorized
into quartiles. The odds ratios (ORs) for the presence of depressive symptoms
were calculated using a logistic regression model. RESULTS: The prevalence of
depressive symptoms was 4.3%. There was no significant association between either
the serum AA/EPA ratio or AA/DHA ratio and the presence of depressive symptoms.
However, subjects with the highest serum AA/EPA ratios (range: 3.28-13.3) had a
4.10 times (95%CI: 1.13-19.80) greater OR for the presence of depressive symptoms
than those with the lowest ratios (0.30-1.65) after adjusting for confounding
factors in the subgroup with high-sensitivity C-reactive protein (hs-CRP) >= 1.0
mg/L, while no clear association was observed in the subgroup with hs-CRP < 1.0
mg/L. LIMITATIONS: Reverse causality is possible due to the cross-sectional study
design. CONCLUSIONS: Our findings suggest that a higher serum AA/EPA ratio is
associated with a greater likelihood of depressive symptoms in subjects with
systemic inflammation in the general Japanese population.
PMID- 29787930
TI - Fibro-osseous pseudotumor of digits - Expanding the spectrum of clonal transient
neoplasms harboring USP6 rearrangement.
AB - Fibro-osseous pseudotumors of the digits (FOPD) is a rare self-limiting lesion
composed of bland looking hypercellular fibrous tissue and bone. USP6
rearrangement is a consistent genetic finding in aneurysmal bone cyst, nodular
fasciitis, myositis ossificans and giant cell lesions of small bones. We report
herein the occurrence of USP6 rearrangement in fibro-osseous pseudotumors of the
digits using fluorescence in situ hybridization analysis (FISH). Of the five
patients included, three were female and two were male. The age ranged from 33 to
72 years (mean 48 years). Lesions arose in the palm (n = 2), thenar (n = 1),
middle finger (n = 1) and great toe (n = 1). All patients underwent resection.
Four cases (80%) harbored USP6 rearrangements showing that fibro-osseous
pseudotumors of digits belongs to the spectrum of clonal transient neoplasms
including aneurysmal bone cyst, nodular fasciitis, myositis ossificans and giant
cell lesion of small bones.
PMID- 29787931
TI - Prognostic impact of tumor-stroma ratio in oral squamous cell carcinoma - A pilot
study.
AB - BACKGROUND: Several prognostic indicators have been used for many decades in an
attempt to predict clinical behaviour of Oral Squamous Cell Carcinoma (OSCC). The
prognostic value of TSR is yet to be explored in OSCC. Hence, the aim of the
present study was to evaluate the prognostic value of TSR in OSCC patients.
METHODOLOGY: A cohort of 60 histologically diagnosed cases of OSCC who underwent
Radical Neck Dissection was included in the study. TSR was assessed and patients
with >50% intratumor stroma were quantified as the stroma-poor group and those
with <50% as the stroma-rich group. RESULTS: The parametric tests were performed
for the statistical evaluation of TSR with the clinico-pathological variables and
the survival. The 3-year overall survival (OS) and disease-free survival (DFS)
rates were 95.23% and 69.04%, respectively, in stroma-poor group and 77% and 44%,
respectively in the stroma-rich group. CONCLUSION: TSR may serve as a reliable
histologic prognostic indicator in OSCC and could be used in routine diagnostic
pathology.
PMID- 29787932
TI - Initial data on adsorption of Cs and Sr to the surfaces of microplastics with
biofilm.
AB - The adsorption of radiocesium and radiostrontium onto a range of natural
materials has been well quantified, but not for the new media of environmental
plastics, which may have enhanced adsorption due to surface-weathering and
development of biofilms. Microplastic samples were deployed in freshwater,
estuarine and marine conditions, then characterised using infrared spectroscopy
to document changes to the plastic surface (vs interior). Synchrotron elemental
mapping data revealed surfaces that were well-covered by accumulation of reactive
water solutes and sulphur, but, in contrast, had highly discrete coverage of
elements such as Fe and Ti, indicating adhered mineral/clay-associated
agglomerates that may increase overall adsorption capacity. Plastics that had
been deployed for nearly five months adsorbed radionuclides in both freshwater
and estuarine conditions with the highest Kd for cesium (Cs) in freshwater (80 ml
g-1) and lowest for strontium (Sr) in estuarine conditions (5 ml g-1). The degree
of Cs and Sr adsorption onto plastics appears to be approximately 2-3 orders of
magnitude lower than for sediment reference values. While lower than for
sediments, adsorption occurred on all samples and may indicate a significant
radionuclide reservoir, given that plastics are relatively buoyant and mobile in
water regimes, and are increasing in global aquatic systems.
PMID- 29787933
TI - THD-Tricluster: A robust triclustering technique and its application in condition
specific change analysis in HIV-1 progression data.
AB - Developing a cost-effective and robust triclustering algorithm that can identify
triclusters of high biological significance in the gene-sample-time (GST) domain
is a challenging task. Most existing triclustering algorithms can detect shifting
and scaling patterns in isolation, they are not able to handle co-occurring
shifting-and-scaling patterns. This paper makes an attempt to address this issue.
It introduces a robust triclustering algorithm called THD-Tricluster to identify
triclusters over the GST domain. In addition to applying over several benchmark
datasets for its validation, the proposed THD-Tricluster algorithm was applied on
HIV-1 progression data to identify disease-specific genes. THD-Tricluster could
identify 38 most responsible genes for the deadly disease which includes GATA3,
EGR1, JUN, ELF1, AGFG1, AGFG2, CX3CR1, CXCL12, CCR5, CCR2, and many others. The
results are validated using GeneCard and other established results.
PMID- 29787935
TI - Functional characterization of the eugenol synthase gene (RcEGS1) in rose.
AB - The floral volatile compound eugenol is an important constituent in many aromatic
plants, being a floral attractant for pollinators as well as having antimicrobial
activity. Rose flowers emit eugenol and its derivatives. We recently reported a
eugenol synthase gene (RcEGS1) (JQ522949) that was present in petals of R.
chinensis cv. Old Blush. RcEGS1 has its highest expression levels in the petals
compared to other tissues; it has higher transcript levels at the developmental
blooming stage and lower levels at budding and senescence stages. Here, we
overexpressed the RcEGS1 protein in Escherichia coli, and showed by Western-blot
analysis that its expression was mainly detected in stamens and petals at the
flower opening stage. RcEGS1 was principally localized in the upper and lower
epidermal layers, which are the major sites of scent emission in roses.
Furthermore, we demonstrated that down-regulation of RcEGS1 expression in flowers
by virus-induced gene silencing led to a reduction of the relative content of
eugenol. We suggested that RcEGS1 was responsible for eugenol biosynthesis in
roses.
PMID- 29787936
TI - Dynamic remobilization of leaf nitrogen components in relation to photosynthetic
rate during grain filling in maize.
AB - Remobilization of leaf nitrogen (N) contributes greatly to grain N in maize, but
leads to low photosynthetic rate (Pn). Pn is determined by various N components
involving in light harvest and CO2 reduction. However, it is less clear which N
component is the major contributor for the reduction of photosynthesis in modern
stay-green maize hybrids. In this study, we analyzed the relationship between
remobilization of different N components and Pn during grain filling stage under
low N (no N application) and high N (180 kg N ha-1) in a field experiment. The
remobilization efficiency of photosynthetic enzymes (PEPc, PPDK and Rubisco) in
the leaf was much higher than that of thylakoid N and other N components. Low N
supply increased the remobilization efficiency of all the leaf N components.
During grain filling stage, the amount of all the N components decreased together
with Pn. The ratio of Pn to the N in the PEPc, PPDK and Rubisco kept increase in
the whole grain filling stage, while the ratio of Pn to chlorophyll and thylakoid
N decreased. Correlation analysis indicated that Pn was more related to the
content of photosynthetic enzymes than to chlorophyll and thylakoid N. It is
concluded that photosynthetic enzymes serve as an N storage reservoir at early
grain filling stage and their degradation is critical in the reduction of Pn
during later grain filling stage. Future breeding targets may be focused on
enhancing the efficiency of photosynthetic enzymes during late grain filling
stage.
PMID- 29787934
TI - How (not) to interpret a non-causal association in sports injury science.
AB - OBJECTIVE: To discuss the interpretability of non-causal associations to sports
injury development exemplified via the relationship between navicular drop (ND)
and running-related injury (RRI) in novice runners using neutral shoes. DESIGN: 1
year prospective cohort study. SETTING: Denmark. PARTICIPANTS: 926 novice
runners, representing 1852 feet, were included. MAIN OUTCOME MEASURE: The outcome
was "a musculoskeletal complaint of the lower extremity or back caused by
running, which restricted the amount of running for at least a week". RESULTS:
Fewer feet with small ND than those feet with a reference ND sustained injuries
at 50 (risk difference (RD) = -4.1% [95%CI = -7.9%;-0.4%]) and 100 km (RD = -5.3%
[95%CI = -9.9%;-0.7%]). Similarly, fewer feet with a large ND sustained injuries
than the feet with a reference drop at 250 (RD = -7.6% [95%CI = -14.9%;-0.3%])
and 500 km (RD = -9.8% [95%CI = -19.1%;-0.4%]). CONCLUSION: Non-causal
associations can help to identify sub-groups of athletes at an increased or
decreased risk of sports injury. Based on the current results, those with a small
or large navicular drop sustain fewer injuries than those with a reference drop.
Importantly, navicular drop does not cause RRIs, but influences the relationship
between training load and RRI. This illustrates that non-causal associations are
unsuitable to respond to the question: Why do sports injury develop?
PMID- 29787937
TI - Can working memory training improve children's sleep?
AB - BACKGROUND: Improving children's sleep could lead to significant benefits in
several functional domains. Recent research in adults suggests that intensive,
adaptive cognitive training may be beneficial in improving sleep, although there
is limited understanding whether this approach yields similar results in
children. OBJECTIVE: To determine whether a working memory training program
improved sleep latency, sleep problems, and sleep duration on school and
nonschool nights and whether there was a differential effect of the timing of
training during the school day on sleep outcomes. DESIGN/METHODS: Design:
Population-based randomised controlled trial. SETTING: Forty-four schools in
Melbourne, Australia. PARTICIPANTS: All Grade 1 children (mean age = 6.9 years,
SD 0.4) underwent WM screening using two subtests from the Automated Working
Memory Assessment. Children with low verbal and/or visuo-spatial WM scores
relative to their peers ('low WM', ~25%) were randomised to intervention or
control arms. INTERVENTION: 20 to 25 computerised 25-min training sessions were
conducted using the CogMed program, over 5-7 weeks at school. OUTCOMES: Parent
reported child sleep characteristics (time, latency, duration and problem) at 6
months post randomisation. RESULTS: A total of 452 (26.0%) of 1723 children
screened (64.1% of approached) met trial eligibility criteria, with 226 in each
study arm. Of intervention children, 91% completed the minimum 20 days of
training. Retention was 90.5% at 6 months. Adjusted regressions showed that
intervention children did not have better sleep latency, duration, bedtime
consistency or less sleep problems. CONCLUSION: It does not appear that adaptive
working memory training during the school day can be used as a novel approach to
improve children's sleep attributes up to 6 months post-randomisation, regardless
of the time of day training is delivered.
PMID- 29787938
TI - Historical overview of lymphangiogenesis.
AB - The investigation of the lymphatic system has a very long and intriguing history,
with several medical figures which brought important contributions. Work on the
lymphatic system began in the 17th century, and by the beginning of the 19th
century the anatomy of most of the lymphatic system had been described. This
system is an essential component of the immune system, as well as vital to the
maintenance of fluid homeostasis within the body. In this review article, I
summarize the most important contributions to this field, until to the discovery
of a specific lymphangiogenic factor, namely vascular endothelial growth factor-c
(VEGF-C) (summarizing figure).
PMID- 29787939
TI - DigBody(r): A new 3D modeling tool for nasal virtual surgery.
AB - BACKGROUND AND PURPOSE: Recent studies have demonstrated that a significant
number of surgical procedures for nasal airway obstruction (NAO) have a high rate
of surgical failure. In part, this problem is due to the lack of reliable
objective clinical parameters to aid surgeons during preoperative planning.
Modeling tools that allow virtual surgery to be performed do exist, but all
require direct manipulation of computed tomography (CT) or magnetic resonance
imaging (MRI) data. Specialists in Rhinology have criticized these tools for
their complex user interface, and have requested more intuitive, user-friendly
and powerful software to make virtual surgery more accessible and realistic. In
this paper we present a new virtual surgery software tool, DigBody(r). METHODS:
This new surgery module is integrated into the computational fluid dynamics (CFD)
program MeComLand(r), which was developed exclusively to analyze nasal airflow.
DigBody(r) works directly with a 3D nasal model that mimics real surgery.
Furthermore, this surgery module permits direct assessment of the operated cavity
following virtual surgery by CFD simulation. RESULTS: The effectiveness of
DigBody(r) has been demonstrated by real surgery on two patients based on prior
virtual operation results. Both subjects experienced excellent surgical outcomes
with no residual nasal obstruction. CONCLUSIONS: This tool has great potential to
aid surgeons in modeling potential surgical maneuvers, minimizing complications,
and being confident that patients will receive optimal postoperative outcomes,
validated by personalized CFD testing.
PMID- 29787940
TI - Survey on deep learning for radiotherapy.
AB - More than 50% of cancer patients are treated with radiotherapy, either
exclusively or in combination with other methods. The planning and delivery of
radiotherapy treatment is a complex process, but can now be greatly facilitated
by artificial intelligence technology. Deep learning is the fastest-growing field
in artificial intelligence and has been successfully used in recent years in many
domains, including medicine. In this article, we first explain the concept of
deep learning, addressing it in the broader context of machine learning. The most
common network architectures are presented, with a more specific focus on
convolutional neural networks. We then present a review of the published works on
deep learning methods that can be applied to radiotherapy, which are classified
into seven categories related to the patient workflow, and can provide some
insights of potential future applications. We have attempted to make this paper
accessible to both radiotherapy and deep learning communities, and hope that it
will inspire new collaborations between these two communities to develop
dedicated radiotherapy applications.
PMID- 29787941
TI - Effect of egg yolk plasma and soybean lecithin on rooster frozen-thawed sperm
quality and fertility.
AB - This experiment was conducted to study the effects of egg yolk plasma (10%, 15%
and 20%), soybean lecithin (0.5%, 1% and 1.5%) and whole egg yolk (WEY) (control)
on post-thawed sperm quality, hatchability and fertility outcomes. In experiment
1, sperm motility, abnormalities, membrane integrity, viability, apoptosis
status, mitochondrial activity were studied following freeze-thawing. The best
quality of frozen-thawed rooster sperm was chosen to be used for the assessment
of the hatchability and fertility rate in experiment 2. The significantly higher
percentages of post-thawing sperm total and progressive sperm motilities,
membrane integrity, viability were observed in 1% soybean lecithin and 20% egg
yolk plasma in comparison with 0.5 and 1% soybean lecithin, 10% egg yolk plasma
and control, except for 15% egg yolk plasma (P < 0.05). Using 20% egg yolk plasma
in the extender improved mitochondrial activity. Supplementation of 1% soybean
lecithin and 20% egg yolk plasma into the extender resulted in the least
percentages of dead sperm (P < 0.05). Sperm abnormalities and early apoptosis did
not differ in various extender supplementations. In experiment 2, higher
percentages of hatchability and fertility rate were observed in semen containing
1% soybean lecithin and 20% egg yolk plasma compared with the WEY group. The
results showed that supplementation of the rooster sperm extender with 1% soybean
lecithin and 20% egg yolk plasma resulted in higher quality of frozen-thawed
sperm.
PMID- 29787942
TI - Thrombotic and hemorrhagic complications in children and young adult recipients
of Hematopoietic Stem Cell Transplant (HSCT).
AB - BACKGROUND: Overall incidence of hemostatic complications in pediatric recipients
of Hematopoietic Stem Cell Transplant (HSCT) is scarcely studied. This
retrospective review explored the incidence and underlying risk factors of
bleeding and thrombotic complications in children. PROCEDURE: Clinical
characteristics, hemorrhagic events (HE), thrombotic events (TE) and follow up
data were abstracted from medical records on patients aged <21 years undergoing
HSCT during January 2000-June 2015. RESULTS: From start of conditioning until
last follow up, 238 pediatric patients were reviewed during this study. There
were 16 symptomatic thrombotic complications in 15 patients, along with 13 major
bleeding events. Incidence of HE or TE was higher in allogeneic HSCT compared to
autologous HSCT (p = 0.02). Severe thrombocytopenia could not be identified as a
major contributor to bleeding. All patients with HE had platelets between 20,000
50,000 * 109/L, except one patient, who had platelets <20,000 * 109/L. All
patients with hemorrhagic cystitis (n = 7) had received cyclophosphamide (Cy).
For patients with sinusoidal obstruction syndrome, conditioning included either
busulfan (Bu)/Cy (n = 5), Cy with total body irradiation (n = 4), or thiotepa (n
= 2). Among allogeneic HSCT recipients, 60% of HE and 92% with TE had underlying
myeloid neoplasms. Graft versus Host disease contributed to both types of
complications (p = 0.07), although not reaching statistical significance.
CONCLUSIONS: Allogeneic pediatric HSCT patients had higher overall risk of
hemorrhagic or thrombotic complications compared to autologous recipients in this
study. HSCT for myeloid malignancies was a risk factor for higher complications.
PMID- 29787943
TI - Venous thromboembolism with EGFR monoclonal antibody necitumumab in stage IV non
small cell lung cancer: A retrospective cohort analysis.
AB - INTRODUCTION: Metastatic non-small cell lung cancer (NSCLC) is a recognized risk
factor for VTE. Some systemic treatments may increase this risk further. Here, we
present the risk of VTE and its prognostic significance for patients treated with
chemotherapy (chemo) and the EGFR monoclonal antibody necitumumab (neci) for
metastatic NSCLC. METHODS: Four trials of 1st-line treatment for Stage IV NSCLC
were analyzed: two randomized phase 3 studies of cisplatin/gemcitabine +/-neci in
squamous NSCLC (SQUIRE: N = 1079) and cisplatin/pemetrexed +/-neci in non
squamous NSCLC (INSPIRE: N = 616); JFCL (N = 161), a randomized phase 2 trial of
carboplatin/paclitaxel +/-neci in squamous NSCLC; and JFCK (N = 61), a single arm
phase 2 trial of cisplatin/gemcitabine +neci in squamous NSCLC. A Cox
proportional hazards model with VTE as a time-dependent covariate was used for
overall survival (OS) analyses. RESULTS: Neci + chemo was associated with an
increased risk of VTE (Relative Risk [RR]: 1.579; 95% CI: 1.155-2.158). History
of VTE (RR: 1.899; 95% CI: 1.142-3.156) and prior cardiac/cardiovascular events
(RR: 1.514; 95% CI: 1.102-2.082) were associated with increased risk of VTE.
Decreased VTE risk was seen with: male sex (RR: 0.696; 95% CI: 0.502-0.964),
eastern European geographic region (RR: 0.387; 95% CI: 0.267-0.562) and squamous
cell pathology (RR: 0.653; 95% CI: 0.483-0.883). VTE occurrence showed no
association with OS (HR: 1.121; 95% CI: 0.930-1.351). CONCLUSION: Our data
suggest that certain patient characteristics such as prior history of VTE and non
squamous histology might be associated with an increased risk of on-treatment VTE
in NSCLC, although in this study, overall survival was not affected. Further
studies to develop measures for identifying high-risk patients are needed to
inform treatment decisions as well as VTE management and prophylaxis.
PMID- 29787944
TI - Statin exposure and thrombosis risk in patients with myeloproliferative
neoplasms.
PMID- 29787945
TI - Sex-specific age threshold for increased risk of retinal vein occlusion in
Koreans.
AB - PURPOSE: Retinal vein occlusion (RVO) is one of most common retinal vascular
disorders. It can lead to visual impairment and subsequent socioeconomic loss
among the working population. RVO peak incidence is known to occur in the fifth
and sixth decades of life, and is more predominant in males. To date, there has
been no consensus regarding the optimal screening age for individuals at high
risk for RVO, or whether sex-dependent cut-offs may be appropriate. Therefore,
the purpose of this study was to predict the sex-specific age threshold for
increased risk of RVO in Koreans. METHODS: The analysis was based on data from
the Korean National Health Insurance Database from 2013. Patients diagnosed with
new cases of RVO from January 2013 to December 2013 were included. Individuals
younger than 20 years of age and those with other retinal diseases were excluded.
Multivariate logistic regression analysis and odds ratios with 95% confidence
intervals were conducted to identify the age-related risk factors for RVO. A
receiver operating characteristic (ROC) curve for RVO incidence was constructed.
RESULTS: Among the 754,749 individuals included in the study, 623 (0.08%)
developed RVO. The optimal cut-off age for increased risk of RVO was 54 years
overall, (sensitivity 0.78, specificity 0.70), 48 years in males (sensitivity
0.90, specificity 0.58), and 54 years in females (sensitivity 0.80, specificity
0.68). The incidence of RVO in females over 50 years of age was 3 times higher
than that in females under the age of 50 after adjusting for confounding factors,
and these differences tended to increase with age. The area under the ROC curve
was 0.80 (95% CI; 0.79-0.82) overall, 0.81 (95% CI; 0.79-0.83) in males, and 0.79
(95% CI; 0.78-0.81) in females. CONCLUSION: RVO incidence was higher in females,
and increased more rapidly with age. The incidence of RVO more than doubled after
50 years of age for both sexes after adjusting for confounding factors. Females
had an older age cut-off for RVO than males. However, the clinical impact of the
use of sex-specific age cut-offs for RVO would benefit from additional validation
from further studies.
PMID- 29787946
TI - Environmentally durable superhydrophobic surfaces with robust photocatalytic self
cleaning and self-healing properties prepared via versatile film deposition
methods.
AB - Superhydrophobic (SH) surfaces with self-cleaning photocatalytic properties have
become an important research focus in recent years. In this work, we fabricated
multifunctional and environmentally durable SH surfaces via a facile one-step
reaction of octadecyl isocyanate (ODI) with TiO2 particles. The resulting films
possess SH properties, facilitated by a combination of hydrophobic long alkyl
chains and the hierarchical crystalline structure. Films can be prepared via
spray or blade coating on a variety of hard and soft substrates, and function
well when exposed to either air or oil. The coating retains its SH properties for
at least 6 months in ambient conditions, and after organic pollution it can
recover its SH properties using UV or sun light illumination. After water
impalement, the SH properties can self-heal via the self-assembly of long alkyl
chains to their original state within several hours at ambient conditions, or
within minutes on a heating stage. The covalent bonds between alkyl chains and
TiO2, together with hydrogen bonds between adjacent alkyl chains, greatly
increased the surface durability of the SH films. This multifunctional SH coating
is a very promising material for commercial and industrial coating applications.
PMID- 29787947
TI - Supramolecular proteinaceous biofilms as trapping sponges for biologic water
treatment and durable catalysis.
AB - Inspired by the bacterial biofilms and chorions of living organisms which are
made by proteinaceous assemblies and functional for multi-applications, various
artificial protein fibrils-based nanoporous films are developed, and show their
potential applications in multiple fields. Here, a simple and environmental
friendly method was identified to produce bovine serum albumin (BSA) nanofibrils
based biofilms, through a combination of protein fibrillation and reverse
dialysis. BSA nanofibrils formed biofilms through intermolecular interactions,
the resultant biofilms showed tunable thickness by altering the initial protein
amount, good stability in organic and salty solvents, transparency and
fluorescence properties, hold high capacity of trapping different substances
(e.g. nanomaterials, organic dyes, heavy-metal ions and enzymes), and further
enabled applications in biologic water treatment and enzyme stabilization. Taken
o-phenylenediamine as substrate, the trapped horseradish peroxidase showed a
catalytic activity 9-38 folds higher than free ones in organic phase, together
with enhanced stability. These protein nanofibrils-based films offered an
attractive biologic platform to hybridize diverse materials for on-demand
functions and applications.
PMID- 29787948
TI - Preparation and application of a molecular capture for safety detection of
cosmetics based on surface imprinting and multi-walled carbon nanotubes.
AB - A novel composite material for prednisone molecular capture (PS-MC) was prepared
by surface imprinting technique in combination with a polyethylene filter plate
coated with multi-walled carbon nanotubes for the first time. PS-MC was achieved
by using prednisone as the template molecule, 3-aminopropyltriethoxysilane as the
monomer, and tetraethoxysilane as the cross-linker. The structure, morphology,
and thermal stability of the prepared PS-MC were studied by fourier-transform
infrared spectrometry, field emission scanning electron microscopy, energy
dispersive X-ray spectroscopy, and thermogravimetric analysis. PS-MC was assessed
by re-binding experiments such as adsorption kinetics, adsorption isotherms,
molecular identification, and applied to the separation and enrichment of
prednisone in cosmetics. The results indicated that PS-MC has rapid binding
kinetic, high adsorption capacity, and favorable reusability. The imprinted
materials were coupled with HPLC to selectively separation, purification, and
detection of prednisone from spiked cosmetic samples. The recoveries of spiked
cosmetic samples were in the range of 83.0-106.0%, with relative standard
deviations of less than 2.10%, and the limit of detection of 5 ng/mL (S/N = 3).
PMID- 29787949
TI - Liquid-phase laser ablation synthesis of graphene quantum dots from carbon nano
onions: Comparison with chemical oxidation.
AB - Graphene quantum dots (GQDs) have been synthesized reproducibly by chemical
oxidation (CO) of carbon nano-onions (nCNOs) and a one-step pulsed laser ablation
(LA) of nCNOs in deionized water. The photoluminescence (PL) spectra show that
the LA-GQDs have blue shifted emission relative to the CO-GQDs which is
attributed to the effects of both particle sizes and surface functional groups.
The CO-GQDs have an average diameter of 4.1(8) nm and a thickness corresponding
to two or three graphene layers, while the LA-GQDs have an average diameter of
1.8(6) nm and a thickness comparable to a single layer of graphene. The CO-GQDs
favor the presence of carboxylic groups and have a higher fraction of sp2
carbons, while the LA-GQDs prefer the presence of hydroxyl groups and have a
higher fraction of sp3 carbons. PL lifetime data suggests that surface functional
groups are the main source of radiative deactivation and the sp2 carbon domains
are mainly responsible for non-radiative decay. PL lifetimes are measured to be
7.9(6) ns for the emission from the carboxylic groups and 3.18(10) ns from the
hydroxyl groups. Compared to CO, liquid-phase LA is a faster and cleaner one-step
method for producing GQDs with fewer starting chemicals and byproducts.
PMID- 29787950
TI - Macroporous silica nanoparticles for delivering Bcl2-function converting peptide
to treat multidrug resistant-cancer cells.
AB - The abundance of B cell lymphoma gene 2 (Bcl-2) is closely correlated with the
resistance of cancer cells to chemotherapeutic agents, and a peptide derived from
orphan nuclear receptor Nur77 can convert Bcl-2 from a protector to a killer of
cancer cells. However, successful application of the Bcl-2-converting peptide to
treat drug-resistant cancer cells depends on an efficient delivery carrier.
Mesoporous silica nanoparticles (MSNs) have been extensively studied as promising
candidates for small molecule drug delivery. However, the effective encapsulation
and intracellular delivery of peptides using small pore-sized MSNs still remain a
great technical challenge. In this paper, an effective delivery platform for Bcl
2-converting peptide was fabricated by us to treat multidrug resistant-cancer
cells via tuning the surface functionality of macroporous silica nanoparticles.
The resulting large-sized pore silica nanoparticles, especially those modified
with thiol group, exhibited the high Bcl-2-converting peptide-loading efficiency
of over 40%. Moreover, the peptide induced MCF7/DOX cells into apoptotic status
by penetrating cytomembrane into mitochondria and being bound with Bcl-2 to
expose the BH3 domain with the aid of various surface functionalities-decorated
MSNs. In particular, amine-modified surface of MSNs caused the greater influence
on the cell apoptosis-inducing effects of peptide in comparison with other
functionalities-modified ones. Taken together, our study, for the first time,
demonstrates a special approach towards pore size and surface functionality
collectively modulated silica-based nanostructural material for effective
delivery of bio-macromolecules (e.g., Bcl-2-converting peptide) to treat the
multidrug resistant-cancer cells with elevated Bcl-2 levels.
PMID- 29787951
TI - Glutathione-triggered dual release of doxorubicin and camptothecin for highly
efficient synergistic anticancer therapy.
AB - An amphiphilic biodegradable prodrug (PLG-g-mPEG/CPT) was synthesized by
conjugating disulfide-containing camptothecin (CPT) to poly(L-glutamic acid)
graft-methoxy poly(ethylene glycol) (PLG-g-mPEG) through esterification reaction.
The amphiphilic prodrugs could self-assemble into micellar nanoparticles and
encapsulate doxorubicin (DOX) in aqueous solution at pH 7.4. The treatment of the
nanoparticles with reducing glutathione (GSH) at cytosolic concentration (10 mM)
significantly promoted the in vitro dual release of DOX and CPT from the
micelles. The results of flow cytometry (FCM) and confocal laser scanning
microscopy (CLSM) manifested that the intracellular release of DOX and CPT from
the micelles was enhanced by increasing the intracellular GSH level.
Consistently, the MCF-7 cell killing mediated by the micelles was also
intracellular GSH concentration-dependent. The low combination index (CI) value
of < 0.3 demonstrated the high synergistic effect of DOX and CPT co-delivered by
the nanoparticles in tumor cell killing. Therefore, this GSH-triggered dual
release drug delivery system is a promising strategy for combination cancer
therapy.
PMID- 29787952
TI - Effects of partially saturated conditions on the metabolically active microbiome
and on nitrogen removal in vertical subsurface flow constructed wetlands.
AB - Nitrogen dynamics and its association to metabolically active microbial
populations were assessed in two vertical subsurface vertical flow (VF) wetlands
treating urban wastewater. These VF wetlands were operated in parallel with
unsaturated (UVF) and partially saturated (SVF) configurations. The SVF wetland
exhibited almost 2-fold higher total nitrogen removal rate (5 g TN m-2 d-1) in
relation to the UVF wetland (3 g TN m-2 d-1), as well as a low NOx-N accumulation
(1 mg L-1 vs. 26 mg L-1 in SVF and UVF wetland effluents, respectively). After 6
months of operation, ammonia oxidizing prokaryotes (AOP) and nitrite oxidizing
bacteria (NOB) displayed an important role in both wetlands. Oxygen availability
and ammonia limiting conditions promoted shifts on the metabolically active
nitrifying community within 'nitrification aggregates' of wetland biofilms.
Ammonia oxidizing archaea (AOA) and Nitrospira spp. overcame ammonia oxidizing
bacteria (AOB) in the oxic layers of both wetlands. Microbial quantitative and
diversity assessments revealed a positive correlation between Nitrobacter and
AOA, whereas Nitrospira resulted negatively correlated with Nitrobacter and AOB
populations. The denitrifying gene expression was enhanced mainly in the bottom
layer of the SVF wetland, in concomitance with the depletion of NOx-N from
wastewater. Functional gene expression of nitrifying and denitrifying populations
combined with the active microbiome diversity brought new insights on the
microbial nitrogen-cycling occurring within VF wetland biofilms under different
operational conditions.
PMID- 29787954
TI - The effectiveness of a cognitive training program in people with mild cognitive
impairment: A study in urban community.
AB - OBJECTIVE: To assess the effectiveness of a cognitive training program on global
cognition among people with mild cognitive impairment. METHODS: In this
experimental study, using purposive sampling, 60 participants age 50 years and
over who complained of subjective memory impairment were screened in their
communities by public health volunteers with the Abbreviated Mental Test. Those
with dementia were excluded as well as those with depression, which were screened
out by the Thai Geriatric Depression Scale (TGDS-15). Mild cognitive impairment
was diagnosed and confirmed by the Montreal Cognitive Assessment (MoCA) and joint
agreement between a psychiatrist and a neurologist. The participants were
alternately assigned to receive a cognitive training program (intervention group)
while the other half received their normal usual therapy (control group). The
program involved training of 4 aspects of cognition through 6 sessions; 2
sessions per month for 3 months. The MoCA and TGDS-15 scales were given at
baseline and again at week 13, and at months 6 and 9. Independent t-tests were
used to compare changes in global cognition among the two groups. RESULTS: MoCA
scores at 9 months were significantly higher than at baseline in both groups.
However, the mean difference in intervention group was significantly higher than
control group. TGDS-15 scores at 6 months was significantly lower than at
baseline among the intervention group but not the control group. CONCLUSION: This
cognitive training program helped to improve global cognition and reduce
depressive symptoms.
PMID- 29787953
TI - Public perceptions toward mental illness in Japan.
AB - AIM: The purpose was to characterize public perceptions in Japan of mental
illness and how they related to stigma-related attitudes for the same. METHODS:
Data were obtained using a vignette survey conducted as a part of the Stigma in
Global Context - Mental Health Study and contained a nationally representative
sample (n = 994). The survey was conducted using a multi-mode approach (face-to
face interviews, the drop-off-and-pick-up, postal collection) from September to
December 2006, with a multi-stage probability sample of Japanese residents aged
18-64 years. Respondents were randomly assigned one of four vignette conditions
that described psychiatric disorders meeting the diagnostic criteria for
schizophrenia and major depressive disorder (one vignette for each gender
exhibiting each diagnosis). We compared respondents' stigma-related attitudes and
perceptions toward mental illness between vignettes. RESULTS: Over 80% of
Japanese participants believed that depressive disorder or schizophrenia could be
cured via treatment. However, Japanese people still had relatively strong
vigilance and denial of competency toward schizophrenia. CONCLUSIONS:
Participants expressed the belief that mental illnesses are curable, but stigma
toward people with schizophrenia was still relatively strong.
PMID- 29787955
TI - Lower P300 amplitudes for internally-generated events in patients with
schizophrenia.
AB - BACKGROUND: Patients with schizophrenia demonstrate difficulty differentiating
internally-generated from externally-generated events. An excessive deployment of
attention to external events as well as poor processing of self-generated events
has been proposed to explain this misattribution. This study was done to
understand the neurophysiological basis of source monitoring bias in
schizophrenia. METHODS: Seventeen patients and fourteen healthy controls
completed a two-stage source monitoring task involving solving a three-letter
jumbled word either by the participant or a computer following which they had to
identify the correct source of the word - solved by self (internal source
monitoring, ISM) or by computer (external source monitoring, ESM) - while EEG was
recorded. P300 amplitude and latency were computed separately for ISM and ESM
conditions. RESULTS: P300 amplitude for ISM condition was lower in patients with
schizophrenia compared to controls over right and left parietal regions.
Additionally, P300 amplitude for ISM condition was lower compared to ESM
condition in patients over right and left parietal regions. However, P300
amplitude for ESM condition did not differ significantly between the groups. In
contrast to P300 amplitude, there was no significant difference in P300 latency
in ISM or ESM condition within or between the groups. CONCLUSION: P300 amplitude
was lower for internally-generated but not externally-generated events in
patients with schizophrenia. These findings suggest that patients allocate less
attentional resources to internally generated events resulting in poorer
processing and consequent misattribution of their source of origin. This study
provides the first specific electrophysiological evidence of impaired source
monitoring in schizophrenia.
PMID- 29787956
TI - Gender, self-rated health and functional decline among community-dwelling older
adults.
AB - OBJECTIVE: This paper examines the association between self-rated health (SRH)
and functional decline (FD) in older Ghanaian cohorts and investigates whether
the effect differs by gender and also modified by marital status. METHODS: The
study used cross-sectional survey data (N = 1200) from an Aging, Health,
Psychological Wellbeing and Health-seeking Behavior Study (AHPWHB) study
conducted in between August 2016 and January 2017. A four-level gendered
stratified logit modeling estimated the SRH-FD association and the interaction
terms. RESULTS: Overall, 23% of male respondents and 34% of women revealed
significant FD (p < 0.001). The fully-adjusted model showed that SRH status was a
strong predictor of FD across genders but the effect was most pronounced among
men. Compared with excellent/very good SRH, fair and poor SRH (beta = 0.160; p <
0.05) and (beta = 1.700; p < 0.001) for women and (beta = 2.202; p < 0.001) and
(beta= 2.356; p < 0.001) for men respectively were significantly associated with
increased FD. However, good (beta = - 1.760; p < 0.001), fair (beta = - 2.800; p
< 0.001) and poor SRH (beta = -2.088; p < 0.001) decreased FD if an older woman
was married compared with unmarried women with excellent/very good SRH.
CONCLUSION: The strength of SRH-FDs association largely differed with gender and
also moderated by marital status for women. Improving the SRH and marital quality
could be protective of functional abilities, independence and quality of life for
older people.
PMID- 29787957
TI - Prolonged performance-related neuroendocrine activation and perseverative
cognition in low- and high-anxious university music students.
AB - Music performances are social-evaluative situations that can elicit marked short
term neuroendocrine activation and anxious thoughts especially in musicians
suffering from music performance anxiety (MPA). The temporal patterns of
neuroendocrine activity and concert-related worry and rumination (perseverative
cognition, PC) days before and after a concert in low- and high-anxious musicians
are unknown. The first goal of the present study was to investigate the prolonged
effects of a solo music performance and the effects of trait MPA on salivary
cortisol (sC), alpha-amylase (sAA), and concert-related PC. The second goal was
to investigate whether concert-related PC is associated with neuroendocrine
activity and mediates the effects of measurement day and trait MPA on
neuroendocrine responses. Seventy-two university music students collected saliva
samples and reported their PC for seven consecutive days. On the fifth day, they
performed solo. Measurement day and trait MPA were tested as main predictors of
the diurnal area under the curve with respect to ground (sC AUCg, sAA AUCg),
awakening responses, and PC. SC AUCg, sAA AUCg, and concert-related PC were
highest on concert day. SC AUCg decreased only partially on post-concert days.
SAA AUCg remained elevated on the first post-concert day among students with
moderate to very high trait MPA. Throughout the assessment period, trait MPA was
associated with smaller sC AUCg and higher concert-related PC. Concert-related PC
showed significant positive associations with sC AUCg and sAA AUCg but did not
mediate the effects of measurement day and trait MPA on these measures. These
findings suggest that solo music performances have prolonged neuroendocrine
effects and that trait MPA is an important factor having specific effects on
university music students' hypothalamic-pituitary-adrenal axis, autonomic nervous
system, and cognitive activity.
PMID- 29787958
TI - Altered tryptophan catabolite concentrations in major depressive disorder and
associated changes in hippocampal subfield volumes.
AB - BACKGROUND: Tryptophan depletion is a well-replicated biological finding in Major
Depressive Disorder (MDD). The kynurenine pathway (KP) and its rate-limiting
tryptophan degrading enzyme, indolamine 2,3 dioxygenase (IDO), have been
implicated in the pathogenesis of depression. IDO expression is driven by
inflammatory cytokines, providing a putative link between inflammation and
neuropathology. This study examined circulating concentrations of C-reactive
protein (CRP), plasma tryptophan, kynurenine (KYN), kynurenic acid (KYNA) and
quinolinic acid (QUIN) and whole blood mRNA expression of IDO in patients with
major depressive disorder (MDD) compared with healthy controls (HC). METHODS: A
diagnosis of major depression was made according to DSM-IV. Depression severity
was assessed using the Hamilton depression (HAM-D) rating scale. 74 MDD patients,
39 with a first presentation of MDD (fpMDD) and 35 with chronic or recurrent
episodes (rMDD), and 37 HC were recruited to the study. Whole blood and plasma
samples were collected. Expression of markers in whole blood were measured by
PCR, circulating CRP by ELISA and KP metabolites by LC-MS/MS. Hippocampal cornu
ammonis (CA) and subiculum volumes were determined by MRI and calculated using
FreeSurfer. RESULTS: Tryptophan concentrations were significantly reduced in MDD
compared to HC. There was a positive correlation between QUIN and both CRP
concentrations and whole blood IDO1 in MDD. KYNA concentrations were reduced in
MDD patients presenting with a first episode (fpMDD) compared to those presenting
with recurrent depression (rMDD) and HC. By contrast QUIN concentrations were
elevated in rMDD compared to fpMDD and HC. KYNA/QUIN was reduced in MDD and rMDD
but not fpMDD compared to HC. Hippocampal subfield volumes were smaller in MDD
patients than HC for CA1 (left only), CA2/3 (left and right) and CA4 (right
only). CRP and CA1 volumes were negatively correlated bilaterally in MDD
patients. KYNA and subiculum volume were positively correlated bilaterally.
DISCUSSION: This study found evidence of KP metabolism imbalance in MDD patients
in addition to tryptophan reduction and mild immune activation. Relationships
between CRP and KYNA with some hippocampal subfield volumes in MDD patients
suggest that this inflammatory signature may be associated with reduced
hippocampal subfield volumes in depression.
PMID- 29787960
TI - Late presentation of ectopia vesica with malignant transformation. A case report
and review.
AB - INTRODUCTION: Exstrophy of the bladder is a rare congenital anomaly usually
treated in neonatal or childhood period. When combined with renal agenesis and
presents for the first time in the adulthood with malignant transformation, is an
extreme rarity. CASE PRESENTATION: We present a case of 65 years single male who
presented with a right irreducible inguinal hernia and an unreconstructed Ectopia
Vesicae with fungating tumor. He was anemic with impaired renal function, left
renal agenesis and right sided hydronephrosis, hydroureter and distal ureteric
stricture. He underwent palliative excision of Ectopia Vesicae and urinary
diversion via ureterosegmoidostomy after his condition was optimized.
Histopathology showed metaplastic squamous mucosa and a moderately differentiated
mucinous adenocarcinoma. Three weeks later he had good continence and normal
renal function. He was sent to a distant radio-oncology center for further
management. DISCUSSION: In 1851 the first ureterosegmoidostomy for ectopia
vesicae was done. Later on it becomes more popular. Some people preferred
deferring it until the age of 4 years while others advocates earlier
reconstruction. Plastic operation, during neonatal life was also described. In
the majority of cases, the fibrotic nature of the bladder and the absence of the
sphincter make the reconstruction almost impossible. We performed the only
possible option in our setting as our patient had a complex congenital anomalies
which present late in life complicated with advanced malignant transformation.
CONCLUSION: Despite the plethora of congenital malformation and advanced
malignancy, surgical excision and diversion with adjuvant chemo-radiation
provided a good palliation for this patient.
PMID- 29787959
TI - High-voltage electrical injury complicated by compartment syndrome and acute
kidney injury with successful limb salvage: A case report and review of the
literature.
AB - INTRODUCTION: Although an uncommon form of admission to a burns centre, the deep,
penetrating nature of noxious currents mean that electrical burns have the most
catastrophic consequences of all burn injuries. Understanding the physics of
electricity is crucial to explaining the mechanisms of tissue damage and organ
failure in electrical injuries which necessitate special management above and
beyond that of regular thermal burns. PRESENTATION OF CASE: We present a young
man who suffered significant occupation-related electrical burns that was
complicated by compartment syndrome, rhabdomyolysis and acute kidney injury. He
required multiple surgeries (including fasciotomy as well as soft tissue
reconstruction), critical care and lengthy rehabilitation. DISCUSSION:
Rhabdomyolysis is common sequela of electrical burns and may result in severe and
permanent metabolic and renal impairment. High cut-off dialysis membranes have
shown great promise in myoglobin removal but further studies are required to
determine whether this improves clinical outcomes. Debridement and decompression
are the cornerstones of initial surgical intervention and are crucial to
minimising infectious complications and preserving vital structures. Free tissue
transfer has become increasingly popular, but the ideal timing of microsurgery is
still uncertain. Nonetheless, pedicled flaps remain widely used and still have an
important role in reconstruction of electrical burns. CONCLUSION: Patients with
electrical injuries have several unique acute manifestations that differ from
other burns. Prognosticating outcomes is difficult, as the full scale of damage
is seldom immediately evident. Multiple organ systems are often affected, which
makes the treatment of such patients exceptionally challenging, multi
disciplinary and resource-intensive.
PMID- 29787961
TI - Functional outcome and social cognition in bipolar disorder: Is there a
connection?
AB - BACKGROUND: Interest in social cognition in bipolar disorder (BD) has increased
considerably over the past decade, with studies highlighting major impairments,
especially in mental state reasoning, even during euthymia. A causal relationship
between social cognition deficits and social functioning has already been
established in individuals with schizophrenia, but there is still little
information about links between social cognition and social functioning in BD.
Our aim was therefore to review the relationship between functional outcome and
social cognition in patients with BD. METHODS: We conducted a systematic review
of the literature. Relevant articles were identified through literature searches
in the MEDLINE/PubMed, EBSCOHost and Google Scholar databases for the years 2000
2017, using the keywords bipolar, social cognition, theory of mind, mentalizing,
emotion recognition, emotion processing, and functioning. A total of 20 studies
met our inclusion/exclusion criteria. RESULTS: We found that functioning was
significantly correlated with three domains of social cognition (ToM, emotion
processing, and attribution bias). Twelve of 13 studies reported a correlation
with emotion processing, but a correlation with ToM was only found in three of
the 11 studies that assessed it. Six studies found an effect of depressive
symptoms on emotion processing and no significant association was found with
manic symptomatology. CONCLUSIONS: To the best of our knowledge, the present
review is the first to specifically explore the relationship between social
cognition and social functioning in patients with BD. This exploration is of
interest, as it enhances current understanding of this disorder and, by so doing,
should improve patient outcomes.
PMID- 29787962
TI - Long term outcomes of acute and transient psychotic disorders: The missed
opportunity of preventive interventions.
AB - BACKGROUND: Acute and transient psychotic disorders (ATPD) are characterized by
an acute onset and a remitting course, and overlap with subgroups of the clinical
high-risk state for psychosis. The long-term course and outcomes of ATPD are not
completely clear. METHODS: Electronic health record-based retrospective cohort
study, including all patients who received a first index diagnosis of ATPD (F23,
ICD-10) within the South London and Maudsley (SLaM) National Health Service
Trust, between 1 st April 2006 and 15th June 2017. The primary outcome was risk
of developing persistent psychotic disorders, defined as the development of any
ICD-10 diagnoses of non-organic psychotic disorders. Cumulative risk of psychosis
onset was estimated through Kaplan-Meier failure functions (non-competing risks)
and Greenwood confidence intervals. RESULTS: A total of 3074 patients receiving a
first index diagnosis of ATPD (F23, ICD-10) within SLaM were included. The mean
follow-up was 1495 days. After 8-year, 1883 cases (61.26%) retained the index
diagnosis of ATPD; the remaining developed psychosis. The cumulative incidence
(Kaplan-Meier failure function) of risk of developing any ICD-10 non-organic
psychotic disorder was 16.10% at 1-year (95%CI 14.83-17.47%), 28.41% at 2-year
(95%CI 26.80-30.09%), 33.96% at 3-year (95% CI 32.25-35.75%), 36.85% at 4-year
(95%CI 35.07-38.69%), 40.99% at 5-year (95% CI 39.12-42.92%), 42.58% at 6-year
(95%CI 40.67-44.55%), 44.65% at 7-year (95% CI 42.66-46.69%), and 46.25% at 8
year (95% CI 44.17-48.37%). The cumulative risk of schizophrenia-spectrum
disorder at 8-year was 36.14% (95% CI 34.09-38.27%). CONCLUSIONS: Individuals
with ATPD have a very high risk of developing persistent psychotic disorders and
may benefit from early detection and preventive treatments to improve their
outcomes.
PMID- 29787963
TI - SIRT1 activator E1231 protects from experimental atherosclerosis and lowers
plasma cholesterol and triglycerides by enhancing ABCA1 expression.
AB - BACKGROUND AND AIMS: Sirtuin 1 (SIRT1) is a nicotinamide adenine dinucleotide
dependent protein deacetylase. Recent studies have demonstrated that enhancing
SIRT1 expression or activity may modulate cholesterol and lipid metabolism.
However, pharmacological and molecular regulators for SIRT1 are scarce. Here, we
aimed to find novel small molecule modulators of SIRT1 to regulate cholesterol
and lipid metabolism. METHODS: A high-throughput screening assay was established
to identify SIRT1 activators. Surface plasmon resonance and immunoprecipitation
were performed to confirm the interaction of E1231 with SIRT1. Cholesterol assay
was performed to demonstrate the in vitro effect of E1231. The in vivo effect of
E1231 was evaluated in experimental models. RESULTS: E1231, a piperazine 1,4
diamide compound, was identified as a SIRT1 activator with EC50 value of 0.83
MUM. E1231 interacted with recombinant human SIRT1 protein and deacetylated liver
X receptor-alpha (LXRalpha). E1231 increased ATP-binding cassette transporter A1
(ABCA1) expression in RAW 264.7 cells dependent on SIRT1 and LXRalpha. E1231
promoted cholesterol efflux and inhibited lipid accumulation in RAW 264.7 cells
via SIRT1 and ABCA1. In the golden hamster hyperlipidemia model, E1231 treatment
decreased total cholesterol and triglyceride levels in both serum and the liver,
while increased cholesterol content in feces. Moreover, E1231 increased ABCA1 and
SIRT1 protein expression in the liver. In ApoE-/- mice, E1231 treatment reduced
atherosclerotic plaque development compared with untreated ApoE-/- mice.
CONCLUSIONS: We identified a novel SIRT1 activator E1231 and elucidated its
beneficial effects on lipid and cholesterol metabolism. Our study suggests that
E1231 might be developed as a novel drug for treating atherosclerosis.
PMID- 29787964
TI - Comparison of macro and micro Raman measurement for reliable quantitative
analysis of pharmaceutical polymorphs.
AB - This work reports on the use of micro- and macro-Raman measurements for
quantification of mebendazole (MBZ) polymorphs A, B, and C in mixtures. Three
Raman spectrophotometers were studied with a laser spot size of 3, 80 and 100 MUm
and spectral resolutions of 3.9, 9 and 4 cm-1, respectively. The samples studied
were ternary mixtures varying the MBZ polymorphs A and C from 0 to 100% and
polymorph B from 0 to 30%. Partial Least Squares (PLS) regression models were
developed using the pre-processing spectra (2nd derivative) of the ternary
mixtures. The best performance was obtained when the macro-Raman configuration
was applied, obtaining RMSEP values of 1.68%, 1.24% and 2.03% w/w for polymorphs
A, B, and C, respectively. In general, micro-Raman presented worst results for
MBZ polymorphs prediction because the spectra obtained with this configuration
does not represent the bulk proportion of mixtures, which have different particle
morphologies and sizes. In addition, the influence of these particle features on
micro-Raman measurements was also studied. Finally, the results demonstrated that
reliable analytical quantifying of MBZ polymorphs can be reached using a laser
with wider area illuminated, thus enabling acquisition of more reproductive and
representative spectra of the mixtures.
PMID- 29787965
TI - Applications of various analytical techniques in quality control of
pharmaceutical excipients.
AB - Although excipients are pharmacologically inert substances, still they are
integral and significant ingredients of a pharmaceutical formulation. Their
amount and concentration level along with the active pharmaceutical ingredients
is of utmost importance to decide the pharmacokinetics and stability of a dosage
form. It is becoming progressively more important to illustrate the purity of
excipients throughout the development and manufacture of pharmaceutical products
as the safety and efficacy of the pharmaceutical formulation is largely affected
by the quality of excipients. Therefore it is essential to analyze these
excipients by suitable analytical technique before their incorporation into the
formulations. In the present review authors have summarized the reported
applications of various analytical techniques being used in excipients analysis.
These include capillary electrophoresis, static headspace capillary gas
chromatography, gel permeation chromatography/size exclusion chromatography, LC
IR hyphenated technique and Near IR etc. Various parameters and conditions
optimized are also described along with the techniques.
PMID- 29787967
TI - Preparation of alginate fibers coagulated by calcium chloride or sulfuric acid:
Application to the adsorption of Sr2.
AB - The adsorption behavior of Sr2+ over alginate (Alg) fibers prepared by wet
spinning was investigated. Different grades of sodium alginate (Alg-Na) were
chosen. The Alg fibers were obtained by coagulation of 1% H2SO4 (Alg-acid) or 5%
CaCl2 (Alg-Ca) solutions. In addition, the Sr2+ adsorption percentages of the
spherical Alg-Ca beads with a 0.672-mm-diameter was 70.64% which was
significantly lower than that Alg-Ca fibers (79.49%). These results suggested
that the fibrous shape is more suitable than the spheres as an adsorbent from sea
water. For Sr2+ adsorption capacities using different Alg fibers, the Alg-acid
fibers obtained from 12% IL-2 and 8% I-2 grade solutions reached adsorption
equilibrium at 99.88 and 99.27%, respectively, within 3 min. However, the Alg-Ca
fiber obtained from 8% I-2 grade solution reached equilibrium at 80.01% within 30
min. Moreover, the Alg-acid fiber obtained from 8% I-2 grade solution adsorbed up
to 34 mg/g of Sr2+ at an initial concentration of 1700 mg/L solutions. However,
when Sr2+ co-existing cations (Ca2+, Na+, and mixtures of them) the adsorption
capacity of the Alg-Ca fiber obtained from 8% I-2 grade solution slightly
decreased since the egg-box structure of Alg-Ca fiber favored the selective Sr2+
adsorption and subsequent ion exchange with Ca2+.
PMID- 29787966
TI - Injection drug use, HIV/HCV, and related services in nonurban areas of the United
States: A systematic review.
AB - BACKGROUND: Injection drug use (IDU) in nonurban areas of the United States is a
growing public health concern, but there has been no comprehensive assessment of
existing research on injection-related HIV and hepatitis C (HCV) in nonurban
communities. We conducted a systematic review to assess the current literature
and identify knowledge gaps. METHODS: We systematically searched six databases
for relevant articles published between January 1990 and June 2016 and screened,
extracted, and analyzed the resulting data. Studies were included if they
reported original findings from the nonurban U.S. related to 1) IDU and its role
in HIV/HCV transmission, and/or 2) HIV/HCV services for people who inject drugs
(PWID). RESULTS: Of 2330 studies, 34 from 24 unique research projects in 17
states met inclusion criteria. Despite increasing HCV and high vulnerability to
injection-related HIV outbreaks in nonurban areas, only three studies since 2010
recruited and tested PWID for HIV/HCV. Twelve reported on sharing injection
equipment but used varying definitions of sharing, and only eight examined
correlates of injection risk. Nine studies on syringe access suggest limited
access through syringe exchange programs and pharmacies. Only two studies
addressed HCV testing, none addressed HIV testing, and three examined behavioral
or other interventions. CONCLUSIONS: Despite growing concern regarding nonurban
IDU there are few studies of HIV/HCV and related services for PWID, and the
existing literature covers a very limited geographical area. Current research
provides minimal insights into any unique factors that influence injection risk
and HIV/HCV service provision and utilization among nonurban PWID.
PMID- 29787969
TI - Efficacy of batch mode rotary drum composter for management of aquatic weed
(Hydrilla verticillata (L.f.) Royle).
AB - Invasive aquatic weed management is one of the biggest challenges in the field of
solid waste management. Eichhornia crassipes, Pistia stratiotes and Hydrilla
verticillata (L.f.) Royle pose some of the world's most noted aquatic weed
problems. Previously reported studies on management of H. verticillata, a
submersed aquatic plant, have shown that temporary removal, chemical treatment or
biological control methods each have advantages and disadvantages. Removal
programs that propose to compost harvested H. verticillata biomass may provide a
novel technique to manage this issue. However, the properties of such compost as
an agriculture resource are unclear. This study presents the different mix
proportions of H. verticillata, cow dung and sawdust used for the composting of
550 L rotary drum composter. This work characterizes the biological,
physicochemical, and respirometry properties of the various mixes over a 20-day
composting period. The results suggest that the biomass of H. verticillata can be
beneficially utilized to produce stable compost for potential use in agricultural
systems.
PMID- 29787968
TI - Background concentrations and quality reference values for some potentially toxic
elements in soils of Sao Paulo State, Brazil.
AB - Quality reference values (QRV) for potentially toxic elements (PTE) in soils are
established as a tool for prevention and monitoring of soil pollution. These
values should be periodically revised in order to ensure soil safety for
agricultural purposes. Brazil is market leader for several commodities;
therefore, the safety of Brazilian soils is of worldwide strategic importance.
The objective of this study was to determine the natural background
concentrations and the QRV for As, Ba, Cd, Cr, Ni, Pb, Se, and Zn by
investigating 30 representative pedotypes in the Sao Paulo State, one of the most
important agro-industry economy at worldwide level. Multivariate statistical
analysis was applied to determine the sources of PTE and their variability. The
mean natural background concentrations of PTE in the soils were generally lower
to those reported in literature. QRV, calculated for each element as the 75th and
90th percentiles, were lower (75th for As, Cd, Pb, and Zn), similar (75th for Ba,
Cr, and Se) or above (90th for Ba, Cr, and Se and 75-90th for Ni) those
previously proposed by the Brazilian environmental protection agencies. The
results indicate that 75th percentile may be too restrictive. The PTE in the
investigated soils appear to have comes mainly from two primary natural sources:
a prevalent one of geogenic and a secondary of pedogenic origin. These results
confirm the predominant natural source of selected PTE in the investigated soils,
thus sustaining the possibility of using the data set to develop QRV for the
State of Sao Paulo.
PMID- 29787970
TI - Functional polyaniline/multiwalled carbon nanotube composite as an efficient
adsorbent material for removing pharmaceuticals from aqueous media.
AB - The composite polyaniline/multiwalled carbon nanotube (PAni/MWCNT, 1:0.1 w/w) was
developed with the intention of binding the adsorbent properties of two materials
and using it to adsorb pharmaceuticals from aqueous media. PAni/MWCNT was
characterized by scanning electron microscopy, thermogravimetry, infrared
spectroscopy, pH at the point of zero charge, and the effect on the surface
wettability of the material. As proof of concept, adsorption studies were carried
out using meloxicam (MLX) as the pharmaceutical and it was evaluated as a
function of pH, temperature, ionic strength, contact time and variation in
concentration. Kinetics and isothermal models were applied to evaluate the
mechanism of the adsorption process. The best MLX adsorption result was at pH 2
with 6 min of contact with PAni/MWCNT. The kinetics models that fitted the
experimental data were pseudo-second order and Elovich and the kinetics model was
the dual-site Langmuir-Freundlich. Both models suggest that the adsorption occurs
by the chemical nature of the surface and in the pores of the energetically
heterogeneous composite. The PAni/MWCNT presented an adsorption capacity of 221.2
mg g-1, a very good value when compared with the literature and can be used to
remove pharmaceuticals from aqueous environments.
PMID- 29787972
TI - Investigation by direct-infusion ESI-MS and GC-MS of an alleged Leuckart route
specific impurity of methamphetamine.
AB - Impurity profiling has been used as a useful tool for analyzing nearly every drug
class currently known on the illicit market. Impurities present within seized
samples have the potential to determine source of origin, route of synthesis
used, as well as provide a useful clue into the potential reaction mechanisms
that are present for each synthetic procedure. Perhaps the most well studied of
these impurity profiles exists for methamphetamine, including information to more
than one route of synthesis. Within the present study, a complete synthesis of
methamphetamine was performed, including a reductive amination of phenylpropanone
(P2P) using methylamine hydrochloride and sodium triacetoxyborohydride (STAB)
rather than the conventional aluminum mercury amalgam commonly found in the
literature. During the analysis of the final product from this reaction, a major
impurity within the reaction, bis(1-phenylpropan-2-yl)amine (m/z 253), was
detected by GC-MS as well as direct-infusion ESI-MS. This impurity has been
previously reported as a Leuckart route-specific impurity. Its detection within
the reductive amination of P2P points towards the use of impure methylamine
hydrochloride containing some traces of acid, and provides further insight into
the reductive amination of P2P. In both the Leuckart reaction and this reductive
amination via STAB, the presence of acid and ammonia leads to this impurity.
PMID- 29787971
TI - Cellular mechanisms of physicochemical membrane homeostasis.
AB - Biological membranes are vital, active contributors to cell function. In addition
to specific interactions of individual lipid molecules and lateral organization
produced by membrane domains, the bulk physicochemical properties of biological
membranes broadly regulate protein structure and function. Therefore, these
properties must be homeostatically maintained within a narrow range that is
compatible with cellular physiology. Although such adaptiveness has been known
for decades, recent observations have dramatically expanded its scope by showing
the breadth of membrane properties that must be maintained, and revealing the
remarkable diversity of biological membranes, both within and between cell types.
Cells have developed a broad palette of sense-and-respond machineries to mediate
physicochemical membrane homeostasis, and the molecular mechanisms of these are
being discovered through combinations of cell biology, biophysical approaches,
and computational modeling.
PMID- 29787973
TI - Mitigation of algal organic matter released from Chaetoceros affinis and
Hymenomonas by in situ generated ferrate.
AB - This study demonstrates the application of in situ ferrate (Fe(VI)) for the
efficient removal of dissolved algal organic matter (AOM) from seawater. Sodium
hypochlorite (NaOCl) and ferric (Fe(III)) were used to produce in situ Fe(VI) by
wet chemical oxidation. First, the removal efficiencies of two model AOM
compounds, humic acid (HA) and sodium alginate (SA), were evaluated in the
presence of sodium chloride with an initial influent dissolved organic carbon
(DOC) concentration of 5.0 mg C L-1 at different pH levels to establish the
optimal doses for in situ Fe(VI) generation. The concentration of Fe(VI) was
determined by the 2,2-Azino-bis (3-ethylbenzothiazoline-6-sulfonic acid)
ultraviolet-visible spectrophotometry method. In the case of HA, 72% DOC removal
was recorded when applied with 1.5 mg L-1 of Fe(III) and 1.5 mg L-1 of NaOCl (in
situ Fe(VI) concentration of 1.46 mg L-1) while 42% DOC removal was observed for
SA. Subsequently, the removal of AOM extracted from two bloom-forming algal
species, Chaetoceros affinis (CA) and Hymenomonas (Hym), cultivated in seawater
from the Red Sea, were tested with in situ generated Fe(VI) at the established
optimum condition. In situ Fe(VI) recorded superior performance in removing AOM
extracted from CA and Hym, showing 83% and 92% DOC removal when the influent DOC
concentrations were 2.48 and 2.63 mg L-1, respectively. A detailed AOM
characterization was conducted using liquid chromatography-organic carbon
detection.
PMID- 29787974
TI - Using big data from air quality monitors to evaluate indoor PM2.5 exposure in
buildings: Case study in Beijing.
AB - Due to time- and expense- consuming of conventional indoor PM2.5 (particulate
matter with aerodynamic diameter of less than 2.5 MUm) sampling, the sample size
in previous studies was generally small, which leaded to high heterogeneity in
indoor PM2.5 exposure assessment. Based on 4403 indoor air monitors in Beijing,
this study evaluated indoor PM2.5 exposure from 15th March 2016 to 14th March
2017. Indoor PM2.5 concentration in Beijing was estimated to be 38.6 +/- 18.4
MUg/m3. Specifically, the concentration in non-heating season was 34.9 +/- 15.8
MUg/m3, which was 24% lower than that in heating season (46.1 +/- 21.2 MUg/m3). A
significant correlation between indoor and ambient PM2.5 (p < 0.05) was evident
with an infiltration factor of 0.21, and the ambient PM2.5 contributed
approximately 52% and 42% to indoor PM2.5 for non-heating and heating seasons,
respectively. Meanwhile, the mean indoor/outdoor (I/O) ratio was estimated to be
0.73 +/- 0.54. Finally, the adjusted PM2.5 exposure level integrating the indoor
and outdoor impact was calculated to be 46.8 +/- 27.4 MUg/m3, which was
approximately 42% lower than estimation only relied on ambient PM2.5
concentration. This study is the first attempt to employ big data from commercial
air monitors to evaluate indoor PM2.5 exposure and risk in Beijing, which may be
instrumental to indoor PM2.5 pollution control.
PMID- 29787975
TI - Rethink potential risks of toxic emissions from natural gas and oil mining.
AB - Studies have showed the increasing environmental and public health risks of toxic
emissions from natural gas and oil mining, which have become even worse as
fracking is becoming a dominant approach in current natural gas extraction.
However, governments and communities often overlook the serious air pollutants
from oil and gas mining, which are often quantified lower than the significant
levels of adverse health effects. Therefore, we are facing a challenging dilemma:
how could we clearly understand the potential risks of air toxics from natural
gas and oil mining. This short study aims at the design and application of simple
and robust methods to enhance and improve current understanding of the becoming
worse toxic air emissions from natural gas and oil mining as fracking is becoming
the major approach. Two simple ratios, the min-to-national-average and the max-to
national-average, are designed and applied to each type of air pollutants in a
natural gas and oil mining region. The two ratios directly indicate how
significantly high a type of air pollutant could be due to natural gas and oil
mining by comparing it to the national average records, although it may not reach
the significant risks of adverse health effects according to current risk
screening methods. The min-to-national-average and the max-to-national-average
ratios can be used as a direct and powerful method to describe the significance
of air pollution by comparing it to the national average. The two ratios are easy
to use for governments, stakeholders, and the public to pay enough attention on
the air pollutants from natural gas and oil mining. The two ratios can also be
thematically mapped at sampled sites for spatial monitoring, but spatial
mitigation and analysis of environmental and health risks need other measurements
of environmental and demographic characteristics across a natural gas and oil
mining area.
PMID- 29787976
TI - Opposite effects of mixtures of commercial formulations of glyphosate with
auxinic herbicides on the ten spotted live-bearer fish Cnesterodon decemmaculatus
(Pisces, Poeciliidae).
AB - We analyzed the acute toxicity of the 48% glyphosate (GLY)-based Credit(r), the
57.71% dicamba (DIC)-based Kamba(r), and the 83.5% 2,4-dichlorophenoxyacetic acid
(2,4-D)-based Weedar(r) Full, alone and as mixtures on the fish Cnesterodon
decemmaculatus. Mortality revealed the LC50 96h values of 91.73 mg L-1 (range:
86.80-98.00 mg L-1), 1401.57 mg L-1 (range: 1243.78-1527.35) and 678.04 mg L-1
(range: 639.35-718.04 mg L-1) for GLY, DIC and 2,4-D, respectively. Mean values
for the toxic unit (TU) that induced 50% mortality (TU50 96h) of fish exposed to
equitoxic mixtures were 1.67 (range: 1.65-1.69) for Credit(r) and Kamba(r) and
1.28 (range: 1.20-1.36) for Credit(r) and Weedar(r) Full suggesting that both
mixtures are antagonic. Non-equitoxic combinations demonstrated an antagonistic
interaction of herbicides Credit(r) and Kamba(r), whereas a synergistic effect
was observed for Credit(r) and Weedar(r) Full formulations. GLY and DIC as a
mixture demonstrated lower toxicity on non-target species compared to GLY and 2,4
D in combination, at least for C. decemmaculatus, leading to the conclusion that
the former combination could be strongly recommended in further agricultural
practices.
PMID- 29787977
TI - Pollution level and reusability of the waste soil generated from demolition of a
rural railway.
AB - Railways are typically considered polluted from years of train operation.
However, the pollution level of railway in a rural area, which is less exposed to
hazardous material from trains and freights, is rarely assessed. This study
evaluated common railway pollutants such as heavy metals, total petroleum
hydrocarbons (TPHs) and polycyclic aromatic hydrocarbons (PAHs) and their
chemical properties in the waste soil generated from the renovation of an old
railway in rural area of Wonju, South Korea. Furthermore, lab-scale cultivation
tests of peas (Pisum sativum) were performed to assess reusability of the waste
soil as a soil amendment. Carbonaceous materials were found in the upper layer of
the railway (0 to -40 cm) and the concentration of common railway pollutants was
comparable to those of the agricultural land nearby. Specifically, total aromatic
and aliphatic TPHs were below detection limit; and total PAHs < 1.0 mg kg-1 was
1000-times less than railway functional parts. Applying the carbonaceous waste
soil improved the water holding capacity of soil by approximately 10% and sprouts
formed on the soil with 10% waste soil composition had greater fresh weight, stem
length, and root length than the control. Although this investigation was
confined to a small length of the railway route, the results confirm
environmental safety and the potential value of the waste generated from rural
railways for the first time.
PMID- 29787978
TI - Persistence of bacterial pathogens, antibiotic resistance genes, and enterococci
in tidal creek tributaries.
AB - Intertidal creeks form the primary hydrologic link between estuaries and land
based activities on barrier islands. Fecal indicators Enterococcus spp.
(Entero1), pathogens Shigella spp. (ipaH), Salmonella spp. (invA), E. coli of
EHEC/EPEC groups (eaeA), E. coli of EAEC, EIEC, and UPEC groups (set1B), E. coli
of STEC group (stx1); and tetracycline resistance genes (tet(B), tet(C), tet(D),
tet(E), tet(K), tet(Q), tet(W), and tet(X); TRG) were detected in the headwater
of Oakdale Creek (Sapelo Island, GA) receiving runoffs from Hog Hammock village.
Excavation of drainage ditches around the village caused a high increase in the
incidence of the above determinants. Water samples were collected from the
headwater, transferred to diffusion chambers, submersed in the headwater,
saltmarsh, and mouth of the creek; and the determinants were monitored for 3
winter months. With some exceptions, their persistence decreased in order
headwater > saltmarsh > mouth. Genes associated with Enterococcus spp. were the
most persistent at all the sites, following in the headwater with determinants
for Salmonella spp. and E. coli of EAEC, EIEC, and UPEC groups. In the mouth, the
most persistent gene was eaeA indicating EHEC, EPEC, and STEC. Tet(B) and tet(C)
persisted the longest in headwater and saltmarsh. No TRG persisted after 11 days
in the mouth. Most determinants revealed correlations with temperature and pH,
and inverse correlations with dissolved oxygen. Decay rates of the above
determinants varied in the range of -0.02 to -0.81/day, and were up to 40 folds
higher in the saltmarsh and mouth than in the headwater. Our data demonstrated
that water parameters could to some extent predict a general trend in the fate of
virulence and antibiotic resistance determinants in tidal creek tributaries but
strongly suggested that their persistence in these tributaries cannot be
predicted from that of enterococci, or extrapolated from one biological
contaminant to another.
PMID- 29787979
TI - Genome-wide analysis reveals TNFAIP8L2 as an immune checkpoint regulator of
inflammation and metabolism.
AB - The interplay between inflammation and metabolism is widely recognized, yet the
underlying molecular mechanisms remain poorly characterized. Using experimental
database mining and genome-wide gene expression profiling methods, we found that
in contrast to other TNFAIP8 family members, TNFAIP8L2 (TIPE2) was preferentially
expressed in human myeloid cell types. In addition, Tnfaip8l2 expression
drastically decreased in lipopolysaccharide (LPS)-stimulated macrophages.
Consequently, Tnfaip8l2 deficiency led to heightened expression of genes that
were enriched for leukocyte activation and lipid biosynthesis pathways.
Furthermore, mitochondrial respiration rate was increased in Tnfaip8l2-deficient
macrophages, as measured by Seahorse metabolic analyzer. Taken together, these
results indicate that Tnfaip8l2 serves as a "brake" for immunometabolism, which
needs to be released for optimized metabolic reprogramming as well as mounting
effective inflammatory responses. The unique anti-inflammatory and metabolic
modulatory function of TNFAIP8L2 renders it a novel therapeutic target for
cardiovascular diseases and cancer.
PMID- 29787980
TI - Autophagy and MHC-restricted antigen presentation.
AB - Major histocompatibility complex (MHC) molecules present peptide antigens to T
lymphocytes and initiate immune responses. The peptides loaded onto MHC class I
or MHC class II molecules can be derived from cytosolic proteins, both self and
foreign. A variety of cellular processes, including endocytosis, vesicle
trafficking, and autophagy, play critical roles in presentation of these
antigens. We discuss the role of autophagy, a major intracellular degradation
system that delivers cytoplasmic constituents to lysosomes in both MHC class I
and II-restricted antigen presentation. We propose the new term "Type 2 cross
presentation" (CP2) to define the autophagy-dependent processes leading to MHC II
restricted presentation of intracellular antigens by professional antigen
presenting cells. A better understanding of Type 2 cross-presentation may guide
future efforts to control the immune system through autophagy manipulation.
PMID- 29787981
TI - Autologous bridging of rotator cuff tears with a hamstring tendon patch. A
cadaver feasibility study and biomechanical testing.
PMID- 29787983
TI - Circuit filling factor (CFF) for multiply tuned probes, revisited.
AB - The concept of circuit filling factor (CFF) is re-examined for multi-tuned, multi
inductor probe circuits. The CFF is the fraction of magnetic stored energy
residing in the NMR coil. The CFF theorem states that the CFF sums to unity
across all the resonant normal modes. It dictates that improved performance from
a large CFF in one mode comes at the expense of CFF (and performance) at the
other mode(s). Simple analytical calculations of two-mode circuits are used to
demonstrate and confirm the CFF theorem. A triple-resonance circuit is calculated
to show the large trade-offs involved there. The theorem can provide guidance for
choosing the best circuit and relative inductances in multi-nuclear probes. The
CFF is directly accessible from ball frequency-shift measurements. We give
experimental measures of the CFF from ball shifts and compare to calculated
values of the CFF, with good agreement.
PMID- 29787982
TI - Neuroimaging findings of extensive sphenoethmoidal dysplasia in NF1.
AB - Whereas isolated sphenoid wing dysplasia (SWD) is a well-known clinical feature
in neurofibromatosis 1 (NF1), extensive cranial defects involving multiple bones
have been rarely reported in this disorder. In this report, we describe the
clinical course of a 20-year-old male with NF1 and an extensive cranial bone
dysplasia. The large sphenoethmoidal defect was associated with transethmoidal
and orbital cephalocele as well as inferolateral herniation of the frontal lobe.
In spite of the large defect, the individual did not have any symptoms or
complications resulting from the osteopathy. We review the current knowledge of
the pathogenesis and management of cranial bone dysplasia in NF1.
PMID- 29787985
TI - RNA binding protein Lin28B confers gastric cancer cells stemness via directly
binding to NRP-1.
AB - This work aims to explore the roles and related mechanisms of RNA binding protein
Lin28B in gastric cancer cells stemness. We found that Lin28B expression was
negatively correlated with the overall survival (OS) of gastric cancer patients,
and significantly increased in gastric cancer cells compared with that in gastric
epithelial cells. Lin28B overexpression increased spheroid formation, expression
of gastric cancer stemness-related markers, and decreased cisplatin sensitivity
in gastric cancer cells. Mechanistically, Lin28B could directly bind to NRP-1
3'UTR, thus increasing NRP-1 mRNA stability and expression, and activate the
downstream Wnt/beta-catenin signaling. Knockdown of NRP-1 or treatment with
Wnt/beta-catenin antagonist could rescue the promotive effects of Lin28B on
gastric cancer stemness. Thus, thes results indicate that Lin28B could facilitate
gastric cancer stemness via directly binding to NRP-1 3'UTR and activating the
downstream Wnt/beta-catenin signaling.
PMID- 29787984
TI - Polybrominated diphenyl ether (PBDE) exposures and thyroid hormones in children
at age 3 years.
AB - BACKGROUND: Polybrominated diphenyl ethers (PBDEs) reduce serum thyroid hormone
concentrations in animal studies, but few studies have examined the impact of
early-life PBDE exposures on thyroid hormone disruption in childhood. METHODS: We
used data from 162 mother-child pairs from the Health Outcomes and Measures of
the Environment Study (2003-2006, Cincinnati, OH). We measured PBDEs in maternal
serum at 16 +/- 3 weeks gestation and in child serum at 1-3 years. Thyroid
hormones were measured in serum at 3 years. We used multiple informant models to
investigate associations between prenatal and early-life PBDE exposures and
thyroid hormone levels at age 3 years. RESULTS: Prenatal PBDEs were associated
with decreased thyroid stimulating hormone (TSH) levels at age 3 years. A 10-fold
increase in prenatal ?PBDEs (BDE-28, -47, -99, -100, and -153) was associated
with a 27.6% decrease (95% CI -40.8%, -11.3%) in TSH. A ten-fold increase in
prenatal ?PBDEs was associated with a 0.25 pg/mL (0.07, 0.43) increase in free
triiodothyronine (FT3). Child sex modified associations between prenatal PBDEs
and thyroid hormones, with significant decrements in TSH among females and
decreased free T4 (FT4) in males. Prenatal ?PBDEs were not associated with TT4,
FT4, or total T3. CONCLUSIONS: These findings suggest an inverse relationship
between prenatal ?PBDEs and TSH at 3 years. Associations may be sexually
dimorphic, with an inverse relationship between prenatal BDE-47 and -99 and TSH
in females and null associations among males.
PMID- 29787987
TI - Modulation of autophagy as new approach in mesenchymal stem cell-based therapy.
AB - Due to their trophic and immunoregulatory characteristics mesenchymal stem cells
(MSCs) have tremendous potential for use in a variety of clinical applications.
Challenges in MSCs' clinical applications include low survival of transplanted
cells and low grafting efficiency requiring use of a high number of MSCs to
achieve therapeutic benefits. Accordingly, new approaches are urgently needed in
order to overcome these limitations. Recent evidence indicates that modulation of
autophagy in MSCs prior to their transplantation enhances survival and viability
of engrafted MSCs and promotes their pro-angiogenic and immunomodulatory
characteristics. Here, we review the current literature describing mechanisms by
which modulation of autophagy strengthens pro-angiogenic and immunosuppressive
characteristics of MSCs in animal models of multiple sclerosis, osteoporosis,
diabetic limb ischemia, myocardial infarction, acute graft-versus-host disease,
kidney and liver diseases. Obtained results suggest that modulation of autophagy
in MSCs may represent a new therapeutic approach that could enhance efficacy of
MSCs in the treatment of ischemic and autoimmune diseases.
PMID- 29787986
TI - Anti-inflammatory effects and mechanism of the total flavonoids from Artemisia
scoparia Waldst. et kit. in vitro and in vivo.
AB - Artemisia scoparia Waldst. et Kit. is traditionally used for the treatment of
jaundice urinary retention, itching wet sores, infectious icteric hepatitis and
influenza in Uighur medicine. This study aimed to further illuminate the anti
inflammatory effects and mechanism of the total flavonoids (ASTF) from Artemisia
scoparia Waldst. et Kit. In vitro, RAW 264.7 cells were pretreated with ASTF 1 h
before stimulation with LPS (1 MUg/mL) for 24 h. Then, the concentrations of NO,
PGE2, TNF-alpha, IL-6 and MCP-1 in the medium were determined. Intracellular
oxidative stress was detected using DCFH-DA. Immunofluorescent analysis, western
blot and qRT-PCR were carried out to illuminate the mechanism of anti
inflammatory effects of ASTF. In vivo, mice were given an intragastric
administration of ASTF 1 h before an intranasal administration of LPS. After 24
h, bronchoalveolar lavage fluid (BALF) was collected to measure the number of
total cells, macrophage and neutrophils. The levels of TNF-alpha and IL-6 in BALF
were quantified by ELISA kits. Lung specimens were isolated for histopathological
examinations and lung wet-to-dry weight (W/D) ratio. We found that ASTF
significantly inhibited the production of NO, PGE2, TNF-alpha, IL-6, MCP-1 and
reactive oxygen species (ROS) in LPS-stimulated RAW 264.7 cells. ASTF can
obviously inhibit the degredation of IkappaBa and inhibit the nucleus
translocations of p-NF-kappaB p65, p-ERK1/2 and p-p38 in RAW 264.7 cells
stimulated by LPS. ASTF also markedly decreased the protein and mRNA expression
of TNF-alpha and IL-6 in a dose-dependent manner. When pretreated with ASTF,
alveolar hemorrhage and neutrophil infiltration, as well as pulmonary
histopathologic changes, were substantially suppressed in lung tissues in the
murine acute lung injury model. The lung wet-to-dry weight (W/D) ratio was
strongly decreased. These results suggested that ASTF showed important anti
inflammatory activity and might provide protective effects against LPS-induced
ALI. The anti-inflammatory effect of ASTF might attribute to its suppression of
NF-kappaB and MAPK signaling pathway.
PMID- 29787988
TI - MicroRNA-30e promotes hepatocyte proliferation and inhibits apoptosis in cecal
ligation and puncture-induced sepsis through the JAK/STAT signaling pathway by
binding to FOSL2.
AB - INTRODUCTION: Hepatocyte proliferation and apoptosis are critical cellular
behaviors in rat liver as a result of a liver injury. Herein, we performed this
study in order to evaluate the role of miR-30e and its target Fos-Related Antigen
2 (FOSL2) in septic rats through the JAK/STAT signaling pathway. METHODS: Rat
models of sepsis were induced by cecal ligation and puncture. Enzyme-linked
immunosorbent assay (ELISA) was performed to access serum levels of
lipopolysaccharide (LPS), inflammatory factors, alanine aminotransferase (ALT)
and aspartate aminotransferase (AST) to confirm the successful establishment of
the model. The hepatocytes were subject to miR-30e mimics, miR-30e inhibitors or
siRNA-FOSL2. The expressions of miR-30e, FOSL2, apoptosis- and, JAK/STAT
signaling pathway-related genes in liver tissues and hepatocytes were determined
by reverse transcription quantitative polymerase chain reaction (RT-qPCR) and
western blot analysis. MTT assay and flow cytometry were performed to evaluate
hepatocyte viability and apoptosis, respectively. RESULTS: The results obtained
revealed that in the septic rats, serum levels of inflammatory factors, LPS, ALT
and AST, as well as the expression of FOSL2 were elevated and the JAK/STAT
signaling pathway was activated, while there was a reduction in the expression of
miR-30e. An initial bioinformatics prediction followed by a confirmatory dual
luciferase reporter assay determined that miR-30e targeted and negatively
regulated FOSL2 expression. MiR-30e inhibited the activation of JSK2/STAT3
signaling pathway by reducing FOSL2 expression, while miR-30e enhanced hepatocyte
proliferation and decreased hepatocyte cell apoptosis in septic rats. CONCLUSION:
These findings indicated that miR-30e may serve as an independent therapeutic
target for sepsis, due to its ability to inhibit apoptosis and induce
proliferation of hepatocytes by targeted inhibition of FOSL2 through the JAK/STAT
signaling pathway.
PMID- 29787989
TI - Downregulation of microRNA-216b contributes to glioma cell growth and migration
by promoting AEG-1-mediated signaling.
AB - Accumulating evidence indicates microRNA-216b (miR-216b) plays an important role
in the development and progression of various cancers. However, little is known
about the function of miR-216b in gliomas. In this study, we aimed to investigate
the expression level and functional significance of miR-216b in gliomas. We found
that miR-216b was significantly downregulated in glioma specimens and cell lines.
Overexpression of miR-216b suppressed the growth and migration of glioma cells,
while miR-216b inhibition showed the opposite effects. Astrocyte elevated gene-1
(AEG-1) was predicted as a potential target gene of miR-216b by bioinformatics
analysis. A dual-luciferase reporter assay showed that miR-216b could directly
target the 3'-untranslated region of AEG-1. RT-qPCR and western blot analysis
showed that miR-216 negatively regulated AEG-1 expression in glioma cells.
Correlation analysis revealed an inverse correlation between miR-216b and AEG-1
in clinical glioma specimens. miR-216b also regulated the activation of nuclear
factor-kappaB and Wnt signaling in glioma cells. Moreover, restoration of AEG-1
expression partially reversed the inhibitory effect of miR-216b overexpression on
glioma cell growth and migration. Overall, these results revealed a tumor
suppressive role of miR-216b in glioma tumorigenesis, and identified AEG-1 as a
target gene of miR-216b action. Our study suggests that miR-216b can be
potentially targeted for the development of novel therapies for gliomas.
PMID- 29787991
TI - Synthetic chalcones as potential tool for acute- and chronic-pain control.
AB - The purpose of this study was to validate the potential anti-hypersensitive
activity of two chalcones, (2E)-1-(4-aminophenyl)-3-(4-nitrophenyl)prop-2-en-1
one (ANCh) and N-{4-[(2E)-3-(4-nitrophenyl)prop-2-enoil]phenyl}acetamide
(AcANCh), by different models of acute and persistent pain in mice, besides in
silico analysis. Molecules computational investigation for prediction of
Lipinki's and Veber's rules to determine solubility, % absorption, drug likeness
and toxicity liabilities was performed. Male and female C57BL/6 mice (20-30 g, n
= 6) were used. Firstly, mice were pre-treated with the compounds ANCh or AcANCh
and then submitted to the models of acute hypersensitivity by the intraplantar
injection of different phlogistic agents. The mechanical sensitivity was assessed
using von Frey hairs (0.6 g). The obtained data shows that both compounds
presented important inhibitory effects on mechanical hypersensitivity induced by
carrageenan (with oral bioavailability). The anti-hypersensitive effect was also
accompanied by the interference in leukocyte migration, interleukin-1beta (IL
1beta) and tumour necrosis factor (TNF) levels reduction and by the absence of
unspecific effects. Added to the in vivo results, the in silico analysis
presented none violation in Lipinski's or Veber's rules, good probability to cell
membrane permeability and oral bioavailability, positive values of drug likeness
and few risk of computational toxicity. ANCh partially reduced the
hypersensitivity induced by IL-1beta and TNF, epinephrine and prostaglandin E2
(PGE2). AcANCh had similar effect, except for the absent of inhibition in PGE2
injected mice. Both compounds were capable of reducing the mechanical
hypersensitivity presented in all persistent models of hypersensitivity
(inflammatory pain, chronic nerve constriction and cancer pain), with emphasis
for ANCh. These results suggest that both chalcones could represent good
strategies for the control of acute and chronic pain, without important side
effects. ANCh seems to involve cell migration and cytokines production as the
main mechanism, together with interference in PGE2 neuronal sensitization
pathway. In vivo and in silico analyses reinforce the potential characteristics
of the compounds to become future drugs.
PMID- 29787990
TI - Dimethylthiourea ameliorates carbon tetrachloride-induced acute liver injury in
ovariectomized mice.
AB - AIMS: In order to clarify hepato-protective actions of estrogen, we examined the
progress of carbon tetrachloride (CCl4)-induced acute liver injury (ALI) in sham
and ovariectomized (ovx) mice and the effects of dimethylthiourea (DMTU), a
hydroxyl radical scavenger, and meloxicam (Melo), a selective cox-2 inhibitor, on
the development of CCl4-induced ALI. MAIN METHODS: Female C57BL/6 J mice weighing
15-20 g were performed sham or ovx operation at 8 weeks of age. Blood and liver
samples were collected 15 and 24 h after CCl4 administration. Sham and ovx mice
were given DMTU, Melo or saline intraperitoneally 30 min before CCl4 or corn oil
administration. KEY FINDINGS: ALT levels in ovx mice were significantly increased
compared to those in sham mice. DMTU reduced ALT levels in ovx mice to the same
levels as those in sham mice after CCl4 injection. CCl4 upregulated TNF-alpha, IL
6, cox-2 and iNOS expression in ovx mice compared to the levels in sham mice.
DMTU significantly reduced cox-2 and iNOS expression levels upregulated by CCl4
in ovx mice. However, pretreatment with Melo had no effects on ALT levels and the
gene expression levels of TNF-alpha, IL-6 and HO-1 in either sham or ovx mice,
indicating that cox-2 may not participate in increase of CCl4-induced ALI caused
by estrogen deficiency. SIGNIFICANCE: Ovariectomy accelerated the development of
CCl4-induced acute liver injury, and DMTU reduced liver injury. These results
suggest that estrogen may act as an antioxidant in the development CCl4-induced
acute liver injury.
PMID- 29787992
TI - Reliability and validity analysis of the open-source Chinese Foot and Ankle
Outcome Score (FAOS).
AB - AIM: Develop the first reliable and validated open-source outcome scoring system
in the Chinese language for foot and ankle problems. METHODS: Translation of the
English FAOS into Chinese following regular protocols. First, two forward
translations were created separately, these were then combined into a preliminary
version by an expert committee, and was subsequently back-translated into
English. The process was repeated until the original and back translations were
congruent. This version was then field tested on actual patients who provided
feedback for modification. The final Chinese FAOS version was then tested for
reliability and validity. Reliability analysis was performed on 20 subjects while
validity analysis was performed on 50 subjects. Tools used to validate the
Chinese FAOS were the SF36 and Pain Numeric Rating Scale (NRS). Internal
consistency between the FAOS subgroups was measured using Cronbach's alpha.
Spearman's correlation was calculated between each subgroup in the FAOS, SF36 and
NRS. RESULTS: The Chinese FAOS passed both reliability and validity testing;
meaning it is reliable, internally consistent and correlates positively with the
SF36 and the NRS. DISCUSSION AND CONCLUSIONS: The Chinese FAOS is a free, open
source scoring system that can be used to provide a relatively standardised
outcome measure for foot and ankle studies.
PMID- 29787993
TI - Systematic screening and characterization of Qi-Li-Qiang-Xin capsule-related
xenobiotics in rats by ultra-performance liquid chromatography coupled with
quadrupole time-of-flight tandem mass spectrometry.
AB - Qi-Li-Qiang-Xin capsule (QLQX), a well-known traditional Chinese medicine
prescription (TCMP), is consisted of eleven commonly used herbal medicines, has
been widely used for the treatment of chronic heart failure (CHF). However, the
absorbed components and related metabolites after oral administration of QLQX are
still remaining unknown. In the present work, a reliable and effective method
using ultra performance liquid chromatography coupled with quadrupole time-of
flight tandem mass spectrometry (UPLC/Q-TOF-MS) was established to identify QLQX
related xenobiotics in rats. Based on a representative structure based homologous
xenobiotics identification (RSBHXI) strategy, a total of eleven compounds
(salvianolic acid B, formononetin, benzoylmesaconine, alisol A, sinapine
thiocyanate, naringin, tanshinone IIA, ginsenoside Rg1, ginsenoside Rb1,
astragaloside IV and periplocin), bearing different chemical core structures,
were selected and investigated for their metabolism in vivo. And then,
comprehensive metabolic profiles of the holistic multi-ingredients in QLQX were
achieved. As a result, a total of 121 QLQX-related xenobiotics (47 prototypes and
74 metabolites) were identified or tentatively characterized, among them eight
prototypes (mesaconine, hypaconine, songorine, fuziline, neoline, talatizamine
formononetin, neocryptotanshinone) and two metabolites (calycosin-gluA,
formononetin-guA) were relatively the main existing xenobiotics exposed in blood.
All absorbed prototype constituents were mainly from six composed herbal
medicines (Aconiti lateralis radix, Astragali radix, Ginseng radix, Alismatis
rhizoma, Salvia miltiorrhiza radix, Periploca cortex). The main metabolic
reactions were methylation, hydrogenation, hydroxylation, oxidization, sulfation
and glucuronidation. This is the first study on in vivo metabolism of QLQX. These
results enabled us to focus on several high exposure ingredients in the discovery
of effective substances of QLQX, however further pharmacokinetic study on these
QLQX-related xenobiotics are needed to be carried out.
PMID- 29787995
TI - N-Point Moving Average: A Special Generalized Transfer Function Method for
Estimation of Central Aortic Blood Pressure.
AB - OBJECTIVE: N-point moving average (NPMA) is a simplified method of central aortic
systolic pressure (CASP) estimation in comparison with the generalized transfer
function (GTF). The fundamental difference or similarity between the methods is
not established. This study investigates theoretical properties of NPMA relative
to GTF and explores the integer and fractional denominator for the averaging
process in the NPMA. METHODS: Convolution of a specified square wave and the
radial (or brachial) blood pressure waveform constituted the NPMA . A single
uniform tube model-based TF (MTF) was employed to investigate potential
physiological meaning of NPMA. In experimental analysis, invasive, simultaneously
recorded aortic and radial pressure waveforms were obtained in 62 subjects under
control conditions and following nitroglycerin administration. CASP was estimated
by NPMA (), GTF ( ), and MTF (CASP MTF) from radial waveforms by tenfold cross
validation. RESULTS: Theoretical analysis showed that NPMA was an inversed
constant TF. Its spectrum matched that of MTF in low frequency (<4 Hz for radial
and <5 Hz for brachial) by optimizing reflection coefficient and propagation
time. Experiment results showed the NPMA optimized fractional denominator of K =
4.4 significantly decreased the mean difference between CASPNPMA and measured
CASP to 0.0 +/- 4.7 mmHg from -1.8 +/- 4.6 mmHg for integer denominator of K = 4.
CASPNPMA correlated with CASPMTF and CASP GTF (r2 = 0.99 and 0.97, mean
difference: -0.3 +/- 1.8 and 0.5 +/- 2.7 mmHg). CONCLUSION: This study
demonstrated that NPMA is similar in nature to the GTF.
PMID- 29787994
TI - Bioavailability of wilforlide A in mice and its concentration determination using
an HPLC-APCI-MS/MS method.
AB - Wilforlide A (WA), an active compound in Tripterygium wilfordii Hook F (TW) which
is a traditional Chinese medicine for treatment of autoimmune diseases, is a
quality control marker for TW product. At present, the
bioavailability/pharmacokinetics of WA is not known. Such information is not only
essential to evaluate the relevance of WA as a quality control maker, but also
important for future clinical efficacy studies. Therefore, a high-performance
liquid chromatography-atmospheric pressure chemical ionization tandem mass
spectrometric method (HPLC-APCI-MS/MS) was developed and applied to a
bioavailability/pharmacokinetic study of WA. WA and celastrol (the internal
standard, IS) were extracted by a liquid-liquid extraction method using methyl
tert-butyl ether. Multiple reaction monitoring (MRM) scanning in positive
ionization mode was used to monitor the transition of m/z 455.1 to 191.3 for WA
and 451.3 to 201.2 for IS. This method was validated and applied to a
pharmacokinetic study of WA in mice following intravenous administration (IV, 1.2
mg/kg), intraperitoneal injection (IP, 6 mg/kg) and oral administration (PO, 30
mg/kg). The lower limit of quantification (LLOQ) for WA was 10 ng/ml. The intra-
and inter-day precision was found to be within 15.4% while the accuracy within
94.1-115.7% for all the quality control and LLOQ samples. The samples were stable
under all the usual storage and experimental conditions. The terminal elimination
half-lives were 14.7, 9.1 and 22.7 min following IV, IP and PO dosing, while the
absolute bioavailability for IP and PO WA were 9.39% and 0.58% respectively.
These results indicated that the HPLC-APCI-MS/MS assay was suitable for the
pharmacokinetic study of WA. WA was found poorly absorbed when given orally and
therefore it may not be a relevant marker for the oral TW products in the market.
PMID- 29787996
TI - Three-Dimensional Weighting in Cone Beam FBP Reconstruction and Its
Transformation Over Geometries.
AB - GOALS: With substantially increased number of detector rows in multidetector CT
(MDCT), axial scan with projection data acquired along a circular source
trajectory has become the method-of-choice in increasing clinical applications.
Recognizing the practical relevance of image reconstruction directly from the
projection data acquired in the native cone beam (CB) geometry, especially in
scenarios wherein the most achievable in-plane resolution is desirable, we
present a three-dimensional (3-D) weighted CB-FBP algorithm in such geometry in
this paper. METHODS: We start the algorithm's derivation in the cone-parallel
geometry. Via changing of variables, taking the Jacobian into account and making
heuristic and empirical assumptions, we arrive at the formulas for 3-D weighted
image reconstruction in the native CB geometry. RESULTS: Using the projection
data simulated by computer and acquired by an MDCT scanner, we evaluate and
verify performance of the proposed algorithm for image reconstruction directly
from projection data acquired in the native CB geometry. CONCLUSION: The
preliminary data show that the proposed algorithm performs as well as the 3-D
weighted CB-FBP algorithm in the cone-parallel geometry. SIGNIFICANCE: The
proposed algorithm is anticipated to find its utility in extensive clinical and
preclinical applications wherein the reconstruction of images in the native CB
geometry, i.e., the geometry for data acquisition, is of relevance.
PMID- 29787997
TI - Depth-Based Whole Body Photoplethysmography in Remote Pulmonary Function Testing.
AB - OBJECTIVE: We propose a novel depth-based photoplethysmography (dPPG) approach to
reduce motion artifacts in respiratory volume-time data and improve the accuracy
of remote pulmonary function testing (PFT) measures. METHOD: Following spatial
and temporal calibration of two opposing RGB-D sensors, a dynamic three
dimensional model of the subject performing PFT is reconstructed and used to
decouple trunk movements from respiratory motions. Depth-based volume-time data
is then retrieved, calibrated, and used to compute 11 clinical PFT measures for
forced vital capacity and slow vital capacity spirometry tests. RESULTS: A
dataset of 35 subjects (298 sequences) was collected and used to evaluate the
proposed dPPG method by comparing depth-based PFT measures to the measures
provided by a spirometer. Other comparative experiments between the dPPG and the
single Kinect approach, such as Bland-Altman analysis, similarity measures
performance, intra-subject error analysis, and statistical analysis of tidal
volume and main effort scaling factors, all show the superior accuracy of the
dPPG approach. CONCLUSION: We introduce a depth-based whole body
photoplethysmography approach, which reduces motion artifacts in depth-based
volume-time data and highly improves the accuracy of depth-based computed
measures. SIGNIFICANCE: The proposed dPPG method remarkably drops the error mean
and standard deviation of FEF , FEF , FEF, IC , and ERV measures by half,
compared to the single Kinect approach. These significant improvements establish
the potential for unconstrained remote respiratory monitoring and diagnosis.
PMID- 29787999
TI - Cell intrinsic Wnt/beta-catenin signaling activation.
PMID- 29787998
TI - Repeated superovulation increases the risk of osteoporosis and cardiovascular
diseases by accelerating ovarian aging in mice.
AB - Superovulation procedures and assisted reproductive technologies have been widely
used to treat couples who have infertility problems. Although generally safe, the
superovulation procedures are associated with a series of complications, such as
ovarian hyper-stimulation syndrome, thromboembolism, and adnexal torsion. The
role of long-term repeated superovulation in ovarian aging and especially in
associated disorders such as osteoporosis and cardiovascular diseases is still
unclear. In this study, we sought to determine if repeated superovulation by ten
cycles of treatment with pregnant mare serum gonadotropin/human chorionic
gonadotropin could affect ovarian reserve, ovarian function, bone density and
heart function. Ovarian reserve and function were reflected by the size of the
primordial follicle pool, anti-Mullerian hormone expressions, hormone levels and
fertility status. Furthermore, we examined bone density and heart function by
microCT and cardiovascular ultrasonography, respectively. After repeated
superovulation, the size of the primordial follicle pool and the expression of
anti-mullerian hormone decreased, along with the concentrations of estrogen and
progesterone. Mice exposed to repeated superovulation showed an obvious decrease
in fertility and fecundity. Furthermore, both bone density and heart ejection
fraction significantly decreased. These results suggest that repeated
superovulation may increase the risk of osteoporosis and cardiovascular diseases
by accelerating ovarian aging.
PMID- 29788000
TI - Building lipids for myelin.
PMID- 29788001
TI - TORC1, stress and the nucleolus.
PMID- 29788002
TI - Intraindividual Variation of Meiotic Recombination Parameters in Pig
Spermatocytes: A Preliminary Study.
AB - Meiotic recombination parameters like crossover (CO) rate or synaptonemal complex
(SC) length are known to vary strongly between individuals and between cells from
the same individual. The origins of this variability remain elusive, and little
is known about the variations that might occur between different samples and/or
over time within the same individual. To document this question, pachytene cells
from 3 boars of the Large White breed were analyzed twice, at a 1-year interval,
using immunocytological techniques. CO rate, SC length, and MLH1 inter-foci
distances varied significantly between the 3 individuals. CO rate and SC length
differed significantly between the 2 sampling periods for 1 individual. However,
no significant differences were observed between the 2 samples for CO
distribution and inter-foci distances in the 3 boars studied.
PMID- 29788003
TI - Robotic Parathyroid Surgery: Current Perspectives and Future Considerations.
AB - Robotic parathyroidectomy represents a novel surgical approach in the treatment
of primary hyperparathyroidism when the parathyroid adenoma has been pre
operatively localised. It represents the "fourth generation" in the evolution of
parathyroid surgery following a process of surgical evolution from cervicotomy
and 4-gland exploration to a variety of minimally invasive, open and endoscopic,
targeted approaches. The existing evidence (levels 2-3) supports it as a feasible
and safe technique with equivalent results to targeted open parathyroidectomy for
primary hyperparathyroidism in carefully selected patients. However, it takes
longer to perform and is more costly than conventional parathyroidectomy. It
offers superior cosmesis by completely avoiding a neck scar making it a valid
option for those patients who for biological and/or cultural reasons may wish to
avoid a neck scar. Robotic parathyroidectomy is not for every patient, surgeon,
or hospital. Its application should be confined to high-volume centres and
experienced surgeons. Intensive training and proctorship are required for its
safe implementation combined with careful patient selection. This particularly
relates to the patient's body habitus (BMI < 30 kg/m2) and concordance among the
different imaging modalities used pre-operatively. With robotic market
competition driving down costs, its role may change. For now, robotic
parathyroidectomy occupies a niche role and can only be justified in a select
subset of patients.
PMID- 29788004
TI - Juvenile Traumatic Brain Injury Results in Cognitive Deficits Associated with
Impaired Endoplasmic Reticulum Stress and Early Tauopathy.
AB - The leading cause of death in the juvenile population is trauma, and in
particular neurotrauma. The juvenile brain response to neurotrauma is not
completely understood. Endoplasmic reticulum (ER) stress has been shown to
contribute to injury expansion and behavioral deficits in adult rodents and
furthermore has been seen in adult postmortem human brains diagnosed with chronic
traumatic encephalopathy. Whether endoplasmic reticulum stress is increased in
juveniles with traumatic brain injury (TBI) is poorly delineated. We investigated
this important topic using a juvenile rat controlled cortical impact (CCI) model.
We proposed that ER stress would be significantly increased in juvenile rats
following TBI and that this would correlate with behavioral deficits using a
juvenile rat model. A juvenile rat (postnatal day 28) CCI model was used. Binding
immunoglobulin protein (BiP) and C/EBP homologous protein (CHOP) were measured at
4 h in the ipsilateral pericontusion cortex. Hypoxia-inducible factor (HIF)
1alpha was measured at 48 h and tau kinase measured at 1 week and 30 days. At 4 h
following injury, BiP and CHOP (markers of ER stress) were significantly elevated
in rats exposed to TBI. We also found that HIF-1alpha was significantly
upregulated 48 h following TBI showing delayed hypoxia. The early ER stress
activation was additionally asso-ciated with the activation of a known tau
kinase, glycogen synthase kinase-3beta (GSK-3beta), by 1 week. Tau oligomers
measured by R23 were significantly increased by 30 days following TBI. The
biochemical changes following TBI were associated with increased impulsive-like
or anti-anxiety behavior measured with the elevated plus maze, deficits in short
term memory measured with novel object recognition, and deficits in spatial
memory measured with the Morris water maze in juvenile rats exposed to TBI. These
results show that ER stress was increased early in juvenile rats exposed to TBI,
that these rats developed tau oligomers over the course of 30 days, and that they
had significant short-term and spatial memory deficits following injury.
PMID- 29788005
TI - Morphological Identification of Hair Recovered from Feces for Detection of
Cannibalism in Eastern Chimpanzees.
AB - Chimpanzees (Pan troglodytes) are primarily frugivorous but consume a variable
amount of meat from a variety of organisms, including other chimpanzees.
Cannibalism is rare, usually follows lethal aggression, and does not occur
following natural deaths. While chimpanzee cannibalism has been documented at
multiple sites, many instances of this behavior go unrecorded. Identification of
chimpanzee remains in feces, however, can provide indirect evidence of
cannibalism. Hair, in particular, typically passes through the gastrointestinal
tract undamaged and is commonly used for purposes of identification in wildlife
forensics. Here we test the hypothesis that eastern chimpanzee (Pan troglodytes
schweinfurthii) guard hair morphology can be reliably distinguished from the
hairs of their most common prey species. Methods and results are presented in the
context of a case study involving a suspected chimpanzee infanticide from Gombe,
Tanzania. We find that chimpanzee guard hair morphology is unique among tested
mammals and that the presence of abundant chimpanzee hair in feces is likely the
result of cannibalism and not incidental ingestion from grooming or other means.
Accordingly, morphological analysis of guard hairs from feces is a promising,
cost-effective tool for the determination of cannibalistic acts in chimpanzees.
PMID- 29788006
TI - Peripheral Microvascular Abnormalities Detected by Wide-Field Fluorescein
Angiography in Eyes with Branch Retinal Vein Occlusion.
AB - PURPOSE: To evaluate the location of microvascular abnormalities using wide-field
fluorescein angiography (WFFA) and investigate the impact on visual outcome in
eyes with branch retinal vein occlusion (BRVO). METHODS: Forty eyes of 39
patients (24 males and 15 females with an average age of 71 years) were
retrospectively reviewed. One patient had BRVO bilaterally. WFFA was performed in
all patients to evaluate perfusion status and detect microvascular abnormalities.
The WFFA images were divided into 3 zones: zone 1, posterior pole; zone 2, mid
periphery; zone 3, far periphery, in order to document the presence of
microvascular abnormalities. Scatter retinal photocoagulation (PC) was performed
for retinal neovascularization (NV) and/or widespread nonperfused areas (NPAs).
RESULTS: The incidence of microvascular abnormalities in zone 3 was significantly
(p < 0.0001) less than in zones 1 and 2. The presence of larger NPAs in zone 1,
but not in zone 3, was associated with the incidence of NV and vitreous
hemorrhage. The presence of peripheral lesions and the application of PC did not
affect the visual outcome. CONCLUSION: The presence of peripheral abnormalities
or scatter PC for NPAs did not affect the visual outcome in eyes with BRVO.
PMID- 29788008
TI - What Is New about New Antidepressants?
PMID- 29788007
TI - Knowledge about Stroke in Belo Horizonte, Brazil: A Community-Based Study Using
an Innovative Video Approach.
AB - BACKGROUND AND PURPOSE: Stroke is a leading cause of death in Brazil. Knowledge
about the clinical manifestations of stroke as well as its risk factors and its
management is still poor in the country. We intended to assess the stroke
knowledge of an urban population in Belo Ho-rizonte, Brazil. METHODS: Individuals
assisted by a basic health unit were interviewed between February and August
2014. After demographic data collection, the participants were asked to watch a
video that consisted of a person presenting stroke signals and they were asked to
answer questions about the condition shown on the video. Afterwards, they
answered a semi-structured questionnaire to evaluate their stroke knowledge.
RESULTS: A total of 703 people were interviewed (62.1% female, mean age 46.7
years). Recognition of a person having a stroke on the presented video was
achieved by 56.1% of the subjects. Female sex (p = 0.029) and contact with
someone who had had a stroke (family member [p < 0.01], neighbor [p < 0.05])
increased the odds of correctly identifying the condition showed on the video.
The most commonly mentioned clinical manifestations of stroke were weakness
(34.7%) and speech disturbance (31.6%). Stroke risk factors that were most named
were "unbalanced diet" (42.3%) and hypertension (33.7%). Most participants
(66.8%) said they would call the emergency medical services, while 17.8% would go
directly to a hospital. Only 17 subjects knew thrombolytic therapy for acute
stroke. CONCLUSION: Female sex and a family history of stroke increased the odds
of recognizing the signs of stroke. Knowledge about the clinical manifestations,
risk factors, and management of stroke was low in the studied population.
PMID- 29788009
TI - The Effect of Feeding Behavior on Hypothalamus in Obese Type 2 Diabetic Rats with
Glucagon-like Peptide-1 Receptor Agonist Intervention.
AB - OBJECTIVE: To investigate the utility of intravoxel incoherent motion-diffusion
weighted imaging (IVIM-DWI) derived parameters in hypothalamus for monitoring the
effect of Exendin-4 (Ex-4) intervention on the feeding behavior in obese diabetic
rats within early feeding. METHODS: 21 obese and 19 non-obese rats which were
treated with streptozotocin injections were initially divided into an obese
diabetes group (OD, n = 10), a non-obese diabetes group (D, n = 8), an obese
group (O, n = 9) and a non-obese group (N, n = 9). Then, the rats in the 4 groups
received subcutaneous injections of Ex-4, and feeding behavior was examined at 5,
35, 65, 95, and 125 min. The hypothalamic function was evaluated by IVIM-DWI.
Finally, the relationship between the hypothalamic function and the amount of
food intake was analyzed. RESULTS: In comparison with the N group, the food
intake significantly decreased in the O , OD, and D groups in response to Ex-4.
Furthermore, a significant positive correlation was found between food intake and
D values at different times from 5 to 125 min after Ex-4 intervention in all 4
groups. CONCLUSION: A direct correlation between the change of hypothalamic
function and feeding behavior was detected in OD rats with Ex-4 intervention in
the early feeding period. The hypothalamic D value derived from IVIM-DWI is
promising to reflect the dynamic change of hypothalamic function due to
intervention.
PMID- 29788010
TI - Metaplastic Breast Cancer Masquerading as Liposarcoma of the Breast: A Case
Report following Oncoplastic Treatment.
AB - Mammary liposarcoma is among the rarest of breast tumours. Here we report the
presentation, macroscopic, microscopic, and immunohistochemical features of an
extremely rare case of metaplastic carcinoma with extensive pleomorphic
liposarcomatous differentiation. A 47-year-old woman presented with bilateral
grade III breast ptosis and a 3 * 4 cm mass in the lower outer quadrant of the
left breast. Mammography and ultrasound confirmed a well-defined mass. A core
biopsy performed was diagnosed as pleomorphic liposarcoma. Microscopically, this
was a well-defined, lobulated tumour comprising solid sheets of large pleomorphic
and spindle cells with bizarre forms, vacuolated cytoplasm, and ample mitoses.
Atypical lipoblasts were easily identifiable. Due to the strong, though patchy,
cytokeratin expression, the diagnosis of metaplastic carcinoma with pleomorphic
liposarcomatous differentiation was made. Extensive sampling, careful search for
a biphasic pattern, ductal carcinoma in situ, and/or epithelial differentiation,
and a panel of broad-spectrum cytokeratins are essential to establish the
diagnosis.
PMID- 29788011
TI - Knowledge about Positive Premenstrual Changes and Somatosensory Amplification
Increase the Report of Positive Premenstrual Changes: An Experimental Study.
PMID- 29788012
TI - Allograft Inflammatory Factor-1 Mediates Macrophage-Induced Impairment of Insulin
Signaling in Adipocytes.
AB - BACKGROUND/AIMS: Allograft inflammatory factor-1 (AIF-1) is an inflammatory
cytokine produced mainly by macrophages within human white adipose tissue. Its
expression is increased in obese subjects and positively correlated with insulin
resistance. The purpose of this study is to characterize the regulatory role of
AIF-1 in insulin signaling of adipocyte. METHODS: AIF-1 was over-expressed via
transfection of AIF-1 cDNA into murine RAW 264.7 macrophages, and the
constitutive expression of AIF-1 was decreased via transfection of targeting
siRNA. Murine 3T3L1 adipocytes were treated with macrophage-conditioned medium or
AIF-1 protein. Intracellular lipid accumulation was assayed by oil red O stain.
Reactive oxygen species production was determinated by a flow cytometer and
adipokine secretion was measured with ELISA. Glucose uptake was detected using
the glucose oxidase method and insulin-signal-transduction related molecules were
analyzed by Western blot. RESULTS: Short term (48 h) AIF-1 treatment slightly
promoted intracellular lipid storage in differentiating 3T3L1 cells. The protein
stimulated reactive oxygen species production, provoked TNFalpha, IL6, resistin,
but suppressed adiponectin release and insulin-stimulated glucose uptake both
under normal basal and insulin resistance conditions. Furthermore, AIF-1 induced
NF-kappaB activation, inhibited PPARgamma expression, GLUT4 translocation to
plasma membrane and Akt phosphorylation. CONCLUSION: Macrophage-derived AIF-1 up
regulated reactive oxygen species production, adipokine TNFalpha, IL6, resistin
release, and inhibited adiponectin secretion. Moreover, it suppressed insulin
stimulated glucose uptake by down-regulating insulin signaling. Thus, AIF-1 could
be related to obesity-related diseases.
PMID- 29788014
TI - Persistently Increased Resting Energy Expenditure Predicts Short-Term Mortality
in Patients with Acute-on-Chronic Liver Failure.
AB - OBJECTIVE: Hypermetabolism based on measurements of resting energy expenditure
(REE) is suggested to be a potential biomarker for predicting the clinical
outcomes of some diseases. We aimed to evaluate the potential value of
hypermetabolism for predicting the short-term (28-day) mortality of patients with
hepatitis B virus-related acute-on-chronic liver failure (HBV-ACLF). METHODS: A
total of 105 HBV-ACLF patients, 30 chronic hepatitis B (CHB) patients and 30
healthy controls (HCs) were included in this study. The REE was measured using
indirect calorimetry in the morning after 8-10 h of fasting. The predicted REE
(REEHB) was determined using Harris-Benedict equation. Persistent hypermetabolism
was defined as the REE:REEHB ratio > 1.20 at day 1 and day 7 after admission. The
severity of liver disease was estimated using the Model for End-Stage Liver
Disease (MELD). Clinical and biochemical variables were determined using blood
samples ordered upon admission. These variables were compared between
nonsurviving and surviving patients who were classified according to the 28-day
mortality. RESULTS: The frequency of hypermetabolism at baseline was
significantly higher in ACLF patients than that in HCs and CHB patients. Forty
six (43.8%) ACLF patients died within follow-up of 28 days. Persistent
hypermetabolism (OR 2.10; 95% CI 1.15-3.69; p = 0.002) and MELD score (OR 1.93;
95% CI 1.47-3.51; p = 0.012) were independent predictive indicators of 28-day
mortality. Furthermore, the performance of the 2 variables (persistent
hypermetabolism and MELD) together with the area under the receiver operating
curve (AUROC: 0.819) was significantly better than that of MELD alone -(AUROC:
0.694) for prediction of short-term mortality (p = 0.014). CONCLUSION: These
findings indicate that persistent hypermetabolism is predictive of short-term
mortality in this small population.
PMID- 29788013
TI - Cerebrospinal Fluid BACE1 Activity and sAbetaPPbeta as Biomarker Candidates of
Alzheimer's Disease.
AB - BACKGROUND/AIMS: The utility of beta-site amyloid-beta precursor protein
(AbetaPP) cleaving enzyme 1 (BACE1) activity and soluble AbetaPP beta
(sAbetaPPbeta) levels in cerebrospinal fluid (CSF) in detecting Alzheimer's
disease (AD) is still elusive. METHODS: BACE1 activity and sAbetaPPbeta
concentration were measured in patients with AD dementia (n = 56) and mild
cognitive impairment (MCI) due to AD (n = 76) with abnormal routine AD CSF
markers, in patients with MCI with normal CSF markers (n = 39), and in controls
without preclinical AD (n = 48). In a subsample with available 18F
fluorodeoxyglucose positron emission tomography (FDG PET) data, ordinal
regression models were employed to compare the contribution of BACE1 and
sAbetaPPbeta to correct diagnostic classification to that of FDG PET. RESULTS:
BACE1 activity was significantly higher in patients with MCI due to AD compared
to both controls and patients with MCI with normal CSF markers. sAbetaPPbeta did
not differ between any of the studied groups. Interestingly, BACE1 activity was
not found to be inferior to FDG PET as predictive covariate in differentiating
between the diagnostic groups. CONCLUSIONS: Further studies using biomarker
underpinned diagnoses are warranted to shed more light on the potential
diagnostic utility of BACE1 activity as AD biomarker candidate in MCI.
PMID- 29788015
TI - Limb-Bud and Heart Attenuates Growth and Invasion of Human Lung Adenocarcinoma
Cells and Predicts Survival Outcome.
AB - BACKGROUND/AIMS: The transcription cofactor limb-bud and heart (LBH) is involved
in embryonic development. However, its role in human lung cancer, especially lung
adenocarcinoma (LUAD), remains unclear. METHODS: A public database and tissue
microarray (TMA) were used to compare differences in LBH expression and its
relationship with clinical characteristics. Tissue from an additional 70 LUAD
patients with follow-up records was used to explore the correlation of LBH
expression with prognosis. Cellular and molecular studies validated the role of
LBH in LUAD growth and invasion. RESULTS: LBH was significantly down-regulated in
lung cancer tissue samples and was correlated with the prognosis and clinical
characteristics of lung cancer patients based on a public database and TMA.
Survival analysis revealed that LBH-negative expression was associated with poor
overall survival of LUAD patients (P = 0.021). Cox regression analysis showed
that LBH expression status was a favorable independent prognostic factor (hazard
ratio = 0.120, 95% confidence interval = 0.016-0.894, P = 0.039). LBH knockdown
accelerated LUAD cell proliferation, migration, and invasion. Furthermore,
bioinformatics analysis indicated that LBH was significantly related to the cell
adhesion pathway. Western blot analysis confirmed that LBH could regulate the
expression of integrin family members (integrin-alpha1, integrin-alpha2, integrin
alpha4, integrin-alphav, and integrin-beta4). CONCLUSION: Our data suggest that
LBH plays an important role in lung cancer. Importantly, LBH is an independent
prognostic factor in LUAD and can attenuate cell growth and invasion. LBH may be
a potential prognostic biomarker in LUAD patients.
PMID- 29788017
TI - The Effect of Hidden Female Smoking on the Association between Smoking and
Asthma.
AB - BACKGROUND: The association between smoking and asthma remains controversial. In
our previous study, we were not able to show an association between asthma and
smoking in Korean adults. One Korean study demonstrated underreporting of smoking
history by female adults. The aim of the present study was to investigate the
effects of hidden female smoking on the association between asthma and smoking in
Korean adults. METHODS: Data were acquired from 23,483 men and women aged >=19
years who participated in the Korean National Health and Nutrition Examination
Surveys (KNHANES). RESULTS: The prevalence of asthma was 1.5%, the self-reported
smoking prevalence was 32.4%, and the cotinine-verified smoking prevalence was
30.3%. Of the male cotinine-verified smokers, 6.4% were self-reported nonsmokers
(2.7% never smokers and 3.7% ex-smokers), whereas 48.8% of the female cotinine
verified smokers were self-reported nonsmokers (43.2% never smokers and 5.6% ex
smokers). In males, the adjusted odds ratio of the association between smoking
and asthma was 0.738 (confidence interval, CI: 0.393-1.173) in self-reported and
0.767 (CI: 0.515-1.143) in cotinine-verified smoking; in females, the adjusted
odds ratio was 1.945 (CI: 1.348-2.805) in self-reported and 1.531 (CI: 1.076
2.179) in cotinine-verified smoking. CONCLUSIONS: Our study showed smoking was
associated with asthma in females but not in males.
PMID- 29788016
TI - Aedes communis Reactivity Is Associated with Bee Venom Hypersensitivity: An in
vitro and in vivo Study.
AB - Mosquito bite is usually followed by a local reaction, but severe or systemic
reaction may, in rare cases, occur. Allergic reactions to Aedes communis (Ac) may
be underestimated due to the lack of reliable diagnostic tools. In this
multicenter study, 205 individuals reporting large local reactions to Ac were
enrolled and studied for cutaneous or IgE reactivity to Ac, Blattella germanica,
Penaeus monodon, and Dermatophagoides pteronyssinus. Extract and molecular IgE
reactivity to bees, wasps, hornets, and yellow jacket venoms were also studied in
119 patients with a clinical history of adverse reaction to Hymenoptera.
Immunoblot (IB) analysis and immunoCAP IgE inhibition experiments were carried
out in selected sera. Ac sensitization was recorded in 96 (46.8%) patients on
SPT. Strict relationship between Ac and D. pteronyssinus, B. germanica, P.
monodon, or Apis mellifera reactivity on SPT was observed. Ac IgE recognition was
seen in 60/131 (45.8%) patients, 49 (81.6%) of them SPT positive, and 5/14 IB
reactors. Ac IgE sensitization was associated with Tabanus spp, A. mellifera,
Vespula vulgaris, and Polistes dominula reactivity. A strict relationship between
Ac IgE reactivity and Api m 1, Api m 2, Api m 3, Api m 5, and Api m 10 was
recorded. IgE reactivity to AC was inhibited in 9/15 cases after serum absorption
with the A. mellifera extract. Both SPT and IgE Ac reactivity is observed in
about half of patients with a history of large local reactions to mosquito bites.
The significant relationship between Ac sensitization and either extract or
single bee venom components is suggestive of a "bee-mosquito syndrome"
occurrence.
PMID- 29788018
TI - Brain Immunohistopathology in a Patient with Autoimmune Glial Fibrillary Acidic
Protein Astrocytopathy.
AB - BACKGROUND: Autoimmune glial fibrillary acidic protein (GFAP) astrocytopathy is a
novel meningoencephalomyelitis. However, the pathogenesis of this disease is
unclear. We therefore examined a brain biopsy from a patient with autoimmune GFAP
astrocytopathy by immunohistopathology. METHODS: We examined brain biopsy
sections from a patient with autoimmune GFAP astrocytopathy using hematoxylin and
eosin (HE) and Luxol fast blue (LFB) staining, and immunostaining with antibodies
for CD4, CD8, CD3, CD20, CD68, CD138, Neu-N, GFAP, myelin oligodendrocyte
glycoprotein (MOG), and aquaporin-4 (AQP4). RESULTS: HE staining revealed
extensive inflammatory cells (marked lymphocytes) around brain vessels, and LFB
showed no signs of demyelination or axon loss. Immunohistochemical analysis
showed CD3+ and CD4+ T cells cuffing around brain vessels, accompanied by CD8+ T
cells, CD20+ B cells, and CD138+ plasma cells, while some macrophages (CD68+)
were scattered throughout the brain parenchyma. There was no loss of AQP4 or MOG
expression in this patient, while GFAP was abundantly expressed. CONCLUSIONS:
These findings suggest that inflammatory cells, including T cells, B cells,
plasma cells, and macrophages, are involved in autoimmune GFAP astrocytopathy.
Demyelination and astrocyte loss may not necessarily occur in this disease.
PMID- 29788019
TI - Robotic Thyroid Surgery: Current Perspectives and Future Considerations.
AB - Robotic transaxillary thyroidectomy, pioneered in South Korea, is firmly
established throughout the Far East but remains controversial in Western
practice. This relates to important population differences (anthropometry and
culture) compounded by the smaller mean size of thyroid nodules operated on in
South Korea due to a national thyroid cancer screening programme. There is now
level 2 evidence (including from Western World centres) to support the safety,
feasibility, and equivalence of the robotic approach to its open counterpart in
terms of recurrent laryngeal nerve injury, hypoparathyroidism, haemorrhage, and
oncological outcomes for differentiated thyroid cancer. Moreover, robotic
thyroidectomy has been shown to be superior to open surgery for certain patient
reported outcome measures, namely scar cosmesis and pain. Downsides include its
high cost, longer operative time, and risk of complications not encountered in
open thyroidectomy (brachial plexus neurapraxia). Careful patient selection is
paramount as this procedure is not for every patient, surgeon, or hospital. It
should only be undertaken by high-volume surgeons operating as part of a
multidisciplinary robotic team in specialised centres. Novel robotic approaches
utilising the retroauricular and transoral routes for thyroidectomy have recently
been described but further studies are required to establish their respective
role in modern thyroid surgery.
PMID- 29788020
TI - Genomic Deletion Involving the IMMP2L Gene in Two Cases of Autism Spectrum
Disorder.
AB - Mutations/deletions of the IMMP2L gene have been associated with different
cognitive/behavioral disturbances, including autism spectrum disorders (ASD). The
penetrance of these defects is not complete since they often are inherited from a
healthy parent. Using array-CGH in a cohort of 37 ASD patients, we found 2
subjects harboring a deletion inside the IMMP2L gene. In both cases, the IMMP2L
gene deletion was inherited: from a healthy mother in one case and from a
dyslectic father in the other. In the latter family, the IMMP2L deletion was also
detected in the patient's brother, who showed delayed language development. In a
cohort of 100 normal controls, no deletions including the IMMP2L gene were
observed. However, a recent meta-analysis found no association between IMMP2L
deletions and ASD. Our data would indicate that deletions involving the IMMP2L
gene may contribute to the development of a subgroup of cognitive/behavioral
disorders.
PMID- 29788022
TI - Hospitalizations in Children with a Penicillin Allergy Label: An Assessment of
Healthcare Impact.
AB - BACKGROUND: Beta-lactam allergy is overdiagnosed. Diagnosis of penicillin allergy
has been associated with a greater use of hospital resources and an increased
risk of antibiotic-resistant infections, although this issue remains poorly
studied in children. We aimed to compare patient characteristics and use of
hospital resources in hospitalized children with and without a record of
penicillin allergy. METHODS: We identified all hospitalizations in children
labelled as being allergic to penicillin in a database containing all
hospitalizations in Portuguese public hospitals between 2000 and 2014, and
compared these with a similar number of age-, sex-, and main diagnosis-matched
hospitalizations without a penicillin allergy label. Comparisons were made for
length of hospital stay, comorbidities (Charlson Comorbidity Index), in-hospital
mortality, and hospitalization costs. RESULTS: We identified 1,718
hospitalizations corresponding to children labelled as penicillin-allergic.
Compared with patients without such a label, these children had longer hospital
stays (mean 5 vs. 4 days, p = 0.03) and a higher comorbidity index (mean 0.11 vs.
0.09, p < 0.001). Hospitalization costs were also higher (EUR 2,071 vs. 1,798),
but the difference was not significant (p = 0.12). CONCLUSIONS: Hospitalizations
of children labelled as allergic to penicillin are associated with longer
hospital stays, more comorbidities, and a tendency towards higher hospitalization
costs. An accurate diagnosis of penicillin allergy based on clinical history and
confirmatory tests is therefore essential in all paediatric patients.
PMID- 29788021
TI - Predictors of Cardioembolic Stroke in Japanese Patients with Atrial Fibrillation
in the Fushimi AF Registry.
AB - BACKGROUND: Large-scale clinical trials have analyzed risk factors for any
ischemic stroke in patients with atrial fibrillation (AF). However, the risk
factors for cardioembolic stroke (CES), specifically, have not been reported. To
clarify the risk factors for CES and clinically significant cardioembolic
infarction, we examined the incidence of CES and larger infarct volume (IV) (> 30
mL) CES, employing the Fushimi AF Registry, a community-based prospective cohort
of AF patients in the Fushimi ward, Kyoto, Japan. METHODS: A total of 4,182
Fushimi AF patients were enrolled from March 2011 to December 2014. The risk
factors for CES were evaluated using multivariate analysis. RESULTS: Of 4,182
patients enrolled, 3,749 patients were observed for >=1 year. During the follow
up period (mean duration, 979 +/- 7.7 days), 91/3,749 patients experienced a CES
(2.43%). Significant risk factors associated with CES were older age (odds ratio
[OR], 1.31; 95% confidence interval [CI], 1.01-1.72; p = 0.046), low body weight
(OR, 1.30; 95% CI, 1.03-1.65; p = 0.033), sustained AF (OR, 1.67; 95% CI, 1.05
2.71; p = 0.034), and previous stroke or transient ischemic attack (TIA) (OR,
1.94; 95% CI, 1.22-3.06; p = 0.004). Predictors of a large IV were chronic kidney
disease (CKD) (OR, 2.08; 95% CI, 1.09-4.05; p = 0.027) and previous stroke/TIA
(OR, 2.27; 95% CI, 1.19-4.24; p = 0.011). CONCLUSIONS: In this population-based
cohort of Japanese patients with AF, in addition to previous stroke/TIA and older
age, sustained AF and low body weight emerged as risk factors for CES, as opposed
to any stroke, which may have a different risk profile. Patients with CKD or
previous stroke/TIA who developed cardioembolic infarction exhibited more
advanced severity. There is a need for direct oral anticoagulants that can be
used safely in patients with comorbid AF and CKD.
PMID- 29788023
TI - Weight Status of 7-Year-Old Hungarian Children between 2010 and 2016 Using
Different Classifications (COSI Hungary).
AB - AIMS: To describe the prevalence of thinness, overweight, and obesity in
Hungarian children (age 7.0-7.9 years) according to different classifications, to
assess the progress between 2010 and 2016, and to investigate whether tendencies
differ according to gender. METHODS: A national representative sample was
generated by two-stage cluster sampling, and a total of 2,651 children (50.9%
boys; age 7.49 +/- 0.3 years) were measured (weight and height) in October 2016.
Population estimates were calculated using the WHO, IOTF, and national cut-offs.
RESULTS: Prevalence of thinness (including grade 1 and 2) was 12.6% based on the
IOTF criteria and 15.6% based on the WHO definition. 22.5% of children were
identified as overweight or obese according to the IOTF classification, compared
with 28.4% according to the WHO definition. Between 2010 and 2016, each
classification indicated possible stability in overweight and obesity prevalence.
In contrast, the prevalence of thinness grade 2 almost doubled in 6 years
according to all definitions (p < 0.05). No significant gender difference was
observed in the progress. CONCLUSION: Overweight and obesity appeared to be
stable over 6 years, but we detected growing thinness rates. Routine collection
of high-quality data that are based on standardized and comparable methods is
essential to monitor the childhood obesity problem.
PMID- 29788024
TI - Extracorporeal Photopheresis: An Efficacious and Well-Tolerated Treatment for
Cutaneous and Oral Mucosal Chronic Graft-versus-Host Disease.
AB - BACKGROUND: Extracorporeal photopheresis (ECP) is a second-line therapy for
steroid-refractory chronic graft-versus-host disease (cGVHD). OBJECTIVE: We
describe the long-term efficacy and tolerability of ECP according to the
cutaneous phenotype of cGVHD and report on the reduced need for immunosuppressant
drugs in this setting. PATIENTS AND METHODS: Fourteen patients (8 females) with
cutaneous and/or mucosal cGVHD, treated with ECP between October 2010 and May
2016 within a single center, were included. Final analyses included patients who
had received ECP for at least 12 months. We prospectively evaluated the efficacy
of ECP using lesion-specific clinical scores and by recording changed doses of
systemic immunosuppressants. RESULTS: Of the 14 patients, sclerotic skin lesions
were present in 10 (71%). The mRODNAN score decreased in all patients from month
9 onwards, with 40 and 77% reductions at 12 and 36 months, respectively. Six
patients (43%) presented with cutaneous lichenoid lesions: this score was reduced
in all patients by month 3, reaching a 93% reduction by month 12. Five patients
(36%) experienced oral mucosal lichenoid lesions: these scores were decreased by
55% at month 12 and by 100% by month 33. The use of systemic immunosuppressants
was reduced in all patients; 4 patients could stop all immunosuppressant drugs
after 2 years. ECP was stopped in 3 patients after a complete response. No major
ECP-associated adverse effects were observed. DISCUSSION AND CONCLUSION: ECP was
an effective long-term therapy for oral and cutaneous cGVHD: consequently, dose
levels of therapeutic immunosuppression could be reduced.
PMID- 29788025
TI - Does 100% Rapid Review Improve Cervical Cancer Screening?
AB - OBJECTIVE: The aim of this work was to evaluate 100% rapid review (100% RR) as a
useful tool to detect false negative (FN) results. STUDY DESIGN: A sample of
8,677 swabs was investigated; the unsatisfactory and negative results were
referred to 100% RR, concordant results were taken as the final diagnosis, while
the discordant results were debated in a consensus meeting to reach a conclusion.
The positive results were examined by 2 cytologists. The data were entered into
SAS statistical software, and the agreement of the 100% RR results with the final
diagnosis was tested with the weighted kappa statistic. RESULTS: There was a
significant increase in unsatisfactory results from 348 to 1,927, and of positive
results from 174 to 349. On the other hand, there was a substantial decrease in
negative results from 8,155 to 6,401. Assessing the relative risk of FN results
in smears that were not referred to quality control (100% RR) revealed the
following results: atypical squamous cells of undetermined significance (ASC-US),
2.93; low-grade squamous intraepithelial lesion (LSIL), 2.72; high-grade squamous
intraepithelial lesion/atypical squamous cells - cannot exclude HSIL (HSIL/ASC
H), 2.25. Evaluating by age group, a higher risk for LSIL (4.90) and ASC-US
(3.85) was observed in patients aged under 25 years, whereas patients between 25
and 64 years and those over 64 years presented a higher risk for HSIL and ASC-H:
2.46 and 2.75, respectively. CONCLUSION: 100% RR is an effective screening tool
for FN results in countries where molecular tests for DNA-HPV and prophylactic
vaccines are not available in cervical cancer screening programs.
PMID- 29788026
TI - Ragweed Pollen Allergy: Burden, Characteristics, and Management of an Imported
Allergen Source in Europe.
AB - Ambrosia artemisiifolia, also known as common or short ragweed, is an invasive
annual flowering herbaceous plant that has its origin in North America. Nowadays,
ragweed can be found in many areas worldwide. Ragweed pollen is known for its
high potential to cause type I allergic reactions in late summer and autumn and
represents a major health problem in America and several countries in Europe.
Climate change and urbanization, as well as long distance transport capacity,
enhance the spread of ragweed pollen. Therefore ragweed is becoming domestic in
non-invaded areas which in turn will increase the sensitization rate. So far 11
ragweed allergens have been described and, according to IgE reactivity, Amb a 1
and Amb a 11 seem to be major allergens. Sensitization rates of the other
allergens vary between 10 and 50%. Most of the allergens have already been
recombinantly produced, but most of them have not been characterized regarding
their allergenic activity, therefore no conclusion on the clinical relevance of
all the allergens can be made, which is important and necessary for an accurate
diagnosis. Pharmacotherapy is the most common treatment for ragweed pollen
allergy but fails to impact on the course of allergy. Allergen-specific
immunotherapy (AIT) is the only causative and disease-modifying treatment of
allergy with long-lasting effects, but currently it is based on the
administration of ragweed pollen extract or Amb a 1 only. In order to improve
ragweed pollen AIT, new strategies are required with higher efficacy and safety.
PMID- 29788027
TI - The Neonatal Microbiome and Its Partial Role in Mediating the Association between
Birth by Cesarean Section and Adverse Pediatric Outcomes.
AB - BACKGROUND: Cesarean sections (CS) are among the most commonly performed surgical
procedures in the world. Epidemiologic data has associated delivery by CS with an
increased risk of certain adverse health outcomes in children, such as asthma and
obesity. OBJECTIVE: To explore what is known about the effect of mode of delivery
on the development of the infant microbiome and discuss the potentially mediating
role of CS-related microbial dysbiosis in the development of adverse pediatric
health outcomes. Recommendations for future inquiry are also provided. METHODS:
This study provides a narrative overview of the literature synthesizing the
findings of literature retrieved from searches of PubMed and other computerized
databases and authoritative texts. RESULTS: Emerging evidence suggests that mode
of delivery is involved in the development of the neonatal microbiome and may
partially explain pediatric health outcomes associated with birth by CS.
Specifically, the gut microbiome of vaginally delivered infants more closely
resembles their mothers' vaginal microbiome and thus more commonly consists of
potentially beneficial microbiota such as Lactobacillus, Bifidobacterium, and
Bacteroides. Conversely, the microbiome of infants born via CS shows an increased
prevalence of either skin flora or potentially pathogenic microbial communities
such as Klebsiella, Enterococcus, and Clostridium. CONCLUSIONS: Mode of delivery
plays an important role in the development of the postnatal microbiome but likely
tells only part of the story. More comprehensive investigations into all the pre-
and perinatal factors that have the potential to contribute to the neonatal
microbiome are warranted.
PMID- 29788028
TI - Atypical Teratoid/Rhabdoid Tumor of the Spinal Cord in a Child: Case Report and
Comprehensive Review of the Literature.
AB - INTRODUCTION: Atypical teratoid/rhabdoid tumor (AT/RT) of the central nervous
system is characterized by SMARCB1/INI deletion or mutation in the long arm of
chromosome 22 11(22q11.2), also resulting in loss of nuclear expression of INI1
protein immunohistochemically. AT/RT tumors usually occur in children below 3
years. The tumor is usually seen in the cerebellum or the cerebrum, with an
extremely rare incidence in the spinal cord. MATERIALS AND METHODS: We report a
rare case of AT/RT in a 6-year-old boy who had a primary spinal cord lesion in
the thoracolumbar junction. Pathology revealed loss of nuclear staining of INI1
immunohistochemically. This is the first case reported with mixed intraspinal
lesion (intra- and extramedullary). The patient underwent two surgeries and
received radiotherapy and chemotherapy; however, he died 16 months after the
initial presentation. RESULTS AND DISCUSSION: We reviewed the literature on all
children with spinal cord AT/RT. The review showed that the cervical region is
the most common location of origin, especially in younger children. Reported
cases were treated with a combination of surgery, systemic and intrathecal
chemotherapy, and radiation therapy, and a survival time of 18 months represented
the best outcome. Overall mean survival time was 10 months.
PMID- 29788030
TI - Cortical or Trabecular Bone: What's the Difference?
PMID- 29788029
TI - International Task Force on Benzodiazepines.
PMID- 29788031
TI - Autologous Neurosensory Retinal Transplantation for Unclosed and Large Macular
Holes.
AB - PURPOSE: The aim of this study was to demonstrate the surgical technique and
clinical outcome of autologous neurosensory retinal patch transplantation for
recurrent large macular hole (MH)-induced retinal detachment after failed surgery
with internal limiting membrane (ILM) removal or transplantation. METHODS: We
reviewed 5 patients with recurrent MH-induced retinal detachment after failed
surgeries with ILM removal or transplantation who underwent vitrectomy combined
with autologous neurosensory retinal patch transplantations and were followed up
over 6 months. In the autologous neurosensory retinal patch transplantation
procedure, a small piece of neurosensory retina was removed and transplanted
inside the MH. The anatomic outcomes of MH-induced retinal detachment were
evaluated by fundus examinations and optical coherence tomography. The pre
operative and postoperative best-corrected visual acuities (BCVAs) were compared
and the MH closure rates were measured as the main outcomes. RESULTS: A total of
5 patients (3 men and 2 women; average age 35.4 +/- 18.72 years) were included in
our study. Complete MH sealing was achieved in 5 eyes after autologous
neurosensory retinal patch transplantations, and no complications were observed.
The mean BCVA was 2.38 +/- 0.57 (range 1.6-3) before surgery, and 1.46 +/- 0.51
(range 1-2) at 6 postoperative months. There was a significant difference in BCVA
before versus after the surgery (p < 0.05, paired t test). CONCLUSIONS:
Autologous neurosensory retinal patch transplantation is an effective addition to
the surgical options for large MH-induced retinal detachment after failed surgery
with ILM removal or transplantation.
PMID- 29788032
TI - Tracking changes in frailty throughout later life: results from a 17-year
longitudinal study in the Netherlands.
AB - Background: to better understand the development of frailty with ageing requires
longitudinal studies over an extended time period. Objective: to investigate
changes in the degree of frailty during later life, and the extent to which
changes are determined by socio-demographic characteristics. Methods: six
measurement waves of 1,659 Dutch older adults aged 65 years and over in the
Longitudinal Aging Study Amsterdam (LASA) yielded 5,211 observations over 17
years. At each wave, the degree of frailty was measured with a 32-item frailty
index (FI), employing the deficit accumulation approach. Socio-demographic
characteristics included age, sex, educational level and partner status.
Generalized Estimating Equation (GEE) analyses were performed to study
longitudinal frailty trajectories. Results: higher baseline FI scores were
observed in older people, women, and those with lower education or without
partner. The overall mean FI score at baseline was 0.17, and increased to 0.39
after 17 years. The average doubling time in the number of deficits was 12.6
years, and this was similar in those aged 65-74 years and those aged 75+. Partner
status was associated with changes over time in FI score, whereas sex and
educational level were not. Conclusions: this longitudinal study showed that the
degree of frailty increased with ageing, faster than the age-related increase
previously observed in cross-sectional studies. Even so, the rate of deficit
accumulation was relatively stable during later life.
PMID- 29788034
TI - Sleep Disorders, Including Sleep Apnea and Hypertension.
AB - There is mounting evidence for an association between sleep disorders and
hypertension. In obstructive sleep apnea (OSA), there are plausible biological
reasons for the development of hypertension, and treatment of OSA results in
modest (2-3 mm Hg), adherence-dependent decreases in blood pressure, with larger
effects evident in those with resistant hypertension. However, prospective,
population-based cohort studies have not yet convincingly demonstrated a link
between OSA and incident hypertension, and adequately powered controlled trials
of CPAP for the prevention or treatment or hypertension are lacking. While
associations have been identified between short sleep duration, insomnia,
restless legs syndrome (RLS), shift work, and hypertension, the causative role of
these conditions/circumstances is not proven, and further well-designed
pathophysiological and/or interventional studies are needed. Particular emphasis
should be placed on defining subgroups of hypertensive OSA patients that stand to
benefit most from OSA treatment and in understanding the link between sleep apnea
and hypertensive disorders of pregnancy. Well-controlled intervention studies are
needed in populations with short sleep duration, insomnia, shift work sleep
disorder, and RLS to confirm their putative links with hypertension.
PMID- 29788035
TI - A Bayesian hidden Potts mixture model for analyzing lung cancer pathology images.
AB - Digital pathology imaging of tumor tissues, which captures histological details
in high resolution, is fast becoming a routine clinical procedure. Recent
developments in deep-learning methods have enabled the identification,
characterization, and classification of individual cells from pathology images
analysis at a large scale. This creates new opportunities to study the spatial
patterns of and interactions among different types of cells. Reliable statistical
approaches to modeling such spatial patterns and interactions can provide insight
into tumor progression and shed light on the biological mechanisms of cancer. In
this article, we consider the problem of modeling a pathology image with
irregular locations of three different types of cells: lymphocyte, stromal, and
tumor cells. We propose a novel Bayesian hierarchical model, which incorporates a
hidden Potts model to project the irregularly distributed cells to a square
lattice and a Markov random field prior model to identify regions in a
heterogeneous pathology image. The model allows us to quantify the interactions
between different types of cells, some of which are clinically meaningful. We use
Markov chain Monte Carlo sampling techniques, combined with a double Metropolis
Hastings algorithm, in order to simulate samples approximately from a
distribution with an intractable normalizing constant. The proposed model was
applied to the pathology images of $205$ lung cancer patients from the National
Lung Screening trial, and the results show that the interaction strength between
tumor and stromal cells predicts patient prognosis (P = $0.005$). This
statistical methodology provides a new perspective for understanding the role of
cell-cell interactions in cancer progression.
PMID- 29788036
TI - Pediatric Investigators Collaborative Network on Infections in Canada (PICNIC)
Study of Respiratory Syncytial Virus-Associated Deaths in Pediatric Patients in
Canada: 2003 to 2013.
AB - Background: Respiratory syncytial virus (RSV) is a major cause of pneumonia and
bronchiolitis in children. Mortality rates in previously healthy children
hospitalized with RSV are < 0.5%, but up to 37% in patients with underlying
medical conditions. The objective of this study was to characterize factors
associated with deaths among children hospitalized with RSV infection in Canadian
pediatric centres. Methods: Retrospective case series of children 18 years of age
or younger with RSV-associated deaths at centres affiliated with the Pediatric
Investigators Collaborative Network on Infections in Canada (PICNIC) over the
period 2003- 2013, inclusive. Cases were identified using RSV-specific ICD codes
to capture all deaths where a diagnosis of RSV infection was present. Results:
Eleven centres reported 79 RSV-associated deaths. RSV was regarded as primarily
responsible for death in 32 cases (40.5%). Median age at death was 11 months
(range < 1 month to 16 years). Thirty-nine patients (49.4%) were male. Fourteen
patients (17.7%) had no known risk factors for severe RSV infection. Health-care
associated RSV infections (HAI) accounted for 29 deaths (36.7%), with RSV judged
to be the primary cause of death in 9 of these cases. Conclusion: RSV-associated
deaths were predominantly associated with chronic medical conditions and
immunocompromised states among infants; however, 1 in 5 deaths occurred among
patients with no known risk factors for severe RSV. Mortality associated with HAI
accounted for over a third of cases. These findings highlight patient groups that
should be targeted for RSV prevention strategies such as infection control
practices, immunoprophylaxis, and future vaccination programs. The precise impact
of these strategies on RSV mortality, however, requires further study.
PMID- 29788033
TI - Embolism and mechanical resistances play a key role in dehydration tolerance of a
perennial grass Dactylis glomerata L.
AB - Background and Aims: More intense droughts under climate change threaten species
resilience. Hydraulic strategies determine drought survival in woody plants but
have been hardly studied in herbaceous species. We explored the intraspecific
variability of hydraulic and morphological traits as indicators of dehydration
tolerance in a perennial grass, cocksfoot (Dactylis glomerata), which has a large
biogeographical distribution in Europe. Methods: Twelve populations of cocksfoot
originating from Mediterranean, Temperate and Northern European areas were grown
in a controlled environment in pots. Dehydration tolerance, leaf and stem
anatomical traits and xylem pressure associated with 88 or 50 % loss of xylem
conductance (P88, P50) were measured. Key Results: Across the 12 populations of
cocksfoot, P50 ranged from -3.06 to - 6.36 MPa, while P88 ranged from -5.06 to
11.6 MPa. This large intraspecific variability of embolism thresholds
corresponded with the biogeographical distribution and some key traits of the
populations. In particular, P88 was correlated with dehydration tolerance (r =
0.79). The dehydration-sensitive Temperate populations exhibited the highest P88
(-6.1 MPa). The most dehydration-tolerant Mediterranean populations had the
greatest leaf dry matter content and leaf fracture toughness, and the lowest P88
(-10.4 MPa). The Northern populations displayed intermediate trait values,
potentially attributable to frost resistance. The thickness of metaxylem vessel
walls in stems was highly correlated with P50 (r = -0.92), but no trade-off with
stem lignification was observed. The relevance of the linkage between hydraulic
and stomatal traits is discussed for drought survival in perennial grasses.
Conclusions: Compared with woody species, the large intraspecific variability in
dehydration tolerance and embolism resistance within cocksfoot has consequences
for its sensitivity to climate change. To better understand adaptive strategies
of herbaceous species to increasing drought and frost requires further
exploration of the role of hydraulic and mechanical traits using a larger inter-
and intraspecific range of species.
PMID- 29788037
TI - Mycoplasma Pneumoniae among Children Hospitalized with Community-acquired
Pneumonia.
AB - Background: The burden and epidemiology of Mycoplasma pneumoniae (Mp) among U.S.
children (<18 years) hospitalized with community-acquired pneumonia (CAP) are
poorly understood. Methods: In the Etiology of Pneumonia in the Community (EPIC)
study, we prospectively enrolled 2254 children hospitalized with radiographically
confirmed pneumonia from January 2010-June 2012 and tested
nasopharyngeal/oropharyngeal swabs for Mp using real-time polymerase chain
reaction (PCR). Clinical and epidemiological features of Mp-PCR-positive and
negative children were compared using logistic regression. Macrolide
susceptibility was assessed by genotyping isolates. Results: In the EPIC study,
182(8%) children were Mp-PCR-positive (median age: 7 years); 12% required
intensive care and 26% had pleural effusion. No in-hospital deaths occurred.
Macrolide resistance was found in 6/169(4%) isolates. Of 178(98%) Mp-PCR-positive
children tested for co-pathogens, 50(28%) had >=1 co-pathogen detected. Variables
significantly associated with higher odds of Mp detection included age {10-17
years [adjusted odds ratio (aOR): 7.9 (95% confidence interval (CI): 4.5-13.6)]
and 5-9 years [aOR: 4.8 (CI: 2.9-7.8)] vs. 2-4 years}, outpatient antibiotics <=5
days pre-admission [aOR: 2.3 (CI: 1.5-3.4)], and co-pathogen detection [aOR: 2.1
(CI: 1.3-3.1)]. Clinical characteristics often seen included hilar
lymphadenopathy, rales, headache, sore throat, and decreased breath sounds.
Conclusions: Usually considered as a mild respiratory infection, M. pneumoniae
was the most commonly detected bacteria among children >=5 years hospitalized
with CAP; one-quarter of whom had co-detections. Although associated with
clinically non-specific symptoms, there was a need for intensive care support in
some cases. M. pneumoniae should be included in the differential diagnosis for
school-aged children hospitalized with CAP.
PMID- 29788039
TI - Frailty, Neurocognitive Impairment, or Both in Predicting Poor Health Outcomes
Among Adults Living with HIV.
AB - Background: Neurocognitive impairment (NCI) is strongly associated with frailty
in people living with HIV (PLWH); the overlap of frailty and NCI, and the impact
on health outcomes in PLWH is unknown. Methods: PLWH in a longitudinal,
observational study of aging completed entry evaluations for frailty and NCI.
Outcomes were falls (recurrent), increased limitations in independent activities
of daily living, or mortality and were combined. Poisson regression models
estimated prevalence ratios (PR) for >=1 outcome over 2 years. Results: Among 987
participants, median age at entry was 51 years, 19% were female, median CD4 count
was 616 cells/uL, and HIV-1 RNA was <200 copies/mL in 94%. Most (79%)
participants had neither frailty nor NCI; 2% had both; 4% had frailty only and
15% had NCI only. Over 2 years of observation, 100 (10%) participants experienced
recurrent falls, 175 (18%) worsening IADL limitation, 17 (2%) died, and 254 (26%)
experienced at least one of these poor health outcomes. In adjusted models,
frailty with NCI was associated with more than double the risk of poor health
outcome (PR 2.65; 95% CI 1.98, 3.54); a significant association was also seen
with frailty alone (PR 2.26; 95%CI 1.71, 2.99) and NCI alone (PR 1.73; 95% CI
1.36, 2.20). Conclusions: The presence of frailty with NCI was associated with a
greater risk of falls, disability or death in PLWH than NCI alone. Interventions
that target prevention or reversal of both frailty and NCI (such as increased
physical activity) may significantly limit poor health outcomes among PLWH.
PMID- 29788040
TI - Performance Comparison of Field Portable Instruments to the Scanning Mobility
Particle Sizer Using Monodispersed and Polydispersed Sodium Chloride Aerosols.
AB - This study compared the performance of the following field portable aerosol
instrument sets to performance of the reference Scanning Mobility Particle Sizer
(SMPS): the handheld CPC-3007, the portable aerosol mobility spectrometer (PAMS),
the NanoScan scanning mobility particle sizer (NanoScan SMPS) combined with an
optical particle sizer (OPS). Tests were conducted with monodispersed and
polydispersed aerosols. Monodispersed aerosols were controlled at the approximate
concentration of 1 * 105 particles cm-3 and four monodispersed particle sizes of
30, 60, 100, and 300 nm were selected and classified for the monodispersed
aerosol test, while three different steady-state concentration levels (low,
medium, and high: ~8 * 103, 5 * 104, and 1 * 105 particles cm-3, respectively)
were selected for the polydispersed aerosol test. For all four monodispersed
aerosol sizes, particle concentrations measured with the NanoScan SMPS were
within 13% of those measured with the reference SMPS. Particle concentrations
measured with the PAMS were within 25% of those measured with the reference SMPS.
Concentrations measured with the handheld condensation particle counter were
within 30% of those measured with the reference SMPS. For the polydispersed
aerosols, the particle sizes and concentrations measured with the NanoScan-OPS
compared most favorably with those measured with the reference SMPS for three
different concentration levels of low, medium, and high (concentration deviations
<=10% for all three concentration levels; deviations of particle size <=4%).
Although the particle-size comparability between the PAMS and the reference SMPS
was quite reasonable with the deviations within 10%, the polydispersed particle
concentrations measured with the PAMS were within 36% of those measured with the
reference SMPS. The results of this evaluation will be useful for selecting a
suitable portable device for our next workplace study phase of respiratory
protection assessment. This study also provided the advantages and limitations of
each individual portable instrument and therefore results from this study can be
used by industrial hygienists and safety professionals, with appropriate caution,
when selecting a suitable portable instrument for aerosol particle measurement in
nanotechnology workplaces.
PMID- 29788038
TI - Testing a longitudinal compensation model in premanifest Huntington's disease.
AB - The initial stages of neurodegeneration are commonly marked by normal levels of
cognitive and motor performance despite the presence of structural brain
pathology. Compensation is widely assumed to account for this preserved
behaviour, but despite the apparent simplicity of such a concept, it has proven
incredibly difficult to demonstrate such a phenomenon and distinguish it from
disease-related pathology. Recently, we developed a model of compensation whereby
brain activation, behaviour and pathology, components key to understanding
compensation, have specific longitudinal trajectories over three phases of
progression. Here, we empirically validate our explicit mathematical model by
testing for the presence of compensation over time in neurodegeneration.
Huntington's disease is an ideal model for examining longitudinal compensation in
neurodegeneration as it is both monogenic and fully penetrant, so disease
progression and potential compensation can be monitored many years prior to
diagnosis. We defined our conditions for compensation as non-linear longitudinal
trajectories of brain activity and performance in the presence of linear neuronal
degeneration and applied our model of compensation to a large longitudinal cohort
of premanifest and early-stage Huntington's disease patients from the multisite
Track-On HD study. Focusing on cognitive and motor networks, we integrated
progressive volume loss, task and resting state functional MRI and cognitive and
motor behaviour across three sequential phases of neurodegenerative disease
progression, adjusted for genetic disease load. Multivariate linear mixed models
were fitted and trajectories for each variable tested. Our conceptualization of
compensation was partially realized across certain motor and cognitive networks
at differing levels. We found several significant network trends that were more
complex than that hypothesized in our model. These trends suggest changes to our
theoretical model where the network effects are delayed relative to performance
effects. There was evidence of compensation primarily in the prefrontal component
of the cognitive network, with increased effective connectivity between the left
and right dorsolateral prefrontal cortex. Having developed an operational model
for the explicit testing of longitudinal compensation in neurodegeneration, it
appears that general patterns of our framework are consistent with the empirical
data. With the proposed modifications, our operational model of compensation can
be used to test for both cross-sectional and longitudinal compensation in
neurodegenerative disease with similar patterns to Huntington's disease.
PMID- 29788042
TI - Structure-Function Relationships in Endocrinology.
PMID- 29788041
TI - Do older and younger patients derive similar survival benefits from novel
oncology drugs? A systematic review and meta-analysis.
AB - Background: older patients are commonly believed to derive less benefit from
cancer drugs, even if they fulfil clinical trial eligibility [Talarico et al.
(2004, J Clin Oncol, 22(22):4626-31)]. We aim to examine if novel oncology drugs
provide differential age-based treatment outcomes for patients on clinical
trials. Methods: a systematic review of randomised control trials (RCTs) cited
for clinical efficacy evidence in novel oncology drug approvals by the Food and
Drug Administration, European Medicines Agency and Health Canada between 2006 and
2017 was conducted. Studies reporting age-based subgroup analyses for overall or
progression-free survival (OS/PFS) were included. Hazard ratios (HRs) and
confidence intervals (CIs) for age-based subgroups were extracted. Meta-analyses
with random effects were conducted, examining patient subgroups <65 and >=65
years separately and pooled HRs of studies primary endpoints (OS or PFS) compared
to examine if differences existed between age-based subgroups. Sensitivity
analyses were conducted for cancer type, primary endpoint and systemic treatment.
Results: one-hundred-two RCTs, including 65,122 patients, met the inclusion
criteria. One study reported age-based toxicity and none reported age-based
quality of life (QOL) results. Pooled HRs [95% CIs] for patients <65 and >=65
years were 0.61 [0.57-0.65] and 0.65 [0.61-0.70], respectively, with no
difference between them (P = 0.14). Sensitivity analyses revealed similar
results. Conclusion: our results suggest that older and young patients, who
fulfil clinical trial eligibility, may derive similar relative survival benefits
from novel oncology drugs. There is, however, a need to report age-based toxicity
and QOL results to support patient discussions regarding the balance of treatment
benefit and harm, to encourage informed decision-making.
PMID- 29788043
TI - Reply to Garcia-Granja et al.
PMID- 29788044
TI - Clinical and haemodynamic outcomes of balloon-expandable transcatheter mitral
valve implantation: a 7-year experience.
AB - Aims: We analysed the early and long-term clinical and haemodynamic outcomes of
balloon-expandable transcatheter mitral valve implantation (TMVI) in an
experienced centre. Methods and results: All patients undergoing TMVI from July
2010 to July 2017 in our centre were prospectively included. Indication for TMVI
relied on the judgement of the local heart team. Patients were followed at 1
month, 1 year, and yearly thereafter. A total of 91 patients underwent TMVI. The
median age was 73 (57-81) years and 70% of patients were women. Patients were at
high risk for surgery with a median EuroSCORE II of 9.6 (4.0-14.6) %. Indication
for TMVI was bioprosthesis failure (valve-in-valve) in 37.3%, annuloplasty
failure (valve-in-ring) in 33.0%, and severe mitral annulus calcification (MAC)
in 29.7%. The transseptal approach was used in 92.3% of patients and balloon
expandable valves were used in all patients. Technical success was achieved in
84.6% of patients, one patient died during the procedure and haemodynamically
significant left ventricular outflow tract obstruction occurred in three patients
(3.3%). At 30 days, 7.7% of patients had died, without significant differences
between groups, and a major stroke occurred in 2.2% of patients. The cumulative
rates of all-cause mortality at 1-year and 2-year follow-up were 21.0% [95%
confidence interval (CI) 9.9-38.8] and 35.7% (95% CI 19.2-56.5), respectively,
with a higher late mortality in patients with MAC. The 2-year rates of re
intervention and valve thrombosis were 8.8% and 14.4%, respectively. At 6 months
to 1 year, 68.9% of patients were in New York Heart Association Class I or II,
and 90.7% of patients had mild or less mitral regurgitation. The mean transmitral
gradient decreased from 9.3 +/- 3.9 mmHg at baseline to 6.0 +/- 2.3 mmHg at
discharge (P < 0.001) without changes at 6-month to 1-year follow-up. Conclusion:
Transcatheter mitral valve implantation using balloon-expandable valves in
selected patients with bioprosthesis or annuloplasty failure or severe MAC was
associated with a low rate of peri-procedural complications and acceptable long
term outcomes.
PMID- 29788046
TI - A carnivore embryo's perspective on essential amino acids and ammonium in culture
medium: effects on the development of feline embryos.
AB - Carnivores are an interesting model for studies of embryonic amino acid
metabolism and ammonium (NH4+) toxicity given the high protein content of their
diets. Our objectives were to examine concentration- and stage-specific effects
of essential amino acids (EAA; 0x, 0.125x, 0.25x, 0.5x, or 1.0x the
concentrations in Minimum Essential Medium) and NH4+ (0, 300, or 600 MUM) on the
development and metabolism of feline embryos. The presence of EAA, regardless of
concentration, during days 3 to 7 of culture increased (P < 0.01) the proportion
of embryos that initiated hatching (>14.3%) and the total number of cells per
blastocyst (>148.3 cells) compared to embryos cultured without EAA (0.0% and
113.2 +/- 3.7 cells, respectively). The presence of EAA during days 1 to 3
(0.25x) and 3 to 7 (1.0x) of culture increased (P < 0.01) the proportions of
embryos that formed blastocysts (82.9 +/- 4.2%) and initiated hatching (32.9 +/-
5.2%), and the number of cells per blastocyst (247.9 +/- 12.1 cells), compared to
control embryos (60.0 +/- 5.3%, 0.0%, 123.2 +/- 8.1 cells, respectively). The
presence of NH4+ in the medium did not affect (P > 0.05) development of feline
embryos. The addition of EAA or NH4+ during culture did not affect (P > 0.05) the
production of Gln by feline embryos, but decreased (P < 0.05) production of Ala
and increased (P < 0.05) production of urea. Additional work is needed to
determine if our observations are unique to feline embryos or reflect an
adaptation to a high protein diet that is conserved in other carnivores.
PMID- 29788045
TI - Molecular epidemiology of Candida auris in Colombia reveals a highly-related,
country-wide colonization with regional patterns in Amphotericin B resistance.
AB - Background: Candida auris is a multidrug-resistant yeast associated with hospital
outbreaks worldwide. During 2015-2016, multiple outbreaks were reported in
Colombia. We aimed to understand the extent of contamination in healthcare
settings and to characterize the molecular epidemiology of C. auris in Colombia.
Methods: We sampled patients, patient contacts, healthcare workers, and the
environment in four hospitals with recent C. auris outbreaks. Using standardized
protocols, people were swabbed at different body sites. Patient and procedure
rooms were sectioned into four zones and surfaces were swabbed. We performed
whole-genome sequencing (WGS) and antifungal susceptibility testing (AFST) on all
isolates. Results: Seven (41%) of the 17 people swabbed were found to be
colonized. C. auris was isolated from 37/322 (12%) environmental samples. These
were collected from a variety of items in all four zones. WGS and AFST revealed
that although isolates were similar throughout the country, isolates from the
northern region were genetically distinct and more resistant to amphotericin B
(AmB) than the isolates from central Colombia. Four novel non-synonymous
mutations were found to be significantly associated with AmB resistance.
Conclusions: Our results show that extensive C. auris contamination can occur and
highlight the importance of adherence to appropriate infection control practices
and disinfection strategies. Observed genetic diversity supports healthcare
transmission and a recent expansion of C. auris within Colombia with divergent
AmB susceptibility.
PMID- 29788047
TI - Haemodynamics, dyspnoea, and pulmonary reserve in heart failure with preserved
ejection fraction.
AB - Aims: Increases in left ventricular filling pressure are a fundamental
haemodynamic abnormality in heart failure with preserved ejection fraction
(HFpEF). However, very little is known regarding how elevated filling pressures
cause pulmonary abnormalities or symptoms of dyspnoea. We sought to determine the
relationships between simultaneously measured central haemodynamics, symptoms,
and lung ventilatory and gas exchange abnormalities during exercise in HFpEF.
Methods and results: Subjects with invasively-proven HFpEF (n = 50) and non
cardiac causes of dyspnoea (controls, n = 24) underwent cardiac catheterization
at rest and during exercise with simultaneous expired gas analysis. During
submaximal (20 W) exercise, subjects with HFpEF displayed higher pulmonary
capillary wedge pressures (PCWP) and pulmonary artery pressures, higher Borg
perceived dyspnoea scores, and increased ventilatory drive and respiratory rate.
At peak exercise, ventilation reserve was reduced in HFpEF compared with
controls, with greater dead space ventilation (higher VD/VT). Increasing exercise
PCWP was directly correlated with higher perceived dyspnoea scores, lower peak
exercise capacity, greater ventilatory drive, worse New York Heart Association
(NYHA) functional class, and impaired pulmonary ventilation reserve. Conclusion:
This study provides the first evidence linking altered exercise haemodynamics to
pulmonary abnormalities and symptoms of dyspnoea in patients with HFpEF. Further
study is required to identify the mechanisms by which haemodynamic derangements
affect lung function and symptoms and to test novel therapies targeting exercise
haemodynamics in HFpEF.
PMID- 29788049
TI - Evidence that divergent selection shapes a developmental cline in a forest tree
species complex.
AB - Background and Aims: Evolutionary change in developmental trajectories
(heterochrony) is a major mechanism of adaptation in plants and animals. However,
there are few detailed studies of the variation in the timing of developmental
events among wild populations. We here aimed to identify the climatic drivers and
measure selection shaping a genetic-based developmental cline among populations
of an endemic tree species complex on the island of Tasmania. Methods: Seed lots
from 38 native provenances encompassing the clinal transition from the
heteroblastic Eucalyptus tenuiramis to the homoblastic Eucalyptus risdonii were
grown in a common-garden field trial in southern Tasmania for 20 years. We used
27 climatic variables to model the provenance variation in vegetative juvenility
as assessed at age 5 years. A phenotypic selection analysis was used to measure
the fitness consequences of variation in vegetative juvenility based on its
impact on the survival and reproductive capacity of survivors at age 20 years.
Key Results: Significant provenance divergence in vegetative juvenility was shown
to be associated with home-site aridity, with the retention of juvenile foliage
increasing with increasing aridity. Our results indicated that climate change may
lead to different directions of selection across the geographic range of the
complex, and in our mesic field site demonstrated that total directional
selection within phenotypically variable provenances was in favour of reduced
vegetative juvenility. Conclusions: We provide evidence that heteroblasty is
adaptive and argue that, in assessing the impacts of rapid global change,
developmental plasticity and heterochrony are underappreciated processes which
can contribute to populations of long-lived organisms, such as trees, persisting
and ultimately adapting to environmental change.
PMID- 29788048
TI - Robustness of Transposable Element Regulation but No Genomic Shock Observed in
Interspecific Arabidopsis Hybrids.
AB - The merging of two divergent genomes in a hybrid is believed to trigger a
"genomic shock", disrupting gene regulation and transposable element (TE)
silencing. Here, we tested this expectation by comparing the pattern of
expression of transposable elements in their native and hybrid genomic context.
For this, we sequenced the transcriptome of the Arabidopsis thaliana genotype Col
0, the A. lyrata genotype MN47 and their F1 hybrid. Contrary to expectations, we
observe that the level of TE expression in the hybrid is strongly correlated to
levels in the parental species. We detect that at most 1.1% of expressed
transposable elements belonging to two specific subfamilies change their
expression level upon hybridization. Most of these changes, however, are of small
magnitude. We observe that the few hybrid-specific modifications in TE expression
are more likely to occur when TE insertions are close to genes. In addition,
changes in epigenetic histone marks H3K9me2 and H3K27me3 following hybridization
do not coincide with TEs with changed expression. Finally, we further examined TE
expression in parents and hybrids exposed to severe dehydration stress. Despite
the major reorganization of gene and TE expression by stress, we observe that
hybridization does not lead to increased disorganization of TE expression in the
hybrid. Although our study did not examine TE transposition activity in hybrids,
the examination of the transcriptome shows that TE expression is globally robust
to hybridization. The term "genomic shock" is perhaps not appropriate to describe
transcriptional modification in a viable hybrid merging divergent genomes.
PMID- 29788050
TI - Rabies Preexposure Prophylaxis: Application of Updated World Health Organization
Position to Travelers.
PMID- 29788051
TI - Proximal pulmonary vascular stiffness as a prognostic factor in children with
pulmonary arterial hypertension.
AB - Aims: Main pulmonary artery (MPA) stiffness and abnormal flow haemodynamics in
pulmonary arterial hypertension (PAH) are strongly associated with elevated right
ventricular (RV) afterload and associated with disease severity and poor clinical
outcomes in adults with PAH. However, the long-term effects of MPA stiffness on
RV function in children with PAH remain poorly understood. This study is the
first comprehensive evaluation of MPA stiffness in children with PAH, delineating
the mechanistic relationship between flow haemodynamics and MPA stiffness as well
as the prognostic ability of these measures regarding clinical outcomes. Methods
and results: Fifty-six children diagnosed with PAH underwent baseline cardiac
magnetic resonance (CMR) acquisition and were compared with 23 control subjects.
MPA stiffness and wall shear stress (WSS) were evaluated using phase contrast CMR
and were evaluated for prognostic potential along with standard RV volumetric and
functional indices. Pulse wave velocity (PWV) was significantly increased (2.8
m/s vs. 1.4 m/s, P < 0.0001) and relative area change (RAC) was decreased (25%
vs. 37%, P < 0.0001) in the PAH group, correlating with metrics of RV
performance. Decreased WSS was associated with a decrease in RAC over time (r =
0.679, P < 0.001). For each unit increase in PWV, there was approximately a 3.2
fold increase in having a moderate clinical event. Conclusion: MPA stiffness
assessed by non-invasive CMR was increased in children with PAH and correlated
with RV performance, suggesting that MPA stiffness is a major contribution to RV
dysfunction. PWV is predictive of moderate clinical outcomes, and may be a useful
prognostic marker of disease activity in children with PAH.
PMID- 29788053
TI - Reversing Ongoing Chronic Intestinal Inflammation and Fibrosis by Sustained Block
of IL-12 and IL-23 Using a Vaccine in Mice.
AB - Interleukin (IL)-12 and IL-23 that share subunit p40 are important cytokines in
the pathogenesis of inflammatory bowel disease. We reported that mouse p40
peptide-based vaccines ameliorated intestinal inflammation in the prevention of
trinitrobenzene sulfonic acid (TNBS)-induced murine colitis model. Here, we
evaluated whether administration of the vaccine after establishment of colitis
would be effective in modifying both TNBS-induced and dextran sulfate sodium
(DSS)-induced chronic colitis and the underlying immune mechanisms. We further
examined whether vaccination could exacerbate infections. Chronic colitis was
developed by either intrarectally administrating TNBS or drinking 4% DSS water.
Vaccination started after two TNBS administrations or 7 days of DSS treatment.
Results showed that administrating p40 vaccine induced high tittered antibodies
to IL-12 and IL-23, improved clinical scores, reduced intestinal inflammation and
fibrosis, and down-regulated proinflammatory cytokine productions in colon
tissue, compared with control mice. Furthermore, in lamina propria mononuclear
cells and/or mesenteric lymph nodes, mice immunized with p40 peptide vaccine
exhibited high ratios of Treg/Th1 and Treg/Th17 cells and increased IL-10
expression in CD11c+IL-10+cells. In mice infected with lung chlamydia, in which
the protective role of Th1/Th17 is well documented, vaccine immunization did not
increase lung bacterial burden. We conclude that p40 vaccine may provide a
potential and safe approach for treatment of IBD.
10.1093/ibd/izy142_videoizy142.video5785979965001.
PMID- 29788054
TI - Clinical implications of asymptomatic Plasmodium falciparum infections in Malawi.
AB - Background: Asymptomatic Plasmodium falciparum infections are common in Malawi,
however, the implications of these infections for the burden of malaria illness
are unknown. Whether asymptomatic infections eventually progress to malaria
illness, persist without causing symptoms, or clear spontaneously remains
undetermined. We identified asymptomatic infections and evaluated the
associations between persistent asymptomatic infections and malaria illness.
Methods: Children and adults (N = 120) who presented at a health facility with
uncomplicated malaria were followed monthly for two years. During follow-up
visits, participants with malaria symptoms were tested and treated if positive.
Samples from all visits were tested for parasites using both microscopy and PCR,
and all malaria infections underwent genotyping. Cox frailty models were used to
estimate the temporal association between asymptomatic infections and malaria
illness episodes. Mixed models were used to estimate the odds of clinical
symptoms associated with new versus persistent infections. Results: Participants
had a median follow-up time of 720 days. Asymptomatic infections were detected
during 23% of visits. Persistent asymptomatic infections were associated with
decreased risk of malaria illness in all ages (HR 0.50, p < 0.001). When
asymptomatic infections preceded malaria illness, newly acquired infections were
detected at 92% of subsequent clinical episodes, independent of presence of
persistent infections. Malaria illness among children was more likely due to
newly acquired infections (OR 1.4, 95%CI 1.3-1.5) than to persistent infections.
Conclusions: Asymptomatic P. falciparum infections are associated with decreased
incidence of malaria illness but do not protect against disease when new
infection occurs.
PMID- 29788055
TI - Clinical Benefit of Capsule Endoscopy in Crohn's Disease: Impact on Patient
Management and Prevalence of Proximal Small Bowel Involvement.
AB - Background: Ileocolonoscopy and computed tomography (CT) or magnetic resonance
(MR) enterography (CTE/MRE) are utilized to evaluate patients with small bowel
(SB) Crohn's disease (CD). The purpose of our study was to estimate the impact of
capsule endoscopy (CE) on patient management after clinical assessment,
ileocolonoscopy, and CTE/MRE. Methods: We prospectively analyzed 50 adult CD
patients without strictures at clinically indicated ileocolonoscopy and CTE/MRE
exams. Providers completed pre- and post-CE clinical management questionnaires.
Pre-CE questionnaire assessed likelihood of active SBCD and management plan using
a 5-point level of confidence (LOC) scales. Post-CE questionnaire assessed
alteration in management plans and contribution of CE findings to these changes.
A change of >=2 on LOC scale was considered clinically meaningful. Results: Of
the 50 patients evaluated (60% females), median age was 38 years, median disease
duration was 3 years, and median Crohn's Disease Activity Index (CDAI) score was
238 points. All CTE/MRE studies were negative for proximal disease. CE detected
proximal disease in 14 patients (28%) with a median Lewis score of 215 points. CE
findings altered management in 17 cases (34%). The most frequent provider
perceived benefits of CE were addition of new medication (29%) and exclusion of
active SB mucosal disease (24%). Conclusion: CE is a safe imaging modality that
alters clinical management in patients with established SBCD by adding
incremental information not available at ileocolonoscopy and cross-sectional
enterography.
PMID- 29788056
TI - Bacterial biofilm formation on the hyphae of ectomycorrhizal fungi: a widespread
ability under controls?
AB - Ectomycorrhizal (ECM) fungi establish symbiosis with roots of most trees of
boreal and temperate ecosystems and are major drivers of nutrient fluxes between
trees and the soil. ECM fungi constantly interact with bacteria all along their
life cycle and the extended networks of hyphae provide a habitat for complex
bacterial communities. Despite the important effects these bacteria can have on
the growth and activities of ECM fungi, little is known about the mechanisms by
which these microorganisms interact. Here we investigated the ability of bacteria
to form biofilm on the hyphae of the ECM fungus Laccaria bicolor. We showed that
the ability to form biofilms on the hyphae of the ECM fungus is widely shared
among soil bacteria. Conversely, some fungi, belonging to the Ascomycete class,
did not allow for the formation of bacterial biofilms on their surfaces. The
formation of biofilms was also modulated by the presence of tree roots and
ectomycorrhizae, suggesting that biofilm formation does not occur randomly in
soil but that it is regulated by several biotic factors. In addition, our study
demonstrated that the formation of bacterial biofilm on fungal hyphae relies on
the production of networks of filaments made of extracellular DNA.
PMID- 29788057
TI - Haustorium-endosperm relationships and the integration between developmental
pathways during reserve mobilization in Butia capitata (Arecaceae) seeds.
AB - Background and Aims: Palm seeds are interesting models for studying seed reserve
mobilization at the tissue level due to the abundance and complexity of reserves
stored in their living endosperm cells and the development of a highly
specialized haustorium. We studied structural and physiological aspects of the
initial phases of reserve mobilization in seeds of a neotropical palm, Butia
capitata, and sought to characterize the interactions between the different
developmental pathways of the haustorium and endosperm. Methods: Morphological
and histochemical evaluations of the haustorium, the endosperm adjacent to the
embryo, and the peripheral endosperm of dry, imbibed, dormant seeds and seeds
geminating for 2, 5 and 10 d were performed. Biochemical analyses included
determinations of endo-beta-mannanase activity, hormonal profiling (20 hormones
belonging to eight classes) and H2O2 quantification in various tissues. Key
Results: The mobilization of haustorium reserves was associated with germination
and involved distinct hormonal alterations in the endosperm related to H2O2
production. The mobilization of endosperm reserves occurred as a post-germination
event controlled by the seedling and involved major structural changes in the
haustorium, including growth (which increased contact with, and pressure on, the
endosperm) and the formation of an aerenchyma (thus facilitating O2 diffusion).
The flow of O2 to the endosperm and changes in endogenous contents of H2O2 and
hormones (cytokinins, auxins, brassinosteroids and ethylene) induced the
establishment of an endosperm digestion zone and the translocation of reserves to
the haustorium. Conclusions: The haustorium-endosperm relationship during reserve
mobilization plays a pivotal role in signal integration between growth and
degradation pathways in germinating seeds of Butia capitata.
PMID- 29788058
TI - Modeling Immunization To Infliximab in Children With Crohn's Disease Using
Population Pharmacokinetics: A Pilot Study.
AB - Background: Antidrug antibodies (ADAs) dramatically increase infliximab clearance
and are responsible for underexposure to the drug, leading to treatment failure.
This pilot study aimed at developing a population pharmacokinetic model to detect
and describe an early increase in infliximab clearance due to ADA. Methods:
Twenty children with Crohn's disease (CD) were followed for 1 year or until
treatment failure. Infliximab trough concentration, ADA, C-reactive protein
(CRP), and Paediatric Crohn's Disease Activity Index (PCDAI) were recorded at
each visit. A time-varying clearance population pharmacokinetic model was built
to detect and describe an increase in infliximab clearance, independent from ADA
testing. Factors associated with clearance variation and the relationships
between infliximab concentrations, clearance variation, and clinical response
were investigated. Results: The model detected important increases in clearance
in 4 patients. These patients had suboptimal early response, with higher mean
PCDAI (P = 0.0086) and CRP (P = 0.028) compared with other patients. Two of them
had detectable ADA. Clearance increase as described by the model and lower
infliximab trough concentration at week 2 were associated with poorer outcomes in
a multivariate Cox model (P = 0.001 and P = 0.0048, respectively). Conclusions:
Being able to detect an increase in infliximab clearance, this model could allow
the early detection of immunization to infliximab and therefore could help with
dose adjustment in patients with CD. Moreover, the results suggest that clearance
variations could be used as a predictive marker of clinical response. These
findings need to be confirmed in a larger cohort, however, and predictive factors
of clearance increase have to be investigated.
PMID- 29788052
TI - Fast Evolution and Lineage-Specific Gene Family Expansions of Aphid Salivary
Effectors Driven by Interactions with Host-Plants.
AB - Effector proteins play crucial roles in plant-parasite interactions by
suppressing plant defenses and hijacking plant physiological responses to
facilitate parasite invasion and propagation. Although effector proteins have
been characterized in many microbial plant pathogens, their nature and role in
adaptation to host plants are largely unknown in insect herbivores. Aphids rely
on salivary effector proteins injected into the host plants to promote phloem sap
uptake. Therefore, gaining insight into the repertoire and evolution of aphid
effectors is key to unveiling the mechanisms responsible for aphid virulence and
host plant specialization. With this aim in mind, we assembled catalogues of
putative effectors in the legume specialist aphid, Acyrthosiphon pisum, using
transcriptomics and proteomics approaches. We identified 3,603 candidate effector
genes predicted to be expressed in A. pisum salivary glands (SGs), and 740 of
which displayed up-regulated expression in SGs in comparison to the alimentary
tract. A search for orthologs in 17 arthropod genomes revealed that SG-up
regulated effector candidates of A. pisum are enriched in aphid-specific genes
and tend to evolve faster compared with the whole gene set. We also found that a
large fraction of proteins detected in the A. pisum saliva belonged to three gene
families, of which certain members show evidence consistent with positive
selection. Overall, this comprehensive analysis suggests that the large
repertoire of effector candidates in A. pisum constitutes a source of novelties
promoting plant adaptation to legumes.
PMID- 29788059
TI - Impact of right ventricular volume and function evaluated using cardiovascular
magnetic resonance imaging on outcomes after surgical ventricular reconstruction.
AB - OBJECTIVES: This study aimed to evaluate whether cardiac magnetic resonance
imaging (MRI)-derived right ventricular (RV) assessment can facilitate risk
stratification among patients with ischaemic cardiomyopathy who underwent
surgical ventricular reconstruction (SVR). METHODS: We retrospectively analysed
53 patients who underwent SVR. The patients were preoperatively evaluated using
cardiac MRI. Cine-MRI was acquired for left ventricular (LV) and RV volume.
Gadolinium-enhanced MRI was performed to evaluate LV scarring. The mid-term
(median, 58 months) risk factors of all-cause mortality and major adverse cardiac
events were analysed. RESULTS: A significant reduction in LV end-diastolic and
end-systolic volume index and an increase in LV ejection fraction were observed
early after SVR. RV end-diastolic volume index (RVEDVI) and RV end-systolic
volume index (RVESVI) decreased after SVR (preoperative versus postoperative:
RVEDVI, 71 +/- 24 vs 62 +/- 17 ml/m2, P = 0.006; RVESVI, 44 +/- 26 vs 37 +/- 16
ml/m2, P = 0.033), but RV ejection fraction did not change (preoperative versus
postoperative: RV ejection fraction 40.8+/-14.6 vs 42.0+/-11.0%, P = 0.067). At
follow-up, 25 deaths and 31 major adverse cardiac events occurred. After
adjustment for age, creatinine level and preoperative mitral regurgitation grade,
the Cox-hazard model indicated that RVEDVI [P = 0.006, hazard ratio (HR) 1.03,
95% confidence interval (CI) 1.01-1.05] and RVESVI [P = 0.007, HR 1.02, 95% CI
1.01-1.04] were significant predictors for all-cause mortality. As for major
adverse cardiac events, RVEDVI (P = 0.007, HR 1.03, 95% CI 1.01-1.05), RVESVI (P
= 0.002, HR 1.03, 95% CI 1.01-1.04) and RV ejection fraction (P = 0.018, HR 0.97,
95% CI 0.94-0.99) were significant. CONCLUSIONS: RV parameters were more
sensitive than LV parameters for predicting worse outcomes following SVR.
Preoperative assessment of RV volume and function using cardiac MRI may improve
the risk stratification of SVR.
PMID- 29788060
TI - Yeast mitochondria: an overview of mitochondrial biology and the potential of
mitochondrial systems biology.
AB - Mitochondria are dynamic organelles of endosymbiotic origin that are essential
components of eukaryal cells. They contain their own genetic machinery, have
multicopy genomes and like their bacterial ancestors they consist of two
membranes. However, the majority of the ancestral genome has been lost or
transferred to the nuclear genome of the host, preserving only a core set of
genes involved in oxidative phosphorylation. Mitochondria perform numerous
biological tasks ranging from bioenergetics to production of protein co-factors,
including heme and iron-sulfur clusters. Due to the importance of mitochondria in
many cellular processes, mitochondrial dysfunction is implicated in a wide
variety of human disorders. Much of our current knowledge on mitochondrial
function and dysfunction comes from studies using Saccharomyces cerevisiae. This
yeast has good fermenting capacity, rendering tolerance to mutations that
inactivate oxidative phosphorylation and complete loss of mitochondrial DNA.
Here, we review yeast mitochondrial metabolism and function with focus on S.
cerevisiae and its contribution in understanding mitochondrial biology. We
further review how systems biology studies, including mathematical modeling, has
allowed gaining new insight into mitochondrial function, and argue that this
approach may enable us to gain a holistic view on how mitochondrial function
interacts with different cellular processes.
PMID- 29788061
TI - Regulation of the heat shock transcription factor Hsf1 in fungi: implications for
temperature-dependent virulence traits.
AB - The impact of fungal pathogens on human health is devastating. For fungi and
other pathogens, a key determinant of virulence is the capacity to thrive at host
temperatures, with elevated temperature in the form of fever as a ubiquitous host
response to defend against infection. A prominent feature of cells experiencing
heat stress is the increased expression of heat shock proteins (Hsps) that play
pivotal roles in the refolding of misfolded proteins in order to restore cellular
homeostasis. Transcriptional activation of this heat shock response is
orchestrated by the essential heat shock transcription factor, Hsf1. Although the
influence of Hsf1 on cellular stress responses has been studied for decades, many
aspects of its regulation and function remain largely enigmatic. In this review,
we highlight our current understanding of how Hsf1 is regulated and activated in
the model yeast Saccharomyces cerevisiae, and highlight exciting recent
discoveries related to its diverse functions under both basal and stress
conditions. Given that thermal adaption is a fundamental requirement for growth
and virulence in fungal pathogens, we also compare and contrast Hsf1 activation
and function in other fungal species with an emphasis on its role as a critical
regulator of virulence traits.
PMID- 29788062
TI - Strained Bedfellows: An Actor-Partner Analysis of Spousal Attachment Insecurity
and Sleep Quality.
AB - Background: The quality of interpersonal ties-especially closer relationships
appears to be associated with physical health outcomes. Sleep is one pathway
through which relationships and health appear to be linked, but this has been
inadequately investigated in the context of dyadic attachment. Purpose: The
present study examined links between relationship-specific attachment anxiety
(which can involve preoccupation with one's partner, negative relationship
cognitions, and fear of abandonment) and avoidance (e.g., low emotional
investment or intimacy) and sleep quality. Methods: Attachment, assessed using
the Experience in Close Relationship (ECR), was used to predict Pittsburgh Sleep
Quality Inventory (PSQI)-assessed sleep quality in 92 married heterosexual
couples via actor-partner interdependence models. Depression was examined as a
potential mediator of this association. Results: Consistent with hypotheses,
actors' anxious attachment predicted diminished quality of their own sleep,
whereas actors' avoidant attachment was unrelated to their own sleep quality.
Results further suggested that couples in which both spouses were higher in
attachment anxiety experience better sleep quality (b = -0.74, SE = 0.28, p =
.0082, 95% CI [-1.287, -0.196]). Conversely, couples in which both spouses were
higher in attachment avoidance showed poorer sleep quality (b = 0.56, SE = 0.23,
p = .0188, 95% CI [0.095, 1.016]). These effects were found to be independent of
marital satisfaction and depression. Some evidence was also consistent with
mediation of links between attachment and sleep quality via depression.
Conclusions: Results suggest adult romantic attachment and sleep are associated
in complex ways, highlighting the importance of dyadic approaches to the study of
relationships, sleep, and health.
PMID- 29788063
TI - Lack of Difference in Treatment Patterns and Clinical Outcomes Between Black and
White Patients With Inflammatory Bowel Disease.
AB - Background: Previous reports have shown differences in phenotypes among black
patients with inflammatory bowel disease (IBD) compared with other racial groups,
but prior studies were limited by small numbers of black patients and cross
sectional analyses. We used data from the Sinai-Helmsley Alliance for Research
Excellence cohort to compare phenotypes and treatment patterns of black and white
patients with IBD in a prospective study. Methods: We compared phenotypes, IBD
specific therapies, and health care utilization among black and white patients
with IBD. For all analyses, we performed bivariate analyses and multivariable
logistic regression to adjust for potential confounders. Results: Among 5537
patients with IBD, 314 (6%) reported black race. Black patients were more likely
to report a Crohn's disease (CD)-related complication at baseline (adjusted odds
ratio [aOR], 1.44; 95% confidence interval [CI], 1.06-1.95). Black patients with
CD were more likely to develop a new abscess (aOR, 2.27; 95% CI, 1.31-3.93) and
initiate an anti-tumor necrosis factor therapy during follow-up (aOR, 1.85; 95%
CI, 1.09-3.14). Black patients with ulcerative colitis were more likely to have
proctitis (24% vs 13%, P = 0.033) at baseline. There were no differences in
surgery or hospitalization rates during the follow-up period. Conclusions: Black
patients with CD demonstrated increased complications at baseline and during
follow-up in this cohort. Despite more complicated disease, black and white
patients with IBD were generally given the same medications and experienced
similar rates of hospitalization and surgery during the study period. In our
multicenter cohort, clinical outcomes among black and white patients with IBD
were similar.
PMID- 29788065
TI - Commentary on: The Risk of Skin Necrosis Following Hyaluronic Acid Filler
Injection in Patients With a History of Cosmetic Rhinoplasty.
PMID- 29788064
TI - Project-based learning methodology in the area of microbiology applied to
undergraduate medical research.
AB - In the recent years, there has been a decrease in the number of medical
professionals dedicated to a research career. There is evidence that students
with a research experience during their training acquire knowledge and skills
that increase the probability of getting involved in research more successfully.
In the Degree of Medicine (University of the Basque Country) the annual core
subject 'Research Project' introduces students to research. The aim of this work
was to implement a project-based learning methodology, with the students working
on microbiology, and to analyse its result along time. Given an initial scenario,
the students had to come up with a research idea related to medical microbiology
and to carry out a research project, including writing a funding proposal,
developing the experimental assays and analyzing and presenting their results to
a congress organized by the University. Summative assessment was performed by
both students and teachers. A satisfaction survey was carried out to gather the
students' opinion. The overall results regarding to the classroom dynamics,
learning results and motivation after the implementation were favourable.
Students referred a greater interest about research than they had before. They
would choose the project based methodology versus the traditional one.
PMID- 29788067
TI - Control of Multidrug-Resistant Pseudomonas aeruginosa in Allogeneic Hematopoietic
Stem Cell Transplant Recipients by a Novel Bundle Including Remodeling of
Sanitary and Water Supply Systems.
PMID- 29788066
TI - Lipid changes and tolerability in a cohort of adult HIV-infected patients who
switched to rilpivirine/emtricitabine/tenofovir due to intolerance to previous
combination ART: the PRO-STR study.
AB - Objectives: To analyse lipid changes and tolerability in a cohort of HIV-infected
patients who switched their antiretroviral regimens to
rilpivirine/emtricitabine/tenofovir (RPV/FTC/TDF) in a real-world setting.
Methods: PRO-STR is a 48 week prospective observational post-authorization study
in 25 hospitals. Patients with a viral load <1000 copies/mL, receiving at least
12 months of combination ART (cART), with constant posology for at least the
prior 3 months, were categorized according to previous treatment [NNRTI or
ritonavir-boosted PI (PI/r)]. Analytical tests were performed at the baseline
visit, between week 16 and week 32, and at week 48. Results: A total of 303
patients were included (mean age 46.6 years; male 74.0%; previous treatment 74.7%
NNRTI and 25.3% PI/r). Both groups exhibited significantly reduced lipid
profiles, except for HDL cholesterol, for which a non-significant increase was
observed. [NNRTI patients: total cholesterol (baseline: 195.5 +/- 38.4 mg/dL;
week 48: 171.0 +/- 35.5 mg/dL), total cholesterol/HDL ratio (baseline: 4.2 +/-
1.2; week 48: 4.0 +/- 1.2), HDL (baseline: 49.1 +/- 12.0 mg/dL; week 48: 49.2 +/-
45.8 mg/dL), LDL (baseline: 119.2 +/- 30.2 mg/dL; week 48: 114.2 +/- 110.7
mg/dL), and triglycerides (baseline: 136.6 +/- 86.8 mg/dL; week 48: 113.4 +/-
67.8 mg/dL); PI/r patients: total cholesterol (baseline: 203.2 +/- 48.8 mg/dL;
week 48: 173.4 +/- 36.9 mg/dL), total cholesterol/HDL ratio (baseline: 4.7 +/-
1.6; week 48: 4.0 +/- 1.2), HDL (baseline: 46.4 +/- 12.5 mg/dL; week 48: 52.1 +/-
54.4 mg/dL), LDL (baseline: 127.0 +/- 36.3 mg/dL; week 48: 111.4 +/- 35.8 mg/dL),
and triglycerides (baseline: 167.6 +/- 107.7 mg/dL; week 48: 122.7 +/- 72.1
mg/dL)]. The most common intolerances were neuropsychiatric in the NNRTI patients
and gastrointestinal and metabolic in the PI/r patients, and these intolerances
were significantly reduced in both groups at week 48 [NNRTI: neuropsychiatric
(baseline: 81.3%; week 48: 0.0%); PI/r: gastrointestinal (baseline: 48.7%; week
48: 0.0%) and metabolic (baseline: 42.1%; week 48: 0.0%)]. Conclusions:
RPV/FTC/TDF improved the lipid profiles and reduced the intolerances after
switching from NNRTI or PI-based regimens, in a cohort of HIV-infected patients.
PMID- 29788068
TI - Commentary on: Treatment of Nasal Deviation With Underlying Bony Asymmetry
Secondary to Augmentation Rhinoplasty in Asian Patients.
PMID- 29788069
TI - Inflammatory Bowel Disease and Parkinson's Disease: A Nationwide Swedish Cohort
Study.
AB - Background: Few studies have examined the association between inflammatory bowel
disease (IBD) and Parkinson's disease (PD). Methods: To estimate the incidence
and relative risk of PD development in a cohort of adult IBD, we included all
incident IBD patients (n = 39,652) in the Swedish National Patient Register (NPR)
between 2002 and 2014 (ulcerative colitis [UC]: n = 24,422; Crohn's disease [CD]:
n = 11,418; IBD-unclassified [IBD-U]: n = 3812). Each IBD patient was matched for
sex, age, year, and place of residence with up to 10 reference individuals (n =
396,520). In a cohort design, all incident PD occurring after the index date was
included from the NPR. In a case-control design, all incident PD occurring before
the index date was included. The association between IBD and PD and vice versa
was investigated by multivariable Cox and logistic regression. Results: In IBD,
there were 103 cases of incident PD, resulting in hazard ratios (HRs) for PD of
1.3 (95% confidence interval [CI], 1.0-1.7; P = 0.04) in UC, 1.1 (95% CI, 0.7
1.7) in CD, and 1.7 (95% CI, 0.8-3.0) in IBD-U. However, these effects
disappeared when adjusting for number of medical visits during follow-up to
minimize potential surveillance bias. In a case-control analysis, IBD patients
were more likely to have prevalent PD at the time of IBD diagnosis than matched
controls, with odds ratios of 1.4 (95% CI, 1.2-1.8) in all IBD patients, 1.4 (95%
CI, 1.1-1.9) for UC, and 1.6 (95% CI, 1.1-2.3) for CD patients alone.
Conclusions: IBD is associated with an increased risk of PD, but some of this
association might be explained by surveillance bias.
10.1093/ibd/izy190_video1izy190.video15785623138001.
PMID- 29788071
TI - Educating Australian musicians: are we playing it safe?
AB - The effectiveness of health promotion through arts engagement, and the health
benefits and social importance of music in particular, are becoming increasingly
recognized. However, like sport, music-making is an athletic endeavour, one that
often involves high physiological and psychological loadings on the bodies and
minds of musicians. Research over the past 30 years has revealed alarming rates
of injury among musicians, and has identified health risk factors associated with
music performance faced by professional and student musicians. Australia lacks
consistent provision of essential health education for musicians, and research
shows an unacceptably high prevalence of performance-related health problems
among musicians of all ages. This article advocates for effective health
promotion to be embraced in the policies and practices of Australian music
performance organizations and educational bodies. It argues that a cultural shift
is required, recognizing that a settings-based approach to health literacy is as
fundamentally important for musicians as it is for any other occupation or
athletic activity. Embedding health education into the delivery of music
education will not only help to prevent injury over the lifespan of Australian
musicians, it will support and sustain their capacity to contribute towards
societal wellbeing and public health outcomes.
PMID- 29788070
TI - VT-1598 inhibits the in vitro growth of mucosal Candida strains and protects
against fluconazole-susceptible and -resistant oral candidiasis in IL-17
signalling-deficient mice.
AB - Background: Chronic mucocutaneous candidiasis (CMC) treatment often induces drug
resistance, posing long-term challenges. A novel broad-spectrum fungal CYP51
inhibitor, VT-1598, specifically targets fungal CYP51, but not human CYP enzymes.
Objectives: To determine the efficacy of VT-1598 in the treatment of oral Candida
infection caused by fluconazole-susceptible and -resistant clinical isolates.
Methods: The MICs of VT-1598 and fluconazole for 28 Candida isolates recovered
from patients with inherited CMC were determined using CLSI M27-A3 and M27-S4
guidelines. Plasma and tongue VT-1598 or fluconazole concentrations were measured
in mice following oral administration to determine tissue distribution. Tongue
fungal load was determined in IL-17 signalling-deficient Act1-/- mice following
sublingual Candida albicans infection and oral treatment with fluconazole or VT
1598. Results: Among the 28 Candida isolates, 10 (36%) had fluconazole MICs of
>=4 mg/L, whereas VT-1598 demonstrated potent in vitro activity against all
isolates (MIC90, 0.125 mg/L). After oral administration, VT-1598 levels in mouse
plasma and tongue were significantly greater than those of fluconazole. In vivo,
VT-1598 exhibited significant efficacy against fluconazole-susceptible and
resistant C. albicans, even at low drug doses. Furthermore, after a 10 day
washout period, tongue fungal burdens in fluconazole-treated mice returned to
vehicle control levels, whereas, in contrast, they were undetectable in mice
treated with VT-1598. Conclusions: VT-1598 effectively controls in vitro growth
of mucosally derived Candida clinical isolates, including fluconazole-resistant
strains. In vivo, VT-1598 eliminates C. albicans, even after a long washout
period or at low doses. Therefore, VT-1598 is a promising drug candidate that may
significantly improve treatment options for CMC patients.
PMID- 29788072
TI - Mathematical Models for Predicting Development of Orius majusculus (Heteroptera:
Anthocoridae) and Its Applicability to Biological Control.
AB - Complete development of Orius majusculus Reuter (Heteroptera: Anthocoridae) at
nine constant temperatures, between 12 and 34 degrees C, was evaluated under
laboratory conditions. The maximum developmental period of 90.75 d occurred at 12
degrees C, whereas the minimum of 11.34 d occurred at 30 degrees C. From 30 to 34
degrees C, the developmental period increased to 13.50 d. Between 21 and 33
degrees C the survival rate was more than 80%. The optimal temperature when
considering developmental rate and survival was between 24 and 30 degrees C. At
constant temperatures, four models were developed, one of which was linear and
three nonlinear (Logan type III, Lactin, and Briere). All models were validated
under field conditions and diel temperature variations. The values of the
adjusted determination coefficients of the linear (>0.77) and nonlinear models
(>0.93) were high. The thermal requirement for complete development, from egg to
adult, was 284.5 degree-days (DD). In all nonlinear models, elevated levels of
accuracy (>=90.31%) in field validation were also obtained, especially in the
Briere model. With the results obtained herein, the optimization of O. majusculus
mass rearing, its ideal use, and field management in biological control
strategies can be improved.
PMID- 29788073
TI - Video-assisted thoracoscopic anterior vertebral body tethering for the correction
of adolescent idiopathic scoliosis of the spine.
AB - Adolescent idiopathic scoliosis affects children aged 11-18 years. Severe disease
may necessitate spinal fusion. Vertebral body tethering gradually corrects
scoliosis as the patients grow. The application of video-assisted thoracic
surgery to the thoracic spine is a new area for further development.
PMID- 29788074
TI - Efficacy of anthelminthic drugs and drug combinations against soil-transmitted
helminths: a systematic review and network meta-analysis.
AB - Background: Periodic mass distribution of benzimidazole anthelminthic drugs is
the key strategy to control soil-transmitted helminths (STH) globally. However,
benzimidazoles have low efficacy against Trichuris trichiura, and there are
concerns about benzimidazole resistance potentially emerging in humans.
Therefore, identifying alternative drug regimens is a pressing priority. We
present a systematic review and network meta-analysis, comparing the efficacy of
21 different anthelminthic drug regimens, including standard, novel, and
combination treatments. Methods: We searched PubMed, MEDLINE, Embase, Web of
Science, and Cochrane databases and identified studies comparing anthelminthic
treatments to each other or placebo. The outcomes calculated were relative risk
(RR) of cure and difference in egg reduction rates (dERR). We used an automated
generalized pair-wise modelling framework to generate mixed treatment effects
against a common comparator, the current standard treatment (single-dose
albendazole). This study is registered with PROSPERO (CRD42016050739). Findings:
Our search identified 4876 studies, of which 114 were included in meta-analysis.
Results identified several drug combinations with higher efficacy than single
dose albendazole for T. trichiura, including albendazole-ivermectin (RR of cure
3.22, 95%CI 1.84-5.63; dERR 0.97, 95%CI 0.21-1.74), albendazole-oxantel pamoate
(RR 5.07, 95%CI 1.65-15.59; dERR 0.51, 95%CI 0.450-0.52), mebendazole-ivermectin
(RR 3.37, 95%CI 2.20-5.16), and tribendimidine-oxantel pamoate (RR 4.06, 95%CI
1.30-12.64). Interpretation: There are several promising drug combinations that
may enhance the impact of STH control programs on T. trichiura, without
compromising efficacy against A. lumbricoides and hookworm. We suggest further,
large-scale trials of these drug combinations and consideration of their use in
STH control programs where T. trichiura is present.
PMID- 29788075
TI - Effects of Immunonutrition in Advanced HIV Disease: a Randomized Placebo
Controlled Clinical Trial (Promaltia Study).
AB - Background: While nutritional interventions with prebiotics and probiotics seem
to exert immunological effects, their clinical implications in HIV-infected
subjects initiating ART at advanced HIV disease remain unclear. Methodology:
Pilot multicenter randomized, placebo-controlled, double-blind study in which 78
HIV-infected, ART-naive subjects with <350 CD4 T-cells/ul or AIDS were randomized
to either daily PMT25341 (a mixture of prebiotics, probiotics, oligonutrients,
DHA, EPA, GLA, and aminoacids) or placebo for 48 weeks, each in combination with
first-line ART. Primary endpoints were changes in CD4 T-cell counts and CD4/CD8
ratio from baseline to week 48 and safety. Secondary endpoints were changes in
markers of T-cell activation, bacterial translocation, inflammation, and
microbiota composition (Clinicaltrials.gov: NCT00870363). Results: Fifty-nine
participants completed the follow-up with a mean CD4+ T-cell count of 221108/ul
and mean CD4/CD8 ratio of 0.260.19. PMT25341 was well tolerated; without grade 3
4 adverse effects attributable to the intervention. While most of the assessed
biomarkers improved during the follow-up in both arms, PMT25341-treated subjects
did not experience any significant change, compared to placebo-treated subjects,
in median CD4+ T-cell count change (226 cells/ul vs. 414, P=0.461) or CD4/CD8
ratio change (0.21 vs. 0.48, P=0.854). Similarly, we did not detect differences
between treatment arms in the variations of %HLADR+CD38+ or %CD28- T-cells,
sCD14, LTA, IL-6, CRP, TNF-?, sCD163, IP-10, IL-7, IL-10, or IL-17 or alpha and
beta microbiota diversity. Conclusion: In HIV-infected patients initiating ART at
advanced disease, the clear immunological benefits of ART were not enhanced by
this nutritional intervention targeting the GALT and microbiota.
PMID- 29788076
TI - Histological and Molecular Adipose Tissue Changes Are Related to Metabolic
Syndrome Rather Than Lipodystrophy in Human Immunodeficiency Virus-Infected
Patients: A Cross-Sectional Study.
AB - Background: In human immunodeficiency virus (HIV)-infected patients on
combination antiretroviral therapy (cART), lipodystrophy shares many similarities
with metabolic syndrome, but only metabolic syndrome has objective classification
criteria. We examined adipose tissue changes related to lipodystrophy and
metabolic syndrome to clarify whether it may be acceptable to focus diagnosis on
metabolic syndrome rather than lipodystrophy. Methods: This is a cross-sectional
study of 60 HIV-infected men on cART and 15 healthy men. We evaluated
lipodystrophy (clinical assessment) and metabolic syndrome (JIS-2009). We
compared adipocyte size, leukocyte infiltration, and gene expression in abdominal
subcutaneous adipose tissue biopsies of patients with and without lipodystrophy
and with and without metabolic syndrome. Results: Lipodystrophy was only
associated with increased macrophage infiltration (P = .04) and adiponectin
messenger ribonucleic acid ([mRNA] P = .008), whereas metabolic syndrome was
associated with larger adipocytes (P < .0001), decreased expression of genes
related to adipogenesis and adipocyte function (P values between <.0001 and .08),
increased leptin mRNA (P = .04), and a trend towards increased expression of
inflammatory genes (P values between .08 and .6). Conclusions: Metabolic syndrome
rather than lipodystrophy was associated with major unfavorable abdominal
subcutaneous adipose tissue changes. In a clinical setting, it may be more
relevant to focus on metabolic syndrome diagnosis in HIV-infected patients on
cART with regards to adipose tissue dysfunction and risk of cardiometabolic
complications.
PMID- 29788078
TI - Reply.
PMID- 29788077
TI - IRGM Gene Variants Modify the Relationship Between Visceral Adipose Tissue and
NAFLD in Patients With Crohn's Disease.
AB - Background: Nonalcoholic fatty liver disease (NAFLD) is an increasingly
recognized comorbidity in Crohn's disease (CD), but the mechanisms are poorly
understood. Autophagy is a highly conserved process regulating innate immunity
that contributes to CD susceptibility. Emerging data suggest that variants in the
autophagy-governing IRGM gene may contribute to the accumulation of visceral
adipose tissue (VAT) and hepatic fat. Our objective was to characterize the
relationship between VAT, IRGM gene variants, and NAFLD risk in patients with CD.
Methods: We included all CD patients in the Prospective Registry in Inflammatory
Bowel Disease Study at Massachusetts General Hospital (PRISM) without history of
alcohol abuse or liver disease. Hepatic fat was quantified by liver attenuation
(LA) on computed tomography, with NAFLD defined by the validated liver:spleen
(L:S) ratio. NAFLD severity was estimated by the FIB-4 Index and alanine
aminotransferase (ALT). Using logistic regression modeling, we examined the
relationship between VAT, autophagy gene variants, and NAFLD risk. Results: Among
462 patients, 52% had NAFLD. Increasing VAT quartile was associated with reduced
LA (mean change, -7.43; 95% confidence interval [CI], -10.05 to -4.81; Ptrend <
0.0001). In the fully adjusted model, patients in the highest VAT quartile had a
2.2-fold increased NAFLD risk (95% CI, 1.21 to 4.14; Ptrend = 0.032) and a 4.2
fold increased risk of ALT>upper limit of normal (ULN) (95% CI, 1.19 to 14.76;
Ptrend = 0.017). The relationship between VAT and NAFLD was modified by IRGM
variants rs4958847 and rs13361189 (Pinteraction = 0.005 and Pinteraction < 0.001,
respectively). Conclusions: In a large CD cohort, VAT was directly associated
with prevalent NAFLD, and this relationship was augmented by functionally
annotated IRGM variants associated with impaired autophagy.
PMID- 29788079
TI - Long-Term Ambient Temperature and Externalizing Behaviors in Adolescents.
AB - The climate-violence relationship has been debated for decades, and yet most of
the supportive evidence has come from ecological or cross-sectional analyses with
very limited long-term exposure data. We conducted an individual-level,
longitudinal study to investigate the association between ambient temperature and
externalizing behaviors of urban-dwelling adolescents. Participants (n = 1,287)
in the Risk Factors for Antisocial Behavior Study, in California, were examined
during 2000-2012 (aged 9-18 years) with repeated assessments of their
externalizing behaviors (e.g., aggression, delinquency). Ambient temperature data
were obtained from the local meteorological information system. In adjusted
multilevel models, aggressive behaviors significantly increased with rising
average temperatures (per 1 degrees C increment) in the preceding 1, 2, or 3
years (respectively, beta = 0.23, 95% confidence interval (CI): 0.00, 0.46; beta
= 0.35, 95% CI: 0.06, 0.63; or beta = 0.41, 95% CI: 0.08, 0.74), equivalent to
1.5-3.0 years of delay in age-related behavioral maturation. These associations
were slightly stronger among girls and families of lower socioeconomic status but
greatly diminished in neighborhoods with more green space. No significant
associations were found with delinquency. Our study provides the first individual
level epidemiologic evidence supporting the adverse association of long-term
ambient temperature and aggression. Similar approaches to studying meteorology
and violent crime might further inform scientific debates on climate change and
collective violence.
PMID- 29788081
TI - Proteomic Characterization of the Extracellular Matrix of Human Uterine Fibroids.
AB - Uterine leiomyomas (fibroids) are the most common benign tumors that are
associated with increased production of extracellular matrix (ECM). Excessive ECM
deposition plays a major role in the enlargement and stiffness of these tumors
and contributes to clinical symptoms, such as abnormal bleeding and abdominal
pain. However, no study so far has explored the global composition of the ECM of
fibroids and normal myometrium. In this study, we performed a systematic ECM
enrichment procedure and comparative proteomic analyses to profile the ECM
composition of genetically annotated different-sized fibroids (small, medium, and
large) and adjacent normal myometrium (ANM). Our matrisome analysis identified a
combined total of 108, 126, 126, and 130 unique ECM and ECM-associated proteins
with a confidence corresponding to a false discovery rate <1% in ANM and in
small, medium, and large fibroids, respectively. The majority of fibroid ECM
proteins belong to the core matrisome that includes glycoproteins, collagens, and
proteoglycans. Considering that the small-sized fibroids represent the initial
stages of leiomyogenesis, we highlighted some of the most abundant and important
upregulated ECM proteins in small fibroids (i.e., POSTN, TNC, COL3A1, COL24A1,
and ASPN). Furthermore, we revealed 30 unique ECM proteins that exist only in
fibroids but that are not present in ANM regardless of MED12 mutation. We propose
that some of the proteins identified represent potential novel ECM drug targets
that may change the paradigm of fibroid treatment.
PMID- 29788082
TI - Statistical testing against baseline in orthodontic research: a meta
epidemiologic study.
AB - Background/objectives: To assess the prevalence of within-group comparisons from
baseline to follow-up in published orthodontic articles and to identify potential
associations between this statistical problem and a number of study
characteristics. Materials/method: The most recent 24 issues of four leading
orthodontic journals with highest impact factor (American Journal of Orthodontics
and Dentofacial Orthopedics; AJODO, European Journal of Orthodontics; EJO, Angle
Orthodontist; ANGLE, Orthodontics and Craniofacial Research; OCR) were
electronically searched until December 31st 2017. The proportion of articles
using comparisons against baseline and interpretation of findings according to
within-group comparisons were recorded. The association of this practice with
journal, year of publication, study design, continent of authorship, number of
centres and researchers, statistical significance of results, and statistical
analysis was tested. Univariable and multivariable modified Poisson regression
were used to identify significant predictors. Results: Overall, 339 articles were
eligible for inclusion with the majority published in ANGLE (n = 157, 46%),
followed by AJODO (n = 75, 22%), and EJO (n = 75, 22%). A total of 60 studies
(18%) presented interpretation of their findings based on within-group
comparisons against baseline in isolation. Statistical significance of the
primary outcome was a very strong predictor of the prevalence of this flawed
approach (RR: 2.33, 95% CIs: 1.22, 4.43; P = 0.01). Limitations: The effect of
time since publication was not addressed. Conclusions/implications: Statistical
testing and interpretation within groups is prevalent in orthodontic research.
Endorsement of accurate conduct and reporting of statistical analyses and
interpretation of research findings is important in order to promote optimal
inferences to support clinical decision-making.
PMID- 29788080
TI - Using Registry Data to Construct a Comparison Group for Programmatic
Effectiveness Evaluation: The New York City HIV Care Coordination Program.
AB - Many nonrandomized interventions rely upon a pre-post design to evaluate
effectiveness. Such designs cannot account for events external to the
intervention that may produce the outcome. We describe a method to construct a
surveillance registry-based comparison group, which allows for estimating the
effectiveness of the intervention while controlling for secular trends in the
outcome of interest. Using data from the population-based, human immunodeficiency
virus Surveillance Registry in New York City, we created a contemporaneous
comparison group for persons enrolled in the New York City human immunodeficiency
virus Care Coordination Program (CCP) from December 2009 to March 2013. Inclusion
in the Registry-based (non-CCP) comparison group required meeting CCP eligibility
criteria. To control for secular trends in the outcome, we randomly assigned
persons in the non-CCP, Registry-based comparison group a pseudoenrollment date
such that the distribution of pseudoenrollment dates matched the distribution of
enrollment dates among CCP enrollees. We then matched CCP to non-CCP persons on
propensity for enrollment in the CCP, enrollment dates, and baseline viral load.
Registry-based comparison group estimates were attenuated relative to pre-post
estimates of program effectiveness. These methods have broad applicability for
observational intervention effectiveness studies and programmatic evaluations for
conditions with surveillance registries.
PMID- 29788083
TI - Evaluation of an Intensive Interdisciplinary Pain Treatment Based on Acceptance
and Commitment Therapy for Adolescents With Chronic Pain and Their Parents: A
Nonrandomized Clinical Trial.
AB - Objective: Parental factors are central in the development and maintenance of
chronic pain in youths. Only a handful of studies have investigated the impact of
psychological treatments for pediatric chronic pain on parental factors, and the
relationships between changes in parental and adolescent factors. In the current
study, we evaluated the effects of an intensive interdisciplinary pain treatment
(IIPT) program based on Acceptance and Commitment Therapy (ACT) for adolescents
with chronic pain, on adolescent and parental variables, and the relationship
between parental psychological flexibility and adolescent pain acceptance.
Methods: Adolescents (N = 164) with chronic pain were included, with a mean age
of 15.5 years, and completed the 3-week treatment with an accompanying parent (N
= 164). Linear mixed-effects models were used to analyze change over time (from
pretreatment to 3-month follow-up) on parent (depression, health-related quality
of life and parent psychological flexibility) and adolescent (physical, social
and emotional functioning, and adolescent pain acceptance) variables.
Additionally, linear mixed-effects models were used to analyze the relationship
between parent psychological flexibility and adolescent pain acceptance. Results:
Results illustrated significant improvements over time in depressive symptoms and
levels of psychological flexibility in parents. Excluding social development,
adolescents improved significantly in all assessed aspects of functioning and
pain acceptance. Additionally, changes in parent psychological flexibility were
significantly associated with changes in adolescent pain acceptance. Conclusions:
Results indicated that treatment had positive effects for parents and
adolescents, and a significant positive relationship between changes in parent
psychological flexibility and adolescent pain acceptance was found.
PMID- 29788084
TI - Microbial activity during a coastal phytoplankton bloom on the Western Antarctic
Peninsula in late summer.
AB - Phytoplankton biomass during the austral summer is influenced by freezing and
melting cycles as well as oceanographic processes that enable nutrient
redistribution in the West Antarctic Peninsula (WAP). Microbial functional
capabilities, metagenomic and metatranscriptomic activities as well as inorganic
13C- and 15N-assimilation rates were studied in the surface waters of Chile Bay
during two contrasting summer periods in 2014. Concentrations of Chlorophyll a
(Chla) varied from 0.3 mg m-3 in February to a maximum of 2.5 mg m-3 in March,
together with a decrease in nutrients; however, nutrients were never depleted.
The microbial community composition remained similar throughout both sampling
periods; however, microbial abundance and activity changed with Chla levels. An
increased biomass of Bacillariophyta, Haptophyceae and Cryptophyceae was observed
along with night-grazing activity of Dinophyceae and ciliates (Alveolates).
During high Chla conditions, HCO3- uptake rates during daytime incubations
increased 5-fold (>2516 nmol C L-1 d-1), and increased photosynthetic transcript
numbers that were mainly associated with cryptophytes; meanwhile night time NO3-
(>706 nmol N L-1 d-1) and NH4+ (41.7 nmol N L-1 d-1) uptake rates were 2- and 3
fold higher, respectively, due to activity from Alpha-/Gammaproteobacteria and
Bacteroidetes (Flavobacteriia). Due to a projected acceleration in climate change
in the WAP, this information is valuable for predicting the composition and
functional changes in Antarctic microbial communities.
PMID- 29788085
TI - Involving young people in health promotion, research and policy-making: practical
recommendations.
AB - Youth is a dynamic and complex transition period in life where many factors
jeopardise its present and future health. Youth involvement enables young people
to influence processes and decisions that affect them, leading to changes in
themselves and their environment (e.g. peers, services, communities and
policies); this strategy could be applied to improve health and prevent diseases.
Nonetheless, scientific evidence of involving youth in health-related programmes
is scarce. The aim of this paper is to describe youth involvement as a health
promotion strategy and to compile practical recommendations for health promoters,
researchers and policy-makers interested in successful involvement of young
people in health-related programmes. These suggestions aim to encourage a
positive working synergy between adults and youth during the development,
implementation and evaluation of policies, research and/or health promotion
efforts that target adolescents.
PMID- 29788086
TI - Prognostic ability of new T1 descriptors in the tumour, node and metastasis
classification of surgically treated non-small-cell lung cancer.
AB - OBJECTIVES: In the tumour, node and metastasis (TNM) classification (8th edition)
of non-small-cell lung cancer, T (tumour size) is determined solely according to
the size of the solid component determined using computed tomography (CT).
However, it is unclear whether tumours of equal size but with differing solid and
part-solid components should be similarly treated. Herein, we assessed the
prognostic significance of the newly proposed T1 descriptors with respect to the
size of the solid component. METHODS: We analysed overall survival (OS) and
disease-free survival (DFS) between groups of patients (n = 255) with solid or
part-solid tumours using propensity score matching. The new staging system was
used for classification and comparison of survival. RESULTS: Chest CT detected 7
non-solid tumours, 123 part-solid tumours and 125 solid tumours. The 5-year OS
and DFS rates differed significantly between the solid tumour (OS 71.2%; DFS
65.4%) and part-solid tumour (OS 83.2%; DFS 78.2%) groups. However, among 81
propensity score matching pairs (including those matched according to the size of
the solid component), OS and DFS did not significantly differ between groups. The
5-year OS rates according to disease stage were as follows: cIA1 88.0%; cIA2
79.4% and cIA3 67.6%. CONCLUSIONS: Propensity score matching of solid tumour and
part-solid tumour groups did not reveal a significant difference in survival as a
function of the size of the solid component. A study of a larger cohort is
required to validate this result.
PMID- 29788087
TI - A Murine Frailty index based on Clinical and Laboratory Measurements: Links
between Frailty and Pro-inflammatory Cytokines differ in a Sex-specific Manner.
AB - A frailty index based on clinical deficit accumulation (FI-Clinical) quantifies
frailty in aging mice. We aimed to develop a laboratory test-based murine FI tool
(FI-Lab) and to investigate the effects of age and sex on FI-Lab scores, FI
Clinical scores and the combination (FI-Combined), as well as to explore links
between frailty and inflammation. Studies used older (17 & 23-months) C57BL/6
mice of both sexes. We developed an FI-Lab (blood pressure, blood chemistry,
echocardiography) based on deviation from reference values in younger adults (12
months), which showed similar characteristics to a human FI-Lab tool.
Interestingly, while FI-Clinical scores were higher in females, the opposite was
true for FI-Lab scores and there was no sex difference in FI-Combined scores. All
three FI tools revealed a positive correlation between pro-inflammatory cytokine
levels and frailty in aging mice that differed between the sexes. Elevated levels
of the pro-inflammatory cytokines interleukin-6, interleukin-9 and interferon
gamma were associated with higher FI scores in aging females, while levels of
interleukin-12p40 rose as FI scores increased in older males. Thus, an FI tool
based on common laboratory tests can quantify frailty in mice; the positive
correlation between inflammation and frailty scores in naturally-aging mice
differs between the sexes.
PMID- 29788089
TI - The Relationship Between Traumatic Brain Injury and Rates of Chronic Symptomatic
Illness in 202 Gulf War Veterans.
AB - Introduction: Although not a "signature injury" of Operation Desert Shield/Desert
Storm (i.e., Gulf War, GW), some GW veterans have a history traumatic brain
injury (TBI). For example, a previous study found that 12.2% of the GW veterans
from the Fort Devens Cohort Study had self-reported TBIs. The present study
sought to build upon this finding by examining the relationship between TBI and
chronic symptomatic illness in a different sample of GW veterans. Materials and
Methods: Participants were 202 GW veterans recruited from 2014 to 2018 at the San
Francisco Veterans Affairs Medical Center as part of a VA-funded study on the
effects of predicted exposure to low levels of sarin and cyclosarin on brain
structure and function. The Ohio State University TBI identification method was
used to determine lifetime history of TBI. The Kansas Gulf War Military History
and Health Questionnaire was used to assess symptoms and to determine cases of
Kansas Gulf War Illness (GWI) and Centers for Disease Control and Prevention
(CDC) Chronic Multisymptom Illness (CMI). Results: Nearly half (47%) the sample
had a history of TBI, but only 7% of the TBIs were sustained in injuries that
occurred during the GW. Most of the TBIs were sustained in injuries that occurred
prior to (73%) or after (34%) the GW. History of TBI was not associated with
higher rates of symptomatic illness when it was narrowly defined (i.e., Kansas
GWI cases or cases of severe CMI). History of TBI was only associated with higher
rates of symptomatic illness when it is broadly defined (i.e., CDC CMI or mild
moderate CMI). There was suggestive evidence that veterans who sustained TBIs
during the GW (only seven in the present sample) have poorer functional outcomes
compared with GW veterans with non-GW related TBIs. Conclusions: While TBIs were
uncommon during the GW, many GW veterans sustained TBIs prior or after the GW.
Because TBI and GWI/CMI share some overlapping symptoms, history of TBI may
appear to be associated with increased rates of chronic symptomatic illness in GW
veterans if chronic symptomatic illness is defined broadly (i.e., CDC CMI or mild
moderate CMI). History of pre-GW TBI did not affect the veterans' response to
exposures/experiences from the GW; however, there was suggestive evidence that
veterans who sustained TBIs during the GW may have poorer functional outcomes
that GW veterans without TBI or even GW veterans with non-GW-related TBIs.
Future, better powered studies with randomly and systematically select
participants from the larger population of GW veterans will need to confirm this
finding.
PMID- 29788088
TI - Corrigendum to: Report: NIA Workshop on Measures of Physiologic Resiliencies in
Human Aging.
PMID- 29788090
TI - Disease Burden and Outcome in Children and Young Adults With Concurrent Graves
Disease and Differentiated Thyroid Carcinoma.
AB - Context: Adults with differentiated thyroid cancer (DTC) and Graves disease (GD)
demonstrate a greater reported disease burden and aggressive DTC behavior. To
date, no studies have examined the impact and long-term outcome of concurrent GD
and DTC (GD-DTC) in children and young adults. Design: Single institution,
retrospective longitudinal cohort study between 1997 and 2016. Participants: One
hundred thirty-nine children and young adults with DTC, diagnosed at median age
15 (range, 5 to 23) years, compared with 12 patients with GD-DTC, median age 18
(range, 12 to 20) years. Major Outcome Measures: Patient demographics,
preoperative imaging, fine needle aspiration (FNA) cytology, operative and
pathological reports, laboratory studies, treatment, and subsequent 2-year
outcomes. Results: Compared with DTC, patients with GD-DTC were significantly
older at the time of DTC diagnosis (P < 0.01). Patients with GD-DTC were more
likely to exhibit microcarcinoma (P < 0.01), and 2 of 12 (17%) demonstrated tall
cell variant papillary thyroid cancer (PTC) vs 2 of 139 (2%) in patients who had
DTC alone (P = 0.03). Although patients with DTC showed greater lymphovascular
invasion (60% vs 25%; P = 0.03), no group differences were noted in
extrathyroidal extension, regional lymph node, and distant or lung metastasis.
There were no group differences in the 2-year outcome for remission, persistent
disease, or recurrence. Conclusions: Concurrent DTC in pediatric patients with GD
is not associated with a greater disease burden at presentation and shows no
significant difference in 2-year outcomes compared with DTC alone. Similar to
adults, microcarcinoma and tall cell variant PTC is prevalent in pediatric
patients with GD-DTC. For patients who have GD-DTC with an identified nodule on
ultrasound imaging prior to definitive therapy, FNA biopsy is recommended to
guide definitive treatment.
PMID- 29788091
TI - Determinants of incentive preferences for health behavior change in Japan.
AB - Interest in the use of incentives to promote health behavior change has been
growing worldwide. However, to develop an effective incentive program, accurate
information regarding individual preferences is essential. Therefore, the aim of
this study was to clarify determinants of incentive preferences for health
behavior change. A self-completed questionnaire survey regarding incentives for
health behavior change was conducted in a Japanese village in 2015. The outcome
measures were individual preferences for features of incentives, including item,
frequency, type and value. The types of incentives were defined as follows:
assured-type (given for participation); effort-type (given if participants make
some kind of effort); and outcome-type (given if participants make achievements
decided a priori). The associations with respondents' sex, age, presence of
lifestyle-related disease and stage in the transtheoretical model of health
behavior change were investigated using multivariate analysis. A total of 1469
residents responded to the survey. Significant associations with preference for
different incentive features were found as follows: for monetary items, female
and elderly; for high frequency, female and maintenance stage; for effort-type,
male, action stage and contemplation stage; and for outcome-type, maintenance
stage and action stage. Our results appeared to identify determinants of
incentive preferences for health behavior change. These findings are expected to
promote the development of an incentive program more in tune with individual
preferences.
PMID- 29788092
TI - Cytokine IL9 Triggers the Pathogenesis of Inflammatory Bowel Disease Through the
miR21-CLDN8 Pathway.
AB - Background: Cytokine interleukin-9 (IL9) plays an essential role in the
pathogenesis of inflammatory bowel disease. However, the molecular mechanism
underlying the IL9 pathway remains unknown. Here, we initiate a series of studies
to characterize the essential components of this pathway. Methods: The expression
of IL9 in colon biopsies from Crohn's disease (CD) and controls were examined by
quantitative polymerase chain reaction, immunoblot, and immunohistochemistry. The
trinitrobenzene sulfonic acid (TNBS)-induced colitis model was used to verify the
therapeutic efficiency of anti-IL9 mAb. Bioinformatics analysis was performed to
predict putative candidate microRNAs that mediate the crosstalk between the IL9
proinflammatory signal and the downstream target gene in intestinal barrier
function. Caco-2, NCM460, and SW480 cells were used to assess the specific
pathway in vitro. Results: We demonstrated the proinflammatory role of IL9 in the
colonic mucosa of patients with CD. The junction complex protein Claudin 8
(CLDN8) was identified as a critical downstream component of the IL9 inflammatory
cascade. Anti-IL9 treatment alleviated TNBS-induced colitis by restoring CLDN8
levels in the colonic mucosa. Notably, we characterized miR21 as a critical
player that mediates the crosstalk between the proinflammatory IL9 and the
downstream CLDN8 in both in vitro and in vivo models. Conclusions: Our results,
for the first time, uncover a critical role of miR21 and CLDN8 in the complex
network that IL9 regulates the intestinal epithelium barrier in the pathogenesis
of CD. Interventional blockade of the IL9-miR21-CLDN8 pathway could be a novel
therapeutic approach for the management of CD.
PMID- 29788093
TI - Reply to Buonfrate and Bisoffi.
PMID- 29788094
TI - Risk Factors for Group A Streptococcus Colonization During an Outbreak Among
People Experiencing Homelessness in Anchorage, Alaska, 2017.
AB - We identified risk factors for any emm type group A streptococcal (GAS)
colonization while investigating an invasive emm26.3 GAS outbreak among people
experiencing homelessness in Alaska. Risk factors included upper extremity skin
breakdown, sleeping outdoors, sharing blankets, and infrequent tooth brushing.
Our results may help guide control efforts in future outbreaks.
PMID- 29788096
TI - pNaKtide ameliorates renal interstitial fibrosis through inhibition of sodium
potassium adenosine triphosphatase-mediated signaling pathways in unilateral
ureteral obstruction mice.
AB - Background: Sodium-potassium adenosine triphosphatase (Na/K-ATPase) has been
shown to regulate Src activity by combining with Src to keep it in an inactive
form. We previously reported that Na/K-ATPase was downregulated in unilateral
ureteral obstruction (UUO) animals. In this study, we examined whether inhibition
of Na/K-ATPase-mediated Src signaling pathways ameliorated renal interstitial
fibrosis induced by UUO. Methods: UUO was performed on male C57BL/6J mice.
pNaKtide, a mimic of Na/K-ATPase, was administered by intraperitoneal injection
on Day 0 and Day 4 after ureteral ligation. Markers of interstitial fibrosis,
inflammation and oxidative stress and transforming growth factor-beta1 (TGF
beta1) expression were examined after the mice were sacrificed on Day 7.
Activation of Src and its downstream signaling effectors, including extracellular
regulated protein kinase 1/2 (ERK1/2), p38 mitogen-activated protein kinase (p38
MAPK) and protein kinase B (AKT), were evaluated. Results: pNaKtide
administration markedly attenuated myofibroblast accumulation and extracellular
matrix deposition in obstructed kidneys. Also, pNaKtide significantly reduced the
increased expression of 8-iso-prostaglandin F2alpha, TGF-beta1, interleukin-6 and
monocyte chemoattractant protein-1 (MCP-1), as well as reduced macrophage
infiltration, in UUO animals. All these changes were obtained, along with
inhibition of Src and its downstream effector activity. Conclusions: Na/K-ATPase
mediated signaling pathways contribute to fibrogenesis and could represent a
potential target in the treatment of renal fibrosis.
PMID- 29788097
TI - Hypertension treatment for older people-navigating between Scylla and Charybdis.
AB - Hypertension is a common condition in older people, but is often one of many
conditions, particularly in frail older people, and so is rarely managed in
isolation in the real world-which belies the bulk of the evidence upon which is
treatment decisions are often based. In this article, we discuss the issues of
ageing, including frailty and dementia, and their impact upon blood pressure
management. We examine the evidence base for managing hypertension in older
people, and explore some therapeutic ideas that might influence treatment
decisions and strategies, including shared decision making.
PMID- 29788095
TI - Effects of maturity at harvest on the nutritive value and ruminal digestion of
Eragrostis tef (cv. Moxie) when fed to beef cattle.
AB - Teff (Eragrostis tef cv. Moxie), a warm-season annual grass, could be an
excellent forage for beef cattle. However, there is limited information on its
nutritive value to cattle when harvested at different stages of maturity. Thus,
the objective of this research was to determine the effect of feeding teff hay
harvested at the boot (BT), early-heading (EH), or late-heading (LH) stages of
maturity on nutrient intake, ruminal fermentation characteristics, omasal
nutrient flow, and N utilization in beef cattle. Six ruminally cannulated beef
heifers (mean initial BW +/- SD, 476 +/- 32.6) were used in a replicated 3 * 3
Latin square design with 28-d periods (18 d for adaptation and 10 d for
measurements). Dry matter intake was measured daily. Indwelling pH loggers were
used to measure ruminal pH from days 21 to 28. Ruminal fluid and omasal digesta
were collected from days 26 to 28 to determine fermentation characteristics and
omasal nutrient flow. Fecal and urine samples to quantify N excretion were also
collected (days 26 to 28). Blood samples for plasma urea-N (PUN) determination
were collected 3 h post-feeding on day 28. There were no changes (P > 0.28) in
the ADF or NDF content of teff with advancing maturity, but indigestible NDF
increased (P < 0.01) with increasing maturity. Maturity had no effect (P >= 0.14)
on DMI, and ruminal total short-chain fatty acid (SCFA) concentration, pH,
digestibility, and outflow of DM, OM, NDF, ADF, and CP. However, the CP content
of BT hay was greater (P < 0.01) than for EH and LH hay (18.1, 14.1, and 11.5%,
respectively, DM basis), and this resulted in the higher CP intake (P < 0.01) for
heifers fed the BT than the EH and LH hay. Consequently, ruminal ammonia-N (NH3
N) concentration was greater (P < 0.01) for heifers fed BT than EH and LH hay,
thereby possibly explaining the tendency for a decrease (P = 0.08) in PUN
concentration, and a decrease (P < 0.01) in the excretion of total N, urine N,
and urea-N (UUN) with advancing maturity. However, fecal N excretion (g/d) did
not differ (P = 0.76). In conclusion, despite a decrease in CP intake and ruminal
NH3-N concentration, feeding beef heifers EH and LH compared to BT teff hay did
not compromise ruminal digestion and outflow of DM, OM, NDF, ADF, and CP, and
microbial protein synthesis. Advancing maturity in teff hay also resulted in a
decrease in the excretion of total N and urine N and UUN when fed to cattle.
PMID- 29788098
TI - Helicobacter pylori Colonization Protects Against Chronic Experimental Colitis by
Regulating Th17/Treg Balance.
AB - Background: Epidemiological studies have demonstrated an inverse association
between Helicobacter pylori infection and the risk of developing inflammatory
bowel disease (IBD). The mechanisms by which H. pylori infection protects against
IBD are unclear. Here, we explored the possible protective effects and mechanisms
of gastric H. pylori colonization on a chronic colitis model, with focus on
whether H. pylori exerted its effects through regulating Th17/Treg immune
responses. Methods: Chronic colitis was induced by dextran sulfate sodium (DSS)
treatment. Flow cytometry analysis was performed to determine Th17 cells, Treg
cells, and M1/M2 macrophages in the spleen, mesenteric lymph nodes, and colonic
lamina propria. The levels of Th17- and Treg-associated cytokines were measured
by quantitative polymerase chain reaction. The direct effect of H. pylori extract
on the polarization status of macrophages was determined in vitro. Results:
Gastric H. pylori colonization significantly ameliorated the severity of chronic
DSS-induced colitis. H. pylori colonization decreased Th17 cells and mRNA levels
of IL-17A, IL-17F, and IL-21 in the colon. Simultaneously, H. pylori colonization
increased Treg cells and IL-10 expression. As to cytokines driving Th17 and Treg
differentiation, H. pylori colonization increased TGFbeta and decreased IL-6 and
IL-23. Moreover, H. pylori colonization significantly increased M2 macrophages in
the colon. In vitro, H. pylori extract promotion of M2 macrophage polarization
was dependent on the presence of CagA. Conclusions: H. pylori colonization
protects against chronic DSS-induced colitis via balancing Th17/Treg responses
and shifting macrophages toward anti-inflammatory M2 phenotype. Our results
strengthen the rationale for gastric H. pylori colonization affecting the immune
homeostasis of the colon.
PMID- 29788099
TI - Biomarkers for Homologous Recombination Deficiency in Cancer.
AB - Defective DNA repair is a common hallmark of cancer. Homologous recombination is
a DNA repair pathway of clinical interest due to the sensitivity of homologous
recombination-deficient cells to poly-ADP ribose polymerase (PARP) inhibitors.
The measurement of homologous recombination deficiency (HRD) in cancer is
therefore vital to the appropriate design of clinical trials incorporating PARP
inhibitors. However, methods to identify HRD in tumors are varied and
controversial. Understanding existing and new methods to measure HRD is important
to their appropriate use in clinical trials and practice. The aim of this review
is to summarize the biology and clinical validation of current methods to measure
HRD, to aid decision-making for patient stratification and translational research
in PARP inhibitor trials. We discuss the current clinical development of PARP
inhibitors, along with established indicators for HRD such as germline BRCA1/2
mutation status and clinical response to platinum-based therapy. We then examine
newer assays undergoing clinical validation, including 1) somatic mutations in
homologous recombination genes, 2) "genomic scar" assays using array-based
comparative genomic hybridization (aCGH), single nucleotide polymorphism (SNP)
analysis or mutational signatures derived from next-generation sequencing, 3)
transcriptional profiles of HRD, and 4) phenotypic or functional assays of
protein expression and localization. We highlight the strengths and weaknesses of
each of these assays, for consideration during the design of studies involving
PARP inhibitors.
PMID- 29788101
TI - Left ventricular stroke volume in severe aortic stenosis and preserved left
ventricular ejection fraction: prognostic relevance.
PMID- 29788100
TI - A Cell-Based Approach to the Treatment of Inflammatory Bowel Disease-Entering an
Era of Regenerative Medicine.
AB - Corticosteroids, and more recently biologics, have been the mainstay of therapy
for patients with inflammatory bowel disease. Unfortunately, both are fraught
with side effects and limited by lack of efficacy or loss of response. Many
patients ultimately succumb to medically refractory disease and require an
operation. As we enter an era of regenerative medicine, a new genre of cell-based
therapeutics offers significant promise for both an improved safety profile and
improved efficacy compared with conventional treatment approaches. The ability to
restore and rebuild health with autologous products rather than react to disease
with pharmaceuticals gives hope to those who treat inflammatory bowel disease.
The rationale and ongoing trials in an emerging field of medicine-regenerative
medicine-is herein discussed.
PMID- 29788102
TI - Legalization of Medicinal Marijuana Has Minimal Impact on Use Patterns in
Patients With Inflammatory Bowel Disease.
AB - Background: Patients with inflammatory bowel disease (IBD) have reported use of
marijuana to treat symptoms of the disease, yet its classification as a Schedule
1 substance by the federal government has restricted its use. In 2012,
Massachusetts legalized medicinal marijuana. We aimed to assess the impact of
legalization on use in IBD. Methods: Consecutive patients with IBD, cared for at
a tertiary care center in Boston, were surveyed regarding use of marijuana,
including its perceived benefits and attitudes. Data were then compared with
results of a similar survey study conducted at our center in 2012, before
marijuana's legalization. Results: The survey was completed by 302 patients.
There was a significant increase in marijuana use overall from 12.3% in 2012 to
22.8% in 2017 (P < 0.001). However, there was no significant increase in
medicinal use from 2012 to 2017. On bivariate analysis, severe disease, as
assessed by SIBDQ score, prior hospitalization, biologic therapy use, prior
surgery, and chronic abdominal pain, was found to be more predictive of medicinal
use now than in 2012. Among patients surveyed who have never used marijuana,
39.4% reported being interested in using medicinal marijuana, and 54.3% indicated
that legalization did not affect their likelihood of using medicinal marijuana.
Conclusions: In an IBD tertiary care center, we identified an overall upward
trend in marijuana use but no significant change in medicinal use since its
legalization in 2012. Our data suggests that the legalization of medical
marijuana has resulted in an insignificant change in medicinal marijuana use in
this population. 10.1093/ibd/izy141_video1izy141.video15786500236001.
PMID- 29788103
TI - Post-ischaemic administration of the murine Canakinumab-surrogate antibody
improves outcome in experimental stroke.
AB - Aims: The CANTOS trial underscored the efficacy of selective antibody-based
interleukin (IL)-1beta inhibition with Canakinumab in secondary prevention of
cardiovascular events. Despite the success of the trial, incidence of stroke was
not reduced likely due to the low number of events and the relatively young age
of patients enrolled. Given the established role of IL-1beta in stroke, we tested
the efficacy of the murine Canakinumab-equivalent antibody in a mouse model of
ischaemic stroke. To mimic the clinical scenario of modern stroke management, IL
1beta inhibition was performed post-ischaemically upon reperfusion as it would be
the case in patients presenting to the emergency room and eligible for
thrombolytic therapy. Methods and results: Transient middle cerebral artery
occlusion (tMCAO) was performed in wild type mice; upon reperfusion, mice were
randomly allocated to anti-IL-1beta antibody or vehicle treatment. Following
tMCAO, cerebral IL-1beta levels, unlike tumour necrosis factor-alpha, were
increased underscoring a role for this cytokine. Post-ischaemic treatment with IL
1beta antibody reduced infarct size, cerebral oedema and improved neurological
performance as assessed by 2,3,5-triphenyltetrazolium chloride staining, Bederson
and RotaRod tests. Antibody-treated animals also exhibited a reduced neutrophil
and matrix metalloproteinase (MMP)-2 but not MMP-9, activity in ipsilateral
hemispheres as compared to vehicle-treated mice. Noteworthy, tMCAO associated
vascular endothelial-cadherin reduction was blunted in IL-1beta antibody-treated
mice compared to vehicle-treated, likely providing the mechanistic explanation
for the improved outcome. Conclusion: Our data for the first time demonstrate the
efficacy of selective post-ischaemic IL-1beta blockade in improving outcome
following experimental ischaemia/reperfusion brain injury in the mouse and
encourage further focused clinical studies assessing the potential of the
approved IL-1beta antibody Canakinumab, as an adjuvant therapy to thrombolysis in
acute ischaemic stroke patients.
PMID- 29788104
TI - Erratum.
PMID- 29788106
TI - Microsurgical Resection of a Solitary Spinal Epidural Cavernous Angioma: 3
Dimensional Operative Video.
AB - Solitary spinal epidural cavernous angiomas are rare vascular malformations.
Surgical excision is the treatment of choice, as these lesions tend to grow or
bleed at some point. In this 3-dimensional, narrated video, we present the case
of a 61-year-old male who presented with progressive pain in the right
paravertebral region secondary to an epidural cavernous angioma located at the
T6/T7 level. Under intraoperative neurophysiological monitoring, a laminectomy
between T5 and T7 was performed. A reddish, well delimited, and highly
vascularized epidural mass was identified and dissected from the adjacent dura
and nerve root, and an en bloc total resection was achieved. The patient was
discharged neurologically intact on postoperative day 4, presenting a remarkable
improvement of his pain at 2-month follow-up. Total excision of these lesions is
possible in most of cases, remaining the standard treatment, with minimum
complications. All procedures were in accordance with the ethical standards of
the institutional and/or national research committee and with the 1964 Helsinki
declaration and its later amendments or comparable ethical standards. All data
related to patient identification were removed from this surgical video and
manuscript, with no need of a written informed consent.
PMID- 29788105
TI - Associations of Circulating 25-Hydroxyvitamin D3 Concentrations With Incident,
Sporadic Colorectal Adenoma Risk According to Common Vitamin D-Binding Protein
Isoforms.
AB - Concentration of 25-hydroxyvitamin D3 (25(OH)D3), the main circulating form of
vitamin D, is inversely associated with incident, sporadic colorectal adenoma
risk. We investigated whether this association differs by 2 functional variants
in the vitamin D-binding protein (DBP) gene, group-specific component (GC), that
encode for common protein isoforms Gc1s, Gc1f, and Gc2 linked to differences in
vitamin D metabolism. We pooled data (418 patients with adenoma and 524 polyp
free control subjects) from 3 colonoscopy-based case-control studies (Minnesota,
1991-1994; North Carolina, 1994-1997; South Carolina, 2002). We estimated
25(OH)D3-adenoma associations, stratified by DBP isoforms, using multivariable
logistic regression. Higher 25(OH)D3 concentrations were inversely associated
with colorectal adenoma risk among those with the Gc2 isoform (per 10-ng/mL
increase in 25(OH)D3, odds ratio = 0.71, 95% confidence interval: 0.56, 0.90),
but not among those with only Gc1 isoforms (odds ratio = 1.07, 95% confidence
interval: 0.87, 1.32; P for interaction = 0.03). Thus, the vitamin D-incident,
sporadic colorectal adenoma association may differ by common DBP isoforms, and
patients with the Gc2 isoform may particularly benefit from maintaining higher
circulating 25(OH)D3 concentrations for adenoma prevention.
PMID- 29788107
TI - N2 lung cancer is not all the same: an analysis of different prognostic groups.
AB - OBJECTIVES: The International Association for the Study of Lung Cancer (IASLC)
recently proposed a change in the staging system for N2, based on the metastatic
station number: N2a1 (a single metastatic station with no hilar involvement),
N2a2 (a single metastatic station with hilar involvement) and N2b (multiple
metastatic stations). The aim of our study was to validate the IASLC proposal in
a cohort of patients with pathological N2 disease. METHODS: All patients with
pathological T1-T2 N2 non-small-cell lung cancer who were operated on between
2006 and 2010 in our department were enrolled. The patients had lobectomy,
bilobectomy or pneumonectomy without induction therapy; patients with any type of
extended resection were excluded. All patients had adjuvant treatment. The impact
of the new IASLC proposal on the overall and disease-free survival rates was then
analysed. RESULTS: Ninety-three patients were selected. The median follow-up
period and overall survival time were 92 and 28.8 months, respectively. According
to the new IASLC proposal, we observed 22 cases of N2a1, 54 N2a2 and 17 N2b.
Patients with N2a1 had a significantly better overall survival than those with
N2a2 and N2b (P = 0.041); the difference between N2a2 and N2b was not significant
(P = 0.19). Patients with N2a1 squamous cell carcinoma had a significantly better
overall survival than those with other histological diagnoses (P = 0.046). The
disease-free interval was longer in patients with N2a1 than those in other groups
(P = 0.021). CONCLUSIONS: Our experience partially validates the IASLC proposal;
the introduction of quantitative criteria for N staging might improve
stratification of patients and the assignment to the correct therapeutic path.
PMID- 29788108
TI - Porphyromonas gingivalis strain dependent inhibition of uterine spiral artery
remodeling in the pregnant rat.
AB - Porphyromonas gingivalis (Pg), is an important periodontal pathogen that is also
implicated in pregnancy complications involving defective deep placentation
(DDP). We hypothesized that Pg invasion of the placental bed promotes DDP.
Pregnant rats were intravenously inoculated with sterile vehicle, Pg strain W83,
or A7436 at gestation day (GD) 14 (acute cohort). Non-pregnant rats received
repeated oral inoculations for 3 months before breeding (chronic cohort). Tissues
and/or sera were collected at GD18 for analysis. Pg infection status was
determined by seroconversion (chronic cohort) and by presence of Pg antigen in
utero-placental tissues processed for histology and morphometric assessment of
spiral artery remodeling. Mesometrial tissues from seropositive dams were
analyzed for expression of interleukin 1beta, 6, and 10, TNF, TGF-beta,
follistatin-related protein 3, and inhibin beta A chain since these genes
regulate extravillous trophoblast invasion. The in situ distribution of W83 and
A7436 antigen in utero-placental tissues was similar in both cohorts. In the
acute cohort, mesometrial stromal necrosis was more common with W83, but
arteritis was more common with A7436 infection (P < 0.05). Increased vascular
necrosis was seen in mesometrium of chronically infected groups (P < 0.05). Only
A7436 infected animals had increased fetal deaths, reduced spiral artery
remodeling, reduced inhibin beta A expression, and an increased proportion of
FSLT3 positive extravillous trophoblasts within spiral arteries. While infection
with both Pg strains produced varying pathology of the deep placental bed, only
infection with strain A7436 resulted in impaired spiral artery remodeling.
PMID- 29788109
TI - Primary graft failure after cardiac transplantation: prevalence, prognosis and
risk factors.
AB - OBJECTIVES: Primary graft failure (PGF) is a common and devastating complication,
despite the advances in perioperative treatment. We aim to evaluate the
prevalence of PGF and its impact on survival and to explore associated risk
factors. METHODS: From November 2003 through December 2015, 290 patients
submitted to cardiac transplantation were classified into non-PGF (243; 84%) and
PGF (47; 16%) groups. The characteristics of the recipients were similar
regarding age (54.6 +/- 10.6 vs 54.0 +/- 9.4 years; P = 0.74), male gender (78.2%
vs 72.3%; P = 0.38) and transpulmonary gradient (9.4 +/- 4.2 vs 10.5 +/- 5.6
mmHg; P = 0.15); donors to the PGF group had similar age (35.5 +/- 11.4 vs 37.5
+/- 10.7 years; P = 0.27) but were predominantly female (21% vs 42.6%; P =
0.002). RESULTS: Mean ischaemic (89.0 +/- 36.8 vs 103.3 +/- 44.7 min; P = 0.019)
and cardiopulmonary bypass (92.8 +/- 14.5 vs 126.3 +/- 62.4 min; P < 0.001) times
were longer in the PGF group. Length of hospital stay was 13.5 +/- 7.5 vs 28.9 +/
35.2 days (P= 0.005). Hospital mortality was 4.1% [1.6% for non-PGF and 17% for
PGF (P < 0.001)]. Survival at 1, 5 and 10 years was 95.5 +/- 1.3% vs 55.3 +/-
7.3%, 84.1 +/- 2.5% vs 47.4 +/- 7.6% and 67.1 +/- 3.8% vs 14.4 +/- 12%,
respectively (P < 0.001). Risk factors for PGF were female donor [odds ratio
(OR): 2.56; 95% confidence interval (CI): 1.29-5.09; P = 0.007], total ischaemic
time (OR: 1.01; 95% CI: 1.00-1.02; P = 0.032) and preoperative mechanical
extracorporeal circulatory support (OR: 11.90; 95% CI: 2.62-54.12; P = 0.001).
CONCLUSIONS: Our results demonstrate that PGF is associated with poor outcomes
that extend beyond the 1st month and the 1st year after heart transplantation. We
found female donor, total ischaemic time and preoperative mechanical
extracorporeal circulatory support to be risk factors for PGF.
PMID- 29788110
TI - Long-term compliance with a validated intravenous insulin therapy protocol in
cardiac surgery patients: a quality improvement project.
AB - Quality problem: Safe and efficient blood glucose (BG) level control after
cardiac surgery relies on an intensive care unit (ICU) team-based approach,
including implementation of a dynamic insulin therapy protocol (ITP). Long-term
compliance with such a complex protocol is poorly addressed in the literature.
The aim of this study was to assess the long-term compliance of nurses with the
ITP, 7 years after its implementation in the ICU. Initial assessment: A
professional practice evaluation, integrated in a process of quality improvement
program, was retrospectively conducted on 224 consecutive cardiac surgery
patients over a 6-month period (PHASE 1). The timing of BG measurements and the
insulin infusion rate adjustments (primary endpoints) were correctly performed
according to protocol requirements in 35 and 53% of the cases, respectively.
Choice of solution and implementation: After systemic analysis of the causes of
protocol deviations, four corrective measures aiming at improving both physician
and nurse adherence to the protocol were implemented in the ICU. Evaluation:
Evaluation of 104 patients in PHASE 2 showed a significant improvement in both
the timing of BG measurements (83 %, P < 0.001 vs. PHASE 1), and insulin infusion
rate adjustments (76%, P < 0.001). Lessons learned: Seven years after the
implementation of a dynamic insulin infusion protocol, major protocol deviations
were observed. Identification of several causes after a professional practice
evaluation and the implementation of simple corrective measures restored a high
level of nurse compliance.
PMID- 29788111
TI - Acute Assessment of Traumatic Brain Injury and Post-Traumatic Stress After
Exposure to a Deployment-Related Explosive Blast.
AB - Introduction: Traumatic brain injury (TBI) and post-traumatic stress disorder
(PTSD) are two of the signature injuries in military service members who have
been exposed to explosive blasts during deployments to Iraq and Afghanistan.
Acute stress disorder (ASD), which occurs within 2-30 d after trauma exposure, is
a more immediate psychological reaction predictive of the later development of
PTSD. Most previous studies have evaluated service members after their return
from deployment, which is often months or years after the initial blast exposure.
The current study is the first large study to collect psychological and
neuropsychological data from active duty service members within a few days after
blast exposure. Materials and Methods: Recruitment for blast-injured TBI patients
occurred at the Air Force Theater Hospital, 332nd Air Expeditionary Wing, Joint
Base Balad, Iraq. Patients were referred from across the combat theater and
evaluated as part of routine clinical assessment of psychiatric and
neuropsychological symptoms after exposure to an explosive blast. Four measures
of neuropsychological functioning were used: the Military Acute Concussion
Evaluation (MACE); the Repeatable Battery for the Assessment of
Neuropsychological Status (RBANS); the Headminder Cognitive Stability Index
(CSI); and the Automated Neuropsychological Assessment Metrics, Version 4.0
(ANAM4). Three measures of combat exposure and psychological functioning were
used: the Combat Experiences Scale (CES); the PTSD Checklist-Military Version
(PCL-M); and the Acute Stress Disorder Scale (ASDS). Assessments were completed
by a deployed clinical psychologist, clinical social worker, or mental health
technician. Results: A total of 894 patients were evaluated. Data from 93
patients were removed from the data set for analysis because they experienced a
head injury due to an event that was not an explosive blast (n = 84) or they were
only assessed for psychiatric symptoms (n = 9). This resulted in a total of 801
blast-exposed patients for data analysis. Because data were collected in-theater
for the initial purpose of clinical evaluation, sample size varied widely between
measures, from 565 patients who completed the MACE to 154 who completed the CES.
Bivariate correlations revealed that the majority of psychological measures were
significantly correlated with each other (ps <= 0.01), neuropsychological
measures were correlated with each other (ps <= 0.05), and psychological and
neuropsychological measures were also correlated with each other (ps <= 0.05).
Conclusions: This paper provides one of the first descriptions of psychological
and neuropsychological functioning (and their inter-correlation) within days
after blast exposure in a large sample of military personnel. Furthermore, this
report describes the methodology used to gather data for the acute assessment of
TBI, PTSD, and ASD after exposure to an explosive blast in the combat theater.
Future analyses will examine the common and unique symptoms of TBI and PTSD,
which will be instrumental in developing new assessment approaches and
intervention strategies.
PMID- 29788114
TI - Magnolol Inhibits Human Glioblastoma Cell Migration by Regulating N-Cadherin.
AB - Glioblastoma is a primary malignant brain tumor with a poor prognosis. An
effective treatment for glioblastoma is needed. Magnolol is a natural compound
from Magnolia officinalis suggested to have antiproliferative activity. The aim
of this research was to investigate the anticancer effects of magnolol in glioma,
with an emphasis on migration and the underlying mechanism. Magnolol decreased
the expression of focal adhesion-related proteins and inhibited LN229 and U87MG
glioma cell migration. The levels of phosphorylated myosin light chain (p-MLC),
phosphorylated myosin light chain kinase and myosin phosphatase target subunit 1
were reduced in response to magnolol treatment. In addition, immunostaining and
membrane fractionation showed that the distribution of N-cadherin at the glioma
cell membrane was decreased by magnolol. In an orthotropic xenograft animal
model, magnolol treatment not only inhibited tumor progression but also reduced p
MLC and N-cadherin protein expression. In conclusion, magnolol reduces cell
migration, potentially through regulating focal adhesions and N-cadherin in
glioma cells. Magnolol is a potential candidate for glioma treatment.
PMID- 29788112
TI - Evolution of Gustatory Receptor Gene Family Provides Insights into Adaptation to
Diverse Host Plants in Nymphalid Butterflies.
AB - The host plant range of herbivorous insects is a major aspect of insect-plant
interaction, but the genetic basis of host range expansion in insects is poorly
understood. In butterflies, gustatory receptor genes (GRs) play important roles
in host plant selection by ovipositing females. Since several studies have shown
associations between the repertoire sizes of chemosensory gene families and the
diversity of resource use, we hypothesized that the increase in the number of
genes in the GR family is associated with host range expansion in butterflies.
Here, we analyzed the evolutionary dynamics of GRs among related species,
including the host generalist Vanessa cardui and three specialists. Although the
increase of the GR repertoire itself was not observed, we found that the gene
birth rate of GRs was the highest in the lineage leading to V. cardui compared
with other specialist lineages. We also identified two taxon-specific subfamilies
of GRs, characterized by frequent lineage-specific duplications and higher non
synonymous substitution rates. Together, our results suggest that frequent gene
duplications in GRs, which might be involved in the detection of plant secondary
metabolites, were associated with host range expansion in the V. cardui lineage.
These evolutionary patterns imply that the capability to perceive various
compounds during host selection was favored during adaptation to diverse host
plants.
PMID- 29788115
TI - Neuropathology Education Using Social Media.
AB - Social media use continues to grow among pathologists. Discussions of current
topics, posts of educational information, and images of pathological entities are
commonly found and distributed on popular sites such as Facebook and Twitter.
However, little is known about the presence of neuropathology content in social
media and the audience for such content. We designed and distributed a survey to
assess the demographics of users viewing neuropathology content and their
opinions about neuropathology in social media. User posts on the Facebook group,
Surgical Neuropathology, were also analyzed. The results show that there is a
demand for neuropathology content of high quality, curated by experts, and that
this demand is present among both specialists and nonspecialists. These findings
suggest that social media may be useful for rapid dissemination of information in
the field of neuropathology. This format also offers a unique opportunity to
extend the reach of information to nonneuropathologists who may not receive
neuropathology journals or have access to specialty-level neuropathology
training, to build networks between professionals, and potentially to influence
public opinion of neuropathology on an international scale.
PMID- 29788116
TI - American Association of Neuropathologists, Inc. Abstracts of the 94th Annual
Meeting, June 7-10, 2018 Louisville, Kentucky.
PMID- 29788113
TI - Differences in Performance among Test Statistics for Assessing Phylogenomic Model
Adequacy.
AB - Statistical phylogenetic analyses of genomic data depend on models of nucleotide
or amino acid substitution. The adequacy of these substitution models can be
assessed using a number of test statistics, allowing the model to be rejected
when it is found to provide a poor description of the evolutionary process. A
potentially valuable use of model-adequacy test statistics is to identify when
data sets are likely to produce unreliable phylogenetic estimates, but their
differences in performance are rarely explored. We performed a comprehensive
simulation study to identify test statistics that are sensitive to some of the
most commonly cited sources of phylogenetic estimation error. Our results show
that, for many test statistics, traditional thresholds for assessing model
adequacy can fail to reject the model when the phylogenetic inferences are
inaccurate and imprecise. This is particularly problematic when analysing loci
that have few informative sites. We propose new thresholds for assessing
substitution model adequacy and demonstrate their effectiveness in analyses of
three phylogenomic data sets. These thresholds lead to frequent rejection of the
model for loci that yield topological inferences that are imprecise and are
likely to be inaccurate. We also propose the use of a summary statistic that
provides a practical assessment of overall model adequacy. Our approach offers a
promising means of enhancing model choice in genome-scale data sets, potentially
leading to improvements in the reliability of phylogenomic inference.
PMID- 29788117
TI - Are we ready to improve phosphorus homeostasis in rice?
AB - Phosphorus (P) is an essential macronutrient which often limits plant growth, but
the phosphate rock used for fertilizer production is a finite resource. On the
other hand, large amounts of P compounds are entering surface waters, leading to
eutrophication. Therefore, improvement of phosphate use efficiency of crop plants
is a major task for plant science. Rice as a staple crop has recently been a
focus of such efforts with several major discoveries. New transporters
controlling phosphate homeostasis in rice have been discovered. Manipulation of
expression of the corresponding genes improves different components of phosphate
use efficiency, such as delivery of phosphate to the developing seeds and
synthesis of phytic acid. Here these new findings are discussed in the context of
general P nutrition and with the aim of finding out how far we can optimize P
homeostasis in rice.
PMID- 29788118
TI - Risk Factors for Treatment Failure and Mortality among Hospitalised Patients with
Complicated Urinary Tract Infection: A Multicentre Retrospective Cohort Study,
RESCUING Study Group.
AB - Background: Complicated urinary tract infections (cUTIs) are responsible for a
major share of all antibiotic consumption in hospitals. We aim to describe risk
factors for treatment failure and mortality among hospitalised patients with
cUTIs. Methods: A multinational, multicentre retrospective cohort study,
conducted in 20 countries in Europe and the Middle East. Data were collected from
patients' files on hospitalised patients with a diagnosis of cUTI during 2013
2014. The primary outcome was treatment failure. Secondary outcomes included all
cause mortality 30 days, among other outcomes. Multivariable analysis using a
logistic model and the hospital as a random variable was performed to identify
independent predictors for treatment failure and 30 day mortality. Results: A
total of 981 patients with cUTI were included. Treatment failure was observed in
26.6% (261/981) of patients; all cause 30-day mortality rate was 8.7% (85/976),
most of these in patients with catheter related UTI (CaUTI). Risk factors for
treatment failure in multivariable analysis, were ICU admission (OR 5.07, 95% CI
3.18-8.07), septic shock (OR 1.92, 95% CI 0.93-3.98), corticosteroid treatment
(OR 1.92, 95% CI 1.12-3.54), bedridden (OR 2.11, 95% C: 1.4-3.18), older age (OR
1.02 for 1 year, 95% CI 1.007-1.03), metastatic cancer (OR 2.89, 95% CI 1.46
5.73), and CaUTI (OR 1.48, 95% CI 1.04-2.11). Management variables, such as
inappropriate empirical antibiotic treatment or days to starting antibiotics were
not associated with treatment failure or 30-day mortality. More patients with
pyelonephritis were given appropriate empirical antibiotic therapy than other
CaUTI [110/171 (64.3%) vs. 116/270 (43%), p<0.005], nevertheless, appropriate
empirical antibiotic treatment afforded no advantage in treatment failure rates
nor in 30-day mortality in these patients. Conclusions: In patients with cUTI we
found no benefit of early appropriate empirical treatment on survival rates or
other outcomes. Physicians might consider supportive treatment and watchful
waiting in stable patients until the causative pathogen is defined.
PMID- 29788120
TI - Immediate hypersensitivity to bee pollen granules.
PMID- 29788119
TI - The effects of leptin on plasma concentrations of prolactin, growth hormone, and
melatonin vary depending on the stage of pregnancy in sheep.
AB - The effects of hyperleptinemia and leptin resistance during gestation are
unclear. Leptin, an important neuroendocrine regulator, has anorexic effects, but
its interactions with other metabolic hormones during pregnancy are unclear. We
examined potential roles of leptin in regulating prolactin (PRL), GH, and
melatonin plasma concentrations during pregnancy in Polish Longwool ewes. Twelve
estrus-synchronized ewes carrying twins after mating were randomly assigned to
receive i.v. injections of saline or recombinant ovine leptin (2.5 or 5.0 ug/kg
BW). Blood samples were collected (15-min intervals over 4 h) immediately before
the first injection at dusk and kept under red light. Treatments were repeated at
2-wk intervals, starting before mating and continuing from days 30 to 135 of
gestation. Concentrations of plasma PRL, GH, and melatonin were determined using
a validated RIA. The effects of leptin on hormone plasma concentrations varied
depending on pregnancy stage and leptin dose. PRL plasma concentrations were
affected at most stages of pregnancy and before gestation. In non-, very early-
(day 30), and late- (day 120 and 135) pregnant ewes, exogenous leptin stimulated
PRL (P < 0.001) plasma concentrations, while during the second month of
gestation, it decreased PRL concentrations (P < 0.01). Leptin affected GH plasma
concentrations (P < 0.05) only during the first 2 mo of pregnancy, with no
effects during the second part of gestation or before pregnancy. In early
pregnant ewes (day 30 and 45), leptin decreased melatonin plasma concentrations
(P < 0.05), but at day 60, leptin stimulated melatonin plasma concentrations at
low (P < 0.01) and high doses (P < 0.05), with no effects in ewes after 105 d of
gestation. These data indicate specific pregnancy-induced endocrine adaptations
to changes in energy homeostasis, supporting the hypothesis that leptin affects
PRL, GH, and melatonin release during gestation.
PMID- 29788121
TI - Targeted Metabolomics Shows Low Plasma Lysophosphatidylcholine 18:2 Predicts
Greater Decline of Gait Speed in Older Adults: The Baltimore Longitudinal Study
of Aging.
AB - Background: Gait speed is an important measure of lower extremity physical
performance in older adults and is predictive of disability and mortality. The
biological pathways involved in the decline of lower extremity physical
performance are not well understood. We used a targeted metabolomics approach to
identify plasma metabolites predictive of change in gait speed over time.
Methods: Gait speed was measured at baseline and over median follow-up of 50.5
months in 504 adults, aged >=50 years, who had two or more study visits in the
Baltimore Longitudinal Study of Aging (BLSA). Plasma metabolites were measured
using targeted mass spectrometry (AbsoluteIDQ p180 Kit, Biocrates). Results: Of
148 plasma metabolites (amino acids, biogenic amines, hexoses,
glycerophospholipids) measured, eight were significantly associated with gait
speed at baseline, independent of age and sex: hexoses (r = -0.148, p < .001),
[sphingomyelin (SM) 16:1 (r = -0.091, p = .0009), SM 18:0 (r = -0.085, p = .002),
SM 18:1 (r = -0.128, p < .0001], phosphatidylcholine aa 32:3 (r = -0.088, p =
.001), lysophosphatidylcholine (LPC) 17:0 (r = 0.083, p = .003), LPC 18:1 (r =
0.089, p = .001), and LPC 18:2 (r = 0.104, p < .0001). Adjusting for baseline
age, sex, and chronic diseases, baseline plasma LPC 18:2 was an independent
predictor of the rate of change of gait speed over subsequent follow-up (p =
.003). No other plasma metabolites were significantly associated longitudinal
changes of gait speed over time. Conclusions: Low plasma LPC 18:2, which has
previously been shown to predict impaired glucose tolerance, insulin resistance,
type 2 diabetes, coronary artery disease, and memory impairment, is an
independent predictor of decline in gait speed in older adults.
PMID- 29788122
TI - Viewpoint: Toward the Genetic Architecture of Disease Severity in Inflammatory
Bowel Diseases.
AB - Inflammatory bowel disease (IBD) is characterized by uneven disease courses with
various clinical outcomes. A few prognostic markers of disease severity may help
stratify patients and identify those who will benefit the most from early
aggressive treatment. The concept of disease severity remains too broad and
vague, mainly because the definition must embrace several disease mechanisms,
mainly inflammation and fibrosis, with various rates of disease progression. The
magnitude of inflammation is an obvious key driver of disease severity in IBD
that ultimately influence disease behavior. Advances in the genetics underlying
disease severity are currently emerging, but attempts to overlap the genetics of
disease susceptibility and severity have until now been unsatisfactory,
suggesting that the genetic architecture of disease severity may be distinct from
the genetics of disease susceptibility. In this review, we report on the current
knowledge on disease severity and on the main research venues to decipher the
genetic architecture of disease severity.
PMID- 29788123
TI - Gastric Emptying and the Personalized Management of Type 1 Diabetes.
PMID- 29788124
TI - Type I secretion system-it takes three and a substrate.
AB - Type I secretion systems are widespread in Gram-negative bacteria and mediate the
one-step translocation of a large variety of proteins serving for diverse
purposes, including nutrient acquisition or bacterial virulence. Common to most
substrates of type I secretion systems is the presence of a C-terminal secretion
sequence that is not cleaved during or after translocation. Furthermore, these
protein secretion nanomachineries are always composed of an ABC transporter, a
membrane fusion protein, both located in the inner bacterial membrane, and a
protein of the outer membrane. These three membrane proteins transiently form a
'tunnel channel' across the periplasmic space in the presence of the substrate.
Here we summarize the recent findings with respect to structure, function and
application of type I secretion systems.
PMID- 29788125
TI - Toxin-antitoxin systems and regulatory mechanisms in Mycobacterium tuberculosis.
AB - There has been a significant reduction in annual tuberculosis incidence since the
World Health Organization declared tuberculosis a global health threat. However,
treatment of M. tuberculosis infections requires lengthy multidrug therapeutic
regimens to achieve a durable cure. The development of new drugs that are active
against resistant strains and phenotypically diverse organisms continues to
present the greatest challenge in the future. Numerous phylogenomic analyses have
revealed that the Mtb genome encodes a significantly expanded repertoire of toxin
antitoxin (TA) loci that makes up the Mtb TA system. A TA loci is a two-gene
operon encoding a 'toxin' protein that inhibits bacterial growth and an
interacting 'antitoxin' partner that neutralizes the inhibitory activity of the
toxin. The presence of multiple chromosomally encoded TA loci in Mtb raises
important questions in regard to expansion, regulation and function. Thus, the
functional roles of TA loci in Mtb pathogenesis have received considerable
attention over the last decade. The cumulative results indicate that they are
involved in regulating adaptive responses to stresses associated with the host
environment and drug treatment. Here we review the TA families encoded in Mtb,
discuss the duplication of TA loci in Mtb, regulatory mechanism of TA loci, and
phenotypic heterogeneity and pathogenesis.
PMID- 29788127
TI - Herpes Zoster in Patients Receiving JAK Inhibitors For Ulcerative Colitis:
Mechanism, Epidemiology, Management, and Prevention.
AB - Increased risk of herpes zoster (HZ) has been observed in patients with immune
mediated diseases, including rheumatoid arthritis (RA), psoriasis (PsO), and
inflammatory bowel disease; this risk can be further increased by the use of
immunosuppressive therapy. One advancing modality of therapy for these diseases
is Janus kinase (JAK) inhibition. Tofacitinib is an oral JAK inhibitor for the
treatment of RA and psoriatic arthritis, which is currently under investigation
for the treatment of ulcerative colitis (UC) and was previously investigated for
psoriasis. JAK inhibitors have been associated with HZ events in patients across
a number of indications. The pathogenesis underlying this risk of HZ is currently
unknown. An increased risk of HZ has been noted in patients receiving
immunosuppressive therapies for UC, including tofacitinib. In clinical trials,
there was a dose-dependent risk of HZ (higher dose linked with increased risk).
However, the majority of HZ cases are nonserious and noncomplicated, mild to
moderate in severity, and manageable without permanent discontinuation of
treatment. This review will discuss HZ risk in patients receiving JAK inhibitors,
focusing on tofacitinib with respect to the potential mechanisms and epidemiology
of HZ. Current guidelines for the prevention of HZ will be highlighted, and
proposed management reviewed.
PMID- 29788126
TI - Characterizing the acute heat stress response in gilts: II. Assessing
repeatability and association with fertility.
AB - Mitigating heat stress (HS) in swine production is important as it detrimentally
affects multiple aspects of overall animal production efficiency. Study
objectives were to determine if gilts characterized as tolerant (TOL) or
susceptible (SUS) in response to HS maintain that phenotype later in life and if
that phenotype influences reproductive ability during HS. Individual gilts
identified as TOL (n = 50) or SUS (n = 50) from a prepubertal HS challenge were
selected based on their rectal temperature (TR) during acute HS. The study
consisted of 4 experimental periods (P). During P0 (2 d), all pigs were exposed
to thermoneutral (TN) conditions (21.1 degrees C). During P1 (14 d), all gilts
received Matrix (15 mg altrenogest per day) to synchronize estrus, and were
maintained in TN conditions. During P2 (9 d), Matrix supplementation was
terminated and gilts were subjected to diurnal HS with ambient temperatures set
at 35 degrees C from 1000 to 2200 h and 21 degrees C from 2200 to 1000 h. Also
during P2 gilts underwent estrus detection and artificial insemination. During P3
gilts were housed in TN conditions for 41 d at which they were sacrificed and
reproductive tracts were collected. During the last 2 d of P1 and throughout the
entirety of P2, TR and skin temperature (TS) were recorded. During P2, SUS had
increased TR relative to TOL pigs during P2 (0.27 degrees C; P < 0.01). Overall,
uterine wet weight, ovarian weight, corpora lutea (CL) count, and embryo survival
were 5.6 +/- 0.1 kg, 21.6 +/- 0.3 g, 17.8 +/- 0.3 CLs, and 79 +/- 2%,
respectively, and not influenced by prepubertal HS tolerance classification (P >=
0.37). Tolerant gilts had a longer return-to-estrus (6.1 vs. 5.5 d, respectively;
P = 0.01) following altrenogest withdrawal and tended to have larger CL diameters
(10.3 vs. 10.1 mm; P = 0.06) compared to SUS gilts. Fetal weight (25.4 vs. 23.6
g; P = 0.01) and fetal crown-rump length (74.8 vs. 72.8 mm; P < 0.01) were higher
in gilts previously classified as SUS compared to those previously classified as
TOL. Additionally, neither litter size nor the number of fetuses detected as a
percentage of ovulations was influenced by classification. In summary, SUS gilts
had a shorter return-to-estrus, increased fetus size, and tended to have smaller
CL diameters compared to TOL gilts. Additionally, SUS gilts also retained their
inability to maintain euthermia postpubertally relative to TOL gilts. In
conclusion, there appeared to be little reproductive advantage of maintaining a
lower TR during HS.
PMID- 29788128
TI - Sleep Patterns and Problems Among Army National Guard Soldiers.
AB - Introduction: Adequate sleep plays an integral role in the physical and mental
health of individuals, while simultaneously influencing their cognitive and work
performance. Having recognized this, the U.S. Army has focused efforts on
improving soldiers' healthy sleep behaviors. This study examines the extent to
which mental health, alcohol use, and certain sleep hygiene behaviors predict
sleep problems within an Army National Guard sample (N = 438). Materials and
Methods: This manuscript is part of a larger study approved through the
Minneapolis Veterans Affairs Medical Center Institutional Review Board. Mailed
surveys were sent to Minnesota Army National Guard soldiers collecting data on
sleep hygiene behaviors, mental health symptoms (post-traumatic stress disorder
and depression), and alcohol use. Predictors of sleep problems were evaluated
with ordinary least squares multiple linear regression analyses, regressing
Insomnia Severity Index total scores on demographic variables, post-traumatic
stress disorder (PTSD), depression, alcohol use, sleep hygiene factors (routine
and consumption activity; both derived from exploratory factor analysis), and
technology use (multiple device use and use before bed). Results: Overall, the
majority of participants did not endorse high levels of sleep impairment, while
16.4% screened positive for moderate or even severe levels of clinical insomnia.
Bivariate correlations demonstrated that sleep problems were correlated with PTSD
symptoms (r = 0.41, p < 0.001), depression (r = 0.49, p < 0.001), Sleep Hygiene
Routine (r = -0.34, p < 0.001), and more frequent use of multiple devices before
bed (r = 0.15, p = 0.002). The overall regression model predicting sleep problems
was significant (R2 = 0.35, adj R2 = 0.34, F[8,408] = 27.58, p < 0.001).
Independent predictors of sleep problems included gender (B = 0.99, beta = 0.09,
t = 2.10, p = 0.036), PTSD (B = 0.89, beta = 0.22, t = 4.86, p < 0.001),
depression (B = 1.53, beta = 0.20, t = 7.56, p < 0.001), and Sleep Hygiene
Routine (B = -0.88, beta = -0.23, t = -5.473, p < 0.001). Alcohol use, Sleep
Hygiene Consumption, and technology use did not emerge as independent predictors.
Conclusion: Although most soldiers denied sleep problems, a sizeable minority met
screening criteria for clinical insomnia. Greater numbers of sleep-related
complaints were related to psychological distress including depressive and PTSD
symptoms, while adherence to a bedtime routine (Sleep Hygiene Routine) showed an
inverse relationship. Alcohol use and sleep hygiene consumption activities were
not predictive of sleep problems, suggesting that different sleep hygiene
behaviors have differential relationships with sleep problems. Screening and
intervention for specific sleep problems may be helpful even very early in Army
National Guard service members' careers. Particular focus may be needed for those
showing signs of emotional distress, such as PTSD or depression. Future research
examining the impact of individual sleep hygiene components is warranted.
PMID- 29788129
TI - mTM-align: a server for fast protein structure database search and multiple
protein structure alignment.
AB - With the rapid increase of the number of protein structures in the Protein Data
Bank, it becomes urgent to develop algorithms for efficient protein structure
comparisons. In this article, we present the mTM-align server, which consists of
two closely related modules: one for structure database search and the other for
multiple structure alignment. The database search is speeded up based on a
heuristic algorithm and a hierarchical organization of the structures in the
database. The multiple structure alignment is performed using the recently
developed algorithm mTM-align. Benchmark tests demonstrate that our algorithms
outperform other peering methods for both modules, in terms of speed and
accuracy. One of the unique features for the server is the interplay between
database search and multiple structure alignment. The server provides service not
only for performing fast database search, but also for making accurate multiple
structure alignment with the structures found by the search. For the database
search, it takes about 2-5 min for a structure of a medium size (~300 residues).
For the multiple structure alignment, it takes a few seconds for ~10 structures
of medium sizes. The server is freely available at:
http://yanglab.nankai.edu.cn/mTM-align/.
PMID- 29788130
TI - Patient Barriers and Facilitators to Ambulatory and Home Blood Pressure
Monitoring: A Qualitative Study.
AB - BACKGROUND: Guidelines recommend that patients with newly elevated office blood
pressure undergo ambulatory blood pressure monitoring (ABPM) or home blood
pressure monitoring (HBPM) to rule-out white coat hypertension before being
diagnosed with hypertension. We explored patients' perspectives of the barriers
and facilitators to undergoing ABPM or HBPM. METHODS: Focus groups were conducted
with twenty English- and Spanish-speaking individuals from underserved
communities in New York City. Two researchers analyzed transcripts using a
conventional content analysis to identify barriers and facilitators to
participation in ABPM and HBPM. RESULTS: Participants described favorable
attitudes toward testing including readily understanding white coat hypertension,
agreeing with the rationale for out-of-office testing, and believing that testing
would benefit patients. Regarding ABPM, participants expressed concerns over the
representativeness of the day the test was performed and the intrusiveness of the
frequent readings. Regarding HBPM, participants expressed concerns over the
validity of the monitoring method and the reliability of home blood pressure
devices. For both tests, participants noted that out-of-pocket costs may deter
patient participation and felt that patients would require detailed information
about the test itself before deciding to participate. Participants overwhelmingly
believed that out-of-office testing benefits outweighed testing barriers, were
confident that they could successfully complete either testing if recommended by
their provider, and described the rationale for their testing preference.
CONCLUSIONS: Participants identified dominant barriers and facilitators to ABPM
and HBPM testing, articulated testing preferences, and believed that they could
successfully complete out-of-office testing if recommended by their provider.
PMID- 29788131
TI - Is Ivermectin Ineffective for Strongyloidiasis?
PMID- 29788134
TI - Novel Insights Into the Impact of Lifestyle-Based Weight Loss and Metformin on
Obesity-Associated Biomarkers in Breast Cancer.
PMID- 29788133
TI - Randomized Trial of a Group Music and Imagery Method (GrpMI) for Women with
Fibromyalgia.
AB - Background: Fibromyalgia (FM) affects about 2-4% of the world population.
Patients, mostly women, experience chronic widespread pain, fatigue, stiffness,
sleep disturbances, and psychological disorders, especially depression and
anxiety. Objective: The aim of this study was to examine preliminary efficacy of
a Group Music and Imagery (GrpMI) intervention, which included relaxation, music
listening, and spontaneous imagery, to improve subjective psychological well
being, functional capacity and health, pain perception, anxiety, and depression
in women with FM. Methods: Fifty-six women aged 35 to 65 years (M = 51.3)
diagnosed with FM were randomly assigned to either GrpMI treatment (n = 33) or
control (n = 26) condition. Experimental group participants received 12 weekly
GrpMI sessions, and control group participants who did not receive any additional
service completed measures at the same time points as the experimental group.
Results: Intra-group analyses showed that GrpMI participants had a significant
increase in psychological well-being and significant decrease in the impact of FM
on functional capacity and health, pain perception, anxiety, and depression post
treatment, with sustained benefit at three-month follow-up for all variables
except psychological well-being. Control group participants showed decreases in
trait anxiety and depression at post-treatment, with no significant benefit at
three-month follow-up. Inter-group analyses showed that compared with control
participants, GRpMI participants had significantly higher scores for
psychological well-being and lower-state anxiety post-treatment; however, no
differences were observed between groups at three-month follow-up. Conclusions:
Findings offer preliminary evidence for the benefit of GrpMI to improve well
being and reduce anxiety in women with FM. Findings also suggest that GrpMI may
help diminish pain intensity, state depression, and the impact of FM on
functional capacity and health, but further studies are needed to establish
efficacy.
PMID- 29788132
TI - Freiburg RNA tools: a central online resource for RNA-focused research and
teaching.
AB - The Freiburg RNA tools webserver is a well established online resource for RNA
focused research. It provides a unified user interface and comprehensive result
visualization for efficient command line tools. The webserver includes RNA-RNA
interaction prediction (IntaRNA, CopraRNA, metaMIR), sRNA homology search
(GLASSgo), sequence-structure alignments (LocARNA, MARNA, CARNA, ExpaRNA), CRISPR
repeat classification (CRISPRmap), sequence design (antaRNA, INFO-RNA,
SECISDesign), structure aberration evaluation of point mutations (RaSE), and
RNA/protein-family models visualization (CMV), and other methods. Open education
resources offer interactive visualizations of RNA structure and RNA-RNA
interaction prediction as well as basic and advanced sequence alignment
algorithms. The services are freely available at http://rna.informatik.uni
freiburg.de.
PMID- 29788135
TI - The Hepatic Glucocorticoid Receptor Is Crucial for Cortisol Homeostasis and
Sepsis Survival in Humans and Male Mice.
AB - Sepsis is hallmarked by hypercortisolemia, a stress response essential for
survival. This elevation in plasma cortisol is partially brought about by
suppressed hepatic cortisol breakdown. We demonstrate that a controlled
downregulation of the hepatic glucocorticoid receptor (hepatic GR) is crucial. In
a mouse model of fluid-resuscitated, antibiotic-treated abdominal sepsis and in
human intensive care unit patients, sepsis reduced hepatic GR expression and
signaling but increased (free) plasma cortisol/corticosterone, explained by
suppressed cortisol/corticosterone-binding proteins and A-ring reductases.
However, further experimental inhibition of hepatic GR with short hairpin RNA
(shRNA) in septic mice increased mortality fivefold. Acutely, this further
hepatic GR suppression prevented the rise in total corticosterone but further
reduced binding proteins, resulting in elevated free corticosterone. After 3 days
of shRNA-GR inhibition in sepsis, both total and free corticosterone levels were
elevated, now explained by an additional reduction in A-ring reductase
expression. Hepatic GR inhibition blunted the hyperglycemic stress response
without causing hypoglycemia but also markedly increased circulating and hepatic
inflammation markers and caused liver destruction, the severity of which
explained increased mortality. In human sepsis, glucocorticoid treatment further
suppressed hepatic GR expression, which could directly predispose to worse
outcomes. In conclusion, sepsis partially suppressed hepatic GR expression, which
appeared crucial to upregulate free cortisol/corticosterone availability.
However, further sustained hepatic GR suppression evoked lethal excessive liver
and systemic inflammation, independent of systemic cortisol/corticosterone
availability.
PMID- 29788136
TI - An experimental evaluation of the benefits and costs of providing fertility
information to adolescents and emerging adults.
AB - STUDY QUESTION: Does the provision of fertility (compared to control) information
affect fertility-related knowledge, perceived threat of infertility, anxiety,
physical stress and fertility plans in adolescents and emerging adults? SUMMARY
ANSWER: The provision of fertility information was associated with increased
fertility knowledge (emerging adults) and greater infertility threat (adolescents
and emerging adults). WHAT IS KNOWN ALREADY: According to fertility education
research, adolescents and emerging adults know less than they should know about
fertility topics. Fertility knowledge can be improved through the provision of
information in older adults. STUDY DESIGN, SIZE, DURATION: Experimental design.
Secondary and university students completed pre-information questionnaires, were
randomly assigned via computer to an experimental group, read either fertility
(FertiEduc group) or healthy pregnancy information (Control group), and completed
post-information questionnaires. Data were collected in group sessions via an
online portal. PARTICIPANTS/MATERIALS, SETTING, METHODS: Eligible participants
were aged 16-18 (adolescents) or 21-24 years (emerging adults), childless, not
currently pregnant (for men, partner not pregnant) or trying to conceive,
presumed fertile and intending to have a child in the future. Of the 255 invited,
208 (n = 93 adolescents, n = 115 emerging adults) participated. The FertiEduc
group received 'A Guide to Fertility', four online pages of information about
fertility topics (e.g. 'When are men and women most fertile?') and the Control
group received four online pages from the National Health Service (NHS) pregnancy
booklet 'Baby Bump and Beyond'. Participants completed a questionnaire (fertility
knowledge, perceived threat of infertility, anxiety, physical stress and
fertility plans, moderators) prior to and after the provision of information.
Mixed factorial analysis of variance was used to examine the effects of
information provision and hierarchical multiple regression to assess potential
moderators of knowledge. MAIN RESULTS AND THE ROLE OF CHANCE: The FertiEduc and
Control groups were equivalent on age, gender, disability, relationship status
and orientation at baseline. Results showed that fertility information
significantly increased fertility knowledge for emerging adults only (P < 0.001)
and threat of infertility for emerging adults and adolescents (P = 0.05). The
moderators were not significant. Participation in the study was associated with
an increase in feelings of anxiety but a decrease in physical stress reactions.
Adolescents had more optimal fertility plans compared to emerging adults due to
being younger. LIMITATIONS, REASONS FOR CAUTION: This was an experimental study
on a self-selected sample of men and women from selected educational institutions
and only short term effects of information were studied. WIDER IMPLICATIONS OF
THE FINDINGS: Provision of fertility information can have benefits (increased
fertility knowledge) but also costs (increase potential threat of infertility).
Adolescents find fertility information positive but do not learn from it.
Fertility education should be tailored according to age groups and created to
minimise negative effects. Longitudinal examination of the effects of fertility
information in multi-centre studies is warranted and should include measures of
perceived threat of infertility. STUDY FUNDING/COMPETING INTEREST(S): Cardiff
University funded this research. All authors have no conflicts of interest to
declare.
PMID- 29788137
TI - Inflammatory Bowel Disease Telemedicine Clinical Trial: Impact of Educational
Text Messages on Disease-Specific Knowledge Over 1 Year.
AB - Background: Effective treatments are available for patients with inflammatory
bowel disease (IBD); however, suboptimal outcomes occur and are often linked to
patients' limited disease knowledge. The aim of this analysis was to determine if
delivery of educational messages through a telemedicine system improves IBD
knowledge. Methods: TELEmedicine for Patients with IBD (TELE-IBD) was a
randomized controlled trial with visits at baseline, 6 months, and 12 months;
patient knowledge was a secondary aim of the study. Patients were randomized to
receive TELE-IBD every other week (EOW), weekly (TELE-IBD W), or standard of
care. Knowledge was assessed at each visit with the Crohn's and Colitis Knowledge
(CCKNOW) survey. The primary outcome was change in CCKNOW score over 1 year
compared between the TELE-IBD and control groups. Results: This analysis included
219 participants. Participants in the TELE-IBD arms had a greater improvement in
CCKNOW score compared with standard care (TELE-IBD EOW +2.4 vs standard care
+1.8, P = 0.03; TELE-IBD W +2.0 vs standard care +1.8, P = 0.35). Participants
with lower baseline CCKNOW scores had a greater change in their score over time
(P < 0.01). However, after adjusting for race, site, and baseline knowledge,
there was no difference in CCKNOW score change between the control and
telemedicine arms. Conclusions: Telemedicine improves IBD-specific knowledge
through text messaging, although the improvement is not additive with greater
frequency of text messages. However, after adjustment for confounding variables,
telemedicine is not superior to education given through standard visits at
referral centers. Further research is needed to determine if revised systems with
different modes of delivery and/or frequency of messages improve disease
knowledge.
PMID- 29788138
TI - Gram-negative bacilli are a major cause of secondary pneumonia in patients with
pulmonary tuberculosis: evidence from a cross-sectional study in a tertiary
hospital in Nigeria.
AB - Background: This study was aimed at describing the profile of bacterial aetiology
of secondary pneumonia in pulmonary tuberculosis (PTB) patients. Methods: A 22
month analysis of patients with PTB and secondary bacterial pneumonia was
conducted. Data on isolates recovered and the antimicrobial susceptibility
profile were recorded. Results: Of the 141 patients, there were 79 (56%) males
and the mean age was 35.98+/-15.93. Gram-negative bacilli were isolated with
equal frequency as Streptococcus pneumoniae (63 [44.7%]). Most of the isolates
tested were sensitive to levofloxacin, ceftriaxone or chloramphenicol.
Conclusion: Gram-negative bacilli are a major cause of pneumonia in patients with
PTB on treatment.
PMID- 29788139
TI - Microsurgical Resection of Spinal Cord Hemangioblastoma: 2-Dimensional Operative
Video.
AB - This video demonstrates microsurgical resection of spinal cord hemangioblastoma.
Hemangioblastomas are rare, benign, highly vascularized tumors classified as
grade I according to World Health Organization classification systems. About 3%
of all intramedullary tumors are hemangioblastomas.1,2 Spinal cord
hemangioblastomas are either sporadic3,4 or manifestations of von Hippel-Lindau
(VHL) disease in 20% to 45% of patients.5,6 A 30-year-old male presented with
sudden onset urinary incontinence. Magnetic resonance imaging showed contrast
enhancing intramedullary tumor with adjacent cyst in T11, and syringomyelia
extending to C1. Surgical resection followed rules that apply to resection of
arteriovascular malformations: coagulation of arterial feeders precedes the
coagulation of the draining vein, which is preserved until the end of
surgery.2,4,5,7,8 First, posterior midline myelotomy was performed and the tumor
cyst was drained in order to develop a dissection plane. Following this, we
continuously separated dorsal nerve roots from the tumor nodule using
microsurgical technique. The key step in tumor resection is devascularization of
the tumor, achievable in 2 ways.2,7,9-13 The circumferential detachment of the
normal pia from the tumor pia is crucial in developing a plane of dissection. The
coagulation and division of arterial feeders while preserving the drainage vein
further devascularizes the tumor. Once the tumor mural nodule was detached from
the spinal cord, the drainage vein was coagulated last and the tumor was removed.
The patient fully recovered from his incontinence and was neurologically intact.
Screening for VHL disease was negative. Written consent was obtained directly
from the patient.
PMID- 29788140
TI - Immune-unreactive urinary albumin as a predictor of cardiovascular events: the
Hortega Study.
AB - Background: We aimed to determine if immune-unreactive albumin excretion (IURAE)
is associated with cardiovascular (CV) events in a representative sample of a
general population from Spain. Methods: We included 1297 subjects (mean age +/-
standard error 48.0 +/- 0.2 years, 48% females), who participated in the Hortega
Follow-Up Study. The primary endpoint was incidence of fatal and non-fatal CV
events. Urinary albumin excretion (UAE) was measured in spot voided urine, frozen
at -80 degrees C, by immunonephelometry [immune-reactive albumin excretion
(IRAE)] and by high-performance liquid chromatography (HPLC) [total albumin
excretion (AE)]. IURAE was calculated as the difference between HPLC measurements
and IRAE. We estimated fully adjusted hazard ratios (HRs) of CV incidence by Cox
regression for IRAE, IURAE and total AE. Results: After an average at-risk follow
up of 13 years, we observed 172 CV events. urinary albumin to creatinine ratio
(UACR) of >=30 mg/g assessed by IRAE, IURAE or total AE concentrations was
observed in 74, 273 and 417 participants, respectively. Among discordant pairs,
there were 49 events in those classified as micro- and macroalbuminuric by IURAE,
but normoalbuminuric by IRAE. Only the IRAE was a significant independent factor
for the incidence of CV events [HR (95% confidence interval) 1.15 (1.04-1.27)].
The association of UAE with CV events was mainly driven by heart failure (HF) [HR
1.33 (1.15-1.55) for IRAE; HR 1.38 (1.06-1.79) for IURAE; HR 1.62 (1.22-2.13) for
total AE]. Those subjects who were micro- and macroalbuminuric by both IRAE and
IURAE had a significant increase in risk for any CV event, and especially for HF.
Conclusions: IRAE, IURAE and AE were associated with an increased risk for CV
events, but IRAE offered better prognostic assessment.
PMID- 29788141
TI - A Single Nucleotide Polymorphism in the Vitamin D Receptor Gene Is Associated
With Decreased Levels of the Protein and a Penetrating Pattern in Crohn's
Disease.
AB - Background: Vitamin D signaling modulates inflammation through the vitamin D
receptor (VDR). The synonymous single nucleotide polymorphism (SNP) rs731236,
located in the VDR gene, has been associated with a higher risk of Crohn's
disease (CD). We analyzed differences in VDR expression levels among CD patients
who were homozygous for allelic variants in this SNP and their relevance for
disease course. Methods: DNA was extracted from blood samples of CD patients, and
SNP genotyping was performed by polymerase chain reaction-restriction fragment
length polymorphism. Fresh blood from patients was used to isolate peripheral
blood mononuclear cells (PBMCs) or to determine the expression of adhesion
molecules by flow cytometry. We analyzed the gene expression of VDR and several
cytokines in PBMCs using real-time polymerase chain reaction and the protein
levels of VDR, NFkappaB, and IkappaBalpha by immunoblot. In addition, we
collected complete clinical data for a group of 103 patients, including age at
diagnosis, disease location, and disease behavior to compare patient
characteristics with respect to genotype. Results: We found that CD patients who
were homozygous for the risk allele presented lower levels of VDR protein in
PBMCs, and that this was associated with an upregulation of IL1beta mRNA and
activation of lymphocytic adhesion molecules. These patients had a higher risk of
developing a B3-penetrating phenotype and of needing to undergo surgery.
Conclusion: Our data highlight the relevance of vitamin D/VDR signaling in
modulating the subjacent inflammation that leads to CD-related complications.
PMID- 29788142
TI - Molecular Characterization of the 2016 New World Screwworm (Diptera:
Calliphoridae) Outbreak in the Florida Keys.
AB - New World screwworm (NWS), Cochliomyia hominivorax (Coquerel 1858) (Diptera:
Calliphoridae), is a myiasis-causing fly that can be a serious threat to the
health of livestock, wildlife, and humans. Its progressive eradication from the
southern United States, Mexico, and Central America from the 1950s to 2000s is an
excellent example of successful pest management using sterile insect technique
(SIT). In late 2016, autochthonous NWS were detected in the Florida Keys,
representing this species' first invasion in the United States in >30 yr. Rapid
use of quarantine and SIT was successful in eliminating the infestation by early
2017; however, the geographic source of this infestation remains unknown. Here,
we use amplicon sequencing to generate mitochondrial and nuclear sequence data
representing all confirmed cases of NWS from this infestation, and compare these
sequences to preexisting data sets sampling the native distribution of NWS. We
ask two questions regarding the FL Keys outbreak. First, is this infestation the
result of a single invasion from one source, or multiple invasions from different
sources? And second, what is the geographic origin of this invasion? We found
virtually no sequence variation between specimens collected from the FL Keys
outbreak, which is consistent with a single source of introduction. However, we
also found very little geographic resolution in any of the data sets, which
precludes identification of the source of this outbreak. Our lack of success in
answering our second question speaks to the need for finer-scale genetic or
genomic assessments of NWS population structure, which would facilitate source
determination of potential future outbreaks.
PMID- 29788143
TI - Pedunculated aortic thrombus propagating from the right coronary artery in a
patient with IgA nephropathy.
PMID- 29788144
TI - Prior Knowledge Guides Speech Segregation in Human Auditory Cortex.
AB - Segregating concurrent sound streams is a computationally challenging task that
requires integrating bottom-up acoustic cues (e.g. pitch) and top-down prior
knowledge about sound streams. In a multi-talker environment, the brain can
segregate different speakers in about 100 ms in auditory cortex. Here, we used
magnetoencephalographic (MEG) recordings to investigate the temporal and spatial
signature of how the brain utilizes prior knowledge to segregate 2 speech streams
from the same speaker, which can hardly be separated based on bottom-up acoustic
cues. In a primed condition, the participants know the target speech stream in
advance while in an unprimed condition no such prior knowledge is available.
Neural encoding of each speech stream is characterized by the MEG responses
tracking the speech envelope. We demonstrate that an effect in bilateral superior
temporal gyrus and superior temporal sulcus is much stronger in the primed
condition than in the unprimed condition. Priming effects are observed at about
100 ms latency and last more than 600 ms. Interestingly, prior knowledge about
the target stream facilitates speech segregation by mainly suppressing the neural
tracking of the non-target speech stream. In sum, prior knowledge leads to
reliable speech segregation in auditory cortex, even in the absence of reliable
bottom-up speech segregation cue.
PMID- 29788145
TI - Long-Term Outcome of Spinal Cord Stimulation in Failed Back Surgery Syndrome: 20
Years of Experience With 224 Consecutive Patients.
AB - BACKGROUND: Failed back surgery syndrome (FBSS) is a challenging condition that
lacks a curative treatment. In selected patients, spinal cord stimulation (SCS)
has provided a satisfactory outcome. OBJECTIVE: To evaluate the long-term outcome
of SCS in FBSS, as measured by (1) the explantation rate, (2) complications, and
(3) patient satisfaction with the global perceived effect (GPE). METHODS: We
studied 224 consecutive FBSS patients who underwent an SCS trial with surgically
implanted leads at our hospital between January 1996 and December 2014. The
patients' satisfaction with the GPE of treatment was measured through a postal
questionnaire at the end of follow-up. RESULTS: Based on a 1-wk trial, permanent
SCS was implanted in 175 (78%) patients. Out of these patients, 153 (87%)
reported satisfactory outcomes after 2 mo. During the mean follow-up of 6 yr, 34
(19%) of SCS devices were permanently explanted due to inadequate pain relief,
and 11 (6%) were explanted for other reasons. Electrode revision due to
inadequate pain relief was done for 22 patients. In total, 26 complications were
reported due to: 7 deep infections, 11 hardware malfunctions, 1 subcutaneous
hematoma, 4 instances of discomfort due to the pulse generator, and 3 electrode
migrations. One hundred thirty patients (74%) continued with SCS until the end of
follow-up. Of them, 61 (47%) returned the questionnaire, and 42 (69%) reported
substantially improved or better GPE. CONCLUSION: SCS can provide a good outcome
in the treatment of FBSS. Patient selection could be further improved by
developing novel predictive biomarkers.
PMID- 29788147
TI - Will the Eu Data Protection Regulation 2016/679 Inhibit Critical Care Research?
AB - There is an inherent tension between critical care research and data protection.
Because of their condition it is not possible to ask for the patients' informed
consent to be enrolled in observational research at the point of admission to the
hospital. Often this is not possible at a later moment either. Yet informed
consent is the baseline to be enrolled in research with personal data and
exceptions must be allowed for by national legislation. This was the case under
Directive 95/96/EC and will be the case under the General Data Protection
Regulation (GDPR, Regulation 2016/679 EU) which will replace the Directive from
25 May 2018 onwards. Though being a Regulation and therefore directly applicable
in the Member States, the long debate about the research exceptions in the GDPR
left many aspects of observational research including the exception to the
informed consent principle, mainly to the Member States. It may be assumed that
most Member States will leave their present state of the law intact in this
respect as that was part of the political compromise. We compared existing
national privacy legislation from the perspective of critical care research and
found great variation. Although this may not impede the collection of emergency
and critical care research with data without prior informed consent in countries
which are more responsive to such research, it might be a challenge to exchange
such data from the national nodes in European wide research collaboration. We
make a case that countries which are not responsive to such research should adapt
their legislation in the interests of future critical care patients.
PMID- 29788148
TI - The Role of Angiogenesis Inhibitors in Hypertension: Following "Ariadne's
Thread".
AB - Arterial hypertension (HT) is one of the most frequently recorded comorbidities
among patients under antiangiogenic therapy. Inhibitors of vascular endothelial
growth factor and vascular endothelial growth factor receptors are most commonly
involved in new onset or exacerbation of pre-existing controlled HT. From the
pathophysiology point of view, data support that reduced nitric oxide release and
sodium and fluid retention, microvascular rarefaction, elevated vasoconstrictor
levels, and globular injury might contribute to HT. The purpose of this review
was to present recent evidence regarding the incidence of HT induced by
antiangiogenic agents, to analyze the pathophysiological mechanisms, and to
summarize current recommendations for the management of elevated blood pressure
in this field.
PMID- 29788146
TI - Leaf hydraulic vulnerability triggers the decline in stomatal and mesophyll
conductance during drought in rice.
AB - Understanding the physiological responses of crops to drought is important for
ensuring sustained crop productivity under climate change, which is expected to
exacerbate the frequency and intensity of periods of drought. Drought responses
involve multiple traits, and the correlations between these traits are poorly
understood. Using a variety of techniques, we estimated the changes in gas
exchange, leaf hydraulic conductance, and leaf turgor in rice (Oryza sativa) in
response to both short- and long-term soil drought. We performed a photosynthetic
limitation analysis to quantify the contributions of each limiting factor to the
resultant overall decrease in photosynthesis during drought. Biomass, leaf area,
and leaf width significantly decreased during the 2-week drought treatment, but
leaf mass per area and leaf vein density increased. Light-saturated
photosynthetic rate declined dramatically during soil drought, mainly due to the
decrease in stomatal conductance (gs) and mesophyll conductance (gm). Stomatal
modeling suggested that the decline in leaf hydraulic conductance explained most
of the decrease in stomatal closure during the drought treatment, and may also
trigger the drought-related decrease of stomatal conductance and mesophyll
conductance. The results of this study provide insight into the regulation of
carbon assimilation under drought conditions.
PMID- 29788149
TI - Randomized trials and propensity score analyses in transcatheter aortic valve
replacement: how should we interpret the results?
PMID- 29788150
TI - Spotlight on recently published ICVTS articles.
PMID- 29788151
TI - Sporulation environment influences spore properties in Bacillus: evidence and
insights on underlying molecular and physiological mechanisms.
AB - Bacterial spores are resistant to physical and chemical insults, which makes them
a major concern for public health and industry. Spores help bacteria to survive
extreme environmental conditions that vegetative cells cannot tolerate. Spore
resistance and dormancy are important properties for applications in medicine,
veterinary health, food safety, crop protection and other domains. The resistance
of bacterial spores results from a protective multilayered structure and from the
unique composition of the spore core. The mechanisms of sporulation and
germination, the first stage after breaking of dormancy, and organization of
spore structure have been extensively studied in Bacillus species. This review
aims to illustrate how far the structure, composition and properties of spores
are shaped by the environmental conditions in which spores form. We look at the
physiological and molecular mechanisms underpinning how sporulation media and
environment deeply affect spore yield, spore properties like resistance to wet
heat and physical and chemical agents, germination and further growth. For
example, spore core water content decreases as sporulation temperature increases,
and resistance to wet heat increases. Controlling the fate of Bacillus spores is
pivotal to controlling bacterial risks and process efficiencies in, for example,
the food industry, and better control hinges on better understanding how
sporulation conditions influence spore properties.
PMID- 29788152
TI - Early Arthritis Is Associated With Failure of Immunosuppressive Drugs and Severe
Pediatric Crohn's Disease Evolution.
AB - Background: Crohn's disease (CD) is a chronic relapsing inflammatory disease. To
optimize therapeutic decision making, it is essential to identify parameters that
allow early prediction of a severe disease course. The aim of this study was to
assess the link between arthritis and medium-term therapeutic failure in
pediatric CD. Methods: We conducted a population-based cohort study with
prospectively collected electronic data. To be included, patients must be younger
than 17 years and have a confirmed CD diagnosed between 2005 and 2014. The
primary outcome was the percentage of patients with at least 1 therapeutic
failure of immunosuppressive drugs during the 2 years after the CD diagnosis,
with a propensity score analysis. Results: We included 272 patients with CD. The
median age was 12.1 years (interquartile [10.1-14.2]). Sixty-five patients
(23.9%) developed arthritis, which predominantly occurred during the first year
after CD diagnosis. We found a highly significant association between arthritis
and therapeutic failure of immunosuppressive drugs after 2 years (OR = 6.9; 95%
confidence interval [CI], 2.7-18.0; P < 0.0001; propensity score matching
analysis). Arthritis was also significantly associated with introduction of
biotherapy due to luminal disease 2 years after diagnosis (OR = 3.2, 95% CI, 1.8
6.0; P = 0.0001). Similar results were obtained after 4 years, and arthritis was
significantly associated with a higher number of hospitalizations for luminal
flare-up or complications after 4 years (OR = 2.2; 95% CI, 1.2-3.9; P = 0.007).
Conclusions: Arthritis was strongly associated with medium-term therapeutic
failure of pediatric CD. Occurrence of arthritis early in the disease may justify
closer follow-up visits or specific therapeutic management.
PMID- 29788153
TI - Aggregate analysis of sentinel events as a strategic tool in safety management
can contribute to the improvement of healthcare safety.
AB - Objective: To examine if clustering of root causes of sentinel events (SEs) can
contribute to organisational improvement of healthcare and patient safety by
providing insight into organisational risk factors, patterns and trends. Design:
Retrospective, cross-sectional review of SEs from a hospital database reported to
the Board of directors in 2016. Setting: A regional teaching hospital in the
Netherlands. Intervention(s): Clustering of characteristics and variables of SEs
to establish vulnerabilities and patterns of failure factors of the organisation.
Main Outcome Measure(s): Characteristics and contributory causes of failure of
SEs identified via root cause analysis (RCA). Outcomes reported using descriptive
statistics. Results: A total of 21 events were included involving 21 patients.
Mean age was 56.7 years (SD 24.4), 71.4% were above 50 years of age. In 81.8%,
the care was multi-disciplinary and in 76.2% the event resulted in permanent harm
or injury. Of the 132 identified contributory root causes, most were related to
human factors (53.8%) and organisational factors (40.2%). Technical and patient
related factors were identified in 3.0%. Organisational improvement strategies
focused on the care of elderly patients, patients subjected to multi-disciplinary
care and on improving knowledge, protocols and coordination of care. Conclusion:
Clustering variables of SEs and contributory factors of failure through RCA helps
to delineate a hospital-specific profile by providing a detailed insight into
risk factors, patterns and trends in an organisation and to determine the best
strategies for improvement by drawing lessons across events.
PMID- 29788154
TI - Macrovascular Decompression of Facial Nerve With Anteromedial Transposition of a
Dolichoectatic Vertebral Artery: 3-Dimensional Operative Video.
AB - Most cranial nerve compression syndromes (ie, trigeminal neuralgia and hemifacial
spasm) are caused by small arteries impinging on a nerve and are relieved by
microvascular decompression. Rarely, cranial nerve compression syndromes can be
caused by large artery impingement and can be relieved by macrovascular
decompression. When present, this compression often occurs in association with
degenerative atherosclerosis in the vertebral arteries (VA) and basilar artery.
Conservative treatment is recommended for mild forms, but surgical transposition
of the VA away from the root entry zone (REZ) can be considered. This video
demonstrates macrovascular decompression of a dolichoectatic VA in a 74-yr-old
female with refractory left hemifacial spasm. After obtaining IRB approval,
patient consent was sought for the procedure. With the patient in three-quarter
prone position, a far-lateral craniotomy was performed. The dentate ligament was
cut to free the VA, and the suprahypoglossal portion of the vagoaccessory
triangle was widened. VA compressed the REZ of the facial nerve, but was
mobilized anteromedially off the REZ. A muslin sling was wrapped around the VA
and its tail brought down to the clival dura, which was punctured with a 19-gauge
needle and enlarged with a dissector. The sling was pulled anteromedially to this
puncture site and secured to the dura with an aneurysm clip, relieving the REZ of
all compression. The patient tolerated the procedure with mild, transient
hoarseness and her hemifacial spasm resolved completely. This case demonstrates
the macrovascular decompression technique with anteromedial transposition of the
vertebrobasilar artery, which can also be used for trigeminal neuralgia.
PMID- 29788156
TI - Systemic inflammation markers after simplification to atazanavir/ritonavir plus
lamivudine in virologically suppressed HIV-1-infected patients: ATLAS-M substudy.
AB - Background: Biomarkers of systemic inflammation predict non-AIDS events and
overall mortality in virologically suppressed HIV-1-infected patients.
Objectives: To determine whether switching to a dual antiretroviral maintenance
therapy was associated with modification of biomarkers of systemic inflammation
as compared with continuation of successful standard triple therapy. Methods: In
this substudy of the randomized ATLAS-M trial, we compared in virologically
suppressed patients the impact at 1 year of simplification to a dual therapy with
atazanavir/ritonavir plus lamivudine versus maintaining atazanavir/ritonavir plus
two NRTI triple therapy on markers of systemic inflammation. Plasma levels of
interleukin-6, C-reactive protein (CRP), soluble CD14 (sCD14) and D-dimer were
quantified by ELISA at baseline and at 48 weeks. Results: A subset of 139 of 266
randomized patients with available samples was analysed: 69 in the triple therapy
arm and 70 in the dual therapy arm. The baseline biomarker levels were comparable
between randomization arms. No significant differences in changes from baseline
to week 48 were observed between arms (dual therapy versus triple therapy): IL-6,
-0.030 versus -0.016 log10 pg/L; CRP, +0.022 versus +0.027 log10 pg/mL; sCD14,
0.016 versus +0.019 log10 pg/mL; and D-dimer, -0.031 versus +0.004 log10 pg/mL. A
history of cancer was associated with higher baseline levels of IL-6 (P = 0.002)
and CRP (P = 0.049). No relationship was observed between baseline biomarker
level and persistent residual viraemia, HIV-1 DNA load, plasma lipids and other
potential explanatory variables. Conclusions: Simplification with
atazanavir/ritonavir plus lamivudine does not affect plasma markers of systemic
inflammation in virologically suppressed patients. The association between these
findings and clinical outcomes requires further evaluation.
PMID- 29788157
TI - Weight loss in achalasia is determined by its phenotype.
AB - Patients with achalasia present with dysphagia, regurgitation, and varying
degrees of weight loss. However, despite it being a disorder of the lower
esophageal sphincter with functional obstruction in all patients, it is unclear
why certain patients lose significantly more weight compared to others. The aims
of this study are to assess demographic, clinical, and manometric characteristics
of a large cohort of patients with achalasia to determine potential correlates of
weight loss in this population. Patients with diagnosis of achalasia referred to
our center between 2009 and 2016 were evaluated. Demographic and physiologic
tests between those with and without weight loss were compared. The cohort of
patients with initial self-reported weight loss were studied to determine change
in weight after intervention (pneumatic dilation or myotomy). The Kruskal-Wallis
test was used for comparison of continuous variables between groups and Pearson's
chi2 test was used for comparison of categorical variables between groups. 138
patients with achalasia were evaluated. 35 patients were excluded due to lack of
manometric data and 3 from lack of documented weight resulting in the study
population of 100 patients with achalasia [51% male, median age: 56 years].
Weight loss was reported in 51/100 (51%) patients. BMI was lower in patients who
reported weight loss (25 vs. 31, P < 0.001) with a median weight loss of 28 lbs
(14-40 lbs). There were no significant differences in age at diagnosis, gender,
or symptom presentation (dysphagia, regurgitation, or chest pain) between the
groups. However, more patients with type II achalasia (63%) reported weight loss
as compared to other sub-types (P = 0.013). 73% of type III achalasia denied
having weight loss. Patients who denied weight loss had symptoms for longer
duration (24 vs. 12 months, P < 0.001) and had lower mean residual LES pressure
(20 vs. 30 mmHg, P = 0.006). Postintervention 42% of patients reported no weight
regain despite appropriate therapy for achalasia with median follow-up of 22
months (range: 6-90 months). Type II achalasia patients are most likely and type
III achalasia are least likely to have weight loss compared to type I achalasia.
Given that no other demographic/physiologic parameters predicted weight loss, the
role of underlying inflammatory cascade in achalasia phenotypes deserves special
attention.
PMID- 29788155
TI - Phase I study of the checkpoint kinase 1 inhibitor GDC-0575 in combination with
gemcitabine in patients with refractory solid tumors.
AB - Background: Checkpoint kinase 1 (Chk1) inhibition following chemotherapy-elicited
DNA damage overrides cell cycle arrest and induces mitotic catastrophe and cell
death. GDC-0575 is a highly-selective oral small-molecule Chk1 inhibitor that
results in tumor shrinkage and growth delay in xenograft models. We evaluated the
safety, tolerability, and pharmacokinetic properties of GDC-0575 alone and in
combination with gemcitabine. Antitumor activity and Chk1 pathway modulation were
assessed. Patients and methods: In this phase I open-label study, in the dose
escalation stage, patients were enrolled in a GDC-0575 monotherapy Arm (1) or GDC
0575 combination with gemcitabine Arm (2) to determine the maximum tolerated
dose. Patients in arm 2 received either i.v. gemcitabine 1000 mg/m2 (arm 2a) or
500 mg/m2 (arm 2b), followed by GDC-0575 (45 or 80 mg, respectively, as RP2D).
Stage II enrolled disease-specific cohorts. Results: Of 102 patients treated, 70%
were female, the median age was 59 years (range 27-85), and 47% were Eastern
Cooperative Oncology Group PS 0. The most common tumor type was breast (37%). The
most frequent adverse events (all grades) related to GDC-0575 and/or gemcitabine
were neutropenia (68%), anemia (48%), nausea (43%), fatigue (42%), and
thrombocytopenia (35%). Maximum concentrations of GDC-0575 were achieved within 2
hours of dosing, and half-life was ~23 hours. No pharmacokinetic drug-drug
interaction was observed between GDC-0575 and gemcitabine. Among patients treated
with GDC-0575 and gemcitabine, there were four confirmed partial responses, three
occurring in patients with tumors harboring TP53 mutation. Pharmacodynamic data
were consistent with GDC-0575 inhibition of gemcitabine-induced expression of
pCDK1/2. Conclusion: GDC-0575 can be safely administered as a monotherapy and in
combination with gemcitabine; however, overall tolerability with gemcitabine was
modest. Hematological toxicities were frequent but manageable. Preliminary
antitumor activity was observed but limited to a small number of patients with a
variety of refractory solid tumors treated with GDC-0575 and gemcitabine.
Clinical trial number: NCT01564251.
PMID- 29788159
TI - Perspectives on hypertension treatment in older persons.
PMID- 29788160
TI - Mind the eye-squirter! An Anthia sexmaculata sexmaculata-related necrotic burn.
AB - We report the case of an Anthia sexmaculata sexmaculata-associated necrotic burn
in a French expatriate in Mauritania. Anthia spp.-related injury is a common
though underreported health issue in the Sahelo-Saharan area. Deployed soldiers
and travellers should be aware of these beetles when adventuring in this region.
PMID- 29788158
TI - Cell wall-bound silicon optimizes ammonium uptake and metabolism in rice cells.
AB - Background and Aims: Turgor-driven plant cell growth depends on cell wall
structure and mechanics. Strengthening of cell walls on the basis of an
association and interaction with silicon (Si) could lead to improved nutrient
uptake and optimized growth and metabolism in rice (Oryza sativa). However, the
structural basis and physiological mechanisms of nutrient uptake and metabolism
optimization under Si assistance remain obscure. Methods: Single-cell level
biophysical measurements, including in situ non-invasive micro-testing (NMT) of
NH4+ ion fluxes, atomic force microscopy (AFM) of cell walls, and electrolyte
leakage and membrane potential, as well as whole-cell proteomics using isobaric
tags for relative and absolute quantification (iTRAQ), were performed. Key
Results: The altered cell wall structure increases the uptake rate of the main
nutrient NH4+ in Si-accumulating cells, whereas the rate is only half in Si
deprived counterparts. Conclusions: Rigid cell walls enhanced by a wall-bound
form of Si as the structural basis stabilize cell membranes. This, in turn,
optimizes nutrient uptake of the cells in the same growth phase without any
requirement for up-regulation of transmembrane ammonium transporters.
Optimization of cellular nutrient acquisition strategies can substantially
improve performance in terms of growth, metabolism and stress resistance.
PMID- 29788161
TI - New reagents for poultry research: preparation, purification, and in vitro
evaluation of non-PEGylated and mono-PEGylated chicken prolactin.
AB - Recombinant chicken prolactin (chPRL), expressed in Escherichia coli and purified
as a monomer, was successfully PEGylated and purified to homogeneity as a mono
PEGylated protein (PEG-chPRL). Its biological activity was estimated by its
ability to interact with human prolactin receptor extracellular domain (hPRLR
ECD) and stimulate PRLR-mediated proliferation in Nb2-11C cells. PEG-chPRL
activity in a cell bioassay was 10-fold lower than that of non-PEGylated chPRL,
but only 2-fold lower in a binding assay to hPRLR-ECD. The CD spectra of non
PEGylated and PEGylated chPRL were almost identical and similar to that of hPRL,
indicating proper refolding. Although the PEGylation of chPRL resulted in lower
activity in vitro, PEG-chPRL was absorbed more slowly than chPRL, remained in the
circulation 16 h longer. Furthermore the effects of PEG-chPRL injections in
chickens on subsequent corticosteroid levels in blood were significantly profound
compared to chPRL. These favorable PEGylation-induced pharmacokinetic alterations
should improve efficacy of PEG-chPRL in in vivo experiments, as dosing frequency
can be reduced due to its prolonged persistence in the circulation, and thus
reduce the frequency of dosing. Furthermore, hydrophobic interaction
chromatography was successfully adopted to isolate PEG-chPRL as a better
alternative for separation of PEGylated PRL, and is likely to be successfully
applicable to other proteins.
PMID- 29788162
TI - Symposium: avian embryo nutrition and incubation.
AB - The embryonic period represents approximately 33 percent of the total productive
life of modern broilers and is very important for attaining quality broiler
performance at marketing. In this symposium, recent developments in pre-hatch
broiler development were discussed with special focus on maternal nutrition, in
ovo nutrition, and the regulation and monitoring of the incubational environment.
The symposium was concluded with discussion about the implementation of future
innovations in incubation technology.
PMID- 29788163
TI - Should de-escalation of bone-targeting agents be standard of care for patients
with bone metastases from breast cancer? A systematic review and meta-analysis.
PMID- 29788164
TI - What is the optimal systemic treatment of men with metastatic, hormone-naive
prostate cancer? A STOPCAP systematic review and network meta-analysis.
AB - Background: Our prior Systemic Treatment Options for Cancer of the Prostate
systematic reviews showed improved survival for men with metastatic hormone-naive
prostate cancer when abiraterone acetate plus prednisolone/prednisone (AAP) or
docetaxel (Doc), but not zoledronic acid (ZA), were added to androgen-deprivation
therapy (ADT). Trial evidence also suggests a benefit of combining celecoxib
(Cel) with ZA and ADT. To establish the optimal treatments, a network meta
analysis (NMA) was carried out based on aggregate data (AD) from all available
studies. Methods: Overall survival (OS) and failure-free survival data from
completed Systemic Treatment Options for Cancer of the Prostate reviews of Doc,
ZA and AAP and from recent trials of ZA and Cel contributed to this comprehensive
AD-NMA. The primary outcome was OS. Correlations between treatment comparisons
within one multi-arm, multi-stage trial were estimated from control-arm event
counts. Network consistency and a common heterogeneity variance were assumed.
Results: We identified 10 completed trials which had closed to recruitment, and
one trial in which recruitment was ongoing, as eligible for inclusion. Results
are based on six trials including 6204 men (97% of men randomised in all
completed trials). Network estimates of effects on OS were consistent with
reported comparisons with ADT alone for AAP [hazard ration (HR) = 0.61, 95%
confidence interval (CI) 0.53-0.71], Doc (HR = 0.77, 95% CI 0.68-0.87), ZA + Cel
(HR = 0.78, 95% CI 0.62-0.97), ZA + Doc (HR = 0.79, 95% CI 0.66-0.94), Cel (HR =
0.94 95% CI 0.75-1.17) and ZA (HR = 0.90 95% CI 0.79-1.03). The effect of ZA +
Cel is consistent with the additive effects of the individual treatments. Results
suggest that AAP has the highest probability of being the most effective
treatment both for OS (94% probability) and failure-free survival (100%
probability). Doc was the second-best treatment of OS (35% probability).
Conclusions: Uniquely, we have included all available results and appropriately
accounted for inclusion of multi-arm, multi-stage trials in this AD-NMA. Our
results support the use of AAP or Doc with ADT in men with metastatic hormone
naive prostate cancer. AAP appears to be the most effective treatment, but it is
not clear to what extent and whether this is due to a true increased benefit with
AAP or the variable features of the individual trials. To fully account for
patient variability across trials, changes in prognosis or treatment effects over
time and the potential impact of treatment on progression, a network meta
analysis based on individual participant data is in development.
PMID- 29788165
TI - Physical activity in relation to risk of prostate cancer: a systematic review and
meta-analysis.
AB - Background: Prostate cancer (PCa) is one of the most common cancers among men,
yet little is known about its modifiable risk and protective factors. This study
aims to quantitatively summarize observational studies relating physical activity
(PA) to PCa incidence and mortality. Materials and methods: Published articles
pertaining to PA and PCa incidence and mortality were retrieved in July 2017
using the Medline and EMBASE databases. The literature review yielded 48 cohort
studies and 24 case-control studies with a total of 151 748 PCa cases. The mean
age of the study participants at baseline was 61 years. Results: In random
effects models, comparing the highest versus the lowest level of overall PA
showed a summary relative risk (RR) estimate for total PCa incidence close to the
null [RR = 0.99, 95% confidence interval (CI) = 0.94-1.04]. The corresponding RRs
for advanced and non-advanced PCa were 0.92 (95% CI = 0.80-1.06) and 0.95 (95% CI
= 0.85-1.07), respectively. We noted a statistically significant inverse
association between long-term occupational activity and total PCa (RR = 0.83, 95%
CI = 0.71-0.98, n studies = 13), although that finding became statistically non
significant when individual studies were removed from the analysis. When
evaluated by cancer subtype, an inverse association with long-term occupational
activity was noted for non-advanced/non-aggressive PCa (RR = 0.51, 95% CI = 0.37
0.71, n studies = 2) and regular recreational activity was inversely related to
advanced/aggressive PCa (RR = 0.75, 95% CI = 0.60-0.95, n studies = 2), although
these observations are based on a low number of studies. Moreover, PA after
diagnosis was related to reduced risk of PCa mortality among survivors of PCa
(summary RR based on four studies = 0.69, 95% CI = 0.55-0.85). Conclusions:
Whether PA protects against PCa remains elusive. Further investigation taking
into account the complex clinical and pathologic nature of PCa is needed to
clarify the PA and PCa incidence relation. Moreover, future studies are needed to
confirm whether PA after diagnosis reduces risk of PCa mortality.
PMID- 29788167
TI - Treatment effects measured by restricted mean survival time in trials of immune
checkpoint inhibitors for cancer.
AB - Background: The hazard ratio (HR) is used routinely to quantify the treatment
effect for time-to-event end points in oncology trials, but its use requires that
there be proportional hazards in the treatment arms. Non-proportional hazards are
observed frequently in cancer immunotherapy trials due to the long-term survival
and delayed clinical effect. Although values of HR are quoted in such trials,
they are not valid measures of outcome. Methods: Reports of parallel group
randomized controlled trials (RCTs) evaluating immune checkpoint inhibitors with
overall survival data were eligible. For each trial, the ratio of restricted mean
survival time (RMST) between the arms was based on reconstructed individual
patient data for overall survival. Results: Twenty-five RCTs totaling 12 870
patients were included in this study. Overall survival was used as primary or
coprimary end point in 18 trials (72%). In all trials, there was agreement
between the ratio of RMST or RMTL and the reported HR about the direction of
treatment effect. Estimates of HR provided larger estimates of treatment effect
than the ratio of RMST or RMTL in all these trials. The estimated HR and RMST
based measures were in agreement regarding the statistical significance of the
effect in all but two trials. Conclusions: Ratio of RMST is a complementary
technique that provides alternative method of summarizing treatment effects.
Proportional hazards of the treatment effect should not be assumed in RCTs
evaluating immune checkpoint inhibitors, and RMST analysis should be reported in
such trials.
PMID- 29788166
TI - Combined pathologic-genomic algorithm for early-stage breast cancer improves cost
effective use of the 21-gene recurrence score assay.
AB - Background: The 21-gene recurrence score (RS) (Oncotype DX(r); Genomic Health,
Redwood City, CA) partitions hormone receptor positive, node negative breast
cancers into three risk groups for recurrence. The Anne Arundel Medical Center
(AAMC) model has previously been shown to accurately predict RS risk categories
using standard pathology data. A pathologic-genomic (P-G) algorithm then is
presented using the AAMC model and reserving the RS assay only for AAMC
intermediate-risk patients. Patients and methods: A survival analysis was done
using a prospectively collected institutional database of newly diagnosed
invasive breast cancers that underwent RS assay testing from February 2005 to May
2015. Patients were assigned to risk categories based on the AAMC model. Using
Kaplan-Meier methods, 5-year distant recurrence rates (DRR) were evaluated within
each risk group and compared between AAMC and RS-defined risk groups. Five-year
DRR were calculated for the P-G algorithm and compared with DRR for RS risk
groups and the AAMC model's risk groups. Results: A total of 1268 cases were
included. Five-year DRR were similar between the AAMC low-risk group (2.7%, n =
322) and the RS < 18 low-risk group (3.4%, n = 703), as well as between the AAMC
high-risk group (22.8%, n = 230) and the RS > 30 high-risk group (23.0%, n =
141). Using the P-G algorithm, more patients were categorized as either low or
high risk and the distant metastasis rate was 3.3% for the low-risk group (n =
739) and 24.2% for the high-risk group (n = 272). Using the P-G algorithm, 44%
(552/1268) of patients would have avoided RS testing. Conclusions: AAMC model is
capable of predicting 5-year recurrences in high- and low-risk groups similar to
RS. Further, using the P-G algorithm, reserving RS for AAMC intermediate cases,
results in larger low- and high-risk groups with similar prognostic accuracy.
Thus, the P-G algorithm reliably identifies a significant portion of patients
unlikely to benefit from RS assay and with improved ability to categorize risk.
PMID- 29788168
TI - Nivolumab combined with ruxolitinib: antagonism or synergy?
PMID- 29788169
TI - HPV status, like politics, is local-evaluating p16 staining and a new staging
system in a Dutch cohort of oropharynx cancer.
PMID- 29788171
TI - It is time to rethink weight loss in cancer.
PMID- 29788172
TI - Temporary protection: its impact on healthcare for Syrian refugees in Turkey.
PMID- 29788170
TI - Systematic review and meta-analysis of the evidence for oral nutritional
intervention on nutritional and clinical outcomes during chemo(radio)therapy:
current evidence and guidance for design of future trials.
AB - Background: Driven by reduced nutritional intakes and metabolic alterations,
malnutrition in cancer patients adversely affects quality of life, treatment
tolerance and survival. We examined evidence for oral nutritional interventions
during chemo(radio)therapy. Design: We carried out a systematic review of
randomized controlled trials (RCT) with either dietary counseling (DC), high
energy oral nutritional supplements (ONS) aiming at improving intakes or ONS
enriched with protein and n-3 polyunsaturated fatty acids (PUFA) additionally
aiming for modulation of cancer-related metabolic alterations. Meta-analyses were
carried out on body weight (BW) response to nutritional interventions, with
subgroup analyses for DC and/or high-energy ONS or high-protein n-3 PUFA-enriched
ONS. Results: Eleven studies were identified. Meta-analysis showed overall
benefit of interventions on BW during chemo(radio)therapy (+1.31 kg, 95% CI 0.24
2.38, P = 0.02, heterogeneity Q = 21.1, P = 0.007). Subgroup analysis showed no
effect of DC and/or high-energy ONS (+0.80 kg, 95% CI -1.14 to 2.74, P = 0.32; Q
= 10.5, P = 0.03), possibly due to limited compliance and intakes falling short
of intake goals. A significant effect was observed for high-protein n-3 PUFA
enriched intervention compared with isocaloric controls (+1.89 kg, 95% CI 0.51
3.27, P = 0.02; Q = 3.1 P = 0.37). High-protein, n-3 PUFA-enriched ONS studies
showed attenuation of lean body mass loss (N = 2 studies) and improvement of some
quality of life domains (N = 3 studies). Overall, studies were limited in number,
heterogeneous, and inadequately powered to show effects on treatment toxicity or
survival. Conclusion: This systematic review suggests an overall positive effect
of nutritional interventions during chemo(radio)therapy on BW. Subgroup analyses
showed effects were driven by high-protein n-3 PUFA-enriched ONS, suggesting the
benefit of targeting metabolic alterations. DC and/or high-energy ONS were less
effective, likely due to cumulative caloric deficits despite interventions. We
highlight the need and provide recommendations for well-designed RCT to determine
the effect of nutritional interventions on clinical outcomes, with specific focus
on reaching nutritional goals and providing the right nutrients, as part of an
integral supportive care approach.
PMID- 29788173
TI - Overexpression of Golgi Phosphoprotein 2 Is Associated With Poor Prognosis in
Oral Squamous Cell Carcinoma.
AB - Objectives: The aims of this study were to investigate the relationship between
Golgi phosphoprotein 2 (GOLPH2) and oral squamous cell carcinoma (OSCC) and
explore the clinical significance of GOLPH2 in OSCC. Methods: Tissue microarrays
from human OSCC samples were stained for GOLPH2 expression and clinicopathologic
features. Kaplan-Meier analysis was used to compare the survival of patients with
high GOLPH2 expression and patients with low GOLPH2 expression. Results: We found
GOLPH2 is highly expressed in OSCC tissue, and the GOLPH2 expression in
metastatic lymph nodes is higher than in tumor tissue. Our data indicate that
patients with higher GOLPH2 expression have poor overall survival compared with
those with lower GOLPH2 expression. This study demonstrated that GOLPH2 was
associated with CD44, SOX2, Slug, B7-H3, B7-H4, TIM3, and VISTA. Conclusions:
These findings suggest GOLPH2 is a potential marker for estimating the patient's
prognosis and may be a target for molecular-targeted therapy against OSCC.
PMID- 29788175
TI - Prevalence of XXY karyotypes in human blastocysts: multicentre data from 7549
trophectoderm biopsies obtained during preimplantation genetic testing cycles in
IVF.
AB - STUDY QUESTION: Which is the prevalence of a 47,XXY karyotype in human
blastocysts biopsied during preimplantation genetic testing for aneuploidies (PGT
A) cycles? SUMMARY ANSWER: The prevalence of a 47,XXY karyotype amongst male
blastocysts without autosomal aneuploides is ~1%. WHAT IS KNOWN ALREADY: The
prevalence of Klinefelter syndrome is estimated as 0.1-0.2% in male newborns.
However, the KS phenotype is extremely variable and there are men with a 47,XXY
karyotype and less evident signs, who may go undetected. No risk factor for the
47,XXY karyotype in products of conception has been yet clearly defined, and no
data are available regarding the prevalence of this karyotype among human
preimplantation embryos. STUDY DESIGN, SIZE, DURATION: This multicentre cohort
study involved 7549 blastocysts obtained during 2826 PGT-A cycles performed
between April 2013 and September 2017 at six IVF clinics in Italy.
PARTICIPANTS/MATERIALS, SETTING, METHODS: During 2826 PGT-A cycles, 7549
blastocysts underwent trophectoderm biopsy and quantitative-PCR-based
comprehensive chromosomal testing to predict the karyotype of the corresponding
embryos. The results were also presented according to ranges of maternal and
paternal age at oocyte retrieval as well as sperm factor and blastocyst quality.
Univariate and multivariate logistic regression analyses were conducted to
investigate the correlation of possible confounding factors with the prevalence
of 47,XXY karyotype. MAIN RESULTS, THE ROLE OF CHANCE: Overall, 62 blastocysts
were 47,XXY or had an XXY karyotype associated with autosomal aneuploidies. After
exclusion of the latter, the prevalence of a 47,XXY karyotype among male
blastocysts without autosomal aneuploidies was 0.9% (n = 17/1794). A significant
correlation was only found for maternal age and blastocyst quality (OR: 1.20, 95%
CI: 1.01-1.42; P = 0.04 and OR: 1.6, 95% CI: 1.13-2.45; P = 0.01). LIMITATIONS,
REASONS FOR CAUTION: These retrospective data have been produced based on a
population of infertile couples undergoing IVF and PGT-A, and the women were
mainly of advanced maternal age. Moreover, the qPCR technique is validated only
to detect full-chromosome uniform aneuploidies in trophectoderm biopsies. WIDER
IMPLICATIONS OF THE FINDINGS: The 0.9% prevalence of the 47,XXY karyotype among
male blastocysts, when compared with the 0.1-0.2% prevalence reported in the
prenatal and postnatal periods, suggests four possible scenarios that require
further investigations: (i) the latter prevalence is underestimated; (ii) 47,XXY
blastocysts result in a lower implantation rate than euploid embryos (estimated
to be ~50%); (iii) 47,XXY blastocysts result in a higher early miscarriage rate
than euploid embryos (estimated to be ~10%); or (iv) infertile patients of
advanced maternal age and referred to IVF/PGT-A produce a higher rate of 47,XXY
blastocysts. STUDY FUNDING/COMPETING INTEREST(S): None. TRIAL REGISTRATION
NUMBER: N/A.
PMID- 29788174
TI - Aspirin Abrogates Impairment of Mammary Gland Differentiation induced by Early in
Life Second-hand Smoke in Mice.
AB - Epidemiological studies show that there is limited evidence that tobacco smoking
causes breast cancer in humans. In rodents, many tobacco smoke chemicals cause
mammary gland tumors. This study evaluated the mammary gland differentiation in
mice exposed to environmental cigarette smoke (ECS), using 3R4F Kentucky
reference cigarettes, starting after birth and continuing daily for 10 weeks
(total particulate exposure 95 mg/m3; CO 610 ppm). We also analyzed the effects
of oral administration of nonsteroidal anti-inflammatory drugs (NSAIDs), aspirin
(1600mg/kg) or naproxen (320mg/kg), on mammary gland differentiation, either in
unexposed or ECS-exposed mice. The ECS exposure caused delay of mammary glands
development. We speculate that this delay may result from aryl hydrocarbon
receptor (AHR) signaling activation, which has an antiestrogenic effect and
crosstalk to the estrogen metabolism pathway. Similarly, naproxen impaired gland
differentiation in unexposed and ECS-exposed mice, while aspirin hindered its
development only in unexposed mice. The lack of differentiation induced by the
NSAIDs could be explained by their antiestrogenic effect through inhibition of
aldo-keto reductases. In ECS-exposed animals, aspirin induced intense lobular
formation, which could indicate that aspirin is counteracting the AHR signaling
induced by ECS. Based on the differentiation induced by aspirin in ECS-exposed
animals, we postulate that these mice would be less susceptible to mammary
carcinogenesis. Our results suggest that exposure to smoke at an early age
impairs the development of the mammary gland, thus resulting in a longer period
of susceptibility and increased risk of breast cancer. However, addition of
aspirin can abrogate this effect.
PMID- 29788177
TI - GPCRM: a homology modeling web service with triple membrane-fitted quality
assessment of GPCR models.
AB - Due to the involvement of G protein-coupled receptors (GPCRs) in most of the
physiological and pathological processes in humans they have been attracting a
lot of attention from pharmaceutical industry as well as from scientific
community. Therefore, the need for new, high quality structures of GPCRs is
enormous. The updated homology modeling service GPCRM
(http://gpcrm.biomodellab.eu/) meets those expectations by greatly reducing the
execution time of submissions (from days to hours/minutes) with nearly the same
average quality of obtained models. Additionally, due to three different scoring
functions (Rosetta, Rosetta-MP, BCL::Score) it is possible to select accurate
models for the required purposes: the structure of the binding site, the
transmembrane domain or the overall shape of the receptor. Currently, no other
web service for GPCR modeling provides this possibility. GPCRM is continually
upgraded in a semi-automatic way and the number of template structures has
increased from 20 in 2013 to over 90 including structures the same receptor with
different ligands which can influence the structure not only in the on/off
manner. Two types of protein viewers can be used for visual inspection of
obtained models. The extended sortable tables with available templates provide
links to external databases and display ligand-receptor interactions in visual
form.
PMID- 29788179
TI - Normative Data for the BTrackS Balance Test of Postural Sway: Results from 16,357
Community-Dwelling Individuals Who Were 5 to 100 Years Old.
AB - Background: Postural sway is routinely assessed because increased postural sway
is associated with poorer performance of activities of daily living, higher rates
of residential care, and increased risk of falling. Force plate technology is one
of the most sensitive and objective means of assessing postural sway in the
clinic. Objective: The aim of this study was to provide the first set of
normative data for the BTrackS Balance Test (BBT) of postural sway. Design: The
design was descriptive and population based. Methods: BBT results from 16,357
community-dwelling individuals who were 5 to 100 years old were accumulated and
assessed for effects of age, sex, height, and weight. Percentile rankings were
calculated for significant groupings. Results: BBT results were dependent on age
and sex but not height or weight. Therefore, percentile rankings were determined
for male and female individuals in each age category, with no consideration of
participant height or weight. Limitations: Data were collected by third-party
practitioners with various backgrounds in more than 50 locations across the
United States and Canada. There was an imbalance in the sample sizes for age and
sex groupings. Conclusions: The findings of this study represent the largest
normative dataset ever published for postural sway results. Normative data on the
BBT can assist in determining abnormalities in postural sway, which have been
linked to negative clinical outcomes.
PMID- 29788176
TI - Cooperative binding of ApiAP2 transcription factors is crucial for the expression
of virulence genes in Toxoplasma gondii.
AB - Toxoplasma gondii virulence depends on the expression of factors packed into
specific organelles such as rhoptry and microneme. Although virulence factor
expression is tightly regulated, the molecular mechanisms controlling their
regulation remain poorly understood. ApiAP2 are a family of conserved
transcription factors (TFs) that play an important role in regulating gene
expression in apicomplexan parasites. TgAP2XI-5 is able to bind to
transcriptionally active promoters of genes expressed during the S/M phase of the
cell cycle, such as virulence genes (rhoptries and micronemes genes). We
identified proteins interacting with TgAP2XI-5 including a cell cycle-regulated
ApiAP2 TF, TgAP2X-5. Using an inducible knock-down strategy and RNA-seq, we
demonstrated that the level of expression of number of virulence factors
transcripts is affected by the disruption of TgAP2X-5 expression. While TgAP2X-5
disruption has mild effect on parasite invasion, it leads to the strain
avirulence in mice. To better understand the molecular mechanisms at stake, we
investigated the binding of TgAP2XI-5 at promoters in the TgAP2X-5 mutant strain
in a genome-wide assay. We show that disruption of TgAP2X-5 expression leads to
defects in TgAP2XI-5 binding to multiple rhoptry gene promoters. Taken together,
these data suggest a cooperative contribution of two ApiAP2 TF in the regulation
of virulence genes in T. gondii.
PMID- 29788178
TI - Evaluation of the Entomopathogenic Fungi Metarhizium anisopliae, Beauveria
bassiana and Isaria sp. for the Management of Aphis craccivora (Hemiptera:
Aphididdae).
AB - Cowpea, Vigna unguiculata ((L.) Walp; Fabales: Fabaceae), is an important
indigenous vegetable and grain legume in the tropics where it represents a major
diet component. Cowpea aphid, Aphis craccivora (Koch; Hemiptera: Aphididdae) is a
major pest causing up to 100% yield losses. Aiming at establishing alternative
approach to synthetic insecticides, we evaluated the pathogenicity of 23 fungal
isolates including Metarhizium anisopliae ((Metschn.) Sorokin; Hypocreales:
Clavicipitaceae), Beauveria bassiana ((Bals.) Vuill.; Hypocreales:
Cordycipitaceae), and Isaria sp. (Hypocreales: Cordycipitaceae) against adult A.
craccivora in the laboratory. Adult apterous aphids were sprayed with conidial
suspensions titred at 1 * 108 conidia ml-1 for pathogenicity tests while 1 * 104,
1 * 105, 1 * 106, 1 * 107 and 1 * 108 conidia ml-1 were used in dose response
bioassays. All the fungal isolates were found pathogenic to A. craccivora,
causing mortality of between 34.5 and 90%. The lethal 50% mortality time (LT50)
values varied between 3.3 and 6.3 d, with the best isolates being ICIPE 62, ICIPE
41 and ICIPE 644. The lethal concentration mortality (LC50) values were 2.3 *
106, 1.3 * 108 and 1.3 * 109 for ICIPE 62, ICIPE 41, and ICIPE 644, respectively.
M. anisopliae isolate ICIPE 62 produced more conidia on aphid cadavers (4.5 *
107) than ICIPE 41 (2.7 * 107) and ICIPE 644 (2.1 * 107) 6 d post-treatment.
Relative potency comparison showed that ICIPE 62 was more potent than the other
two isolates. In the screenhouse, conidia of ICIPE 62 significantly reduced A.
craccivora population compared to control but there was no significant difference
between emulsifiable and aqueous formulations. Small-holder leafy vegetable
producers could gain more profits using fungal-based biopesticides in Aphid-IPM
strategies, leading to reduction of pre-harvest intervals after their application
compared to synthetic insecticides.
PMID- 29788180
TI - Rotavirus Vaccination Is Associated With Reduced Seizure Hospitalization Risk
Among Commercially Insured US Children.
AB - Rotavirus commonly causes diarrhea but can also cause seizures. Analysis of
insurance claims for 1773295 US children with 2950 recorded seizures found that,
compared to rotavirus-unvaccinated children, seizure hospitalization risk was
reduced by 24% (95% confidence interval [CI], 13%-33%) and 14% (95% CI, 0%-26%)
among fully and partially rotavirus-vaccinated children, respectively.
PMID- 29788181
TI - Identification of Aggregation-Sex Pheromone of the Korean Monochamus alternatus
(Coleoptera: Cerambycidae) Population, the Main Vector of Pine Wood Nematode.
AB - We confirmed an aggregation-sex pheromone of the Korean Monochamus alternatus
Hope (Coleoptera: Cerambycidae) population, which primarily transmitted the pine
wood nematode in Korea. Only the M. alternatus male emitted monochamol which has
been previously reported in the Chinese M. alternatus population. In field
attraction testing, the monochamol+alpha-pinene+ethanol combination attracted
more M. alternatus adult beetles than traps containing only monochamol, ethanol,
or alpha-pinene. The bark beetle aggregation pheromone compounds of ipsenol,
ipsdienol, and ipsenol+ipsdienol, did not show synergistic effects with the
monochamol+alpha-pinene+ethanol combination. No synergistic effects were observed
when each host volatile compound of 3-carene, beta-caryophyllene, limonene,
myrcene, and beta-pinene was added to traps containing monochamol+alpha
pinene+ethanol. The ratio of female beetles was much higher in traps containing
the monochamol+alpha-pinene+ethanol combination than that of the natural
population. Our results indicated that pheromone and synergists could be useful
for managing the M. alternatus population.
PMID- 29788183
TI - Membrane Rafts Regulate Sperm Acrosome Reaction via cAMP-dependent Pathway in
Chickens (Gallus gallus domesticus).
AB - Both transcriptionally and translationally inactive sperm need preassembled
pathways into specific cellular compartments to function. Although initiation of
the acrosome reaction (AR) involves several signaling pathways including protein
kinase A (PKA) activation, how these are regulated remains poorly understood in
avian sperm. Membrane rafts are specific membrane regions enriched in sterols and
functional proteins and play important roles in diverse cellular processes,
including signal transduction. Our recent studies on chicken sperm demonstrated
that membrane rafts exist and play a role in multistage fertilization. These,
combined with the functional importance of membrane rafts in mammalian sperm AR,
prompted us to investigate the roles of membrane rafts in signaling pathways
leading to AR in chicken sperm. Using 2-hydroxypropyl-beta-cyclodextrin (2-OHCD),
we found that the disruption of membrane rafts inhibits PKA activity and AR
without affecting protein tyrosine phosphorylation; however, these inhibitions
were abolished in the presence of a cyclic 3',5'-monophosphate (cAMP) analogue.
In addition, biochemical experiments showed a decrease in cAMP content in 2-OHCD
treated sperm, suggesting the involvement of soluble adenylyl cyclase (sAC) and
transmembrane adenylyl cyclase (tmAC). Pharmacological experiments, combined with
transcriptome analysis, showed that sAC and tmAC are present and involved in AR
induction in chicken sperm. Furthermore, stimulation of both isoforms reversed
the inhibition of PKA activity and AR in 2-OHCD-treated sperm. In conclusion, our
results demonstrated that membrane rafts play an important role in AR induction
by regulating the cAMP-dependent pathway and that they provide a mechanistic
insight into membrane regulation of AR and sperm function in birds.
PMID- 29788182
TI - GlobAl Distribution of GEnetic Traits (GADGET) web server: polygenic trait scores
worldwide.
AB - Human populations from around the world show striking phenotypic variation across
a wide variety of traits. Genome-wide association studies (GWAS) are used to
uncover genetic variants that influence the expression of heritable human traits;
accordingly, population-specific distributions of GWAS-implicated variants may
shed light on the genetic basis of human phenotypic diversity. With this in mind,
we developed the GlobAl Distribution of GEnetic Traits web server (GADGET
http://gadget.biosci.gatech.edu). The GADGET web server provides users with a
dynamic visual platform for exploring the relationship between worldwide genetic
diversity and the genetic architecture underlying numerous human phenotypes.
GADGET integrates trait-implicated single nucleotide polymorphisms (SNPs) from
GWAS, with population genetic data from the 1000 Genomes Project, to calculate
genome-wide polygenic trait scores (PTS) for 818 phenotypes in 2504 individual
genomes. Population-specific distributions of PTS are shown for 26 human
populations across 5 continental population groups, with traits ordered based on
the extent of variation observed among populations. Users of GADGET can also
upload custom trait SNP sets to visualize global PTS distributions for their own
traits of interest.
PMID- 29788184
TI - Adverse effect of the financial crisis in Greece on perinatal factors.
AB - Background: Starting in 2008 recession affected many European countries and
especially Greece. Previous studies have reported increases in low birth weight,
preterm birth and stillbirth rates in Greece during early crisis. In our study we
used data on births from 1980 to 2014 that allowed us to distinguish recent
changes, which could possibly be attributed to the financial crisis, from long
term trends, and controlled for maternal age and country of origin as potential
confounders. Our study covered a longer period (up to 2014) than what has been
studied before and looked separately at the effect of early and established
crisis. Methods: We used national vital statistics data from 1980 to 2014. We
performed age standardization and calculated age standardized rates and
standardized rate ratios (SRRs) for perinatal factors for three time periods (pre
crisis, early crisis and established crisis) for Greek and non-Greek women.
Results: We found an increase in low birth weight deliveries independent of
maternal age and origin and an increased stillbirth rate in Greek women younger
than 25 in early (RR = 1.42 95%CI: 1.12-1.80) and established crisis periods (RR
= 1.36 95%CI: 1.07-1.72) compared with pre-crisis. Non-Greek women have also been
affected, with their advantage regarding birth outcomes becoming less profound in
the established crisis period (low birth weight: established crisis SRR = 0.84
95%CI: 0.82-0.87, pre-crisis SRR = 0.79 95% CI: 0.76- 0.81). Conclusions: The
financial crisis has possibly adversely affected perinatal factors in Greece. Our
results highlight the need of appropriate public health interventions and family
support policies, especially for younger people, unemployed and immigrants.
PMID- 29788185
TI - Cyclophosphamide-Induced Disruptions to Appetitive Qualities and Detection
Thresholds of NaCl: Comparison of Single-Dose and Dose Fractionation Effects.
AB - Chemotherapy is one of the most common treatments for cancer; however, a side
effect is often altered taste. This study examined how cyclophosphamide, a
chemotherapy drug, affects salt taste in mice. On the basis of previous findings,
it was predicted that cyclophosphamide-induced disruptions in salt taste would be
observed near days 2-4, 8-12, and 22-24 posttreatment, and that multiple, smaller
doses would cause more severe disruptions to taste. To test these predictions,
two experiments were performed, one using brief access testing to measure
appetitive qualities, and another using operant conditioning to measure detection
thresholds. After a single 100 mg/kg cyclophosphamide injection, peak alterations
in brief access lick rates were seen near days 5-8 and 15 posttreatment, whereas
peak alterations in detection thresholds were seen days 6, 14, and 20
posttreatment. After five 20 mg/kg injections of cyclophosphamide, brief access
lick rates revealed disruptions only on postinjection day 8 whereas thresholds
appeared to cycle, gradually increased to and decreased from peak elevations on
posttreatment days 4, 10, 15, 20, and 23. Although salt taste functions were
disrupted by cyclophosphamide, the patterns of these disruptions were less severe
and shorter than expected from cell morphology studies, suggesting a functional
adjustment to maintain behavioral accuracy. Fractionation of cyclophosphamide
dosing had minimum effect on brief access responses but caused longer, cyclic
like disruptions of detection thresholds compared to single-dose administration.
PMID- 29788187
TI - Distracted Driving and Risk of Crash or Near-crash Involvement among Older
Drivers using Naturalistic Driving Data with a Case-crossover Study Design.
AB - Background: The purpose of this study was to examine the association between
secondary task involvement and risk of crash and near-crash involvement among
older drivers using naturalistic driving data. Methods: Data from drivers aged
>=70 years in the Strategic Highway Research Program (SHRP2) Naturalistic Driving
Study database was utilized. The personal vehicle of study participants was
equipped with four video cameras enabling recording of the driver and the road
environment. Secondary task involvement during a crash or near-crash event was
compared to periods of non-crash involvement in a case-crossover study design.
Conditional logistic regression was used to generate odds ratios (OR) and 95%
confidence intervals (CI). Results: Overall, engaging in any secondary task was
not associated with crash (OR=0.94, 95% CI 0.68-1.29) or near-crash (OR=1.08, 95%
CI 0.79-1.50) risk. The risk of a major crash event with cell phone use was 3.79
times higher than the risk with no cell phone use (95% CI 1.00-14.37). Other
glances into the interior of the vehicle were associated with an increased risk
of near-crash involvement (OR=2.55, 95% CI 1.24-5.26). Other distractions
external to the vehicle were associated with a decreased risk of crash
involvement (OR=0.53, 95% CI 0.30-0.94). Interacting with a passenger and
talking/singing were not associated with crash or near-crash risk. Conclusions:
Older drivers should avoid any cell phone use and minimize non-driving related
eye glances towards the interior of the vehicle while driving. Certain types of
events external to the vehicle are associated with a reduced crash risk among
older drivers.
PMID- 29788188
TI - Importance of Fenestration Size for Definitive Treatment of a Quadrigeminal
Arachnoid Cyst: Endoscopic Inspection of the Cyst and Surrounding Anatomy: 2
Dimensional Operative Video.
AB - Arachnoid cysts are fluid-filled sacs, located between the brain or spinal cord
and the arachnoid membrane. Their prevalence in children is between 1% and 3%.
Quadrigeminal arachnoid cysts represent 1% to 10% of them and are often
associated with hydrocephalus, mostly by an obstructive mechanism, explained by
compression of the tectum of the midbrain. When an indication for treatment is
retained, 3 surgical options are available: microsurgical excision/fenestration,
endoscopic fenestration, and shunt placement. Endoscopic treatment is considered
the best compromise of definitive treatment with least surgical morbidity,
especially because quadrigeminal cysts are located close to the midline, in
intimate relationships with basal cisterns and ventricles. We here present the
endoscopic treatment of a prenatally diagnosed quadrigeminal arachnoid cyst type
III1 with right lateral extension into the middle cerebral fossa, and associated
hydrocephalus, treated at the age of 18 mo.Step-by-step detail of surgical
technique is presented in original anatomic conditions. Restoration of better
cerebrospinal fluid pathways being the objective of this surgery,
ventriculocystic, and cyst-cisternal fenestrations were made. Secondary
obstruction of the cyst occurred a few months later, requiring further endoscopic
treatment to obtain a larger fenestration that allowed good long-term clinical
and radiological outcome. The key point of this video is to compare the 2
procedures, stressing the importance of the dimension of fenestrations, to ensure
a long-term patency of both stomas.The patient being a child, both parents gave
their consent for publication and signed a form.
PMID- 29788186
TI - Nanoparticle-Based Oral Drug Delivery Systems Targeting the Colon for Treatment
of Ulcerative Colitis.
AB - 10.1093/ibd/izy123_video1izy123.video15786481867001.
PMID- 29788190
TI - Benzodiazepines for Psychosis-Induced Aggression or Agitation.
PMID- 29788189
TI - Progression of Mineral Ion Abnormalities in Patients With Jansen Metaphyseal
Chondrodysplasia.
AB - Context: Five different activating PTH/PTH-related peptide (PTHrP) receptor
(PTHR1) mutations have been reported as causes of Jansen metaphyseal
chondrodysplasia (JMC), a rare disorder characterized by severe growth plate
abnormalities and PTH-independent hypercalcemia. Objectives: Assess the natural
history of clinical and laboratory findings in 24 patients with JMC and
characterize the disease-causing mutant receptors in vitro. Patients and Methods:
The H223R mutation occurred in 18 patients. T410P, I458R and I458K each occurred
in single cases; T410R was present in a father and his two sons. Laboratory
records were analyzed individually and in aggregate. Results: Postnatal calcium
levels were normal in most patients, but elevated between 0.15 and 10 years (11.8
+/- 1.37 mg/dL) and tended to normalize in adults (10.0 +/- 1.03 mg/dL). Mean
phosphate levels were at the lower end of the age-specific normal ranges. Urinary
calcium/creatinine (mg/mg) were consistently elevated (children, 0.80 +/- 0.40;
adults, 0.28 +/- 0.19). Adult heights were well below the 3rd percentile for all
patients, except for those with the T410R mutation. Most patients with JMC had
undergone orthopedic surgical procedures, most had nephrocalcinosis, and two had
advanced chronic kidney disease. The five PTHR1 mutants showed varying degrees of
constitutive and PTH-stimulated cAMP signaling activity when expressed in HEK293
reporter cells. The inverse agonist [L11,dW12,W23,Y36]PTHrP(7-36) reduced basal
cAMP signaling for each PTHR1 mutant. Conclusions: Except for T410R, the other
PTHR1 mutations were associated with indistinguishable mineral ion abnormalities
and cause similarly severe growth impairment. Hypercalciuria persisted into
adulthood. An inverse agonist ligand effectively reduced in vitro PTH-independent
cAMP formation at all five PTHR1 mutants, suggesting a potential path toward
therapy.
PMID- 29788191
TI - Functional characterization of WHY-WRKY75 transcriptional module in plant
response to cassava bacterial blight.
AB - Cassava is a major food crop in tropical areas, but its productivity and quality
are seriously limited by cassava bacterial blight. So far, the key factors
regulating cassava immune response remain elusive. In this study, we identified
three cassava Whirly genes (MeWHYs) in cassava variety of South China 124
(SC124), and explored the possible roles and utilization of MeWHYs in cassava
disease resistance. Gene expression analysis revealed that the transcripts of
three MeWHYs were commonly regulated by the highly conserved N-terminal epitope
of f lagellin (flg22) and Xanthomonas axonopodis pv. manihotis Hainan (Xam HN)
treatments. Overexpression of MeWHYs improved plant disease resistance against X.
axonopodis pv. manihotis, while MeWHYs-silenced cassava plants by virus-induced
gene silencing exhibited decreased disease resistance. Notably, MeWRKY75
physically interacted with three MeWHYs in yeast and in planta, and served as a
transcriptional activator of MeWHY3. Moreover, the physical interaction between
MeWHYs and MeWRKY75 promoted the transcriptional activities of each other.
Consistently, MeWRKY75 also positively regulated disease resistance against
cassava bacterial blight. Taken together, our observations suggested that
MeWRKY75 and MeWHYs confer improved disease resistance against cassava bacterial
blight through forming an interacting complex of MeWRKY75-MeWHY1/2/3 and
transcriptional module of MeWRKY75-MeWHY3. This study facilitates our
understanding of the positive effect of the MeWRKY75-MeWHY3 transcriptional
module in plant disease resistance.
PMID- 29788192
TI - Initial Assessment of the Risk Assessment and Prediction Tool in a Heterogeneous
Neurosurgical Patient Population.
AB - BACKGROUND: Bundled care payments are increasingly being explored for
neurosurgical interventions. In this setting, skilled nursing facility (SNF) is
less desirable from a cost perspective than discharge to home, underscoring the
need for better preoperative prediction of postoperative disposition. OBJECTIVE:
To assess the capability of the Risk Assessment and Prediction Tool (RAPT) and
other preoperative variables to determine expected disposition prior to surgery
in a heterogeneous neurosurgical cohort, through observational study. METHODS:
Patients aged 50 yr or more undergoing elective neurosurgery were enrolled from
June 2016 to February 2017 (n = 623). Logistic regression was used to identify
preoperative characteristics predictive of discharge disposition. Results from
multivariate analysis were used to create novel grading scales for the prediction
of discharge disposition that were subsequently compared to the RAPT Score using
Receiver Operating Characteristic analysis. RESULTS: Higher RAPT Score
significantly predicted home disposition (P < .001). Age 65 and greater,
dichotomized RAPT walk score, and spinal surgery below L2 were independent
predictors of SNF discharge in multivariate analysis. A grading scale utilizing
these variables had superior discriminatory power between SNF and home/rehab
discharge when compared with RAPT score alone (P = .004). CONCLUSION: Our
analysis identified age, lower lumbar/lumbosacral surgery, and RAPT walk score as
independent predictors of discharge to SNF, and demonstrated superior predictive
power compared with the total RAPT Score when combined in a novel grading scale.
These tools may identify patients who may benefit from expedited discharge to
subacute care facilities and decrease inpatient hospital resource utilization
following surgery.
PMID- 29788193
TI - Ocular toxoplasmosis: adverse reactions to treatment in a Brazilian cohort.
AB - Background: The purpose of this study was to estimate the frequency and describe
the adverse drug reactions (ADRs) associated with the classic treatment of ocular
toxoplasmosis (OT), namely sulfadiazine, pyrimethamine, corticosteroids and
folinic acid. Methods: We performed a descriptive study of a prospective cohort
of patients with OT treated with the classic therapy. Data were collected during
medical consultations and treatment. Results: Of the 147 patients studied, 85%
developed one or more ADR. Women presented more ADRs than men (95% vs 77%). Of
the total reactions (n=394), 82% were mild, but we found one life-threatening
event (Stevens-Johnson syndrome). The most frequent types (71%) of ADRs were
gastrointestinal, skin and neurological or psychiatric. The majority of ADRs
(90.3%) occurred before the second week of treatment. A third of the patients
were treated for the ADR and 10% dropped out of OT treatment. Most (70%) of the
ADRs were characterized as being probably caused by the drugs and may be
associated with prednisone, sulfadiazine and sulfadiazine/prednisone. Six percent
of ADRs were not previously described, such as taste alteration,
constipation/bloating, dyspnoea, sweating and somnolence. Conclusions: Our
results suggest a high rate of ADRs to OT classic treatment, which requires
careful follow-up in order to identify and treat ADRs early.
PMID- 29788194
TI - A project to assess the quality of the published guidelines for managing primary
spontaneous pneumothorax from the Italian Society of Thoracic Surgeons.
AB - OBJECTIVES: A project to assess the existing literature and the quality of past
guidelines on the management of primary spontaneous pneumothorax was developed by
the Italian Society of Thoracic Surgeons, with particular focus on the assessment
of the methods used to produce such recommendations. METHODS: The different items
and domains within each guideline were assessed using the Appraisal of Guidelines
for Research and Evaluation (AGREE) II instrument and scored on a 7-point scale.
RESULTS: Five guidelines matched the inclusion criteria and were assessed. A
multinational collaboration produced 2 of 5 guidelines. The observers recommended
(with modifications) only 2 guidelines. Clarity of presentation, scope and
purpose (objectives and health questions target the population) received the best
score, whereas the applicability of the guideline received the lowest score.
International development positively influenced the scope and purpose of the
guidelines. Moreover, improved scores were achieved when the stakeholders were
fully involved and had editorial independence. CONCLUSIONS: As assessed by the
AGREE II criteria, the quality of the various guidelines was extremely
inconsistent. Guidelines with higher AGREE II scores were those developed with
the participation of European scientific societies.
PMID- 29788195
TI - ESWR1-CREM Fusion in an Intracranial Myxoid Angiomatoid Fibrous Histiocytoma-Like
Tumor: A Case Report and Literature Review.
AB - Gene fusions of EWSR1 with members of the CREB family of transcription factors
(CREB1, ATF1, and CREM) have recently been described in exceptional intracranial
myxoid mesenchymal tumors. Although this is a known gene fusion found in various
mesenchymal tumors, EWSR1 fusion with CREM has only been observed in 3
intracranial myxoid tumors. In this paper, we present 1 such tumor with in-depth
histopathological description and long-term follow-up. There is controversy
regarding whether these tumors represent a novel entity or simply an intracranial
localization of the myxoid variant of angiomatoid fibrous histiocytoma, a rare
soft tissue tumor of the extremities. Out of 11 cases mentioned in the
literature, the 3 isolated case reports by Dunham et al, Ochalski et al, and
Alshareef et al are designated as angiomatoid fibrous histiocytoma, whereas the
others are defined as a novel tumoral entity called intracranial myxoid
mesenchymal tumor with EWSR1-CREB fusion. We believe the vast morphological and
immunohistochemical spectrum of angiomatoid fibrous histiocytoma makes it
difficult to dismiss this diagnosis.
PMID- 29788198
TI - Venous Thromboembolism After Intraventricular Hemorrhage: Results From the CLEAR
III Trial.
AB - BACKGROUND: Venous thromboembolism (VTE) after intracerebral hemorrhage is well
studied, but data on patients with spontaneous intraventricular hemorrhage (IVH)
are limited. OBJECTIVE: To study the factors associated with VTE, association
between VTE and clinical outcomes in IVH, and safety of VTE chemoprophylaxis in
IVH treated with intraventricular catheters and thrombolysis. METHODS:
Retrospective cohort study of patients enrolled in the CLEAR III trial, a
multicenter, randomized trial comparing external ventricular drainage, with
administration of intraventricular alteplase vs placebo, for obstructive IVH.
Predictor variable was incident VTE in the first 30 d. Outcome measures were
factors associated with VTE, and death/severe disability (modified Rankin Score 4
6) at 6 mo. RESULTS: Of the 500 patients with IVH, VTE occurred in 59 patients
(11.8%) within the first 30 d. VTE chemoprophylaxis was initiated in 412 (82.4%)
patients, but before VTE diagnosis in only 401 (80.2%) at median of 4 d
(interquartile range, 1-8) from IVH onset, and was not associated with
intracranial bleeding or catheter tract hemorrhage. In the multivariate logistic
regression analysis, infection within 30 d (odds ratio, 1.80; confidence
interval, 1.03-3.17) was significantly associated with higher odds of VTE
occurrence. Starting VTE chemoprophylaxis after 72 h was additionally associated
with VTE occurrence after the first week. CONCLUSION: Infection and delay in
timely initiation of VTE chemoprophylaxis were associated with VTE occurrence.
VTE chemoprophylaxis in IVH appears safe and should not be delayed beyond
standard care policies for ICH including when intraventricular catheter placement
and thrombolytic therapy are performed.
PMID- 29788196
TI - Maternal Weight, Snoring, and Hypertension: Potential Pathways of Associations.
AB - BACKGROUND: Hypertensive disorders of pregnancy (HDP) are linked to excessive
maternal weight and frequent snoring. However, pathways between maternal
excessive weight, pregnancy-onset snoring, and HDP are only partially estimated.
We examined and quantified the total and direct associations between excessive
maternal weight and incident HDP and their indirect pathway through pregnancy
onset snoring. METHODS: Third trimester pregnant women enrolled from prenatal
clinics of a large tertiary medical center. Sleep data were collected through a
questionnaire. Demographic and pregnancy information and first trimester maternal
weight were abstracted from medical charts. After exclusion of women with
prepregnancy hypertension and/or chronic snoring, causal mediation analysis was
used to estimate the total and direct association between maternal weight and
incident HDP and their indirect association through pregnancy-onset snoring. The
proportion of the mediated association through pregnancy-onset snoring from the
total association of maternal weight and HDP was also quantified. RESULTS: After
excluding those with chronic hypertension and/or snoring, the final sample
included 1,333 pregnant women. In adjusted analysis, excessive maternal weight
was directly associated with incident HDP; odds ratio (OR) = 1.87 (95% confidence
interval (CI) 1.30, 2.70). Pregnancy-onset snoring significantly mediated the
association between maternal weight and incident HDP; OR = 1.08 (95% CI 1.01,
1.17). The mediated pathway accounted for 15% of the total association between
maternal weight and incident HDP. CONCLUSIONS: Pregnancy-onset snoring mediates
the association between maternal weight and incident HDP in women without
prepregnancy snoring or hypertension. These findings demonstrate the relative
contributions of excessive maternal weight and pregnancy-onset snoring to
incident HDP.
PMID- 29788197
TI - Surrogate Preferences on the Physician Orders for Life-Sustaining Treatment Form.
AB - Background and Objectives: The purpose of this study is to compare treatment
preferences of patients to those of surrogates on the Physician Orders for Life
Sustaining Treatment (POLST) forms. Research Design and Methods: Data were
collected from a sequential selection of 606 Massachusetts POLST (MOLST) forms at
3 hospitals, and corresponding electronic patient health records. Selections on
the MOLST forms were categorized into All versus Limit Life-Sustaining Treatment.
Multivariable mixed effects (grouped by clinician) logistic regression models
estimated the impact of using a surrogate decision maker on choosing All
Treatment, controlling for patient characteristics (age, severity of illness,
sex, race/ethnicity), clinician (physician vs non-physician), and hospital
(site). Results: Surrogates signed 253 of the MOLSTs (43%). A multivariable
logistic regression model taking into consideration patient, clinician, and site
variables showed that surrogate decision makers were 60% less likely to choose
All Treatment than patients who made their own decisions (odds ratio = 0.39 [95%
confidence interval = 0.24-0.65]; p < .001). This model explained 44% of the
variation in the dependent variable (Pseudo-R2 = 0.442; p < .001); mixed effects
logistic regression grouped by clinician showed no difference between the models
(LR test = 4.0e-13; p = 1.00). Discussion and Implications: Our study took into
consideration variation at the patient, clinician, and site level, and showed
that surrogates had a propensity to limit life-sustaining treatment. Surrogate
decision makers are frequently needed for hospitalized patients, and nearly all
states have adopted the POLST. Researchers may want study decision-making
processes for patients versus surrogates when the POLST paradigm is employed.
PMID- 29788199
TI - Influences of trace mineral nutrition and maternal flock age on broiler embryo
bone development.
AB - At hatch, the chick skeleton is a miniature of that of the adult bird. The hen
deposits calcium, phosphorus, and trace minerals (copper, zinc, and manganese)
along with vitamin D into the egg to allow development of the embryonic skeleton.
The main source of calcium is the eggshell, whereas phosphorus, trace minerals,
and vitamin D are mainly derived from the yolk. Calcium is absorbed from the
eggshell and transferred to the embryo and yolk through the chorioallantoic
membrane, whereas phosphorus and trace minerals are simultaneously mobilized by
the yolk sac membrane. These processes start at day 12 of incubation and peak at
around day 17. While the eggshell provides a steady supply of calcium until 19 d
of incubation, phosphorus and trace mineral reserves decrease considerably and
minimal skeletal development occurs in the last 3 d of incubation. Whether the
low levels of phosphorus and trace minerals at late incubation prevent further
bone growth, or some other biological control exists preventing further
mineralization towards hatching is unknown. Maternal transfer of minerals and the
influence of trace mineral form in the hen diet to advance the state of skeletal
development at hatch have received increased research attention. Minimal effects
on yolk mineral composition and bone growth were observed in the offspring of
hens fed different forms of trace minerals. Embryos from young hens had inferior
bone development towards the end of incubation and at hatch relative to chicks
from older hens. This effect is likely a consequence of limited egg nutrient
resources in eggs from young hens. The influence of maternal nutrient transfer on
embryonic bone development has been clearly established. However, attempts to
increase the state of skeletal development at hatch through increasing egg
mineral content have met with limited success. The focus of this paper is the
relationship between skeletal mineralization of the chicken embryo throughout
incubation and egg mineral supply.
PMID- 29788200
TI - Mycoplasma pneumoniae as a Cause of Community-Acquired Pneumonia in Children.
PMID- 29788201
TI - Genetic variants in autism-related CNTNAP2 impair axonal growth of cortical
neurons.
AB - The CNTNAP2 gene, coding for the cell adhesion glycoprotein Caspr2, is thought to
be one of the major susceptibility genes for autism spectrum disorder (ASD). A
large number of rare heterozygous missense CNTNAP2 variants have been identified
in ASD patients. However, most of them are inherited from an unaffected parent,
questioning their clinical significance. In the present study, we evaluate their
impact on neurodevelopmental functions of Caspr2 in a heterozygous genetic
background. Performing cortical neuron cultures from mouse embryos, we
demonstrate that Caspr2 plays a dose-dependent role in axon growth in vitro. Loss
of one Cntnap2 allele is sufficient to elicit axonal growth alteration, revealing
a situation that may be relevant for CNTNAP2 heterozygosity in ASD patients.
Then, we show that the two ASD variants I869T and G731S, which present impaired
binding to Contactin2/TAG-1, do not rescue axonal growth deficits. We find that
the variant R1119H leading to protein trafficking defects and retention in the
endoplasmic reticulum has a dominant-negative effect on heterozygous Cntnap2
cortical neuron axon growth, through oligomerization with wild-type Caspr2.
Finally, we identify an additional variant (N407S) with a dominant-negative
effect on axon growth although it is well-localized at the membrane and properly
binds to Contactin2. Thus, our data identify a new neurodevelopmental function
for Caspr2, the dysregulation of which may contribute to clinical manifestations
of ASD, and provide evidence that CNTNAP2 heterozygous missense variants may
contribute to pathogenicity in ASD, through selective mechanisms.
PMID- 29788202
TI - Quantitative proteomics reveals neuronal ubiquitination of Rngo/Ddi1 and several
proteasomal subunits by Ube3a, accounting for the complexity of Angelman
syndrome.
AB - Angelman syndrome is a complex neurodevelopmental disorder caused by the lack of
function in the brain of a single gene, UBE3A. The E3 ligase coded by this gene
is known to build K48-linked ubiquitin chains, a modification historically
considered to target substrates for degradation by the proteasome. However, a
change in protein abundance is not proof that a candidate UBE3A substrate is
indeed ubiquitinated by UBE3A. We have here used an unbiased ubiquitin proteomics
approach, the bioUb strategy, to identify 79 proteins that appear more
ubiquitinated in the Drosophila photoreceptor cells when Ube3a is over-expressed.
We found a significantly high number of those proteins to be proteasomal subunits
or proteasome-interacting proteins, suggesting a wide proteasomal perturbation in
the brain of Angelman patients. We focused on validating the ubiquitination by
Ube3a of Rngo, a proteasomal component conserved from yeast (Ddi1) to humans
(DDI1 and DDI2), but yet scarcely characterized. Ube3a-mediated Rngo
ubiquitination in fly neurons was confirmed by immunoblotting. Using human
neuroblastoma SH-SY5Y cells in culture, we also observed that human DDI1 is
ubiquitinated by UBE3A, without being targeted for degradation. The novel
observation that DDI1 is expressed in the developing mice brain, with a
significant peak at E16.5, strongly suggests that DDI1 has biological functions
not yet described that could be of relevance for Angelman syndrome clinical
research.
PMID- 29788203
TI - Do cost containment policies save money and influence physicians' prescribing
behavior? Lessons from South Korea's drug policy for diabetes medication.
AB - Objective: We evaluate the effects of drug price reduction policy on
pharmaceutical expenditure and prescription patterns in diabetes medication.
Design: An interrupted time series study design using generalized estimating
equations. Setting: This study used National Health Insurance claim data from
2010 to 2013. Participants: A total of 68 127 diabetes patients and 12 465
hospitals. Intervention(s): The drug price reduction policy. Main Outcome
Measures: The primary outcome is pharmaceutical expenditure and prescription
rate. To evaluate changes in prescription rate, we measured prescription rates
such a brand-name drug and drug price reduction rate. Results: Although the drug
price reduction policy associated with decreased pharmaceutical expenditure (
13.22%, P < 0.0001), the trend (-0.01%, P = 0.9201) did not change significantly
compared with the pre-intervention period. In addition, the trends in the monthly
prescription rate of brand-name drugs decreased (-0.14%, P = 0.0091), while the
immediate change was an increase (5.72%, P < 0.0001). Regardless of the drug
reduction rate, the prescription rate after the introduction of the drug price
reduction policy decreased compared with the pre-intervention period, and this
decline was significant for reduction rates of 0% (-2.74%, P < 0.0001) and 10% (
0.13%, P = 0.0018). Conclusions: Our results provide evidence of the effects of
the drug price reduction policy on pharmaceutical expenditure and prescription
patterns. This policy did not affect the prescribing behavior of healthcare
providers and did not increase the use of drugs not subject to this policy.
Although this study did not observe changes in the cost of pharmaceuticals after
the introduction of the drug price reduction policy, further research is needed
on the long-term changes in such costs.
PMID- 29788204
TI - Rabies Antibody Response After Booster Immunization: A Systematic Review and Meta
analysis.
AB - Although fatal once symptomatic, rabies is preventable by administration of pre-
and post-exposure vaccines. International guidelines suggest lifelong protection
by a pre-exposure vaccination scheme followed by timely post-exposure vaccines.
Rapidity and magnitude of the antibody recall response after booster inoculation
are essential, as many people have been previously immunized a long time ago. The
objective of this study was therefore to systematically review the evidence on
the boostability of rabies immunization to date. We included 36 studies, of which
19 studies were suitable for meta-analysis. Reduced antibody levels were found
after intradermal primary schedules as compared to intramuscular schedules.
However, responses after booster immunization were adequate for both routes.
Although studies showed that antibody levels decline over time, adequate booster
responses were still retained over long time intervals indicating that post
exposure treatment is effective without extra measures after long periods of
time.
PMID- 29788205
TI - The impact of vaccination route on Mycoplasma gallisepticum vaccine efficacy.
AB - Mycoplasma gallisepticum infection can lead to major financial losses for poultry
producers. Control of M. gallisepticum infection in the layer industry is
generally obtained through vaccination due to the nature of the multi-aged flocks
in the facilities. Live vaccines can provide significant protection from the
pathogenic effects of M. gallisepticum infection. However, differing management
practices, including vaccination procedures, can lead to significant variations
in the efficacy of the same vaccine. The site of vaccine deposition has been
shown to be one important factor significantly influencing the vaccination
outcome. Previous research has shown that vaccine applied to the eyes or sprayed
on the head is significantly more effective than when sprayed on the body.
Vaccine application to the eyes, through the nares (nasal), and 2 routes through
the oral cavity were studied to further characterize the most efficient route for
delivery. Results of this work demonstrate that eye drop vaccination is
significantly more effective than nasal vaccination, and vaccine delivered
through the oral cavity has a negligible contribution to overall vaccination
outcome.
PMID- 29788206
TI - Deletion of PBP1a/LpoA complex compromises cell envelope integrity in Shewanella
oneidensis.
AB - High molecular weight penicillin-binding proteins (PBPs) are responsible for the
biosynthesis of peptidoglycan. In Escherichia coli, PBP1a and PBP1b form
multienzyme peptidoglycan-synthesizing complexes with outer membrane lipoproteins
LpoA and LpoB, respectively. The two complexes appear to be largely redundant,
although their distinct physiological roles remain unclear. PBP1a/LpoA and
PBP1b/LpoB also exist in Shewanella oneidensis strain MR-1, but effects of the
two complexes on aerobic growth and beta-lactam resistance are quite different.
In this study, the phenotypes of strains lacking a certain complex in S.
oneidensis were compared. Deletion of PBP1a/LpoA caused aberrant cell morphology
(including branches and bulges), enhanced sensitivity to various envelope
stresses and outer membrane permeability. On the contrary, strains lacking
PBP1b/LpoB displayed phenotypes similar to the wild type.
PMID- 29788207
TI - Potentiating Hsp104 activity via phosphomimetic mutations in the middle domain.
AB - Hsp104 is a hexameric AAA + ATPase and protein disaggregase found in yeast, which
can be potentiated via mutations in its middle domain (MD) to counter toxic phase
separation by TDP-43, FUS and alpha-synuclein connected to devastating
neurodegenerative disorders. Subtle missense mutations in the Hsp104 MD can
enhance activity, indicating that post-translational modification of specific MD
residues might also potentiate Hsp104. Indeed, several serine and threonine
residues throughout Hsp104 can be phosphorylated in vivo. Here, we introduce
phosphomimetic aspartate or glutamate residues at these positions and assess
Hsp104 activity. Remarkably, phosphomimetic T499D/E and S535D/E mutations in the
MD enable Hsp104 to counter TDP-43, FUS and alpha-synuclein aggregation and
toxicity in yeast, whereas T499A/V/I and S535A do not. Moreover, Hsp104T499E and
Hsp104S535E exhibit enhanced ATPase activity and Hsp70-independent disaggregase
activity in vitro. We suggest that phosphorylation of T499 or S535 may elicit
enhanced Hsp104 disaggregase activity in a reversible and regulated manner.
PMID- 29788208
TI - The TORC1-Sch9 pathway as a crucial mediator of chronological lifespan in the
yeast Saccharomyces cerevisiae.
AB - The concept of ageing is one that has intrigued mankind since the beginning of
time and is now more important than ever as the incidence of age-related
disorders is increasing in our ageing population. Over the past decades,
extensive research has been performed using various model organisms. As such, it
has become apparent that many fundamental aspects of biological ageing are highly
conserved across large evolutionary distances. In this review, we illustrate that
the unicellular eukaryotic organism Saccharomyces cerevisiae has proven to be a
valuable tool to gain fundamental insights into the molecular mechanisms of
cellular ageing in multicellular eukaryotes. In addition, we outline the current
knowledge on how downregulation of nutrient signaling through the target of
rapamycin (TOR)-Sch9 pathway or reducing calorie intake attenuates many
detrimental effects associated with ageing and leads to the extension of yeast
chronological lifespan. Given that both TOR Complex 1 (TORC1) and Sch9 have
mammalian orthologues that have been implicated in various age-related disorders,
unraveling the connections of TORC1 and Sch9 with yeast ageing may provide
additional clues on how their mammalian orthologues contribute to the mechanisms
underpinning human ageing and health.
PMID- 29788209
TI - Musculoskeletal Injuries Among Female Soldiers Working With Dogs.
AB - Introduction: Female soldiers serving in the Israeli Defense Forces canine unit
may be at increased risk of overuse injuries related to working with military
dogs. We hypothesized that this particular type of occupational exposure may lead
to an increased strain of the upper extremity due to such non-physiologic motions
as pulling the dog's strap or resisting the sudden pulling by the dog, and may
result in an increased rate of overuse injuries. Materials and Methods: We
compared incidence of overuse injuries in a retrospective cohort of female
soldiers who served either in the military working dogs' unit (MWD), or in the
light infantry battalions (Infantry) from 2005 to 2015. We compared injury
incidence of both groups during two periods: 5 mo of basic training (neither
worked with dogs) and 19 or more months of combat service. Incidence was
calculated as number of diagnoses per person-months (rate ratios, RR); each
diagnosis counted once per study subject. We used RR confidence intervals to
compare incidence of injuries between groups. Results: There were 3,443 person
months in the MWD group and 194,590 person-months in the Infantry group. There
was no difference in injury incidence between groups during the initial period of
basic training. During the second period, MWDs had higher incidence of upper limb
(RR = 1.45, p = 0.048) and hip (RR = 3.6, p < 0.0001) injuries. The association
between service with dogs and upper limb injuries remained significant (RR =
1.09, p = 0.005) after adjusting for confounding variables in the multivariate
regression analysis. Other independent predictors of the upper limb overuse
injuries included each additional month of service (RR = 1.003, p = 0.008),
Eastern European origin compared with Israeli-born recruits (RR = 0.97, p =
0.03), increased body weight in increments of 10 kg (RR = 1.008, p = 0.03),
anemia (RR = 1.02, p = 0.02) and fatigue (RR = 1.05, p <= 0.0001). Conclusions:
We found that service in the MWD unit was associated with increased risk of upper
limb injuries. Identification of the exact mechanism of injury and targeted
interventions, as well as treatment of anemia and fatigue may lead to reduction
of injuries in this unit.
PMID- 29788212
TI - Yogurt Intake and Risk of Cardiovascular Disease Among Hypertensive Individuals:
Is It Time for a Clinical Trial?
PMID- 29788211
TI - Particle Concentrations in Occupational Settings Measured with a Nanoparticle
Respiratory Deposition (NRD) Sampler.
AB - There is an increasing need to evaluate concentrations of nanoparticles in
occupational settings due to their potential negative health effects. The
Nanoparticle Respiratory Deposition (NRD) personal sampler was developed to
collect nanoparticles separately from larger particles in the breathing zone of
workers, while simultaneously providing a measure of respirable mass
concentration. This study compared concentrations measured with the NRD sampler
to those measured with a nano Micro Orifice Uniform-Deposit Impactor (nanoMOUDI)
and respirable samplers in three workplaces. The NRD sampler performed well at
two out of three locations, where over 90% of metal particles by mass were
submicrometer particle size (a heavy vehicle machining and assembly facility and
a shooting range). At the heavy vehicle facility, the mean metal mass
concentration of particles collected on the diffusion stage of the NRD was 42.5
+/- 10.0 ug/m3, within 5% of the nanoMOUDI concentration of 44.4 +/- 7.4 ug/m3.
At the shooting range, the mass concentration for the diffusion stage of the NRD
was 5.9 ug/m3, 28% above the nanoMOUDI concentration of 4.6 ug/m3. In contrast,
less favorable results were obtained at an iron foundry, where 95% of metal
particles by mass were larger than 1 um. The accuracy of nanoparticle collection
by NRD diffusion stage may have been compromised by high concentrations of coarse
particles at the iron foundry, where the NRD collected almost 5-fold more
nanoparticle mass compared to the nanoMOUDI on one sampling day and was more than
40% different on other sampling days. The respirable concentrations measured by
NRD samplers agreed well with concentrations measured by respirable samplers at
all sampling locations. Overall, the NRD sampler accurately measured
concentrations of nanoparticles in industrial environments when concentrations of
large, coarse mode, particles were low.
PMID- 29788210
TI - Carbonyl Reduction of NNK by Recombinant Human Lung Enzymes. Identification of
HSD17beta12 as the Reductase important in (R)-NNAL formation in Human Lung.
AB - 4-(Methylnitrosamino)-1-(3-pyridyl)-1-butanone (NNK) is the most abundant and
carcinogenic tobacco-specific nitrosamine in tobacco and tobacco smoke. The major
metabolic pathway for NNK is carbonyl reduction to form the (R) and (S)
enantiomers of 4-(methylnitrosamino)-1-(3-pyridyl)-1-butanol (NNAL) which, like
NNK, is a potent lung carcinogen. The goal of the present study was to
characterize NNAL enantiomer formation in human lung and identify the enzymes
responsible for this activity. While (S)-NNAL was the major enantiomer of NNAL
formed in incubations with NNK in lung cytosolic fractions, (R)-NNAL comprised
~60 and ~95% of the total NNAL formed in lung whole cell lysates and microsomes,
respectively. In studies examining the role of individual recombinant reductase
enzymes in lung NNAL enantiomer formation, AKR1C1, AKR1C2, AKR1C3, AKR1C4 and
CBR1 all exhibited (S)-NNAL formation activity. To identify the microsomal
enzymes responsible for (R)-NNAL formation, 28 microsomal reductase enzymes were
screened for expression by real-time PCR in normal human lung. HSD17beta6,
HSD17beta12, KDSR, NSDHL, RDH10, RDH11 and SDR16C5 were all expressed at levels
>HSD11beta1, the only previously reported microsomal reductase enzyme with NNK
reducing activity, with HSD17beta12 the most highly expressed. Of these lung
expressing enzymes, only HSD17beta12 exhibited activity against NNK, forming
primarily (>95%) (R)-NNAL, a pattern consistent with that observed in lung
microsomes. siRNA knockdown of HSD17beta12 resulted in significant decreases in
(R)-NNAL formation activity in HEK293 cells. These data suggest that both
cytosolic and microsomal enzymes are active against NNK and that HSD17beta12 is
the major active microsomal reductase that contributes to (R)-NNAL formation in
human lung.
PMID- 29788213
TI - Carcass and meat quality of dual-purpose chickens (Lohmann Dual, Belgian Malines,
Schweizerhuhn) in comparison to broiler and layer chicken types.
AB - Currently, there is an intensive ethical discussion about the practice of culling
day-old layer cockerels. One solution to avoid this practice could be using dual
purpose types, where males are fattened for meat and females used for egg
production. The aim of the present study was to compare fattening performance,
carcass conformation, and composition as well as meat quality of Lohmann Dual, a
novel dual-purpose type, and 2 traditional dual-purpose types (Belgian Malines
and Schweizerhuhn) with 2 broiler types and 1 layer type (Lohmann Brown Plus).
Broilers included a conventional line (Ross PM3) and a slower-growing line (Sasso
51) fulfilling requirements of organic farming. Nine birds of each type were fed
on a conventional broiler diet. Feed intake and metabolizability of nitrogen and
energy were recorded per pen (n = 3), the latter through excreta sampling. For
each bird, carcass conformation was assessed, and weights of body, carcass,
breast meat, legs, wings, and inner organs were determined. Additionally, breast
angle, an indicator for carcass appeal, and skin color were recorded. Meat
quality assessment included determinations of thaw and cooking loss, shear force,
meat color, and proximate composition of the breast meat. None of the dual
purpose types (20 to 30 g ADG) performed as well in growth as the intensively
growing broiler line (68 g ADG). However, Lohmann Dual could compete with the
slower-growing broiler line (slower growth but better feed efficiency, similar in
carcass weight and breast proportion). Also breast angle was quite similar
between Lohmann Dual (100 degrees ) and the extensive broiler type (115 degrees
C) compared to the intensive broiler line (180 degrees ). Meat quality was most
favorable in the intensive broilers with the smallest shear force and thawing
loss, whereas meat quality was not different between the other types. The
Schweizerhuhn performed only at the level of the layer hybrid, and the Belgian
Malines was ranked only slightly better.
PMID- 29788214
TI - Treatment Failure of TNF-alpha Inhibitors in Obese Patients With Inflammatory
Bowel Disease-A Cohort Study.
AB - Background: In treatment of inflammatory bowel disease (IBD) with anti-tumor
necrosis factor-alpha agents (anti-TNF-alpha), obesity has been suspected as a
cause of accelerated loss of response (LOR). We sought to determine whether
overweight IBD patients have accelerated LOR when treated with anti-TNF-alpha
agents, compared with normal weight IBD patients. Methods: We identified a cohort
of adult IBD patients treated with anti-TNF-alpha agents at a Danish university
hospital. Patients were grouped according to body mass index (BMI), and our main
outcome was time to LOR. We performed survival analyses on LOR and calculated
hazard ratios (HRs) with the normal weight group as the reference, while
adjusting for confounders. Results: Of 210 eligible patients, 92 (44%)
experienced LOR. One hundred eighty patients were treated with infliximab and 30
with adalimumab, 114 (54%) were normal weight, 51 (24%) were overweight, and 45
(21%) were obese. Regression analysis produced the following adjusted HRs,
compared with the normal weight group: overweight 0.89 (95% confidence interval
[CI], 0.51-1.56) and obese 1.31 (95% CI, 0.76-2.24), thus showing no
statistically significant association between BMI and time to LOR. Subgroup
analyses produced similar results, except for obese ulcerative colitis patients
having an adjusted HR of 2.42 (95% CI, 1.03-5.70). Conclusions: In IBD patients
treated with anti-TNF-alpha agents, we found no overall association between
increased BMI and accelerated LOR.
PMID- 29788215
TI - An Unusual Presentation of Murine Typhus and Mononucleosis.
AB - A 20-year-old female presented to the emergency department complaining of fever,
cough, and dyspnea after a recent camping trip. The patient remained ill
appearing, persistently tachycardic and dyspneic despite nebulizer treatments,
and fluids in the emergency department. She was admitted for observation and
gradually improved over the next 4 d. Inpatient laboratory studies indicated
acute Epstein-Barr virus infection and she was discharged with a presumptive
diagnosis of infectious mononucleosis. However, further testing showed a
simultaneous rickettsial infection producing murine typhus. The patient
ultimately recovered uneventfully once proper treatment was initiated. This
patient's presentation represents a unique description of simultaneous infectious
mononucleosis and murine typhus which underscores the importance of maintaining a
broad differential diagnosis in the approach to febrile illnesses.
PMID- 29788216
TI - Do nano-particles cause recalcitrant vulnerability curves in Robinia? Testing
with a four-cuvette Cochard rotor and with water extraction curves.
AB - Cavitation resistance is a key trait for characterizing the drought adaption in
plants and is usually presented in terms of vulnerability curves. Three principal
techniques have been developed to produce vulnerability curves, but curves
generated with centrifugation are reported to suffer from artifacts when applied
to long-vesseled species. The main cause of this artifact is the issue of open
vessels, resulting in a nano-particle effect that may seed premature embolism. We
used two methods to test the potential mechanism behind the nano-particle effect
in centrifuge-based vulnerability curves. A four-cuvette rotor system based on a
traditional Cochard rotor was designed to inhibit effervescence while injecting
water, but the recalcitrant vulnerability curves in Robinia could not be
eliminated. There may be multiple sources, besides effervescence, of hypothetical
nano-particles: they may arise from cut surfaces or they may be always present in
the injected water, leading to the premature embolisms. To prevent the entry of
the hypothetical nano-particles, water extraction curves in terms of PLV
(percentage loss volume of extracted water from stems) vs tensions were
constructed. The PLV curves of Robinia showed s-shaped characteristics after
subtracting the first Weibull components from water extraction curves, which were
not related to the water loss from vessels according to dye staining experiments.
The differences between T50 (xylem tension at which 50% of hydraulic conductivity
is lost) in mean PLV curve and T50 in percentage loss of conductivity curves
determined by the four-cuvette rotor system and by the bench dehydration method
were 3.9 MPa and 0.7 MPa, respectively. Hence, PLV curves may be a valid way to
measure the cavitation resistance in long-vesseled species with centrifugation.
Keeping bark intact in the process of measurement is recommended, otherwise it
would increase evaporation from the entire system.
PMID- 29788217
TI - Treatment-Free Survival in Patients With Differentiated Thyroid Cancer.
AB - Objective: Cancer recurrence is a primary concern for patients with
differentiated thyroid cancer; however, population-level data on recurrent or
persistent disease do not currently exist. The objective of this study was to
determine treated recurrent or persistent thyroid cancer by using a population
based registry, identify correlates of poor treatment-free survival, and define
prognostic groups for treatment-free survival. Methods: In this population-based
study, we evaluated treatment-free survival in 9273 patients from the
Surveillance, Epidemiology, and End Results Program-Medicare with a diagnosis of
differentiated thyroid cancer between 1998 and 2012. Treated recurrence was
defined by treatment of recurrent or persistent differentiated thyroid cancer
with surgery, radioactive iodine, or radiation therapy at >=1 year after
diagnosis. Multivariable analysis was performed with Cox proportional hazards
regression, survival trees, and random survival forests. Results: In this cohort
the median patient age at time of diagnosis was 69 years, and 75% of the patients
were female. Using survival tree analyses, we identified five distinct prognostic
groups (P < 0.001), with a prediction accuracy of 88.7%. The 5-year treatment
free survival rates of these prognostic groups were 96%, 91%, 85%, 72%, and 52%,
respectively, and the 10-year treatment-free survival rates were 94%, 87%, 80%,
64%, and 39%. Based on survival forest analysis, the most important factors for
predicting treatment-free survival were stage, tumor size, and receipt of
radioactive iodine. Conclusion: In this population-based cohort, five prognostic
groups for treatment-free survival were identified. Understanding treatment-free
survival has implications for the care and long-term surveillance of patients
with differentiated thyroid cancer.
PMID- 29788218
TI - Evolution of the Randomized Controlled Trial in Inflammatory Bowel Disease:
Current Challenges and Future Solutions.
AB - As knowledge of the pathogenesis of inflammatory bowel disease (IBD) has grown,
many new medical therapies have become available. Evaluation of the efficacy and
safety of new drugs has conventionally been established with placebo-controlled
randomized trials. However, given that highly effective and safe biologic agents
such as tumor necrosis factor (TNF) antagonists, vedolizumab, and ustekinumab are
currently available, the continued use of placebo-controlled studies to evaluate
new molecules should be questioned. Although alternate study designs are
available, their implementation presents multiple challenges that need to be
overcome. Other challenges in the current investigative landscape include poor
recruitment rates, enrollment of highly refractory patients, and substantial
changes in the regulatory standards required for drug approval. In this article,
we present an overview of these challenges and discuss potential solutions with
an emphasis on implications for the practicing clinician.
PMID- 29788220
TI - Obstructive Sleep Apnea Syndrome and Metabolic Diseases.
AB - With the rapid changes in lifestyle in modern society, including the high
nutritional intake and reduced physical activity, the incidence of metabolic
diseases has been increasing year by year. Obstructive sleep apnea syndrome
(OSAS) is a sleep disorder, usually characterized by sudden pauses of breathing
during sleep and an interrupted sleep rhythm. Although the pathological mechanism
remains poorly understood, it has been strongly associated with metabolic
diseases, including obesity, insulin resistance, type 2 diabetes mellitus (T2DM),
and nonalcoholic fatty liver disease (NAFLD). In the present mini-review, we
briefly summarize the connections between OSAS, obesity, T2DM, and NAFLD, which
might help us to better understand the pathogenesis of human diseases.
PMID- 29788219
TI - Exploiting metamerism to regulate the impact of a visual display on alertness and
melatonin suppression independent of visual appearance.
AB - Objectives: Artificial light sources such as visual display units (VDUs) elicit a
range of subconscious and reflex light responses, including increases in
alertness and suppression of pineal melatonin. Such responses employ dedicated
retinal circuits encompassing melanopsin photoreceptors. Here, we aimed to
determine whether this arrangement can be exploited to modulate the impact of
VDUs on melatonin onset and alertness without altering visual appearance.
Methods: We generated a five-primary VDU capable of presenting metameric movies
(matched for color and luminance) but varying in melanopic-irradiance. Healthy
human participants (n = 11) were exposed to the VDU from 18:00 to 23:00 hours at
high- or low-melanopic setting in a randomized cross-over design and measured
salivary melatonin and self-reported sleepiness at 30-minute intervals. Results:
Our VDU presented a 3* adjustment in melanopic-irradiance for images matched
photometrically for color and luminance. Participants reported no significant
difference in visual appearance (color and glare) between conditions. During the
time in which the VDU was viewed, self-reported sleepiness and salivary melatonin
levels increased significantly, as would be expected in this phase of the diurnal
cycle. The magnitude of the increase in both parameters was significantly
enhanced when melanopic-irradiance was reduced. Conclusions: Our data demonstrate
that melatonin onset and self-reported sleepiness can be modulated independent of
photometric parameters (color and luminance) under a commonly encountered light
exposure scenario (evening use of a VDU). They provide the first demonstration
that the impact of light on alertness and melatonin production can be controlled
independently of visual experience, and establish a VDU capable of achieving this
objective.
PMID- 29788221
TI - Predictive factors of life quality among packaging workers in Taiwan.
AB - The semiconductor plants on the top of high-tech industrial chain hire many
packaging workers to carry out miscellaneous packing tasks for various product
orders from different companies and countries. Under tremendous workload the
quality of life (QoL) of such packaging workers need to be concerned. The aim of
this study was to explore factors influencing their QoL. This study recruited 247
packing workers (162 male and 85 female; mean age: 35.6 years old) in 2015 and
2016 from a semiconductor plant in Taiwan by convenience sampling. The
questionnaire comprised four parts: demographics, the World Health Organization
Quality of Life (WHOQOL-BREF), an occupational burnout inventory and the Nordic
Musculoskeletal Questionnaire. The four domains of the WHOQOL-BREF were defined
as outcome variables. Predictive factors included gender (reference: male), age
(reference: <= 35), BMI (reference: <= 25), educational level (reference: below
university), marital/partner status (reference: married/cohabiting), years of
work (reference: <= 5), work shift (reference: day shift), personal burnout, work
related burnout, over-commitment to work and the number of body parts with
discomfort (0-9). The findings showed that physical QoL was negatively correlated
with night -shift work, personal burnout, and number of body parts with
discomfort. Psychological QoL was negatively correlated with night shift work and
personal burnout. Environment QoL was negatively correlated with being male,
night shift work and personal burnout. The results showed that the QoL among the
packaging workers could be improved by reducing musculoskeletal discomfort,
personal burnout and by improving work schedules.
PMID- 29788222
TI - Chromatographic and Computational Studies of Molecular Lipophilicity and Drug
likeness for few 2-Thioxo-1,3-Thiazolidin-4-one Derivatives and their Analogs.
AB - Hydrophobicity of the eight 2-thioxo-1,3-thiazolidin-4-one derivatives was
determined experimentally by thin-layer chromatography and predicted by means of
commercially available programmers. RM values were determined by reversed-phase
thin-layer chromatography with using acetonitrile-water, methanol-water, acetone
water, propan-2-ol-water or 1,4-dioxane-water and compared with logP values
calculated by using computer programs: HyperChem 8.0.10, Virtual Chemical
Calculation Laboratory, ACD/LogP. The drug-likeness has been calculated using
Molinspiration. All the heterocycles were found to obey Lipinski's rule of 5 for
an orally active drug.
PMID- 29788223
TI - OPTIMISATION OF A PAEDIATRIC CT BRAIN PROTOCOL: A FIGURE-OF-MERIT APPROACH.
AB - Paediatric head computed tomography (CT) dose optimisation was carried out using
three figure-of-merits (FOMs), based on tube voltage, current, noise, volumetric
computed tomography dose index (CTDIvol) and contrast-to-noise ratio (CNR). FOM1:
spatial resolution and total minimum contrast level (N) against CTDIvol; FOM2:
noise against CTDIvol; FOM3: CNR against CTDIvol. Stage 1: Application of FOM1
and FOM2 on a Catphan without current modulation. Stage 2: CTDIvol and noise
assessed on an anthropomorphic phantom with current modulation. Stage 3: All FOMs
applied to Catphan images acquired with current modulation. Results indicated no
single test case outperformed the current protocol (100 kVp, 200 mA) in all FOMs.
Some test cases offered a reduction in dose at the cost of higher noise. The 120
kVp, 100-mA combination offered good compromise between dose and noise. Further
investigation is required to determine whether this protocol could be added for
procedures where higher noise is acceptable (e.g. craniosynostosis).
PMID- 29788224
TI - Iron Sequestration in Microbiota Biofilms As A Novel Strategy for Treating
Inflammatory Bowel Disease.
AB - Significant alterations of intestinal microbiota and anemia are hallmarks of
inflammatory bowel disease (IBD). It is widely accepted that iron is a key
nutrient for pathogenic bacteria, but little is known about its impact on
microbiota associated with IBD. We used a model device to grow human mucosa
associated microbiota in its physiological anaerobic biofilm phenotype. Compared
to microbiota from healthy donors, microbiota from IBD patients generate biofilms
ex vivo that were larger in size and cell numbers, contained higher intracellular
iron concentrations, and exhibited heightened virulence in a model of human
intestinal epithelia in vitro and in the nematode Caenorhabditis elegans. We also
describe an unexpected iron-scavenging property for an experimental hydrogen
sulfide-releasing derivative of mesalamine. The findings demonstrate that this
new drug reduces the virulence of IBD microbiota biofilms through a direct
reduction of microbial iron intake and without affecting bacteria survival or
species composition within the microbiota. Metabolomic analyses indicate that
this drug reduces the intake of purine nucleosides (guanosine), increases the
secretion of metabolite markers of purine catabolism (urate and hypoxanthine),
and reduces the secretion of uracil (a pyrimidine nucleobase) in complex
multispecies human biofilms. These findings demonstrate a new pathogenic
mechanism for dysbiotic microbiota in IBD and characterize a novel mode of action
for a class of mesalamine derivatives. Together, these observations pave the way
towards a new therapeutic strategy for treatment of patients with IBD.
PMID- 29788227
TI - Cessation and reduction in smoking behavior: impact of creating a smoke-free home
on smokers.
AB - The aim of this study was to assess the effect of a creating a smoke-free home
(SFH) on cessation and reduction of cigarette smoking on low-income smokers. This
secondary data analysis uses data from study participants who were originally
recruited through 2-1-1 information and referral call centers in Atlanta
(Georgia, 2013), North Carolina (2014) and the Texas Gulf Coast (2015) across
three randomized controlled trials testing an intervention aimed at creating
SFHs, pooling data from 941 smokers. Participants who reported adopting a SFH
were more likely to report quitting smoking than those who did not adopt a SFH.
This was true at 3-month follow-up and even more pronounced at 6-month follow-up
and persisted when considering only those who consistently reported no smoking at
3 and 6 months. Among those who did not stop smoking, the number of cigarettes
per day declined significantly more and quit attempts were more frequent for
those who created a SFH compared with those who did not. Findings suggest that
creating a SFH facilitates cessation, reduces cigarette consumption and increases
quit attempts. Future studies should assess the long-term impact of SFHs on
sustaining cessation.
PMID- 29788226
TI - Circulating Dephospho-Uncarboxylated Matrix Gla-Protein Is Associated With Kidney
Dysfunction and Arterial Stiffness.
AB - BACKGROUND: Large artery stiffening is increased in advanced chronic kidney
disease (CKD) but likely develops progressively in earlier stages of CKD. Active
matrix Gla-protein (MGP) is a potent vitamin K-dependent inhibitor of vascular
calcification. A recent animal model demonstrated intrinsic abnormalities in
vitamin K metabolism even in early CKD, but whether early human CKD is associated
with vascular vitamin K deficiency is unknown. METHODS: We enrolled 137 adults
without HF with varying degrees of renal function: normal estimated glomerular
filtration rate (eGFR; >90 ml/min; n = 59), mildly reduced eGFR (stage 2 CKD:
eGFR = 60-89 ml/min; n = 53) or at least moderately reduced eGFR (stage 3-5 CKD;
eGFR < 60 ml/min; n = 25). Carotid-femoral pulse wave velocity (CF-PWV) was
measured with carotid and femoral tonometry. Dephospho-uncarboxylated matrix gla
protein (dp-ucMGP) was measured with enzyme-linked immunosorbent assay (ELISA)
(VitaK; Maastricht University; The Netherlands). RESULT: Dp-ucMGP levels were
progressively increased with decreasing renal function (eGFR >= 90: 247 pmol/l;
eGFR 60-89: 488 pmol/l; eGFR < 60: 953 pmol/l; P < 0.0001). These differences
persisted after adjustment for multiple potential confounders (eGFR >= 90: 314
pmol/l; eGFR 60-89: 414 pmol/l; eGFR < 60: 770 pmol/l; P < 0.0001). In a
multivariable model adjusted for various confounders, dp-ucMGP was a significant
independent predictor of CF-PWV (beta = 0.21; P = 0.019). In formal mediation
analyses, dp-ucMGP mediated a significant relationship between eGFR and higher CF
PWV (beta = -0.16; P = 0.005), whereas no significant dp-ucMGP-independent
relationship was present (beta = -0.02; P = 0.80). CONCLUSIONS: CKD is associated
with increased (inactive) dp-ucMGP, a vitamin K-dependent inhibitor of vascular
calcification, which correlates with large artery stiffness. Further studies are
needed to assess whether vitamin K2 supplementation represents a suitable
therapeutic strategy to prevent or reduce arterial stiffening in CKD.
PMID- 29788225
TI - LnChrom: a resource of experimentally validated lncRNA-chromatin interactions in
human and mouse.
AB - Long non-coding RNAs (lncRNAs) constitute an important layer of chromatin
regulation that contributes to various biological processes and diseases. By
interacting with chromatin, many lncRNAs can regulate that state of chromatin by
recruiting chromatin-modifying complexes and thus control large-scale gene
expression programs. However, the available information on interactions between
lncRNAs and chromatin is hidden in a large amount of dispersed literature and has
not been extensively collected. We established the LnChrom database, a manually
curated resource of experimentally validated lncRNA-chromatin interactions. The
current release of LnChrom includes 382 743 interactions in human and mouse. We
also manually collected detailed metadata for each interaction pair, including
those of chromatin modifying factors, epigenetic marks and disease associations.
LnChrom provides a user-friendly interface to facilitate browsing, searching and
retrieving of lncRNA-chromatin interaction data. Additionally, a large amount of
multi-omics data was integrated into LnChrom to aid in characterizing the effects
of lncRNA-chromatin interactions on epigenetic modifications and transcriptional
expression. We believe that LnChrom is a timely and valuable resource that can
greatly motivate mechanistic research into lncRNAs.Database URL:
http://biocc.hrbmu.edu.cn/LnChrom/.
PMID- 29788229
TI - SubtiWiki in 2018: from genes and proteins to functional network annotation of
the model organism Bacillus subtilis.
AB - Living cells are made up of individual parts, i.e. the genome, the proteins, the
RNA and lipid molecules as well as the metabolites and ions. However, life
depends on the functional interaction among these components which is often
organized in networks. Here, we present the recent development of SubtiWiki, the
integrated database for the model bacterium Bacillus subtilis
(http://subtiwiki.uni-goettingen.de/). SubtiWiki is based on a relational
database and provides access to published information about the genes and
proteins of B. subtilis and about metabolic and regulatory pathways. We have
included a network visualization tool that can be used to visualize regulatory as
well as protein-protein interaction networks. The resulting interactive graphical
presentations allow the user to detect novel associations and thus to develop
novel hypotheses that can then be tested experimentally. To facilitate the mobile
use of SubtiWiki, we provide enhanced versions of the SubtiWiki App that are
available for iOS and Android devices. Importantly, the App allows to link
private notes and pictures to the gene/protein pages that can be synchronized on
multiple devices. SubtiWiki has become one of the most complete resources of
knowledge on a living organism.
PMID- 29788228
TI - Correct Laminar Positioning in the Neocortex Influences Proper Dendritic and
Synaptic Development.
AB - The neocortex is a 6-layered laminated structure with a precise anatomical and
functional organization ensuring proper function. Laminar positioning of cortical
neurons, as determined by termination of neuronal migration, is a key determinant
of their ability to assemble into functional circuits. However, the exact
contribution of laminar placement to dendrite morphogenesis and synapse formation
remains unclear. Here we manipulated the laminar position of cortical neurons by
knocking down doublecortin (Dcx), a crucial effector of migration, and show that
misplaced neurons fail to properly form dendrites, spines, and functional
glutamatergic and GABAergic synapses. We further show that knocking down Dcx in
properly positioned neurons induces similar but milder defects, suggesting that
the laminar misplacement is the primary cause of altered neuronal development.
Thus, the specific laminar environment of their fated layers is crucial for the
maturation of cortical neurons, and influences their functional integration into
developing cortical circuits.
PMID- 29788230
TI - Tumor-Infiltrating Lymphocytes in Patients Receiving Trastuzumab/Pertuzumab-Based
Chemotherapy: A TRYPHAENA Substudy.
AB - Background: There is an urgent requirement to identify biomarkers to tailor
treatment in human epidermal growth factor receptor 2 (HER2)-amplified early
breast cancer treated with trastuzumab/pertuzumab-based chemotherapy. Methods:
Among the 225 patients randomly assigned to trastuzumab/pertuzumab concurrently
or sequentially with an anthracycline-containing regimen or concurrently with an
anthracycline-free regimen in the Tryphaena trial, we determined the percentage
of tumor-infiltrating lymphocytes (TILs) at baseline in 213 patients, of which
126 demonstrated a pathological complete response (pCR; ypT0/is ypN0), with 28
demonstrating event-free survival (EFS) events. We investigated associations
between baseline TIL percentage and either pCR or EFS after adjusting for
clinicopathological characteristics using logistic and Cox regression models,
respectively. To understand TIL biology, we evaluated associations between
baseline TILs and baseline tumor gene expression data (800 gene set by
NanoString) in a subset of 173 patients. All statistical tests were two-sided.
Results: Among the patients with measurable TILs at baseline, the median level
was 14.1% (interquartile range = 7.1%-32.4%). After adjusting for
clinicopathological characteristics, baseline percentage TIL was not associated
with pCR (adjusted odds ratio [aOR] for every 10-percentage unit increase in TILs
= 1.12, 95% confidence interval [CI] = 0.95 to 1.31, P = .17). At a median follow
up of 4.7 years, for every increase in baseline TILs of 10%, there was a 25%
reduction in the hazard for an EFS event (aOR = 0.75, 95% CI = 0.56 to 1.00, P =
.05) after adjusting for baseline clinicopathological characteristics and pCR.
Additionally, genes associated with epithelial-mesenchymal transition,
angiogenesis, and T-cell inhibition such as SNAIL1, ZEB1, NOTCH3, and B7-H3 were
statistically significantly inversely correlated with percentage TIL.
Conclusions: Baseline TIL percentage provides independent prognostic information
in patients treated with trastuzumab/pertuzumab-based neoadjuvant chemotherapy.
However, further validation is required.
PMID- 29788231
TI - Role of quorum sensing and chemical communication in fungal biotechnology and
pathogenesis.
AB - Microbial cells do not live in isolation in their environment, but rather they
communicate with each other using chemical signals. This sophisticated mode of
cell-to-cell signalling, known as quorum sensing, was first discovered in
bacteria, and coordinates the behaviour of microbial population behaviour in a
cell-density-dependent manner. More recently, these mechanisms have been
described in eukaryotes, particularly in fungi, where they regulate processes
such as pathogenesis, morphological differentiation, secondary metabolite
production and biofilm formation. In this manuscript, we review the information
available to date on these processes in yeast, dimorphic fungi and filamentous
fungi. We analyse the diverse chemical 'languages' used by different groups of
fungi, their possible cross-talk and interkingdom interactions with other
organisms. We discuss the existence of these mechanisms in multicellular
organisms, the ecophysiological role of QS in fungal colonisation and the
potential applications of these mechanisms in biotechnology and pathogenesis.
PMID- 29788234
TI - Prediagnostic Serum Vitamin D Levels and the Risk of Crohn's Disease and
Ulcerative Colitis.
PMID- 29788232
TI - Chronic Stress Exposure Reduces Parvalbumin Expression in the Rat Hippocampus
through an Imbalance of Redox Mechanisms: Restorative Effect of the Antipsychotic
Lurasidone.
AB - Background: Psychiatric disorders are associated with altered function of
inhibitory neurotransmission within the limbic system, which may be due to the
vulnerability of selective neuronal subtypes to challenging environmental
conditions, such as stress. In this context, parvalbumin-positive GABAergic
interneurons, which are critically involved in processing complex cognitive
tasks, are particularly vulnerable to stress exposure, an effect that may be the
consequence of dysregulated redox mechanisms. Methods: Adult Male Wistar rats
were subjected to the chronic mild stress procedure for 7 weeks. After 2 weeks,
both control and stress groups were further divided into matched subgroups to
receive chronic administration of vehicle or lurasidone (3 mg/kg/d) for the
subsequent 5 weeks. Using real-time RT-PCR and western blot, we investigated the
expression of GABAergic interneuron markers and the levels of key mediators of
the oxidative balance in the dorsal and ventral hippocampus. Results: Chronic
mild stress induced a specific decrease of parvalbumin expression in the dorsal
hippocampus, an effect normalized by lurasidone treatment. Interestingly, the
regulation of parvalbumin levels was correlated to the modulation of the
antioxidant master regulator NRF2 and its chaperon protein KEAP1, which were also
modulated by pharmacological intervention. Conclusions: Our findings suggest that
the susceptibility of parvalbumin neurons to stress may represent a key mechanism
contributing to functional and structural impairments in specific brain regions
relevant for psychiatric disorders. Moreover, we provide new insights on the
mechanism of action of lurasidone, demonstrating that its chronic treatment
normalizes chronic mild stress-induced parvalbumin alterations, possibly by
potentiating antioxidant mechanisms, which may ameliorate specific functions that
are deteriorated in psychiatric patients.
PMID- 29788233
TI - Autologous Haematopoietic Stem Cell Transplantation for Crohn's Disease: A
Retrospective Survey of Long-term Outcomes from the European Society for Blood
and Marrow Transplantation.
AB - Background/Aims: Autologous haematopoietic stem cell transplantation (AHSCT) is a
therapeutic option for patients with severe, treatment-refractory Crohn's disease
(CD). The evidence base for AHSCT for CD is limited, with one randomised trial
(ASTIC) suggesting benefit. The aim of this study was to evaluate safety and
efficacy for patients undergoing AHSCT for CD in Europe outside the ASTIC trial.
Methods: We identified 99 patients in the European Society for Blood and Marrow
Transplantation (EBMT) registry who were eligible for inclusion. Transplant and
clinical outcomes were obtained for 82 patients from 19 centres in 7 countries.
Results: Median patient age was 30 years (range 20-65). Patients had failed or
been intolerant to a median of 6 lines of drug therapy. 61/82 (74%) had had
surgery. Following AHSCT, 53/78 (68%) experienced complete remission or
significant improvement in symptoms at a median follow-up of 41 months (range 6
174). 22/82 (27%) required no medical therapy at any point post-AHSCT. In
patients who had re-started medical therapy at last follow-up, 57% (24/42)
achieved remission or significant symptomatic improvement with therapies to which
they had previously lost response or been non-responsive. Treatment-free survival
at one year was 54%. On multivariate analysis, perianal disease was associated
with adverse treatment-free survival (hazard ratio 2.34, 95% CI 1.14-4.83,
p=0.02). One patient died due to infectious complications (CMV disease) at day
+56. Conclusions: In this multicentre retrospective analysis of European centres,
AHSCT was relatively safe and appeared to be effective in controlling otherwise
treatment-resistant Crohn's disease. Further prospective randomised controlled
trials against standard of care are warranted.
PMID- 29788235
TI - Heart Under Attack: Cardiac Manifestations of Inflammatory Bowel Disease.
AB - There is a well-established association between chronic inflammation and an
elevated risk of heart disease among patients with systemic autoimmune
conditions. This review aims to summarize existing literature on the relationship
between inflammatory bowel disease and ischemic heart disease, heart failure,
arrhythmia, and pericarditis, with particular attention to approaches to
management and treatment.
PMID- 29788238
TI - Atypical bypass tracts: can they be recognized during sinus rhythm?
AB - Atypical bypass tracts or variants of ventricular pre-excitation are rare
anatomic structures often with rate-dependent slowing in conduction, called
decremental conduction. During sinus rhythm, electrocardiographic recognition of
those structures may be difficult because unlike in the Wolff-Parkinson-White
syndrome where usually overt ventricular pre-excitation is present, the
electrocardiogram (ECG) often shows a subtle pre-excitation pattern because of
less contribution to ventricular activation over the slow and decrementally
conducting bypass. Following the structure described by Ivan Mahaim and Benatt
corresponding to a fasciculoventricular pathway, several other new variants of
ventricular pre-excitation were reported. In this review, we aim to discuss the
electrocardiographic pattern of the different subtypes of variants of ventricular
pre-excitation, including the atriofascicular pathway, long and short
decrementally conducting atrioventricular pathways, fasciculoventricular pathway,
the atrio-Hisian bypass tract, and nodoventricular and nodofascicular fibres.
Emphasis will be on the ECG findings during sinus rhythm.
PMID- 29788236
TI - A novel adeno-associated virus capsid with enhanced neurotropism corrects a
lysosomal transmembrane enzyme deficiency.
AB - Recombinant adeno-associated viruses (AAVs) are popular in vivo gene transfer
vehicles. However, vector doses needed to achieve therapeutic effect are high and
some target tissues in the central nervous system remain difficult to transduce.
Gene therapy trials using AAV for the treatment of neurological disorders have
seldom led to demonstrated clinical efficacy. Important contributing factors are
low transduction rates and inefficient distribution of the vector. To overcome
these hurdles, a variety of capsid engineering methods have been utilized to
generate capsids with improved transduction properties. Here we describe an
alternative approach to capsid engineering, which draws on the natural evolution
of the virus and aims to yield capsids that are better suited to infect human
tissues. We generated an AAV capsid to include amino acids that are conserved
among natural AAV2 isolates and tested its biodistribution properties in mice and
rats. Intriguingly, this novel variant, AAV-TT, demonstrates strong neurotropism
in rodents and displays significantly improved distribution throughout the
central nervous system as compared to AAV2. Additionally, sub-retinal injections
in mice revealed markedly enhanced transduction of photoreceptor cells when
compared to AAV2. Importantly, AAV-TT exceeds the distribution abilities of
benchmark neurotropic serotypes AAV9 and AAVrh10 in the central nervous system of
mice, and is the only virus, when administered at low dose, that is able to
correct the neurological phenotype in a mouse model of mucopolysaccharidosis
IIIC, a transmembrane enzyme lysosomal storage disease, which requires delivery
to every cell for biochemical correction. These data represent unprecedented
correction of a lysosomal transmembrane enzyme deficiency in mice and suggest
that AAV-TT-based gene therapies may be suitable for treatment of human
neurological diseases such as mucopolysaccharidosis IIIC, which is characterized
by global neuropathology.
PMID- 29788241
TI - Microsurgical Treatment of Distal Anterior Cerebral Artery Aneurysms: 3
Dimensional Operative Video.
AB - Selecting appropriate patient position for surgery must take into consideration a
variety of factors. For an interhemispheric approach to distal anterior cerebral
artery (DACA) aneurysms, the patient may be positioned with the head either
horizontal or vertical with respect to the floor. We preferentially place the
patient in the supine position with the shoulder elevated and the head turned
parallel to the floor with the side of the approach down and the vertex tilted 45
degrees up. In this way, gravity is utilized to allow the right frontal lobe to
fall away from the falx, eliminating the need for retraction. To demonstrate the
importance of individualizing the choice of position to each patient, we present
here 2 illustrative cases of DACA aneurysms in which different positioning was
selected. One patient presented with a 7-mm bilobed pericallosal artery aneurysm;
the aneurysm was approached with the head horizontal with respect to the floor.
The second patient had a 3-mm DACA aneurysm and a right frontal proliferative
angiopathy and developmental venous anomaly with evidence of prior hemorrhage.
Due to the vascular anomaly, we positioned the head in a vertical position for
surgery to clip the aneurysm, which was thought to be the source of hemorrhage.
The videos illustrate the approach to DACA aneurysms, which typically exposes the
aneurysm before complete exposure of the proximal parent artery is obtained. In
one case, the use of both frameless guidance and intraoperative angiography was
useful in identifying a small previously ruptured aneurysm. All appropriate
patient consents were obtained for this submission. Video and Figures (0:57-1:16
and 6:30-6:37), (c) 2017 Department of Neurosurgery, Emory University. Used with
permission.
PMID- 29788239
TI - Circulating Selenium and Prostate Cancer Risk: A Mendelian Randomization
Analysis.
AB - In the Selenium and Vitamin E Cancer Prevention Trial (SELECT), selenium
supplementation (causing a median 114 MUg/L increase in circulating selenium) did
not lower overall prostate cancer risk, but increased risk of high-grade prostate
cancer and type 2 diabetes. Mendelian randomization analysis uses genetic
variants to proxy modifiable risk factors and can strengthen causal inference in
observational studies. We constructed a genetic instrument comprising 11 single
nucleotide polymorphisms robustly (P < 5 * 10-8) associated with circulating
selenium in genome-wide association studies. In a Mendelian randomization
analysis of 72 729 men in the PRACTICAL Consortium (44 825 case subjects, 27 904
control subjects), 114 MUg/L higher genetically elevated circulating selenium was
not associated with prostate cancer (odds ratio [OR] = 1.01, 95% confidence
interval [CI] = 0.89 to 1.13). In concordance with findings from SELECT, selenium
was weakly associated with advanced (including high-grade) prostate cancer (OR =
1.21, 95% CI = 0.98 to 1.49) and type 2 diabetes (OR = 1.18, 95% CI = 0.97 to
1.43; in a type 2 diabetes genome-wide association study meta-analysis with up to
49 266 case subjects and 249 906 control subjects). Our Mendelian randomization
analyses do not support a role for selenium supplementation in prostate cancer
prevention and suggest that supplementation could have adverse effects on risks
of advanced prostate cancer and type 2 diabetes.
PMID- 29788242
TI - Challenges for family medicine research: a global perspective.
PMID- 29788240
TI - Predictors and Management of Loss of Response to Vedolizumab in Inflammatory
Bowel Disease.
AB - Background: We quantified loss of response (LOR) to vedolizumab (VDZ) in clinical
practice and assessed the effectiveness of VDZ dose intensification for managing
LOR. Methods: Retrospective review (May 2014-December 2016) of a prospectively
maintained inflammatory bowel disease (IBD) registry. Kaplan-Meier estimates were
used to determine rates of LOR to VDZ . Independent predictors of LOR were
identified using univariate and multivariable Cox proportional hazard regression.
Success of recapturing response (>50% reduction in symptoms from baseline) and
remission (complete resolution of symptoms) after dose intensification was
quantified. Results: Cumulative rates for VDZ LOR were 20% at 6 months and 35% at
12 months, with slightly lower rates in Crohn's disease than in ulcerative
colitis (6 months 15% vs 18% and 12 months 30% vs 39%, P = 0.03). On
multivariable analysis, LOR to a tumor necrosis factor (TNF) antagonist before
VDZ use was associated with an increased risk for LOR to VDZ [hazard ratio (HR)
1.93; 95% confidence interval (CI) 1.25-2.97] in all patients. For Crohn's
disease patients specifically, higher baseline C-reactive protein concentration
was associated with increased risk for LOR to VDZ (HR 1.01 per mg/dL increase,
95% CI 1.01-1.02). Shortening of VDZ infusion interval from 8 to every 4 or 6
weeks recaptured response in 49% and remission in 18% of patients. Conclusions:
LOR to a TNF antagonist before VDZ use and higher baseline C-reactive protein are
important predictors of VDZ LOR. Treatment response can be recaptured in almost
half of these patients with VDZ infusion interval shortening.
PMID- 29788237
TI - Diagnostic Yield of Next-Generation Sequencing in Very Early-Onset Inflammatory
Bowel Diseases: A Multicenter Study.
AB - Background and Aims: An expanding number of monogenic defects have been
identified as causative of severe forms of very early-onset inflammatory bowel
diseases (VEO-IBD). The present study aimed at defining how next-generation
sequencing (NGS) methods can be used to improve identification of known molecular
diagnosis and adapt treatment. Methods: 207 children were recruited in 45
Paediatric centres through an international collaborative network (ESPGHAN GENIUS
working group) with a clinical presentation of severe VEO-IBD (n=185) or an
anamnesis suggestive of a monogenic disorder (n=22). Patients were divided at
inclusion into three phenotypic subsets: predominantly small bowel inflammation,
colitis with perianal lesions, and colitis only. Methods to obtain molecular
diagnosis included functional tests followed by specific Sanger sequencing,
custom-made targeted NGS, and in selected cases whole exome sequencing (WES) of
parents-child trios. Genetic findings were validated clinically and/or
functionally. Results: Molecular diagnosis was achieved in 66/207 children (32%):
61% with small bowel inflammation, 39% with colitis and perianal lesions and 18%
with colitis only. Targeted NGS pinpointed gene mutations causative of atypical
presentations and identified large exonic copy number variations previously
missed by WES. Conclusions: Our results lead us to propose an optimised
diagnostic strategy to identify known monogenic causes of severe IBD.
PMID- 29788243
TI - Social support and the intimate partner violence victimization among adults from
six European countries.
AB - Background: Social support may buffer the negative effects of violence on
physical and mental health. Family medicine providers play an essential role in
identifying the available social support and intervening in intimate partner
violence (IPV). Objective: This study aimed at assessing the association between
social support and the IPV victimization among adults from six European
countries. Methods: This is a cross-sectional multi-centre study that included
individuals from Athens (Greece), Budapest (Hungary), London (UK), Ostersund
(Sweden), Porto (Portugal) and Stuttgart (Germany). Data collection was carried
out between September 2010 and May 2011. The sample consisted of 3496 adults aged
18-64 years randomly selected from the general population in each city. The
revised Conflict Tactics Scales was used to assess IPV victimization. Social
support was assessed with the Multidimensional Scale of Perceived Social Support.
Results: Participants reporting physical assault victimization experienced lower
social support (mean +/- SD) than their counterparts, 66.1 +/- 13.96 versus 71.7
+/- 12.90, P < 0.001, for women; and 67.1 +/- 13.69 versus 69.5 +/- 13.52, P =
0.002 for men. Similar results were found regarding sexual coercion
victimization, 69.1 +/- 14.03 versus 71.3 +/- 12.97, P = 0.005 for women and 68.0
+/- 13.29 versus 69.3 +/- 13.62, P = 0.021 for men. This study revealed lower
levels of social support among participants reporting lifetime and past year
victimization, independent of demographic, social and health-related factors.
Conclusion: Results showed a statistically significant association between low
social support and IPV victimization. Although the specific mechanisms linking
social support with experiences of violence need further investigation, it seems
that both informal and formal networks may be associated with lower levels of
abusive situations.
PMID- 29788244
TI - Nonsynonymous Polymorphism in Guanine Monophosphate Synthetase Is a Risk Factor
for Unfavorable Thiopurine Metabolite Ratios in Patients With Inflammatory Bowel
Disease.
AB - Background: Up to 20% of patients with inflammatory bowel disease (IBD) who are
refractory to thiopurine therapy preferentially produce 6-methylmercaptopurine (6
MMP) at the expense of 6-thioguanine nucleotides (6-TGN), resulting in a high 6
MMP:6-TGN ratio (>20). The objective of this study was to evaluate whether
genetic variability in guanine monophosphate synthetase (GMPS) contributes to
preferential 6-MMP metabolizer phenotype. Methods: Exome sequencing was performed
in a cohort of IBD patients with 6-MMP:6-TGN ratios of >100 to identify
nonsynonymous single nucleotide polymorphisms (nsSNPs). In vitro assays were
performed to measure GMPS activity associated with these nsSNPs. Frequency of the
nsSNPs was measured in a cohort of 530 Caucasian IBD patients. Results: Two
nsSNPs in GMPS (rs747629729, rs61750370) were detected in 11 patients with very
high 6-MMP:6-TGN ratios. The 2 nsSNPs were predicted to be damaging by in silico
analysis. In vitro assays demonstrated that both nsSNPs resulted in a significant
reduction in GMPS activity (P < 0.05). The SNP rs61750370 was significantly
associated with 6-MMP:6-TGN ratios >=100 (odds ratio, 5.64; 95% confidence
interval, 1.01-25.12; P < 0.031) in a subset of 264 Caucasian IBD patients.
Conclusions: The GMPS SNP rs61750370 may be a reliable risk factor for extreme
6MMP preferential metabolism.
PMID- 29788245
TI - Implementation science in low-resource settings: using the interactive systems
framework to improve hand hygiene in a tertiary hospital in Ghana.
AB - Quality issue: Low-resource clinical settings often face obstacles that challenge
the implementation of recommended evidence-based practices (EBPs). Implementation
science approaches are useful in identifying barriers and developing strategies
to address them. Initial assessment: Ridge Regional Hospital (RRH), a tertiary
referral hospital in Accra, Ghana experienced a spike in rates of neonatal sepsis
and launched a quality improvement (QI) initiative that identified poor adherence
to hand hygiene in the neonatal intensive care unit as a potential source of
infections. Choice of solution: A multi-modal change package of World Health
Organization-recommended solutions was created to address this issue.
Implementation: To ensure that the outputs of the QI effort were adopted within
the organization, leaders at RRH and Kybele, Inc. used an implementation science
framework called the 'Interactive Systems Framework for Dissemination and
Implementation' (ISF) to create a package of locally acceptable implementation
strategies. The ISF has never been used before to guide implementation in low
resource settings. Evaluation: Hand hygiene compliance rose from 67% to 92%
overall, including a 36% increase during the night shifts-a group of healthcare
workers with typically very low levels of compliance. Lessons learned: The
drastic improvement in adherence to hand hygiene suggests the potential value of
the joint use of QI and implementation science to promote the creation and
application of contextually appropriate EBPs in low-resource settings. Our
results also suggest that using an implementation framework such as the ISF could
rapidly increase the uptake of other evidence-based interventions in low-resource
settings.
PMID- 29788247
TI - Letter to the Editor.
PMID- 29788248
TI - Respiratory Tract Infections in Patients With Inflammatory Bowel Disease: Safety
Analyses From Vedolizumab Clinical Trials.
AB - Background and Aims: Vedolizumab, a humanised monoclonal antibody for the
treatment of inflammatory bowel disease, selectively blocks gut lymphocyte
trafficking. This may reduce the risk of respiratory tract infections [RTIs]
compared with systemic immunosuppressive therapies. To assess this possibility,
we evaluated the rates of RTIs in clinical trials of vedolizumab. Methods:
Patient-level data from Phase 3 randomised controlled trials [RCTs] of
vedolizumab in ulcerative colitis [UC; GEMINI 1] and Crohn's disease [CD; GEMINI
2], and a long-term safety study [UC and CD] were pooled. Cox proportional
hazards models were used to estimate the incidence of upper RTIs [URTIs] and
lower RTIs [LRTIs] with adjustment for significant covariates. Results: In the
RCTs [n = 1731 patients], the incidence of URTIs was numerically higher in
patients receiving vedolizumab compared with those receiving placebo, although
this difference was not statistically significant (38.7 vs 33.0 patients per 100
patient-years; hazard ratio [HR] 1.12; 95% confidence interval [CI]: 0.83-1.51; p
= 0.463). The rate of LRTIs, including pneumonia, was numerically lower in the
vedolizumab versus the placebo group: this difference was not statistically
significant (7.7 vs 8.5 per 100 patient-years [HR 0.85; 95% CI: 0.48-1.52; p =
0.585]). Both URTIs and LRTIs were more frequent in patients with CD compared
with UC. Most RTIs in patients receiving vedolizumab were not serious and did not
require treatment discontinuation. Conclusions: Vedolizumab therapy was not
associated with an increased incidence of respiratory tract infection compared
with placebo.
PMID- 29788249
TI - Nutritionally Variant Streptococci Infective Endocarditis: A Different View.
PMID- 29788246
TI - Hypertension Induced Morphological and Physiological Changes in Cells of the
Arterial Wall.
AB - Morphological and physiological changes in the vasculature have been described in
the evolution and maintenance of hypertension. Hypertension-induced vascular
dysfunction may present itself as a contributing, or consequential factor, to
vascular remodeling caused by chronically elevated systemic arterial blood
pressure. Changes in all vessel layers, from the endothelium to the perivascular
adipose tissue (PVAT), have been described. This mini-review focuses on the
current knowledge of the structure and function of the vessel layers,
specifically muscular arteries: intima, media, adventitia, PVAT, and the cell
types harbored within each vessel layer. The contributions of each cell type to
vessel homeostasis and pathophysiological development of hypertension will be
highlighted.
PMID- 29788251
TI - Corrigendum.
PMID- 29788250
TI - Comparative Genomics Reveals Thousands of Novel Chemosensory Genes and Massive
Changes in Chemoreceptor Repertories across Chelicerates.
AB - Chemoreception is a widespread biological function that is essential for the
survival, reproduction, and social communication of animals. Though the molecular
mechanisms underlying chemoreception are relatively well known in insects, they
are poorly studied in the other major arthropod lineages. Current availability of
a number of chelicerate genomes constitutes a great opportunity to better
characterize gene families involved in this important function in a lineage that
emerged and colonized land independently of insects. At the same time, that
offers new opportunities and challenges for the study of this interesting animal
branch in many translational research areas. Here, we have performed a
comprehensive comparative genomics study that explicitly considers the high
fragmentation of available draft genomes and that for the first time included
complete genome data that cover most of the chelicerate diversity. Our exhaustive
searches exposed thousands of previously uncharacterized chemosensory sequences,
most of them encoding members of the gustatory and ionotropic receptor families.
The phylogenetic and gene turnover analyses of these sequences indicated that the
whole-genome duplication events proposed for this subphylum would not explain the
differences in the number of chemoreceptors observed across species. A constant
and prolonged gene birth and death process, altered by episodic bursts of gene
duplication yielding lineage-specific expansions, has contributed significantly
to the extant chemosensory diversity in this group of animals. This study also
provides valuable insights into the origin and functional diversification of
other relevant chemosensory gene families different from receptors, such as
odorant-binding proteins and other related molecules.
PMID- 29788252
TI - Translation: The Universal Structural Core of Life.
AB - The Universal Gene Set of Life (UGSL) is common to genomes of all extant
organisms. The UGSL is small, consisting of <100 genes, and is dominated by genes
encoding the translation system. Here we extend the search for biological
universality to three dimensions. We characterize and quantitate the universality
of structure of macromolecules that are common to all of life. We determine that
around 90% of prokaryotic ribosomal RNA (rRNA) forms a common core, which is the
structural and functional foundation of rRNAs of all cytoplasmic ribosomes. We
have established a database, which we call the Sparse and Efficient
Representation of the Extant Biology (the SEREB database). This database contains
complete and cross-validated rRNA sequences of species chosen, as far as
possible, to sparsely and efficiently sample all known phyla. Atomic-resolution
structures of ribosomes provide data for structural comparison and validation of
sequence-based models. We developed a similarity statistic called pairing
adjusted sequence entropy, which characterizes paired nucleotides by their
adherence to covariation and unpaired nucleotides by conventional conservation of
identity. For canonically paired nucleotides the unit of structure is the
nucleotide pair. For unpaired nucleotides, the unit of structure is the
nucleotide. By quantitatively defining the common core of rRNA, we systematize
the conservation and divergence of the translational system across the tree of
life, and can begin to understand the unique evolutionary pressures that cause
its universality. We explore the relationship between ribosomal size and
diversity, geological time, and organismal complexity.
PMID- 29788254
TI - Edith + Eddie.
PMID- 29788253
TI - Effectiveness of a Blended Physical Therapist Intervention in People With Hip
Osteoarthritis, Knee Osteoarthritis, or Both: A Cluster-Randomized Controlled
Trial.
AB - Background: Integrating physical therapy sessions and an online application (e
Exercise) might support people with hip osteoarthritis (OA), knee OA, or both
(hip/knee OA) in taking an active role in the management of their chronic
condition and may reduce the number of physical therapy sessions. Objective: The
objective of this study was to investigate the short- and long-term effectiveness
of e-Exercise compared to usual physical therapy in people with hip/knee OA.
Design: The design was a prospective, single-blind, multicenter, superiority,
cluster- randomized controlled trial. Setting: The setting included 143 primary
care physical therapist practices. Participants: The participants were 208 people
who had hip/knee OA and were 40 to 80 years of age. Intervention: e-Exercise is a
3-month intervention in which about 5 face-to-face physical therapy sessions were
integrated with an online application consisting of graded activity, exercise,
and information modules. Usual physical therapy was conducted according to the
Dutch physical therapy guidelines on hip and knee OA. Measurements: Primary
outcomes, measured at baseline after 3 and 12 months, were physical functioning
and free-living physical activity. Secondary outcome measures were pain,
tiredness, quality of life, self-efficacy, and the number of physical therapy
sessions. Results: The e-Exercise group (n = 109) received, on average, 5 face-to
face sessions; the usual physical therapy group (n = 99) received 12. No
significant differences in primary outcomes between the e-Exercise group and the
usual physical therapy group were found. Within-group analyses for both groups
showed a significant improvement in physical functioning. After 3 months,
participants in the e-Exercise group reported an increase in physical activity;
however, no objectively measured differences in physical activity were found.
With respect to secondary outcomes, after 12 months, sedentary behavior
significantly increased in the e-Exercise group compared with the usual physical
therapy group. In both groups, there were significant improvements for pain,
tiredness, quality of life, and self-efficacy. Limitations: The response rate at
12 months was 65%. Conclusions: The blended intervention, e-Exercise, was not
more effective than usual physical therapy in people with hip/knee OA.
PMID- 29788255
TI - Reproductive Hormones and Subclinical Cardiovascular Disease in Midlife Women.
AB - Context: Reproductive hormones are important to the pathophysiology of
cardiovascular disease (CVD) in women. However, standard estradiol (E2) and
testosterone (T) assays lack sensitivity at the levels of postmenopausal women.
Objective: Investigate relations of mass spectrometry-assessed estrone (E1), E2,
and T and SHBG and subclinical CVD in women. Design, Setting, and Participants:
Three hundred and four perimenopausal and postmenopausal women aged 40 to 60
years underwent subclinical CVD measurements. E1, E2, and T were assayed using
liquid chromatography-tandem mass spectrometry; free T (FT) was estimated using
ensemble allostery models. Regression models were adjusted for CVD risk factors.
Main Outcome Measures: Carotid artery intima media thickness, interadventitial
diameter (IAD), and plaque; brachial flow mediated dilation (FMD). Results:
Higher E1 was related to higher FMD [beta(SE) = 0.77 (0.37), P = 0.04],
indicating better endothelial function. Higher E2 was related to lower IAD
[beta(SE) = -0.07 (0.02), P = 0.004], indicating less carotid remodeling. Higher
SHBG was related to higher FMD [beta(SE) = 1.31 (0.40), P = 0.001], yet higher
IAD [beta(SE) = 0.15 (0.06), P = 0.02] and plaque [OR (95% CI) = 1.84 (1.16 to
2.91), P = 0.009]; FT showed a similar yet inverse pattern of relations as SHBG.
Thus, higher SHBG and lower FT were associated with better endothelial function,
yet greater carotid remodeling and plaque. Conclusions: Endogenous E1 levels were
related to endothelial function and E2 to vascular remodeling, suggesting
distinct roles of these estrogens. SHBG and FT have complex roles depending on
the vessel under study.
PMID- 29788256
TI - Distinct HLA associations of LGI1 and CASPR2-antibody diseases.
AB - The recent biochemical distinction between antibodies against leucine-rich,
glioma-inactivated-1 (LGI1), contactin-associated protein-2 (CASPR2) and
intracellular epitopes of voltage-gated potassium-channels (VGKCs) demands
aetiological explanations. Given established associations between human leucocyte
antigen (HLA) alleles and adverse drug reactions, and our clinical observation of
frequent adverse drugs reactions in patients with LGI1 antibodies, we compared
HLA alleles between healthy controls (n = 5553) and 111 Caucasian patients with
VGKC-complex autoantibodies. In patients with LGI1 antibodies (n = 68), HLA
DRB1*07:01 was strongly represented [odds ratio = 27.6 (95% confidence interval
12.9-72.2), P = 4.1 * 10-26]. In contrast, patients with CASPR2 antibodies (n =
31) showed over-representation of HLA-DRB1*11:01 [odds ratio = 9.4 (95%
confidence interval 4.6-19.3), P = 5.7 * 10-6]. Other allelic associations for
patients with LGI1 antibodies reflected linkage, and significant haplotypic
associations included HLA-DRB1*07:01-DQA1*02:01-DQB1*02:02, by comparison to
DRB1*11:01-DQA1*05:01-DQB1*03:01 in CASPR2-antibody patients. Conditional
analysis in LGI1-antibody patients resolved further independent class I and II
associations. By comparison, patients with both LGI1 and CASPR2 antibodies (n =
3) carried yet another complement of HLA variants, and patients with
intracellular VGKC antibodies (n = 9) lacked significant HLA associations. Within
LGI1- or CASPR2-antibody patients, HLA associations did not correlate with
clinical features. In silico predictions identified unique CASPR2- and LGI1
derived peptides potentially presented by the respective over-represented HLA
molecules. These highly significant HLA associations dichotomize the underlying
immunology in patients with LGI1 or CASPR2 antibodies, and inform T cell
specificities and cellular interactions at disease initiation.
PMID- 29788258
TI - Is the plasma aldosterone-to-renin ratio associated with blood pressure response
to treatment in general practice?
AB - Background: Individualized antihypertensive treatment based on specific
biomarkers such as renin may lead to more effective blood pressure control in
patients with newly diagnosed essential hypertension. Recent studies suggested
that the plasma aldosterone-to-renin ratio (ARR) may also be a candidate
predictor for this purpose. Objective: To assess whether the ARR is associated
with the blood pressure response to antihypertensive treatment in patients with
newly diagnosed hypertension. Methods: In this prospective cohort study in
primary care, we determined the ARR in patients with newly diagnosed hypertension
prior to starting treatment. Treatment was categorized in five groups: no
medication, use of angiotensin-converting-enzyme inhibitor or angiotensin
receptor blocker, use of calcium channel blocker, use of diuretic, or use of beta
blocker. We examined the relation between the ARR and blood pressure response
within 1 year of treatment, taking into account the type of antihypertensive
treatment and adjusting for gender, age, baseline blood pressure, and
comorbidity. Results: Out of 304 patients, we used 947 measurements (727 no
medication, 220 medication) for analysis. There was no association between the
ARR and the response in blood pressure, and this applied to each treatment group.
Target blood pressure, defined as systolic blood pressure <140 mmHg, was reached
in 31% of patients. There was no association between the ARR and reaching target
blood pressure (OR 1.002, 95% CI 0.983-1.022). Conclusion: The ARR is not
associated with the response in blood pressure within 1 year of antihypertensive
treatment in primary care.
PMID- 29788260
TI - Impact of Obesity on Short- and Intermediate-Term Outcomes in Inflammatory Bowel
Diseases: Pooled Analysis of Placebo Arms of Infliximab Clinical Trials.
AB - Background and aims: To assess whether obesity may affect natural history of
inflammatory bowel diseases (IBD), we conducted an individual participant data
(IPD) pooled analysis of placebo arms, using data from clinical trials of
infliximab in IBD and using the Yale Open Data Access (YODA) Project. Methods: We
obtained IPD from 4 placebo-controlled trials of infliximab in adults with IBD
(ACCENT-I and ACCENT-II; ACT-1 and ACT-2). Patients were categorized into
quartiles based on body mass index (BMI) or weight at time of trial entry.
Primary outcome was clinical remission (Crohn's disease activity index
[CDAI]<150, Mayo Clinic Score <3); secondary outcomes were clinical response and
mucosal healing. Using multivariable logistic regression analysis, we compared
association between quartiles of BMI (or weight) and achieving remission, after
adjusting for sex, smoking, disease activity, and concomitant prednisone or
immunomodulators. Results: We included 575 placebo-treated patients (mean age 38
years, 51.6% males, 16% obese). Obesity was not associated with odds of achieving
clinical remission (Q4 vs Q1: adjusted OR, 1.36; 95% CI, 0.65-2.89; P-value for
trend = 0.57), clinical response (Q4 vs Q1: adjusted OR, 1.31; 95% CI, 0.61-2.81;
P = 0.45), or mucosal healing remission (Q4 vs Q1: adjusted OR, 0.55; 95% CI,
0.12-2.34; P = 0.31). These results were consistent across strata based on
disease type (CD and ulcerative colitis) and trial design (induction and
maintenance therapy). Conclusions: Based on IPD pooled analysis of placebo arms,
obesity does not significantly impact short- and intermediate-term clinical
outcomes in patients with IBD. The impact of obesity on long-term patient
important outcomes like surgery and hospitalization merits evaluation.
PMID- 29788257
TI - Molecular modularity and asymmetry of the molluscan mantle revealed by a gene
expression atlas.
AB - Background: Conchiferan molluscs construct a biocalcified shell that likely
supported much of their evolutionary success. However, beyond broad proteomic and
transcriptomic surveys of molluscan shells and the shell-forming mantle tissue,
little is known of the spatial and ontogenetic regulation of shell fabrication.
In addition, most efforts have been focused on species that deposit nacre, which
is at odds with the majority of conchiferan species that fabricate shells using a
crossed-lamellar microstructure, sensu lato. Results: By combining proteomic and
transcriptomic sequencing with in situ hybridization we have identified a suite
of gene products associated with the production of the crossed-lamellar shell in
Lymnaea stagnalis. With this spatial expression data we are able to generate
novel hypotheses of how the adult mantle tissue coordinates the deposition of the
calcified shell. These hypotheses include functional roles for unusual and
otherwise difficult-to-study proteins such as those containing repetitive low
complexity domains. The spatial expression readouts of shell-forming genes also
reveal cryptic patterns of asymmetry and modularity in the shell-forming cells of
larvae and adult mantle tissue. Conclusions: This molecular modularity of the
shell-forming mantle tissue hints at intimate associations between structure,
function, and evolvability and may provide an elegant explanation for the
evolutionary success of the second largest phylum among the Metazoa.
PMID- 29788259
TI - Lifetime Smoking History and Risk of Lung Cancer: Results From the Framingham
Heart Study.
AB - Background: The relative risk of lung cancer decreases with years since quitting
(YSQ) smoking, but risk beyond 25 YSQ remains unclear. Current lung cancer
screening guidelines, which exclude smokers with more than 15 YSQ, may not detect
lung cancers in this population. Methods: We analyzed data from Framingham Heart
Study Original (n = 3905) and Offspring cohort (n = 5002) participants for
lifetime smoking and lung cancer incidence from 1954 to 1958 (Exam 4) and 1971 to
1975 (Exam 1), respectively, through 2013. We used multivariable-adjusted Cox
proportional hazards regression models to compare current, former, and never
smokers and lung cancer risk. Smoking status and covariates were time-updated
every two years (Original) or four years (Offspring). Primary analyses were
restricted to heavy ever smokers with more than 21.3 pack-years; additional
analyses included all ever smokers. Results: On follow-up (median = 28.7 years),
284 lung cancers were detected: incidence rates/1000 person-years in current,
former, and never smokers were 1.97 (95% confidence interval [CI] = 1.66 to
2.33), 1.61 (95% CI = 1.34 to 1.93), and 0.26 (95% CI = 0.17 to 0.39),
respectively. Heavy former (vs never) smokers had elevated lung cancer risk at
all YSQ (<5: hazard ratio [HR] = 12.12, 95% CI = 6.94 to 21.17; 5-9: HR = 11.77,
95% CI = 6.78 to 20.45; 10-14: HR = 7.81, 95% CI = 3.98 to 15.33; 15-24: HR =
5.88, 95% CI = 3.19-10.83; >=25: HR = 3.85, 95% CI = 1.80 to 8.26). Heavy former
(vs current) smokers had 39.1% lower lung cancer risk within five YSQ. Among all
former smokers, 40.8% of lung cancers occurred after more than 15 YSQ.
Conclusions: Among heavy former smokers, lung cancer risk drops within five YSQ
relative to continuing smokers, yet it remains more than threefold higher than
never smokers after 25 YSQ. Four of ten lung cancers occurred in former smokers
with more 15 YSQ, beyond the screening window of the current guideline.
PMID- 29788261
TI - Behavior Change Techniques Used in Digital Behavior Change Interventions to
Reduce Excessive Alcohol Consumption: A Meta-regression.
AB - Background: Digital behavior change interventions (DBCIs) appear to reduce
alcohol consumption, but greater understanding is needed of their mechanisms of
action. Purpose: To describe the behavior change techniques (BCTs) used in DBCIs
and examine whether individual BCTs, the inclusion of more BCTs or more Control
Theory congruent BCTs is associated with increased effectiveness. Methods: Forty
one randomized control trials were extracted from a Cochrane review of alcohol
reduction DBCIs and coded for up to 93 BCTs using an established and reliable
method. Random effects unadjusted and adjusted meta-regression models were
performed to assess associations between BCTs and intervention effectiveness.
Results: Interventions used a mean of 9.1 BCTs (range 1-22), 23 different BCTs
were used in four or more trials. Trials that used "Behavior substitution" (
95.112 grams per week [gpw], 95% CI: -162.90, -27.34), "Problem solving" (-45.92
gpw, 95% CI: -90.97, -0.87) and "Credible source" (-32.09 gpw, 95% CI: -60.64,
3.55) were significantly associated with greater alcohol reduction than trials
without these BCTs. The "Behavior substitution" result should be treated as
preliminary because it was reported in only four trials, three of which were
conducted by the same research group. "Feedback" was used in 98% of trials (n =
41); other Control Theory congruent BCTs were used less frequently: for example,
"Goal setting" 43% (n = 18) and "Self-monitoring" 29%, (n = 12). Conclusions:
"Behavior substitution," "Problem solving," and "Credible source" were associated
with greater alcohol reduction. Many BCTs were used infrequently in DBCIs,
including BCTs with evidence of effectiveness in other domains, such as "Self
monitoring" and "Goal setting."
PMID- 29788262
TI - 6-Thioguanine Nucleotide Levels Are Associated With Mucosal Healing in Patients
With Crohn's Disease.
AB - Background: Level of 6-thioguanine nucleotides (6-TGN) has been reported to be
associated with clinical remission in patients with Crohn's disease (CD)
receiving maintenance treatment with thiopurines. Whether 6-TGN levels are
associated with mucosal healing (MH) has seldom been investigated. We aimed to
assess the correlation between 6-TGN levels and MH in patients with CD. Methods:
This was a retrospective, cross-sectional, observational, multicenter study of
119 patients with CD treated with thiopurines in 3 inflammatory bowel disease
referral centers (France, Australia, and China) between June 2012 and April 2016.
Established CD patients who underwent ileocolonoscopy during thiopurine treatment
were included. MH was defined as simple endoscopic score-CD <3. Univariate and
multivariable regression analyses were used to evaluate variables associated with
MH. Results: The mean concentration of 6-TGN in the MH group was higher compared
with that in the non-MH group (359.0 +/- 226.7 pmol/8 * 108 red blood cell count
[RBC] vs 277.1 +/- 170.5 pmol/8 * 108 RBC; P = 0.017). The cutoff 6-TGN
concentration of 397.3 pmol/8 * 108 RBC was 86.7% specific to MH, with a
sensitivity of 35.3% and area under curve (AUC) of 0.631 (P = 0.010). On
multivariable analysis, 6-TGN levels were associated with MH (odds ratio [OR],
3.287; 95% confidence interval [CI], 1.348-8.017; P = 0.009) whereas late
initiation of AZA (longer duration from disease onset) was inversely associated
with MH (OR, 0.972; 95% CI, 0.954-0.991; P = 0.004). Conclusions: Higher 6-TGN
levels are independently associated with a reduced rate of endoscopically active
disease and a higher rate of mucosal healing in CD patients. Prospective studies
of adequate sample size are required to confirm these findings.
PMID- 29788263
TI - Temporal course of vascular healing and neoatherosclerosis after implantation of
durable- or biodegradable-polymer drug-eluting stents.
AB - Aims: Delayed healing and endothelial dysfunction may occur with drug-eluting
stents (DES), promoting accelerated infiltration of lipids in the neointima and
development of neoatherosclerosis (NA). Pathology data suggest durable polymer
(DP) of DES to play a major role in this process. Whether biodegradable polymer
(BP) may address these issues is uncertain. We compared in vivo vessel healing
and NA of current generation BP- or DP-DES using serial optical coherence
tomography (OCT) assessments. Methods and results: Ninety patients with
multivessel coronary artery disease were randomized 1:1 to BP everolimus-eluting
stents (EES, Synergy) or DP zotarolimus-eluting stents (ZES, Resolute Integrity).
Co-primary endpoints were the maximum length of uncovered struts at 3 months
(powered for non-inferiority) and the percentage of patients presenting with
frames of NA at 18 months (powered for superiority) as measured by OCT. The
maximum length of uncovered struts at 3 months was 10 +/- 8 mm in the BP-EES
group and 11 +/- 7 mm in the DP-ZES group (mean difference -1 mm; upper 97.5%
confidence interval +2 mm; P = 0.05 for non-inferiority; P = 0.45 for
superiority). The percentage of patients presenting with frames of NA at 18
months was low and similar between BP-EES and DP-ZES groups (11.6% vs. 15.9%; P =
0.56). There was no stent thrombosis in both groups at 24 months. Conclusion: BP
EES and DP-ZES showed a similar healing response at 3 months and a low incidence
of NA at 18 months. Biocompatible polymers, regardless of whether they are
durable or biodegradable, may favourably impact the long-term vascular response
to current-generation DES.
PMID- 29788265
TI - Gene Expression Profile in Frontal Cortex in Sporadic Frontotemporal Lobar
Degeneration-TDP.
AB - Molecular alterations compromising key metabolic pathways are poorly understood
in sporadic frontotemporal lobar degeneration with TDP-43 pathology (sFTLD-TDP).
Whole-transcriptome array, RT-qPCR validation, gel electrophoresis, and Western
blotting, and mitochondrial electron transport chain (ETC) activity were
comparatively examined in frontal cortex (area 8) of 16 sFTLD-TDP cases and 14
controls. Assessment of 111 genes by RT-qPCR showed deregulation of 81 genes
linked to neurotransmission and synapses, neuronal architecture, cytoskeleton of
axons and dendrites, vesicle trafficking, purines, mitochondria, and energy
metabolism in sFTLD-TDP. Western blotting studies disclosed downregulation of
several mitochondrial subunits encoded by genomic DNA and MT-CO1 encoded by the
mitochondrial DNA. Mitochondrial ETC activity of complexes I, IV, and V was
decreased in sFTLD-TDP. These findings provide robust information about
downregulation of genes involved in vital biochemical pathways and in synaptic
neurotransmission which may help to increase understanding about the biochemical
substrates of clinical manifestations in sFTLD-TDP.
PMID- 29788264
TI - MPK-1/ERK pathway regulates DNA damage response during development through DAF
16/FOXO.
AB - Ultraviolet (UV) induces distorting lesions to the DNA that can lead to stalling
of the RNA polymerase II (RNAP II) and that are removed by transcription-coupled
nucleotide excision repair (TC-NER). In humans, mutations in the TC-NER genes CSA
and CSB lead to severe postnatal developmental defects in Cockayne syndrome
patients. In Caenorhabditis elegans, mutations in the TC-NER genes csa-1 and csb
1, lead to developmental growth arrest upon UV treatment. We conducted a genetic
suppressor screen in the nematode to identify mutations that could suppress the
developmental defects in csb-1 mutants. We found that mutations in the ERK1/2 MAP
kinase mpk-1 alleviate the developmental retardation in TC-NER mutants, while
constitutive activation of the RAS-MAPK pathway exacerbates the DNA damage
induced growth arrest. We show that MPK-1 act via insulin/insulin-like signaling
pathway and regulates the FOXO transcription factor DAF-16 to mediate the
developmental DNA damage response.
PMID- 29788266
TI - Dietary Magnesium Alleviates Experimental Murine Colitis Through Upregulation of
the Transient Receptor Potential Melastatin 6 Channel.
AB - Background: Magnesium (Mg) is essential for human health and is absorbed mainly
in the intestine. In view of the likely occurrence of an Mg deficit in
inflammatory bowel disease (IBD) and the documented role of Mg in modulating
inflammation, the present study addresses whether Mg availability can affect the
onset and progression of intestinal inflammation. Methods: To study the
correlation between Mg status and disease activity, we measured magnesemia by
atomic absorption spectroscopy in a cohort of IBD patients. The effects of
dietary Mg modulation were assessed in a murine model of dextran sodium sulfate
(DSS)-induced colitis by monitoring magnesemia, weight, fecal occult blood,
diarrhea, colon length, and histology. Expression of the transient receptor
potential melastatin (TRPM) 6 channel was assessed by real-time reverse
transcription polymerase chain reaction and immunohistochemistry in murine colon
tissues. The effect of Mg on epithelial barrier formation/repair was evaluated in
human colon cell lines. Results: Inflammatory bowel disease patients presented
with a substantial Mg deficit, and serum Mg levels were inversely correlated with
disease activity. In mice, an Mg-deficient diet caused hypomagnesemia and
aggravated DSS-induced colitis. Colitis severely compromised intestinal Mg2+
absorption due to mucosal damage and reduction in TRPM6 expression, but Mg
supplementation resulted in better restoration of mucosal integrity and channel
expression. Conclusions: Our results highlight the importance of evaluating and
correcting magnesemia in IBD patients. The murine model suggests that Mg
supplementation may represent a safe and cost-effective strategy to reduce
inflammation and restore normal mucosal function.
PMID- 29788268
TI - Serosurvey of West Nile virus in household-reared pigeons in Bauchi metropolis,
Nigeria.
AB - Background: In Nigeria not much is known about West Nile virus (WNV) in pigeons.
This study determined the involvement of household-reared pigeons in the
circulation of WNV in Nigeria. Methods: It was a cross-sectional study.
Serological detection was done using competitive enzyme-linked immunosorbent
assay and a pretested interviewer-administered questionnaire was used to collect
information on risk factors related to WNV in households. Results: From the156
households enumerated, 376 pigeon serum samples were collected and tested for
antibodies. A total of 3.5% (13/376) of the pigeon sera were positive. Risk
factors for WNV in households indicated that not having a blocked or stagnant
gutter that is not flowing, and having mosquito nets at the windows and doors
were found to be protective (OR=0.69, 95% CI, 0.21-2.29; OR=0.46, 95% CI, 0.14
1.56). Conclusions: Household-reared pigeons contribute to the epidemiology of
WNV. There is need for further studies in other species of birds, and education
of the populace about its zoonotic transmission.
PMID- 29788269
TI - Smoking and the Risk of Pouchitis in Ulcerative Colitis Patients With Ileal Pouch
Anal Anastomosis.
AB - Background: Ulcerative colitis (UC) patients who undergo proctocolectomy with
ileal pouch-anal anastomosis (IPAA) may develop pouchitis, a poorly understood
inflammatory condition. There is controversy over whether tobacco use can protect
against pouchitis. We undertook this investigation to further evaluate whether
smoking reduces the risk of developing pouchitis and to determine whether other
previously associated clinical factors change the risk for pouchitis. Methods: We
performed a retrospective analysis using a consented inflammatory bowel disease
(IBD) natural history registry between the years 1995-2015 from a single tertiary
care referral center. Demographic data, medical history, surgical information,
medication use, laboratory data, and smoking history were abstracted. Former
smokers had quit for at least 1 year. The primary end point was development of
pouchitis. Results: Of the 353 UC patients with IPAA in this study, 126 (35.6%)
developed pouchitis. Prior tobacco use (P < 0.0001) was more common in patients
who developed pouchitis. Former and active smokers were more likely to develop
pouchitis compared with those without a history of tobacco use (63.4% vs 27.3%
respectively, P < 0.001). There was no significant difference in active smoking
rate between those without pouchitis and the group that did develop pouchitis.
Multivariate analysis demonstrated that the only independent risk factor
associated with pouchitis was a history of tobacco use. Conclusions: These
results suggest that smoking cessation may increase the likelihood of developing
pouchitis in tobacco users with UC and IPAA, but active smoking does not seem to
be more effective in preventing this condition.
PMID- 29788271
TI - The Innate Immune System in the Gastrointestinal Tract: Role of Intraepithelial
Lymphocytes and Lamina Propria Innate Lymphoid Cells in Intestinal Inflammation.
AB - Background: The gastrointestinal tract harbors the largest microbiota load in the
human body, hence maintaining a delicate balance between immunity against
invading pathogens and tolerance toward commensal. Such immune equilibrium, or
intestinal homeostasis, is conducted by a tight regulation and cooperation of the
different branches of the immune system, including the innate and the adaptive
immune system. However, several factors affect this delicate equilibrium,
ultimately leading to gastrointestinal disorders including inflammatory bowel
disease. Therefore, here we decided to review the currently available information
about innate immunity lymphocyte subsets playing a role in intestinal
inflammation. Results: Intestinal innate lymphocytes are composed of
intraepithelial lymphocytes (IELs) and lamina propria innate lymphoid cells
(ILCs). While IELs can be divided into natural or induced, ILCs can be classified
into type 1, 2, or 3, resembling, respectively, the properties of TH1, TH2, or
TH17 adaptive lymphocytes. Noteworthy, the phenotype and function of both IELs
and ILCs are disrupted under inflammatory conditions, where they help to
exacerbate intestinal immune responses. Conclusions: The modulation of both IELs
and ILCs to control intestinal inflammatory responses represents a major
challenge, as they provide tight regulation among the epithelium, the microbiota,
and the adaptive immune system. An improved understanding of the innate immunity
mechanisms involved in gastrointestinal inflammation would therefore aid in the
diagnosis and further treatment of gastrointestinal inflammatory disorders.
PMID- 29788272
TI - Therapeutic Drug Monitoring With Ustekinumab and Vedolizumab in Inflammatory
Bowel Disease.
AB - In patients with Crohn's disease (CD) and ulcerative colitis (UC), the use of
therapeutic drug monitoring (TDM) with TNF-alpha antagonists has led to a
personalized approach to optimize treatment and has been shown to be cost
effective. The utility of this TDM-based personalized approach for novel biologic
agents, which target different inflammatory pathways, is unclear. Commercial
assays for ustekinumab (UST) and vedolizumab (VDZ) are available, but there is
little available guidance for clinicians regarding the use of TDM with these
drugs. Although there is limited evidence for definitive threshold concentrations
for UST and VDZ, this review highlights the available literature on the
pharmacokinetics of these medications, the association of clinical and endoscopic
outcomes with drug concentrations, and the clinical utility of TDM to guide
treatment decisions.
PMID- 29788270
TI - Determinants of Hearing Aid Use Among Older Americans With Hearing Loss.
AB - Background and Objectives: Hearing loss (HL) is common among older adults and is
associated with significant psychosocial, cognitive, and physical sequelae.
Hearing aids (HA) can help, but not all individuals with HL use them. This study
examines how social determinants may impact HA use. Research Design and Methods:
We conducted an explanatory sequential mixed methods study involving a secondary
analysis of a nationally representative data set, the Health and Retirement Study
(HRS; n = 35,572). This was followed up with 1:1 qualitative interviews (n = 21)
with community participants to clarify our findings. Both samples included
individuals aged 55 and older with a self-reported HL, with or without HA. The
main outcome measure was the proportion of participants with a self-reported HL
who use HA. Results and Discussion: Analysis of HRS data indicated that younger,
nonwhite, non-Hispanic, lower income, and less-educated individuals were
significantly less likely to use HA than their referent groups (all p values <
.001). Area of residence (e.g., urban) were not significantly associated with HA
use. Qualitative findings revealed barriers to HA included cost, stigma, vanity,
and a general low priority placed on addressing HL by health care providers.
Facilitators to obtaining and using HA included family/friend support, knowledge,
and adequate insurance coverage for HA. Implications: Many socioeconomic factors
hinder individuals' ability to obtain and use HA, but these obstacles appeared to
be mitigated in part when insurance plans provided adequate HA coverage, or when
their family/friends provided encouragement to use HA.
PMID- 29788267
TI - Ribosomal protein L14 contributes to the early assembly of 60S ribosomal subunits
in Saccharomyces cerevisiae.
AB - The contribution of most ribosomal proteins to ribosome synthesis has been quite
well analysed in Saccharomyces cerevisiae. However, few yeast ribosomal proteins
still await characterization. Herein, we show that L14, an essential 60S
ribosomal protein, assembles in the nucleolus at an early stage into pre-60S
particles. Depletion of L14 results in a deficit in 60S subunits and defective
processing of 27SA2 and 27SA3 to 27SB pre-rRNAs. As a result, 27S pre-rRNAs are
subjected to turnover and export of pre-60S particles is blocked. These
phenotypes likely appear as the direct consequence of the reduced pre-60S
particle association not only of L14 upon its depletion but also of a set of
neighboring ribosomal proteins located at the solvent interface of 60S subunits
and the adjacent region surrounding the polypeptide exit tunnel. These pre-60S
intermediates also lack some essential trans-acting factors required for 27SB pre
rRNA processing but accumulate practically all factors required for processing of
27SA3 pre-rRNA. We have also analysed the functional interaction between the
eukaryote-specific carboxy-terminal extensions of the neighboring L14 and L16
proteins. Our results indicate that removal of the most distal parts of these
extensions cause slight translation alterations in mature 60S subunits.
PMID- 29788273
TI - The patient safety culture: a systematic review by characteristics of Hospital
Survey on Patient Safety Culture dimensions.
AB - Purpose: To learn the weaknesses and strengths of safety culture as expressed by
the dimensions measured by the Hospital Survey on Patient Safety Culture (HSOPSC)
at hospitals in the various cultural contexts. The aim of this study was to
identify studies that have used the HSOPSC to collect data on safety culture at
hospitals; to survey their findings in the safety culture dimensions and possible
contributions to improving the quality and safety of hospital care. Data sources:
Medline (via PubMed), Web of Science and Scopus were searched from 2005 to July
2016 in English, Portuguese and Spanish. Study selection: Studies were identified
using specific search terms and inclusion criteria. A total of 33 articles,
reporting on 21 countries, was included. Data extraction: Scores were extracted
by patient safety culture dimensions assessed by the HSOPSC. The quality of the
studies was evaluated by the STROBE Statement. Results: The dimensions that
proved strongest were 'Teamwork within units' and 'Organisational learning
continuous improvement'. Particularly weak dimensions were 'Non-punitive response
to error', 'Staffing', 'Handoffs and transitions' and 'Teamwork across units'.
Conclusion: The studies revealed a predominance of hospital organisational
cultures that were underdeveloped or weak as regards patient safety. For them to
be effective, safety culture evaluation should be tied to strategies designed to
develop safety culture hospital-wide.
PMID- 29788276
TI - Proteomic analysis and prediction of amino acid variations that influence protein
posttranslational modifications.
AB - Accumulative studies have indicated that amino acid variations through changing
the type of residues of the target sites or key flanking residues could directly
or indirectly influence protein posttranslational modifications (PTMs) and bring
about a detrimental effect on protein function. Computational mutation analysis
can greatly narrow down the efforts on experimental work. To increase the
utilization of current computational resources, we first provide an overview of
computational prediction of amino acid variations that influence protein PTMs and
their functional analysis. We also discuss the challenges that are faced while
developing novel in silico approaches in the future. The development of better
methods for mutation analysis-related protein PTMs will help to facilitate the
development of personalized precision medicine.
PMID- 29788275
TI - Feasibility and effectiveness of laparoscopic transgastric stapler-assisted
circumferential esophageal mucosectomy and simultaneous fundoplication in a pig
model.
AB - Laparoscopic transgastric stapler-assisted mucosectomy (SAM) has been described
for minimally invasive circumferential en bloc resection of Barrett's esophagus
(BE). Conceivably long-term disease control might be achieved by adding
antireflux surgery after resection of BE by SAM. The aim of this study was to
assess the feasibility of combined SAM and fundoplication in one laparoscopic
procedure in six pigs. Furthermore, the competence of the gastroesophageal
junction (GEJ) was assessed at baseline, after SAM, and after subsequent
laparoscopic fundoplication. At each measuring point reflux measurements were
repeated 6 times in each pig. Blue-colored water was infused into the stomach to
provoke reflux. Intragastric yield pressure and volume were recorded until
drainage of blue solution (DBS) was noted. Time to reflux was measured by DBS and
by multichannel intraluminal impedance (MII). In all animals SAM followed by
laparoscopic fundoplication was feasible in a single session. A weakening of the
GEJ was found after SAM, indicated by decreased yield pressure (11.5 mmHg vs. 8.5
mmHg; P < 0.001), time to DBS (90 seconds vs. 60 seconds; P = 0.008) and MII (80
seconds vs. 33 seconds; P < 0.001). After additional Nissen fundoplication the
GEJ competence was restored, with measurements returning to baseline values (time
to DBS 99 seconds; P = 0.15; MII 76 seconds; P = 0.84). The yield pressure
increased from 11.5 mmHg at baseline to 19.7 mmHg after SAM and fundoplication (P
< 0.001). Laparoscopic fundoplication and SAM may be combined in a single
laparoscopic session. Although the GEJ was weakened after SAM, Nissen
fundoplication restored the GEJ as an effective reflux barrier in this
experiment. For clinical validation, the results need to be confirmed in a
prospective human trial.
PMID- 29788274
TI - Arabidopsis SKP1-like protein13 (ASK13) positively regulates seed germination and
seedling growth under abiotic stress.
AB - SKP1 (S-phase kinase-associated protein1) proteins are key members of the SCF
(SKP-cullin-F-box protein) E3 ligase complexes that ubiquitinate target proteins
and play diverse roles in plant biology. However, in comparison with other
members of the SCF complex, knowledge of SKP1-like proteins is very limited in
plants. In the present work, we report that Arabidopsis SKP1-like protein13
(ASK13) is differentially regulated in different organs during seed development
and germination and is up-regulated in response to abiotic stress. Yeast two
hybrid library screening and subsequent assessment of in vivo interactions
through bimolecular fluorescence complementation analysis revealed that ASK13 not
only interacts with F-box proteins but also with other proteins that are not
components of SCF complexes. Biochemical analysis demonstrated that ASK13 not
only exists as a monomer but also as a homo-oligomer or heteromer with other ASK
proteins. Functional analysis using ASK13 overexpression and knockdown lines
showed that ASK13 positively influences seed germination and seedling growth,
particularly under abiotic stress. Taken together, our data strongly suggest that
apart from participation to form SCF complexes, ASK13 interacts with several
other proteins and is implicated in different cellular processes distinct from
protein degradation.
PMID- 29788277
TI - Work-related ill-health in radiographers.
AB - Background: In the UK in 2015/16, 1.3 million workers self-reported a work
related illness (WRI) of which an estimated 41% were due to musculoskeletal
disorders (incidence rate 550 cases per 100000 people) and 37% were related to
stress, anxiety and depression. Little is known about the incidence of WRIs in
radiographers. Aims: To analyse the medically reported incidence of WRIs among
radiographers in the UK between 1989 and 2015. Methods: Incident cases reported
by physicians to The Health and Occupation Research (THOR) network through its
specialist schemes from 1989 to 2015 were analysed, using the Labour Force Survey
as denominator where appropriate. Results: In total, 218 cases (966 estimated
cases) were reported. Of these 190 were in women. The mean age was 40.2 (20-91
years) SD +/- 11.8 years. Most cases were reported to the Occupational Physicians
Reporting Activity (OPRA) scheme (n = 92). A skin diagnosis was the most
frequently reported (n = 77), followed by musculoskeletal (n = 60). Within the
EPIDERM scheme, radiographers had the highest incidence rate when compared to all
other occupations. Conclusions: Radiographers had a higher incidence of WRI
compared to all other occupations. The most frequently reported WRI was skin
conditions. The observed increase in incidence is likely to be due to the
increase in the number of radiographers over that time period, although there was
no evidence that WRI within radiographers are declining.
PMID- 29788278
TI - Prognostic value of left ventricular reverse remodelling and recurrent mitral
regurgitation after personalized surgical treatment of patients with non
ischaemic cardiomyopathy and functional mitral regurgitation.
AB - OBJECTIVES: The aim of this study was to determine the prevalence of left
ventricular reverse remodelling (LVRR) and recurrent mitral regurgitation (MR) at
mid-term follow-up (1-2 years after surgery) in patients after personalized
surgical treatment of heart failure and functional MR due to non-ischaemic
cardiomyopathy and to assess their prognostic impact on long-term clinical
outcomes. METHODS: Consecutive patients with refractory heart failure and non
ischaemic MR, who underwent mitral valve surgery with or without additional
procedures, were identified. Patients with complete preoperative and mid-term
echocardiographic data were included. LVRR (>=15% decrease in indexed left
ventricular end-systolic volume) and recurrent MR (>= Grade 2) were
echocardiographically assessed at mid-term follow-up, and the primary end point
was a composite of all-cause mortality and heart transplantation (HTx-free
survival). RESULTS: The prevalence of LVRR was 38%, and the prevalence of
recurrent MR was 20% at mid-term follow-up. The absence of LVRR and the presence
of recurrent MR-which were highly correlated-were significantly associated with
worse HTx-free survival. HTx-free survival 1 and 3 years after mid-term follow-up
were 100% and 88 +/- 6% in patients with LVRR (n = 29), 82 +/- 7% and 68 +/- 8%
in patients without LVRR and without recurrent MR (n = 34), and 49 +/- 14% and 33
+/- 13% in patients without LVRR and with recurrent MR (n = 14). CONCLUSIONS:
Patients with LVRR at mid-term follow-up showed favourable HTx-free survival,
whereas HTx-free survival was significantly worse in patients without LVRR and
without recurrent MR and extremely poor in patients without LVRR and with
recurrent MR. Close echocardiographic monitoring is warranted for timely
identification of this latter subgroup of patients, in order to re-evaluate
additional treatment options and improve their prognosis.
PMID- 29788281
TI - A Method for Cranial Nerve XI Silencing During Surgery of the Foramen Magnum
Region: Technical Case Report.
AB - BACKGROUND AND IMPORTANCE: Skull base surgery involves the microdissection and
intraoperative monitoring of cranial nerves, including cranial nerve XI (CN XI).
Manipulation of CN XI can evoke brisk trapezius contraction, which in turn may
disturb the surgical procedure and risk patient safety. Here we describe a method
for temporarily silencing CN XI via direct intraoperative application of 1%
lidocaine. CLINICAL PRESENTATION: A 41-yr-old woman presented with symptoms of
elevated intracranial pressure and obstructive hydrocephalus secondary to a
hemangioblastoma of the right cerebellar tonsil. A far-lateral suboccipital
craniotomy was performed for resection of the lesion. During the initial stages
of microdissection, vigorous trapezius contraction compromised the course of the
operation. Following exposure of the cranial and cervical portions of CN XI,
lidocaine was applied to the course of the exposed nerve. Within 3 min, trapezius
electromyography demonstrated neuromuscular silencing, and further manipulation
of CN XI did not cause shoulder movements. Approximately 30 min after lidocaine
application, trapezius contractions returned, and lidocaine was again applied to
re-silence CN XI. Gross total resection of the hemangioblastoma was performed
during periods of CN XI inactivation, when trapezius contractions were absent.
CONCLUSION: Direct application of lidocaine to CN XI temporarily silenced
neuromuscular activity and prevented unwanted trapezius contraction during skull
base microsurgery. This method improved operative safety and efficiency by
significantly reducing patient movement due to the unavoidable manipulation of CN
XI.
PMID- 29788282
TI - The pericardial tunnel technique might produce optimal morphological repair of
the scimitar syndrome.
PMID- 29788279
TI - Well-Annotated microRNAomes Do Not Evidence Pervasive miRNA Loss.
AB - microRNAs are conserved noncoding regulatory factors implicated in diverse
physiological and developmental processes in multicellular organisms, as causal
macroevolutionary agents and for phylogeny inference. However, the conservation
and phylogenetic utility of microRNAs has been questioned on evidence of
pervasive loss. Here, we show that apparent widespread losses are, largely, an
artefact of poorly sampled and annotated microRNAomes. Using a curated data set
of animal microRNAomes, we reject the view that miRNA families are never lost,
but they are rarely lost (92% are never lost). A small number of families account
for a majority of losses (1.7% of families account for >45% losses), and losses
are associated with lineages exhibiting phenotypic simplification. Phylogenetic
analyses based on the presence/absence of microRNA families among animal
lineages, and based on microRNA sequences among Osteichthyes, demonstrate the
power of these small data sets in phylogenetic inference. Perceptions of
widespread evolutionary loss of microRNA families are due to the uncritical use
of public archives corrupted by spurious microRNA annotations, and failure to
discriminate false absences that occur because of incomplete microRNAome
annotation.
PMID- 29788283
TI - Criteria for assessing the quality of mHealth apps: a systematic review.
AB - Objective: Review the existing studies including an assessment tool/method to
assess the quality of mHealth apps; extract their criteria; and provide a
classification of the collected criteria. Methods: In accordance with the PRISMA
statement, a literature search was conducted in MEDLINE, EMBase, ISI and Scopus
for English language citations published from January 1, 2008 to December 22,
2016 for studies including tools or methods for quality assessment of mHealth
apps. Two researchers screened the titles and abstracts of all retrieved
citations against the inclusion and exclusion criteria. The full text of relevant
papers was then individually examined by the same researchers. A senior
researcher resolved eventual disagreements and confirmed the relevance of all
included papers. The authors, date of publication, subject fields of target
mHealth apps, development method, and assessment criteria were extracted from
each paper. The extracted assessment criteria were then reviewed, compared, and
classified by an expert panel of two medical informatics specialists and two
health information management specialists. Results: Twenty-three papers were
included in the review. Thirty-eight main classes of assessment criteria were
identified. These were reorganized by expert panel into 7 main classes (Design,
Information/Content, Usability, Functionality, Ethical Issues, Security and
Privacy, and User-perceived value) with 37 sub-classes of criteria. Conclusions:
There is a wide heterogeneity in assessment criteria for mHealth apps. It is
necessary to define the exact meanings and degree of distinctness of each
criterion. This will help to improve the existing tools and may lead to achieve a
better comprehensive mHealth app assessment tool.
PMID- 29788284
TI - Military Service and Decision Quality in the Management of Knee Osteoarthritis.
AB - Background: Decision quality measures the degree to which care decisions are
knowledge-based and value-aligned. Because military service emphasizes hierarchy,
command, and mandates some healthcare decisions, military service may attenuate
patient autonomy in healthcare decisions and lower decision quality. VA is the
nation's largest provider of orthopedic care. We compared decision quality in a
sample of VA and non-VA patients seeking care for knee osteoarthritis. Methods:
Our study sample consisted of patients newly referred to our orthopedic clinic
for the management of knee osteoarthritis. None of the study patients were
exposed to a knee osteoarthritis decision aid. Consenting patients were
administered the Hip/Knee Decision Quality Instrument (HK-DQI). In addition, they
were surveyed about decision-making preferences and demographics. We compared
results to a non-VA cohort from our academic institution's arthroplasty database.
Results: The HK-DQI Knowledge Score was lower in the VA cohort (45%, SD = 22, n =
25) compared with the non-VA cohort (53%, SD = 21, n = 177) (p = 0.04). The
Concordance Score was lower in the VA cohort (36%, SD = 49%) compared with the
control cohort (70%, SD 46%) (p = 0.003). Non-VA patients were more likely to
make a high-quality decision (p = 0.05). Non-VA patients were more likely to
favor a shared decision-making process (p = 0.002). Conclusions: Decision quality
is lower in Veterans with knee osteoarthritis compared with civilians, placing
them at risk for lower treatment satisfaction and possibly unwarranted surgical
utilization. Our future work will examine if this difference is from conditioned
military service behaviors or confounding demographic factors, and if
conventional shared decision-making techniques will correct this deficiency.
PMID- 29788285
TI - Altered Asparagine and Glutamate Homeostasis Precede Coronary Artery Disease and
Type 2 Diabetes.
AB - Context: Type 2 diabetes mellitus (T2DM) is accompanied by an increased risk for
coronary artery disease (CAD), but the overlapping metabolic disturbances
preceding both diseases are insufficiently described. Objective: We hypothesized
that alterations in metabolism occur years before clinical manifestation of T2DM
and CAD and that these alterations are reflected in the plasma metabolome. We
thus aimed to identify plasma metabolites that predict future T2DM and CAD.
Design: Through use of targeted liquid chromatography-mass spectrometry, 35
plasma metabolites (amino acid metabolites and acylcarnitines) were quantified in
1049 individuals without CAD and diabetes, drawn from a population sample of 5386
in the Malmo Preventive Project (mean age, 69.5 years; 31% women). The sample
included 204 individuals who developed T2DM, 384 who developed CAD, and 496 who
remained T2DM and CAD free during a mean follow-up of 6.1 years. Results: In
total, 16 metabolites were significantly associated with risk for developing T2DM
according to logistic regression models. Glutamate (OR, 1.96; P = 5.4e-12) was
the most strongly associated metabolite, followed by increased levels of branched
chain amino acids. Incident CAD was predicted by three metabolites: glutamate
(OR, 1.28; P = 6.6e-4), histidine (OR, 0.76; P = 5.1e-4), and asparagine (OR,
0.80; P = 2.2e-3). Glutamate (OR, 1.48; P = 1.6e-8) and asparagine (OR, 0.75; P =
1.8e-5) were both associated with a composite endpoint of developing T2DM or CAD.
Conclusion: Several plasma metabolites were associated with incidence of T2DM and
CAD; elevated glutamate and reduced asparagine levels were associated with both
diseases. We thus discovered associations that might help shed additional light
on why T2DM and CAD commonly co-occur.
PMID- 29788280
TI - Genetic and environmental factors affecting birth size variation: a pooled
individual-based analysis of secular trends and global geographical differences
using 26 twin cohorts.
AB - Background: The genetic architecture of birth size may differ geographically and
over time. We examined differences in the genetic and environmental contributions
to birthweight, length and ponderal index (PI) across geographical-cultural
regions (Europe, North America and Australia, and East Asia) and across birth
cohorts, and how gestational age modifies these effects. Methods: Data from 26
twin cohorts in 16 countries including 57 613 monozygotic and dizygotic twin
pairs were pooled. Genetic and environmental variations of birth size were
estimated using genetic structural equation modelling. Results: The variance of
birthweight and length was predominantly explained by shared environmental
factors, whereas the variance of PI was explained both by shared and unique
environmental factors. Genetic variance contributing to birth size was small.
Adjusting for gestational age decreased the proportions of shared environmental
variance and increased the propositions of unique environmental variance. Genetic
variance was similar in the geographical-cultural regions, but shared
environmental variance was smaller in East Asia than in Europe and North America
and Australia. The total variance and shared environmental variance of birth
length and PI were greater from the birth cohort 1990-99 onwards compared with
the birth cohorts from 1970-79 to 1980-89. Conclusions: The contribution of
genetic factors to birth size is smaller than that of shared environmental
factors, which is partly explained by gestational age. Shared environmental
variances of birth length and PI were greater in the latest birth cohorts and
differed also across geographical-cultural regions. Shared environmental factors
are important when explaining differences in the variation of birth size globally
and over time.
PMID- 29788286
TI - Extracorporeal life support for primary graft dysfunction after heart
transplantation.
AB - OBJECTIVES: Survival after heart transplantation is steadily improving but
primary graft dysfunction (PGD) is still a leading cause of death. Medical
management seems useful in mild or moderate PGD, whereas extracorporeal life
support (ECLS) could be suggested for severe PGD refractory to conventional
treatment. Our aim is to present the results of ECLS for PGD after heart
transplantation at a single-centre experience. METHODS: We performed an
observational analysis of our local database. According to the International
Society for Heart and Lung Transplantation classification, patients were divided
into a left and biventricular failure (PGD-LV) or isolated right ventricular
failure (PGD-RV) group. The primary end point was survival to hospital discharge.
RESULTS: Between January 2010 and December 2016, 38 patients presented with PGD
(PGD-LV n = 22, 58%; PGD-RV n = 16, 42%) requiring ECLS support. The mean age was
50.8 +/- 12.4 years and 79% were males. Baseline characteristics were comparable
between the 2 groups. PGD-LV patients displayed a significantly higher mortality
rate on ECLS support as opposed to PGD-RV patients (46% vs 13%, P = 0.033). The
rate of complications during ECLS support was comparable between the 2 groups.
Twenty-three (61%) patients were successfully weaned from ECLS (PGD-LV = 50% vs
PGD-RV = 75%, P = 0.111) after a mean support of 9.0 +/- 6.4 days. Seventeen
(45%) patients survived to hospital discharge (PGD-LV = 41% vs PGD-RV = 50%, P =
0.410). CONCLUSIONS: In case of severe PGD with various manifestations of
ventricular failure refractory to conventional treatment, ECLS can be considered
as a feasible option with satisfactory survival in this critically ill
population.
PMID- 29788287
TI - Provider and patient satisfaction with the integration of ambulatory and hospital
EHR systems.
AB - Objective: The installation of EHR systems can disrupt operations at clinical
practice sites, but also lead to improvements in information availability. We
examined how the installation of an ambulatory EHR at OB/GYN practices and its
subsequent interface with an inpatient perinatal EHR affected providers'
satisfaction with the transmission of clinical information and patients' ratings
of their care experience. Methods: We collected data on provider satisfaction
through 4 survey rounds during the phased implementation of the EHR. Data on
patient satisfaction were drawn from Press Ganey surveys issued by the healthcare
network through a standard process. Using multivariable models, we determined how
provider satisfaction with information transmission and patient satisfaction with
their care experience changed as the EHR system allowed greater information flow
between OB/GYN practices and the hospital. Results: Outpatient OB/GYN providers
became more satisfied with their access to information from the inpatient
perinatal triage unit once system capabilities included automatic data flow from
triage back to the OB/GYN offices. Yet physicians were generally less satisfied
with how the EHR affected their work processes than other clinical and non
clinical staff. Patient satisfaction dropped after initial EHR installation, and
we find no evidence of increased satisfaction linked to system integration.
Conclusions: Dissatisfaction of providers with an EHR system and difficulties
incorporating EHR technology into patient care may negatively impact patient
satisfaction. Care must be taken during EHR implementations to maintain good
communication with patients while satisfying documentation requirements.
PMID- 29788288
TI - Food Exclusion Based on IgG Antibodies Alleviates Symptoms in Ulcerative Colitis:
A Prospective Study.
AB - Background: Most patients with ulcerative colitis (UC) rely predominantly on
medication for disease control. Diet interventions can reduce pharmaceutical
expenditures and prolong remission. We designed a prospective study to evaluate
whether an immunoglobulin G (IgG)-guided exclusion diet would improve symptoms
and quality of life (QoL) in patients with UC. Methods: The 6-month diet
intervention included 97 patients with UC, who were randomly divided into an
intervention group (n = 49) and a control (n = 48) group. Individual diet plans
were created for the intervention group according to IgG titers; the control
group ate a healthy diet as normal. Observational indices included disease
activity, extraintestinal manifestations, nutritional status, and QoL.
Relationships between food-specific IgG antibodies and these indices were also
analyzed. Results: At baseline, there were no significant differences between the
groups. Food-specific IgG antibodies were detected in 70.10% of participants.
After intervention, the Mayo score was significantly lower in the intervention
group than in the control group (2.41 +/- 0.89 vs 3.52 +/- 1.15, P < 0.05). The
number of patients with extraintestinal manifestations decreased from 7 to 2 in
the intervention group and from 6 to 5 in the control group. As for nutritive
indices, the intervention group had higher mean body mass index and albumin than
the control group (23.88 +/- 3.31 vs 21.50 +/- 6.24 kg/m2, respectively, P <
0.05; 48.05 +/- 6.39 vs 45.72 +/- 5.48 g/L, respectively, P < 0.05), whereas
prealbumin and transferrin were not significantly different between the groups.
QoL improved after food exclusion (P < 0.05). Conclusions: An IgG-guided
exclusion diet ameliorated UC symptoms and improved QoL. Interactions between IgG
based food intolerance and UC warrant further study.
PMID- 29788290
TI - BAGEL4: a user-friendly web server to thoroughly mine RiPPs and bacteriocins.
AB - Interest in secondary metabolites such as RiPPs (ribosomally synthesized and
posttranslationally modified peptides) is increasing worldwide. To facilitate the
research in this field we have updated our mining web server. BAGEL4 is faster
than its predecessor and is now fully independent from ORF-calling. Gene clusters
of interest are discovered using the core-peptide database and/or through HMM
motifs that are present in associated context genes. The databases used for
mining have been updated and extended with literature references and links to
UniProt and NCBI. Additionally, we have included automated promoter and
terminator prediction and the option to upload RNA expression data, which can be
displayed along with the identified clusters. Further improvements include the
annotation of the context genes, which is now based on a fast blast against the
prokaryote part of the UniRef90 database, and the improved web-BLAST feature that
dynamically loads structural data such as internal cross-linking from UniProt.
Overall BAGEL4 provides the user with more information through a user-friendly
web-interface which simplifies data evaluation. BAGEL4 is freely accessible at
http://bagel4.molgenrug.nl.
PMID- 29788289
TI - Sodium chloride decreases cadmium accumulation and changes the response of
metabolites to cadmium stress in the halophyte Carpobrotus rossii.
AB - Background and Aims: Salinity affects the bioavailability of cadmium (Cd) in
soils and Cd accumulation in plants, but the associated mechanisms remain
unclear. This study aimed to assess the metabolic response to NaCl and Cd and the
relationship between metabolites and Cd accumulation in the halophyte Carpobrotus
rossii, which has potential for Cd phytoextraction. Methods: Plants were grown in
nutrient solution with 0-400 mm NaCl in the presence of 5 or 15 um Cd, with
varied or constant solution Cd2+ activity. Plant growth and Cd uptake were
measured, and the accumulation of peptides, and organic and amino acids in plant
tissues were assessed. Key Results: The addition of NaCl to Cd-containing
solutions improved plant growth along with 70-87 % less shoot Cd accumulation,
resulting from decreases in Cd root uptake and root-to-shoot translocation
irrespective of Cd2+ activity in solutions. Moreover, Cd exposure increased the
concentration of phytochelatins, which correlated positively with Cd
concentrations in plants regardless of NaCl addition. In comparison, Cd inhibited
the synthesis of organic acids in shoots and roots in the absence of NaCl, but
increased it in shoots in the presence of NaCl. While Cd increased the
concentrations of amino acids in plant shoots, the effect of NaCl on the
synthesis of amino acids was inconsistent. Conclusions: Our data provide the
first evidence that NaCl decreased Cd shoot accumulation in C. rossii by
decreasing Cd root uptake and root-to-shoot translocation even under constant
Cd2+ activity. The present study also supports the important role of peptides and
organic acids, particular of phytochelatins, in Cd tolerance and accumulation
although the changes of those metabolites was not the main reason for the
decreased Cd accumulation.
PMID- 29788291
TI - CD1a-Expressing Monocytes as Mediators of Inflammation in Ulcerative Colitis.
AB - Background: CD1a-expressing CD14+ monocytes have been identified as inducers of
autoreactive T cells. In this study, the link between inflammatory and metabolic
signals and CD1a-expressing monocytes in vitro and in vivo was examined, and CD1a
was evaluated as a potential therapeutic target for treatment of ulcerative
colitis (UC). Methods: Peripheral blood mononuclear cells (PBMCs) from UC
patients and non-UC donors were incubated with phosphatidylcholine (PC) for 2 and
7 days and subjected to flow cytometric analysis. Triacylglycerol (TAG) and
cholesterol levels and frequencies of CD14+ CD1a+ monocytes were determined in a
mouse model of UC that is based on NOD/scid IL2Rgammanull mice reconstituted with
PBMCs from UC patients (NSG-UC). NSG-UC mice were treated with anti-CD1a
antibodies. Response to treatment was determined by clinical and histological
scores, flow cytometric analysis of human leucocytes from the spleen and colon,
and expression levels of TGFbeta1, HGF, IFNgamma, and TARC. Results: Incubation
of PBMCs with PC resulted in an increase of the frequency of CD1a+ CD14+
monocytes at the expense of CCR2-, CD86-, and TSLPR-expressing CD14+ monocytes.
CD1a+ CD14+ monocytes induced the activation of CD4+ T cells and differentiation
of Th cells. In vivo, TAG and cholesterol levels increased upon inflammation and
correlated positively with CD14+ CD1a+ monocytes. NSG-UC mice benefitted from
treatment with anti-CD1a antibodies, as indicated by a reduced histological score
and reduced frequencies of CD1a+ CD14+ monocytes in the colon and spleen of mice.
Conclusion: CD1a-expressing monocytes might act as sensors and mediators of
inflammation in UC. Mice benefitted from treatment with anti-CD1a antibodies.
PMID- 29788293
TI - The efficacy of social cognitive theory-based self-care intervention for rational
antibiotic use: a randomized trial.
AB - Background: Misuse of antibiotics can be described as a failure to complete
treatment, skipping of the doses and reuse of leftover medicines and overuse of
antibiotics. Health education interventions are expected to enhance awareness and
general belief on rational antibiotics use. Therefore, the study aimed to
determine the efficacy of social cognitive theory (SCT)-based self-care
intervention for rational antibiotic use. Methods: This randomized trial was
conducted in a sample of 260 adults. The study participants were randomly
assigned as the intervention (n=130) and a control (n=130) groups. The
intervention group received self-care educational intervention of four sessions
lasting 45-60 min augmented with the text messages and the control groups
attended usual education program in health centers. The study participants were
invited to complete questionnaires at the baseline and end of the intervention.
The data were analyzed using SPSS version 23.0. Chi-square (X2), independent t
test and covariance analysis were used for data analysis. P<0.05 was considered
statistically significant. Results: After the intervention, all SCT constructs
revealed significant differences in the intervention group compared with control
groups (P<0.001). Awareness and general beliefs of rational antibiotic use showed
a significant difference in intervention group before and after six months
(P<0.001) whereas in control group no significant differences (P>0.05).
Conclusion: The study suggested that tailored appropriate educational programs
based on SCT constructs can reflect a positive impact on appropriate antibiotics
use. Therefore, a tailored health promotion intervention should be provided to
enhance the awareness and general beliefs of the target groups.
PMID- 29788292
TI - Biological Processes Modulating Longevity across Primates: A Phylogenetic Genome
Phenome Analysis.
AB - Aging is a complex process affecting different species and individuals in
different ways. Comparing genetic variation across species with their aging
phenotypes will help understanding the molecular basis of aging and longevity.
Although most studies on aging have so far focused on short-lived model
organisms, recent comparisons of genomic, transcriptomic, and metabolomic data
across lineages with different lifespans are unveiling molecular signatures
associated with longevity. Here, we examine the relationship between genomic
variation and maximum lifespan across primate species. We used two different
approaches. First, we searched for parallel amino-acid mutations that co-occur
with increases in longevity across the primate linage. Twenty-five such amino
acid variants were identified, several of which have been previously reported by
studies with different experimental setups and in different model organisms. The
genes harboring these mutations are mainly enriched in functional categories such
as wound healing, blood coagulation, and cardiovascular disorders. We demonstrate
that these pathways are highly enriched for pleiotropic effects, as predicted by
the antagonistic pleiotropy theory of aging. A second approach was focused on
changes in rates of protein evolution across the primate phylogeny. Using the
phylogenetic generalized least squares, we show that some genes exhibit strong
correlations between their evolutionary rates and longevity-associated traits.
These include genes in the Sphingosine 1-phosphate pathway, PI3K signaling, and
the Thrombin/protease-activated receptor pathway, among other cardiovascular
processes. Together, these results shed light into human senescence patterns and
underscore the power of comparative genomics to identify pathways related to
aging and longevity.
PMID- 29788294
TI - Epigallocatechin-3-gallate protected vanadium-induced eggshell depigmentation via
P38MAPK-Nrf2/HO-1 signaling pathway in laying hens.
AB - It has been demonstrated that tea polyphenol (TP) epigallocatechin-3-gallate
(EGCG) can confer protection against vanadium (V) toxicity in laying hens;
however, our understanding of the molecular mechanisms beyond this effect are
still limited. In this study, 360 hens were randomly assigned to the 3 groups to
study whether the potential mechanism P38MAPK-Nrf2/HO-1 signaling pathway is
involved in the protective effect of EGCG on eggshell pigmentation in vanadium
challenged laying hens. Treatments included a control group, a 10 mg/kg V (V10),
and a V10 plus 130 mg/kg of EGCG group (V10+EGCG130). Both eggshell color and
protoporphyrin IX were decreased in the V10 group compared with the control diet,
while EGCG130 treatment partially improved shell color and protoporphyrin IX (P <
0.05). The V10 exposure induced higher cell apoptosis rate and oxidative stress
in birds as evidenced by the histological apoptosis status, decreased uterine
glutathione-S transferase (GST) and high abundance of malondialdehyde (MDA)
compared with the control group, whereas EGCG130 markedly alleviated oxidative
stress via reducing MDA generation (P < 0.05). Dietary vanadium reduced
ferrochelatase, NF-E2-related factor 2 (Nrf2), and heme oxygenase (HO-1) mRNA
expression, while EGCG up-regulated Nrf2 and HO-1 expression (P < 0.05). Protein
levels of Nrf2, HO-1 and phospho-p38 (P-P38) MAPK were reduced in V10 group,
while dietary supplementation with 130 mg/kg EGCG markedly increased Nrf2, HO-1
and P-P38 MAPK protein levels in the uterus compared with the V10 group (P <
0.01). In conclusion, EGCG improved eggshell color and antioxidant system in V10
challenged hens, which seems to be associated with P38MAPK-Nrf2/HO-1 signaling
pathway.
PMID- 29788296
TI - Comparison of C. difficile Stool Toxin Concentrations in Adults with Symptomatic
Infection and Asymptomatic Carriage using an Ultrasensitive Quantitative
Immunoassay.
AB - Background: We used an ultrasensitive, quantitative Single Molecule Array (Simoa)
immunoassay to test whether concentrations of C. difficile toxins A and/or B in
the stool of adult inpatients with CDI were higher than in asymptomatic carriers
of toxinogenic C. difficile. Methods: Patients enrolled as CDI-NAAT had
clinically significant diarrhea and positive nucleic acid amplification testing
(NAAT), per US guidelines, and received CDI treatment. Potential carriers had
recently received antibiotics and did not have diarrhea; positive NAAT confirmed
carriage. Baseline stool samples were tested by Simoa for toxin A and B. Results:
Stool toxin concentrations in both CDI-NAAT (n = 122) and Carrier-NAAT (n =44)
cohorts spanned five logs (0 pg/mL to >100,000 pg/mL). 79/122 (65%) CDI-NAAT and
34/44 (77%) Carrier-NAAT had toxin A+B concentration >20 pg/mL (clinical cutoff).
Median toxin A, toxin B, toxin A+B and NAAT Ct values in CDI-NAAT and Carrier
NAAT cohorts were similar (toxin A, 50.6 vs 60.0 pg/mL, p=0.959; toxin B, 89.5 vs
42.3 pg/mL, p=0.788; toxin A+B, 197.2 vs 137.3 pg/mL, p=0.766; Ct, 28.1 vs 28.6,
p=0.354). However, when CDI/Carrier cohorts were limited to those with detectable
toxin, respective medians were significantly different (A, 874.0 vs 129.7,
p=0.021; B, 1317.0 vs 81.7, p=0.003, A+B, 4180.7 vs 349.6, p=0.004; Ct, 25.8 vs
27.7, p=0.015). Conclusions: Toxin concentration did not differentiate an
individual with CDI from one with asymptomatic carriage. Median stool toxin
concentrations in groups with CDI versus carriage differed, but only when groups
were defined by detectable stool toxin (versus positive NAAT).
PMID- 29788297
TI - Influence of feeding direct-fed microbial supplementation on growth performance
and feeding behavior in naturally fed and conventionally fed finishing cattle
with different dietary adaptation periods.
AB - To determine the effects of finishing system (conventional vs. natural), dietary
adaptation length (14 vs. 28 d), and direct-fed microbial (DFM) supplementation
(no DFM vs. DFM) on growth performance and feeding behavior, 120 yearling steers
(390 +/- 2.8 kg) were used in a completely randomized design with a 2 * 2 * 2
factorial arrangement of treatments. Feed intake was monitored using the Insentec
feeding system. Blood samples were collected every 28 d. After 140 d on feed,
steers were slaughtered and carcass characteristics collected. Conventionally fed
steers had greater (P <= 0.001) final BW, carcass weight, and dressing %. Dry
matter intake was not influenced (P >= 0.31) by treatment. Length of dietary
adaptation period did not influence (P >= 016) final BW, ADG, and G:F. There was
a feeding system * DFM interaction (P <= 0.02) for ADG and G:F with
conventionally fed steers fed DFM having the greatest (P <= 0.05) and naturally
fed steers supplemented with DFM having the least (P <= 0.05) ADG and G:F. Number
of visits to the feed bunk and number of meals per day did not differ (P > 0.05)
among treatments. Time eating per visit and per meal was greater (P = 0.05) in
steers supplemented with DFM than in steers not supplemented with DFM. On day 56
and 140, plasma glucose concentration was greater (P <= 0.03) in steers adapted
in 14 d than in steers adapted in 28 d. On day 84, plasma glucose concentration
was greater (P = 0.02) in naturally fed compared to conventionally fed steers. On
day 112, there was a dietary adaptation period * DFM interaction (P = 0.004) for
plasma glucose concentration with glucose concentration greatest (P <= 0.05) in
steers adapted in 14 d supplemented with DFM and in steers adapted in 28 d not
supplemented with DFM, least for steers adapted in 28 d supplemented with DFM,
with steers adapted in 14 d not supplemented with DFM intermediate (P <= 0.05).
On day 112 and 140, plasma urea N concentration was greater (P <= 0.05) in steers
adapted in 28 d than in steers adapted in 14 d. These data indicate that
conventionally fed steers generally had improved growth performance compared to
naturally fed steers. Length of dietary adaptation and DFM supplementation had
minimal effects on growth performance but did interact with feeding system to
influence feeding behavior and blood metabolite concentrations.
PMID- 29788295
TI - Intrinsic Functional Connectivity Resembles Cortical Architecture at Various
Levels of Isoflurane Anesthesia.
AB - Cortical single neuron activity and local field potential patterns change at
different depths of general anesthesia. Here, we investigate the associated
network level changes of functional connectivity. We recorded ongoing
electrocorticographic (ECoG) activity from temporo-parieto-occipital cortex of 6
ferrets at various levels of isoflurane/nitrous oxide anesthesia and determined
functional connectivity by computing amplitude envelope correlations. Through
hierarchical clustering, we derived typical connectivity patterns corresponding
to light, intermediate and deep anesthesia. Generally, amplitude correlation
strength increased strongly with depth of anesthesia across all cortical areas
and frequency bands. This was accompanied, at the deepest level, by the emergence
of burst-suppression activity in the ECoG signal and a change of the spectrum of
the amplitude envelope. Normalization of functional connectivity to the
distribution of correlation coefficients showed that the topographical patterns
remained similar across depths of anesthesia, reflecting the functional
association of the underlying cortical areas. Thus, while strength and temporal
properties of amplitude co-modulation vary depending on the activity of local
neural circuits, their network-level interaction pattern is presumably most
strongly determined by the underlying structural connectivity.
PMID- 29788299
TI - Petar M. Seferovic new HFA President.
PMID- 29788298
TI - Cardiac diagnostic work-up of ischaemic stroke.
AB - Cardioembolic sources account for 20-30% of ischaemic strokes and are important
to identify considering their prognostic and therapeutic implications. During the
past years, new developments have been made in the cardiac diagnostic evaluation
and management of patients with ischaemic stroke, especially regarding strokes of
unknown aetiology. These recent advances have had a major impact on our
understanding of embolic strokes, their diagnostic work-up, and clinical
management. Herein, we propose a cardiac diagnostic work-up scheme for patients
with ischaemic stroke from definite cardioembolic sources and embolic strokes of
undetermined source.
PMID- 29788300
TI - Heart failure in Diabetic patients.
PMID- 29788301
TI - The Comprehensive Heart Failure Centre in Wurzburg, Germany.
PMID- 29788302
TI - John McMurray MD FESC.
PMID- 29788303
TI - Professor Stuart Cook and IL-11.
PMID- 29788304
TI - Measuring the unmeasurable: assessing the quality of science and scientists.
PMID- 29788305
TI - Mechanisms and outcomes of heart failure: from HFpEF, HFmrEF, and HFrEF to
transplantation.
PMID- 29788307
TI - US Black Women and Human Immunodeficiency Virus Prevention: Time for New
Approaches to Clinical Trials.
PMID- 29788306
TI - Pregnancy-Onset Inflammatory Bowel Disease: A Subtle Diagnosis.
AB - Background: Inflammatory bowel diseases (IBDs) are commonly diagnosed during the
reproductive years. IBD first manifested during pregnancy (pregnancy-onset IBD
[POIBD]) is still an undescribed entity. The aim of the study was to evaluate the
characteristics and maternal and neonatal outcomes of patients with POIBD.
Methods: Data of all pregnant women with IBD within a single multidisciplinary
referral clinic, IBD-MOM, between 2011-2016, were analyzed. Maternal and neonatal
characteristics and outcomes were compared between the POIBD group and those
diagnosed before pregnancy (non-POIBD). Results: We identified 237 women, 31
(15%) from the POIBD group and 206 (85%) from the non-POIBD group. Eight (3.5%)
patients experienced early spontaneous pregnancy loss, all in the non-POIBD
group. The POIBD diagnosis occurred in 16 (52%) patients during the first
trimester, 10 (32%) in second trimester, and 5 (16%) during third trimester.
Diagnosis of ulcerative colitis (UC) was significantly more common in the POIBD
group compared with the non-POIBD group (22/31, 71% vs 50/206, 24%, respectively,
P < 0.001). More UC than Crohn's disease patients had active disease during
pregnancy (69% vs 50%, P = 0.03, respectively). POIBD patients experienced
vaginal delivery in 100% of births, compared with 164 (79.6%) in the non-POIBD
group (P = 0.017). The mean gestational age at birth and the neonatal weight were
similar among the study groups; 38.6 weeks and 3040 g for POIBD patients,
compared with 38.7 weeks and 3055 g in the non-POIBD group. Conclusions: POIBD is
a unique clinical entity, and the diagnosis is mostly UC. However, the maternal
and neonatal outcomes are similar.
PMID- 29788308
TI - PheProb: probabilistic phenotyping using diagnosis codes to improve power for
genetic association studies.
AB - Objective: Standard approaches for large scale phenotypic screens using
electronic health record (EHR) data apply thresholds, such as >=2 diagnosis
codes, to define subjects as having a phenotype. However, the variation in the
accuracy of diagnosis codes can impair the power of such screens. Our objective
was to develop and evaluate an approach which converts diagnosis codes into a
probability of a phenotype (PheProb). We hypothesized that this alternate
approach for defining phenotypes would improve power for genetic association
studies. Methods: The PheProb approach employs unsupervised clustering to
separate patients into 2 groups based on diagnosis codes. Subjects are assigned a
probability of having the phenotype based on the number of diagnosis codes. This
approach was developed using simulated EHR data and tested in a real world EHR
cohort. In the latter, we tested the association between low density lipoprotein
cholesterol (LDL-C) genetic risk alleles known for association with
hyperlipidemia and hyperlipidemia codes (ICD-9 272.x). PheProb and thresholding
approaches were compared. Results: Among n = 1462 subjects in the real world EHR
cohort, the threshold-based p-values for association between the genetic risk
score (GRS) and hyperlipidemia were 0.126 (>=1 code), 0.123 (>=2 codes), and
0.142 (>=3 codes). The PheProb approach produced the expected significant
association between the GRS and hyperlipidemia: p = .001. Conclusions: PheProb
improves statistical power for association studies relative to standard
thresholding approaches by leveraging information about the phenotype in the
billing code counts. The PheProb approach has direct applications where efficient
approaches are required, such as in Phenome-Wide Association Studies.
PMID- 29788309
TI - Rethinking the outpatient medication list: increasing patient activation and
education while architecting for centralization and improved medication
reconciliation.
AB - Objective: Identify barriers impacting the time consuming and error fraught
process of medication reconciliation. Design and implement an electronic
medication management system where patient and trusted healthcare proxies can
participate in establishing and maintaining an inclusive and up-to-date list of
medications. Methods: A patient-facing electronic medication manager was deployed
within an existing research project focused on elder care management funded by
the AHRQ, InfoSAGE, allowing patients and patients' proxies the ability to build
and maintain an accurate and up-to-date medication list. Free and open-source
tools available from the U.S. government were used to embed the tenets of
centralization, interoperability, data federation, and patient activation into
the design. Results: Using patient-centered design and free, open-source tools,
we implemented a web and mobile enabled patient-facing medication manager for
complex medication management. Conclusions: Patient and caregiver participation
are essential to improve medication safety. Our medication manager is an early
step towards a patient-facing medication manager that has been designed with data
federation and interoperability in mind.
PMID- 29788310
TI - Trajectories of Aging among US Older Adults: Mixed Evidence for a Hispanic
Paradox.
AB - Objectives: A well-documented paradox is that Hispanics tend to live longer than
non-Hispanic Whites (NHW), despite structural disadvantages. We evaluate whether
the "Hispanic paradox" extends to more comprehensive longitudinal aging
classifications and examine how lifecourse factors relate to these groupings.
Methods: We used biennial data (1998-2014) on adults 65-years and older at
baseline from the Health and Retirement Study. We use joint latent class discrete
time and growth curve modeling to identify trajectories of aging, and multinomial
logit models to determine whether US-born (USB-H) and Foreign-born (FB-H)
Hispanics experience healthier styles of aging than non-Hispanic Whites (NHW),
and test how lifecycle factors influence this relationship. Results: We identify
four trajectory classes including, "cognitive unhealthy," "high morbidity," "non
accelerated", and "healthy." Compared to NHWs, both USB-H and FB-H have higher
relative risk ratios (RRR) of "cognitive unhealthy" and "high morbidity"
classifications, relative to "non-accelerated." These patterns persist upon
controlling for lifecourse factors. Both Hispanic groups, however, also have
higher RRRs for "healthy" classification (vs. "non-accelerated") upon adjusting
for adult achievements and health behaviors. Discussion: Controlling for
lifefcourse factors USB-H and FB-H have equal or higher likelihood for "high
morbidity" and "cognitive unhealthy" classifications, respectively, relative to
NHWs. Yet, both groups are equally likely of being in the "healthy" group
compared to NHWs. These segregations into healthy and unhealthy groups require
more research and could contribute to explaining the paradoxical patterns
produced when population heterogeneity is not taken into account.
PMID- 29788312
TI - The Composite Spreader Flap.
AB - Background: The effect of a spreader flap on the keystone area and the upper bony
vault depends on the structural strength and cephalic extent of upper lateral
cartilages, both of which can be significantly variable among individuals.
Objectives: The authors present a novel cephalically extended osseocartilaginous
composite spreader flap technique that was designed to overcome the limitations
of a conventional spreader flap on the keystone area upper bony vault, in
patients with cephalically short and structurally weak upper lateral cartilages
and thin nasal bones. Methods: This study was a retrospective review of the
recorded perioperative information to investigate the frequency of the use of the
composite spreader flap technique and perioperative parameters that relate to
postoperative dorsal deformities. 176 consecutive primary open approach
rhinoplasty cases performed by the first author (O. B.) between November 2015 and
February 2017 were included in the study. Patient data was obtained from
rhinoplasty data sheets, standardized photographs and postoperative physical
examinations. Results: Of the 176 cases who underwent primary open approach
rhinoplasty whose data were reviewed for the purpose of this study, 38 (32
females, 6 males) had dorsal reconstruction with the use of a composite spreader
flap. 17 patients had a deviated nose with an asymmetric bony pyramid. In 8
patients, composite spreader flap was used unilaterally. No patients in the
composite spreader flap group had a postoperative dorsal deformity or required
surgical revision. Conclusions: Composite flap preparation extends the
reliability and the reach of the spreader flap technique beyond its previous
borders.
PMID- 29788313
TI - Defining Value of Cancer Therapeutics-A Health System Perspective.
AB - Because of the rising costs of cancer care and ongoing challenges in ensuring
access to quality care, there is an increasing need to prioritize spending and
define the benefits of therapy in proportion to costs. The term "value" has
gained favor as means to define the relative utility of a medical intervention in
terms of benefits, risks, and financial costs, which in turn can help clinicians,
patients, and policy makers prioritize "high-value" care. While numerous value
concepts have been proposed, a comprehensive discussion of value initiatives
along the care continuum is missing. In this Commentary, we propose a health
system taxonomy of value initiatives in cancer care to discuss what the field
needs to progress.
PMID- 29788311
TI - Adipose tissue proteomic analyses to study puberty in Brahman heifers.
AB - The adipose tissue has been recognized as an active endocrine organ which can
modulate numerous physiological processes such as metabolism, appetite, immunity,
and reproduction. The aim of this study was to look for differentially abundant
proteins and their biological functions in the abdominal adipose tissue between
pre- and postpubertal Brahman heifers. Twelve Brahman heifers were divided into 2
groups and paired on slaughter day. Prepubertal heifers had never ovulated and
postpubertal heifers were slaughtered on the luteal phase of their second estrous
cycle. After ensuring the occurrence of puberty in postpubertal heifers,
abdominal adipose tissue samples were collected. Mass spectrometry proteomic
analysis identified 646 proteins and revealed that 171 proteins showed
differential abundance in adipose tissue between the pre- and postpuberty groups
(adjusted P-value < 0.05). Data are available via ProteomeXchange with identifier
PXD009452. Using a list of 51 highly differentially abundant proteins as the
target (adjusted P-value < 10-5), we found 14 enriched pathways. The results
indicated that gluconeogenesis was enhanced when puberty approached. The
metabolism of glucose, lipids, and AA in the adipose tissue mainly participated
in oxidation and energy supply for heifers when puberty occurred. Our study also
revealed the differentially abundant proteins were enriched for estrogen
signaling and PI3K-Akt signaling pathways, which are known integrators of
metabolism and reproduction. These results suggest new candidate proteins that
may contribute to a better understanding of the signaling mechanisms that relate
adipose tissue function to puberty. Protein-protein interaction network analysis
identified 4 hub proteins that had the highest degrees of connection: PGK1,
ALDH5A1, EEF2, and LDHB. Highly connected proteins are likely to influence the
functions of all differentially abundant proteins identified, directly or
indirectly.
PMID- 29788314
TI - Patterns of E-Cigarette Use Among Youth and Young Adults: Review of the Impact of
E-Cigarettes on Cigarette Smoking.
AB - Implications: The present article provides a review of the impact of e-cigarette
use on subsequent cigarette smoking among youth and YAs. Studies presented here
suggest that e-cigarette use among non-smokers is associated with subsequent
cigarette smoking, but study designs are subject to numerous limitations. Future
research should focus on addressing the characteristics that put youth and YAs at
risk of using either product and how appeal and accessibility of these products
are related to product use in order to inform future policy-making.
PMID- 29788315
TI - Inferring and modeling inheritance of differentially methylated changes across
multiple generations.
PMID- 29788316
TI - Efficacy of Vaginally Administered Gel Containing Emtricitabine and Tenofovir
Against Repeated Rectal Simian Human Immunodeficiency Virus Exposures in
Macaques.
AB - Vaginal microbicides containing antiretrovirals (ARVs) have shown to prevent
vaginally acquired human immunodeficiency virus (HIV), but these products may not
protect women who engage in anal sex. Intravaginal dosing with ARVs has shown to
result in drug exposures in rectal tissues, thus raising the possibility of dual
compartment protection. To test this concept, we investigated whether
intravaginal dosing with emtricitabine (FTC)/tenofovir (TFV) gel, which fully
protected macaques against repeated vaginal exposures to simian human
immunodeficiency virus (SHIV), protects against rectal SHIV exposures.
Pharmacokinetic studies revealed rapid distribution of FTC and TFV to rectal
tissues and luminal fluids, albeit at concentrations 1-2 log10 lower than those
in the vaginal compartment. Efficacy measurements against repeated rectal SHIV
challenges demonstrated a 4.5-fold reduction in risk of infection in macaques
that received intravaginal FTC/TFV compared to placebo gel (P = .047; log-rank
test). These data support the concept of dual compartment protection by vaginal
dosing and warrants developing ARV-based vaginal products with improved
bidirectional dosing.
PMID- 29788317
TI - FragFit: a web-application for interactive modeling of protein segments into cryo
EM density maps.
AB - Cryo-electron microscopy (cryo-EM) is a standard method to determine the three
dimensional structures of molecular complexes. However, easy to use tools for
modeling of protein segments into cryo-EM maps are sparse. Here, we present the
FragFit web-application, a web server for interactive modeling of segments of up
to 35 amino acids length into cryo-EM density maps. The fragments are provided by
a regularly updated database containing at the moment about 1 billion entries
extracted from PDB structures and can be readily integrated into a protein
structure. Fragments are selected based on geometric criteria, sequence
similarity and fit into a given cryo-EM density map. Web-based molecular
visualization with the NGL Viewer allows interactive selection of fragments. The
FragFit web-application, accessible at http://proteinformatics.de/FragFit, is
free and open to all users, without any login requirements.
PMID- 29788319
TI - Participatory Design in Gerontechnology: A Systematic Literature Review.
AB - Purpose of the Study: Participatory design (PD) is widely used within
gerontechnology but there is no common understanding about which methods are used
for what purposes. This review aims to examine what different forms of PD exist
in the field of gerontechnology and how these can be categorized. Design and
Methods: We conducted a systematic literature review covering several databases.
The search strategy was based on 3 elements: (1) participatory methods and
approaches with (2) older persons aiming at developing (3) technology for older
people. Results: Our final review included 26 studies representing a variety of
technologies designed/developed and methods/instruments applied. According to the
technologies, the publications reviewed can be categorized in 3 groups: Studies
that (1) use already existing technology with the aim to find new ways of use;
(2) aim at creating new devices; (3) test and/or modify prototypes. The
implementation of PD depends on the questions: Why a participatory approach is
applied, who is involved as future user(s), when those future users are involved,
and how they are incorporated into the innovation process. Implications: There
are multiple ways, methods, and instruments to integrate users into the
innovation process. Which methods should be applied, depends on the context.
However, most studies do not evaluate if participatory approaches will lead to a
better acceptance and/or use of the co-developed products. Therefore,
participatory design should follow a comprehensive strategy, starting with the
users' needs and ending with an evaluation if the applied methods have led to
better results.
PMID- 29788318
TI - Effectiveness and Safety of Vedolizumab in Anti-TNF-Naive Patients With
Inflammatory Bowel Disease-A Multicenter Retrospective European Study.
AB - Background: Vedolizumab (VDZ) is effective for treatment of ulcerative colitis
(UC) and Crohn's disease (CD). In GEMINI trials, anti-tumor necrosis factor (anti
TNF)-naive patients had a superior response compared with anti-TNF-exposed
patients. In real-world experience (RWE), the number of included anti-TNF-naive
patients was low. We aimed to evaluate the effectiveness and safety of VDZ in
anti-TNF-naive patients in an RWE setting. Methods: This retrospective
multicenter European pooled cohort study included consecutive active anti-TNF
naive IBD patients treated with VDZ. The primary end point was clinical response
at week 14. Patients with follow-up beyond week 14 and those discontinuing VDZ at
any time were included for maintenance outcomes analysis. Results: Since January
2015, 184 anti-TNF-naive patients from 23 centers initiated VDZ treatment
(Crohn's disease [CD], 50; ulcerative colitis [UC], 134). In CD, 42/50 (82%)
patients responded by week 14 and 32 (64%) were in clinical remission; 26/50
(52%) achieved corticosteroid-free remission (CSFR). At last follow-up (44 weeks;
interquartile range [IQR], 30-52 weeks), 27/35 (77.1%) patients with available
data responded to treatment; 24/35 (68.6%) were in clinical remission, 21/35
(60%) were in CSFR. For UC, 116/134 (79.1%) responded to treatment by week 14,
including 53 (39.5%) in clinical remission; 49/134 (36.6%) achieved CSFR. At last
follow-up (42.5 weeks; IQR, 30-52 weeks), 79/103 (76.7%) patients responded to
treatment, 69/103 (67.0%) were in remission, and 61/103 (59.2%) were in CSFR.
Adverse effects were reported in 20 (11%) of the patients, leading to treatment
discontinuation in 6 (3.3%). Conclusions: VDZ is similarly effective in ant-TNF
naive CD and UC patients. The efficacy is higher than reported in anti-TNF
experienced patients and is comparable to that of anti-TNF biologics in this
population.
PMID- 29788320
TI - Chronic Variable Stress Induces Sex-Specific Alterations in Social Behavior and
Neuropeptide Expression in the Mouse.
AB - Chronic exposure to stressors impairs the function of multiple organ systems and
has been implicated in increased disease risk. In the rodent, the chronic
variable stress (CVS) paradigm has successfully modeled several stress-related
illnesses. Despite striking disparities between men and women in the prevalence
and etiology of disorders associated with chronic stress, most preclinical
research examining chronic stressor exposure has focused on male subjects. One
potential mediator of the consequences of CVS is oxytocin (OT), a known regulator
of stress neurocircuitry and behavior. To ascertain the sex-specific effects of
CVS in the C57BL/6 mouse on OT and the structurally similar neuropeptide arginine
vasopressin (AVP), the numbers of immunoreactive and mRNA-containing neurons in
the paraventricular nucleus (PVN) and supraoptic nucleus (SON) were determined
using immunohistochemistry and in situ hybridization, respectively. In addition,
the mice underwent a battery of behavioral tests to determine whether CVS affects
social behaviors known to be regulated by OT and AVP. Six weeks of CVS increased
sociability in the female mouse and decreased PVN OT immunoreactivity (ir) and
AVP mRNA. In the male mice, CVS decreased PVN OT mRNA but had no effect on social
behavior, AVP, or OT-ir. CVS also increased the soma volume for PVN OT neurons.
In contrast, OT and AVP neurons in the SON were unaffected by CVS treatment.
These findings demonstrate clear sex differences in the effects of CVS on
neuropeptides in the mouse, suggest a pathway through which CVS alters
sociability and stress-coping responses in females and reveals a vulnerability to
CVS in the C57BL/6 mouse strain.
PMID- 29788322
TI - Outcomes and risk factors associated with tricuspid valve repair in children with
hypoplastic left heart syndrome.
AB - : OBJECTIVES: Tricuspid valve (TV) competence is important for successful
palliation of hypoplastic left heart syndrome (HLHS). We report our experience
with TV repair in HLHS patients with a focus on TV and right ventricular (RV)
function and associated clinical outcomes. METHODS: From 2002 to 2012, 219
neonates with HLHS underwent the Norwood operation. Thirty patients who underwent
TV repair at various stages comprised our current series cohort.
Echocardiographic and clinical data were reviewed to determine the effectiveness
of TV repair and outcomes of the patients. RESULTS: Thirty patients received TV
repair during Norwood (n = 4), Glenn (n = 17) and Fontan (n = 9) operations.
Median age at TV repair was 188 days (range 3-1498). Preoperatively, all patients
had >=moderate TV regurgitation and 4 (13%) patients had >=moderate RV
dysfunction. After repair, TV regurgitation was none or trivial (n = 12, 40%),
mild (n = 8, 27%), >=moderate (n = 10, 33%), whereas 10 (33%) had >=moderate
regurgitation at last follow-up; >=moderate RV dysfunction was present in 5 (17%)
patients following TV repair and 10 (33%) patients at last follow-up. Competing
risk analysis showed that 10 years following TV repair, 21% of patients had TV
reoperation, 18% died or underwent transplantation and 61% were alive without
subsequent reoperation. Overall, 10-year survival, transplant-free survival and
freedom from second TV reoperation were 89%, 71% and 78%, respectively;
>=moderate RV dysfunction following TV repair was associated with diminished
transplant-free survival (P = 0.0277). CONCLUSIONS: Although TV repair is
successful in reducing regurgitation in the majority of HLHS patients, outcomes
are restricted by limited repair durability with recurrent significant
regurgitation in one-third of the patients. RV dysfunction in these patients is
progressive and a major determinant of transplant-free survival.
PMID- 29788321
TI - Presentation of oropharyngeal dysphagia and rehabilitative intervention following
esophagectomy: a systematic review.
AB - No study has systematically reviewed the evidence on presentation of
oropharyngeal dysphagia and swallowing rehabilitation following esophagectomy.
The purposes of this systematic review are to 1) qualitatively synthesize the
current findings on oropharyngeal swallowing abnormalities identified by
instrumental swallowing evaluations, 2) describe the reported health-related
outcomes in relation to swallowing abnormality following esophagectomy, and 3)
examine the efficacy of reported rehabilitative interventions for oropharyngeal
dysphagia in patients who underwent esophagectomy. Publications were searched
using five electronic databases. No language or publication date restrictions
were imposed. Two authors performed a blind review for published or unpublished
studies that reported swallowing biomechanics and dysphagic symptoms using
instrumental evaluation of swallowing, specifically the videofluoroscopic
swallowing study and fiberoptic endoscopic evaluation of swallowing, and/or
health-related outcomes in relation to swallowing abnormalities, and/or
therapeutic interventions for oropharyngeal dysphagia following esophagectomy.
Twelve studies out of 2,193 studies including 458 patients met the inclusion
criteria. Reported abnormal swallowing biomechanics included vocal fold
immobility, delayed onset of swallowing, reduced hyolaryngeal elevation, and
reduced opening of the upper esophageal sphincter. Aspiration (0-81%) and
pharyngeal residue (22-100%) were prevalent. Those abnormal swallowing
biomechanics and swallowing symptoms were commonly reported following both
transhiatal and transthoracic esophagectomy. Pneumonia presented in 5-25% of the
study patients. One quasi-experimental study examined the effectiveness of
swallowing exercises for postoperative oropharyngeal dysphagia; three case series
reported a benefit of the chin-tuck maneuver in reducing aspiration and residue.
This review revealed distinct swallowing impairments and increased pneumonia
risks following esophagectomy. This review also found that evidence on the
efficacy of therapeutic interventions was limited. Future studies are warranted
to develop effective rehabilitative interventions for postesophagectomy patients
with oropharyngeal dysphagia.
PMID- 29788323
TI - Development and Psychometric Properties of the Inflammatory Bowel Disease
Distress Scale (IBD-DS): A New Tool to Measure Disease-Specific Distress.
AB - Background: Inflammatory bowel disease (IBD) imposes a heavy psychosocial burden,
with many patients reporting anxiety, depression, and distress. In diseases such
as diabetes, disease-specific distress is associated with concordance with
treatments and disease control. IBD distress, distinct from anxiety and
depression, is evident in people with IBD. We aimed to develop a questionnaire
for assessing IBD-specific distress, validate this against a gold standard
distress measure for diabetes, and demonstrate the difference between anxiety,
depression, and distress. Methods: The 94-item IBD Distress Scale (IBD-DS) was
developed through secondary analysis of 3 qualitative data sets from previous IBD
studies. Items were then refined through cognitive interviews in 2 stages (n =
15, n = 3). Three supplementary unscored questions were added to enable patients
to identify their overall level of distress, their perceived level of disease
activity, and their 3 most distressing issues. Subsequently, the 55-item IBD
Distress Scale was subjected to test-retest. Two hundred seventy-five people
received the test draft IBD-DS, and 168 responded (60.4%). Of these, 136 (82%)
returned the retest draft of IBD-DS 3 weeks later. After analysis, further item
reduction was informed by response rates, kappa values, and correlation
coefficients, and test-retest was repeated. One hundred fifty-four people
received the test final 28-item IBD-DS, and 123 people responded (58.8%). Of
these, 95 (77%) returned the retest final IBD-DS. Results: The 94 items were
reduced to 28 items. Good intraclass correlation (ICC) was found between test
retest scores on 72 complete data sets with unchanged disease status (ICC, 0.92;
95% confidence interval, 0.88-0.95). Cronbach's alpha was 0.95, indicating
excellent internal consistency. Factor analysis indicated scoring the items as a
single domain (score range, 0-168). Conclusion: The final IBD-DS performs well
and offers a tool for assessing IBD-specific distress.
PMID- 29788324
TI - The Application of COI Gene for Species Identification of Forensically Important
Muscid Flies (Diptera: Muscidae).
AB - Muscid Flies (Diptera: Muscidae) are of great forensic importance due to their
wide distribution, ubiquitous and synanthropic nature. They are frequently
neglected as they tend to arrive at the corpses later than the flesh flies and
blow flies. Moreover, the lack of species-level identification also hinders
investigation of medicolegal purposes. To overcome the difficulty of
morphological identification, molecular method has gained relevance. Cytochrome c
oxidase subunit I (COI) gene has been widely utilized. Nonetheless, to achieve
correct identification of an unknown sample, it is important to survey certain
muscid taxa from its geographic distribution range. Accordingly, the aim of this
study is to contribute more geographically specific. We sequenced the COI gene of
51 muscid specimens of 12 species, and added all correct sequences available in
GenBank to yield a total data set of 125 COI sequences from 33 muscid species to
evaluate the COI gene as a molecular diagnostic tool. The interspecific distances
were extremely high (4.7-19.8%) in either the standard barcoding fragment (658
bp) or the long COI sequence (1,019-1,535 bp), demonstrating that these two
genetic markers were nearly identical in the species identification. However, the
intraspecific distances of the long COI sequences were significantly higher than
the barcoding region for the conspecific species that geographical locations vary
greatly. Therefore, genetic diversity presented in this study provides a
reference for species identification of muscid flies. Nevertheless, further
investigation and data from more muscid species are required to enhance the
efficacy of species-level identification using COI gene as a genetic marker.
PMID- 29788326
TI - In situ transmission electron microscopic observations of redox cycling of a Ni
ScSZ cermet fuel cell anode.
AB - In situ transmission electron microscopy (TEM) observations of a Ni(O)-Sc2O3
stabilized ZrO2 (ScSZ; 10 mol% Sc2O3, 1 mol% CeO2, 89 mol% ZrO2) anode in a solid
oxide fuel cell (SOFC) have been performed at high temperatures under a
hydrogen/oxygen gas atmosphere using an environmental transmission electron
microscope (ETEM); the specimens were removed from cross-sections of the real
SOFC by focused ion beam milling and lifting. When heating the NiO-ScSZ anode
under a hydrogen atmosphere of 3 mbar in ETEM, nano-pores were formed at the
grain boundaries and on the surface of NiO particles at around 400 degrees C due
to the volume shrinkage accompanying the reduction of NiO to Ni. Moreover,
densification of Ni occurred when increasing the temperature from 600 to 700
degrees C. High-magnification TEM images obtained in the early stages of NiO
reduction revealed that the (111) planes of Ni grew almost parallel to the (111)
planes of NiO. In the case of heating Ni-ScSZ under an oxygen atmosphere of 3
mbar in ETEM, oxidation of Ni starting from the surface of the particles occurred
above 300 degrees C. All Ni particles became polycrystalline NiO after the
temperature was increased to 800 degrees C. Volume expansion/contraction by mass
transfer to the outside/inside of the Ni particles in the anode during repeated
oxidation/reduction seems to result in the agglomeration of Ni catalysts during
long-term SOFC operation. We emphasize that our in situ TEM observations will be
applied to observe electrochemical reactions in SOFCs under applied electric
fields.
PMID- 29788325
TI - Ancestral TCDD Exposure Induces Multigenerational Histologic and Transcriptomic
Alterations in Gonads of Male Zebrafish.
AB - 2,3,7,8-Tetrachlorodibenzo-p-dioxin (TCDD), the classic aryl hydrocarbon receptor
(AhR) agonist, is a potent environmental toxicant and endocrine-disrupting
chemical (EDC) with known developmental toxicity in humans, rodents, and fish.
Early life exposure to some EDCs, including TCDD, is linked to the occurrence of
adult-onset and multigenerational disease. Previous work exposing juvenile F0
zebrafish (Danio rerio) to 50 ppt (parts per trillion) TCDD during reproductive
development has shown male-mediated transgenerational decreases in fertility (F0
F2) and histologic and transcriptomic alterations in F0 testes. Here, we analyzed
male germline alterations in F1 and F2 adult fish, looking for changes in
testicular histology and gene expression inherited through the male lineage that
could account for decreased reproductive capacity. Testes of TCDD-lineage F1 fish
displayed an increase in spermatogonia (immature germ cells) and decrease in
spermatozoa (mature germ cells). No histological changes were present in F2 fish.
Transcriptomic analysis of exposed F1 and F2 testes revealed alterations in lipid
and glucose metabolism, oxidation, xenobiotic response, and sperm cell
development and maintenance genes, all of which are implicated in fertility
outcomes. Overall, we found that differential expression of reproductive genes
and reduced capacity of sperm cells to mature could account for the reproductive
defects previously seen in TCDD-exposed male zebrafish and their descendants,
providing insight into the distinct multigenerational effects of toxicant
exposure.
PMID- 29788327
TI - Tree-ring proxies of larch bud moth defoliation: latewood width and blue
intensity are more precise than tree-ring width.
AB - Reconstructions of defoliation by larch bud moth (LBM, Zeiraphera diniana Gn.)
based on European larch (Larix decidua Mill.) tree rings have unraveled outbreak
patterns over exceptional temporal and spatial scales. In this study, we
conducted tree-ring analyses on 105 increment cores of European larch from the
Valais Alps, Switzerland. The well-documented history of LBM outbreaks in Valais
provided a solid baseline for evaluating the LBM defoliation signal in multiple
tree-ring parameters. First, we used tree-ring width measurements along with
regional records of LBM outbreaks to reconstruct the occurrence of these events
at two sites within the Swiss Alps. Second, we measured earlywood width, latewood
width and blue intensity, and compared these parameters with tree-ring width to
assess the capacity of each proxy to detect LBM defoliation. A total of six LBM
outbreaks were reconstructed for the two sites between AD 1850 and 2000. Growth
suppression induced by LBM was, on average, highest in latewood width (59%),
followed by total ring width (54%), earlywood width (51%) and blue intensity
(26%). We show that latewood width and blue intensity can improve the temporal
accuracy of LBM outbreak reconstructions, as both proxies systematically detected
LBM defoliation in the first year it occurred, as well as the differentiation
between defoliation and non-defoliation years. This study introduces blue
intensity as a promising new proxy of insect defoliation and encourages its use
in conjunction with latewood width.
PMID- 29788329
TI - Pharmacokinetic and Pharmacodynamic Properties of Metronidazole in Pediatric
Patients With Acute Appendicitis: A Prospective Study.
AB - Background: Metronidazole is traditionally dosed every 6-8 hours even though in
adults it has a long half-life, concentration-dependent killing, and 3-hour
postantibiotic effect. Based on this logic, some pediatric hospitals adopted once
daily dosing for appendicitis, despite limited pharmacokinetics-pharmacodynamics
(PK/PD) in children. We studied pediatric patients with appendicitis given
metronidazole once daily to determine whether this dosing would meet target area
under the curve (AUC)/minimum inhibitory concentration (MIC) ratio of >=70 for
Bacteroides fragilis. Methods: One hundred pediatric patients aged 4-17 years had
an average of 3 blood draws per patient during the first 24 hours after a 30
mg/kg per dose of intravenous metronidazole. Concentrations of drug were
determined using validated liquid chromatography and tandem mass spectrometry. A
NONMEM model was constructed for determining PK, followed by Monte Carlo
simulations to generate a population of plasma concentration-time AUC of
metronidazole and hydroxy-metronidazole. Results: Simulated AUC values met target
attainment (AUC/MIC ratio of >=70 to B fragilis MICs) for 96%-100% of all
patients for an MIC of 2 mcg/mL. For MICs of 4 and 8 mcg/mL, target attainment
ranged from 61% to 97% and 9% to 71%, respectively. Areas under the curve were
similar to that of adults receiving 1000 mg and 1500 mg q24, or 500 mg q8 hours.
Conclusions: Metronidazole, 30 mg/kg per dose, once daily achieved AUC target
attainment for B fragilis with an MIC of 2 mcg/mL or less in pediatric
appendicitis patients. Based on this and studies in adults, there does not seem
to be any PK/PD advantage of more frequent dosing in this population.
PMID- 29788331
TI - Clinical and Cardiac Safety of Long-term Levofloxacin in Children Treated for
Multidrug-resistant Tuberculosis.
AB - Safety concerns persist for long-term pediatric fluoroquinolone use. Seventy
children (median age, 2.1 years) treated with levofloxacin 10-20 mg/kg once daily
for multidrug-resistant tuberculosis (median observation time, 11.8 months) had
few musculoskeletal events, no levofloxacin-attributed serious adverse events,
and no Fridericia-corrected QT interval >450 ms. Long-term levofloxacin was safe
and well tolerated.
PMID- 29788330
TI - The Evolution of Gene Expression Underlying Vision Loss in Cave Animals.
AB - Dissecting the evolutionary genetic processes underlying eye reduction and vision
loss in obligate cave-dwelling organisms has been a long-standing challenge in
evolutionary biology. Independent vision loss events in related subterranean
organisms can provide critical insight into these processes as well as into the
nature of convergent loss of complex traits. Advances in evolutionary
developmental biology have illuminated the significant role of heritable gene
expression variation in the evolution of new forms. Here, we analyze gene
expression variation in adult eye tissue across the freshwater crayfish,
representing four independent vision-loss events in caves. Species and individual
expression patterns cluster by eye function rather than phylogeny, suggesting
convergence in transcriptome evolution in independently blind animals. However,
this clustering is not greater than what is observed in surface species with
conserved eye function after accounting for phylogenetic expectations. Modeling
expression evolution suggests that there is a common increase in evolutionary
rates in the blind lineages, consistent with a relaxation of selective constraint
maintaining optimal expression levels. This is evidence for a repeated loss of
expression constraint in the transcriptomes of blind animals and that convergence
occurs via a similar trajectory through genetic drift.
PMID- 29788334
TI - Microsurgical Resection of Ruptured Large Left Temporo-Occipital Arteriovenous
Malformation: 3-Dimensional Operative Video.
AB - A 59-yr-old man presented with intraventricular hemorrhage and was found to have
a large temporo-occipital arteriovenous malformation (AVM), Spetzler-Martin grade
4. The preoperative intra-arterial digital subtraction angiography (IADSA) showed
the AVM was 4 * 4 cm2, had superficial and deep venous drainage, and was fed by
multiple branches of the posterior cerebral artery and middle cerebral artery.
Preoperative embolization was done in 4 stages. He underwent a left temporo
occipital craniotomy, mastoidectomy, and retrosigmoid craniotomy with a posterior
temporal approach. Intraoperatively, there was a large draining vein draining
into the sigmoid sinus in the location of the vein of Labbe, and multiple other
feeding arteries and draining veins, including periventricular vessels.
Circumferential dissection of the AVM was done from posteriorly, superiorly,
anteriorly, and then inferiorly. The technique of temporary clipping and
cauterizing the perforating arteries, and then sectioning them after flow arrest
is shown in the video. Large arterial feeders were cauterized and divided. Three
permanent aneurysm clips were left to control bleeding from the vessels of the
trigone of the lateral ventricle. After the large draining vein into the sigmoid
sinus was occluded, the AVM was completely removed. The patient had acute
nonfluent aphasia postoperatively but improved after speech therapy. The
postoperative IADSA demonstrated total resection. At 3-mo follow-up, he had
recovered completely (mRS0). This 3-D video shows the technical nuances of
microsurgical resection of a complex large AVM. Informed consent was obtained
from the patient prior to the surgery that included videotaping of the procedure
and its distribution for educational purposes. All relevant patient identifiers
have also been removed from the video and accompanying radiology slides.
PMID- 29788335
TI - Detection of Hepatozoon canis in the Brown Dog Tick and Domestic Dogs in
Peninsular Malaysia.
AB - Hepatozoon canis has been widely reported in dogs. Its prevalence in ticks,
however, has not been well-established. Here we determine the occurrence of
Hepatozoon DNA in the brown dog tick Rhipicephalus sanguineus (Latreille) (Acari:
Ixodidae) sensu lato (s.l.) and domestic dogs from Peninsular Malaysia using a
polymerase chain reaction (PCR) assay based on amplification of the 18S ribosomal
RNA coding sequence. Our results revealed a relatively low prevalence of H. canis
DNA in both R. sanguineus s.l. (0.7%) and dogs (3.33%). This study represents the
first report of H. canis DNA in R. sanguineus s.l. in Malaysia, highlighting the
risk of this infection in dogs.
PMID- 29788333
TI - Isolation and characterization of subgroup J Avian Leukosis virus associated with
hemangioma in commercial Hy-Line chickens.
AB - There was an outbreak of hemangioma associated with avian leukosis virus subgroup
J (ALV-J) between 2006 and 2010 in China in commercial layer chickens. Recently,
severe hemangiomas broke out in Hy-Line layer chickens on a poultry farm in 2017
where ALV was eradicated earlier. Six isolates of ALV-J, named SDAU1701-SDAU1706,
were characterized by virus isolation and sequence analysis of the complete
proviral genomes. Avian leukosis virus subgroup J was identified by an
immunofluorescence assay with monoclonal antibody JE9, whereas Marek's disease
virus or reticuloendotheliosis virus was not detected. Sequence analysis of the
complete proviral genome revealed that there was 96.0-99.6% identity between each
other and had a homology of 94.6-96.0% when compared with the reference strain.
The six isolates formed one distinct lineage separate from the reference
sequences in a phylogenetic-tree, which suggested that there were several genetic
differences between these groups. Homology analysis of the env, pol, and gag
genes of the six isolates showed that the env gene was more variable, especially
the gp85 protein, which shared only 88.2-91.9% identity with the reference
strains. Sequence comparisons of the gp85 protein indicated that 19 sites were
different from those in the NX0101 and HPRS-103 strains inducing myeloid
leukosis; among our strains, five mutations were identical to those in the
viruses causing hemangioma. Four other distinctive mutations were detected in our
six isolates. This study reminds us that the surveillance of viral eradication
should be conducted continuously on a farm where ALVs were eradicated. To prevent
the prevalence of ALVs, more attention should be paid to daily monitoring.
PMID- 29788336
TI - Microsurgical Resection of Posterior Third Ventricular/Pineal Region Papillary
Tumor Via Supracerebellar Infratentorial Approach: 3-Dimensional Operative Video.
AB - The posterior third ventricle and pineal region can harbor different pathologies.
The supracerebellar infratentorial approach allows a direct access to the pineal
region and posterior third ventricle and provides wide exposure of the arachnoid
planes and deep venous system. In this 3-dimensional video, we present a patient
with posterior third ventricular/pineal region tumor who underwent microsurgical
resection via supracerebellar infratentorial approach. The patient is a 28-year
old woman with history of hydrocephalus who underwent endoscopic third
ventriculostomy and biopsy at an outside hospital. The histopatology of the tumor
was papillary tumor of the pineal region. The patient was referred for further
surgical resection due to enlargement of her tumor on follow-up radiological
imaging. The surgery and the patient's postoperative course were uneventful and
the patient remained unchanged in the postoperative period. The important steps
of the surgical approach and microsurgical resection are demonstrated in this 3
dimensional surgical video. The patient consented to publication of her images.
PMID- 29788332
TI - Constitutive Interferon Pathway Activation in Tumors as an Efficacy Determinant
Following Oncolytic Virotherapy.
AB - Background: Attenuated measles virus (MV) strains are promising agents currently
being tested against solid tumors or hematologic malignancies in ongoing phase I
and II clinical trials; factors determining oncolytic virotherapy success remain
poorly understood, however. Methods: We performed RNA sequencing and gene set
enrichment analysis to identify pathways differentially activated in MV-resistant
(n = 3) and -permissive (n = 2) tumors derived from resected human glioblastoma
(GBM) specimens and propagated as xenografts (PDX). Using a unique gene signature
we identified, we generated a diagonal linear discriminant analysis (DLDA)
classification algorithm to predict MV responders and nonresponders, which was
validated in additional randomly selected GBM and ovarian cancer PDX and 10 GBM
patients treated with MV in a phase I trial. GBM PDX lines were also treated with
the US Food and Drug Administration-approved JAK inhibitor, ruxolitinib, for 48
hours prior to MV infection and virus production, STAT1/3 signaling and
interferon stimulated gene expression was assessed. All statistical tests were
two-sided. Results: Constitutive interferon pathway activation, as reflected in
the DLDA algorithm, was identified as the key determinant for MV replication,
independent of virus receptor expression, in MV-permissive and -resistant GBM
PDXs. Using these lines as the training data for the DLDA algorithm, we confirmed
the accuracy of our algorithm in predicting MV response in randomly selected GBM
PDX ovarian cancer PDXs. Using the DLDA prediction algorithm, we demonstrate that
virus replication in patient tumors is inversely correlated with expression of
this resistance gene signature (rho = -0.717, P = .03). In vitro inhibition of
the interferon response pathway with the JAK inhibitor ruxolitinib was able to
overcome resistance and increase virus production (1000-fold, P = .03) in GBM PDX
lines. Conclusions: These findings document a key mechanism of tumor resistance
to oncolytic MV therapy and describe for the first time the development of a
prediction algorithm to preselect for oncolytic treatment or combinatorial
strategies.
PMID- 29788337
TI - Improving the timeliness and accuracy of injury severity data in road traffic
accidents in an emerging economy setting.
AB - Road traffic injuries (RTIs) are among the leading causes of injury and fatality
worldwide. RTI casualties are continually increasing in Taiwan; however, because
of a lack of an advanced method for classifying RTI severity data, as well as the
fragmentation of data sources, road traffic safety and health agencies encounter
difficulties in analyzing RTIs and their burden on the healthcare system and
national resources. These difficulties lead to blind spots during policy-making
for RTI prevention and control. After compiling classifications applied in
various countries, we summarized data sources for RTI severity in Taiwan, through
which we identified data fragmentation. Accordingly, we proposed a practical
classification for RTI severity, as well as a feasible model for collecting and
integrating these data nationwide. This model can provide timely relevant data
recorded by medical professionals and is valuable to healthcare providers. The
proposed model's pros and cons are also compared to those of other current
models.
PMID- 29788338
TI - Clinical Pharmacology in Adult and Pediatric Inflammatory Bowel Disease.
AB - This review describes the clinical pharmacology of the major drugs used for the
treatment of patients with inflammatory bowel disease (IBD). Pharmacokinetics,
drug metabolism, mechanism of action, efficacy, and safety profile are discussed.
Some small molecules were developed to act systemically (eg, ozanimod) or locally
(eg, aminosalicylates) and thus have disparate pharmacokinetic properties. In
addition, locally acting compounds have been optimized to mitigate systemic
exposure-eg, budesonide, which undergoes extensive first-pass metabolism-thereby
reducing systemic bioavailability and side effects. Other small molecules such as
thiopurines are precursors of their active metabolites and differences in
genotype or phenotype of metabolizing enzymes may affect efficacy and safety,
requiring therapeutic drug monitoring (TDM). Monoclonal antibodies (MAs) are
large molecules administered parenterally, and their pharmacokinetics may be
influenced not only by the general immunoglobulin (Ig) G metabolism and recycling
pathways but also by antigen properties such as antigen distribution and antigen
concentration. In addition, antibody structure, host factors, concurrent
medications, and immunogenicity may contribute to the substantial inter- and
intrapatient variability in drug exposure and response observed for MAs. Current
guidelines recommend reactive TDM of tumor necrosis factor antagonists at the
time of loss of response. Evidence for proactive TDM and for the role of TDM for
biologics with a different mechanism of action is emerging. Although small
molecules offer potential benefits over biologics with oral administration and
lack of immunogenicity, there may be risk for more systemic side effects due to
off-target binding. Understanding drug metabolism, pharmacokinetic
characteristics, and mechanism of action are important in selecting the right
drug at the right time at the right dose for patients with
IBD.10.1093/ibd/izy189_video1izy189.video15786062223001.
PMID- 29788339
TI - Rapid Establishment of a Cold Chain Capacity of -60 degrees C or Colder for the
STRIVE Ebola Vaccine Trial During the Ebola Outbreak in Sierra Leone.
AB - Clinical Trials Registration: ClinicalTrials.gov [NCT02378753] and Pan African
Clinical Trials Registry [PACTR201502001037220].
PMID- 29788340
TI - Utilizing Nurses to Staff an Ebola Vaccine Clinical Trial in Sierra Leone during
the Ebola Outbreak.
AB - Clinical Trials Registration: ClinicalTrials.gov [NCT02378753] and Pan African
Clinical Trials Registry [PACTR201502001037220].
PMID- 29788341
TI - Lessons Learned in Clinical Trial Communication During an Ebola Outbreak: The
Implementation of STRIVE.
AB - Clinical Trials Registration: ClinicalTrials.gov [NCT02378753] and Pan African
Clinical Trials Registry [PACTR201502001037220].
PMID- 29788342
TI - Health Conditions in an Adult Population in Sierra Leone: Data Reported From the
Sierra Leone Trial to Introduce a Vaccine Against Ebola (STRIVE).
AB - Clinical Trials Registration: ClinicalTrials.gov [NCT02378753] and Pan African
Clinical Trials Registry [PACTR201502001037220].
PMID- 29788344
TI - Comment: The Sierra Leone Trial to Introduce a Vaccine Against Ebola (STRIVE).
PMID- 29788343
TI - Implementing a Multisite Clinical Trial in the Midst of an Ebola Outbreak:
Lessons Learned From the Sierra Leone Trial to Introduce a Vaccine Against Ebola.
AB - : The Sierra Leone Trial to Introduce a Vaccine against Ebola (STRIVE), a phase
2/3 trial of investigational rVSV?G-ZEBOV-GP vaccine, was conducted during an
unprecedented Ebola epidemic. More than 8600 eligible healthcare and frontline
response workers were individually randomized to immediate (within 7 days) or
deferred (within 18-24 weeks) vaccination and followed for 6 months after
vaccination for serious adverse events and Ebola virus infection. Key challenges
included limited infrastructure to support trial activities, unreliable
electricity, and staff with limited clinical trial experience. Study staff made
substantial infrastructure investments, including renovation of enrollment sites,
laboratories, and government cold chain facilities, and imported equipment to
store and transport vaccine at <=-60oC. STRIVE built capacity by providing
didactic and practical research training to >350 staff, which was reinforced with
daily review and feedback meetings. The operational challenges of safety follow
up were addressed by issuing mobile telephones to participants, making home
visits, and establishing a nurse triage hotline. Before the Ebola outbreak,
Sierra Leone had limited infrastructure and staff to conduct clinical trials.
Without interfering with the outbreak response, STRIVE responded to an urgent
need and helped build this capacity. CLINICAL TRIALS REGISTRATION:
ClinicalTrials.gov [NCT02378753] and Pan African Clinical Trials Registry
[PACTR201502001037220].
PMID- 29788346
TI - Monitoring Serious Adverse Events in the Sierra Leone Trial to Introduce a
Vaccine Against Ebola.
AB - Clinical Trials Registration: ClinicalTrials.gov [NCT02378753] and Pan African
Clinical Trials Registry [PACTR201502001037220].
PMID- 29788345
TI - The Sierra Leone Trial to Introduce a Vaccine Against Ebola: An Evaluation of
rVSV?G-ZEBOV-GP Vaccine Tolerability and Safety During the West Africa Ebola
Outbreak.
AB - Clinical Trials Registration: ClinicalTrials.gov [NCT02378753] and Pan African
Clinical Trials Registry [PACTR201502001037220].
PMID- 29788347
TI - Clinical Surveillance and Evaluation of Suspected Ebola Cases in a Vaccine Trial
During an Ebola Epidemic: The Sierra Leone Trial to Introduce a Vaccine Against
Ebola.
AB - Clinical Trials Registration: ClinicalTrials.gov [NCT02378753] and Pan African
Clinical Trials Registry [PACTR201502001037220].
PMID- 29788348
TI - Participant Retention in a Randomized Clinical Trial in an Outbreak Setting:
Lessons From the Sierra Leone Trial to Introduce a Vaccine Against Ebola
(STRIVE).
AB - Clinical Trials Registration: ClinicalTrials.gov [NCT02378753] and Pan African
Clinical Trials Registry [PACTR201502001037220].
PMID- 29788349
TI - Operationalizing International Regulatory Standards in a Limited-Resource Setting
During an Epidemic: The Sierra Leone Trial to Introduce a Vaccine Against Ebola
(STRIVE) Experience.
AB - Clinical Trials Registration: ClinicalTrials.gov [NCT02378753] and Pan African
Clinical Trials Registry [PACTR201502001037220].
PMID- 29788350
TI - Relationship among team dynamics, care coordination and perception of safety
culture in primary care.
AB - Background: There remains a need to improve patient safety in primary care
settings. Studies have demonstrated that creating high-performing teams can
improve patient safety and encourage a safety culture within hospital settings,
but little is known about this relationship in primary care. Objective: To
examine how team dynamics relate to perceptions of safety culture in primary care
and whether care coordination plays an intermediating role. Research Design: This
is a cross-sectional survey study with 63% response (n = 1082). Subjects: The
study participants were attending clinicians, resident physicians and other staff
who interacted with patients from 19 primary care practices affiliated with
Harvard Medical School. Main Measures: Three domains corresponding with our main
measures: team dynamics, care coordination and safety culture. All items were
measured on a 5-point Likert scale. We used linear regression clustered by
practice site to assess the relationship between team dynamics and perceptions of
safety culture. We also performed a mediation analysis to determine the extent to
which care coordination explains the relationship between perceptions of team
dynamics and of safety culture. Results: For every 1-point increase in overall
team dynamics, there was a 0.76-point increase in perception of safety culture
[95% confidence interval (CI) 0.70-0.82, P < 0.001]. Care coordination mediated
the relationship between team dynamics and the perception of safety culture.
Conclusion: Our findings suggest there is a relationship between team dynamics,
care coordination and perceptions of patient safety in a primary care setting. To
make patients safer, we may need to pay more attention to how primary care
providers work together to coordinate care.
PMID- 29788351
TI - Uninterrupted apixaban for atrial fibrillation ablation is a reasonable
alternative, but what is the significance of silent cerebral infarctions?
PMID- 29788352
TI - Revisiting the low birthweight paradox using sibling data with implications for
the classification of low birthweight.
AB - Background: We examined the birthweight threshold for increased odds of neonatal
death among second births based on their elder sibling's birthweight category.
Methods: This population-based cohort study included 190 575 women who delivered
their first two non-anomalous singleton live births in Missouri (1989-2005). We
examined the birthweight distribution and neonatal mortality curves of second
births whose elder sibling had low versus adequate/high birthweight. We
determined the optimal cut-off point for the classification of low birthweight
among infants in each group based on the Youden index. Results: Infants whose
elder sibling had low birthweight had a lower mean birthweight and a higher
percentage of low birthweight infants versus those whose elder sibling had
adequate/high birthweight, but low birthweight infants in the former group had a
lower rate of neonatal mortality. Upon standardizing the birthweight distribution
to a Z-scale, neonatal mortality rates became comparable between the two groups
at every rescaled birthweight for Z-scores >=-3.7. The optimal cut-off point for
low birthweight was 2500 and 3000 g among infants whose elder sibling had low and
adequate/high birthweight, respectively. Conclusions: Using sibling data for the
classification of LBW may enable the identification of average-sized infants who
may be at increased risk of neonatal mortality.
PMID- 29788354
TI - Antiviral effect of the nucleoside analogue cidofovir in the context of sexual
transmission of a gammaherpesvirus in mice.
AB - Objectives: To investigate the efficacy of cidofovir to block gammaherpesvirus
replication in the context of sexual transmission. Methods: A luciferase
expressing strain of murid herpesvirus 4 (MuHV-4) was used to monitor genital
virus excretion from infected female BALB/c mice and sexual transmission to naive
males. The efficiency of cidofovir to block genital excretion from infected
females or replication and host colonization of naive males after sexual contact
was tested by treating infected females (either once daily or at a single
timepoint), naive males before exposure (either once daily or at a single
timepoint) or males 24 h post-exposure. Results: We showed that daily treatment
of infected females can reduce MuHV-4 genital shedding by 75%. Similarly, daily
preventive treatment of naive males was sufficient to block viral replication and
latency establishment in males. In contrast, a single administration of cidofovir
to infected females at day 14 post-infection or to naive males 2 to 6 days before
contact with MuHV-4-excreting females was not sufficient to significantly reduce
viral shedding from females or infection of males, respectively. Interestingly, a
single administration of cidofovir to males 24 h after contact with MuHV-4
infected females excreting the virus in the genital tract significantly reduced
virus replication in males and seroconversion. Conclusions: Altogether, our
results show that cidofovir can significantly reduce gammaherpesvirus
replication, excretion and colonization of the naive partner in the context of
sexual transmission. Such treatments could therefore be recommended in some
specific conditions where gammaherpesvirus infections could be deleterious.
PMID- 29788353
TI - Hormonal regulation in adventitious roots and during their emergence under
waterlogged conditions in wheat.
AB - To gain insights into the molecular mechanisms underlying hormonal regulation in
adventitious roots and during their emergence under waterlogged conditions in
wheat, the present study investigated transcriptional regulation of genes related
to hormone metabolism and transport in the root and stem node tissues.
Waterlogging-induced inhibition of axile root elongation and lateral root
formation, and promotion of surface adventitious and axile root emergence and
aerenchyma formation are associated with enhanced expression levels of ethylene
biosynthesis genes, ACS7 and ACO2, in both tissues. Inhibition of axile root
elongation is also related to increased root indole acetic acid (IAA) and
jasmonate (JA) levels that are associated with up-regulation of specific IAA
biosynthesis/transport (TDC, YUC1, and PIN9) and JA metabolism (LOX8, AOS1, AOC1,
and JAR1) genes, and transcriptional alteration of gibberellin (GA) metabolism
genes (GA3ox2 and GA2ox8). Adventitious root emergence from waterlogged stem
nodes is associated with increased levels of IAA and GA but decreased levels of
cytokinin and abscisic acid (ABA), which are regulated through the expression of
specific IAA biosynthesis/transport (TDC, YUC1, and PIN9), cytokinin metabolism
(IPT5-2, LOG1, CKX5, and ZOG2), ABA biosynthesis (NCED1 and NCED2), and GA
metabolism (GA3ox2 and GA2ox8) genes. These results enhance our understanding of
the molecular mechanisms underlying the adaptive response of wheat to
waterlogging.
PMID- 29788356
TI - Return-to-Duty Rates Following Minimally Invasive Spine Surgery Performed on
Active Duty Military Patients in an Ambulatory Surgery Center.
AB - Background: Low back pain is a primary health care utilization driver in the US
population. Health care evaluation visits for low back pain are as common as
medical evaluation for the common cold. Low back pain is the most common reason
for reductions in activities of daily living and work activity in the general
population. Although these statistics are compelling, in the military population,
there is arguably a significantly greater economic impact on the military
population, as the cost to train, retain, and deploy a service member is a
tremendous cost. Methods: The current study retrospectively examines surgical
outcomes, return to duty, and patient-centric outcomes among 82 active duty or
reserve military patients who underwent an outpatient minimally invasive spine
surgery Laminotomy Foraminotomy Decompression for the treatment of lumbar spinal
stenosis in an ambulatory surgery center. Findings: Overall, our results indicate
that within the 82 active duty military service members, 100% of the service
members return to duty within 3 mo. Additionally, there was a significant
reduction in self-reported pain and disability 12 mo postoperative, whereas the
average length of surgery was 62 min with an average estimated blood loss of
30.64 mL. Discussion: The current study indicates that minimally invasive
procedures for the treatment of lumbar spinal stenosis in an ambulatory surgery
center setting are an effective option for active duty servicemen to reduce
return-to-duty rates and symptomatic back-related pain and disability.
PMID- 29788355
TI - SWISS-MODEL: homology modelling of protein structures and complexes.
AB - Homology modelling has matured into an important technique in structural biology,
significantly contributing to narrowing the gap between known protein sequences
and experimentally determined structures. Fully automated workflows and servers
simplify and streamline the homology modelling process, also allowing users
without a specific computational expertise to generate reliable protein models
and have easy access to modelling results, their visualization and
interpretation. Here, we present an update to the SWISS-MODEL server, which
pioneered the field of automated modelling 25 years ago and been continuously
further developed. Recently, its functionality has been extended to the modelling
of homo- and heteromeric complexes. Starting from the amino acid sequences of the
interacting proteins, both the stoichiometry and the overall structure of the
complex are inferred by homology modelling. Other major improvements include the
implementation of a new modelling engine, ProMod3 and the introduction a new
local model quality estimation method, QMEANDisCo. SWISS-MODEL is freely
available at https://swissmodel.expasy.org.
PMID- 29788358
TI - Microbial Signatures as a Predictive Tool in IBD-Pearls and Pitfalls.
AB - Studies of microbial signatures have improved our understanding of the role of
dysbiosis in gut microbiota for the pathogenesis of inflammatory bowel disease
(IBD). New technological advances such as next-generation sequencing facilitate
investigations on large patient cohorts, but require methodological
considerations regarding study design, sample processing, data analysis, and
integration. Here, we summarize recent study approaches in microbial ecology with
respect to IBD research and discuss crucial process steps for the production and
integration of adequate data sets.
PMID- 29788357
TI - Reproductive, Physiological, and Molecular Outcomes in Female Mice Deficient in
Dhh and Ihh.
AB - Ovarian development requires coordinate communications among oocytes, granulosa
cells, and theca cells. Two Hedgehog (Hh) pathway ligands, Desert hedgehog (Dhh)
and Indian hedgehog (Ihh), are produced by the granulosa cells and work together
to regulate theca cell specification and development. Mice lacking both Dhh and
Ihh had loss of normal ovarian function, which raised the question of which
biological actions are specifically controlled by each ligand during
folliculogenesis. By comparing the reproductive fitness, hormonal profiles, and
ovarian transcriptomes among control, Dhh single-knockout (KO), Ihh KO, and
Dhh/Ihh double-knockout (DKO) mice, we examined the specific roles of Dhh and Ihh
in these processes. Dhh/Ihh DKO female mice were infertile because of a lack of
theca cells and their steroid product androgen. Although Dhh and Ihh KO mice were
fertile with normal folliculogenesis, they had decreased androgen production and
alterations in their ovarian transcriptomes. Absence of Ihh led to aberrant
steroidogenesis and elevated inflammation responses, which were not found in Dhh
KO mouse ovaries, implicating that IHH has a greater impact than DHH on the
activation of the Hh signaling pathway in the ovary. Our findings provide insight
into not only how the Hh pathway influences folliculogenesis but also the
distinct and overlapping roles of Dhh and Ihh in supporting ovarian development.
PMID- 29788360
TI - Use of Social Media for Health-Related Tasks by Adolescents With Inflammatory
Bowel Disease: A Step in the Pathway of Transition.
AB - Background: Social media is commonly used among the adolescent and young adult
population, including those with chronic diseases. For adults, these platforms
have been shown to be a major source of health information. Our aims were to
explore how youth with inflammatory bowel disease (IBD) use social media for (1)
disease information gathering, (2) provider communication, (3) sense of belonging
to the IBD community, (4) self-expression around IBD, and (5) disease
management/monitoring. Methods: An anonymous and voluntary survey was
administered to IBD patients age 12 to 25 years at a single center over 4 months.
Results: Of 218 patients approached, there were 109 respondents. The mean age of
the cohort (SD) was 18 (2.9) years, 65% were male, and 82% had Crohn's disease.
Almost all patients accessed the Internet daily, but only 17% reported looking up
information about IBD "always" or "often." Less than half (47%) turned to medical
websites (WebMD or Crohn's and Colitis Foundation) for information. A small
number (16%) connected with other IBD patients. Patients' preferred communication
with provider was by e-mail (88%) compared with a phone call to the office (67%)
or hospital website/patient portal (52%). Few patients used mobile applications
to monitor symptoms (2%) or for medication reminders (9%), although there was
professed interest. Conclusions: Adolescents and young adults with IBD are less
likely than adults to use social media for health-related activities. They prefer
e-mail rather than oral communication between visits, and privacy seems to be
less of a concern. Targeted education and skill building may be helpful for this
transitioning population.
PMID- 29788361
TI - Treatment of Inflammatory Bowel Disease in the Older Patient.
AB - The incidence of inflammatory bowel disease (IBD) is increasing, and as our
population ages, there is a growing number of IBD patients who are transitioning
into advanced age; 15% to 20% of IBD patients are classified as late-onset
(between 60-65 years of age). This has led clinicians to treat a large number of
older patients with IBD. The principles of management of IBD in older patients
are the same as those who are younger. There are, however, phenotypic differences
that are unique to late-onset IBD and age-related concerns that clinicians must
consider when initiating therapy for their older patients with IBD. Given the
increasing number of older IBD patients, the aim of this article is to present an
updated, evidence-based review of the therapeutic options and issues that arise
in this unique patient population.
PMID- 29788359
TI - Sphingosine-1-Phosphate Signaling and Metabolism Gene Signature in Pediatric
Inflammatory Bowel Disease: A Matched-case Control Pilot Study.
AB - Goal: The aim of this study was to investigate gene expression levels of proteins
involved in sphingosine-1-phosphate (S1P) metabolism and signaling in a pediatric
inflammatory bowel disease (IBD) patient population. Background: IBD is a
debilitating disease affecting 0.4% of the US population. The incidence of IBD in
childhood is rising. Identifying effective targeted therapies that can be used
safely in young patients and developing tools for selecting specific candidates
for targeted therapies are important goals. Clinical IBD trials now underway
target S1PR1, a receptor for the pro-inflammatory sphingolipid S1P. However,
circulating and tissue sphingolipid levels and S1P-related gene expression have
not been characterized in pediatric IBD. Methods: Pediatric IBD patients and
controls were recruited in a four-site study. Patients received a clinical score
using PUCAI or PCDAI evaluation. Colon biopsies were collected during endoscopy.
Gene expression was measured by qRT-PCR. Plasma and gut tissue sphingolipids were
measured by LC-MS/MS. Results: Genes of S1P synthesis (SPHK1, SPHK2), degradation
(SGPL1), and signaling (S1PR1, S1PR2, and S1PR4) were significantly upregulated
in colon biopsies of IBD patients with moderate/severe symptoms compared with
controls or patients in remission. Tissue ceramide, dihydroceramide, and ceramide
1-phosphate (C1P) levels were significantly elevated in IBD patients compared
with controls. Conclusions: A signature of elevated S1P-related gene expression
in colon tissues of pediatric IBD patients correlates with active disease and
normalizes in remission. Biopsied gut tissue from symptomatic IBD patients
contains high levels of pro-apoptotic and pro-inflammatory sphingolipids. A
combined analysis of gut tissue sphingolipid profiles with this S1P-related gene
signature may be useful for monitoring response to conventional therapy.
PMID- 29788362
TI - Similar Inhibition of Dynamic Adhesion of Lymphocytes From IBD Patients to MAdCAM
1 by Vedolizumab and Etrolizumab-s.
AB - Background: Although anti-adhesion therapies are a novel mainstay in the
treatment of inflammatory bowel diseases (IBDs), the mechanisms controlling
integrin-dependent gut homing are poorly elucidated, and the available techniques
for translational functional investigations are limited. Methods: We used dynamic
adhesion assays to study adhesion of CD4+ T cells, CD8+ T cells, CD19+ B cells,
and granulocytes to the addressins MAdCAM-1, VCAM-1, and ICAM-1. The effects of
vedolizumab, natalizumab, etrolizumab-s, anti-CD11a, and anti-CD18 antibodies
were explored. Results: Adhesion of peripheral blood leukocytes from IBD patients
and control donors could be validly assessed, and integrin-mediated addressin
adhesion could be specifically inhibited by anti-integrin antibodies. Numbers of
adhering cells were partly, but not completely, related to integrin expression.
Vedolizumab and etrolizumab-s resulted in similar reduction of adhesion to MAdCAM
1, and preliminary data proposed an association of dynamic adhesion to MAdCAM-1
with response to vedolizumab therapy. Conclusions: Dynamic adhesion assays are an
easy and broadly applicable method for IBD research that is useful for future
translational studies and potentially also for supporting clinical treatment
decisions. 10.1093/ibd/izy077_video1izy077_Video_15786486962001.
PMID- 29788363
TI - Early-Life Military Exposures and Functional Impairment Trajectories Among Older
Male Veterans: The Buffering Effect of Psychological Resilience.
AB - Objectives: Drawing on the life course framework and theoretical concept of
resilience, we examine the impact of early-life service-related exposures (SREs)
on later-life functional impairment trajectories among older U.S. male veterans.
We conceptualize resilience as a psychological resource potentially moderating
the lasting negative consequences of traumatic military exposures. Method: Using
the 2013 Veterans Mail Survey linked to the Health and Retirement Study 2006-2014
Leave Behind Questionnaire and RAND Data File (v.N), we estimate latent growth
curve models of functional impairment trajectories. Results: SRE to death has a
persistent positive effect on functional limitations and activities of daily
living limitations. Psychological resilience significantly moderates this
association, such that veterans maintaining higher levels of resilience in the
face of adverse exposures have considerably less functional impairment over time
compared to their counterparts with low levels of resilience. Discussion: Our
findings point to the importance of psychological resilience in later life,
especially within the realm of traumas occurring in early life. We discuss
implications for current military training programs, stressing the importance of
research considering individual resources and processes that promote adaptation
in the face of adverse life events.
PMID- 29788364
TI - Effectiveness of Prenatal Vitamin D Deficiency Screening and Treatment Program: A
Stratified Randomized Field Trial.
AB - Context: Despite evidence on the association between hypovitaminosis D and
adverse pregnancy outcomes and the positive impact of vitamin D supplementation,
no evidence exists supporting a universal screening program in pregnancy as part
of routine prenatal care. Objective: We sought to determine the effectiveness of
a prenatal screening program on optimizing 25-hydroxyvitamin D [25(OH)D] levels
and preventing pregnancy complications. Also, to identify a safe regimen, we
compared several regimens in a subgroup of vitamin D-deficient pregnant women.
Design: Two cities of Masjed-Soleyman and Shushtar from Khuzestan province, Iran,
were selected as the screening and nonscreening arms, respectively. Within the
screening arm, a randomized controlled trial was conducted on 800 pregnant women.
Setting: Health centers of Masjed-Soleyman and Shushtar cities. Patients or
Participants: Pregnant women aged 18 to 40 years. Intervention: Women with
moderate [25(OH)D, 10 to 20 ng/mL] and severe [25(OH)D, <10 ng/mL] deficiency
were randomly divided into four subgroups and received vitamin D3 (D3) until
delivery. Main Outcome Measure: Maternal concentration of 25(OH)D at delivery and
rate of pregnancy complications. Results: After supplementation, only 2% of the
women in the nonscreening site met the sufficiency level (>20 ng/mL) vs 53% of
the women in the screening site. Adverse pregnancy outcomes, including
preeclampsia, gestational diabetes mellitus, and preterm delivery, were decreased
by 60%, 50%, and 40%, respectively, in the screening site. A D3 injection in
addition to monthly 50,000 IU maintenance therapy contributed the most to
achievement of sufficient levels at delivery. Conclusions: A prenatal vitamin D
screening and treatment program is an effective approach in detecting deficient
women, improving 25(OH)D levels, and decreasing pregnancy adverse outcomes.
PMID- 29788366
TI - Relative household wealth and non-fatal road crashes: analysis of population
representative data of Kenyan adults.
AB - Background: This study aims to examine potential road crash disparities across
relative wealth and location of residence in Kenya by analyzing population
representative Demographic and Health Survey data. Methods: Relative wealth was
measured by household assets, converted into an index by polychoric principal
components analysis. Location and sex-stratified associations between wealth
quantiles and crashes were flexibly estimated using fractional polynomial models.
Structural equation models were fit to examine whether observed differences may
operate through previously identified determinants. Results: In rural areas,
crashes were least common for both the poorest men (-5.2 percentage points, 95%
CI: -7.3 to -3.2) and women (-1.6 percentage points, 95% CI: -2.9 to -0.4). In
urban areas, male crashes were lowest (-3.0 percentage points, 95% CI: -5.2 to
0.8) among the wealthiest, while they peaked in the middle of the female wealth
distribution (2.0 percentage points, 95% CI: 0.3-3.8). Male differences operate
partially though occupational driving and vehicle ownership. Urban female
differences operate partially through household vehicle ownership, but
differences for rural women were not explained by modeled determinants.
Conclusions: Relative wealth and road crash have opposite associations in rural
and urban areas. Especially in rural areas, it is important to mitigate potential
unintended effects of economic development.
PMID- 29788365
TI - Implementation of different feed withdrawal times and water temperatures in
managing turkeys during heat stress.
AB - This investigation studied the effects of different feed withdrawal times, water
temperatures, and their interaction on growth performance, carcass traits, blood
parameters, and health aspects of native turkeys (Egyptian local breed). We
distributed native turkey poults (n = 180; 4 wk old) into 3 groups according to
feed withdrawal time (ad libitum; feed withdrawal from 800 to 1,400 h, FW8 to 14;
and feed withdrawal from 1,400 to 2,000 h, FW14 to 20). Each group was further
divided into 2 subgroups corresponding to water temperature (ordinary and chilled
water). Our results indicated that birds of FW14 to 20 exhibited the highest (P =
0.0001) body weight (BW) and average daily gain (ADG), whereas turkeys that drank
chilled water displayed a higher BW than those that drank ordinary water. Turkeys
that were kept under FW8 to 14 and drank chilled water displayed the highest BW
at marketing and ADG from 4 to 16 wk of age. The lowest feed conversion ratio
(FCR) was exhibited in turkeys kept off feed under the FW14 to 20 regime. Birds
that were kept under FW14 to 20 and received chilled water showed the lowest FCR.
The meat color scores of the birds that drank chilled water were higher than
those that drank ordinary water, whereas birds kept off feed under the FW14 to 20
regime displayed the highest tenderness and juiciness, followed by those fed ad
libitum. Turkeys reared under FW14 to 20 exhibited the highest albumin/globulin
ratio and glucose content but the lowest globulin and aspartate transaminase
(AST) values. Birds that were kept under FW14 to 20 and drank chilled water
displayed the lowest corticosterone concentration. Turkeys reared under FW14 to
20 presented the lowest body temperature. Birds that drank chilled water
exhibited a lower body temperature than those that drank ordinary water. Turkeys
that were fed ad libitum and drank chilled water displayed the lowest body
temperature. Conclusively, applying feed withdrawal and cold water had benefits
in turkeys during heat stress. Applying these treatments as managerial
alternatives for raising native turkeys during the summer season is highly
recommended.
PMID- 29788368
TI - Pyoderma Gangrenosum: A Review for the Gastroenterologist.
AB - Pyoderma gangrenosum (PG) is a rare ulcerative skin disease of unknown etiology
often associated with systemic inflammatory conditions, most commonly
inflammatory bowel disease (IBD). The most common presentation of PG is
spontaneous rapid formation of an extremely painful ulcer on the extremities,
associated with significant morbidity and mortality. Rarely, PG can also occur as
a chronic peristomal complication or as an acute postoperative complication of a
surgical wound. The clinical course is unpredictable; it may not correlate with
IBD activity and may even precede a diagnosis of IBD. Pyoderma gangrenosum is a
diagnosis of exclusion. Treatment is challenging, often involving a variety of
immunosuppressive therapies. This review aims to provide an update for the
gastroenterologist on the pathogenesis, presentation, diagnosis, and management
of PG, a rare complication of IBD.
PMID- 29788367
TI - Infantile Onset Intractable Inflammatory Bowel Disease Due to Novel Heterozygous
Mutations in TNFAIP3 (A20).
AB - Background: Mutations in tumor necrosis factor alpha-induced protein 3 (TNFAIP3),
a key player in the negative feedback regulation of nuclear factor-kappaB
signaling, have recently been recognized as leading to early onset
autoinflammatory and autoimmune syndrome. Here, we have reported the phenotypes
of 3 infantile onset intractable inflammatory bowel disease (IBD) patients with
TNFAIP3 mutations and reviewed previously reported cases to establish phenotypic
features associated with TNFAIP3 monogenicity. Methods: From January 1, 2015, to
December 31, 2017, we recruited 58 infantile-onset IBD patients. Targeted
sequencing and whole-exome sequencing were performed. Sanger sequencing confirmed
the variants and determined the parental origin. We followed all the patients
with TNFAIP3 mutations in our cohort and analyzed their clinical data. Results:
Genetic screening in all 58 patients with infantile-onset IBD revealed 44 (75.9%)
cases of monogenic disorders, and 3 de novo TNFAIP3 mutations were identified,
including 1 nonsense and 2 frame shift mutations. All the mutations resulted in
premature stop codon. All 3 patients had multiple systemic involvements, with
predominant gastrointestinal diseases. Conclusions: Most infantile-onset IBD was
associated with monogenetic mutation, and in addition to the 50 reported genes,
other rare genetic variants need to be determined. TNFAIP3 may be an important
candidate gene. The treatment of TNFAIP3-associated infantile-onset-IBD was
challenging. 10.1093/ibd/izy165_video1izy165.video15789607089001.
PMID- 29788369
TI - Searching for Disclosure.
PMID- 29788370
TI - Large Traumatic Skull Base Internal Carotid Artery Pseudoaneurysm managed With
Endovascular Flow Diversion: 2-Dimensional Operative Video.
AB - We present a case of a traumatic skull base internal carotid artery (ICA)
pseudoaneurysm treated with endovascular flow diversion stenting. The patient was
a 27-year-old male who was involved in a motorcycle accident suffering multiple
traumatic injuries including a large skull base fracture that extended through
the carotid canal. Computed tomography angiography revealed a 2-cm right ICA
pseudoaneurysm. Once the patient was stable, a digital subtraction angiography
demonstrated enlargement of the pseudoaneurysm. After his other injuries were
addressed by trauma and orthopedic surgery, at day 9 after his initial injury,
the patient was loaded with aspirin and clopidrogel in preparation for stent
reconstruction of his ICA injury. Under conscious sedation and systemic
heparinization, the patient underwent endovascular reconstruction of the large
pseudoaneurysm using telescoping flow diversion stents. Immediate intra-aneurysm
flow stasis was observed. No procedure-related complications occurred. The
patient did well and at last follow-up remained neurologically intact.
PMID- 29788371
TI - Selective nanopore sequencing of human BRCA1 by Cas9-assisted targeting of
chromosome segments (CATCH).
AB - Next generation sequencing (NGS) is challenged by structural and copy number
variations larger than the typical read length of several hundred bases. Third
generation sequencing platforms such as single-molecule real-time (SMRT) and
nanopore sequencing provide longer reads and are able to characterize variations
that are undetected in NGS data. Nevertheless, these technologies suffer from
inherent low throughput which prohibits deep sequencing at reasonable cost
without target enrichment. Here, we optimized Cas9-Assisted Targeting of
CHromosome segments (CATCH) for nanopore sequencing of the breast cancer gene
BRCA1. A 200 kb target containing the 80 kb BRCA1 gene body and its flanking
regions was isolated intact from primary human peripheral blood cells, allowing
long-range amplification and long-read nanopore sequencing. The target was
enriched 237-fold and sequenced at up to 70* coverage on a single flow-cell.
Overall performance and single-nucleotide polymorphism (SNP) calling were
directly compared to Illumina sequencing of the same enriched sample,
highlighting the benefits of CATCH for targeted sequencing. The CATCH enrichment
scheme only requires knowledge of the target flanking sequence for Cas9 cleavage
while providing contiguous data across both coding and non-coding sequence and
holds promise for characterization of complex disease-related or highly variable
genomic regions.
PMID- 29788373
TI - Hybrid vigour - poplars play it cool.
PMID- 29788372
TI - An active role for neurons in glioma progression: making sense of Scherer's
structures.
AB - Perineuronal satellitosis, the microanatomical clustering of glioma cells around
neurons in the tumor microenvironment, has been recognized as a histopathological
hallmark of high-grade gliomas since the seminal observations of Scherer in the
1930s. In this review, we explore the emerging understanding that neuron-glioma
cell interactions regulate malignancy and that neuronal activity is a critical
determinant of glioma growth and progression. Elucidation of the interplay
between normal and malignant neural circuitry is critical to realizing the
promise of effective therapies for these seemingly intractable diseases. Here, we
review current knowledge regarding the role of neuronal activity in the glioma
microenvironment and highlight critical knowledge gaps in this burgeoning
research space.
PMID- 29788374
TI - Presence of Human Papillomavirus (HPV) Apolipoprotein B Messenger RNA Editing,
Catalytic Polypeptide-Like 3 (APOBEC)-Related Minority Variants in HPV-16 Genomes
From Anal and Cervical Samples but Not in HPV-52 and HPV-58.
AB - Background: The aim of this study was to investigate the presence of minority
variants (MVs) in high-risk human papillomavirus (HPV) types (HPV-16, -52, and
58) from cervical and anal smears. Methods: Whole HPV genome ultra-deep
sequencing (UDS) was performed on cervical and anal smears collected during
patient follow-up. Bioinformatics analyses were performed using Bowtie2
(Geneious). Results: We assessed 55 HPV-16-positive, 20 HPV-52-positive, and 17
HPV-58-positive samples, with significant differences in patient characteristics
for the 2 anatomic sites. HPV-16 MVs were detected in 20 samples (36%), with no
difference between cervical and anal samples. We did not find an association
between the presence of MVs and cytovirological parameters. Seven HPV-16 genomes
(13%) were apolipoprotein B messenger RNA editing, catalytic polypeptide-like 3
(APOBEC) edited. Among the cervical HPV-16-positive samples, most MVs (55%)
resulted from APOBEC-related mutations. MVs were detected in 10 HPV-52-positive
(50%) and 12 HPV-58-positive (71%) samples, with no difference between cervical
and anal samples. No APOBEC-related mutations were found on HPV-58 or HPV-52
genomes. Conclusions: Overall, high-risk HPV MVs were found in about half of all
cases in both anal and cervical samples. Interestingly, we reported for the first
time a differential impact of APOBEC3 mutagenic activity depending on high-risk
HPV type.
PMID- 29788375
TI - Psychometric evaluation of the Smartphone for Clinical Work Scale to measure
nurses' use of smartphones for work purposes.
AB - Objective: This study reports the development and psychometric evaluation of the
Smartphone for Clinical Work Scale (SCWS) to measure nurses' use of smartphones
for work purposes. Methods: Items were developed based on literature review and a
preliminary study. After expert consultations and pilot testing, a 20-item scale
was administered in January-June 2017 to 517 staff nurses from 19 tertiary-level
general hospitals in Metro Manila, Philippines. Exploratory factor analysis (EFA)
and confirmatory factor analysis (CFA) were used to evaluate construct validity.
Structural equation modeling (SEM) was used to test the predictive validity of
SCWS on perceived work productivity. Results: EFA results show that 15 out of 20
items loaded on five factors: communication with clinicians via call and text,
communication with doctors via instant messaging, information seeking,
communication with nurses via instant messaging, and communication with patients
via call and text. CFA results suggest that the five factors that form SCWS have
adequate fit to the data, thus supporting construct validity. SEM results suggest
predictive validity since SCWS was positively associated with perceived work
productivity. Conclusions: The 15-item SCWS showed satisfactory psychometric
properties for use in future studies. These studies can focus on identifying
factors associated with nurses' use of smartphones for work purposes.
PMID- 29788376
TI - The Ocean Gene Atlas: exploring the biogeography of plankton genes online.
AB - The Ocean Gene Atlas is a web service to explore the biogeography of genes from
marine planktonic organisms. It allows users to query protein or nucleotide
sequences against global ocean reference gene catalogs. With just one click, the
abundance and location of target sequences are visualized on world maps as well
as their taxonomic distribution. Interactive results panels allow for adjusting
cutoffs for alignment quality and displaying the abundances of genes in the
context of environmental features (temperature, nutrients, etc.) measured at the
time of sampling. The ease of use enables non-bioinformaticians to explore
quantitative and contextualized information on genes of interest in the global
ocean ecosystem. Currently the Ocean Gene Atlas is deployed with (i) the Ocean
Microbial Reference Gene Catalog (OM-RGC) comprising 40 million non-redundant
mostly prokaryotic gene sequences associated with both Tara Oceans and Global
Ocean Sampling (GOS) gene abundances and (ii) the Marine Atlas of Tara Ocean
Unigenes (MATOU) composed of >116 million eukaryote unigenes. Additional datasets
will be added upon availability of further marine environmental datasets that
provide the required complement of sequence assemblies, raw reads and contextual
environmental parameters. Ocean Gene Atlas is a freely-available web service at:
http://tara-oceans.mio.osupytheas.fr/ocean-gene-atlas/.
PMID- 29788378
TI - Laboratory Validation and Field Assessment of Petroleum Laboratory Technicians'
Dermal Exposure to Crude Oil Using a Wipe Sampling Method.
AB - Crude oil may cause adverse dermal effects therefore dermal exposure is an
exposure route of concern. Galea et al. (2014b) reported on a study comparing
recovery (wipe) and interception (cotton glove) dermal sampling methods. The
authors concluded that both methods were suitable for assessing dermal exposure
to oil-based drilling fluids and crude oil but that glove samplers may
overestimate the amount of fluid transferred to the skin. We describe a study
which aimed to further evaluate the wipe sampling method to assess dermal
exposure to crude oil, with this assessment including extended sample storage
periods and sampling efficiency tests being undertaken at environmental
conditions to mimic those typical of outdoor conditions in Saudi Arabia. The wipe
sampling method was then used to assess the laboratory technicians' actual
exposure to crude oil during typical petroleum laboratory tasks. Overall,
acceptable storage efficiencies up to 54 days were reported with results
suggesting storage stability over time. Sampling efficiencies were also reported
to be satisfactory at both ambient and elevated temperature and relative humidity
environmental conditions for surrogate skin spiked with known masses of crude oil
and left up to 4 h prior to wiping, though there was an indication of reduced
sampling efficiency over time. Nineteen petroleum laboratory technicians provided
a total of 35 pre- and 35 post-activity paired hand wipe samples. Ninety-three
percent of the pre-exposure paired hand wipes were less than the analytical limit
of detection (LOD), whereas 46% of the post-activity paired hand wipes were less
than the LOD. The geometric mean paired post-activity wipe sample measurement was
3.09 ug cm-2 (range 1.76-35.4 ug cm-2). It was considered that dermal exposure
most frequently occurred through direct contact with the crude oil (emission) or
via deposition. The findings of this study suggest that the wipe sampling method
is satisfactory in quantifying laboratory technicians' dermal exposure to crude
oil. It is therefore considered that this wipe sampling method may be suitable to
quantify dermal exposure to crude oil for other petroleum workers.
PMID- 29788377
TI - WEGO 2.0: a web tool for analyzing and plotting GO annotations, 2018 update.
AB - WEGO (Web Gene Ontology Annotation Plot), created in 2006, is a simple but useful
tool for visualizing, comparing and plotting GO (Gene Ontology) annotation
results. Owing largely to the rapid development of high-throughput sequencing and
the increasing acceptance of GO, WEGO has benefitted from outstanding performance
regarding the number of users and citations in recent years, which motivated us
to update to version 2.0. WEGO uses the GO annotation results as input. Based on
GO's standardized DAG (Directed Acyclic Graph) structured vocabulary system, the
number of genes corresponding to each GO ID is calculated and shown in a
graphical format. WEGO 2.0 updates have targeted four aspects, aiming to provide
a more efficient and up-to-date approach for comparative genomic analyses. First,
the number of input files, previously limited to three, is now unlimited,
allowing WEGO to analyze multiple datasets. Also added in this version are the
reference datasets of nine model species that can be adopted as baselines in
genomic comparative analyses. Furthermore, in the analyzing processes each Chi
square test is carried out for multiple datasets instead of every two samples. At
last, WEGO 2.0 provides an additional output graph along with the traditional
WEGO histogram, displaying the sorted P-values of GO terms and indicating their
significant differences. At the same time, WEGO 2.0 features an entirely new user
interface. WEGO is available for free at http://wego.genomics.org.cn.
PMID- 29788380
TI - Good intentions are not enough: how informatics interventions can worsen
inequality.
AB - Health informatics interventions are designed to help people avoid, recover from,
or cope with disease and disability, or to improve the quality and safety of
healthcare. Unfortunately, they pose a risk of producing intervention-generated
inequalities (IGI) by disproportionately benefiting more advantaged people. In
this perspective paper, we discuss characteristics of health-related
interventions known to produce IGI, explain why health informatics interventions
are particularly vulnerable to this phenomenon, and describe safeguards that can
be implemented to improve health equity. We provide examples in which health
informatics interventions produced inequality because they were more accessible
to, heavily used by, adhered to, or effective for those from socioeconomically
advantaged groups. We provide a brief outline of precautions that intervention
developers and implementers can take to guard against creating or worsening
inequality through health informatics. We conclude by discussing evaluation
approaches that will ensure that IGIs are recognized and studied.
PMID- 29788381
TI - The Role of Apathy and Depression on Verbal Learning and Memory Performance After
Stroke.
AB - Objective: Psychiatric symptoms, including depression and apathy, may
significantly impede functional and cognitive capabilities following a
cerebrovascular event. This study examined the role of apathy and depression on
learning and memory performance in stroke patients. Method: Stroke patients (n =
140 [119 ischemic, 21 hemorrhagic], mean age = 60.6 [SD = 15.1]) completed the
Apathy Evaluation Scale (AES), the Center for Epidemiologic Studies Depression
Scale (CES-D), and the California Verbal Learning Test-Second Edition (CVLT-II).
Results: Using a 2 * 2 MANOVA with depression (CESD >= 16) and apathy (AES >= 34)
as the independent variables and cognitive performance (i.e., verbal acquisition,
short-term free recall, and long-term free recall) as the dependent variables, we
found a main effect for apathy (F[3,134] = 2.98, p = .034), such that apathetic
stroke patients (n = 24) performed significantly worse on verbal acquisition
(F[1,136] = 6.44; p = .012), short-term free recall (F[1,136] = 7.86; p = .006),
and long-term free recall (F[1,136] = 8.37; p = .004) than nonapathetic stroke
patients (n = 116). There was no main effect of depression on cognitive
performance (F[1,136] = 1.72, p = .155). Conclusions: These results suggest that
apathy, not depression, is related to verbal memory performance in stroke
patients. Future research should explore whether treatment of apathy (e.g.,
improving motivation) could be a novel target for improving cognition after
stroke. Researchers should also examine whether this model can be applied to
other aspects of cognition, including executive function and other areas of
memory including autobiographical and working memory.
PMID- 29788382
TI - Colonic Inhibition of Phosphatase and Tensin Homolog Increases Colitogenic
Bacteria, Causing Development of Colitis in Il10-/- Mice.
AB - Background: Phosphatase and tensin homolog (Pten) is capable of mediating microbe
induced immune responses in the gut. Thus, Pten deficiency in the intestine
accelerates colitis development in Il10-/- mice. As some ambient pollutants
inhibit Pten function and exposure to ambient pollutants may increase
inflammatory bowel disease (IBD) incidence, it is of interest to examine how Pten
inhibition could affect colitis development in genetically susceptible hosts.
Methods: With human colonic mucosa biopsies from pediatric ulcerative colitis and
non-IBD control subjects, we assessed the mRNA levels of the PTEN gene and the
gene involved in IL10 responses. The data from the human tissues were
corroborated by treating Il10-/-, Il10rb-/-, and wild-type C57BL/6 mice with Pten
specific inhibitor VO-OHpic. We evaluated the severity of mouse colitis by
investigating the tissue histology and cytokine production. The gut microbiome
was investigated by analyzing the 16S ribosomal RNA gene sequence with mouse
fecal samples. Results: PTEN and IL10RB mRNA levels were reduced in the human
colonic mucosa of pediatric ulcerative colitis compared with non-IBD subjects.
Intracolonic treatment of the Pten inhibitor induced colitis in Il10-/- mice,
characterized by reduced body weight, marked colonic damage, and increased
production of inflammatory cytokines, whereas Il10rb-/- and wild-type C57BL/6
mice treated with the inhibitor did not develop colitis. Pten inhibitor treatment
changed the fecal microbiome, with increased abundance of colitogenic bacteria
Bacteroides and Akkermansia in Il10-/- mice. Conclusions: Loss of Pten function
increases the levels of colitogenic bacteria in the gut, thereby inducing
deleterious colitis in an Il10-deficient condition.
PMID- 29788379
TI - DNA Damage Response and Repair, DNA Methylation, and Cell Death in Human Neurons
and Experimental Animal Neurons Are Different.
AB - Neurological disorders affecting individuals in infancy to old age elude
interventions for meaningful protection against neurodegeneration, and
preclinical work has not translated to humans. We studied human neuron responses
to injury and death stimuli compared to those of animal neurons in culture under
similar settings of insult (excitotoxicity, oxidative stress, and DNA damage).
Human neurons were differentiated from a cortical neuron cell line and the
embryonic stem cell-derived H9 line. Mouse neurons were differentiated from
forebrain neural stem cells and embryonic cerebral cortex; pig neurons were
derived from forebrain neural stem cells. Mitochondrial morphology was different
in human and mouse neurons. Human and mouse neurons challenged with DNA-damaging
agent camptothecin showed different chromatin condensation, cell death, and DNA
damage sensor activation. DNA damage accumulation and repair kinetics differed
among human, mouse, and pig neurons. Promoter CpG island methylation microarrays
showed significant differential DNA methylation in human and mouse neurons after
injury. Therefore, DNA damage response, DNA repair, DNA methylation, and
autonomous cell death mechanisms in human neurons and experimental animal neurons
are different.
PMID- 29788383
TI - Assessment of Quality of Life in Chinese Patients With Inflammatory Bowel Disease
and their Caregivers.
AB - Background: Chronic diseases such as inflammatory bowel disease (IBD) usually
affect the psychological status and health-related quality of life (HRQOL) of
patients and their caregivers. The aim of this study was to evaluate the level of
anxiety, depression, and HRQOL and find the risk factors predictive of HRQOL in
IBD patients and their caregivers in a Chinese population. Methods: One hundred
four adult patients with IBD, 102 family caregivers, and 99 healthy controls were
enrolled. They completed self-administered surveys related to QOL and
psychological questionnaires, including the Short Inflammatory Bowel Disease
Questionnaire (patients only), the Short Form-36 Health Survey (SF-36), Self
rating Anxiety Scale (SAS), and Self-rating Depression Scale (SDS). Results: Both
the mean SAS total score and the mean SDS total score among the patients and the
caregivers were found to be significantly higher than those among the general
population (P < 0.05). Total SF-36 score was significantly different between the
patients and the general population (P = 0.001), and between caregivers and the
general population (P = 0.011). The result showed that the total SF-36 score of
the patients had a significant negative correlation with SAS score in the
patients (P = 0.040), SDS score in the patients (P = 0.004), annual income (P =
0.036), use of biologicals (P = 0.028), frequency of hospitalization in the last
year (P = 0.033), and severity of IBD (P = 0.021). The total SF-36 score of the
caregivers was significantly and negatively correlated with SDS score in the
caregivers (P = 0.010), SDS score in the patients (P = 0.010), use of biologicals
(P = 0.013), and frequency of hospitalization in the last year (P = 0.010) of the
patients. Conclusions: A large proportion of IBD patients and their caregivers
experience a high level of anxiety and depression and an impaired HRQOL. Higher
levels of anxiety and depression, annual income, use of biologicals, higher
frequency of hospitalization in the last year, and disease activity were
independent predictors of reduced patient HRQOL; higher levels of depression in
both caregivers and patients, use of biologicals, and frequency of
hospitalization in the last year of the patients were independent predictors of
reduced caregiver HRQOL.
PMID- 29788384
TI - Time-to-Event Modeling of Left- or Right-Censored Toxicity Data in Nonclinical
Drug Toxicology.
AB - A time-to-event (TTE) model has been developed to characterize a histopathology
toxicity that can only be detected at the time of animal sacrifice. The model of
choice was a hazard model with a Weibull distribution and dose was a significant
covariate. The diagnostic plots showed a satisfactory fit of the data, despite
the high degree of left and right censoring. Comparison to a probabilistic logit
model shows similar performance in describing the data with a slight
underestimation of survival by the Logit model. However, the TTE model was found
to be more predictive in extrapolating toxicity risk beyond the observation range
of a truncated dataset. The diagnostic and comparison outcomes would suggest
using the TTE approach as a first choice for characterizing short and long-term
risk from nonclinical toxicity studies. However, further investigations are
needed to explore the domain of application of this kind of approach in drug
safety assessment.
PMID- 29788387
TI - Methodological Issues on the Accuracy and Precision of Clinical Examination in
the Diagnosis of Myofascial Pain Syndrome.
PMID- 29788385
TI - Systematic Review and Meta-analysis: Vedolizumab and Postoperative Complications
in Inflammatory Bowel Disease.
AB - Background: Several studies have reported the surgical outcomes of inflammatory
bowel disease (IBD) patients exposed to vedolizumab (VDZ) preoperatively, with
conflicting results. This meta-analysis aims to evaluate the risk of
postoperative complications in IBD patients preoperatively exposed to VDZ in
comparison with patients exposed to anti-tumor necrosis factor (anti-TNF)
treatment or no biologic therapy. Methods: A systematic review with a meta
analysis of the existing literature was conducted. The main outcomes included the
odds of developing overall postoperative complications, infectious complications,
surgical site infections, need for repeat surgery, and major postoperative
complications, as defined by the Clavien-Dindo criteria. Results: Four studies
were included in the meta-analysis. The risk of all postoperative complications
was not significantly different between IBD patients exposed preoperatively to
VDZ vs anti-TNFs (odds ratio [OR], 0.64; 95% confidence interval [CI], 0.21
1.88). In patients with ulcerative colitis (UC), the OR for complications was
significantly lower in VDZ-exposed as opposed to anti-TNF-exposed patients (OR,
0.35; 95% CI, 0.14-0.85); the comparison was insignificant in Crohn's disease.
There were no significant differences in the risk of infectious complications,
surgical site infections, need for reoperation, or major surgical complications
in patients exposed to VDZ vs anti-TNFs. There were no significant differences in
outcomes when comparing patients exposed to VDZ with those not given biologic
therapy. Conclusions: This meta-analysis did not detect an increased risk of
postoperative complications with preoperative VDZ exposure; the risk of overall
complications may be lower in UC patients in comparison with those with anti-TNF
exposure. These results merit further verification in future studies.
PMID- 29788386
TI - Diversity, not uniformity: slaughter and electrical waterbath stunning procedures
in Belgian slaughterhouses.
AB - Data on slaughter and stunning protocols in Belgian poultry abattoirs were
collected, and subsequently the survival rate after electrical waterbath stunning
in 1,400 animals across 7 selected slaughterhouses was determined. The majority
of the abattoirs applied electrical waterbath stunning (72%), whereas the other
methods were gas stunning (13%), head-only stunning (6%), and dry stunning (3%).
In 6% of the slaughterhouses, the birds were killed without previous stunning,
according to religious rites (i.e., ritual or religious slaughter). Although
religious slaughter occurred in a substantial number of abattoirs, the customers
of the majority of them allowed stunning, provided the animals were not killed by
the stunning procedure. Substantial variation both in electrical waterbath
devices and technical settings (electrical current type, wave type, voltage,
frequency) combinations was observed. These settings did not only differ between
slaughterhouses, but also between subsequent visits to the same slaughterhouse.
Despite this variation, all systems comprised a constant voltage, multiple bird
stunner. The minimum average electrical current that every chicken should receive
at a certain frequency, as stated by the European Regulation No 1099/2009, was
not achieved for each animal monitored due to the bird's characteristics and the
different applied stunning settings, with the exception of all ISA laying hens
and broiler breeders in one particular slaughterhouse. The survival rate ranged
from 96.0 to 100%, 97.0 to 100%, 68.0%, 72.0 to 85.1%, and 5.6 to 52.4% in 5-wk
old broilers, 6-wk-old broilers, LSL laying hens, ISA laying hens, and broiler
breeders, respectively. Monitoring of unconsciousness after passing through the
waterbath was not always performed and when it was, there was no uniformity in
the applied criteria. It was concluded that a large variation in slaughter and
stunning practices exists among slaughterhouses in Belgium. Further research
should explore the effect of the different settings on inducing a successful stun
and on carcass quality, and assess if the observed variation also exists in other
EU member states.
PMID- 29788388
TI - Persistent Metabolic Disturbance in the Perihemorrhagic Zone Despite a Normalized
Cerebral Blood Flow Following Surgery for Intracerebral Hemorrhage.
AB - BACKGROUND: We hypothesized that reduced cerebral blood flow (CBF) and/or energy
metabolic disturbances exist in the tissue surrounding a surgically evacuated
intracerebral hemorrhage (ICH). If present, such CBF and/or metabolic impairments
may contribute to ongoing tissue injury and the modest clinical efficacy of ICH
surgery. OBJECTIVE: To conduct an observational study of CBF and the energy
metabolic state in the perihemorrhagic zone (PHZ) tissue and in seemingly normal
cortex (SNX) by microdialysis (MD) following surgical ICH evacuation. METHODS: We
evaluated 12 patients (median age 64; range 26-71 yr) for changes in CBF and
energy metabolism following surgical ICH evacuation using Xenon-enhanced computed
tomography (n = 10) or computed tomography perfusion (n = 2) for CBF and dual MD
catheters, placed in the PHZ and the SNX at ICH surgery. RESULTS: CBF was
evaluated at a mean of 21 and 58 h postsurgery. In the hemisphere ipsilateral to
the ICH, CBF improved between the investigations (36.6 +/- 20 vs 40.6 +/- 20
mL/100 g/min; P < .05). In total, 1026 MD samples were analyzed for energy
metabolic alterations including glucose and the lactate/pyruvate ratio (LPR). The
LPR was persistently elevated in the PHZ compared to the SNX region (P < .05).
LPR elevations in the PHZ were predominately type II (pyruvate normal-high;
indicating mitochondrial dysfunction) as opposed to type I (pyruvate low;
indicating ischemia) at 4 to 48 h (70% vs 30%) and at 49 to 84 h (79% vs 21%; P <
.05) postsurgery. CONCLUSION: Despite normalization of CBF following ICH
evacuation, an energy metabolic disturbance suggestive of mitochondrial
dysfunction persists in the perihemorrhagic zone.
PMID- 29788389
TI - Pregnancy after vasectomy: surgical reversal or assisted reproduction?
AB - STUDY QUESTION: Should we opt for surgical vasovasostomy or IVF/ICSI after a
vasectomy? SUMMARY ANSWER: Both options reveal acceptable pregnancy rates though
the time to pregnancy was significantly lower in the immediate IVF/ICSI group.
WHAT IS KNOWN ALREADY: About 7.4% of men regret their vasectomy and express a
renewed child wish. The choice between surgical vasectomy reversal or ICSI
remains difficult for patients and their fertility specialist. STUDY DESIGN,
SIZE, DURATION: This study was a retrospective single-center cohort analysis of
all males with a vasectomy in the past seeking treatment between 2006 and 2011 (n
= 163). One group of patients opted for a reanastomosis procedure while the
others opted for an immediate IVF/ICSI treatment. This included 99 males who
underwent reanastomosis and 64 couples who immediately underwent ICSI treatment.
PARTICIPANTS/MATERIALS, SETTING, METHODS: All reanastomosis procedures were done
by the same surgeon. ICSI was used in all cases where testicular sperm were
extracted by fine needle aspiration (FNA) or testicular sperm extraction (TESE).
MAIN RESULTS AND THE ROLE OF CHANCE: The mean male age at vasectomy was 35.5
years and 44.4 years at reanastomosis. The mean (range) obstructive interval was
9.53 years (1-27). No significant differences were found between the two groups
in female patient characteristics, such as age and parity. In the reversal group,
the crude cumulative delivery rate (CDR) was 49.5%. However, in the 45 patients
of this group who attempted to conceive spontaneously ('primary reanastomosis'
pathway), the crude CDR was 40.0%. The remaining 54 patients (the 'switchers'
pathway) who underwent a reversal procedure and later switched to ART, had a
crude CDR of 57.4%. Of these, four patients opted for insemination, including two
who later decided to switch to IVF/ICSI. The 64 patients who immediately
underwent IVF/ICSI ('primary IVF/ICSI' pathway) had a crude CDR of 43.8% and an
expected CDR of 51.6%. The difference in delivery rates between the primary
reanastomosis group (40.0%) and the primary IVF/ICSI group (43.8%) was not
statistically significant. Time to pregnancy was significantly shorter in the
primary IVF/ICSI pathway, at 8.2 versus 16.3 months in the reanastomosis group.
LIMITATIONS, REASONS FOR CAUTION: The study population was rather small.
Furthermore, the study may be limited by the fact that the reason for the renewed
child wish in most cases was a new relationship with another woman, a factor
which may also play a role in the cause of infertility. WIDER IMPLICATIONS OF THE
FINDINGS: Recanalisation of the vas seems to be a reasonable alternative for
patients who do not wish to undergo immediate IVF/ICSI. In those who opt for ART
immediately, the cumulative pregnancy rates seem comparable but the pregnancies
occurred earlier. STUDY FUNDING, COMPETING INTEREST(S): No funding was used for
this study. There is no conflict of interest for this study. TRIAL REGISTRATION
NUMBER: N/A.
PMID- 29788391
TI - Distinct Histopathologic and Molecular Alterations in Inflammatory Bowel Disease
Associated Intestinal Adenocarcinoma: c-MYC Amplification is Common and
Associated with Mucinous/Signet Ring Cell Differentiation.
AB - Background: Chronic idiopathic inflammatory bowel disease (IBD) is a significant
risk factor for the development of intestinal adenocarcinoma. The underlying
molecular alterations in IBD-associated intestinal adenocarcinoma remain largely
unknown. Methods: We compared the clinicopathologic and molecular features of 35
patients with 47 IBD-associated intestinal adenocarcinomas with a consecutive
series of 451 patients with sporadic colorectal carcinoma identified at our
institution and published data on sporadic colorectal carcinoma. Results: c-MYC
amplification was the most frequent molecular alteration identified in 33% of IBD
associated intestinal adenocarcinoma that is a significantly higher frequency
than in sporadic colorectal carcinoma (8%) (P = 0.0001). Compared to sporadic
colorectal carcinoma, IBD-associated intestinal adenocarcinomas more frequently
demonstrated mucinous differentiation (60% vs 25%, P < 0.001) and signet ring
cell differentiation (28% vs 4%, P < 0.001). Mucinous and signet ring cell
differentiation were significantly associated with the presence of c-MYC
amplification (both with P < 0.05). HER2 positivity (11%), KRAS exon 2 or 3
mutation (10%), and IDH1 mutation (7%) were less commonly observed in IBD
associated intestinal adenocarcinoma. There was an association between poor
survival and HER2 status with 3 of 4 patients having HER2-positive adenocarcinoma
dead of disease at last clinical follow-up; however, no statistically significant
survival effect was identified for any of the molecular alterations identified.
Conclusions: We demonstrate that IBD-associated intestinal adenocarcinomas have a
high frequency of c-MYC amplification that is associated with mucinous and signet
ring cell differentiation. Many of the identified molecular alterations have
potential therapeutic relevance, including HER2 amplification, IDH1 mutation, and
low frequency KRAS mutation.
PMID- 29788392
TI - Prognostic accuracy of different lymph node staging systems in rectal
adenocarcinoma with or without preoperative radiation therapy.
AB - Background and objective: A variety of different lymph node (LN) staging systems
have been developed to describe the lymph node status accurately. We aim to
compare the prognostic accuracy of American Joint Committee on Cancer seventh N
stage relative to negative number of lymph node (nLN), lymph node ratio (LNR) and
log odds of metastatic lymph nodes (LODDS) in rectal adenocarcinoma (RC).
Methods: A total of 19 167 Stage II-III rectal cancer patients who underwent
surgical resection of rectal adenocarcinoma were identified from Surveillance,
Epidemiology and End Results database. Akaike's Information Criterion (AIC) and
the Harrell's concordance index (c statistic) were used to evaluate the relative
discriminative power of the different LN staging systems. Results: Of the 19 167
patients, 10 958 received preoperative radiotherapy (pre-RT cohort) and 8209
patients were treated with surgical resection directly (SURG cohort). When
assessed using categorical cutoff values, LNR has a somewhat better prognostic
accuracy both in pre-RT (c-index: 0.62; AIC: 2988.6) and SURG groups (c-index:
0.60; AIC: 3359.8). Further analysis based on different total number of lymph
node (TNLN) suggested that when less than 10 lymph nodes were retrieved, LNR
exhibited significant superiority (pre-RT: c-index: 0.597, AIC: 1006.8; SURG: c
index: 0.560, AIC: 810.5). When analyzed as a continuous variable, the LODDS
system performed the best and was not impacted by TNLN. Conclusion: When assessed
as a categorical variable, LNR was the most powerful method to predict survival
for Stage II-III RC patients with limited TNLN. Rather, LODDS was the most
accurate staging system regardless of the TNLN when LN status was modeled as
continuous variable.
PMID- 29788393
TI - Epidemiology of Symptomatic Chiari Malformation in Tatarstan: Regional and Ethnic
Differences in Prevalence.
AB - BACKGROUND: Epidemiology can assess the effect of Chiari I malformation (CM1) on
the neurological health of a population and evaluate factors influencing CM1
development. OBJECTIVE: To analyze the regional and ethnic differences in the
prevalence of CM1. METHODS: The population of the Republic of Tatarstan (RT) in
the Russian Federation was evaluated for patients with CM1 symptoms over an 11-yr
period. Typical symptoms of CM1 were found in 868 patients. Data from
neurological examination and magnetic resonance imaging (MRI) measurement of
posterior cranial fossa structures were analyzed. RESULTS: MRI evidence of CM1,
defined as cerebellar tonsils lying at least 5 mm inferior to the foramen magnum,
was found in 67% of symptomatic patients. Another 33% of symptomatic patients had
2 to 4 mm of tonsillar ectopia, which we defined as "borderline Chiari
malformation type 1 (bCM1)." The period prevalence in the entire RT for
symptomatic CM1 was 20:100 000; for bCM1 was 10:100 000; and for CM1 and bCM1
together was 30:100 000. Prevalence of patients with CM1 symptoms was greater in
the northern than southern districts of Tatarstan, due to a high prevalence
(413:100 000) of CM1 in the Baltasy region in one of the northern districts.
CONCLUSION: One-third of patients with typical symptoms of CM1 had less than 5 mm
of tonsillar ectopia (bCM1). Assessments of the health impact of CM1-type
symptoms on a patient population should include the bCM1 patient group. A
regional disease cluster of patients with Chiari malformation was found in
Baltasy district of RT and needs further study.
PMID- 29788390
TI - Cognitive Performance as Predictor and Outcome of Adolescent Bariatric Surgery: A
Nonrandomized Pilot Study.
AB - Objectives: Evidence in adults suggests that improvements in cognitive
performance may follow weight loss resulting from bariatric surgery, and baseline
cognitive performance may be associated with weight loss following surgery. This
has not been evaluated in adolescents. Method: Participants were 38 adolescents
of age 14-21 years composed of three groups: (1) 12 adolescents with severe
obesity who received vertical sleeve gastrectomy during the study (VSG); (2) 14
adolescents with severe obesity who were wait-listed for VSG (WL); and (3) 12
healthy weight controls (HC). Participants completed testing of visual memory,
verbal memory, and executive functioning at baseline (T1), which occurred
presurgery for the VSG group, and approximately 4 months after baseline (T2).
Body mass index (BMI) was assessed at T1, T2, and additionally at 6 months
following VSG for the adolescents who received surgery. Results: Although there
was evidence of greater improvement for the VSG as compared with WL and HC groups
in visual and verbal memory, group differences did not reach significance and
effect sizes were small (eta2 < 0.01). There was a significant positive
association between indices of baseline executive functioning and excess BMI loss
at 6 months postsurgery. Conclusions: This small pilot study showed no
significant differences by group in cognitive performance post-VSG. There was a
significant association of baseline cognitive performance with weight loss
outcomes. Given the very preliminary nature of these results in a small sample,
future research should examine these relationships in a larger sample and
evaluate mechanisms of these associations (e.g., insulin resistance, sleep,
physical activity).
PMID- 29788395
TI - Psychological Functioning in Patients with Chronic Obstructive Pulmonary Disease:
A Preliminary Study of Relations with Smoking Status and Disease Impact.
AB - Introduction: Chronic obstructive pulmonary disease (COPD) is a tobacco-related
disease associated with several comorbid conditions, including elevated rates of
depression and anxiety. Psychological factors that commonly underlie nicotine
dependence, depression, and anxiety may represent novel treatment targets, but
have not yet been examined among COPD patients. We assessed three psychological
factors - anxiety sensitivity (AS; fear of anxiety-related sensations), distress
intolerance (DI; inability to withstand distressing states), and anhedonia (Anh;
diminished sense of pleasure or interest) - in relation to smoking status, COPD
symptom impact, and negative response to COPD symptoms. Methods: We conducted a
single-session laboratory assessment with 37 COPD patients (17 current daily
smokers and 20 former smokers). All participants completed self-report measures
of psychological factors, COPD symptom impact, response to COPD symptoms, and
anxiety and depression symptoms. Results: Current vs. former smokers with COPD
reported higher levels of AS, DI, and Anh. In univariate regression models, AS,
DI, and Anh were each associated with greater COPD symptom impact and
breathlessness catastrophizing. Only AS remained a significant predictor of COPD
symptom impact and breathlessness catastrophizing after adjusting for general
depression and anxiety symptoms. Conclusions: Our preliminary study is the first
to assess AS, DI, and Anh among COPD patients. These psychological factors were
elevated among current smokers and associated with more negative disease impact,
suggesting their potential utility as treatment targets within this clinical
population. Implications: While elevated rates of anxiety and depression among
COPD patients have been well-characterized, few studies have specifically
addressed the causal, modifiable psychological factors that may underlie these
disorders. Our preliminary findings demonstrate associations of three
psychological factors - anxiety sensitivity, distress intolerance, and anhedonia
with smoking status, COPD symptom impact, and negative reaction to symptoms.
Cognitive-behavioral interventions targeted to these psychological factors may
improve smoking cessation outcomes and disease adjustment among COPD patients.
PMID- 29788394
TI - RNA Interference of the Muscle Actin Gene in Bed Bugs: Exploring Injection Versus
Topical Application for dsRNA Delivery.
AB - Bed bugs are one the most troublesome household pests that feed primarily on
human blood. RNA interference (RNAi) is currently being pursued as a potential
tool for insect population management and has shown efficacy against some
phytophagous insects. We evaluated the different techniques to deliver dsRNA
specific to bed bug muscle actin (dsactin) into bed bugs. Initially, stability of
dsRNA in human blood was studied to evaluate the feasibility of feeding method.
Adult bed bugs were injected with dsRNA between last thoracic segment and first
abdominal segment on the ventral side, with a dose of 0.2 ug dsactin per insect.
In addition to injection, dsactin was mixed in acetone and treated topically in
the abdomens of fifth stage nymphs. We found the quick degradation of dsRNA in
blood. Injection of dsactin caused significant depletion of actin transcripts and
substantial reduction in oviposition and lethality in female adults. Topically
treated dsRNA in fifth stage nymphs had no effect on actin mRNA expression and
survival. Our results demonstrated that injection is a reliable method of dsRNA
delivery into bed bugs while topical treatment was not successful. This research
provides an understanding on effective delivery methods of dsRNA into bed bugs
for functional genomics research and feasibility of the RNAi based molecules for
pest management purposes.
PMID- 29788396
TI - Injury Surveillance and Reporting for Trainees with Bone Stress Injury: Current
Practices and Recommendations.
AB - Background: Musculoskeletal injuries, including lower extremity bone stress
injuries (BSI) significantly impact initial entry training (IET) in the U.S. Army
due to limited duty days, trainee attrition, early medical discharge, and related
financial costs. Factors complicating trainee BSI surveillance include
inconsistent BSI coding practices, attrition documentation as both administrative
separations and medical discharges and the inability to code for BSI grade or
severity when using International Statistical Classification of Diseases and
Related Health Problems 10th revision (ICD-10) codes. Methods: A
multidisciplinary expert panel developed policy guidance to enhance clinical and
administrative management of BSI, following extensive analysis of current, peer
reviewed literature. Policy guidance incorporates leading practices concerning
clinical BSI management, including imaging procedures, recommended notifications,
early intervention, and ICD-10 diagnostic coding procedures. Policy guidance also
standardizes BSI grading criteria for magnetic resonance imaging and skeletal
scintigraphy (bone scan). Findings: Multidisciplinary expert opinion indicates
inconsistent BSI diagnosis and management across IET due to variability in
trainee BSI grading, documentation, and coding practices. Injury surveillance
conducted by the United States Army Medical Command (USAMEDCOM) will benefit from
routine, standardized musculoskeletal injury data base searches by BSI
severity/grade and anatomical location upon implementation of BSI policy
guidance. Discussion: Effective injury surveillance is critical for determining
trainee BSI incidence and attrition, developing anticipated return to duty (RTD)
timelines, and assessing long-term outcomes. BSI RTD timelines should account for
gender, BSI grade/severity, anatomical location, and type of intervention. Well
defined RTD timelines would benefit administrative decision-making purposes,
including whether to grant convalescent leave or enroll in the Warrior Training
and Rehabilitation Program during BSI recovery. Enhanced management procedures
may improve initial enlistment completion rates for trainees sustaining at least
one BSI who eventually complete IET.
PMID- 29788398
TI - Inferring Diversification Rate Variation From Phylogenies With Fossils.
AB - Time-calibrated phylogenies of living species have been widely used to study the
tempo and mode of species diversification. However, it is increasingly clear that
inferences about species diversification - extinction rates in particular - can
be unreliable in the absence of paleontological data. We introduce a general
framework based on the fossilized birth-death process for studying speciation
extinction dynamics on phylogenies of extant and extinct species. The model
assumes that phylogenies can be modeled as a mixture of distinct evolutionary
rate regimes and that a hierarchical Poisson process governs the number of such
rate regimes across a tree. We implemented the model in BAMM, a computational
framework that uses reversible jump Markov chain Monte Carlo to simulate a
posterior distribution of macroevolutionary rate regimes conditional on the
branching times and topology of a phylogeny. The implementation we describe can
be applied to paleontological phylogenies, neontological phylogenies, and to
phylogenies that include both extant and extinct taxa. We evaluate performance of
the model on datasets simulated under a range of diversification scenarios. We
find that speciation rates are reliably inferred in the absence of
paleontological data. However, the inclusion of fossil observations substantially
increases the accuracy of extinction rate estimates. We demonstrate that
inferences are relatively robust to at least some violations of model
assumptions, including heterogeneity in preservation rates and misspecification
of the number of occurrences in paleontological datasets.
PMID- 29788397
TI - Polyspecific Intravenous Immunoglobulin in Clindamycin-treated Patients With
Streptococcal Toxic Shock Syndrome: A Systematic Review and Meta-analysis.
AB - We evaluated the effect of intravenous immunoglobulin (IVIG) on mortality in
clindamycin-treated streptococcal toxic shock syndrome using a meta-analysis. In
association with IVIG, mortality fell from 33.7% to 15.7% with remarkable
consistency across the single randomized and four nonrandomized studies.
PMID- 29788399
TI - Reply to 'Travellers' diarrhoea in children: a blind spot in the expert panel
guidelines on prevention and treatment'.
PMID- 29788400
TI - Real-time incidence of travel-related symptoms through a smartphone-based app
remote monitoring system: a pilot study.
AB - Trip Doctor(r), a Smartphone-based app monitoring system, was developed to detect
infections among travelers in real-time. For testing, 106 participants were
recruited (62.2% male, mean age 36 years (SD = 11)). Majority of trips were for
tourism and main destinations were in South East Asia. Mean travel duration was
14 days (SD = 10). Diarrhea was the most frequently reported symptom (15.5%). The
system demonstrated adequate usability and is ready to be used on a larger scale.
PMID- 29788402
TI - Internationally adopted children: not only infectious diseases!
AB - Information on prevalence of special needs in internationally adopted children
(IAC) is incomplete. We reviewed data from 422 IAC screened at a single Centre in
Italy in 2015-16. Prevalence of special needs reached 17.1% (n = 72). Among these
children, the most frequent conditions were fetal alcohol spectrum disorders
(FASD; n = 30; 7.1%), cleft lip palate (n = 8; 1.9%) and other congenital
malformations (n = 20; 4.7%). Worrisomely, 25 out of 52 (48.1%) Russian children
presented with FASD.
PMID- 29788401
TI - Enabling clinicians to easily find location-based travel health recommendations
is innovation needed?
AB - Background: The types of place names and the level of geographic detail that
patients report to clinicians regarding their intended travel itineraries vary.
The reported place names may not match those in published travel health
recommendations, making traveler-specific recommendations potentially difficult
and time-consuming to identify. Most published recommendations are at the country
level; however, subnational recommendations exist when documented disease risk
varies within a country, as for malaria and yellow fever. Knowing the types of
place names reported during consultations would be valuable for developing more
efficient ways of searching and identifying recommendations, hence we inventoried
these descriptors and identified patterns in their usage. Methods: The data
analyzed were previously collected individual travel itineraries from pretravel
consultations performed at Global TravEpiNet (GTEN) travel clinic sites. We
selected a clinic-stratified random sample of records from 18 GTEN clinics that
contained responses to an open-ended question describing itineraries. We
extracted and classified place names into nine types and analyzed patterns
relative to common travel-related demographic variables. Results: From the 1756
itineraries sampled, 1570 (89%) included one or more place names, totaling 3366
place names. The frequency of different types of place names varied considerably:
2119 (63%) populated place, 336 (10%) tourist destination, 283 (8%) physical
geographic area, 206 (6%) vague subnational area, 163 (5%) state, 153 (5%)
country, 48 (1%) county, 12 (1%) undefined. Conclusions: The types of place names
used by travelers to describe travel itineraries during pretravel consultations
were often different from the ones referenced in travel health recommendations.
This discrepancy means that clinicians must use additional maps, atlases or
online search tools to cross-reference the place names given to the available
recommendations. Developing new clinical tools that use geographic information
systems technology would make it easier and faster for clinicians to find
applicable recommendations for travelers.
PMID- 29788404
TI - Snakemake-a scalable bioinformatics workflow engine.
PMID- 29788403
TI - Evaluating Previous Antibiotic Use as a Risk Factor for Acute Gastroenteritis
Among Children in Davidson County, Tennessee, 2014-2015.
AB - Background: Epidemiologic studies that evaluate the relationship between previous
antibiotic use and acute gastroenteritis (AGE) in the pediatric population are
currently lacking. Methods: We analyzed inpatient and outpatient children with
AGE and healthy controls from Vanderbilt University Medical Center between
December 1, 2014, and November 30, 2015. The following 4 outcome groups were
defined: overall AGE, norovirus-associated AGE, rotavirus-associated AGE, and
nonnorovirus/nonrotavirus AGE. Multiple logistic regression was performed to
evaluate the association between previous antibiotic use and the 4 AGE outcomes
and with AGE severity. Results: Reported antibiotic use rates in the 3 months
before illness onset were similar across the 4 AGE outcomes (overall AGE, 21%;
norovirus-associated AGE, 23%; rotavirus-associated AGE, 28%; and
nonnorovirus/nonrotavirus AGE, 22%) but were higher than that reported for
healthy controls (9%). Compared with healthy controls, patients with AGE overall
were 4.6 (95% confidence interval [CI], 1.8-11.4) times more likely to have
reported antibiotic use in the 3 weeks before illness onset and 2.6 (95% CI, 1.7
4.1) times more likely to have reported antibiotic use within 3 months before
illness onset. Similar results were found for the other specific AGE outcomes.
For the overall AGE group, the odds of antibiotic use in the 3 months before
illness onset was 3.5 (95% CI, 1.8-7.1) times higher for inpatients than for
outpatients. Conclusions: Previous antibiotic use among children was associated
with increased odds of AGE, irrespective of etiology, and this association was
stronger with more recent antibiotic use. Previous antibiotic use was associated
also with more severe AGE.
PMID- 29788405
TI - Cohort Profile: The Swedish Longitudinal Occupational Survey of Health (SLOSH).
PMID- 29788406
TI - An informed decision between cleavage-stage and blastocyst-stage transfer in IVF
requires data on the transfers of frozen-thawed embryos.
PMID- 29788408
TI - Effects of 4-Hydroxy-2,3,3',4',5-Pentachlorobiphenyl (4-OH-CB107) on Liver
Transcriptome in Rats: Implication in the Disruption of Circadian Rhythm and
Fatty Acid Metabolism.
AB - Polychlorinated biphenyls (PCBs) and their hydroxylated metabolites (OH-PCBs)
have been detected in tissues of both wild animals and humans. Several previous
studies have suggested adverse effects of OH-PCBs on the endocrine and nervous
systems in mammals. However, there have been no studies on transcriptome analysis
of the effects of OH-PCBs, and thus, the whole picture and mechanisms underlying
the adverse effects induced by OH-PCBs are still poorly understood. We therefore
investigated the mRNA expression profile in the liver of adult male Wistar rats
treated with 4-hydroxy-2,3,3',4',5-pentachlorobiphenyl (4-OH-CB107) to explore
the genes responsive to OH-PCBs and to understand the potential effects of the
chemical. Next-generation RNA sequencing analysis revealed changes in the
expression of genes involved in the circadian rhythm and fatty acid metabolism,
such as nuclear receptor subfamily 1, group D, member 1, aryl hydrocarbon
receptor nuclear translocator-like protein 1, cryptochrome circadian clock 1, and
enoyl-CoA hydratase and 3-hydroxyacyl-CoA dehydrogenase, in 4-OH-CB107-treated
rats. In addition, biochemical analysis of the plasma revealed a dose-dependent
increase in the leucine aminopeptidase, indicating the onset of liver damage.
These results suggest that OH-PCB exposure may induce liver injury as well as
disrupt the circadian rhythm and peroxisome proliferator-activated receptor
related fatty acid metabolism.
PMID- 29788409
TI - Wider implications of the differential diagnosis of sarcoid-related pulmonary
cavitation.
PMID- 29788407
TI - Deregulation of SGK1 in Ulcerative Colitis: A Paradoxical Relationship Between
Immune Cells and Colonic Epithelial Cells.
AB - Background: Inflammatory bowel disease (IBD) is due to the interaction of genetic
and environmental factors that trigger an unbalanced immune response ultimately
resulting in the peculiar inflammatory reaction. Experimental models of IBD point
to a role of T-cell-derived cytokines (Th17) and to SGK1 as mediator of the Th17
switch. We hypothesize that SGK1, a salt inducible kinase, directs lymphocytic
behavior and tissue damage. Methods: Eleven controls and 32 ulcerative colitis
(UC) patients were randomized according to endoscopic Mayo score. Mucosal
biopsies from different intestinal tracts were analyzed by immunohistochemistry
and quantitative real-time polymerase chain reaction to check the expression of
disease markers including SGK1. Peripheral blood mononuclear cells (PBMCs) from
patients and controls were analyzed by fluorescence-activated cell sorting.
Finally, an in vitro cell model was developed to test the hypothesis. Results:
SGK1 mRNA and protein expression in lesional areas of UC patients were lower than
in normal peri-lesional areas of the same patients and in normal tissues of
healthy controls. SGK1 expression was increased in PBMCs from UC patients,
particularly in the CD4+ cell population, enriched in Th17 cells. IL17/IL13 was
increased in patients and correlated with SGK1 expression. Genetically engineered
Jurkat cells confirmed the effect of SGK1 overexpression on viability of RKO
cells. Conclusions: These observations suggest a pathogenic mechanism whereby
SGK1 overexpression in CD4+ T cells induces the secretion of the inflammatory
cytokines IL17 and IL13, which downregulate the expression of SGK1 in target
tissues. Our data suggest a novel hypothesis in the pathogenesis of UC,
integrating colonic epithelial cells and lymphocytes.
PMID- 29788410
TI - Differentiation Between Pediatric Irritable Bowel Syndrome and Inflammatory Bowel
Disease Based on Fecal Scent: Proof of Principle Study.
AB - Background: The diagnostic work-up of pediatric irritable bowel syndrome (IBS)
and functional abdominal pain-not otherwise specified (FAP-NOS) commonly includes
invasive tests for discrimination from inflammatory bowel disease (IBD). As this
carries a high burden on patients, an ongoing need exists for development of
noninvasive diagnostic biomarkers for IBS and FAP-NOS. Several studies have shown
microbiota alterations in IBS/FAP, which are considered to be reflected by fecal
volatile organic compounds (VOCs). The object of the study was to evaluate
whether pediatric IBS/FAP-NOS could be discriminated from IBD and healthy
controls by fecal VOC analysis. Methods: IBS/FAP-NOS was diagnosed according to
the ROME IV criteria, and de novo IBD patients and healthy controls (HCs) aged 4
to 17 years were matched on age and sex. Fecal VOCs were analyzed by means of
field asymmetric ion mobility spectrometry. Results: Fecal VOCs of 15 IBS/FAP
NOS, 30 IBD (15 ulcerative colitis, 15 Crohn's disease) patients and 30 HCs were
analyzed and compared. Differentiation between IBS/FAP-NOS and IBD was feasible
with high accuracy (area under the curve [AUC], 0.94; 95% confidence interval
[CI], 0.88-1; P < 0.00001). IBS/FAP-NOS profiles could not be differentiated from
HCs (AUC, 0.59; 95% CI, 0.41-0.77; P = 0.167), whereas IBD profiles could with
high accuracy (AUC, 0.96; 95% CI, 0.93-1; P < 0.00001). Conclusion: Pediatric
IBS/FAP-NOS could be differentiated from IBD by fecal VOC analysis with high
accuracy, but not from healthy controls. The latter finding limits the potential
of fecal VOCs to serve as a diagnostic biomarker for IBS/FAP-NOS. However, VOC
could possibly serve as additional noninvasive biomarker to differentiate IBS/FAP
NOS from IBD. 10.1093/ibd/izy151_video1izy151.video15786446046001.
PMID- 29788411
TI - Pathogenesis of duck circovirus genotype 1 in experimentally infected Pekin
ducks.
AB - Ducks infected with duck circovirus (DuCV) exhibit feathering disorder, growth
retardation, and low body weight. The virus can induce immunosuppression and
increase rates of infection caused by other pathogens. The purpose of the present
study was to investigate the pathogenesis of DuCV in experimentally infected
Pekin ducks. At postmortem examination, gross lesions were observed in the immune
organs including bursa of Fabricius (BF), thymus, and spleen. Hemorrhage,
lymphocytic depletion, necrosis, and degeneration were observed in the bursal
tissues by histological examination. The TUNEL assay was performed with bursal
tissue. There was a significant difference of the apoptosis rate between the
negative and DuCV-infected ducks. The earliest time point for detection of DuCV
DNA in sera, cloacal swabs, and organs was 1 wk post-infection (WPI). Viral
shedding was persistent and detectable at the end of the experiment (10 WPI). The
findings provide evidence that horizontal transmission and persistent infection
are the characteristics of DuCV. The organ with the highest mean viral load was
the spleen, followed by BF, cecal tonsil, lung, thymus, liver, and kidney. We
successfully established an experimental DuCV genotype 1 (DuCV-1) infection in
Pekin ducks and demonstrated the pathogenicity and persistence of DuCV-1. In
conclusion, DuCV-1 caused extensive damage to the immune organs that may have
resulted in immunosuppression. Pathobiological characteristics of DuCV-1 include
systemic infection, persistent infection, and horizontal transmission. These
features allow DuCV-1 to circulate more easily in farms and increase the
susceptibility of ducks to other diseases.
PMID- 29788412
TI - Treatment of Mal de Debarquement Syndrome in a Deployed Environment.
AB - We report the case of a 26-year-old Caucasian female with persistent sensations
of forward and reverse movement with spontaneous onset. This worsened over 4 wk.
The patient reported an episode of these symptoms 5 mo prior, which lasted for 3
mo before improving. Our case details the treatment of Mal de Debarquement
syndrome, or Disembarkment Syndrome, in a deployed military environment. Mal de
Debarquement was a term originally coined to describe the persistent sensation of
rocking back and forth after disembarking a boat and returning to land. This is
normal, and usually only lasts for minutes to hours. When it persists, it is
called Mal de Debarquement Syndrome. The onset frequently coincides with travel
and most commonly by boat, however it can also occur spontaneously as in this
case. Currently, there are three different treatment options. The first involves
medications that are often sedating. The second uses magnetic resonance imaging
at high frequency to stimulate the areas of the brain thought to be involved. The
third option is a form of physical therapy termed re-adaptation of the vestibular
ocular reflex. As we were in a deployed military environment the first two
options were unsafe and unavailable respectively. We employed an improvised
version of re-adaptation of the vestibular ocular reflex. The patient
demonstrated a 50% reduction in symptoms following 1 wk of treatment and as a
result was safely able to complete her deployment.
PMID- 29788413
TI - ezTag: tagging biomedical concepts via interactive learning.
AB - Recently, advanced text-mining techniques have been shown to speed up manual data
curation by providing human annotators with automated pre-annotations generated
by rules or machine learning models. Due to the limited training data available,
however, current annotation systems primarily focus only on common concept types
such as genes or diseases. To support annotating a wide variety of biological
concepts with or without pre-existing training data, we developed ezTag, a web
based annotation tool that allows curators to perform annotation and provide
training data with humans in the loop. ezTag supports both abstracts in PubMed
and full-text articles in PubMed Central. It also provides lexicon-based concept
tagging as well as the state-of-the-art pre-trained taggers such as TaggerOne,
GNormPlus and tmVar. ezTag is freely available at http://eztag.bioqrator.org.
PMID- 29788414
TI - The Contribution of Genetic Variation of Streptococcus Pneumoniae to the Clinical
Manifestation of Invasive Pneumococcal Disease.
AB - Background: Different clinical manifestations of invasive pneumococcal disease
(IPD) have thus far mainly been explained by patient characteristics. Here we
studied the contribution of pneumococcal genetic variation to IPD phenotype.
Methods: The index cohort consisted of 349 patients admitted to two Dutch
hospitals between 2000-2011 with pneumococcal bacteraemia. We performed genome
wide association studies to identify pneumococcal lineages, genes and allelic
variants associated with 23 clinical IPD phenotypes. The identified associations
were validated in a nationwide (n=482) and a post-pneumococcal vaccination cohort
(n=121). The contribution of confirmed pneumococcal genotypes to the clinical IPD
phenotype, relative to known clinical predictors, was tested by regression
analysis. Results: Among IPD patients, the presence of pneumococcal gene slaA was
a nationwide confirmed independent predictor of meningitis (OR=10.5, p=0.001, 5%
presence), as was sequence cluster 9 (predominant serotype 7F, OR=3.68, p=0.057,
11% presence). A set of 4 pneumococcal genes co-located on a prophage was a
confirmed independent predictor of 30-day mortality (OR=3.4, p=0.003, 48%
presence). We could detect the pneumococcal variants of concern in these
patients' blood samples. Conclusions: In this study, knowledge of pneumococcal
genotypic variants improved the clinical risk assessment for detrimental
manifestations of IPD. This provides us with novel opportunities to target,
anticipate or avert the pathogenic effects related to particular pneumococcal
variants, and indicates that information on pneumococcal genotype is important
for the diagnostic and treatment strategy in IPD. Ongoing surveillance is
warranted to monitor the clinical value of information on pneumococcal variants
in dynamic microbial and susceptible host populations.
PMID- 29788415
TI - Association of e-cigarette use with oral health: a population-based cross
sectional questionnaire study.
AB - Background: The impact of daily or intermittent electronic cigarette (e
cigarette) use on oral health is unknown. Methods: We performed a cross-sectional
analysis using the 2016 Behavioral Risk Factor Surveillance System data. Poor
oral health was determined by the number of permanent teeth removed due to non
traumatic causes, and e-cigarette use determined by daily or intermittent use
within 30 days prior to survey administration. We performed logistic regression
analysis to test associations between e-cigarette use and oral health with
adjustment for factors associated with poor oral health, survey clustering,
strata and weight. Results: We included survey responses from 456 343 adults.
Over half of respondents (51.5%) reported having at least one permanent tooth
removed because of tooth decay or gum disease in their lifetime. Daily e
cigarette use was reported by 4957 (1.1%) of respondents. In multivariable
analysis, daily e-cigarette use, was independently associated with a 78% higher
odds of poor oral health (adjusted OR = 1.78, 95% CI: 1.39-2.30; P < 0.001).
Conclusions: In a population-based health survey of US adults, self-reported
health behavior and outcomes, daily use, but not intermittent use of e-cigarettes
was independently associated with poor oral health. Care must be exercised in
seeking 'healthier' cigarette alternatives.
PMID- 29788416
TI - Evaluation of Rapid vs Standard Infliximab Infusions in the Pediatric Population.
AB - Background: Rapid 1-hour infliximab infusions have been safely implemented in
adults, but studies of these rapid infusions in pediatric patients are limited.
This study's primary objective was to determine the safety of 1-hour infliximab
infusions compared with standard 2- to 3-hour infusions in children with
inflammatory bowel disease and other autoimmune disorders. Methods: We conducted
an institutional review board-approved prospective study using an unmatched
historical control group at a freestanding children's hospital comparing rapid vs
standard infusion rates of infliximab and the use of premedications and
immunomodulatory agents on the frequency of early and delayed infusion reactions.
Results: There were 50 subjects with 540 total standard (2- to 3-hour) infusions
in the retrospective group and 66 subjects with 545 total rapid (1-hour)
infusions assessed in the prospective group. Although the prospective group
received a significantly higher infliximab dose, was significantly less likely to
receive premedication, and was significantly more likely to receive another
immunomodulatory agent, only 2 instances of potential infusion reactions occurred
in the 545 rapid infusions (0.36%; 95% confidence interval [CI], 0.22%-11.01%; 3%
of patients) administered in the prospective group compared with 1 documented
infusion reaction (0.19%; 95% CI, 0.0%-11.47%; 2% of patients) in the
retrospective group (odds ratio, 0.65; 95% CI, 0.01-12.93; P = 0.99).
Conclusions: This study suggests that rapid infusion of infliximab over 1 hour is
not associated with an increased risk of infusion reactions when compared with
standard 2- to 3-hour infusions and can be safely used in children with no
previous reaction to standard infusions to treat inflammatory bowel disease and
other autoimmune diseases.
PMID- 29788418
TI - Efficacy of bezlotoxumab based on timing of administration relative to start of
antibacterial therapy for Clostridium difficile infection.
AB - Background: The fully human monoclonal antibody bezlotoxumab binds Clostridioides
(Clostridium) difficile toxin B and reduces recurrence rates in patients with C.
difficile infection (CDI) receiving antibacterial treatment for a primary or
recurrent episode. Objectives: To investigate whether the timing of bezlotoxumab
administration relative to the onset of antibacterial treatment affected clinical
outcome in the Phase 3 trials MODIFY I (NCT01241552) and MODIFY II (NCT01513239).
Methods: Initial clinical cure and CDI recurrence rates of participants who
received bezlotoxumab or placebo were summarized by timing of infusion relative
to the start of antibacterial drug treatment for CDI: 0-2, 3-4 and >=5 days after
onset. Results: Of 1554 total participants, 649 (41.8%), 469 (30.1%) and 436
(28.1%) received an infusion 0-2, 3-4 and >=5 days after onset of antibacterial
treatment for CDI, respectively. Regardless of timing of administration, there
were no differences in initial clinical cure rates between participants receiving
bezlotoxumab (range 77.8% to 81.4%) or placebo (77.8% to 81.7%). Bezlotoxumab
efficacy was unaffected by timing of administration; rates of CDI recurrence were
lower versus placebo in all subgroups (range 19.3% to 22.8% for bezlotoxumab and
31.7% to 35.8% for placebo). Timing of administration also had no effect on time
to resolution of diarrhoea, which was achieved by the end of antibacterial
treatment in ~95% of participants in both bezlotoxumab and placebo groups.
Conclusions: Bezlotoxumab is effective in preventing CDI recurrence and can be
administered at any time before ending antibacterial drug treatment.
PMID- 29788419
TI - Using Vedolizumab to treat Severe Sweet's Syndrome in a Patient with Ulcerative
Colitis.
PMID- 29788417
TI - Poor feed efficiency in sheep is associated with several structural abnormalities
in the community metabolic network of their ruminal microbes.
AB - Ruminant animals have a symbiotic relationship with the microorganisms in their
rumens. In this relationship, rumen microbes efficiently degrade complex plant
derived compounds into smaller digestible compounds, a process that is very
likely associated with host animal feed efficiency. The resulting simpler
metabolites can then be absorbed by the host and converted into other compounds
by host enzymes. We used a microbial community metabolic network inferred from
shotgun metagenomics data to assess how this metabolic system differs between
animals that are able to turn ingested feedstuffs into body mass with high
efficiency and those that are not. We conducted shotgun sequencing of microbial
DNA from the rumen contents of 16 sheep that differed in their residual feed
intake (RFI), a measure of feed efficiency. Metagenomic reads from each sheep
were mapped onto a database-derived microbial metabolic network, which was linked
to the sheep metabolic network by interface metabolites (metabolites transferred
from microbes to host). No single enzyme was identified as being significantly
different in abundance between the low and high RFI animals (P > 0.05, Wilcoxon
test). However, when we analyzed the metabolic network as a whole, we found
several differences between efficient and inefficient animals. Microbes from low
RFI (efficient) animals use a suite of enzymes closer in network space to the
host's reactions than those of the high RFI (inefficient) animals. Similarly, low
RFI animals have microbial metabolic networks that, on average, contain reactions
using shorter carbon chains than do those of high RFI animals, potentially
allowing the host animals to extract metabolites more efficiently. Finally, the
efficient animals possess community networks with greater Shannon diversity among
their enzymes than do inefficient ones. Thus, our system approach to the ruminal
microbiome identified differences attributable to feed efficiency in the
structure of the microbes' community metabolic network that were undetected at
the level of individual microbial taxa or reactions.
PMID- 29788420
TI - Effects of rearing systems on reproductive hormones secretion and their receptors
gene expression in Xianju chickens under summer conditions.
AB - Previous study in our lab showed that indigenous Xianju chickens from free-range
system (FRS) under summer conditions had lower egg production than those from
conventional cage rearing system (CRS). The objective of this study was to
preliminarily determine the FRS-dependent mechanism of depressing laying
performance according to determining the effect of rearing systems on
reproductive hormones secretion and their receptors mRNA expression in Xianju
chickens reared under summer conditions. A total of 360 indigenous Xianju
chickens were randomly allocated to CRS and FRS groups, each of which included 5
replicates of 36 hens. The experiment lasted between 21 and 29 wk of age. We
found that the ovarian weight, numbers of small yellow follicles, and large white
follicles in the FRS group were lower than those in the CRS group (P < 0.05).
Changing from CRS to FRS increased serum concentrations of prolactin and
decreased serum-luteinizing hormone and progesterone levels (P < 0.05). Gene
expressions in the preovulatory follicles from FRS hens were upregulated for
prolactin receptor and downregulated for luteinizing hormone receptor and
progesterone receptor, compared to those from CRS hens (P < 0.05). It can be
concluded that changing from CRS to FRS in the current experimental conditions
depressed egg production traits in Xianju chickens by inducing a synergistic
activity of reproductive hormones and the gene expressions of their receptors.
PMID- 29788421
TI - Predicting Viral Failure in Human Immunodeficiency Virus Perinatally Infected
Youth With Persistent Low-Level Viremia on Highly Active Antiretroviral Therapy.
AB - Background: Less than optimal adherence with antiretroviral therapy occurs
commonly among human immunodeficiency virus HIV)-infected youth. In this study,
our object was to identify patterns in the prefailure measurement of viral load
(VL) that can reliably predict virological failure (VF) in HIV perinatally
infected youth on highly active antiretroviral therapy (HAART). Methods: We
conducted a retrospective chart review of HIV-infected youth with low-level
viremia (LLV), defined as an HIV VL between the lower limits of detection (20-75
copies/mL) and 1000 copies/mL. All patients were perinatally infected, under 22
years of age, observed for at least 24 months of consecutive follow-up between
May 2008 and July 2014, and received their HIV care at the University of Miami
Miller School of Medicine. Of the 349 subjects screened, 100 were eligible for
analysis. Virological failure was defined as 3 or more consecutive VLs greater
than 1000 copies/mL. Multiple logistic regression and receiver operator
characteristic curves were used to identify patterns in VL that ultimately
resulted in VF. Results: Fifteen of the 100 patients experienced VF. Higher log10
mean VL, positive slope of the VL (log10 copies/mL per day), and fewer clinic
visits were associated with a higher probability of VF. Sensitivity and
specificity were .87 and .95, respectively. Resistance was not found in 12 of 15
patients with VF. Conclusions: Patients with LLV that had fewer clinic visits and
a trend toward increasing VLs had an increased risk of VF. Noncompliance seems to
be a major component of VF. Physicians should emphasize the critical nature of
medication adherence.
PMID- 29788422
TI - N-Acetyl and Glutamatergic Neurometabolites in Perisylvian Brain Regions of
Methamphetamine Users.
AB - Background: Methamphetamine induces neuronal N-acetyl-aspartate synthesis in
preclinical studies. In a preliminary human proton magnetic resonance
spectroscopic imaging investigation, we also observed that N-acetyl-aspartate+N
acetyl-aspartyl-glutamate in right inferior frontal cortex correlated with years
of heavy methamphetamine abuse. In the same brain region, glutamate+glutamine is
lower in methamphetamine users than in controls and is negatively correlated with
depression. N-acetyl and glutamatergic neurochemistries therefore merit further
investigation in methamphetamine abuse and the associated mood symptoms. Methods:
Magnetic resonance spectroscopic imaging was used to measure N-acetyl-aspartate+N
acetyl-aspartyl-glutamate and glutamate+glutamine in bilateral inferior frontal
cortex and insula, a neighboring perisylvian region affected by methamphetamine,
of 45 abstinent methamphetamine-dependent and 45 healthy control participants.
Regional neurometabolite levels were tested for group differences and
associations with duration of heavy methamphetamine use, depressive symptoms, and
state anxiety. Results: In right inferior frontal cortex, N-acetyl-aspartate+N
acetyl-aspartyl-glutamate correlated with years of heavy methamphetamine use (r =
+0.45); glutamate+glutamine was lower in methamphetamine users than in controls
(9.3%) and correlated negatively with depressive symptoms (r = -0.44). In left
insula, N-acetyl-aspartate+N-acetyl-aspartyl-glutamate was 9.1% higher in
methamphetamine users than controls. In right insula, glutamate+glutamine was
12.3% lower in methamphetamine users than controls and correlated negatively with
depressive symptoms (r = -0.51) and state anxiety (r = -0.47). Conclusions: The
inferior frontal cortex and insula show methamphetamine-related abnormalities,
consistent with prior observations of increased cortical N-acetyl-aspartate in
methamphetamine-exposed animal models and associations between cortical glutamate
and mood in human methamphetamine users.
PMID- 29788423
TI - Variability in adolescent portal privacy features: how the unique privacy needs
of the adolescent patient create a complex decision-making process.
AB - Objective: Medical privacy policies, which are clear-cut for adults and young
children, become ambiguous during adolescence. Yet medical organizations must
establish unambiguous rules about patient and parental access to electronic
patient portals. We conducted a national interview study to characterize the
diversity in adolescent portal policies across a range of institutions and
determine the factors influencing decisions about these policies. Methods: Within
a sampling framework that ensured diversity of geography and medical organization
type, we used purposive and snowball sampling to identify key informants. Semi
structured interviews were conducted and analyzed with inductive thematic
analysis, followed by a member check. Results: We interviewed informants from 25
medical organizations. Policies established different degrees of adolescent
access (from none to partial to complete), access ages (from 10 to 18 years),
degrees of parental access, and types of information considered sensitive.
Federal and state law did not dominate policy decisions. Other factors in the
decision process were: technology capabilities; differing patient population
needs; resources; community expectations; balance between information access and
privacy; balance between promoting autonomy and promoting family shared decision
making; and tension between teen privacy and parental preferences. Some
informants believed that clearer standards would simplify policy-making; others
worried that standards could restrict high-quality polices. Conclusions: In the
absence of universally accepted standards, medical organizations typically
undergo an arduous decision-making process to develop teen portal policies,
weighing legal, economic, social, clinical, and technological factors. As a
result, portal access policies are highly inconsistent across the United States
and within individual states.
PMID- 29788424
TI - EXPERIMENTAL AND COMPUTATIONAL EVALUATION OF EFFECTIVE CENTRE FROM A LONG COUNTER
AT NEUTRON METROLOGY LABORATORY IN BRAZIL.
AB - A long counter detector was manufactured by the Institute of Advanced Studies
(IEAV) and was characterised in the neutron low scattering room at Brazilian
National Ionising Radiation Metrology Laboratory (LNMRI/IRD) to deploy a
secondary Standard for neutron fluence. The effective centre was measured
experimentally with 252Cf+D2O, 252Cf, 241AmBe and 238PuBe neutron sources, having
average energies from 0.55 to 4.16 MeV. The experimental arrangement and detector
construction were carefully reproduced in Monte Carlo simulations, and the
computational results were found to be in good agreement with those from
experiment.
PMID- 29788426
TI - Progression of Low-Grade Glioma During Pregnancy With Subsequent Regression
Postpartum Without Treatment-A Case Report.
AB - BACKGROUND AND IMPORTANCE: This report illustrates a case of a low-grade glioma
that showed significant disease progression during pregnancy, and then subsequent
regression spontaneously in the postpartum period without treatment. This is a
rare case of spontaneous glioma regression in the postpartum period, and may
suggest underlying mechanisms of hormonal influences upon glioma progression.
CLINICAL PRESENTATION: The patient is a 27-yr-old female who underwent placement
of a right-sided ventriculoperitoneal shunt for aqueductal stenosis at 8 wk of
age. At the age of 24 yr, she was evaluated for chronic headaches and was found
on magnetic resonance imaging (MRI) for the first time to have a small
nonenhancing tectal glioma that remained stable on follow-up MRI. At the age of
25 yr, she returned for annual follow-up after giving birth and reported a
significant increase in headache frequency and severity during the pregnancy.
Repeat imaging now showed a larger, contrast-enhancing lesion. A decision was
made to pursue radiosurgery, but during the pretreatment planning phase, the
lesion and symptoms regressed spontaneously, and the lesion has remained stable
on repeat MRI studies over a 30-mo period since delivery of her child.
CONCLUSION: A young woman with a tectal glioma developed symptomatic disease
progression during pregnancy, and subsequently had regression of the lesion and
symptoms in the postpartum period without treatment. This case supports watchful
waiting in select cases and suggests a potential role of hormones in glioma
progression.
PMID- 29788425
TI - Patients with advanced chronic kidney disease and vascular calcification have a
large hydrodynamic radius of secondary calciprotein particles.
AB - Background: The size of secondary calciprotein particles (CPP2) and the speed of
transformation (T50) from primary calciprotein particles (CPP1) to CPP2 in serum
may be associated with vascular calcification (VC) in patients with chronic
kidney disease (CKD). Methods: We developed a high throughput, microplate-based
assay using dynamic light scattering (DLS) to measure the transformation of CPP1
to CPP2, hydrodynamic radius (Rh) of CPP1 and CPP2, T50 and aggregation of CPP2.
We used this DLS assay to test the hypothesis that a large Rh of CPP2 and/or a
fast T50 are associated with VC in 45 participants with CKD Stages 4-5 (22
without VC and 23 with VC) and 17 healthy volunteers (HV). VC was defined as a
Kauppila score >6 or an Adragao score >=3. Results: CKD participants with VC had
larger cumulants Rh of CPP2 {370 nm [interquartile range (IQR) 272-566]} compared
with CKD participants without VC [212 nm (IQR 169-315)] and compared with HV [168
nm (IQR 145-352), P < 0.01 for each]. More CPP2 were in aggregates in CKD
participants with VC than those without VC (70% versus 36%). The odds of having
VC increased by 9% with every 10 nm increase in the Rh of CPP2, after adjusting
for age, diabetes, serum calcium and phosphate [odds ratio 1.09, 95% confidence
interval (CI) 1.03, 1.16, P = 0.005]. The area under the receiver operating
characteristic curve for VC of CPP2 size was 0.75 (95% CI 0.60, 0.90). T50 was
similar in CKD participants with and without VC, although both groups had a lower
T50 than HV. Conclusions: Rh of CPP2, but not T50, is independently associated
with VC in patients with CKD Stages 4-5.
PMID- 29788427
TI - Changes in Corticotrope Gene Expression Upon Increased Expression of
Peptidylglycine alpha-Amidating Monooxygenase.
AB - Throughout evolution, secretion has played an essential role in the ability of
organisms and single cells to survive in the face of a changing environment.
Peptidylglycine alpha-amidating monooxygenase (PAM) is an integral membrane
monooxygenase, first identified for its role in the biosynthesis of
neuroendocrine peptides released by the regulated secretory pathway. PAM was
subsequently identified in Chlamydomonas reinhardtii, a unicellular green alga,
where it plays an essential role in constitutive secretion and in ciliogenesis.
Reduced expression of C. reinhardtii PAM resulted in significant changes in
secretion and ciliogenesis. Hence, a screen was performed for transcripts and
proteins whose expression responded to changes in PAM levels in a mammalian
corticotrope tumor cell line. The goal was to identify genes not previously known
to play a role in secretion. The screen identified transcription factors,
peptidyl prolyl isomerases, endosomal/lysosomal proteins, and proteins involved
in tissue-specific responses to glucose and amino acid availability that had not
previously been recognized as relevant to the secretory pathway. Perhaps
reflecting the dependence of PAM on molecular oxygen, many PAM-responsive genes
are known to be hypoxia responsive. The data highlight the extent to which the
performance of the secretory pathway may be integrated into a wide diversity of
signaling pathways.
PMID- 29788428
TI - PUF60-activated exons uncover altered 3' splice-site selection by germline
missense mutations in a single RRM.
AB - PUF60 is a splicing factor that binds uridine (U)-rich tracts and facilitates
association of the U2 small nuclear ribonucleoprotein with primary transcripts.
PUF60 deficiency (PD) causes a developmental delay coupled with intellectual
disability and spinal, cardiac, ocular and renal defects, but PD pathogenesis is
not understood. Using RNA-Seq, we identify human PUF60-regulated exons and show
that PUF60 preferentially acts as their activator. PUF60-activated internal exons
are enriched for Us upstream of their 3' splice sites (3'ss), are preceded by
longer AG dinucleotide exclusion zones and more distant branch sites, with a
higher probability of unpaired interactions across a typical branch site location
as compared to control exons. In contrast, PUF60-repressed exons show U-depletion
with lower estimates of RNA single-strandedness. We also describe PUF60
regulated, alternatively spliced isoforms encoding other U-bound splicing
factors, including PUF60 partners, suggesting that they are co-regulated in the
cell, and identify PUF60-regulated exons derived from transposed elements. PD
associated amino-acid substitutions, even within a single RNA recognition motif
(RRM), altered selection of competing 3'ss and branch points of a PUF60-dependent
exon and the 3'ss choice was also influenced by alternative splicing of PUF60.
Finally, we propose that differential distribution of RNA processing steps
detected in cells lacking PUF60 and the PUF60-paralog RBM39 is due to the RBM39
RS domain interactions. Together, these results provide new insights into
regulation of exon usage by the 3'ss organization and reveal that germline
mutation heterogeneity in RRMs can enhance phenotypic variability at the level of
splice-site and branch-site selection.
PMID- 29788430
TI - Indicators to assess the functionality of clubfoot clinics in low-resource
settings: a Delphi consensus approach and pilot study.
AB - Background: This study aims to determine the indicators for assessing the
functionality of clubfoot clinics in a low-resource setting. Methods: The Delphi
method was employed with experienced clubfoot practitioners in Africa to rate the
importance of indicators of a good clubfoot clinic. The consistency among the
participants was determined with the intraclass correlation coefficient.
Indicators that achieved strong agreement (mean>=9 [SD <1.5]) were included in
the final consensus definition. Based on the final consensus definition, a set of
questions was developed to form the Functionality Assessment Clubfoot Clinic Tool
(FACT). The FACT was used between February and July 2017 to assess the
functionality of clinics in the Zimbabwe clubfoot programme. Results: A set of 10
indicators that includes components of five of the six building blocks of a
health system-leadership, human resources, essential medical equipment, health
information systems and service delivery-was produced. The most common needs
identified in Zimbabwe clubfoot clinics were a standard treatment protocol, a
process for surgical referrals and a process to monitor dropout of patients.
Conclusions: Practitioners had good consistency in rating indicators. The
consensus definition includes components of the World Health Organization
building blocks of health systems. Useful information was obtained on how to
improve the services in the Zimbabwe clubfoot programme.
PMID- 29788432
TI - Sucrose challenges to Streptococcus mutans biofilms and the curve fitting for the
biofilm changes.
AB - The relationship between sugar level and development of dental caries has long
been a main topic in dentistry. However, as a ubiquitous component of the modern
diet, sucrose is mainly derived from three meals a day, rather than a long time
exposure. In this study, various concentrations of sucrose were provided to
Streptococcus mutans biofilms for 1 h per exposure (three times per day) to
imitate a human meal pattern. And then the relationship between sucrose
concentration and changes in the treated biofilms was determined. The results
indicated that the components and acid production of the treated biofilms changed
in a second-order polynomial curve pattern with sucrose concentration increase,
which were confirmed by CLSM and SEM analyses. However, gene expression related
to extracellular polysaccharides (EPS) formation, acid production and tolerance
was up-regulated with sucrose concentration increase, which might have been due
to compensation for the decrease in EPS formation and acid production by the
biofilms at higher concentrations of sucrose. These findings suggest that sucrose
in the range of 1%-5% can support the highest acid production and accumulation of
S. mutans biofilms, which may further increase its cariogenic potential. However,
additional studies are required to confirm the relationships in human cariogenic
biofilms.
PMID- 29788431
TI - Novel Treatment of Cryptococcal Meningitis via Neurapheresis Therapy.
AB - Cryptococcal meningitis (CM) has emerged as the most common life-threatening
fungal meningitis worldwide. Current management involves a sequential,
longitudinal regimen of antifungals; despite a significant improvement in
survival compared with uniform mortality without treatment, this drug paradigm
has not led to a consistent cure. Neurapheresis therapy, extracorporeal
filtration of yeasts from cerebrospinal fluid (CSF) in infected hosts, is
presented here as a novel, one-time therapy for CM. In vitro filtration of CSF
through this platform yielded a 5-log reduction in concentration of the yeast and
a 1-log reduction in its polysaccharide antigen over 24 hours. Additionally, an
analogous closed-loop system achieved 97% clearance of yeasts from the
subarachnoid space in a rabbit model over 4-6 hours. This is the first
publication demonstrating the direct ability to rapidly clear, both in vitro and
in vivo, the otherwise slowly removed fungal pathogen that directly contributes
to the morbidity and mortality seen in CM.
PMID- 29788429
TI - Corticosteroid use endpoints in neuro-oncology: Response Assessment in Neuro
Oncology Working Group.
AB - Background: Corticosteroids are the mainstay of treatment for peritumor edema but
are often associated with significant side effects. Therapies that can reduce
corticosteroid use would potentially be of significant benefit to patients.
However, currently there are no standardized endpoints evaluating corticosteroid
use in neuro-oncology clinical trials. Methods: The Response Assessment in Neuro
Oncology (RANO) Working Group has developed consensus recommendations for
endpoints evaluating corticosteroid use in clinical trials in both adults and
children with brain tumors. Results: Responders are defined as patients with a
50% reduction in total daily corticosteroid dose compared with baseline or
reduction of the total daily dose to <=2 mg of dexamethasone (or equivalent dose
of other corticosteroid); baseline dose must be at least 4 mg of dexamethasone
daily (or equivalent dose of other corticosteroids) for at least one week.
Patients must have stable or improved Neurologic Assessment in Neuro-Oncology
(NANO) score or Karnofsky performance status score or Eastern Cooperative
Oncology Group (ECOG) (Lansky score for children age <16 y), and an improved
score on a relevant clinical outcome assessment tool. These criteria must be
sustained for at least 4 weeks after baseline assessment to be considered a
response, and are confirmed 4 weeks after that (ie, 8 wk after baseline
assessment) to be considered a sustained response. Conclusions: This RANO
proposal for corticosteroid use endpoints in neuro-oncology clinical trials may
need to be refined and will require prospective validation in clinical studies.
PMID- 29788433
TI - Metabolic Footprinting of Fermented Milk Consumption in Serum of Healthy Men.
AB - Background: Fermentation is a widely used method of natural food preservation
that has consequences on the nutritional value of the transformed food. Fermented
dairy products are increasingly investigated in view of their ability to exert
health benefits beyond their nutritional qualities. Objective: To explore the
mechanisms underpinning the health benefits of fermented dairy intake, the
present study followed the effects of milk fermentation, from changes in the
product metabolome to consequences on the human serum metabolome after its
ingestion. Methods: A randomized crossover study design was conducted in 14
healthy men [mean age: 24.6 y; mean body mass index (in kg/m2): 21.8]. At the
beginning of each test phase, serum samples were taken 6 h postprandially after
the ingestion of 800 g of a nonfermented milk or a probiotic yogurt. During the 2
wk test phases, subjects consumed 400 g of the assigned test product daily (200
g, 2 times/d). Serum samples were taken from fasting participants at the end of
each test phase. The serum metabolome was assessed through the use of LC-MS-based
untargeted metabolomics. Results: Postprandial serum metabolomes after milk or
yogurt intake could be differentiated [orthogonal projections to latent
structures discriminant analysis (OPLS-DA) Q2 = 0.74]. Yogurt intake was
characterized by higher concentrations of 7 free amino acids (including proline,
P = 0.03), reduced concentrations of 5 bile acids (including glycocholic acid, P
= 0.04), and modulation of 4 indole derivative compounds (including indole lactic
acid, P = 0.01). Fasting serum samples after 2 wk of daily intake of milk or
yogurt could also be differentiated based on their metabolic profiles (OPLS-DA Q2
= 0.56) and were discussed in light of the postprandial results. Conclusion:
Metabolic pathways related to amino acids, indole derivatives, and bile acids
were modulated in healthy men by the intake of yogurt. Further investigation to
explore novel health effects of fermented dairy products is warranted.This trial
was registered at clinicaltrials.gov as NCT02230345.
PMID- 29788435
TI - Air embolism after CT-guided percutaneous lung biopsy.
PMID- 29788436
TI - S-25OHD Is Associated With Hand Grip Strength and Myopathy at 5 Years in Girls:
An Odense Child Cohort Study.
AB - Context: Severe vitamin D deficiency may lead to myopathy in adults. Little is
known about vitamin D and muscle strength in children. Objective: To test whether
hand grip strength (HGS) in 5-year-old children is associated with serum 25
hydroxyvitamin D (S-25OHD). Design: Observational study in the population-based
Odense Child Cohort, Denmark. At 5 years, anthropometrics, body fat percentage by
skinfold measurements, HGS (n = 881), and S-25OHD2+3 (n = 499) were obtained.
Results: Mean (SD) HGS was higher for boys compared with girls [8.76 (1.76) vs
8.1 (1.64) kg, P < 0.001]. Mean (SD) 5-year S-25OHD was 70.7 (24.5) nmol/L. HGS
was directly associated with height in girls and with weight (directly) and body
fat percentage (inversely) in both sexes (P < 0.01 for all). In girls, 5-year S
25OHD was associated with HGS, adjusting for height, weight, and body fat
percentage [beta = 0.011 (95% CI: 0.004; 0.019), P = 0.003]. S-25OHD >=75 nmol/L
was associated with higher HGS compared with values <50 nmol/L [adjusted beta =
0.783 (95% CI: 0.325; 1.241), P = 0.001]. The odds of having myopathy (HGS <10th
percentile) were reduced by approximately 70% for S-25OHD >=50 vs <50 nmol/L
[adjusted OR: 0.310 (95% CI: 0.126; 0.762), P = 0.011]. No associations were seen
for boys. Pregnancy or umbilical cord S-25OHD did not associate with 5-year HGS.
Conclusions: Five-year S-25OHD was independently associated with HGS and myopathy
in girls but not in boys. Muscle strength may be dependent on vitamin D status
even in the higher range in preschool girls. The sex difference remains
unexplained.
PMID- 29788434
TI - A novel mouse model of testicular granulosa cell tumors.
AB - STUDY QUESTION: What is the role of dysregulated transforming growth factor beta
(TGFB) signaling in the development of sex cord-stromal tumors in the testis?
SUMMARY ANSWER: Overactivation of TGFB signaling results in the development of
testicular tumors resembling granulosa cell tumors (GrCTs). WHAT IS KNOWN
ALREADY: In an earlier study, we demonstrated that constitutively active TGFB
receptor 1 (TGFBR1) in ovarian somatic cells promotes the development of ovarian
GrCTs. However, the consequence of dysregulation of TGFB signaling in the
pathobiology of the testis, remains poorly defined. STUDY DESIGN, SIZE, DURATION:
To identify the impact of dysregulation of TGFB signaling on the testis, we
generated mice with constitutive activation of TGFBR1 using anti-Mullerian
hormone receptor type 2 (Amhr2)-Cre recombinase. The effect of constitutively
active TGFBR1 on testis development and the timeline of testicular tumor
formation were examined. We further investigated the molecular features of
testicular tumors and determined the expression of beta-catenin (CTNNB1) known to
be involved in testicular GrCT development. PARTICIPANTS/MATERIALS, SETTING,
METHODS: Male mice with constitutive activation of TGFBR1 were examined at
various developmental stages (i.e. from 1 week up to 6 months) along with
controls. Testis samples were collected and processed for histological and
molecular analyses, including haematoxylin and eosin (H and E) staining, real
time PCR, immunohistochemistry, immunofluorescence and western blotting.
Immunostaining/immunoblotting and real-time PCR experiments were performed using
at least three animals per genotype. Data are presented as mean +/- SEM.
Statistical significance was determined using unpaired two-tail t-test and
reported when P value is <0.05. MAIN RESULTS AND THE ROLE OF CHANCE: Mice
harboring constitutively active TGFBR1 in the testes developed tumors resembling
testicular GrCTs, a rare type of tumors in the testis. The formation of
testicular tumors led to altered cell proliferation, loss of germ cells and
defective spermatogenesis. Immunohistochemically, these tumors were positive for
inhibin alpha (INHA), forkhead box O1 (FOXO1), and more importantly, forkhead box
L2 (FOXL2), a protein specifically expressed in the ovary and required for normal
granulosa cell differentiation and function. Consistent with the
immunohistochemical findings, FOXL2 proteins were only detectable in testes of
TGFBR1-CAAcre mice but not those of controls by western blotting, suggesting
potential alteration of Sertoli cell fate. To explore mechanisms underlying the
tumor-promoting effect of TGFBR1 overactivation, we examined the expression of
CTNNB1. The results revealed increased expression of CTNNB1 in testicular tumors
in TGFBR1-CAAcre mice. Collectively, this study uncovered tumorigenic function of
enhanced TGFB signaling in the testis. LARGE-SCALE DATA: N/A. LIMITATIONS,
REASONS FOR CAUTION: This study was performed using mice, and the direct
relevance of the experimental paradigm and findings to human testicular GrCTs
awaits further investigation. Of note, constitutive activation of TGFBR1 was
employed to enhance TGFB/SMAD signaling activity and may not be interpreted as
the genetic cause of the disease. WIDER IMPLICATIONS OF THE FINDINGS: This mouse
model may prove to be a useful addition to the mouse genetics toolkit for GrCT
research. Our finding that dysregulation of TGFB signaling results in the
development of testicular GrCTs supports a common origin between Sertoli cells
and granulosa cells, and highlights the paramount importance of balanced TGFB
signaling in reproduction and development. STUDY FUNDING/COMPETING INTEREST(S):
This research was supported by the National Institutes of Health grant
R03HD082416 from the Eunice Kennedy Shriver National Institute of Child Health &
Human Development and the New Faculty Start-up Funds from Texas A&M University
awarded to Q.L. The authors declare no competing interest.
PMID- 29788437
TI - Retromastoid Approach for Posterior Fossa Skull Base Rosai-Dorfman Disease-A Rare
Pathology: 3-Dimensional Operative Video.
AB - Rosai-Dorfman disease, originally described by Juan Rosai and Ronald F. Dorfman,
is a rare benign histiocytic proliferative disorder, classically presenting with
massive lymphadenopathy and a self-limiting clinical course.1 Isolated
intracranial skull base involvement is extremely rare and often resembles
meningiomas, schwannomas, or other benign skull base lesions.2 The disease is
difficult to diagnose radiographically, and tissue diagnosis with open skull base
approaches has significant perioperative risks.2,3 We present the case of a 48-yr
old Caucasian male presenting with progressively worsening headaches, giddiness,
hearing difficulty, and diplopia. Magnetic resonance imaging of the brain
revealed T1-weighted isointense, T2-weighted hypointense, and contrast-enhancing
dural-based lesion in the left cerebellopontine angle. The patient underwent
maximally safe resection of the lesion through the retromastoid approach with
careful preservation of the lower cranial nerve complex. The intraoperative
findings of a variegated and lobulated mass adherent to the skull base, the
surgical strategy of safe resection, and eventual good outcome in this patient
are depicted in this 3-dimensional video presentation. The majority of patients
with skull base Rosai-Dorfman disease reported in literature have had stable or
regression of disease (78%) after initial conservative surgical treatment and
hence aggressive surgical resection is of unproven efficacy.3 The patient has
consented to depiction of his surgical video and intraoperative images in this
video manuscript.
PMID- 29788438
TI - Efficacy of polymers from spontaneous carotenoid oxidation in reducing necrotic
enteritis in broilers.
AB - This study evaluated the preventive effect of the spontaneous oxidation of beta
carotene (OxC-beta) in broiler chickens with necrotic enteritis by Clostridium
perfringens taking into consideration various parameters including clinical
signs, body weight, intestinal lesion severity, and bacterial enumeration. The
mean body weight of the OxC-beta treatment groups increased significantly (P <
0.05) compared to that of the C. perfringens challenge group. Intestinal lesion
scores due to C. perfringens infection were significantly alleviated by OxC-beta
treatment (P < 0.05), and the number of clostridial bacteria in intestine was
reduced by OxC-beta in a dose-dependent manner. OxC-beta in feed contributes to
the prevention of necrotic enteritis in commercial broiler chicken, and has a
positive effect in improving productivity.
PMID- 29788439
TI - Repair of Temporal Bone Defects via the Middle Cranial Fossa Approach: Treatment
of 2 Pathologies With 1 Operation.
AB - BACKGROUND: Temporal bone dehiscence (TBD) often results in leakage of
cerebrospinal fluid (CSF) and/or encephalocele. TBD can also occur over the
superior semicircular canal, causing debilitating vertigo. Both can be repaired
surgically, but traditional treatment is focused only on one pathology, not both.
OBJECTIVE: To report our experience in the treatment of TBD via the middle
cranial fossa (MCF) approach. METHODS: A retrospective review was conducted for
all patients who underwent MCF approach for surgical repair of any temporal fossa
dehiscence. RESULTS: A total of 34 patients underwent a total of 37 surgeries.
Obesity was prevalent; 21 patients (61.8%) were obese (BMI [body mass index] > 30
kg/m2), and 7 (20.6%) were overweight (BMI 25-30 kg/m2). The most common
presenting symptom was hearing disturbance (70.3%), followed by otorrhea (51.4%).
Empty sella was noted on computed tomography or magnetic resonance imaging in 15
patients (45.5%). Eight of the 34 patients (23.5%) were found to have superior
semicircular canal dehiscence (SCD). Hearing improved with surgical intervention
in 25 of 26 patients with hearing loss as a presenting symptom (96%). CSF
resolved in 18 of 19 cases (95%). Seventy-three percent of patients reported at
least minimal improvement in vertigo. CONCLUSION: TBD may present with symptoms
of CSF leak/encephalocele, but may also present with superior SCD. We recommend
consistent review of the temporal bone imaging to check for superior SCD, and
repair of the SCD first to prevent complications involving the labyrinth and
cochlea. MCF approach using a multilayer repair without a lumbar drain is highly
effective with minimal risk of complications.
PMID- 29788440
TI - Exercise-Induced Hypoalgesia After Isometric Wall Squat Exercise: A Test-Retest
Reliabilty Study.
AB - Background: Isometric exercises decrease pressure pain sensitivity in exercising
and nonexercising muscles known as exercise-induced hypoalgesia (EIH). No studies
have assessed the test-retest reliability of EIH after isometric exercise. This
study investigated the EIH on pressure pain thresholds (PPTs) after an isometric
wall squat exercise. The relative and absolute test-retest reliability of the PPT
as a test stimulus and the EIH response in exercising and nonexercising muscles
were calculated. Methods: In two identical sessions, PPTs of the thigh and
shoulder were assessed before and after three minutes of quiet rest and three
minutes of wall squat exercise, respectively, in 35 healthy subjects. The
relative test-retest reliability of PPT and EIH was determined using analysis of
variance models, Person's r, and intraclass correlations (ICCs). The absolute
test-retest reliability of EIH was determined based on PPT standard error of
measurements and Cohen's kappa for agreement between sessions. Results: Squat
increased PPTs of exercising and nonexercising muscles by 16.8% +/- 16.9% and
6.7% +/- 12.9%, respectively (P < 0.001), with no significant differences between
sessions. PPTs within and between sessions showed moderately strong correlations
(r >= 0.74) and excellent (ICC >= 0.84) within-session (rest) and between-session
test-retest reliability. EIH responses of exercising and nonexercising muscles
showed no systematic errors between sessions; however, the relative test-retest
reliability was low (ICCs = 0.03-0.43), and agreement in EIH responders and
nonresponders between sessions was not significant (kappa < 0.13, P > 0.43).
Conclusions: A wall squat exercise increased PPTs compared with quiet rest;
however, the relative and absolute reliability of the EIH response was poor.
Future research is warranted to investigate the reliability of EIH in clinical
pain populations.
PMID- 29788441
TI - Decision-Making Involvement and Prediction of Adherence in Youth With Type 1
Diabetes: A Cohort Sequential Study.
AB - Objective: To assess developmental trajectories of decision-making involvement
(DMI), defined as the ways in which parents and children engage each other in
decision-making about illness management, in youth with type 1 diabetes (T1D) and
examine the effects of DMI on levels of and changes in adherence with age.
Methods: Participants included 117 youth with T1D, enrolled at ages 8-16 years
and assessed five times over 2 years. The cohort sequential design allowed for
the approximation of the longitudinal curve from age 8 to 19 from overlapping
cohort segments. Children and parents completed the Decision-Making Involvement
Scale, which yields subscales for different aspects of DMI, and a self-report
adherence questionnaire. Mixed-effects growth curve modeling was used for
analysis, with longitudinal measures nested within participant and participants
nested within cohort. Results: Most aspects of DMI (Parent Express, Parent Seek,
Child Express, and Joint) increased with child age; scores on some child report
subscales (Parent Express, Child Seek, and Joint) decreased after age 12-14
years. After accounting for age, Child Seek, Child Express, and Joint were
associated with overall higher levels of adherence in both child (estimates =
0.08-0.13, p < .001) and parent (estimates = 0.07- 0.13, p < .01) report models,
but they did not predict changes in adherence with age. Conclusion: These data
suggest that helping children to be more proactive in T1D discussions, by
encouraging them to express their opinions, share information, and solicit
guidance from parents, is a potential target for interventions to enhance
effective self-management.
PMID- 29788442
TI - Response to the comment on "Trivalent CAR T cells overcome interpatient antigenic
variability in glioblastoma" by Bielamowicz et al.
PMID- 29788443
TI - Pediatric Infectious Diseases Meets the Future.
AB - Pediatric infectious diseases physicians are dedicated to the diagnosis,
prevention, and management of infections in children. As such, we play large, and
important, roles in the clinical care of children from birth to late adolescence
and in infection prevention, antimicrobial stewardship, research pertaining to
infections, public health, international and global health, and advocacy for
children's health. Furthermore, we are critical to the education of future
physicians (in general), pediatricians, and infectious diseases doctors. In
addition to diagnosing and treating bacterial, fungal, viral, and parasitic
infections known through the ages, we have been at the forefront of meeting
today's new infectious threats to children's health, which include the following:
antibiotic-resistant organisms; hospital-acquired infections; global outbreaks
such as Ebola, Zika, human immunodeficiency virus-acquired immune deficiency
syndrome, and new strains of influenza; infections in immunocompromised children;
vaccine-preventable infections; the inefficient use of medical resources; and the
high cost of medical care.
PMID- 29788445
TI - Lung Cancer Screening and Smoking Cessation: Never Too Early or Too Late.
PMID- 29788444
TI - Norepinephrine and T4 Are Predictors of Fat Mass Gain in Humans With Cold-Induced
Brown Adipose Tissue Activation.
AB - Context: In healthy adults with detectable cold-induced brown adipose tissue
activation (CIBA), the relationships between sympathetic nervous system (SNS) or
thyroid activity during energy balance (EBL) with CIBA and body composition
change are undetermined. Objective: To investigate the relationships between CIBA
and thermoneutral catecholamines and thyroid hormones measured during EBL and to
determine if CIBA, catecholamines, or thyroid hormones predict body composition
changes. Design, Setting, Participants, and Interventions: Twelve healthy
volunteers (seven male and five female) with positive CIBA [>2 standardized
uptake value (g/mL)] had 24-hour energy expenditure (24hEE) assessed during EBL
via whole-room indirect calorimetry while residing on a clinical research unit.
Positron emission tomography/computed tomography scans were performed after
exposure to 16 degrees C for 2 hours to quantify CIBA. Main Outcome Measures:
CIBA, 24hEE during EBL, and thermoneutrality with concomitant measurement of
urinary catecholamines and plasma free T3 and free T4. Body composition at
baseline and 6 months by dual-energy X-ray absorptiometry. Results: Lower urinary
norepinephrine and free T4 were associated with higher CIBA (r = -0.65, P = 0.03;
and r = -0.75, P < 0.01, respectively), but CIBA was not associated with 24hEE at
thermoneutrality (P = 0.77). Lower CIBA (beta = -3.5 kg/standardized uptake
value; P < 0.01) predicted fat mass gain, whereas higher urinary norepinephrine
and free T4 predicted future fat mass gain at 6 months (beta = 3.0 kg per twofold
difference in norepinephrine, P = 0.03; and beta = 1.2 kg per 0.1-ng/dL
difference in free T4, P = 0.03, respectively). Conclusion: Lower SNS and free
thyroid measurements at baseline indicate a greater capacity for CIBA, which may
be predictive against fat mass gain.
PMID- 29788446
TI - Differential response to heat stress in outer and inner onion bulb scales.
AB - The formation of brown protective skin in onion bulbs can be induced by rapid
post-harvest heat treatment. Onions that are peeled to different depths and are
exposed to heat stress show that only the outer scales form the dry brown skin,
whereas the inner scales maintain high water content and do not change color. Our
study demonstrates that browning of the outer scale during heat treatment is due
to an enzymatic process that is associated with high levels of oxidation
components, such as peroxidase and quercetin glucoside. De novo transcriptome
analysis revealed differential molecular responses of the outer and inner scales
to heat stress. Genes involved in lipid metabolism, oxidation pathways, and cell
wall modification were highly expressed in the outer scale during heating.
Defense response-related genes such as those encoding heat-shock proteins,
antioxidative stress defense, or production of osmoprotectant metabolites were
mostly induced in the inner scale in response to heat exposure. These
transcriptomic data led to a conceptual model that suggests sequential processes
for the development of browning and desiccation of the outer scale versus
processes associated with defense response and heat tolerance in the inner
scales.
PMID- 29788448
TI - Inhibition of Endothelial Progenitor Cells May Explain the High Cardiovascular
Event Rate in Patients with Rheumatoid Arthritis.
AB - Background: Rheumatoid arthritis (RA) patients may suffer cardiovascular (CV)
events much more than the general population, and CV disease is the leading cause
of death in patients with RA. Our hypothesis was that impaired function of
endothelial progenitor cells may contribute to endothelial dysfunction and the
clinical CV events of patients with RA. Methods: 27 RA patients (9 males and 18
females) with an active disease and 13 healthy subjects who served as the control
group (9 males and 4 females) were enrolled to this prospective study. The
ability to grow in culture colony-forming units of endothelial progenitor cells
(CFU-EPCs) was measured, as well as their endothelial function using high
resolution ultrasonography of the brachial artery, and levels of C reactive
protein (CRP) in the serum. For statistical analysis we used the students T-test
test. Results: As a group, patients with RA were older (p < 0.0001), had severe
endothelial dysfunction (<0.0001), with impaired ability to grow CFU-EPCs
(<0.0001), and a higher inflammatory state (p = 0001). No difference was observed
in BMI. All RA patients had an active disease (DAS28 3.9+/-0.9) for 9.2+/-6.5
years. The same differences were observed in both genders. Conclusions: Patients
with RA had an impaired ability to grow endothelial progenitor cells and severe
endothelial dysfunction. Inability to grow colonies of endothelial progenitor
cells reflects the impaired regenerative capacity of patients with RA, and may
explain the endothelial dysfunction and the high CV event rate among patients
with RA.
PMID- 29788447
TI - Varicella Zoster Virus Induces Nuclear Translocation of the Neurokinin-1
Receptor, Promoting Lamellipodia Formation and Viral Spread in Spinal Astrocytes.
AB - Background: Varicella zoster virus (VZV) can present as a myelopathy with spinal
astrocyte infection. Recent studies support a role for the neurokinin-1 receptor
(NK-1R) in virus infections, as well as for cytoskeletal alterations that may
promote viral spread. Thus, we examined the role of NK-1R in VZV-infected primary
human spinal astrocytes (HA-sps) to shed light on the pathogenesis of VZV
myelopathy. Methods: Mock- and VZV-infected HA-sps were examined for substance P
(subP) production, NK-1R localization, morphological changes, and viral spread in
the presence or absence of the NK-1R antagonists aprepitant and rolapitant.
Results: VZV infection of HA-sps induced nuclear localization of full-length and
truncated NK-1R in the absence of the endogenous ligand, subP, and was associated
with extensive lamellipodia formation and viral spread that was inhibited by NK
1R antagonists. Conclusions: We have identified a novel, subP-independent,
proviral function of nuclear NK-1R associated with lamellipodia formation and
viral spread that is distinct from subP-induced NK-1R cell membrane/cytoplasmic
localization without lamellipodia formation. These results suggest that binding
of a putative viral ligand to NK-1R produces a dramatically different NK-1R
downstream effect than binding of subP. Finally, the NK-1R antagonists aprepitant
and rolapitant provide promising alternatives to nucleoside analogs in treating
VZV infections, including myelopathy.
PMID- 29788450
TI - Callus initiation from root explants employs different strategies in rice and
Arabidopsis.
AB - Callus formation in tissue culture follows the rooting pathway and newly formed
callus seems to be a group of root primordium-like cells. However, it is not
clear whether there are multiple mechanisms of callus initiation in different
species and in different organs. Here we show that the OsIAA11-mediated pathway
is specifically and strictly required for callus initiation in the lateral root
(LR) formation region of the primary root (PR) but not for callus initiation at
the root tip or the stem base in rice. OsIAA11 and its Arabidopsis homologue
AtIAA14 are key players in lateral rooting. However, the AtIAA14-mediated pathway
is not strictly required for callus initiation in the LR formation region in
Arabidopsis. LRs can be initiated either through the AtIAA14-mediated or AtWOX11
mediated pathway in the Arabidopsis PR, therefore providing optional pathways for
callus initiation. By contrast, OsIAA11 is strictly required for lateral rooting
in the rice PR, meaning the OsIAA11 pathway is the only choice for callus
initiation. Our study suggests that multiple pathways may converge to WOX5
activation during callus formation in different organs and different species.
PMID- 29788451
TI - FDG-PET diagnoses of sporadic Creutzfeldt-Jakob disease: radiology-pathology
correlation.
PMID- 29788449
TI - Antisense transcriptional interference mediates condition-specific gene
repression in budding yeast.
AB - Pervasive transcription generates many unstable non-coding transcripts in budding
yeast. The transcription of such noncoding RNAs, in particular antisense RNAs
(asRNAs), has been shown in a few examples to repress the expression of the
associated mRNAs. Yet, such mechanism is not known to commonly contribute to the
regulation of a given class of genes. Using a mutant context that stabilized
pervasive transcripts, we observed that the least expressed mRNAs during the
exponential phase were associated with high levels of asRNAs. These asRNAs also
overlapped their corresponding gene promoters with a much higher frequency than
average. Interrupting antisense transcription of a subset of genes corresponding
to quiescence-enriched mRNAs restored their expression. The underlying mechanism
acts in cis and involves several chromatin modifiers. Our results convey that
transcription interference represses up to 30% of the 590 least expressed genes,
which includes 163 genes with quiescence-enriched mRNAs. We also found that
pervasive transcripts constitute a higher fraction of the transcriptome in
quiescence relative to the exponential phase, consistent with gene expression
itself playing an important role to suppress pervasive transcription.
Accordingly, the HIS1 asRNA, normally only present in quiescence, is expressed in
exponential phase upon HIS1 mRNA transcription interruption.
PMID- 29788453
TI - Myofascial Findings and Psychopathological Factors in Patients with Chronic
Pelvic Pain Syndrome.
AB - Objective: Chronic pelvic pain syndrome (CPPS) is a common pain condition with
psychosocial and somatic symptoms. Myofascial findings and psychiatric
comorbidities are frequent. Therefore, the aim of the study was to analyze
myofascial and psychosocial aspects. Furthermore, the study focuses on
correlations between these aspects and gender differences in this topic. Design:
Cross-sectional study. Setting: Interdisciplinary outpatient clinic for patients
with CPPS at the University Medical Centre Hamburg-Eppendorf, Germany. Methods:
Participants underwent a multimodal diagnostic algorithm including
physiotherapeutic assessment and psychotherapeutic evaluation. Those with a
positive diagnosis of CPPS were included. Descriptive statistics were used to
characterize and analyze the sample. Bivariate correlations were calculated for
the association between myofascial findings and psychopathological symptoms.
Results: A total of 187 patients (56.7% female, mean age +/- SD = 49.06 +/-
17.05 years) were included. Women had significantly higher numbers of tender
(mean +/- SD = 17.53 +/- 9.58 vs 13.40 +/- 8.79, P = 0.003) and trigger points
(mean +/- SD = 6.23 +/- 6.64 vs 4.09 +/- 7.15, P = 0.036). They had also
significantly higher values in the PHQ-15 (mean +/- SD = 11.51 +/- 5.24 vs 9.28
+/- 5.49, P = 0.009) and the SF-MPQ (mean +/- SD = 17.84 +/- 8.95 vs 15.11 +/-
7.97, P = 0.041). Several significant correlations between myofascial findings
and psychosocial factors exist. Conclusions: There might be a link between
psychosomatic and myofascial aspects in CPPS; thus further studies are needed.
Nevertheless, the results stress the urgent need of a multimodal treatment
including physiotherapy and psychotherapy in these patients.
PMID- 29788452
TI - Glutamine alleviates heat stress-induced impairment of intestinal morphology,
intestinal inflammatory response, and barrier integrity in broilers.
AB - The aim of this study was to investigate the protective effect of glutamine (Gln)
on the intestinal morphology, intestinal inflammatory response, and barrier
integrity in broilers exposed to high ambient temperature. Three-hundred-sixty 21
d-old Arbor Acres broilers (half male and half female) were randomly allocated to
4 treatment groups in a completely randomized design, each of which included 6
replicates with 15 birds per replicate, for 21 d. The 4 treatment groups were as
follows: the control group, in which birds were kept in a thermoneutral room at
22 +/- 1 degrees C (no stress, NS; fed a basal diet); the heat stress group (36
+/- 1 degrees C for 10 h/d from 08:00 to 18:00 h and 22 +/- 1 degrees C for the
remaining time, heat stress (HT); fed a basal diet); and heat stress + Gln group
(0.5 and 1.0% Gln, respectively). Compared to the NS group, broilers in the HT
group had lower villus height (P < 0.05), higher crypt depth (P < 0.05), higher D
lactic acid and diamine oxidase (DAO) activity (P < 0.05), higher soluble
intercellular adhesion molecule-1 (sICAM-1) concentration (P < 0.05), higher
tumor necrosis factor (TNF)-alpha/interleukin (IL)-10 (P < 0.05), and lower tight
junction protein expression levels (P < 0.05). Compared with birds in the HT,
birds in the HT + Gln group exhibited increased villus height (P < 0.05),
decreased D-lactate and DAO activity (P < 0.05), decreased sICAM-1 concentration
(P < 0.05), and mediate the secretion of cytokines (P < 0.05), as well as
increased zonula occludens-1 (ZO-1), claudin-1, and occludin mRNA expression
levels (P < 0.05). In conclusion, these results indicate that supplementation
with Gln was effective in partially ameliorating the adverse effects of heat
stress on intestinal barrier function in broilers by promoting epithelial cell
proliferation and renewal, modifying the function of the intestinal mucosa
barrier, and regulating the secretion of cytokines.
PMID- 29788455
TI - A 10-yr Analysis of Chronic Pelvic Pain and Chronic Opioid Therapy in the Women
Veteran Population.
AB - Introduction: Chronic pelvic pain (CPP) affects an estimated 30% of women
Veterans. Previous research shows high rates of narcotic abuse in the women
Veteran population. Narcotics are not recommended for the treatment of CPP.
Understanding how CPP impacts narcotic prescribing in the women Veteran
population is critical to addressing the public health crisis of opioid abuse.
Our objective was to compare chronic opioid therapy (COT) prescribed 5 yr prior
to and following CPP diagnosis and to identify predictors of COT as well as
adverse events associated with COT. We choose to look at 10 yr of data because we
thought this time period would provide unique insight into the longitudinal
associations of CPP and COT and was available in the database. Materials and
Methods: Women with non-cancer CPP were included for analyses from the Veteran's
Affairs Corporate Database Warehouse. COT was defined as 90 d of opiates/calendar
year for each of the 5 yr proceeding and following the diagnosis of CPP. Patient
characteristics and potential variables influencing COT were collected. We
compared baseline demographics between the women who received COT to the women
who did not receive COT to find additional demographic predictors of COT in
association with CPP. Multivariable analysis identified predictors of COT in this
population of women with CPP. We utilized an interrupted time series analysis to
understand the impact of the diagnosis of CPP on COT. Results: A total of 49,601
women met inclusion criteria with an average age of 40.1 +/- 11.5 yr; 37.3% self
characterized as being a racial minority and 24% had a history of military sexual
trauma. Chronic use increased significantly (p < 0.001) in the 5 yr preceding the
diagnosis of CPP from 6.3% (n = 3124) of women at time -5 to 13.6% (n = 6746) at
time 0. In the first year following the diagnosis of CPP, 16.8% (n = 8,333) of
women with CPP met the criteria for COT (p < 0.001) and 15% (n = 7440) of women
with CPP remained in the COT group for the remaining 5 yr following the
diagnosis. On average women in the COT group had 250-292 d of opioids/year. When
comparing women who received chronic narcotics following the diagnosis of CPP
versus those who did not receive chronic narcotics, women who received COT were
older, more likely to smoke and more frequently diagnosed with other pain
conditions such as back pain, headaches, and fibromyalgia. (All p < 0.001). In
the multivariable model, predictors of COT following CPP diagnosis included prior
COT (OR = 10.0 (95% CI 9.4, 10.6), a positive history of military sexual trauma,
smoking, and other chronic pain conditions. Conclusions: The distinct pattern of
prescribing shown in this cohort may mean COT is prescribed for CPP and this
prescribing pattern contributes to the adverse events associated with COT. As COT
is not recommended for CPP, physicians need more education on the therapies
available to help CPP patients.
PMID- 29788454
TI - Variation in human chromosome 21 ribosomal RNA genes characterized by TAR cloning
and long-read sequencing.
AB - Despite the key role of the human ribosome in protein biosynthesis, little is
known about the extent of sequence variation in ribosomal DNA (rDNA) or its pre
rRNA and rRNA products. We recovered ribosomal DNA segments from a single human
chromosome 21 using transformation-associated recombination (TAR) cloning in
yeast. Accurate long-read sequencing of 13 isolates covering ~0.82 Mb of the
chromosome 21 rDNA complement revealed substantial variation among tandem repeat
rDNA copies, several palindromic structures and potential errors in the previous
reference sequence. These clones revealed 101 variant positions in the 45S
transcription unit and 235 in the intergenic spacer sequence. Approximately 60%
of the 45S variants were confirmed in independent whole-genome or RNA-seq data,
with 47 of these further observed in mature 18S/28S rRNA sequences. TAR cloning
and long-read sequencing enabled the accurate reconstruction of multiple rDNA
units and a new, high-quality 44 838 bp rDNA reference sequence, which we have
annotated with variants detected from chromosome 21 of a single individual. The
large number of variants observed reveal heterogeneity in human rDNA, opening up
the possibility of corresponding variations in ribosome dynamics.
PMID- 29788457
TI - The reliability of the clinical examination in predicting hemodynamic status in
acute febrile illness in a tropical, resource-limited setting.
AB - Introduction: The clinical examination alone is widely considered unreliable when
assessing fluid responsiveness in critically ill patients. Little evidence exists
on the performance of the clinical examination to predict other hemodynamic
derangements or more complex hemodynamic states. Materials and methods: Patients
with acute febrile illness were assessed on admission, both clinically and per
non-invasive hemodynamic measurement. Correlations between clinical signs and
hemodynamics patterns were analyzed, and the predictive capacity of the clinical
signs was examined. Results: Seventy-one patients were included; the most common
diagnoses were bacterial sepsis, scrub typhus and dengue infection. Correlations
between clinical signs and hemodynamic parameters were only statistically
significant for Cardiac Index (r=0.75, p-value <0.01), Systemic Vascular
Resistance Index (r=0.79, p-value <0.01) and flow time corrected (r=0.44, p-value
0.03). When assessing the predictive accuracy of clinical signs, the model
identified only 62% of hemodynamic states correctly, even less if there was more
than one hemodynamic abnormality. Discussion: The clinical examination is not
reliable to assess a patient's hemodynamic status in acute febrile illness. Fluid
responsiveness, cardiodepression and more complex hemodynamic states are
particularly easily missed.
PMID- 29788456
TI - Kinact: a computational approach for predicting activating missense mutations in
protein kinases.
AB - Protein phosphorylation is tightly regulated due to its vital role in many
cellular processes. While gain of function mutations leading to constitutive
activation of protein kinases are known to be driver events of many cancers, the
identification of these mutations has proven challenging. Here we present Kinact,
a novel machine learning approach for predicting kinase activating missense
mutations using information from sequence and structure. By adapting our graph
based signatures, Kinact represents both structural and sequence information,
which are used as evidence to train predictive models. We show the combination of
structural and sequence features significantly improved the overall accuracy
compared to considering either primary or tertiary structure alone, highlighting
their complementarity. Kinact achieved a precision of 87% and 94% and Area Under
ROC Curve of 0.89 and 0.92 on 10-fold cross-validation, and on blind tests,
respectively, outperforming well established tools (P < 0.01). We further show
that Kinact performs equally well on homology models built using templates with
sequence identity as low as 33%. Kinact is freely available as a user-friendly
web server at http://biosig.unimelb.edu.au/kinact/.
PMID- 29788458
TI - Are globals for health, well-being and quality of life interchangeable? A mixed
methods study in ankylosing spondylitis patients and controls.
AB - Objective: Patients' experience of overall health is often assessed through a
single-item global question. Here, we evaluated among patients with AS and
population controls whether single-item questions on the constructs health, well
being and quality of life (QoL) are interchangeable. Methods: In a mixed
quantitative and qualitative approach, all subjects scored the three single-item
globals on a numeric rating scale (0-10, best). Next, they indicated for each of
the questions which aspects they had been considering when scoring. After forced
reflection, globals were scored again. Dissimilarities in scores among
constructs, between patients and controls, and before or after reflection were
tested using mixed linear models. Themes identified per construct in the
qualitative part were linked to the International Classification of Functioning,
Disability and Health. The type of themes per construct was compared between
patients and controls. Results: Sixty-eight AS patients and 84 controls completed
the questionnaire. Patients scored significantly worse on each global than
controls (mean 6.1-6.3 vs 7.2-7.6, all P < 0.01). Within groups, however, no
significant differences in scores on each construct, or in scores before or after
forced reflection were found. Health-related themes were relevant to each
construct for patients, but were less relevant for controls when considering well
being and QoL. Emotional functions were relevant to well-being in all
participants. Social roles and financial situation were more frequently related
to well-being and QoL in controls. Conclusion: While patients and controls
identified content-related dissimilarities between the three constructs studied,
this was not reflected in different scores of the globals.
PMID- 29788460
TI - General Principles for Pial Synangiosis in Pediatric Moyamoya Patients: 2
Dimensional Operative Video.
AB - Pial synangiosis is a method of indirect surgical revascularization developed at
our institution for the treatment of moyamoya disease in pediatric patients.
Similar surgical principles are employed in adult cases, often performed because
of lack of an adequate donor vessel. Standardized protocols, including
preadmission for preoperative intravenous hydration and aspirin administration,
as well as intraoperative electroencephalography, are routinely employed to
minimize operative risk. Perioperative heparinization is not required. The
patient is positioned supine, without skull fixation, and the parietal branch of
the superficial temporal artery is mapped with Doppler ultrasonography. The
artery is microscopically dissected from distal to proximal, leaving a cuff of
tissue around the vessel and elevated from the temporalis. The microscope is then
removed, the temporalis is opened in a cruciate fashion, and a generous
craniotomy is performed, with care to drill away from the exposed artery. The
dura is then opened widely (preserving dural collateral vessels), followed by
microscopic opening of the arachnoid in as many areas as possible. The donor
vessel is then sutured to the pia with 10-0 nylons. The dural leaflets are laid
on the brain (without suturing). Closure is completed with saline-soaked gelfoam,
with fixation of the bone flap, and muscle reapproximation in the horizontal
plane. The galea is closed, followed by the use of resorbable skin suture in
pediatric patients. If indicated, the second hemisphere may be performed under
the same anesthetic, reducing anesthetic risks and avoiding delayed
revascularization. Postoperatively, the patient is awakened and transferred to
the intensive care unit.
PMID- 29788459
TI - Correlation between bone quality and microvascular damage in systemic sclerosis
patients.
AB - Objectives: SSc patients are recognized as presenting an increased risk of
altered bone mass. The aim of this study was to assess the bone quality, by
trabecular bone score (TBS), in SSc patients in correlation with different levels
of microvascular damage, as evaluated by nailfold videocapillaroscopy (NVC), and
to compare the results regarding bone quality with RA patients and healthy
subjects (CNT). Methods: Eighty-four SSc patients, 98 RA patients and 60 CNT,
were studied. BMD (g/cm2) of the lumbar spine (L1-L4) was analysed by DXA scan.
Lumbar spine bone quality was derived from each spine DXA examination using the
TBS analysis. NVC patterns were analysed. Results: A total of 56/84 SSc patients
(66%) as well as 78/98 RA patients (80%) showed bone loss at DXA and BMD was
found to be significantly lower than in the CNT (P < 0.001). Similarly, lumbar
spine TBS was found to be significantly lower in SSc and RA patients than in CNT
(P < 0.001). TBS values were found to be lower in SSc with a late NVC pattern,
compared with the active or early pattern (late vs active and early pattern, P <
0.001). There was no statistically significant difference in the mean lumbar
spine TBS between SSc and RA patients (P = 0.238). Conclusion: The data obtained
showed significantly lower bone quality (lower TBS and BMD) in SSc and RA
patients compared with CNT. The bone quality seemed lower in SSc patients with
more altered microvasculature (late NVC pattern).
PMID- 29788462
TI - Clinicopathological predictors for progression of chronic kidney disease in
nephrosclerosis: a biopsy-based cohort study.
AB - Background: Biopsy-based studies on nephrosclerosis are lacking and the
clinicopathological predictors for progression of chronic kidney disease (CKD)
are not well established. Methods: We retrospectively assessed 401 patients with
biopsy-proven nephrosclerosis in Japan. Progression of CKD was defined as new
onset end-stage renal disease, decrease of estimated glomerular filtration rate
(eGFR) by >=50% or doubling of serum creatinine, and the sub-distribution hazard
ratio (SHR) with 95% confidence interval (CI) for CKD progression was determined
for various clinical and histological characteristics in competing risks
analysis. The incremental value of pathological information for predicting CKD
progression was assessed by calculating Harrell's C-statistics, the Akaike
information criterion (AIC), net reclassification improvement and integrated
discrimination improvement. Results: During a median follow-up period of 5.3
years, 117 patients showed progression of CKD and 10 patients died before the
defined kidney event. Multivariable sub-distribution hazards model identified
serum albumin (SHR 0.48; 95% CI 0.35-0.67), hemoglobin A1c (SHR 0.71; 95% CI 0.54
0.94), eGFR (SHR 0.98; 95% CI 0.97-0.99), urinary albumin/creatinine ratio (UACR)
(SHR 1.18; 95% CI 1.08-1.29), percentage of segmental/global glomerulosclerosis
(%GS) (SHR 1.01; 95% CI 1.00-1.02) and interstitial fibrosis and tubular atrophy
(IFTA) (SHR 1.52; 95% CI 1.20-1.92) as risk factors for CKD progression. The C
statistic of a model with only clinical variables was improved by adding %GS
(0.790 versus 0.796, P < 0.01) and IFTA (0.790 versus 0.811, P < 0.01). The
reclassification statistic was also improved after adding the biopsy data to the
clinical data. The model including IFTA was superior, with the lowest AIC.
Conclusions: The study implies that in addition to the traditional markers of
eGFR and UACR, we may explore the markers of serum albumin and hemoglobin A1c,
which are widely available but not routinely measured in patients with
nephrosclerosis, and the biopsy data, especially the data on the severity of
interstitial damage, for the better prediction of CKD progression in patients
with nephrosclerosis.
PMID- 29788464
TI - Confucian Values as a Buffer Against Age-Based Stereotype Threat for Chinese
Older Adults.
AB - Objectives: Research has shown that stereotype threat can impair older adults'
memory in Western cultures. We tested whether this also occurs for older adults
from the East Asian Chinese culture. We also tested whether an intervention that
highlighted Confucian principles would protect Chinese older adults from
stereotype threat's detrimental effects. Method : Culturally-Chinese older adults
residing in the United States completed a memory test either under age-based
stereotype threat about cognitive decline or not. Prior to this, some
participants were also reminded of Confucian traditions of filial piety and were
assured these values had been transmitted to the younger generation. Results:
Stereotype threat impaired Chinese older adults' memory performance. However, our
intervention was effective in eliminating this deficit. When the Chinese
participants were reminded of the Confucian principle of filial piety they did
not exhibit stereotype threat effects. Discussion: Confirming that younger adults
have an obligation to respect their elders can eliminate the social-evaluative
pressure of stereotype threat for Chinese older adults. These findings are
noteworthy since population aging is happening at an unprecedented pace in East
Asia. Although our results suggest that stereotype threat can adversely affect
older adults' cognitive performance in these societies, we also identify a
culturally-based intervention to alleviate this impairment.
PMID- 29788463
TI - E-Cigarette Science and Its Relevance for Regulatory Actions and Policy.
PMID- 29788461
TI - Concomitant fibromyalgia complicating chronic inflammatory arthritis: a
systematic review and meta-analysis.
AB - Objectives: This systematic review and meta-analysis will describe the prevalence
of concomitant FM in adults with inflammatory arthritis and quantify the impact
of FM on DAS. Methods: Cochrane library, MEDLINE, Psychinfo, PubMed, Scopus and
Web of Science were searched using key terms and predefined exclusion criteria.
As appropriate, proportional and pairwise meta-analysis methods were used to pool
results. Results: Forty articles were identified. In RA the prevalence of FM
ranged from 4.9 to 52.4% (21% pooled). In axSpA the range was 4.11-25.2% (13%
pooled in AS only). In PsA the range was 9.6-27.2% (18% pooled). The presence of
concomitant FM was related to higher DAS in patients with RA and AS (DAS28 mean
difference 1.24, 95% CI: 1.10, 1.37 in RA; BASDAI mean difference 2.22, 95% CI:
1.86, 2.58 in AS). Concomitant FM was also associated with higher DAS in existing
PsA studies. Self-reported, rather than objective, components of DAS appear to be
raised in the presence of FM (e.g. tender joint count and Visual Analogue Scale
(VAS) pain scores). Conclusion: FM is common in RA, AxSpA and PsA. Comorbid FM
appears to amplify DAS and could therefore influence management of these
rheumatic conditions.
PMID- 29788465
TI - Fact Finders for Patient Safety: Risk of Bleeding with Nonaspirin Nonsteroidal
Anti-inflammatory Drugs Before Spine Procedures.
PMID- 29788467
TI - Reply: An informed decision between cleavage-stage and blastocyst-stage transfers
in IVF requires data on the transfers of frozen-thawed embryos.
PMID- 29788466
TI - In vivo and in vitro evaluation of tissue colonization and survival capacity of
Salmonella Oranienburg in laying hens.
AB - Salmonella enterica serovar Oranienburg (SO) was linked to a human salmonellosis
outbreak in the Midwest in 2015 and 2016 from consumption of eggs. However,
unlike Salmonella enterica serovar Enteritidis (SE), little is known regarding
the potential of SO to colonize in laying hens and contaminate eggs. We used in
vivo and in vitro models to evaluate tissue colonization and survival capacity of
SO. Twenty eight-week-old laying hens were each challenged with an oral dose of
approximately 107 (n = 92) or 109 (n = 96) colony-forming units (CFU) in 1 mL
saline and evaluated after 1, 2, and 4 wk. Standard microbiological methods with
pre-enrichment and enrichment in selective media were used for detection of SO in
tissues, egg shell wash, internal egg contents, and excreta. Peak colonization of
spleen (86.9%), ovaries (31.6%), upper oviduct (15.8%), and lower oviduct (34.3%)
was detected between 1 and 2 wk post-infection (pi), while at 4 wk SO was only
recovered from spleens (25%). Salmonella enterica serovar Oranienburg was not
recovered from internal egg contents. However, the presence of SO on egg shells
was seen when there were traces of excreta. Shedding in excreta was found in 92
and 100% birds gavaged with 107 and 109 CFU at 2 wk pi, respectively. The
invasion and proliferation of SO in ovarian granulosa cells (GC) was compared to
that of SE, and while the invasion of SO into GC was comparable to SE,
proliferation of SO was significantly lower (P < 0.05). The infective potential
of SO was also assessed by enumerating survival in egg white over 4 wk under
refrigerated conditions, resulting in 65% survival at 4 wk. Overall, our data
suggested that SO infection in layers did not result in egg contamination via
vertical transmission, and colonization of egg-forming tissues was limited to 2
wk pi. Survival within GC and egg white demonstrates the ability of SO to
withstand antibacterial factors and the potential of SO to penetrate the yolk.
PMID- 29788468
TI - CAVIAR: an R package for checking, displaying and processing wood-formation
monitoring data.
AB - In the last decade, the pervasive question of climate change impacts on forests
has revived investigations on intra-annual dynamics of wood formation, involving
disciplines such as plant ecology, tree physiology and dendrochronology. This
resulted in the creation of many research groups working on this topic worldwide
and a rapid increase in the number of studies and publications. Wood-formation
monitoring studies are generally based on a common conceptual model describing
xylem cell formation as the succession of four differentiation phases (cell
division, cell enlargement, cell wall thickening and mature cells). They
generally use the same sampling techniques, sample preparation methods and
anatomical criteria to separate between differentiation zones and discriminate
and count forming xylem cells, resulting in very similar raw data. However, the
way these raw data are then processed, producing the elaborated data on which
statistical analyses are performed, still remains quite specific to each
individual study. Thereby, despite very similar raw data, wood-formation
monitoring studies yield results that are still quite difficult to compare.
CAVIAR-an R package specifically dedicated to the verification, visualization and
manipulation of wood-formation-monitoring data-can help to improve this
situation. Initially, CAVIAR was built to provide efficient algorithms to compute
critical dates of wood formation phenology for conifers growing in temperate and
cold environments. Recently, we developed it further to check, display and
process wood-formation-monitoring data. Thanks to new and upgraded functions, raw
data can now be consistently verified, standardized and modelled (using logistic
regressions and Gompertz functions), in order to describe wood phenology and
intra-annual dynamics of tree-ring formation. We believe that CAVIAR will help
strengthening the science of wood formation dynamics by effectively contributing
to the standardization of its concepts and methods, making thereby possible the
comparison between data and results from different studies.
PMID- 29788469
TI - Influences and Impact of Anxiety and Depression in the Setting of Inflammatory
Bowel Disease.
AB - Background: Individuals with inflammatory bowel disease (IBD) are at increased
risk of developing anxiety or depression (A&D). Crohn's disease (CD) and
ulcerative colitis (UC) with comorbid A&D are both more challenging to manage.
IBD providers need to better understand the causes and impact of A&D in order to
improve care for IBD patients. We sought to identify clinical factors that
influence development of A&D and healthcare utilization in IBD. Methods: This is
a retrospective analysis using an IBD natural history registry from a single
tertiary care referral center. Presence of A&D was determined based upon
responses to the Hospital Anxiety and Depression Scale. Demographic and clinical
factors were abstracted to evaluate for significant associations. Results: Four
hundred thirty-two IBD patients (132 UC, 256 CD, and 44 indeterminate colitis)
were included in this study. One hundred ninety-two (44.4%) had anxiety or
depression or both, and most were female (59.4%, P < 0.05). History of surgery (P
< 0.05), female gender (P < 0.05), smoking (P < 0.05), and extra-intestinal
manifestations (P < 0.01) were each independently predictive of A&D. Inflammatory
bowel disease patients with A&D more often underwent imaging studies (53.6% vs
36.7%, P < 0.05), visited the ED (30.7% vs 20.8%, P < 0.05), or were hospitalized
(31.7% vs 21.7%, P < 0.05). They were also more frequently prescribed
corticosteroids (50.5% vs 36.7%, P < 0.01) and biologic medications (62.5% vs
51.3%, P < 0.05). Finally, they were more likely to have had at least 1 "no-show"
(29.2% vs 16.7%, P < 0.01) and had a higher mean number of "no-shows" (0.69 +/-
0.1 vs 0.30 +/- 0.1, P < 0.01) over the study period. Discussion: Anxiety and
depression are common in the setting of IBD and are strongly associated with
surgical history, disease complications (including extra-intestinal
manifestations), smoking, and female gender. Inflammatory bowel disease patients
with A&D are also more likely to require therapy and to utilize healthcare
resources. This study refines our understanding of A&D development and its impact
in IBD and provides additional considerations for management in this setting.
PMID- 29788470
TI - Opioids in intensive care unit (ICU): causal agent for sleep apnea, unmasking the
undiagnosed sleep apnea or both in the ICU.
PMID- 29788472
TI - Marchiafava-Bignami disease.
PMID- 29788471
TI - Molecular mechanisms controlling plant growth during abiotic stress.
PMID- 29788474
TI - Umbilical Cord Blood Transplantation Corrects Very Early-Onset Inflammatory Bowel
Disease in Chinese Patients With IL10RA-Associated Immune Deficiency.
AB - Background: Hematopoietic stem cell transplantation is considered the only
curative therapy for very early-onset inflammatory bowel disease with specific
immune defects, such as interleukin-10 receptor deficiency. We performed reduced
intensity conditioning before umbilical cord blood transplantation in patients
with interleukin-10 receptor-A deficiency. Methods: We enrolled 9 very early
onset inflammatory bowel disease patients with typical manifestations. We
diagnosed the patients with interleukin-10 receptor-A deficiency by whole-exome
sequencing. Umbilical cord blood transplantation was performed in all 9 patients.
Eight patients received the reduced-intensity conditioning regimen, and 1 patient
received the myeloablative conditioning regimen. Results: All 9 patients received
transplantation between the ages of 6 months to 43 months (average, 16.8 months)
with body weights ranging from 3 to 10.4 kg (average, 6.6 kg). The patients
displayed complete chimerism at 2-8 weeks after transplantation; 6 patients
achieved complete remission without evidence of graft-vs-host disease or
infections; 1 patient died of chronic lung graft-vs-host disease at 6 months post
transplantation; and the other 2 patients died of sepsis post-transplantation
because of unsuccessful engraftments. Severe malnutrition and growth retardation
associated with interleukin-10 receptor-A deficiency were significantly improved
post-transplantation. Conclusions: We recommend umbilical cord blood
transplantation as a potential treatment for very early-onset inflammatory bowel
disease with a defined monogenic immunodeficiency, and we suggest that reduced
intensity conditioning chemotherapy is more suitable than myeloablative
conditioning for patients with severe malnutrition and bowel disease. We have
demonstrated success with reduced-intensity conditioning for interleukin-10
receptor-A deficiency in pediatric patients with severe clinical conditions.
10.1093/ibd/izy028_video1izy028.video15786489183001.
PMID- 29788475
TI - Associations Between Prediagnostic Concentrations of Circulating Sex Steroid
Hormones and Esophageal/Gastric Cardia Adenocarcinoma Among Men.
AB - Background: Esophageal adenocarcinoma (EA) and gastric cardia adenocarcinoma
(GCA) are characterized by a strong male predominance. Concentrations of sex
steroid hormones have been hypothesized to explain this sex disparity. However,
no prospective population-based study has examined sex steroid hormones in
relation to EA/GCA risk. Thus, we investigated whether prediagnostic circulating
sex steroid hormone concentrations were associated with EA/GCA in a nested case
control study drawn from participants in three prospective cohort studies.
Methods: Using gas chromatography-mass spectrometry (GC-MS) and
electrochemiluminescence immunoassay, we quantitated sex steroid hormones and sex
hormone binding globulin, respectively, in serum from 259 EA/GCA male case
participants and 259 matched male control participants from the Prostate, Lung,
Colorectal, and Ovarian Cancer Screening Trial, Alpha-Tocopherol, Beta-Carotene
Cancer Prevention Study, and Cancer Prevention Study II Nutrition Cohort.
Multivariable conditional logistic regression was used to calculate odds ratios
(ORs) and 95% confidence intervals (CIs) for associations between circulating
hormones and EA/GCA risk. All statistical tests were two-sided. Results: Higher
concentrations of dehydroepiandrosterone (DHEA) were associated with a 38%
decreased risk of EA/GCA (OR per unit increase in log2 DHEA = 0.62, 95% CI = 0.47
to 0.82, Ptrend = .001). Higher estradiol concentrations were associated with a
34% reduced risk of EA/GCA (OR = 0.66, 95% CI = 0.45 to 0.98, Ptrend = .05), and
the association with free estradiol was similar. No other associations between
baseline hormone concentrations and future EA/GCA risk were observed.
Conclusions: This study provides the first evidence that higher concentrations of
circulating DHEA, estradiol, and free estradiol may be associated with lower
risks of EA/GCA in men.
PMID- 29788476
TI - The flutter-by effect: a comprehensive study of the fluttering cusps of the
Perceval heart valve prosthesis.
AB - OBJECTIVES: Sutureless aortic valve prostheses are gaining popularity due to the
substantial reduction in cross-clamp time. In this study, we report our
observations on the cusp-fluttering phenomenon of the Perceval bioprosthesis
(LivaNova, London, UK) using a combination of technical and medical perspectives.
METHODS: Between August 2014 and December 2016, a total of 108 patients (69%
women) with a mean age of 78 years had aortic valve replacement using the
Perceval bioprosthesis (34 combined procedures). All patients underwent
transoesophageal echocardiography (TOE) intraoperatively. TOE was performed
postoperatively to detect paravalvular leakage and to measure gradients,
acceleration time, Doppler velocity indices (Vmax and LVOT/Vmax AV) and effective
orifice area indices. In addition, a TOE examination was performed in 21 patients
postoperatively. Data were collected retrospectively from our hospital database.
RESULTS: The retrospective evaluation of the intraoperative TOE examinations
revealed consistent fluttering in all patients with the Perceval bioprosthesis.
The echocardiographic postoperative measurements showed a mean effective orifice
area index of 0.91 +/- 0.12 cm2/m2. The overall mean pressure and peak pressure
gradients were in a higher range (13.5 +/- 5.1 mmHg and 25.5 +/- 8.6 mmHg,
respectively), whereas acceleration time (62.8 +/- 16.4 ms) and Doppler velocity
indices (0.43 +/- 0.11) were within the normal range according to the American
Society of Echocardiography or european association of echocardiography (EAE)
guidelines. The 2-dimensional TOE in Motion Mode (M-Mode) that was performed in
patients with elevated lactate dehydrogenase (LDH) levels revealed remarkable
fluttering of the cusps of the Perceval bioprosthesis. CONCLUSIONS: In our study
cohort, we observed the fluttering phenomenon in all patients who received the
Perceval bioprosthesis, which was correlated with elevated LDH levels and higher
pressure gradients.
PMID- 29788477
TI - The relationship between sociodemographic factors and reporting having terminated
a pregnancy among Ghanaian women: a population-based study.
AB - Background: Pregnancy termination is an illegal medical procedure in Ghana and
88% of induced abortions are performed in unsafe conditions, thus recipients face
an elevated risk of abortion-related complications. This study aims to explore
the associations between sociodemographic factors and reporting having terminated
a pregnancy among Ghanaian women. Methods: Logistic regression models were
estimated using data from the 2014 Ghana Demographic and Health Survey (n=9396).
ORs were computed for the associations between reporting pregnancy termination
and select demographic and socio-economic factors. Results: Education level,
employment status, financial status and marital status of women are significantly
associated with reporting having terminated a pregnancy. Conclusions: Women who
are employed, cohabit with a partner and are considered middle class or wealthy
are more likely than their counterparts to report having terminated a pregnancy.
Ghanaian women with intermediate levels of education are more likely than both
their more- and less-educated counterparts to report having terminated a
pregnancy. These findings highlight the need for the development of policies
aimed at reducing unsafe abortions associated with unintended pregnancies.
Specific recommendations include providing family planning education and outreach
to high-risk groups to reduce unintended pregnancies and improving working
conditions for expectant mothers, including provisions for paid maternity leave
and job protection.
PMID- 29788480
TI - How should adult patients with neurofibromatosis 1 be managed?
PMID- 29788473
TI - Enhancing Psychosis-Spectrum Nosology Through an International Data Sharing
Initiative.
AB - The latent structure of schizotypy and psychosis-spectrum symptoms remains poorly
understood. Furthermore, molecular genetic substrates are poorly defined, largely
due to the substantial resources required to collect rich phenotypic data across
diverse populations. Sample sizes of phenotypic studies are often insufficient
for advanced structural equation modeling approaches. In the last 50 years,
efforts in both psychiatry and psychological science have moved toward (1) a
dimensional model of psychopathology (eg, the current Hierarchical Taxonomy of
Psychopathology [HiTOP] initiative), (2) an integration of methods and measures
across traits and units of analysis (eg, the RDoC initiative), and (3) powerful,
impactful study designs maximizing sample size to detect subtle genomic variation
relating to complex traits (the Psychiatric Genomics Consortium [PGC]). These
movements are important to the future study of the psychosis spectrum, and to
resolving heterogeneity with respect to instrument and population. The
International Consortium of Schizotypy Research is composed of over 40
laboratories in 12 countries, and to date, members have compiled a body of
schizotypy- and psychosis-related phenotype data from more than 30000
individuals. It has become apparent that compiling data into a protected,
relational database and crowdsourcing analytic and data science expertise will
result in significant enhancement of current research on the structure and
biological substrates of the psychosis spectrum. The authors present a data
sharing infrastructure similar to that of the PGC, and a resource-sharing
infrastructure similar to that of HiTOP. This report details the rationale and
benefits of the phenotypic data collective and presents an open invitation for
participation.
PMID- 29788478
TI - A minimal threshold of FANCJ helicase activity is required for its response to
replication stress or double-strand break repair.
AB - Fanconi Anemia (FA) is characterized by bone marrow failure, congenital
abnormalities, and cancer. Of over 20 FA-linked genes, FANCJ uniquely encodes a
DNA helicase and mutations are also associated with breast and ovarian cancer.
fancj-/- cells are sensitive to DNA interstrand cross-linking (ICL) and
replication fork stalling drugs. We delineated the molecular defects of two FA
patient-derived FANCJ helicase domain mutations. FANCJ-R707C was compromised in
dimerization and helicase processivity, whereas DNA unwinding by FANCJ-H396D was
barely detectable. DNA binding and ATP hydrolysis was defective for both FANCJ
R707C and FANCJ-H396D, the latter showing greater reduction. Expression of FANCJ
R707C or FANCJ-H396D in fancj-/- cells failed to rescue cisplatin or mitomycin
sensitivity. Live-cell imaging demonstrated a significantly compromised
recruitment of FANCJ-R707C to laser-induced DNA damage. However, FANCJ-R707C
expressed in fancj-/- cells conferred resistance to the DNA polymerase inhibitor
aphidicolin, G-quadruplex ligand telomestatin, or DNA strand-breaker bleomycin,
whereas FANCJ-H396D failed. Thus, a minimal threshold of FANCJ catalytic activity
is required to overcome replication stress induced by aphidicolin or
telomestatin, or to repair bleomycin-induced DNA breakage. These findings have
implications for therapeutic strategies relying on DNA cross-link sensitivity or
heightened replication stress characteristic of cancer cells.
PMID- 29788482
TI - A telehealth system for automated diagnosis of asthma and chronical obstructive
pulmonary disease.
AB - This paper presents the development and real-time testing of an automated expert
diagnostic telehealth system for the diagnosis of 2 respiratory diseases, asthma
and Chronic Obstructive Pulmonary Disease (COPD). The system utilizes Android,
Java, MATLAB, and PHP technologies and consists of a spirometer, mobile
application, and expert diagnostic system. To evaluate the effectiveness of the
system, a prospective study was carried out in 3 remote primary healthcare
institutions, and one hospital in Bosnia and Herzegovina healthcare system.
During 6 months, 780 patients were assessed and diagnosed with an accuracy of
97.32%. The presented approach is simple to use and offers specialized
consultations for patients in remote, rural, and isolated communities, as well as
old and less physically mobile patients. While improving the quality of care
delivered to patients, it was also found to be very beneficial in terms of
healthcare.
PMID- 29788479
TI - Repeated Cis-Regulatory Tuning of a Metabolic Bottleneck Gene during Evolution.
AB - Repeated evolutionary events imply underlying genetic constraints that can make
evolutionary mechanisms predictable. Morphological traits are thought to evolve
frequently through cis-regulatory changes because these mechanisms bypass
constraints in pleiotropic genes that are reused during development. In contrast,
the constraints acting on metabolic traits during evolution are less well
studied. Here we show how a metabolic bottleneck gene has repeatedly adopted
similar cis-regulatory solutions during evolution, likely due to its pleiotropic
role integrating flux from multiple metabolic pathways. Specifically, the genes
encoding phosphoglucomutase activity (PGM1/PGM2), which connect GALactose
catabolism to glycolysis, have gained and lost direct regulation by the
transcription factor Gal4 several times during yeast evolution. Through targeted
mutations of predicted Gal4-binding sites in yeast genomes, we show this
galactose-mediated regulation of PGM1/2 supports vigorous growth on galactose in
multiple yeast species, including Saccharomyces uvarum and Lachancea kluyveri.
Furthermore, the addition of galactose-inducible PGM1 alone is sufficient to
improve the growth on galactose of multiple species that lack this regulation,
including Saccharomyces cerevisiae. The strong association between regulation of
PGM1/2 by Gal4 even enables remarkably accurate predictions of galactose growth
phenotypes between closely related species. This repeated mode of evolution
suggests that this specific cis-regulatory connection is a common way that
diverse yeasts can govern flux through the pathway, likely due to the constraints
imposed by this pleiotropic bottleneck gene. Since metabolic pathways are highly
interconnected, we argue that cis-regulatory evolution might be widespread at
pleiotropic genes that control metabolic bottlenecks and intersections.
PMID- 29788483
TI - Persisting Embryonal Infundibular Recess (PEIR): Two Case Reports and Systematic
Literature Review.
AB - Context: The persisting embryonal infundibular recess (PEIR) is a rare anomaly of
the floor of the third ventricle with a debated pathogenesis. It can be a cause
of misdiagnosis in the case of cystic lesions of the sellar and suprasellar area.
Objective: To describe two recently evaluated cases and provide a systematic
literature review. Evidence Acquisition and Case Descriptions: PEIR has been
previously reported in six adult patients. Because in some cases it was
associated with hydrocephalus and/or empty sella, a possible role of altered
intracranial pressure in PEIR formation has been postulated. We evaluated two
female patients, aged 34 and 50 years, referred to the Pituitary Surgery Clinic
of the University of Brescia with the diagnosis of a sellar cyst and
craniopharyngioma, respectively. Endocrine screening and visual field testing
were normal. No signs of hydrocephalus or empty sella, as well as other indirect
signs of intracranial hypertension, were visible on MRI scans. After a
multidisciplinary reevaluation, diagnosis of PEIR was made in both cases. Both
patients are followed but have not developed any disturbance related to the PEIR
in the following 18 months. Conclusions: PEIR is a rare condition, probably
unrecognized and the result of dysembriogenesis, which should be included in the
differential diagnosis of cystic sellar lesions. Imaging features (funnel
pituitary stalk and cyst in the sella) appear pathognomonic. A normal endocrine
evaluation might help in the diagnosis and warrants conservative treatment.
PMID- 29788484
TI - An E-liquid Flavor Wheel: A Shared Vocabulary based on Systematically Reviewing E
liquid Flavor Classifications in Literature.
AB - Introduction: E-liquids are available in a high variety of flavors. A systematic
classification of e-liquid flavors is necessary to increase comparability of
research results. In the food, alcohol and fragrance industry, flavors are
classified using flavor wheels. We systematically reviewed literature on flavors
related to e-cigarette use, to investigate how e-liquid flavors have been
classified in research, and propose an e-liquid flavor wheel to classify e
liquids based on marketing descriptions. Methods: The search was conducted in May
2017 using PubMed and Embase databases. Keywords included terms associated with e
cigarettes, flavors, liking, learning, and wanting in articles. Results were
independently screened and reviewed. Flavor categories used in the articles
reviewed were extracted. Results: Searches yielded 386 unique articles of which
28 were included. Forty-three main flavor categories were reported in these
articles (e.g., tobacco, menthol, mint, fruit, bakery/dessert, alcohol, nuts,
spice, candy, coffee/tea, beverages, chocolate, sweet flavors, vanilla,
unflavored). Flavor classifications of e-liquids in literature showed
similarities and differences across studies. Our proposed e-liquid flavor wheel
contains 13 main categories and 90 subcategories, which summarize flavor
categories from literature to find a shared vocabulary. For classification of e
liquids using our flavor wheel, marketing descriptions should be used.
Conclusions: We have proposed a flavor wheel for classification of e-liquids.
Further research is needed to test the flavor wheels' empirical value.
Consistently classifying e-liquid flavors using our flavor wheel in research
(e.g., experimental, marketing, or qualitative studies) minimizes interpretation
differences and increases comparability of results. Implications: We reviewed e
liquid flavors and flavor categories used in research. A large variation in the
naming of flavor categories was found and e-liquid flavors were not consistently
classified. We developed an e-liquid flavor wheel and provided a guideline for
systematic classification of e-liquids based on marketing descriptions. Our
flavor wheel summarizes e-liquid flavors and categories used in literature in
order to create a shared vocabulary. Applying our flavor wheel in research on e
liquids will improve data interpretation, increase comparability across studies,
and support policy makers in developing rules for regulation of e-liquid flavors.
PMID- 29788485
TI - Enzymatic synthesis of random sequences of RNA and RNA analogues by DNA
polymerase theta mutants for the generation of aptamer libraries.
AB - Nucleic acid aptamers, especially RNA, exhibit valuable advantages compared to
protein therapeutics in terms of size, affinity and specificity. However, the
synthesis of libraries of large random RNAs is still difficult and expensive. The
engineering of polymerases able to directly generate these libraries has the
potential to replace the chemical synthesis approach. Here, we start with a DNA
polymerase that already displays a significant template-free
nucleotidyltransferase activity, human DNA polymerase theta, and we mutate it
based on the knowledge of its three-dimensional structure as well as previous
mutational studies on members of the same polA family. One mutant exhibited a
high tolerance towards ribonucleotides (NTPs) and displayed an efficient
ribonucleotidyltransferase activity that resulted in the assembly of long RNA
polymers. HPLC analysis and RNA sequencing of the products were used to quantify
the incorporation of the four NTPs as a function of initial NTP concentrations
and established the randomness of each generated nucleic acid sequence. The same
mutant revealed a propensity to accept other modified nucleotides and to extend
them in long fragments. Hence, this mutant can deliver random natural and
modified RNA polymers libraries ready to use for SELEX, with custom lengths and
balanced or unbalanced ratios.
PMID- 29788486
TI - Two Endosomal NHX-Type Na+/H+ Antiporters are Involved in Auxin-Mediated
Development in Arabidopsis thaliana.
AB - In Arabidopsis thaliana, the endosomal-localized Na+/H+ antiporters NHX5 and NHX6
regulate ion and pH homeostasis and are important for plant growth and
development. However, the mechanism by which these endosomal NHXs function in
plant development is not well understood. Auxin modulates plant growth and
development through the formation of concentration gradients in plant tissue to
control cell division and expansion. Here, we identified a role for NHX5 and NHX6
in the establishment and maintenance of auxin gradients in embryo and root
tissues. We observed developmental impairment and abnormal cell division in
embryo and root tissues in the double knockout nhx5 nhx6, consistent with these
tissues showing high expression of NHX5 and NHX6. Through confocal microscopy
imaging with the DR5::GFP auxin reporter, we identify defects in the perception,
accumulation and redistribution of auxin in nhx5 nhx6 cells. Furthermore, we find
that the steady-state levels of the PIN-FORMED (PIN) auxin efflux carriers PIN1
and PIN2 are reduced in nhx5 nhx6 root cells. Our results demonstrate that NHX5
and NHX6 function in auxin-mediated plant development by maintaining PIN
abundance at the plasma membrane, and provide new insight into the regulation of
plant development by endosomal NHX antiporters.
PMID- 29788488
TI - Surveillance of Mosquitoes (Diptera: Culicidae) in Southern Iowa, 2016.
AB - The mosquito fauna of Iowa has been extensively investigated over several
decades, providing a wealth of information regarding species distributions,
relative abundance, temporal activity patterns, and identifying vectors of
medical importance. However, these investigations have had unequal coverage,
leaving the mosquito fauna in some parts of the state, including southern Iowa,
largely uncharacterized. With the heightened public health threat of Zika virus
in the summer of 2016, greater emphasis was placed on surveying for two potential
Zika virus vectors: Aedes (Stegomyia) albopictus (Skuse) and Aedes (Stegomyia)
aegypti (Linnaeus). Southern Iowa became an area of interest due to the range of
Ae. Albopictus, potentially extending into this part of the state. Employing CO2
baited Centers for Disease Control and Prevention light traps and BG-Sentinel
traps, our targeted trapping efforts in southern Iowa did not yield either Ae.
albopictus or Ae. aegypti. However, the geographical expansion of our trapping
efforts did lend valuable insights into the mosquito fauna of southern Iowa.
Mosquito species such as Aedes atropalpus (Coquillett), Culex erraticus (Dyar and
Knab), and several Psorophora species once presumed rare or uncommon in the state
were found to be more prevalent in this ecologically diverse region, augmenting
our understanding of mosquito distributions in the state. Moreover, these
surveillance efforts established baseline data for continued monitoring of the
potential introduction and spread of invasive mosquito species in Iowa as part of
an integrated mosquito management program.
PMID- 29788487
TI - The Effects of Metformin and Weight Loss on Biomarkers Associated With Breast
Cancer Outcomes.
AB - Background: This study investigated the effects of metformin and weight loss on
biomarkers associated with breast cancer prognosis. Methods: Overweight/obese
postmenopausal breast cancer survivors (n = 333) were randomly assigned to
metformin vs placebo and to a weight loss intervention vs control (ie, usual
care). The 2 * 2 factorial design allows a single randomized trial to investigate
the effect of two factors and interactions between them. Outcomes were changes in
fasting insulin, glucose, C-reactive protein (CRP), estradiol, testosterone, and
sex-hormone binding globulin (SHBG). The trial was powered for a main effects
analysis of metformin vs placebo and weight loss vs control. All tests of
statistical significance were two-sided. Results: A total of 313 women (94.0%)
completed the six-month trial. High prescription adherence (ie, >=80% of pills
taken) ranged from 65.9% of participants in the metformin group to 81.3% of those
in the placebo group (P < .002). Mean percent weight loss was statistically
significantly higher in the weight loss group (-5.5%, 95% confidence interval
[CI] = -6.3% to -4.8%) compared with the control group (-2.7%, 95% CI = -3.5% to
1.9%). Statistically significant group differences (ie, percent change in
metformin group minus placebo group) were -7.9% (95% CI = -15.0% to -0.8%) for
insulin, -10.0% (95% CI = -18.5% to -1.5%) for estradiol, -9.5% (95% CI = -15.2%
to -3.8%) for testosterone, and 7.5% (95% CI = 2.4% to 12.6%) for SHBG.
Statistically significant group differences (ie, percent change in weight loss
group minus placebo group) were -12.5% (95% CI = -19.6% to -5.3%) for insulin and
5.3% (95% CI = 0.2% to 10.4%) for SHBG. Conclusions: As adjuvant therapy, weight
loss and metformin were found to be a safe combination strategy that modestly
lowered estrogen levels and advantageously affected other biomarkers thought to
be on the pathway for reducing breast cancer recurrence and mortality.
PMID- 29788489
TI - Contribution of mental and physical disorders to disability in military
personnel.
AB - Background: Combat operations in Southwest Asia have exposed millions of military
personnel to risk of mental disorders and physical injuries, including traumatic
brain injury (TBI). The contribution of specific disorders to disability is,
however, uncertain. Aims: To estimate the contributions of mental and physical
health conditions to disability in military personnel. Methods: The sample
consisted of military personnel who participated in the cross-sectional 2013
Canadian Forces Mental Health Survey. Disability was measured using the World
Health Organization Disability Assessment. The International Classification of
Functioning, Disability, and Health was used to classify participants with
moderate/severe disability. Chronic mental disorders and physical conditions were
measured by self-reported health professional diagnoses, and their contribution
to disability was assessed using logistic regression and resulting population
attributable fractions. Results: Data were collected from 6696 military members.
The prevalence of moderate/severe disability was 10%. Mental disorders accounted
for 27% (95% confidence interval [CI] 23-31%) and physical conditions 62% (95% CI
56-67%) of the burden of disability. Chronic musculoskeletal problems 33% (95% CI
26-39%), back problems 29% (95% CI 23-35%), mood disorders 16% (95% CI 11-19%)
and post-traumatic stress disorder (PTSD) 9% (95% CI 5-12%) were the leading
contributors to disability. After-effects of TBI accounted for only 3% (95% CI 1
4%) of disability. Mental and physical health interacted broadly, such that those
with mental disorders experienced disproportionate disability in the presence of
physical conditions. Conclusions: Chronic musculoskeletal conditions, back
problems, mood disorders and PTSD are primary areas of focus in prevention and
control of disability in military personnel.
PMID- 29788490
TI - Effects of a protease and essential oils on growth performance, blood cell
profiles, nutrient retention, ileal microbiota, excreta gas emission, and breast
meat quality in broiler chicks.
AB - A total of 360 Ross male broiler chicks (39.8 +/- 1.8 g) were used in a five week
experiment to determine the effect of a protease and essential oils (EO) on
growth performance, blood cell profile, nutrient retention, ileal microbiota,
excreta gas emission, and breast meat quality in broiler chicks. Broiler chicks
were randomly allotted to four dietary treatments with 15 birds/cage and six
cages/treatment. Experimental treatments were arranged as a 2 * 2 factorial with
two levels of protease (0 and 0.02%) and two levels of EO (0 and 0.03%). For days
8 to 21 and overall, body weight gain and the feed conversion ratio were better
in broilers fed diets supplemented with protease (P < 0.05) than in those fed
diets without protease supplementation. Protease and/or EO increased (P < 0.05)
the total tract retention of dry matter, nitrogen, or gross energy, and decreased
the excreta ammonia gas emission. In addition, there was a significant
interaction between the protease and EO on total tract retention of nitrogen and
excreta ammonia gas emission (P < 0.05). The density of ileal Lactobacillus
increased and Escherichia coli decreased in broilers (P < 0.05) by the addition
of EO to the diet. There were no significant differences in the measurements of
breast meat quality and organ weight of broilers fed diets with protease or EO.
In conclusion, diets with a combination of a protease and EO improved total tract
retention of nitrogen and excreta ammonia gas emission in growing broiler chicks.
PMID- 29788491
TI - Lowering the recurrence rate in pigmented villonodular synovitis: A series of 120
resections.
AB - Objectives: Tenosynovial giant-cell tumour or pigmented villonodular synovitis is
an aggressive synovial proliferative disease, with the knee joint being the most
commonly affected joint. The mainstay of therapy is surgical resection. The aim
of this study was to evaluate the main patient characteristics, treatment and
outcomes in a large single-centre retrospective study, focusing on meticulous
aggressive open surgical procedures. Methods: From 1996 through 2014, 122
surgical interventions were performed in 105 patients. All patients underwent
open synovectomy and when the knee joint was affected, combined anterior and
posterior synovectomy. Radiotherapy was applied in 2 patients,
radiosynoviorthesis in 27 patients. Results: In histopathology, the diffuse type
was seen in 66 (54%) lesions. Two patients were lost during follow-up. At a
median follow-up time of 71 months (range: 13-238), 22 (18%) lesions recurred
within a median of 18 months, >90% in the first 3 years. Out of those 22
recurrences, 9 (11%) were seen in primary disease and 13 (34%) were a second
recurrence. After renewed resection, 6 (5%) out of the 120 resections had
persistent tumour at the end of follow-up. Based on the number of patients with
complete follow-up (n = 103), this represents 5.8%. Conclusion: In diffuse-type
pigmented villonodular synovitis, total synovectomy might be difficult to
achieve. As shown in our results and also in the literature, meticulous open
resection, especially in difficult to approach areas such as the popliteal space,
reduces local recurrence rates. External beam radiation is an option in
prevention of otherwise non-operable local recurrences or in non-operable
disease.
PMID- 29788492
TI - Reply to 'Addressing the challenge of proper delineation of lymph node stations
in modern radiotherapy for lung cancer'.
PMID- 29788493
TI - How the Central American Seaway and an Ancient Northern Passage Affected Flatfish
Diversification.
AB - While the natural history of flatfish has been debated for decades, the mode of
diversification of this biologically and economically important group has never
been elucidated. To address this question, we assembled the largest molecular
data set to date, covering > 300 species (out of ca. 800 extant), from 13 of the
14 known families over nine genes, and employed relaxed molecular clocks to
uncover their patterns of diversification. As the fossil record of flatfish is
contentious, we used sister species distributed on both sides of the American
continent to calibrate clock models based on the closure of the Central American
Seaway (CAS), and on their current species range. We show that flatfish
diversified in two bouts, as species that are today distributed around the
equator diverged during the closure of CAS, whereas those with a northern range
diverged after this, hereby suggesting the existence of a postCAS closure
dispersal for these northern species, most likely along a trans-Arctic northern
route, a hypothesis fully compatible with paleogeographic reconstructions.
PMID- 29788494
TI - Military Factors Associated with Smoking in Veterans.
AB - Introduction: Given the high prevalence of smoking among Veterans and the
economic, social, and clinical implications, it is important to understand the
factors that contribute to smoking in order to focus efforts to mitigate these
factors and improve smoking cessation efforts among Veterans. The availability of
research on smoking in Veterans compared with civilians is limited given the
military-specific differences in their life course. We aimed to identify military
specific factors combined with sociodemographic factors for ever smoking and
current smoking among Veterans to inform future interventions. Materials and
Methods: We used data from the 2010 National Survey of Veterans, the most
current, to analyze the association of sociodemographic and military-specific
factors with ever versus never smoking, and current versus past smoking using
multiple variable logistic regression models (IRB#4125). Results: Among 8,618
respondents, the proportions of current, past, and never smokers were 17%, 48%,
and 34%, respectively. Sociodemographic factors associated with ever smoking were
female gender, educational attainment of less than a bachelor's degree, and being
divorced/separated/widowed. Military-specific factors associated with ever
smoking were exposure to dead/dying/wounded soldiers during service, and past,
current, and unsure enrollment in Veterans Affairs healthcare. Never smoking was
associated with Hispanic ethnicity, income over $75,000, and reporting fair or
poor health. Military factors associated with never smoking were presence of a
service-connected disability and military service July 1964 or earlier (i.e., pre
Vietnam). Among 5,652 ever smokers, sociodemographic factors associated with
current smoking were age less than 65, being non-Hispanic black, educational
attainment of less than a bachelor's degree, being divorced/separated/widowed,
never married, and having no insurance. Factors associated with reduced
likelihood of current smoking compared with past smoking included income >$41,000
and reporting fair or poor health. Military-specific variables associated with
reduced likelihood of current smoking were service era of May 1975 or later
(i.e., post-Vietnam) and 5 or more years of service. Conclusion: Military
specific variables are associated with smoking behaviors among Veterans. Findings
from this study that exposure to dead/dying/wounded soldiers, service era,
duration of service, service-connected disability status, and enrollment in VA
care all influence smoking in Veterans, can inform prevention and cessation
efforts in part by encouraging alternative healthy habits or cessation techniques
in subgroups of Veterans with particular military backgrounds. By assessing risk
factors in this unique population future research can leverage these findings to
determine mechanisms that help explain these associations. Identifying factors
associated with smoking offers insights for smoking cessation and prevention
interventions given the military experiences and increased smoking incidence
among Veterans.
PMID- 29788495
TI - Corrigendum to "The effects of dietary Selenium-yeast level on glutathione
peroxidase activity, tissue Selenium content, growth performance, and carcass and
meat quality of broilers".
PMID- 29788496
TI - Distinguishing Between Convergent Evolution and Violation of the Molecular Clock
for Three Taxa.
AB - We give a non-technical introduction to convergence-divergence models, a new
modeling approach for phylogenetic data that allows for the usual divergence of
lineages after lineage-splitting but also allows for taxa to converge, i.e.
become more similar over time. By examining the $3$-taxon case in some detail, we
illustrate that phylogeneticists have been "spoiled" in the sense of not having
to think about the structural parameters in their models by virtue of the strong
assumption that evolution is tree-like. We show that there are not always good
statistical reasons to prefer the usual class of tree-like models over more
general convergence-divergence models. Specifically, we show many $3$-taxon data
sets can be equally well explained by supposing violation of the molecular clock
due to change in the rate of evolution along different edges, or by keeping the
assumption of a constant rate of evolution but instead assuming that evolution is
not a purely divergent process. Given the abundance of evidence that evolution is
not strictly tree-like, our discussion is an illustration that as
phylogeneticists we need to think clearly about the structural form of the models
we use. For cases with four taxa, we show that there will be far greater ability
to distinguish models with convergence from non-clock-like tree models. [Akaike
information criterion; convergence-divergence models; distinguishability;
identifiability; likelihood; molecular clock; phylogeny.].
PMID- 29788498
TI - CellAtlasSearch: a scalable search engine for single cells.
AB - Owing to the advent of high throughput single cell transcriptomics, past few
years have seen exponential growth in production of gene expression data.
Recently efforts have been made by various research groups to homogenize and
store single cell expression from a large number of studies. The true value of
this ever increasing data deluge can be unlocked by making it searchable. To this
end, we propose CellAtlasSearch, a novel search architecture for high dimensional
expression data, which is massively parallel as well as light-weight, thus
infinitely scalable. In CellAtlasSearch, we use a Graphical Processing Unit (GPU)
friendly version of Locality Sensitive Hashing (LSH) for unmatched speedup in
data processing and query. Currently, CellAtlasSearch features over 300 000
reference expression profiles including both bulk and single-cell data. It
enables the user query individual single cell transcriptomes and finds matching
samples from the database along with necessary meta information. CellAtlasSearch
aims to assist researchers and clinicians in characterizing unannotated single
cells. It also facilitates noise free, low dimensional representation of single
cell expression profiles by projecting them on a wide variety of reference
samples. The web-server is accessible at: http://www.cellatlassearch.com.
PMID- 29788497
TI - A study of the focal adhesion kinase inhibitor GSK2256098 in patients with
recurrent glioblastoma with evaluation of tumor penetration of [11C]GSK2256098.
AB - Background: GSK2256098 is a novel oral focal adhesion kinase (FAK) inhibitor.
Preclinical studies demonstrate growth inhibition in glioblastoma cell lines.
However, rodent studies indicate limited blood-brain barrier (BBB) penetration.
In this expansion cohort within a phase I study, the safety, tolerability,
pharmacokinetics (PK), and clinical activity of GSK2256098 were evaluated in
patients with recurrent glioblastoma. Biodistribution and kinetics of
[11C]GSK2256098 were assessed in a substudy using positron-emission tomography
(PET). Methods: Patients were treated with GSK2256098 until disease progression
or withdrawal due to adverse events (AEs). Serial PK samples were collected on
day 1. On a single day between days 9 and 20, patients received a microdose of
intravenous [11C]GSK2256098 and were scanned with PET over 90 minutes with
parallel PK sample collection. Response was assessed by MRI every 6 weeks.
Results: Thirteen patients were treated in 3 dose cohorts (1000 mg, 750 mg, 500
mg; all dosed twice daily). The maximum tolerated dose was 1000 mg twice daily.
Dose-limiting toxicities were related to cerebral edema. Treatment-related AEs
(>25%) were diarrhea, fatigue, and nausea. Eight patients participated in the PET
substudy, with [11C]GSK2256098 VT (volume of distribution) estimates of 0.9 in
tumor tissue, 0.5 in surrounding T2 enhancing areas, and 0.4 in normal brain.
Best response of stable disease was observed in 3 patients, including 1 patient
on treatment for 11.3 months. Conclusions: GSK2256098 was tolerable in patients
with relapsed glioblastoma. GSK2256098 crossed the BBB at low levels into normal
brain, but at markedly higher levels into tumor, consistent with tumor-associated
BBB disruption. Additional clinical trials of GSK2256098 are ongoing.
PMID- 29788501
TI - Reply to "Clinical Examination in the Diagnosis of Myofascial Pain Syndrome;
Methodological Issues on Validity and Reliability".
PMID- 29788499
TI - Group I introns are widespread in archaea.
AB - Group I catalytic introns have been found in bacterial, viral, organellar, and
some eukaryotic genomes, but not in archaea. All known archaeal introns are bulge
helix-bulge (BHB) introns, with the exception of a few group II introns. It has
been proposed that BHB introns arose from extinct group I intron ancestors, much
like eukaryotic spliceosomal introns are thought to have descended from group II
introns. However, group I introns have little sequence conservation, making them
difficult to detect with standard sequence similarity searches. Taking advantage
of recent improvements in a computational homology search method that accounts
for both conserved sequence and RNA secondary structure, we have identified 39
group I introns in a wide range of archaeal phyla, including examples of group I
introns and BHB introns in the same host gene.
PMID- 29788500
TI - Microvascular Dysfunction Following Multiwalled Carbon Nanotube Exposure Is
Mediated by Thrombospondin-1 Receptor CD47.
AB - Pulmonary exposure to multiwalled carbon nanotubes (MWCNTs) disrupts peripheral
microvascular function. Thrombospondin-1 (TSP-1) is highly expressed during lung
injury and has been shown to alter microvascular reactivity. It is unclear
exactly how TSP-1 exerts effects on vascular function, but we hypothesized that
the TSP-1 receptor CD47 may mediate changes in vasodilation. Wildtype (WT) or
CD47 knockout (CD47 KO) C57B6/J-background animals were exposed to 50 ug of MWCNT
or saline control via pharyngeal aspiration. Twenty-four hours postexposure,
intravital microscopy was performed to assess arteriolar dilation and venular
leukocyte adhesion and rolling. To assess tissue redox status, electron
paramagnetic resonance and NOx measurements were performed, while inflammatory
biomarkers were measured via multiplex assay.Vasodilation was impaired in the WT
+ MWCNT group compared with control (57 +/- 9 vs 90 +/- 2% relaxation), while
CD47 KO animals showed no impairment (108 +/- 8% relaxation). Venular leukocyte
adhesion and rolling increased by >2-fold, while the CD47 KO group showed no
change. Application of the antioxidant apocynin rescued normal leukocyte activity
in the WT + MWCNT group. Lung and plasma NOx were reduced in the WT + MWCNT group
by 47% and 32%, respectively, while the CD47 KO groups were unchanged from
control. Some inflammatory cytokines were increased in the CD47 + MWCNT group
only. In conclusion, TSP-1 is an important ligand mediating MWCNT-induced
microvascular dysfunction, and CD47 is a component of this dysregulation. CD47
activation likely disrupts nitric oxide (*NO) signaling and promotes leukocyte
endothelial interactions. Impaired *NO production, signaling, and bioavailability
is linked to a variety of cardiovascular diseases in which TSP-1/CD47 may play an
important role.
PMID- 29788502
TI - Are Fetal-Type Posterior Cerebral Arteries Associated With an Increased Risk of
Posterior Communicating Artery Aneurysms?
AB - BACKGROUND: Fetal-type posterior cerebral arteries (F-PCAs) might result in
alterations in hemodynamic flow patterns and may predispose an individual to an
increased risk of posterior communicating artery aneurysms (PCoAAs). OBJECTIVE:
To determine the association between PCoAAs and the presence of ipsilateral F
PCAs. METHODS: We retrospectively reviewed the radiographic findings from 185
patients harboring 199 PCoAAs that were treated at our institution between 2005
and 2015. Our study population consisted of 4 cohorts: (A) patients with 171
internal carotid arteries (ICAs) harboring unilateral PCoAAs; (B) 171 unaffected
ICAs in the same patients from the first group; (C) 28 ICAs of 14 patients with
bilateral PCoAAs; and (D) 180 ICAs of 90 patients with aneurysms in other
locations. We then determined the presence of ipsilateral F-PCAs and recorded all
aneurysm characteristics. RESULTS: Group A had the highest prevalence of F-PCAs
(42%) compared to 19% in group B, 3% in group C, and 14% in group D (odds ratio A
: B = 3.041; A : C = 19.626; and A : D = 4.308; P < .001). PCoAAs were associated
with larger diameters of the posterior communicating arteries (median value 1.05
vs 0.86 mm; P = .001). The presence of F-PCAs was associated with larger sizes of
the aneurysm necks (median value 3.3 vs 3.0 mm; P = .02). CONCLUSION: PCoAAs were
associated with a higher prevalence of ipsilateral F-PCAs. This variant was
associated with larger sizes of the aneurysm necks but was not associated with
the sizes of the aneurysm domes or with their rupture statuses.
PMID- 29788503
TI - Visual analogue scales for interstitial lung disease: a prospective validation
study.
AB - Rationale: Visual analogue scales (VAS) are simple symptom assessment tools which
have not been validated in interstitial lung disease (ILD). Simple measures of
ILD disease burden would be valuable for non-specialist clinicians monitoring
disease away from ILD specialist centres. Objective: To validate VAS to assess
change in dyspnoea, cough and fatigue in ILD, and to define the minimal
clinically important difference (MCID) for change in these. Methods: 64 patients
with ILD completed VAS for dyspnoea, cough and fatigue. Baseline King's Brief ILD
questionnaire (K-BILD) scores, lung function and 6-minute walk test results were
collected. Tests were repeated 3-6 months later, in addition to a 7-point Likert
scale. The MCID was estimated using median change in VAS in patients who reported
"small but just worthwhile change" in symptoms at follow-up. Methods were
repeated in a validation cohort of 31 ILD patients to confirm findings. Results:
VAS scores were significantly higher for patients who reported a "small but just
worthwhile change" in symptoms versus "no change" or "not worthwhile change" (p <
0.01). The MCID for VAS Dyspnoea was estimated as 22.0mm and 14.5mm for VAS
Fatigue. These results were reproducible in the validation cohort. Results were
not significant for VAS Cough. Change in VAS Dyspnoea correlated with change in K
BILD (r=-0.51, p < 0.01), forced vital capacity (r=-0.32, p = 0.01) and 6-minute
walking distance (r=-0.37, p = 0.01). Conclusion: The VAS is valid for assessing
change in dyspnoea and fatigue in ILD. The MCID is estimated as 22.0mm for
dyspnoea and 14.5mm for fatigue. This could be used to monitor disease in
settings away from ILD specialist review.
PMID- 29788504
TI - Physiological response of broiler embryos to different incubator temperature
profiles and maternal flock age during incubation. 1. Embryonic metabolism and
day-old chick quality.
AB - Broiler strain, maternal age, and incubation temperature influence embryo
metabolism. Hatching eggs were obtained from young (Y; 28 to 34 wk, $?bar{?rm x}$
= 31.2 wk), mid (M; 36 to 45 wk, $?bar{?rm x}$ = 40.5 wk) and old (O; 49 to 54
wk, $?bar{?rm x}$ = 51.4 wk) Ross 708 (n = 88; Experiment 1) and Ross 308 [(n =
45; Experiment 2: (Y; 25 to 34 wk, $?bar{?rm x}$ = 30.5 wk), (M; 35 to 44 wk,
$?bar{?rm x}$ = 40.2 wk), and (O; 49 to 54 wk, $?bar{?rm x}$ = 51.6 wk)]
breeders. Eggs were stored for 2 to 4 d (18 degrees C, 73% RH), and incubated for
14 d at 37.5 degrees C and 56% RH. At 15 d (E15), 8 fertile eggs per flock age
were incubated in individual metabolic chambers at 36.0, 36.5, 37.0, or 37.5
degrees C until E21.5. Each temperature was repeated one additional time. O2
consumption and CO2 production were used to calculate embryonic heat production
(EHP). Embryo temperature was measured as eggshell temperature (EST). Initial egg
weight was used as a covariate; significance was assessed at P < 0.05. In Ross
708, daily EHP tended to be higher in M and O than Y treatments at E16; EHP of M
was higher than Y and O eggs at E18; M and O were higher than O eggs at E19.
Incubation at 37.0 degrees C resulted in the highest EHP from E15 to E21, except
at E17. Embryos at 37.5 degrees C had reduced EHP beyond E17. Daily EST from E15
to E21 was higher at 37.5 and 37.0 degrees C than at 36.0 and 36.5 degrees C. In
Ross 308, daily EST was highest at 37.5 degrees C except at E20. Incubation
temperature and EST were highly correlated (R2 = 0.90 to 0.89; P < 0.001). Ross
708 chicks were longer and hatched earlier at 37.0 degrees C than at 36.0 and
37.5 degrees C. EST and EHP increased with incubation temperature in Ross 708. In
Ross 308, maternal flock age and incubation temperature did not impact EHP.
However, EST was highest at 37.5 degrees C except at E20. Ross 708 was more
sensitive to incubation temperature than Ross 308.
PMID- 29788505
TI - MEASUREMENT OF NATURAL RADIONUCLIDES AND EXTERNAL RADIATION EXPOSURE DUE TO FLY
ASH FROM A COAL-FIRED POWER PLANT (SPAIN) DEPOSITED ON SOILS. COMPARISON USING
TWO DIFFERENT MEASUREMENT TECHNIQUES.
AB - The evaluation of the radiological impact in soils due to the fly-ash ponds using
both in situ techniques and laboratory based measurements is presented. In order
to check the in situ techniques capabilities for monitoring this type of
industries, a comparison between both techniques was performed. A
characterization of external radiation exposure in the fly-ash pond and in its
surrounding soils was made. The associated external radiological hazard due to
the fly-ash pond has been evaluated. In situ techniques could be used to
determine the radiological impact on soils due to fly-ash deposition, but its use
could be limited due to the associated uncertainties.
PMID- 29788506
TI - Experiences and career intentions of combat-deployed UK military personnel.
AB - Background: Most studies of the psychological impact of military deployment focus
on the negative and traumatic aspects. Less is known about the full range of
deployment experiences nor how these may impact on career intentions. Aims: To
examine subjective operational experiences and career intentions in deployed UK
military personnel using data gathered toward the end of an operational
deployment. Methods: Data were gathered during deployment in Iraq and
Afghanistan. A self-report survey collected data on sociodemographic, operational
and military factors. Respondents provided their strength of agreement or
disagreement with six potentially positive deployment experiences and their
endorsement or rejection of six possible career intentions. Two mental health
measures assessed symptoms of common mental disorder and post-traumatic stress
disorder. Results: Responses were 681 in Iran 2009 (100% response rate); 1421 in
Afghanistan in 2010 (100%), 1362 in 2011 (96%) and 860 in 2015 (91%). Five of the
potentially positive outcomes were endorsed by >50% of the sample: confidence
about remaining healthy after returning home, pride in accomplishments, increased
confidence in abilities, improved unit cohesion and experiencing a positive life
effect. Ninety per cent of respondents planned to continue in service after
returning home. Fewer positive deployment experiences, poorer mental health,
lesser unit cohesion and more negative impressions of leadership were
significantly associated with intention to leave service. Conclusions: Contrary
to the popular belief that UK military personnel deployed to Iraq or Afghanistan
experience negative outcomes, this paper shows that deployment can be a positive
experience for a substantial majority of deployed personnel.
PMID- 29788507
TI - Obesity and health in the North American Fire Service: research points the way to
positive culture change.
PMID- 29788508
TI - Governments must catch up with workplace non-communicable disease prevention.
PMID- 29788509
TI - Comparison of dynamic change of egg selenium deposition after feeding sodium
selenite or selenium-enriched yeast.
AB - The aim of this study was to compare the dynamic change of egg selenium (Se)
deposition after sodium selenite (SS) or selenium-enriched yeast (SY)
supplementation for 1, 3, 5, 7, 14, 21, 28, 56, and 84 d. A total of 576 32-wk
old Hy-Line Brown laying hens were randomly assigned to 3 groups (192 laying hens
per group) with 6 replicates, and fed a basal diet (without Se supplementation)
or basal diets with 0.3 mg/kg of Se from SS or 0.3 mg/kg of Se from SY,
respectively. The results showed that the Se concentrations in the eggs from hens
fed a SY-supplemented diet were significantly higher (P < 0.001) than those from
hens fed a SS-supplemented diet or a basal diet after 3 d. And the Se
concentrations in the eggs from hens fed a SS-supplemented diet were
significantly higher (P < 0.001) than those from hens fed a basal diet after 14
d. There was a positive linear and quadratic correlation between Se
concentrations in the eggs from hens fed a SY-supplemented diet (r2 = 0.782, P <
0.001; r2 = 0.837, P < 0.001) or SS-supplemented diet (r2 = 0.355, P < 0.001; r2
= 0.413, P < 0.001) and number of feeding days. The Se concentrations in the
breasts from hens fed a SY-supplemented diet were 126.98% higher (P < 0.001) than
those from hens fed a SS-supplemented diet, and were 299.44% higher (P < 0.001)
than those from hens fed a basal diet after the 84-d feeding period. In
conclusion, the dietary Se was gradually transferred into eggs with the extension
of the experimental duration. The deposition rate of Se in the eggs from hens fed
a SY-supplemented diet was much more rapid than that from hens fed a SS
supplemented diet, and the organic Se from SY had higher bioavailability as
compared to inorganic Se from SS.
PMID- 29788510
TI - Predicting Drug-Induced Liver Injury Using Ensemble Learning Methods and
Molecular Fingerprints.
AB - Drug-induced liver injury (DILI) is a major safety concern in the drug
development process, and various methods have been proposed to predict the
hepatotoxicity of compounds during the early stages of drug trials. In this
study, we developed an ensemble model using 3 machine learning algorithms and 12
molecular fingerprints from a dataset containing 1241 diverse compounds. The
ensemble model achieved an average accuracy of 71.1 +/- 2.6%, sensitivity (SE) of
79.9 +/- 3.6%, specificity (SP) of 60.3 +/- 4.8%, and area under the receiver
operating characteristic curve (AUC) of 0.764 +/- 0.026 in 5-fold cross
validation and an accuracy of 84.3%, SE of 86.9%, SP of 75.4%, and AUC of 0.904
in an external validation dataset of 286 compounds collected from the Liver
Toxicity Knowledge Base. Compared with previous methods, the ensemble model
achieved relatively high accuracy and SE. We also identified several
substructures related to DILI. In addition, we provide a web server offering
access to our models (http://ccsipb.lnu.edu.cn/toxicity/HepatoPred-EL/).
PMID- 29788511
TI - Training Effects of Alternated and Pulsed Currents on the Quadriceps Muscles of
Athletes.
AB - The aim of the study was to evaluate the effects of 6 weeks training with
different neuromuscular electrical stimulation (NMES) currents (medium alternated
and low-frequency pulsed current) on muscle architecture and neuromuscular
performance of competitive athletes. A double-blind controlled and randomized
experimental study was carried out with 33 athletes (22.2+/-2.6 yrs, 74.7+/-9.8
kg, 176.8+/-6.0 cm), divided into 3 groups: mid-frequency current (MF, n=12),
pulsed current (PC, n=11) and the control group (CG, n=10). Quadriceps maximal
voluntary peak torque (PT) and corresponding vastus lateralis electromyographic
activity, evoked torque (PT-NMES), vastus lateralis muscle thickness, fascicle
length, pennation angle, and level of discomfort were assessed before and after
the interventions. NMES training was performed 3 times per week and consisted of
18 sessions, 15 min/session, 6 s duration in each contraction interspersed with
18 s rest. After the training period, muscle thickness increased in the MF and PC
groups (p<0.05). PT-NMES increased only in the PC group (p<0.05). All currents
produced similar levels of discomfort (p>0.05). Quadriceps NMES training applied
through alternated or pulsed currents produced similar effects in architecture
and neuromuscular performance in competitive athletes.
PMID- 29788512
TI - Adequacy of Fixed-Dose Heparin Infusions for Venous Thromboembolism Prevention
after Microsurgical Procedures.
AB - BACKGROUND: In microvascular surgery, patients often receive unfractionated
heparin infusions to minimize risk for microvascular thrombosis. Patients who
receive intravenous (IV) heparin are believed to have adequate prophylaxis
against venous thromboembolism (VTE). Whether a fixed dose of IV heparin provides
detectable levels of anticoagulation, or whether the "one size fits all" approach
provides adequate prophylaxis against VTE remains unknown. This study examined
the pharmacodynamics of fixed-dose heparin infusions and the effects of real
time, anti-factor Xa (aFXa) level driven heparin dose adjustments. METHODS: This
prospective clinical trial recruited adult microvascular surgery patients placed
on a fixed-dose (500 units/h) unfractionated heparin infusion during their
initial microsurgical procedure. Steady-state aFXa levels, a marker of
unfractionated heparin efficacy and safety, were monitored. Patients with out-of
range aFXa levels received protocol-driven real-time dose adjustments. Outcomes
of interest included aFXa levels in response to heparin 500 units/h, number of
dose adjustments required to achieve goal aFXa levels, time to reach goal aFXa
level, and 90-day clinically relevant bleeding and VTE. RESULTS: Twenty patients
were recruited prospectively. None of 20 patients had any detectable level of
anticoagulation in response to heparin infusions at 500 units/h. The median
number of dose adjustments required to reach goal level was five, and median
weight-based dose to reach goal level was 11.8 units/kg/h. Real-time dose
adjustments significantly increased the proportion of patients with in-range
levels (60 vs. 0%, p = 0.0001). The 90-day VTE rate was 5% and 90-day clinically
relevant bleeding rate was 5%. CONCLUSIONS: Fixed-dose heparin infusions at a
rate of 500 units/h do not provide a detectable level of anticoagulation after
microsurgical procedures and are insufficient for the majority of patients who
require VTE prophylaxis. Weight-based heparin infusions at 10 to 12 units/kg/h
deserve future study in patients undergoing microsurgical procedures to increase
the proportion of patients receiving adequate VTE prophylaxis.
PMID- 29788513
TI - [Granular Cell Tumor of the Lung - a Visual Diagnosis on Bronchoscopy?]
AB - A 38 years old patient presented with a progressive reduction of his general
condition and weight loss. Chest imaging revealed consolidations and cavities
suggesting a mycobacterial infection. For further diagnosis, a bronchoscopy was
performed. In fact, a nontuberculous mycobacterial infection was found. As an
incidental finding, we saw a white polypoid tumor in the middle lobe bronchus.
The histology of this tumor revealed a granular cell tumor (GCT). The GCT is a
rare tumor entity which occurs at different anatomical locations. In the lungs,
the GCT may become symptomatic as it can cause bronchial obstruction. In chest
imaging, it can manifest as infiltration, atelectasis or nodule. Likewise, GCT
can be found as an incidental finding in bronchoscopy. First choice treatment is
surgical resection of the tumor.
PMID- 29788514
TI - Endobronchial Ultrasound in Suspected Non-Malignant Mediastinal Lymphadenopathy.
AB - BACKGROUND: Endobronchial ultrasound (EBUS) bronchoscopy with transbronchial
needle aspiration (TBNA) is a well-established tool in mediastinal staging in
lung cancer and gains importance in exploration of non-malignant lymphadenopathy.
The aim of this study was to evaluate the role of EBUS-TBNA in suspected non
malignant diseases. METHODS: A retrospective, single-center, observation
analysis of endobronchial ultrasound bronchoscopy procedures was performed in a
university medical center between March 2013 and July 2015. All patients with
suspected non-malignant mediastinal lymphadenopathy were included.
Cytopathological and microbiological results of EBUS were compared to clinical
diagnosis 6 months after procedure and performance of EBUS was contrasted to
malignant indications. RESULTS: During study period, 333 EBUS bronchoscopies in
315 patients with mediastinal lymphadenopathy were performed. 111 out of 315 (35
%) patients had neither primary signs nor history of a malignant disease,
categorised as patients with suspected non-malignant disease. 245 lymph nodes
were sampled (median size 15 mm [IQR10 - 19]). Preferred station for TBNA was
lymph node station 7 (38 %). Cytopathological findings revealed non-specific
inflammation (n = 81; 70 %), carcinoma (n = 7; 6 %), epithelioid cell granulomas
(n = 20; 17 %). 7 samples (6 %) were non-representative. Microbiologic testing of
lymph nodes identified 3 infections (Mycobacteria tuberculosis [n = 2] and
Nocardia nova [n = 1]) relevant to antibiotic therapy. Minor adverse events were
observed in 9 out of 115 (8 %) patients. Sensitivity of EBUS-TBNA intervention in
suspected non-malignant disease was 76 % and specificity 96 %. CONCLUSIONS: EBUS
TBNA revealed a specific cause for suspected non-malignant lymphadenopathy in one
third of cases and was associated with excellent specificity. Predominant
specific causes were granuloma, besides from tumor. In 3 patients pathogen could
be isolated by TBNA.
PMID- 29788516
TI - ?
PMID- 29788517
TI - ?
PMID- 29788515
TI - [Survey of Specialist Pulmonary Medicine Health Care Structures for Patients with
Interstitial Lung Disease in Nordrhein-Westfalen, Germany - A Pilot Project of
the Western German Respiratory Society (WdGP)].
AB - AIM OF THE STUDY: Survey of specialist pulmonary medicine health care structures
for patients with interstitial lung disease (ILD) in Nordrhein-Westfalen,
Germany. METHODS: The Western German Respiratory Society initiated a voluntary
registration of ILD expert centers. Structural quality and processes were
evaluated by questionnaire. RESULTS: 49 centers were registered, 46 allowed
analysis of their center data (15 pulmonology specialist practices, 34 hospital
pulmonology departments). Specialist practices saw a median of 360 ILD patients
per year (26 % first diagnosis), hospital departments a median of 105 ILD
patients per year (63 % first diagnosis). 10 centers diagnose more than 100 new
ILD cases per year. Specialist practices report median 50 bronchoscopies per
year, hospital departments median 1396. 78 % of the centers participate in a
multidisciplinary ILD case conference. CONCLUSION: Several ILD expert centers
were identified in Nordrhein-Westfalen. Outpatient care mainly involves the
monitoring of ILD patients, inpatient services focus on complex initial
diagnostics or cases with unusual disease behaviour. ILD centers meeting regional
health care needs should be supported in their development.
PMID- 29788518
TI - ?
PMID- 29788519
TI - ?
PMID- 29788520
TI - ?
PMID- 29788521
TI - ?
PMID- 29788522
TI - ?
PMID- 29788524
TI - ?
PMID- 29788523
TI - ?
PMID- 29788525
TI - ?
PMID- 29788526
TI - ?
PMID- 29788527
TI - ?
PMID- 29788528
TI - [Recurrent Tarsal Tumor in a Patient with Polyposis coli].
PMID- 29788529
TI - [A web-based Colour Vision Test as a Tool for Qualitative Evaluation of
Pseudoisochromatic Pfluger Trident Colour Plates].
AB - BACKGROUND: Pseudoisochromatic colour plates are constructed according to
specific principles. They can be very different in quality. To check the
diagnostic quality, they have to be tested on a large number of subjects, but
this procedure is can be tedious and expensive. Therefore, the use of a
standardised web-based test is recommended. METHODS: Eight Pfluger trident colour
plates (including 1 demo plate) according to the Velhagen edition of 1980 were
digitised and inserted into a web-based colour vision test (www.color-vision
test.info). After visual display calibration and 2 demonstrations of the demo
plate (#1) to introduce the test procedure, 7 red-green colour plates (#3, 4, 10,
11, 12, 13, 16) were presented in a randomised order in 3 different randomised
positions each for 10 seconds. The user had to specify the opening of the Pfluger
trident by a mouse click or arrow keys. RESULTS: 6360 evaluations of all plates
from 2120 randomised subjects were included. Without error, the detection rates
of the plates were between 72.2% (plate #3) and 90.7% (plate #16; n = 6360). With
an error number of 7 errors per test, the detection rates of the plates were
between 21.6% (plate #3) and 67.7% (plate #16; n = 1556). If an error number of
14 errors was used, the detection rates of the plates were between 10.9% (plate
#11) and 40.1% (plate #16; n = 606). Plate #16 showed the highest detection rate
at zero error number as well as at the 7 and 14 error limit. The diagnostic
quality of this plate was low. The colourimetric data were improved. The
detection rate was then significantly lower. CONCLUSIONS: The differences in
quality of pseudoisochromatic Pfluger trident colour plates can be tested without
great effort using a web-based test. Optimisation of a poor quality colour plate
can then be carried out.
PMID- 29788530
TI - Need For Long-Term Care in Children is Increasingly Caused by Disorders of
Psychological Development. Changes in the Care Causing Diagnoses According to
German Social Code (SGB XI) Between 2009-2014.
AB - AIM OF THE STUDY: There is an ongoing discussion on the increasing number of
children with mental and developmental disorders, with some even needing long
term care according to the German Social Code XI. This study was performed to
identify the main diagnoses justifying such care in children and to analyse their
prevalence over time. METHODS: The diagnoses justifying long-term care were
evaluated using care assessments of Bavarian children and adolescents between
2009 to 2014 by the medical service of statutory health insurance. RESULTS: Over
the years investigated, the percentage of assessments due to a mental and
behavioural disorder rose significantly from 36.2 to 42.2%. Since 2012, the most
common diagnose changed from Down's syndrome to pervasive developmental disorders
with marked increase of also mixed specific developmental disorders. In new
applications for nursing insurance services the proportion of pervasive
developmental disorders rose gradually from 151 in 2010 to 254 in 2014. During
the whole period of time, the overall care dependency in children seemed to be
stable. CONCLUSIONS: These observations might rather be influenced by altered
awareness of health and illness, increasing readiness to seek help with
psychological or developmental impairments as well as changed diagnostic criteria
than by a steady increase in affected individuals.
PMID- 29788531
TI - [Insufficient Preparation of Ambulatory Physicians for Ebola Virus Disease in
Germany].
AB - BACKGROUND: Globalization and climate change increase the likelihood of a global
spread of high consequence infectious diseases. OBJECTIVES: We analyzed how
outpatient physicians in Germany were prepared to recognize and handle potential
Ebola virus-infected patients during the recent Ebola outbreak in West Africa.
MATERIALS AND METHODS: Outpatient physicians participated in 2 anonymous surveys
(n=166 and 129, respectively) and were asked, among others, about their knowledge
of Ebola virus disease, their subjective perception of their own knowledge and
the practical implementation in their daily routine. This was compared to a
minimum standard defined by 14 members of the German "Permanent Working Group of
Competence and Treatment Centres for high consequence infectious diseases"
(STAKOB). RESULTS: The Ebola virus-specific knowledge of participants was
significantly inferior compared to the defined minimum standard. Of 8 factual
questions, an average of merely 5 was answered correctly. The physicians'
subjective perception of knowledge presented as 'little'. Although 56% of
participants indicated that they had received standard operation procedures, 64%
had not implemented them into their daily routine. Merely 22% of surveyed medical
doctors participated in Ebola virus-specific education programs. Yet
participation led to a significantly better subjective knowledge perception.
CONCLUSIONS: Contrary to the official assessment that Germany is well prepared
for high consequence infectious diseases, this study suggests that there are
deficits in this area. Despite the abundance of information about Ebola virus
disease, preparation of outpatient physicians in Germany was inadequate. Yet
nearly half of the participants indicated the potential risk of occurrence as
'likely' or 'very likely'. The presented data show the different consequences to
be drawn regarding potential future crises and further research.
PMID- 29788532
TI - Effect of Adding Magnesium Sulphate to Epidural Bupivacaine and Morphine on Post
Thoracotomy Pain Management: A Randomized, Double-Blind, Clinical Trial.
AB - Post-thoracotomy pain is very severe and may cause pulmonary complications.
Thoracic epidural analgesia can greatly decrease the pain experience and its
consequences. However, finding new methods to decrease the amount of administered
opioids is an important issue of research. We aimed to evaluate the effect of
adding epidural magnesium sulphate to bupivacaine and morphine on pain control
and the amount of opioid consumption after thoracotomy. Eighty patients
undergoing thoracotomy at a tertiary cardiothoracic referral centre were enrolled
in a randomized, double-blind trial. Patients were randomly allocated to two
groups. Bupivacaine (12.5 mg) and morphine (2 mg) were administered epidurally to
all patients at the end of operation. Patients in the magnesium (Mg) group
received epidural magnesium sulphate (50 mg), and patients in the control (C)
group received normal saline as an adjuvant. Visual analogue scale (VAS) score
and the amount of morphine consumption were measured during 24 hr post-operation.
Thirty-nine patients in the Mg group and 41 patients in the C group completed the
study. Patients in the Mg group had significantly less VAS score at recovery time
(p < 0.05), 2 hr (p < 0.01) and 4 hr (p < 0.05) after surgery. The patient
controlled analgesia pump was started earlier in the C group than in the Mg group
(p < 0.05). The amount of morphine needed in the Mg group was significantly lower
than in the C group (5.64 +/- 1.69 mg/24 hr versus 8.44 +/- 3.98 mg/24 hr; p <
0.001). Pruritus was seen in the C group (9.7%) and absent in the Mg group (p <
0.05). Co-administration of magnesium sulphate with bupivacaine and morphine for
thoracic epidural analgesia after thoracotomy leads to a reduction in post
operative pain score and the need for opioid administration.
PMID- 29788534
TI - Prospective evaluation of 68 Ga-DOTATATE PET/CT in limited disease neuroendocrine
tumours and/or elevated serum neuroendocrine biomarkers.
AB - CONTEXT: The 68 Ga-labelled somatostatin analogues (68 Ga-DOTA-SSAs) is becoming
popular as an important diagnostic tool in neuroendocrine tumours as evidenced by
a growing number of reports detailing institutional experience with various DOTA
peptides. However, only few prospective studies have compared 68 Ga-DOTA-SSAs and
somatostatin receptor scintigraphy (SRS) in gastroenteropancreatic neuroendocrine
tumours (GEP-NETs) and pulmonary neuroendocrine tumours. OBJECTIVE: The aim of
our prospective study was to perform head-to-head comparison between 68 Ga
DOTATATE PET/CT and standard imaging work-up (SI) that included multiphasic CT,
liver MRI and SRS using single photon emission computed tomography. DESIGN: In
this prospective study, the patients were enrolled only if they met any of the
following inclusion criteria: (i) initial staging of a NETs without distant
metastases on SI or neuroendocrine tumour with unknown primary on SI; (ii)
restaging of NETs that could be treated by focused therapeutic interventions;
(iii) elevated serum neuroendocrine hormones or peptides. The exclusion criteria
was grade 3 GEP-NETs. RESULTS: Thirty-two patients were enrolled in the study.
Eleven patients (6 pancreas, 4 ileum, 1 duodenal) were included for initial
evaluation and staging of NETs, 8 patients (5 pancreas, 1 ileal, 1 lung, 1
duodenal gastrinoma) for restaging, and 13 patients for elevated serum
neuroendocrine biomarkers (5 ectopic Cushing's syndrome, 5 organic hypoglycaemia,
1 patient each with elevated vasoactive inhibitory peptide, chromogranin A and
neuron-specific enolase). 68 Ga-DOTATATE PET/CT detected more primary tumours
than SRS (15/18 vs 10/18: P = .074). The missed tumours on 68 Ga-DOTATATE PET/CT
were located in the lung in two cases and duodenum in one case. For other
anatomical regions (nodal and distant metastasis), no statistical difference was
observed between imaging modalities using 68 Ga-DOTATATE PET/CT and SRS. Overall,
68 Ga-DOTATATE PET/CT+CT+MRI detected 31/33 of the involved regions (including
primaries) (29 and 22 for 68 Ga-DOTATATE and SRS, respectively). CONCLUSION: Our
study shows that 68 Ga-DOTATATE PET/CT detected similar number of sites than
combination of SRS, liver MRI and thoraco-abdominopelvic CT on region-based
analysis. 68 Ga-DOTATATE PET/CT missed half of primary lung carcinoids with
ectopic Cushing's syndrome.
PMID- 29788535
TI - Cyp2a5 Promoter-based Gene Reporter Assay: A Novel Design of Cell-based Bioassay
for Toxicity Prediction.
AB - The murine cytochrome P450 2a5 (Cyp2a5) gene is regulated by complex interactions
of various stress-activated transcription factors (TFs). Elevated Cyp2a5
transcription under chemical-induced stress conditions is achieved by interplay
between the various TFs - including as aryl hydrocarbon receptor (AhR) and
nuclear factor (erythroid-derived 2)-like 2 wild-type (Nrf2) - at the 'stress
responding' cluster of response elements on the Cyp2a5 promoter, as well as
through mRNA stabilization mediated by interaction of the stress-activated
heterogeneous nuclear ribonucleoprotein A1 (hnRNP A1) with the 3'-UTR of the
CYP2A5 mRNA. We designed a unique toxicity pathway-based reporter assay to
include regulatory regions from both the 5' and the 3' untranslated regions of
Cyp2a5 in a luciferase reporter plasmid to reflect in vivo responses to chemical
insult. Human breast cancer MCF-7 cells were stably transfected with pGL4.38
Cyp2a5_Wt3k (wild-type) or mutant - pGL4.38-Cyp2a5_StREMut and pGL4.38
Cyp2a5_XREMut - reporter gene to monitor chemical-induced cellular response
mediated by AhR and Nrf2 signalling. The recombinant cells were treated with
representative of AhR agonist, polycyclic aromatic hydrocarbons, brominated flame
retardant, fluorosurfactant, aromatic organic compound and metal, to determine
the sensitivity of the Cyp2a5 promoter-based gene reporter assays to chemical
insults by measuring the LC50 and EC50 of the respective chemicals. The three
assays are sensitive to sublethal cellular responses of chemicals, which is an
ideal feature for toxicity pathway-based bioassay for toxicity prediction. The
wild-type reporter responded well to chemicals that activate crosstalk between
the AhR and Nrf2, whilst the mutant reporters effectively gauge cellular response
driven by either Nrf2/StRE or AhR/XRE signalling. Thus, the three gene reporter
assays could be used tandemly to determine the predominant toxicity pathway of a
given compound.
PMID- 29788533
TI - Weight bias internalization and health: a systematic review.
AB - A robust literature has documented the negative health effects of being the
target of weight bias. Weight bias internalization (WBI) occurs when individuals
apply negative weight stereotypes to themselves and self-derogate because of
their body weight. Compared with experiences of weight bias, less is known about
the relationship between WBI and mental and physical health, although more
literature on this topic has emerged in recent years. The current systematic
review identified 74 studies assessing the relationship between WBI and health
and interventions designed to reduce WBI and improve health. Over half of
identified studies were published from 2016 to 2017. Results showed strong,
negative relationships between WBI and mental health outcomes. Fewer studies have
examined the relationship between WBI and physical health, and results were less
consistent. Key directions for future research are highlighted, including the
need for prospective and experimental studies with greater sample diversity.
PMID- 29788536
TI - Community disassembly under global change: Evidence in favor of the stress
dominance hypothesis.
AB - Ecological theory suggests that communities are not random combinations of
species but rather the results of community assembly processes filtering and
sorting species that are able to coexist together. To date, such processes (i.e.,
assembly rules) have been inferred from observed spatial patterns of biodiversity
combined with null model approaches, but relatively few attempts have been made
to assess how these processes may be changing through time. Specifically, in the
context of the ongoing biodiversity crisis and global change, understanding how
processes shaping communities may be changing and identifying the potential
drivers underlying these changes become increasingly critical. Here, we used time
series of 460 French freshwater fish communities and assessed both functional and
phylogenetic diversity patterns to determine the relative importance of two key
assembly rules (i.e., habitat filtering and limiting similarity) in shaping these
communities over the last two decades. We aimed to (a) describe the temporal
changes in both functional and phylogenetic diversity patterns, (b) determine to
what extent temporal changes in processes inferred through the use of
standardized diversity indices were congruent, and (c) test the relationships
between the dynamics of assembly rules and both climatic and biotic drivers. Our
results revealed that habitat filtering, although already largely predominant
over limiting similarity, became more widespread over time. We also highlighted
that phylogenetic and trait-based approaches offered complementary information
about temporal changes in assembly rules. Finally, we found that increased
environmental harshness over the study period (especially higher seasonality of
temperature) led to an increase in habitat filtering and that biological
invasions increased functional redundancy within communities. Overall, these
findings underlie the need to develop temporal perspectives in community assembly
studies, as understanding ongoing temporal changes could provide a better vision
about the way communities could respond to future global changes.
PMID- 29788537
TI - Ten years of medical education registrars: Value added?
AB - OBJECTIVE: There is a paucity of any long-term follow up of trainees' career
pathways or organisational outcomes from medical education registrar posts in
emergency medicine training. We report on the experience of a selected group of
medical education trainees during and subsequent to their post and reflect on the
value added to emergency medical education at three institutions. METHODS: We
conducted an online survey study, examining quantitative outcomes and qualitative
reflections, of emergency physicians who had previously undertaken a medical
education registrar post. Descriptive statistics were used to summarise responses
to Likert items. The authors independently analysed and interpreted the
reflective responses to identify key themes and sub-themes. RESULTS: Nineteen of
21 surveys were completed. Most respondents were in formal educational roles, in
addition to clinical practice. The thematic analysis revealed that the medical
education registrar experience, and the subsequent contribution of these trainees
to medical education, is significantly shaped by external factors. These include
the extent of faculty support, and the value placed on medical education by
hospitals/departments/leaders. Acquisition of knowledge and skills in medical
education was only part of a broader developmental journey and transitioning of
identity for the trainees. CONCLUSIONS: Our findings suggest that medical
education trainees in emergency medicine progress to educational roles, and most
respondents attribute their career progression to the medical education training
experience. We recommend that medical education registrar programmes need to be
valued within the clinical service, supported by faculty and a 'community of
practice', to support trainees' transition to clinician educator leadership
roles.
PMID- 29788538
TI - Hepatitis E virus in South America: The current scenario.
AB - Hepatitis E virus (HEV) is one of the most frequent causes of acute viral
hepatitis of enteric transmission worldwide. In South America the overall
epidemiology has been little studied, and the burden of the disease remains
largely unknown. A research of all scientific articles about HEV circulation in
South America until November 2017 was carried out. Human seroprevalences of HEV
varied according to the studied population: blood donors presented prevalence
rates ranging from 1.8% to 9.8%, while reports from HIV-infected individuals,
transplant recipients and patients on hemodialysis showed higher prevalence
rates. Only 2 cases of chronic hepatitis in solid-organ transplant patients from
Argentina and Brazil have been described. Detection of HEV in the swine
population is widely prevalent in the region. Anti-HEV antibodies have also been
recently documented in wild boars from Uruguay. Although scarce, studies focused
on environmental and food HEV detection have shown viral presence in these kind
of samples, highlighting possible transmission sources of HEV in the continent.
HEV genotype 3 was the most frequently detected in the region, with HEV genotype
1 detected only in Venezuela and Uruguay. HEV is widely distributed throughout
South America, producing sporadic cases of acute hepatitis, but as a possible
agent of chronic hepatitis. Finding the virus in humans, animals, environmental
samples and food, show that it can be transmitted through many sources, alerting
local governments and health systems to improve diagnosis and for the
implementation of preventive measures.
PMID- 29788539
TI - Responses of Primula vulgaris to light quality in the maternal and germination
environments.
AB - In the model species Arabidopsis thaliana phytochromes mediate dormancy and
germination responses to seasonal cues experienced during seed maturation on the
maternal plants. However, the effect of the maternal light environment on seed
germination in native wild species has not been well studied. This is
particularly important given its practical application in the context of
environmental restoration, when there can be marked changes in the canopy. Plants
of Primula vulgaris were grown in the field over two vegetative seasons under
four shading treatments from low to high ratio of red to far-red light (R:FR).
Leaf and seed traits were assessed in response to the light treatments. The
germination of seeds from these four maternal environments (pre-dispersal) was
investigated at seven light and five temperature treatments (post-dispersal).
Thinner leaves, larger leaf area and greater chlorophyll content were found in
plants growing in reduced R:FR. Shading in the maternal environment led to
increased seed size and yield, although the conditions experienced by the
maternal plants had no effect on seed germination. Seeds responded strongly to
the cues experienced in their immediate germination environment. Germination was
always enhanced under higher R:FR conditions. The observed phenotypic trait
variation plays a major role in the ability of P. vulgaris to grow in a wide
range of light conditions. However, the increased germination capacity in
response to a higher R:FR for all maternal environments suggests potential for
seedling establishment under vegetative shade only in the presence of canopy
gaps.
PMID- 29788540
TI - Unusual presentation of calcific tendinitis of the iliopsoas tendon in a 28-year
old female.
PMID- 29788541
TI - Increase in incidental detection of thyroid cancer in Osaka, Japan.
AB - Using Osaka Cancer Registry data, we examined age-specific and age-adjusted
incidence rates of thyroid cancer according to the route of thyroid cancer
detection from 1992 to 2012. The detection routes were categorized into
"symptomatic" and "incidental detection." Age-specific incidence rates of
incidentally-detected thyroid cancer consistently increased during the study
period, especially after 2001, for all sex and age groups other than childhood.
The rate of symptomatic thyroid cancer did not largely differ among groups. Age
adjusted incidence rates of symptomatic thyroid cancer were around 1.5 and 4.5
per 100 000 among men and women, respectively. The rate of incidentally-detected
thyroid cancer increased from 0.1 and 0.1 per 100 000 person-years among men and
women in 1992-1994 to 2.0 and 4.9, respectively, in 2010-2012. Age-adjusted
mortality rates among both sexes during the study period leveled off. This
finding suggests that the thyroid cancer incidence rate in Osaka increased with
incidental detection. We need to continue careful monitoring to confirm these
findings.
PMID- 29788542
TI - Accuracy of visual inspection performed by community health workers in cervical
cancer screening.
AB - BACKGROUND: Cervical cancer remains the leading cause of cancer and mortality in
low-resource areas with healthcare personnel shortages. Visual inspection is a
low-resource alternative method of cervical cancer screening in areas with
limited access to healthcare. OBJECTIVES: To assess accuracy of visual inspection
performed by community health workers (CHWs) and licensed providers, and the
effect of provider training on visual inspection accuracy. SEARCH STRATEGY: Five
databases and four websites were queried for studies published in English up to
December 31, 2015. Derivations of "cervical cancer screening" and "visual
inspection" were search terms. SELECTION CRITERIA: Visual inspection screening
studies with provider definitions, colposcopy reference standards, and accuracy
data were included. DATA COLLECTION AND ANALYSIS: A priori variables were
extracted by two independent reviewers. Bivariate linear mixed-effects models
were used to compare visual inspection accuracy. MAIN RESULTS: Provider type was
a significant predictor of visual inspection sensitivity (P=0.048); sensitivity
was 15 percentage points higher among CHWs than physicians (P=0.014). Components
of provider training were significant predictors of sensitivity and specificity.
CONCLUSIONS: Community-based visual inspection programs using adequately trained
CHWs could reduce barriers and expand access to screening, thereby decreasing
cervical cancer incidence and mortality for women at highest risk and those
living in remote areas with limited access to healthcare personnel.
PMID- 29788543
TI - New glutathione conjugate of pyrrolizidine alkaloids produced by human cytosolic
enzyme-dependent reactions in vitro.
AB - RATIONALE: The toxic metabolites of pyrrolizidine alkaloids (PAs) are initially
formed by cytochrome P450-mediated oxidation reactions and primarily eliminated
as glutathione (GSH) conjugates. Although the reaction between the reactive
metabolites and GSH can occur spontaneously, the role of the cytosolic enzymes in
the process has not been studied. METHODS: The toxic metabolites of selected PAs
(retrorsine, monocrotaline, senecionine, lasiocarpine, heliotrine or senkirkine)
were generated by incubating them in 100 mM phosphate buffer (pH 7.4) containing
liver microsomes of human, pig, rat or sheep, NADPH and reduced GSH in the
absence or presence of human, pig, rat or sheep liver cytosolic fraction. The
supernatants were analyzed using liquid chromatography connected to Finnigan LTQ
ion-trap, Agilent QTOF or Thermo Scientific Q Exactive Focus quadrupole-orbitrap
mass spectrometers. RESULTS: Retrorsine, senecionine and lasiocarpine yielded
three GSH conjugates producing [M - H]- ions at m/z 439 (7-GSH-DHP (CHO)), m/z
441 (7-GSH-DHP (OH)) and m/z 730 (7,9-diGSH-DHP) in the presence of human liver
cytosolic fraction. 7-GSH-DHP (CHO) was a novel metabolite. Monocrotaline,
heliotrine and senkirkine did not produce this novel 7-GSH-DHP (CHO) conjugate. 7
GSH-DHP (CHO) disappeared when incubated with hydroxylamine, and a new oxime
derivative was formed. This metabolite was formed only by the human liver
cytosolic enzymes but not in the presence of rat or sheep liver cytosolic
fractions under otherwise identical reaction conditions. CONCLUSIONS: 7-GSH-DHP
(CHO) has not been reported before, and thus it was considered as a novel
metabolite of PAs. This may clarify the mechanisms involved in PA detoxification
and widely observed but less understood species differences in response to PA
exposure.
PMID- 29788544
TI - Cochlear implantation using a custom guide catheter in 14 patients with
incomplete partition type III.
PMID- 29788545
TI - Evaluation of aromatherapy with essential oils of Rosa damascena for the
management of premenstrual syndrome.
AB - OBJECTIVE: To investigate the efficacy of aromatherapy with essential oils of
Rosa damascena for the management of premenstrual syndrome (PMS). METHODS: The
present triple-blind randomized clinical trial was conducted between March 5,
2016, and February 20, 2017, among female students attending Shiraz University of
Medical Sciences, Shiraz, Iran, who experienced PMS, had a menstrual cycle of 24
35 days, were not using vitamin supplements or hormonal drugs, and did not have
any underlying diseases. Block randomization was used to assign participants to
an intervention group receiving aromatherapy with R. damascena at a 4%
concentration or a control group who received aromatherapy with 100% sweet almond
oil. Aromatherapy was performed for 5 minutes, twice daily, for a total of 5 days
during the luteal phase. The premenstrual symptoms screening tool questionnaire
was completed at baseline and after 1 and 2 months of treatment. Per-protocol
analyses were performed with patients and investigators masked to group
assignments. RESULTS: There were 66 participants enrolled and 64 completed the
study (33 in the intervention group and 31 in the control group). At 2 months,
aromatherapy with R. damascena was associated with improved psychological
(P<0.001), physical (P<0.001), social (P=0.002), and total (P<0.001) PMS symptoms
compared with baseline. By contrast, no improvements were recorded in the control
group. CONCLUSION: Aromatherapy with R. damascena improved multiple symptoms of
PMS. IRANIAN REGISTRY OF CLINICAL TRIALS: IRCT2016031113940N3.
PMID- 29788546
TI - In vitro penetration through the skin layers of topically applied
glucocorticoids.
AB - Corticoids are actives widely used in the treatment of skin diseases. This work
aims to study the penetration of 3 corticoids (betamethasone, clobetasol, and
flurandrenolide), their relationship with their Log D values and the effects of
the vehicles. The 3 compounds were applied on a Franz-type diffusion cell in
propylene glycol solution and their respective commercial creams and ointments.
The active amounts found in the stratum corneum, epidermal, and dermal layers of
the skin were investigated. Their diffusions were greatly affected by the
formulation; moreover higher amounts of substance in the epidermis and dermis
were detected in ointments than in creams. The enhancement effect of propylene
glycol was also observed. The differences between the 3 substances could be
related to their lipophilicity, molecular structure, and molecular weight. The
more hydrophobic compounds (clobetasol and betamethasone) are present in higher
amounts in the epidermis and dermis, while the hydrophilic compound
(flurandrenolide) is mostly present in the receptor fluid.
PMID- 29788548
TI - Health perception and adherence with blood pressure control among rural Chinese
adults with hypertension.
AB - AIM: The aim of this study was to examine associations among blood pressure,
personal and illness characteristics, illness perception and medication and self
management adherence among adults with hypertension in rural mainland China.
BACKGROUND: Despite the high prevalence of hypertension in China, the control
rate is only 20%. Identifying factors associated with blood pressure control is
critical. DESIGN: Cross-sectional survey. METHODS: Data were collected from 163
hypertensive adults in two rural villages in mainland China. Measures included a
demographic questionnaire, the Chinese Illness-Perception Questionnaire-Revised,
Medication Adherence Inventory and the Inventory of Adherence to Self-management.
Height, weight, and blood pressure were also measured using standard approaches.
Hierarchical linear regression was used to assess the association between blood
pressure and significant variables identified in bivariate analysis. FINDINGS:
The mean systolic pressure observed in this sample was 147 mmHg and the diastolic
mean was 81 mmHg. None of the variables analysed were associated with systolic
blood pressure. Gender, age, and household annual income were associated with
diastolic blood pressure, explaining 23% of the variance in the regression model.
Illness coherence contributed an additional 2%. CONCLUSION: These findings
suggest: (a) healthcare providers should focus on educating rural hypertensive
adults about healthful diets and behaviours to manage hypertension, especially
for those with high household income; (b) knowledge-based health education alone
is not adequate; (c) illness perception may not be directly associated with blood
pressure; and (d) the Chinese Illness-Perception Questionnaire-Revised and the
Inventory of Adherence to Self-management require validation and potential
revision for use with rural Chinese populations.
PMID- 29788547
TI - Premenopausal women with recurrent urinary tract infections have lower quality of
life.
AB - OBJECTIVES: To examine the impact on quality of life of recurrent acute
uncomplicated urinary tract infection among premenopausal Singaporean women, and
to determine the risk factors for lower quality of life among these patients.
METHODS: A total of 85 patients with recurrent acute uncomplicated urinary tract
infection who were referred to the Urology Department at the National University
Hospital, Singapore, were prospectively recruited over a 3-year period to
complete the validated Short Form 36 Health Survey version 1. In addition,
demographic and clinical details including symptomology and medical history were
analyzed for factors impacting quality of life. Short Form 36 Health Survey
version 1 results were compared with published population norms. RESULTS: After
adjusting for age, gender and race, recurrent acute uncomplicated urinary tract
infection patients had significantly lower quality of life on seven out of eight
Short Form 36 Health Survey version 1 domains when compared with age-, gender-
and race-adjusted population norms for Singapore. Among those with recurrent
acute uncomplicated urinary tract infection, those who also reported caffeine
consumption had significantly lower Short Form 36 Health Survey version 1 scores
than those who did not. Those who reported chronic constipation also had
consistently lower Short Form 36 Health Survey version 1 scores across all
domains. CONCLUSIONS: Recurrent acute uncomplicated urinary tract infection has a
negative impact on the quality of life of premenopausal, otherwise healthy women.
Recurrent acute uncomplicated urinary tract infection patients who also have
chronic constipation or consume caffeine have lower quality of life than those
who do not. More studies are required to understand the relationships between
these common problems and risk factors.
PMID- 29788549
TI - Publication ethic (1) "salami slicing".
PMID- 29788551
TI - Defining a land boundary for sustainable livestock consumption.
AB - The need for more sustainable production and consumption of animal source food
(ASF) is central to the achievement of the sustainable development goals: within
this context, wise use of land is a core challenge and concern. A key question in
feeding the future world is: how much ASF should we eat? We demonstrate that
livestock raised under the circular economy concept could provide a significant,
nonnegligible part (9-23 g/per capita) of our daily protein needs (~50-60 g/per
capita). This livestock then would not consume human-edible biomass, such as
grains, but mainly convert leftovers from arable land and grass resources into
valuable food, implying that production of livestock feed is largely decoupled
from arable land. The availability of these biomass streams for livestock then
determines the boundaries for livestock production and consumption. Under this
concept, the competition for land for feed or food would be minimized and
compared to no ASF, including some ASF in the human diet could free up about one
quarter of global arable land. Our results also demonstrate that restricted
growth in consumption of ASF in Africa and Asia would be feasible under these
boundary conditions, while reductions in the rest of the world would be necessary
to meet land use sustainability criteria. Managing this expansion and contraction
of future consumption of ASF is essential for achieving sustainable nutrition
security.
PMID- 29788550
TI - Ubiquitin-specific protease 22 acts as an oncoprotein to maintain glioma
malignancy through deubiquitinating B cell-specific Moloney murine leukemia virus
integration site 1 for stabilization.
AB - Ubiquitin-specific protease 22 (USP22) is a member of the "death-from-cancer"
signature, which plays a key role in cancer progression. Previous evidence has
shown that USP22 is overexpressed and correlates with poor prognosis in glioma.
The effect and mechanism of USP22 in glioma malignancy, especially cancer
stemness, remain elusive. Herein, we find USP22 is more enriched in stem-like
tumorspheres than differentiated glioma cells. USP22 knockdown inhibits cancer
stemness in glioma cell lines. With a cell-penetrating TAT-tag protein, B cell
specific Moloney murine leukemia virus integration site 1 (BMI1), a robust glioma
stem-cell marker, is found to mediate the effect of USP22 on glioma stemness. By
immunofluorescence, USP22 and BMI1 are found to share similar intranuclear
expression in glioma cells. By analysis with immunohistochemistry and
bioinformatics, USP22 is found to positively correlate with BMI1 at the post
translational level only rather than at the transcriptional level. By
immunoprecipitation and in vivo deubiquitination assay, USP22 is found to
interact with and deubiquitinate BMI1 for protein stabilization. Microarray
analysis shows that USP22 and BMI1 mutually regulate a series of genes involved
in glioma stemness such as POSTN, HEY2, PDGFRA and ATF3. In vivo study with nude
mice confirms the role of USP22 in promoting glioma tumorigenesis by regulating
BMI1. All these findings indicate USP22 as a novel deubiquitinase of BMI1 in
glioma. We propose a working model of the USP22-BMI1 axis, which promotes glioma
stemness and tumorigenesis through oncogenic activation. Thus, targeting USP22
might be an effective strategy to treat glioma especially in those with elevated
BMI1 expression.
PMID- 29788553
TI - Enantiomeric resolution of methylamphetamine and ephedrine: Does this affect the
delta13 C, delta15 N, and delta2 H stable isotope ratios of the product?
AB - The use of stable isotope ratio mass spectrometry (IRMS) as a profiling tool for
methylamphetamine has evolved over the last decade. Stable isotope ratios of
carbon (delta13 C), nitrogen (delta15 N), and hydrogen (delta2 H) of
methylamphetamine are useful in determining the precursor used to manufacture
methylamphetamine, and in many cases the synthetic origin of the
methylamphetamine precursor. More recently, samples of seized methylamphetamine
show that a resolution step is being employed in the manufacturing process. We
sought to determine whether the delta13 C, delta15 N, and delta2 H values were
affected by either a resolution performed on racemic methylamphetamine or a
resolution on racemic ephedrine, a commonly used precursor to methylamphetamine.
We found that for the types of resolution studied, IRMS is still able to provide
useful information on the provenance of a methylamphetamine sample.
PMID- 29788554
TI - Hydrothermal thresholds for seed germination in winter annual forbs from old
field Mediterranean landscapes.
AB - Under Mediterranean climates with dry-hot summers and cool-wet winters, many
forbs with potential for habitat restoration are winter annuals, but there is
little information about their germination. We performed laboratory germination
experiments on 13 ruderal dicots native to Andalusia (southern Spain). We
measured the germination of recently harvested seeds from natural populations
across nine temperature treatments (from 5 to 35 degrees C, constant and
alternate); two storage periods; and eight water stress treatments (from 0 to
1.0 MPa). We then calculated the hydrothermal thresholds for seed germination.
Final germination ranged from 0-100% and results were mixed in response to
temperature. Base temperature was below 6 degrees C, optimal temperature was
around 14 degrees C and the ceiling temperature around 23 degrees C. For five
species, 10 months of storage improved total germination, indicating a dormancy
breaking effect, but the other species did not respond or had their germination
reduced. All species were relatively tolerant to water stress, with base water
potential ranging from -0.8 to -1.8 MPa. Our results suggest that hydrothermal
germination thresholds, rather than physiological dormancy, are the main drivers
of germination phenology in annual forbs from Mediterranean semi-dry
environments. The variation in germination responses of these forb species
differs from winter annual grasses, but their seeds are all suitable for being
stored before restoration.
PMID- 29788555
TI - In Nano, Volume 12, Issue 5.
PMID- 29788552
TI - An analytical dose-averaged LET calculation algorithm considering the off-axis
LET enhancement by secondary protons for spot-scanning proton therapy.
AB - PURPOSE: To evaluate the biological effects of proton beams as part of daily
clinical routine, fast and accurate calculation of dose-averaged linear energy
transfer (LETd ) is required. In this study, we have developed the analytical
LETd calculation method based on the pencil-beam algorithm (PBA) considering the
off-axis enhancement by secondary protons. This algorithm (PBA-dLET) was then
validated using Monte Carlo simulation (MCS) results. METHODS: In PBA-dLET, LET
values were assigned separately for each individual dose kernel based on the PBA.
For the dose kernel, we employed a triple Gaussian model which consists of the
primary component (protons that undergo the multiple Coulomb scattering) and the
halo component (protons that undergo inelastic, nonelastic and elastic nuclear
reaction); the primary and halo components were represented by a single Gaussian
and the sum of two Gaussian distributions, respectively. Although the previous
analytical approaches assumed a constant LETd value for the lateral distribution
of a pencil beam, the actual LETd increases away from the beam axis, because
there are more scattered and therefore lower energy protons with higher stopping
powers. To reflect this LETd behavior, we have assumed that the LETs of primary
and halo components can take different values (LETp and LEThalo ), which vary
only along the depth direction. The values of dual-LET kernels were determined
such that the PBA-dLET reproduced the MCS-generated LETd distribution in both
small and large fields. These values were generated at intervals of 1 mm in depth
for 96 energies from 70.2 to 220 MeV and collected in the look-up table. Finally,
we compared the LETd distributions and mean LETd (LETd,mean ) values of targets
and organs at risk between PBA-dLET and MCS. Both homogeneous phantom and patient
geometries (prostate, liver, and lung cases) were used to validate the present
method. RESULTS: In the homogeneous phantom, the LETd profiles obtained by the
dual-LET kernels agree well with the MCS results except for the low-dose region
in the lateral penumbra, where the actual dose was below 10% of the maximum dose.
In the patient geometry, the LETd profiles calculated with the developed method
reproduces MCS with the similar accuracy as in the homogeneous phantom. The
maximum differences in LETd,mean for each structure between the PBA-dLET and the
MCS were 0.06 keV/MUm in homogeneous phantoms and 0.08 keV/MUm in patient
geometries under all tested conditions, respectively. CONCLUSIONS: We confirmed
that the dual-LET-kernel model well reproduced the MCS, not only in the
homogeneous phantom but also in complex patient geometries. The accuracy of the
LETd was largely improved from the single-LET-kernel model, especially at the
lateral penumbra. The model is expected to be useful, especially for proper
recognition of the risk of side effects when the target is next to critical
organs.
PMID- 29788557
TI - News & Views in ... Personalized Medicine.
PMID- 29788556
TI - Solvent-Mediated End-to-End Assembly of Gold Nanorods.
AB - We demonstrate a new method for the bottom-up assembly of anisotropic
nanoparticles, showing that alkanethiol molecules can induce controlled end-to
end assembly of gold nanorods in mixed water/acetonitrile solutions. The assembly
is driven by solvent-mediated interactions among hydrophobic alkanethiol ligands
selectively bound to the ends of the nanorods and among hydrophilic
cetyltrimethylammonium bromide (CTAB) surfactants on the sides of the rods. It
occurs only when the gold-nanorod samples have been aged for approximately two
weeks. We compare the kinetics of solvent-mediated assembly using undecanethiol
ligands to assembly processes driven by covalent bonding using alpha,omega
undecanedithiol ligands and processes driven by hydrogen bonding using 11
mercaptoundecanoic acid ligands. Our experiments demonstrate the different
assembly mechanisms involved as well as the conditions needed to obtain selective
end-to-end assembly.
PMID- 29788559
TI - Letter from the President.
PMID- 29788560
TI - Personalized risk stratification for adverse surgical outcomes: innovation at the
boundaries of medicine and computation.
AB - Patients undergoing surgery exhibit a highly variable risk of mortality and
morbidity, even when undergoing similar procedures. Accurately quantifying this
risk is critical for preoperative decision-making to ensure patients recieve
treatment that is optimal for their individual profile, and for guiding
intraoperative and postoperative care. Despite the considerable attention this
issue has received, existing models for surgical risk stratification remain
grounded in traditional statistical methods and in problem statements that have
not evolved significantly over the years. This article explores recent
innovations in machine learning and data mining to advance these efforts. Risk
stratification models based on sophisticated computational techniques hold the
promise of a new generation of predictive analytical tools that are highly
accurate and widely deployable.
PMID- 29788561
TI - Pharmacogenomics and adverse drug reactions.
AB - Adverse drug reactions (ADRs) observed during drug development have been the
cause for discontinuing development of many drugs. In addition, serious but rare
ADRs observed after marketing have led to withdrawal of some drugs. A priori
identification of individuals at risk of developing ADRs for a given drug will
help develop strategies to reduce the risk for ADRs in these patients. US FDA
initiatives and efforts at reducing ADRs to make drugs safer are described,
including updating of drug labels to include genomic information intended to
reduce ADRs. Pharmacogenomics can also be harnessed to identify individuals at
risk of developing serious ADRs and to treat these individuals with alternative
therapy, thus converting ADRs that are traditionally considered unavoidable to
avoidable ADRs.
PMID- 29788562
TI - Behavioral phenotyping: a tool for personalized medicine.
AB - In recent years, genetic mapping has been grabbing the headlines for its promise
to revolutionize healthcare and provide us with a way to personalize medicine.
Connected health uses objective data and automated feedback to monitor chronic
illnesses and has demonstrated exciting possibilities too. This data can create
phenotype maps that reveal acquired behaviors and individual responses to health
programs. Health interventions can be personalized using a combination of these
techniques to maximize the chances of success and minimize adverse reactions.
Aggregating this data at a population level can help us leverage collective
behavioral trends to alter health-related perceptions at a population level. This
method of hyperpersonalization of medicine has proven its worth, and the focus
should now shift to testing its feasibility and incorporation into clinical
workflow.
PMID- 29788564
TI - Collaboration as a foundation for advancing research in personalized medicine in
cancer care.
AB - Transforming healthcare through collaborative relationships is the key to making
successful advances in personalized medicine. The strategies for building a
framework to bring together expertise and resources in order to realize all the
possibilities of personalized medicine are discussed in this article. The key to
this endeavor is the ability to identify potential collaboration with academic
medical centers, research laboratories, biotechnology companies and community
cancer centers. Translation of research from bench to bedside is only paradigm
changing if it can then be translated to community care. The value of clinical
prospective biospecimen collection with high quality clinical annotation will be
explored. Recognizing the opportunities for performing clinical trials, beta
testing of new technology especially in community clinical practice will be
emphasized. The goal is to expand the realm of personalized cancer care to allow
for integration of molecular marker and individualized therapy to the majority of
cancer patients worldwide.
PMID- 29788566
TI - Telomere length maintenance: a factor to be considered in personalized medicine?
PMID- 29788565
TI - Breath biomarkers for personalized medicine.
AB - Personalized medicine, in the near future, has the potential to revolutionize
healthcare by allowing physicians to individualize therapy for patients through
the early diagnosis of disease and risk assessment to optimize clinical response
with minimal toxicity. The identification of biomarkers could detect, diagnose
and help guide therapy to improve survival and quality of life by the early
identification of responders to the drugs. Volatile organic compounds and stable
isotope-labeled 13CO2 in breath can be uniquely utilized as in vivo diagnostic
biomarkers of disease and/or lack of enzyme activity to aid physicians to
personalize medication. Noninvasive detection of ailments and monitoring therapy
by human breath analysis is an emerging field of medical diagnostics representing
a rapid, economic and simple alternative to standard invasive blood analysis,
endoscopy or harmful imaging techniques such as x-ray and CT scans.
PMID- 29788567
TI - U-Health: an example of a high-quality individualized healthcare service.
AB - National Taiwan University Hospital (Taipei, Taiwan), which is one of the largest
hospitals in Taiwan, is undergoing healthcare service reforms: it is combining
the home healthcare service network and sensors network into a new service known
as the Ubiquitous Healthcare (U-Health) service system in order to continually
provide a personalized healthcare service to patients who live in remote areas.
The U-Health service system is comprised of six service components that are
introduced in an integrated manner: tele-education, telediagnosis,
telemonitoring, teleconsultation, teletherapy and lifetime health. The target
patient segments are postdischarge patients, patients suffering from
cardiovascular diseases, patients suffering from chronic diseases and patients in
hospice care. Since its launch in October 2009, the U-Health service system has
effectively reduced the rehospitalization rate from 12% in October 2009 to 6% in
May 2010 and the rate of visiting the emergency room from 21% in October 2009 to
9% in May 2010, during the 14-day postdischarge follow-up period.
PMID- 29788568
TI - Genetics of osteoporosis: perspectives for personalized medicine.
AB - Osteoporosis is the most common metabolic bone disorder worldwide. At least 15
genes (e.g., ESR1, LRP5, SOST, OPG, RANK and RANKL) have been confirmed as
osteoporosis susceptibility genes, and another 30 have been highlighted as
promising susceptibility genes. Notably, these genes are clustered in three
biological pathways: the estrogen endocrine pathway, the Wnt/beta-catenin
signaling pathway and the RANK/RANKL/osteoprotegerin (OPG) pathway. In this
article, using data pertaining to these three biological pathways as examples, we
illustrate possible principles of personalized therapy for osteoporosis. In
particular, we propose to use inhibitors (e.g., denosumab) of the RANK/RANKL/OPG
signaling pathway to circumvent resistance to estrogen-replacement therapy: a
novel idea resulting from the consideration of a mechanistic link between the
estrogen endocrine pathway and the RANK/RANKL/OPG signaling pathway. In addition,
we call for more attention to be focused on rare variants of major effects in
future studies.
PMID- 29788569
TI - Personalized medicine conference.
PMID- 29788570
TI - HER2 status is an important biomarker in guiding personalized HER2 therapy.
AB - The human epidermal growth factor receptor (HER)2 oncoprotein has emerged as an
important cellular target for the development of a variety of new cancer
therapies. The method used to define the HER2 status is a major factor in
determining who will receive these targeted therapies. The HER2 status can be
determined by using either tissue tests to look at the primary tumor cells, or an
enzyme-linked immunosorbent assay (ELISA) that measures the circulating levels of
the extracellular portion of HER2 protein. Tissue test (immunohistochemistry and
fluorescence in situ hybridization) results indicate that approximately 20-30% of
patients with primary breast cancer have a HER2-positive tumor, whereas ELISA
results demonstrate that an average of 45% (range: 23-80%) of metastatic breast
cancer (MBC) patients can have an abnormally high (> 15 ng/ml) serum HER2 level,
which is evidence that a HER2-positive tumor is present. Published studies show
that the HER2 status of a breast cancer patient can differ both by the test
method used and the time at which HER2 status is assessed. In this review, data
will be shown that demonstrates that not all HER2 test results obtained from the
primary breast cancer are correct, and that there is a population of patients
categorized as HER2 negative by tissue tests that, in fact, have HER2-positive
tumors. This observation has important therapeutic implications for breast cancer
patients with HER2-positive tumors that are classified as HER2 negative, since
they are not eligible for anti-HER2 therapy, such as trastuzumab. If a patient is
found to have an elevated (> 15 ng/ml) serum HER2 level in MBC, then either the
original tumor should be re-evaluated for HER2 status, or a metastatic lesion
should be tested for HER2 positivity, to determine if the patient is eligible for
anti-HER2 therapy. Studies have also shown that lack of adequate validation of a
testing method can result in false conclusions concerning the HER2 status. If the
goal of personalized medicine is to deliver the right treatment to the right
patient at the right time then we need to ensure the validity of all test
methods, regardless of whether they are for research purposes or are registered
as in vitro diagnostics. In the case of establishing HER2 status, it takes more
than one type of test to identify patients with HER2-positive tumors. It is
highly likely that the introduction of additional targeted drugs to growth factor
receptors or to angiogenesis targets will take a variety and combinations of
tests to tailor the most appropriate therapy to the patient.
PMID- 29788572
TI - Monogram Biosciences, Inc.
AB - Monogram Biosciences, Inc., formerly ViroLogic, Inc., develops and commercializes
diagnostic tests to help guide and improve the treatment of infectious diseases,
cancer and other serious illnesses. The company's base business is HIV patient
and pharmaceutical testing, where individualized medicine has been in practice
for many years and is now an established reality. The recent name change reflects
the company's broader commitment to extend its pioneering efforts in
individualized medicine beyond viral diseases and into oncology and other serious
diseases. Monogram's products are designed to help doctors optimize treatment
regimens for their patients, which leads to better outcomes and reduced costs.
Its technology is also being used by numerous biopharmaceutical companies to
develop new and improved antiviral therapeutics and vaccines, in addition to
targeted cancer therapeutics.
PMID- 29788573
TI - DNA methylation markers - an opportunity to further individualize therapy in
breast cancer?
AB - Over the last few decades, a wealth of treatment options have become available
for breast cancer. To specifically direct those therapies to patients with the
highest need who will receive the greatest benefit, biomarkers are urgently
needed. Two specific needs seem to be most pressing: first is the need for
prognostic markers, which would determine which group of patients may recover
without adjuvant chemotherapy. Second, predictive markers for specific
treatments, such as different endocrine treatments, chemotherapies or targeted
drugs, are expected to play a major role in the near future. Ideally, such
markers should be strong single markers, or low-complexity marker panels
containing only a few markers, to allow for easier assay development and improved
reproducibility. The possibility to measure the marker(s) in formalin-fixed
specimens would greatly facilitate integration into routine clinical practice. A
common and early event in breast cancer is aberrant DNA methylation within gene
regulatory regions, affecting a variety of genes with different functions. Data
from recently published studies indicate that altered DNA methylation carries
prognostic as well as predictive information in breast cancer. Together with the
technical advantages of a DNA-based marker, DNA methylation may well constitute
the ideal biomarker to further individualize breast cancer treatment. Here the
recent literature is reviewed and the most interesting markers, which have the
potential to significantly change breast cancer treatment and, therefore, warrant
further systematic clinical validation, are highlighted.
PMID- 29788574
TI - Use of pharmacogenetics in clinical medicine: hype or hope?
PMID- 29788575
TI - Quantitative in situ cancer proteomics: molecular pathology comes of age with
automated tissue microarray analysis.
AB - Tissue microarrays provide a high-throughput method for assessing a large number
of samples by incorporating small cores of tissue into an array that can fit onto
one microscope slide. Analyses of tissue microarrays were previously limited by
semiquantitative protein expression analysis using brown stain (chromagen-based)
methods. These methods are imperfect for protein expression analyses because of a
smaller dynamic range and decreased ability for multiplexing many markers, as
compared with objective in situ quantitation of protein expression in tumor
samples with fluorescence microscopy by a new technology called Automated
Quantitative Analysis (AQUATM). By using AQUA analysis, tissue microarrays can
serve a unique role as both a discovery tool and as a validation tool for nucleic
acid expression profiling-based target discoveries with results equivalent to
enzyme-linked immunosorbent assay quantitation. The identification of novel
prognostic markers can identify subsets of patients at high or low risk upon
diagnosis, as well as new targets for potential future therapeutic development or
metastatic disease treatment decisions. Thus, AQUA provides an unparalleled
opportunity to advance personalized medicine through its ability to multiplex,
quantitate and localize in situ protein expression.
PMID- 29788576
TI - Individualized therapy for childhood acute lymphoblastic leukemia.
AB - In the field of oncology, a growing emphasis is now being placed on
individualizing treatment in a way that maximizes chance for cure while
minimizing unwanted side effects. In childhood acute lymphoblastic leukemia
(ALL), several well-established clinical and biologic prognostic variables have
traditionally been used to risk stratify therapy for individual patients. While
this approach has been very successful, many relapses still occur unpredictably
in patients characterized as having favorable features of their disease at
diagnosis. Furthermore, it is likely that other children are overtreated.
Therefore, current initiatives in childhood leukemia have focused on identifying
new prognostic markers to refine treatment decision-making. Recent advances,
which include the sequencing of the human genome, and technical developments in
high-throughput genomics and proteomics, have facilitated these efforts. This
review will chart the evolution of individualized therapy for ALL, the most
common malignancy of children.
PMID- 29788577
TI - Program for the Assessment of Clinical Cancer Tests (PACCT): assisting the
development of tailored cancer therapy.
AB - Fulfillment of the promise of the genomic revolution for personalizing cancer
therapy will depend on the ability to identify specific alterations in tumor
cells that are critical to their growth, and the development of drugs that can
target these alterations and inhibit their growth. In this report, examples of
where this has been successful are discussed, and the challenges faced in other
cases are described. The identification of the patients most likely to benefit
from targeted agents requires significant investment in the development and
validation of predictive assays. The Program for the Assessment of Clinical
Cancer Tests (PACCT) has proposed an approach that should speed the development
of the diagnostic tools required and their acceptance into clinical practice.
This approach is dependent on determining the most pressing clinical questions
confronting clinicians today and the availability of adequate specimens to
validate the assays.
PMID- 29788578
TI - Pharmacogenomics steps toward personalized medicine.
AB - The goal of personalized medicine is to maximize the likelihood of therapeutic
efficacy and to minimize the risk of drug toxicity for an individual patient. One
of the major contributors to this concept is pharmacogenomics. Marked
interindividual genetic variation contributes significantly to both
susceptibility to diseases, and response to drugs. Even though pharmacogenomics
is not a new science, the translation of pharmacogenomics into clinical practice
(i.e., personalized medicine) has not taken place at the same pace as science is
delivering new results. It is felt that a large number of recent pharmacogenomic
findings allow bold steps to be taken toward personalized medicine. This review
collates a variety of examples that have great potential for immediate and
effective introduction into clinical practice. In addition, other exploratory
examples with a particular focus on drug safety and targeted cancer therapy are
summarized.
PMID- 29788579
TI - The era of ErbB-receptor-targeted therapies: advances toward personalized
medicine.
AB - Central themes in medical practice are the diagnosis, prognosis and treatment of
disease. Advances have been made in a number of malignanices including breast
cancer, where new therapeutic strategies have significantly improved response
rates, the disease-free interval and overall survival. However, complete
responses to chemotherapy are achieved in only 10-20% of patients. Recent
advances in the understanding of the cellular and molecular biology of cancer
have led to the identification of oncogenes and tumor suppressor genes that
influence the rate of tumor cell proliferation and cancer progression. These
oncogenes represent important therapeutic targets and are currently being
incorporated into the design of novel therapeutic approaches. This review
emphasizes the role of the ErbB oncogenic receptor family, its effect on tumor
biologic behavior and its role as a target for various therapeutic regimens.
PMID- 29788580
TI - New indication for Herceptin in early stage breast cancer.
PMID- 29788581
TI - Workshop: Personalized Medicine Europe: Health, Genes and Society.
PMID- 29788582
TI - DxS Ltd.
AB - DxS is a personalized medicine company focused on providing genetic analysis
services and technologies to enable the delivery of safe and effective medicines.
The services provide support for drug development, diagnostic product development
and pharmacodiagnostics. There is an increasing demand from the pharmaceutical
industry for genetic analysis of clinical trial populations to help to understand
individual variation in drug pharmacokinetics and pharmacodynamics. Since these
studies are part of a clinical trial it is essential that they are performed in a
high quality environment that meets relevant regulatory standards. DxS operates a
genotyping service operation that fulfils all of these requirements. One of the
expectations deriving from the growing use of pharmacogenetics during clinical
development is that some of the genetic markers will be required as diagnostics
to allow the identification of suitable patients for a therapy. This combination
of a drug and a diagnostic is called personalized medicine. DxS have established
a global network of alliance laboratories to allow the rapid translation of tests
from clinical development into clinical diagnostics.
PMID- 29788583
TI - Workshop Introduction: Personalized medicine: desirable, affordable, attainable?
AB - The Human Genome Project, the most celebrated human consortium effort in
biomedicine and one of the greatest scientific achievements of modern time, has
yet to deliver the anticipated improvements in healthcare [1] . The blueprint of
a human being, the complete 3.2 billion nucleotides of the human DNA sequence,
has been available since April 2002. Up to the present day, however, we still
understand very little about the biology of common complex disorders, such as
diabetes, hypertension, osteoarthritis, osteoporosis, Alzheimer's disease,
schizophrenia, and depression, despite the fact that the genetic determination of
each of these conditions is reliably established.
PMID- 29788584
TI - Single nucleotide polymorphisms: discovery, detection and analysis.
AB - Single nucleotide polymorphisms (SNPs) are the most abundant and simple form of
DNA variation. Analyses of SNPs in the human population have the potential to
greatly improve human health, both by predicting susceptibility to disease and
guiding choice of therapy. This review describes new tools for SNP discovery, and
current and emerging technologies for large-scale SNP analysis, as well as
providing a guide to choosing the best approach for SNP analysis.
PMID- 29788585
TI - Clinical implementation of psychiatric pharmacogenomic testing.
AB - For the past 5 years, many investigators have suggested that psychiatrists are on
the verge of using genotyping to guide clinical psycho-pharmacological practice.
After much anticipation, the first applications of this new technology are being
used to select psychotropic medication and inform individual variation in the
appropriate dose of medication. Not surprisingly, the drug-metabolizing enzyme
genes have been the first genes to be clinically genotyped for psychiatric
practice.
PMID- 29788586
TI - Personalized diagnostic and therapeutic strategies in oncology.
AB - In our lifetimes we hope to see the genome transform diagnosis and facilitate
individualized management of cancer. In the foreseeable future, cancer genomes
and transcriptomes will be identified and cataloged, and pathway-specific
therapies will continue to be developed. Population-wide databases will be used
to assist physicians in guiding patients to the appropriate therapy for their
tumor. Already there are early outstanding examples of the successful application
of genetic and genomic data to guide cancer therapy. However, truly tailored
therapeutics in oncology will require genomic analyses of an individual's tumor
as well as a more comprehensive assessment of their genetic background, health,
and environment exposures in order to determine the risk of disease, the dose
requirements for drugs, and the likelihood of response. Tumor genomics will
eventually result in a comprehensive description of cellular processes critical
to cancer growth for subsequent therapeutic impact. While there will be
significant technical and societal challenges to overcome, the integration of
personalized medicine into clinical practice is anticipated by clinicians,
expected by patients, and represents the likely future of oncology.
PMID- 29788587
TI - Innogenetics NV.
AB - Innogenetics NV is a Belgium-based international biopharmaceutical company that
has applied its know-how and synergies in molecular biology, immunology and
virology to build two distinct businesses: a specialty diagnostics business
grounded in personalized medicine, and a pharmaceutical business that is
developing therapeutic vaccines. The advantage of this dual model is that the
growing revenues from the profitable specialty diagnostics business partially
support the development of its biopharmaceutical pipeline. The company employs
some 600 persons, with affiliates in Germany, Spain, Italy, France, and the USA.
PMID- 29788588
TI - Workshop Abstracts.
AB - The first and crucial step in sensory processing, the transduction of stimuli,
such as odor, light and sound, into a cellular response, are all regulated by
genetic pathways. The past years have provided a significant increase in our
understanding of some of these pathways, due in large part to the genes found to
be associated with inherited hearing loss (HL).
PMID- 29788589
TI - Initial development of an evidence base for personalized medicine's translation
to clinical practice and health policy.
AB - A major challenge to adoption of personalized medicine approaches, such as
pharmacogenomics, is that data to address many critical questions relevant to
clinical application, economics, policy and regulation are typically unavailable
or widely dispersed. The evidence base we tentatively call Evaluation Data for
Assessing Personalized Medicine Translation (EDAPT) would generate the foundation
for a database of information. This article begins with a description of the
rationale and conceptual framework for this effort.
PMID- 29788590
TI - Leading the way to personalized pain therapy.
PMID- 29788591
TI - Genetic association studies: where are we now?
PMID- 29788592
TI - Legal pressures and incentives for personalized medicine.
AB - Legal liability has the potential to be a powerful driver pushing implementation
of personalized medicine. Individuals injured by adverse drug effects are
increasingly likely to bring lawsuits alleging that they have a polymorphism or
biomarker conferring susceptibility to the drug that should have been identified
and used to alter their drug treatment. Likely targets of such lawsuits include
drug manufacturers, third party payors, physicians and pharmacists, of which
physicians are most at risk of substantial liability.
PMID- 29788593
TI - Translational pharmacogenetics and risk management in the cardiovascular arena:
CYP3A5*3 model for gene-based drug selection.
AB - The clinical community is moving rapidly toward the prospective application of
gene-based drug dosing. Specifically within the cardiovascular arena, the
cytochrome P450 (CYP)3A5*3 allele may represent an optimal starting point. All
CYP3A5*3 alleles contain an A6986G transition in intron 3, which reduces enzyme
expression through the introduction of a premature stop codon. The current review
explores four potential reasons why the clinical and scientific communities
should consider including CYP3A5*3 in any panel of gene polymorphisms developed
for the purpose of guiding cardiovascular pharmacotherapy: the CYP3A enzyme
family metabolizes nearly half of all prescription drugs; the CYP3A enzyme family
metabolizes several drugs utilized for primary and secondary risk reduction in
the context of coronary artery disease; the CYP3A5*3 allele has been associated
with differential outcomes related to lipid lowering therapy; and the CYP3A5*3
allele is highly prevalent in all populations studied to date.
PMID- 29788594
TI - Personalized medicine for breast cancer: moving forward and going back.
PMID- 29788595
TI - Novel personalized medicine technology: UGT1A1 testing for irinotecan as a case
study.
AB - Third Wave's Invader(r) UDP glucuronosyltransferase 1A1 (UGT1A1) Molecular Assay,
a genotyping system to predict adverse drug reactions in patients receiving the
chemotherapeutic agent irinotecan (Camptosar(r), Pzifer, NY, USA) for the
treatment of metastatic colorectal cancer (mCRC), was recently approved by the US
FDA. Clinical application, economic and regulatory data were collected on this
test in the Evaluation Data for Assessing Personalized Medicine Translation
(EDAPT) evidence base. This descriptive analysis highlight these data and the
issues for the translation of this test to practice, including gaps in the
evidence base, issues regarding adoption of the test to clinical practice and the
potential societal impact of UGT1A1 testing for irinotecan prescribing.
PMID- 29788596
TI - Innovation in personalized medicine: BiDil(r) as a case study for integrating
clinical and policy developments.
AB - BiDil(r) (hydralazine and isosorbide dinitrate) represents an interesting
application of personalized medicine - the first pharmaceutical specifically
approved by a regulatory agency, the US FDA, for an indication in a particular
population based on race as a surrogate phenotypic marker, without a companion
genomic diagnostic directed at measuring drug responsiveness. The focus of this
paper is to use BiDil as a case study of a personalized medicine application and
evaluate its clinical and policy-relevant characteristics as an illustrative
example of the usefulness of the Evaluation Data for Assessing Personalized
Medicine Translation (EDAPT) evidence base.
PMID- 29788597
TI - Epigenomics AG.
AB - Earlier diagnosis and a more individualized choice of treatment options has the
potential to greatly improve the outcome of life-threatening diseases. DNA
methylation has proven to be a rich source of biomarkers for diagnosis, prognosis
and drug response prediction in cancer and other diseases. Epigenomics AG makes
use of DNA methylation biomarkers to develop in vitro diagnostic test products.
The product pipeline comprises screening tests for the early detection of cancer
in body fluids and molecular pathology tests on routinely available tissue
samples for cancer prognosis. In collaborations with pharmaceutical and
biotechnology companies, Epigenomics provides access to its broad range of
technologies for the support of drug development and commercialization by patient
stratification and drug response prediction.
PMID- 29788599
TI - Letter from the Executive Director.
PMID- 29788598
TI - Role of the Opportunity To Test Index in integrating diagnostics with
therapeutics.
AB - In theory, the introduction of a novel test to accompany a therapy should be
simple and automatic. However, In reality, the marriage of a test with therapy
will not be a simple one, since each industry operates with its own distinct
business model. Of concern to the pharmaceutical industry is the potential
commercial dependency of a drug on the performance and implementation of a
diagnostic. These concerns are justified since the history of diagnostic tests is
frequently one of under use. One of the factors cited by Rogers is the issue of
how complex an innovation is to administer. Rogers demonstrates that an
innovation that is difficult to administer will be implemented more slowly or be
discontinued by the user group it was intended for. It is the author's view that
understanding this micro interaction, experience and barriers of testing with the
individual provider, in short the complexity of the test, will, in turn, provide
those in the pharmaceutical industry with a methodology to consider their risk or
exposure to a test upon which their therapy may become dependent in the US
market. Since personalized medicine significantly marries the test and treatment
decision, it is the perspective of the provider that will be paramount in
determining which, if any, test is ordered and the subsequent clinical decision
he or she is enabled to take upon the test response. Therefore, a focus of this
perspective is to consider the issues of test implementation from the perspective
of the US provider who will order and use the information they provide. The
Opportunity To Test Index methodology is introduced, which the authors speculate
may help quantify the level of risk a pharmaceutical company has to the
complexity of a test upon which its therapy will be dependent. The methodology
scores five key elements impacting test implementation: reimbursement, turnaround
time, test administration, test interpretation and patient engagement.
PMID- 29788600
TI - Identification of gene signatures involved in the mechanisms of multidrug
resistance.
PMID- 29788601
TI - Genomics and personalized medicine: a perspective.
AB - Dr Desnick is Professor and Chairman of the Department of Genetics and Genomic
Sciences and Associate Dean for Genome-Based Research at The Mount Sinai School
of Medicine (NY, USA) and Physician-in-Chief of the Department of Medical
Genetics and Genomics at the Mount Sinai Hospital (NY, USA). In 1977 he joined
the faculty of The Mount Sinai School of Medicine as the Arthur J and Nellie Z
Cohen Professor of Pediatrics and Genetics, and as Chief of the Division of
Medical and Molecular Genetics. In 1993 he became the first Chairman of the
Department of Genetics and Genomic Sciences at The Mount Sinai School of
Medicine. Dr Desnick's research interests include molecular and biochemical
genetics. He has published over 600 research papers and chapters, including nine
edited books. He is Board Certified in clinical, biochemical and molecular
genetics by the American Board of Medical Genetics (MD, USA) and is a Fellow of
the American Academy of Pediatrics (IL, USA). He has been the recipient of
various fellowships and awards and is a past Chair of the American Association of
Medical Colleges (DC, USA), a Fellow of the American Academy for the Advancement
of Science (DC, USA) and a member of the Institute of Medicine of the National
Academy of Sciences (DC, USA).
PMID- 29788602
TI - Personalized Health Care National Conference: translating science into
personalized healthcare.
PMID- 29788603
TI - A new series of international genetic congresses take place in China.
PMID- 29788604
TI - News & Views in Personalized Medicine.
PMID- 29788606
TI - Recent advances in personalizing rheumatoid arthritis therapy and management.
AB - Rheumatoid arthritis (RA) is a chronic, systemic, inflammatory disorder
characterized by synovial inflammation in diarthrodial joints. There are
significant interindividual variations in the degree of inflammation, disease
course and the rate of joint progression in patients with RA. A number of
clinical, serological, environmental and genetic severity factors have been
identified in patients with RA and can be used to help guide treatment.
Therapeutic options for RA have significantly expanded in the last decade and now
include both synthetic disease-modifying antirheumatic drugs as well as biologic
disease-modifying antirheumatic drugs. Owing to the variety of new drugs, their
cost and incomplete information on side effects, markers of treatment response
are needed. The study of treatment-specific genetic and protein biomarkers of
response and toxicity in RA has produced exciting, yet inconsistent, results.
Large scale genetic and proteome studies, which can now be performed at a
relatively low cost, will likely broaden the scope and significance of biomarker
studies in RA. Integration of these results into clinical practice will vastly
improve our ability to provide safe and effective therapy to individuals with RA.
PMID- 29788607
TI - Vilazodone, a novel, dual-acting antidepressant: current status, future promise
and potential for individualized treatment of depression.
AB - Vilazodone is a novel antidepressant with a dual mechanism of action that
combines selective serotonin reuptake inhibition and partial 5
hydroxytryptamine1A receptor agonism. Vilazodone is undergoing clinical
development for the treatment of major depressive disorder and has demonstrated
antidepressant efficacy. In addition, in a placebo-controlled, randomized study,
vilazodone has been shown to be well tolerated with a low discontinuation rate
due to adverse events. Importantly, in this study, the incidence of sexual
dysfunction with vilazodone was similar to that of the placebo. A key feature of
the vilazodone clinical development program is the identification and development
of biomarkers that predict response to therapy. This article will review the
pharmacology, efficacy and tolerability, and pharmacogenetic data of vilazodone
for the treatment of major depressive disorder. Vilazodone may be the first
antidepressant to provide targeted therapy to patients most likely to achieve a
response, and to offer an individualized approach to therapy.
PMID- 29788608
TI - What do leaders of disease-specific advocacy organizations know about
pharmacogenomics and biomarkers, anyway?
AB - Disease Advocacy Organizations (DAOs) make meaningful contributions to the
development of tests and therapeutics across the development pipeline, from
cohort development to actual drug discovery. The process of developing biomarkers
and validating them is fraught with a high failure rate and enormous expense.
DAOs can harness new information technologies to increase effectiveness,
including systems to dynamically consent individuals to participate in registries
and trials. These new technologies can alleviate some of the expense in biomarker
development. Information aggregation with consumer control of information at its
core will eventually permit a national surveillance system for pre- and post
treatment analysis. A stronger and more scientific basis on which to build
quality control and assurance of biomarker determination is needed. Validation
must be supported in the future, in the same manner discovery was in the past,
including through federal funding and philanthropic giving. DAOs can accelerate
the process of biomarker development by building robust, well-characterized
cohorts.
PMID- 29788609
TI - Clinical pharmacy consultation for pharmacogenetic testing.
AB - The clinical application of pharmacogenetic testing will help to bring
personalized medicine into clinical practice. Due to the complex process involved
in delivering pharmacogenetic testing, optimal clinical implementation of
pharmacogenetic tests will require the coordinated effort of multiple disciplines
including medicine, clinical laboratory medicine and clinical pharmacy. This will
help to bridge the gap between the basic and laboratory science, and the clinical
application of these results. How may clinical pharmacy contribute to the
clinical application of pharmacogenetic testing as a member of a
multidisciplinary team? In this perspective, we propose a potential new role for
pharmacists: as an interpreter of pharmacogenetic test results. Interpreting the
results of pharmacogenetic tests, particularly, those intended to guide drug
dosing, requires an understanding of pharmacogenetics, pharmacokinetics and
pharmacodynamics. Pharmacists who are knowledgeable in these areas may play an
important role in interpretation of the test results.
PMID- 29788610
TI - Toward an individualizing therapy for colorectal cancer: the example of the anti
EGFR monoclonal antibodies.
AB - Anti-EGF receptor (EGFR) antibodies (cetuximab and panitumumab) have been
demonstrated to be efficient in the treatment of metastatic colorectal cancer.
However, these costly and potentially toxic treatments are active in a subset of
patients, which renders necessary the identification of factors that are able to
better define which patients will benefit from these treatments. Advances in the
understanding of signaling pathways inhibited by these targeted therapies led to
the identification of KRAS mutations as a strong predictor of resistance to anti
EGFR therapies. Other molecular markers, which are involved in the EGF signaling
pathway or in the antibody dependent cell-mediated cytotoxicity-related anti
tumor effect of these anti-EGFR therapies, are also interesting and will have to
be evaluated in future clinical trials.
PMID- 29788612
TI - Personalizing medicine through targeted agents, capitalizing on pathway
dysregulation and biomarker use.
PMID- 29788613
TI - Individualized therapy of breast cancer: are we there yet?
PMID- 29788614
TI - The biomarker revolution: a step toward personalized medicine.
PMID- 29788615
TI - Interview.
AB - Charis Eng is the Chairman and founding Director of the Genomic Medicine
Institute of the Cleveland Clinic Foundation, founding Director and attending
clinical cancer geneticist of the institute's clinical component, the Center for
Personalized Genetic Healthcare, and Professor and Vice Chairman of the
Department of Genetics at Case Western Reserve University School of Medicine. She
holds a joint appointment as Professor of Molecular Medicine at the Cleveland
Clinic Lerner College of Medicine and is a full member of Cleveland Clinic's
Taussig Cancer Center and of the CASE Comprehensive Cancer Center. Dr Eng was
recently honored with the Sondra J and Stephen P Hardis Endowed Chair in Cancer
Genomic Medicine. She continues to hold an honorary appointment at the University
of Cambridge. Dr Eng's research interests may be broadly characterized as
clinical cancer genetics translational research. Her work on RET testing in
multiple endocrine neoplasia type 2 and the characterization of the widening
clinical spectra of PTEN gene mutations have been acknowledged as the paradigm
for the practice of clinical cancer genetics.
PMID- 29788616
TI - News & Views.
PMID- 29788617
TI - Latest News & Updates from the Personalized Medicine Coalition.
PMID- 29788619
TI - Increased carrier prevalence of deficient CYP2C9, CYP2C19 and CYP2D6 alleles in
depressed patients referred to a tertiary psychiatric hospital.
AB - OBJECTIVE: This study compared the types and carrier prevalences of clinically
significant DNA polymorphisms in the cytochrome P450 (CYP450) genes CYP2C9,
CYP2C19 and CYP2D6 in major depressive disorder patients with a control group of
nonpsychiatrically ill, medical outpatients. METHOD: We conducted a case-control
study using 73 psychiatric outpatients diagnosed with depression and referred to
a tertiary center, The Institute of Living (Hartford, CT, USA), for treatment
resistance or intolerable side-effects to psychotropic drugs. The controls were
120 cardiovascular patients from Hartford Hospital being treated for dyslipidemia
but otherwise healthy and not psychiatrically ill. DNA typing to detect
polymorphisms in the genes CYP2C9, CYP2C19 and CYP2D6 was accomplished with the
Tag-ItTM mutation detection assay and the Luminex xMAP(r) system. RESULTS: The
percentage of individuals in psychiatric versus control groups with two wild-type
alleles for CYP2C9, CYP2C19 and CYP2D6 genes, were 50 versus 74% (p < 0.001), 71
versus 73% (not statistically significant) and 36 versus 43% (trend, p < 0.2),
respectively. Within the psychiatric population, 57% of individuals were carriers
of non-wild-type alleles for 2-3 genes, compared with 36% in the control
population (p < 0.0001). The balance, 43% in the psychiatric population and 64%
in the control, were carriers of non-wild-type alleles for none or one gene.
CONCLUSIONS: These findings reveal that clinically relevant CYP2C9 polymorphisms
occur more frequently in depressed psychiatric patients than in nonpsychiatric
controls. The same trend was found for polymorphisms in the CYP2D6 gene. We found
a significant cumulative metabolic deficiency in the psychiatric population for
combinations of the CYP2C9, CYP2C19 and CYP2D6 genes. The significant enrichment
of CYP2C9-deficient alleles in the psychiatric population validates a previously
reported association of this gene with the risk for depression disorders. The
high prevalence of carriers with deficient and null alleles suggests that CYP450
DNA typing may play a role in the management of psychiatric patients at tertiary
care institutions.
PMID- 29788620
TI - Considerations for a business model for the effective integration of novel
biomarkers into drug development.
AB - It is 10 years since the introduction of trastuzumab into the US market, and we
are still waiting for a validation of the business case for biomarker-driven drug
development. While many reasons for the lack of duplication of this model may
exist, the need for accelerated innovation in drug development paired with the
opportunity of integrating biomarker-driven research into drug development
programs may lead to new and creative ways of fostering the cooperation between
drug developers and test manufacturers. The rapid increase in knowledge about
biomarkers and our understanding of disease and disease mechanisms open
unprecedented prospects to make not only better, more informed decisions
regarding patient care, but also strategic decisions during drug development.
This requires that a biomarker strategy becomes an integral part of (early) drug
development and that new, innovative paths are tried towards a model that
combines the scientific approach with an economically feasible implementation
strategy. Collaborative research, the use of new communication tools, the
exploration of alternative ways to position a product in the market, and other
considerations are part of such a strategy. This perspective article illustrates
the current landscape and takes a look at some of these new ways for more
effectively integrating biomarkers into drug development.
PMID- 29788621
TI - Molecular pathogenesis of inflammatory bowel disease: relevance for novel
therapies.
AB - The immense load of microorganisms within the gastrointestinal tract is a great
challenge for the mucosal immune system. Whereas the vast majority of commensal
bacteria should be tolerated, pathogenic organisms have to be attacked. During
inflammatory bowel disease, the balanced interaction between the mucosal flora
and the intestinal immune system is disturbed. Various defective components of
this complex interaction have been described, such as different susceptibility
genes, impaired innate immune responses and environmental factors, suggesting
that inflammatory bowel diseases are multifactorial diseases. Based on new
insights into the pathogenesis of inflammatory bowel disease, various targets for
future drugs have been identified and new substances are emerging. The following
article will review the current understanding of inflammatory bowel disease
pathogenesis in context with genetic risk factors, imbalanced innate and acquired
immune responses, and altered barrier function. Clinical treatment of the
diseases will be summarized and emerging therapies as well as individual
management based on recent insights into pathogenesis will be discussed.
PMID- 29788623
TI - Personalized medicine: a perspective on the patient, disease and causal
diagnostics.
PMID- 29788622
TI - Pharmacogenetic tools for malaria and TB in the Developing World.
AB - Some of the largest therapeutic drug exposures in the planet involve drugs
employed against malaria and TB, two main global infectious diseases. Amodiaquine
for malaria and isoniazid for TB are two pivotal drugs in the management of these
diseases. Both drugs have been associated with severe adverse events. Amodiaquine
and isoniazid are metabolized polymorphically by CYP2C8 and N-acetyltransferase
2, respectively. The polymorphic genes coding for these enzymes presently
represent the best candidates for the application of personal pharmacogenetics
for these diseases. We review the main reasons for this view, while asking the
pivotal question of whether it is presently possible for pharmacogenetic-based
personalized medicine to be applied in the malaria and TB settings of the
Developing World.
PMID- 29788624
TI - The right prescription for personalized genetic medicine.
PMID- 29788625
TI - Low adoption of pharmacogenetic testing: an exploration and explanation of the
reasons in Australia.
AB - The research reported here sought to identify and illuminate the reasons for the
low adoption of pharmacogenetic tests in Australia. The research initially
established possible reasons and propositions drawn from previous studies and
surveys on the problem in Europe and the literature on the adoption of
innovations. A small-scale exploratory, qualitative study was undertaken in one
state in Australia; clinicians and other stake-holders were interviewed about
their use of or support for pharmacogenetic tests. The expected, quite extensive
individual factors known to influence adoption and rejection of innovations were
found to be present in the situations covered. The reasons for nonadoption that
were found in previous surveys were also supported. Some other, possibly
critical, reasons were also identified. The implications from this initial
exploration are discussed and the prospects for the increased use of the tests
proposed.
PMID- 29788626
TI - Use of miRNA expression profiling to identify novel biomarkers.
AB - Micro (mi)RNAs are small, noncoding RNAs that regulate gene expression through
binding to the 3'-untranslated region of mRNAs by complementary base pairing and
mainly act through cleavage or translational inhibition of mRNAs. Recent studies
have shown the roles of miRNAs in development and cancer, revealing the
physiological and pathological importance of these tiny molecules. Therefore, as
with mRNAs, researchers have focused on the global analyses of miRNAs to seek
their potential use as biomarkers for physiological and pathological states of a
cell. Methods developed for miRNA profiling are briefly discussed in this review.
Recent evidences supporting the use of miRNAs as biomarkers in both
differentiation and cancer are presented. The profiling studies may highlight the
clinical relevance of miRNAs and will enable the researchers to uncover the
enormous potential of these tiny molecules. In the near future, selected miRNA
genes based on expression abnormalities will be tested as candidates for miRNA
based cancer gene therapy.
PMID- 29788628
TI - Advances in understanding of the genetics of autism and learning.
PMID- 29788627
TI - NAT2 polymorphisms and their influence on the pharmacology and toxicity of
isoniazid in TB patients.
AB - Tuberculosis is a global pandemic that threatens to overwhelm healthcare budgets
in many developing countries. Despite the availability of adequate effective
treatment, many patients default on treatment, experience adverse side effects
from antibiotics or fail to respond rapidly and recover. Isoniazid, one of the
most important first-line tuberculosis drugs, is acetylated in the liver to a
variable degree in different individuals giving rise to fast, intermediate and
slow acetylator phenotypes. We present the view that the acetylation status of
individuals plays an important contributory role in the tuberculosis pandemic. It
is important to study the acetylation alleles, and to understand isoniazid
metabolism and the manner in which it could affect patient compliance, isoniazid
toxicity and the emergence of drug-resistant strains of mycobacteria.
PMID- 29788629
TI - Current status of applied pharmacogenetics in clinical practice.
PMID- 29788630
TI - Gene-diet interactions in childhood obesity: paucity of evidence as the epidemic
of childhood obesity continues to rise.
AB - Childhood obesity is growing rapidly worldwide. Although there have been enormous
advances in the genetic underpinnings of obesity in recent years, the pathways
that lead to obesity are still not completely understood. One of the ongoing
challenges is the lack of a comprehensive definition of the obese phenotype that
encompasses intermediary phenotypic expressions of biological and behavioral
nature. Interactions between genetic and environmental factors, including
nutrient exposures and dietary behaviors, can influence the development of the
obese phenotype. Specifically, genes play a decisive role in the etiology of
childhood obesity under the permissive circumstances of an obesogenic environment
(increase in energy intake with a decrease in physical activity). Like many
diseases, the causes of obesity are complex and their investigation requires
novel approaches. Given the many contributors to obesity (weight gain, weight
loss, weight maintenance, variability in body composition), as well as the
dynamic nature of this issue, genomic tools must continue to be employed to
evaluate all dimensions of the obesity phenotype, such as biochemical
characteristics, susceptibility markers, nutrient intake, feeding practices and
gene-environment interactions. Fundamental knowledge of the types of genes
involved and available gene-diet interaction studies in children's obesity are
reviewed. Although there is a paucity of existing literature in this specific
domain of childhood obesity, ongoing investigations utilizing large cohorts have
potential for providing the knowledge needed for targeted interventions in the
future.
PMID- 29788631
TI - Pharmacogenetics of the u-opioid receptor and the treatment of addictions.
AB - Evaluation of: Munafo MR, Elliot KM, Murphy MF, Walton RT, Johnstone EC:
Association of the u-opioid receptor gene with smoking cessation.
Pharmacogenomics J. (2007) (In Press) [1] .This well-designed study examined
short- and long-term outcome data from a large clinical trial comparing nicotine
replacement therapy (NRT) with placebo in order to test the association between
the u-opioid receptor gene and treatment outcomes. In addition to a significant
effect of NRT compared with placebo across time periods, analyses revealed a
significant genotype * treatment interaction at 12-week follow-up, such that
participants who were homozygous for the A allele were more likely to report
smoking abstinence in the NRT condition versus placebo compared with carriers of
the G allele. These results did not persist after NRT was discontinued, although
its temporal contiguity to treatment suggests it is a true pharmacogenetic
effect. Importantly, these findings stand in contrast to previous research in the
field. Moreover, the study reported provocative interactions between gender and u
opioid receptor gene status with regard to long-term treatment outcome, and
between abstinence and gender with regard to changes in body mass index. Munafo
and colleagues' study has a number of strengths and its overall findings
underline the complex ways in which genotype, gender and body mass index may
interact in smoking-cessation treatment. The significance of these findings is
discussed in the context of pharmacogenetics research in the field of substance
use disorders.
PMID- 29788633
TI - Clinical phenome scanning.
AB - Large population-based cohorts are ideal for the study of common, complex
disorders because they allow characterization of gene-gene and gene-environment
interactions. We propose a clinical phenome scanning approach to genotype
phenotype association studies, as this approach acknowledges the heterogeneous
nature of common diseases and takes advantage of the unprecedented density of
phenotypic data available in population-based DNA biobanks. By analogy to genome
wide scanning, the construction of a clinical phenome scan includes a complete
scan of all clinically available information (housed in electronic medical
records). This is done on a subject-by-subject basis and the resulting phenomes
can subsequently be interrogated for association with a single allele for any
given gene. By prioritizing phenotype (rather than genotype), this approach
allows investigators to ask the question "Which disease is associated with a
given gene?" rather than "Which gene is associated with a given disease?".
PMID- 29788632
TI - Healthy People 2010 disease prevalence in the Marshfield Clinic Personalized
Medicine Research Project cohort: opportunities for public health genomic
research.
AB - OBJECTIVES: The purpose of this study was to estimate the prevalence of Healthy
People 2010 disease conditions in a large population-based cohort in central
Wisconsin (WI, USA) and to consider how these conditions can be prioritized for
research based on the use of healthcare services, the prevalence of various
disease states and the resulting study power. METHODS: Healthy People 2010
diagnoses were estimated for participants in the Personalized Medicine Research
Project (PMRP), a large population-based biobank for residents aged 18 years and
older living in central Wisconsin. By interrogating the electronic medical
record, three parameters were calculated for each diagnosis: mean number of
concomitant diagnoses, mean number of annual clinic visits before diagnosis and
mean number of clinic visits after diagnosis. RESULTS: A total of 18,239 adults
enrolled in PMRP from September 2002 to May 2005 and were included in the study.
They had a mean age of 49 years (standard deviation: 18.5), ranging from 18-98
years; 57% were female. At least one Healthy People 2010 disease was diagnosed in
86.4% of the participants; 13.6% had never been diagnosed with any of these
conditions. The median number of diagnoses per subject was three (range: 1-15).
The median number of annual visits after diagnosis was lowest for chronic
obstructive pulmonary disease (9.1) and highest for sleep apnea (17.9). Subjects
with a diabetic retinopathy diagnosis had the highest number of concomitant
diagnoses (mean: 6.8). DISCUSSION: All of the diseases within the Healthy People
2010 list are purported to have at least some genetic component, with the
exception of injuries. The PMRP cohort is large enough that diseases of public
health importance can be studied in the context of a variety of clinical and
environmental covariates. This database is being developed as a national resource
and is particularly useful where the estimated disease prevalence is 5% or
greater. For less common diseases, additional cases can be recruited from
throughout the Marshfield Clinic system of care, with population-based controls
selected from the main PMRP study cohort.
PMID- 29788634
TI - Integrating genomics and neuromarkers for the era of brain-related personalized
medicine.
AB - The harsh reality is that many medical treatments do not work as expected in a
significant percentage of patients, and occasionally there are serious side
effects. A new paradigm of personalized medicine is emerging, which proactively
tailors treatment to each individual's biological and psychological profile. The
first proof-of-concept phase of personalized medicine has now been achieved.
However, it has thus far focused on the use of genomic markers and on disorders
of the body. The complexity of the brain is likely to require a shift from a
single genetic marker focus to a more integrated approach in which additional
brain-related information (neuromarkers) is taken into account. Codevelopment of
genomic neuromarkers with new compounds in a personalized medicine approach will
lead to increased drug R&D and treatment benefits. The emerging genomic
neuromarker potential has begun to be incorporated into the template for the next
version of the Diagnostic and Statistical Manual (DSM-V). The statistical power
of large subject numbers in databases in general (and standardized databases in
particular) provides an ideal source for elucidating the best genomic-neuromarker
profiles (explaining most of the main-effects variance), which will empower a
brain-related personalized medicine into mainstream clinical practice.
PMID- 29788637
TI - News & Views in ... Personalized Medicine.
PMID- 29788636
TI - Letter from the President.
PMID- 29788638
TI - Syntropy, genetic testing and personalized medicine.
AB - The concept of syntropic diseases was proposed at the beginning of the last
century to emphasize the phenomenon of nonrandom co-occurrence of human
disorders. Common genes underlying specific syntropic diseases were called
syntropic genes. The application of this concept to contemporary genomic studies
will facilitate the understanding of the molecular basis of complex diseases,
provide future direction for discovering new targets for therapy and prognosis,
and may even lead to the reassessment of disease classification for the practice
of more precise personalized medicine. With the acceptance of the syntropic genes
theory, new genetic tests, focused on markers pointing to a set of
pathogenetically linked diseases rather than to a single nosology, can be
developed.
PMID- 29788639
TI - Individual genomes and personalized medicine: life diversity and complexity.
PMID- 29788641
TI - Longitudinal study of a 9p21.3 SNP using a national electronic healthcare
database.
AB - AIMS: Genome-wide association studies have identified a number of SNPs associated
with complex disease. The longitudinal significance of these variants is
uncertain and clinical genomic studies are required to elucidate what clinical
value these variants have. Linking DNA to clinical health information databases
is a powerful and potentially low-cost means of performing such research. Here,
we describe a proof-of-principle study demonstrating the potential of this
method. MATERIALS & METHODS: A total of 376 individuals presenting to a hospital
with severe coronary artery disease were enrolled into a prospective cohort
study. DNA, demographic data, ethnicity and other clinical information was
collected in an electronic database. Genotyping for SNPs rs2383207 and rs10757278
was performed using Sequenom(r) (CA, USA) matrix-assisted laser
desorption/ionisation-time of flight mass spectrometry. Health outcomes were
tracked from when patients were discharged from the hospital using the New
Zealand Health Information Service (Wellington, New Zealand). RESULTS: A total of
253 (67%) patients were of New Zealand European descent, 47 (13%) patients were
of Maori descent and 21 (6%) were of Pacific Island ancestry. The Maori and
Pacific Island group were younger at presentation (63 +/- 11 vs 70 +/- 9 years of
age; p < 0.0001) and had a higher prevalence of cardiovascular risk factors. The
frequency of the at-risk rs2383207 G allele in the Maori and Pacific group was
70%, compared with 54% in Europeans (p = 0.002). Similarly, the rs10757278 G
allele was also present at a higher frequency (68 vs 52%; p = 0.003). No
association was seen between the rs10757278 SNP and cardiovascular risk factors
or markers of disease severity. GA and GG individuals had a higher rate of
cardiovascular (p = 0.04) and all-cause death (p = 0.02). CONCLUSION: The linking
of genetic data to electronic medical databases is an effective tool to assess
the longitudinal effect of gene variants on health outcomes and will aid in the
implementation of personalized medicine. Larger sample sizes with longer study
duration may yield clinically useful information that aids preventative
healthcare.
PMID- 29788642
TI - Personalized psychopharmacology for the affective disorders and schizophrenia:
where is the evidence?
AB - Individualized medicine is the ultimate aim of many medical specialties. Attempts
to individualize psychopharmacology have focused on the genetic polymorphisms of
neurotransmitter- and CNS-related genes. While there have been numerous reports
on the discovery of possible genetic differences in various psychiatric
disorders, clinical psychopharmacology has not yet significantly benefited from
such data. At present, individualized psychopharmacology in practice is still
largely the choice of drugs with the least side effects for a particular patient.
PMID- 29788643
TI - Application of a pharmacogenetic test adoption model to six oncology biomarkers.
AB - The ability of genomics to match precise information about the molecular biology
of a cancer with the available present and future therapeutics offers tremendous
promise for cancer patients. Unfortunately, few genomic-based tests or treatments
are available today to benefit these patients. Using a pharmacogenetic test
adoption model, previously introduced to model the adoption of HLA-B*5701 testing
for abacavir hypersensitivity, six oncology biomarkers, HER2, BCR-ABL
quantitation, KRAS mutation, UGT1A1, CYP2D6 for tamoxifen and EGFR expression,
test adoption patterns are explored. Developmental milestones and emerging
scientific knowledge relating to each of the biomarkers are discussed in the
context of their impact on test ordering patterns. Through analysis of the
adoption patterns of multiple cancer biomarkers, a pharmacogenetic model emerges
which appears to be applicable in five of the six biomarkers. This model may be
useful in predicting adoption patterns of new markers and in providing guidance
to drug and test developers introducing personalized medicine applications.
PMID- 29788644
TI - Is it prime time for personalized medicine in cancer treatment?
AB - Over the last decade, with rapidly advancing biotechnology, the understanding of
cancer has changed. The genomic era has resulted in an explosion of targeted
therapies and prognostic and predictive biomarkers. This article aims to
illustrate the advances made in the practice of oncology as well as the potential
and limitations of personalized medicine in cancer.
PMID- 29788645
TI - Biomarkers and their consequences for the biomedical profession: a social science
perspective.
AB - Although biomarkers are not altogether new, they are gaining a new life in our
postgenomic present. This article takes this as a good reason to explore
biomarkers in depth and to speculate about the consequences that biomarkers might
engender in clinical practices. First, the article ventures into an endeavor of
ordering the dynamic field of biomarkers, suggesting a possible classification of
biomarkers, and then argues that we are currently witnessing a 'biomarkerization'
of health and disease - defined as an ongoing future-oriented process that seeks
to solve biomedical as well as public health problems through investments into
biomarker research at the present time. Subsequently, this article reflects on
some possible consequences of this phenomenon. It argues that while the movement
of candidate biomarkers into the clinic is arduous, biomarkers might develop a
life of their own once they arrive in the clinic. This article outlines the
direction of two such possible consequences. It suggests that biomarkers might be
involved in a change of the actors that order and categorize diseases, as well as
trigger transformations in our understanding of what counts as disease in the
first place. Hence, this article seeks to shed light on the paradox that while
biomarkers are designed to add more evidence into clinical practice, they might
actually increase uncertainty and ambiguity.
PMID- 29788646
TI - Development of novel drugs for ocular diseases: possibilities for individualized
therapy.
AB - In clinical ophthalmology, new and old drug regimens are available for the
treatment of major eye diseases, including potentially blinding conditions, such
as glaucoma, and various macular diseases. In glaucoma, therapeutic treatment
mainly deals with control of intraocular pressure at low levels but the clinical
courses of patients can be very variable. Very often, specific drug combinations
and dosages have to be formulated for individual glaucoma patients. In
neovascular age-related macular degeneration, choroidal neovascularization can
lead to progressive and irreversible visual impairment if not treated early. In
recent years, clinical trials using photodynamic therapy with verteporfin and
various anti-VEGF antibodies, such as ranibizumab and bevacizumab, have enhanced
the treatment outcomes of neovascular age-related macular degeneration. In
diabetic macular edema, intravitreal triamcinolone acetonide and anti-VEGF
therapy are effective in some patients. Again, responses to treatment are not
uniform in all macular patients. Traditional herbal medicine has long been known
to play a role in the practice of personalized formulations in Asia. Potential
preventive and therapeutic effects have been claimed in individual eye patients.
Meanwhile, advanced technologies in molecular biology have led to identification
of genes associated with many eye diseases and development of the concept of
individual medicine, in which the genotype of a person can be used as a basis for
disease prediction or prophylactic treatments. Moreover, pharmacogenomic studies
have demonstrated the association of various genotypes or haplotypes with
responses to drug therapies, providing hope for tailormade personalized
treatments. The combination of genotypic information with clinical features for
the prescription of treatment modes in eye diseases is under vigorous research.
PMID- 29788647
TI - Role of proteomics in personalized medicine.
PMID- 29788648
TI - Relocating health governance: personalized medicine in times of 'global genes'.
AB - To date, governance questions related to personalized medicine - the
consideration of the genetic and genomic determinants of varying drug response in
patients - have been primarily addressed in terms of ethical implications.
However, an analysis of the governance of personalized medicine should reach
further. At present, governance issues arise in the context of an ongoing
dispersion of national regulatory power. Due to the growing complexity of
society, it has become impossible to govern society from a single center or by
means of a single privileged governance mechanism. Governing increasingly
includes the active participation of nongovernmental and individual actors by
means of creating informal norms of conduct. Personalized medicine, due to its
core concepts, fosters the emergence of new alliances (private and public,
regional and global, for-profit and for-health) and thereby bears the promise to
bridge some traditional dichotomies. Much rather than the quest for
individualized drugs, it is this characteristic of personalized medicine that
attracts so much attention from outside the medical and life science field.
PMID- 29788649
TI - Reimbursement and coverage challenges associated with bringing emerging molecular
diagnostics into the personalized medicine paradigm.
AB - A simple search of the US FDA and the Centers for Medicare and Medicaid Services
(CMS) websites demonstrates that the science of personalized medicine is far more
advanced than current coverage and reimbursement policies. When one searches the
websites of the FDA and CMS for pharmagenomics, personalized medicine and genetic
testing, over 1800 hits come up on the US FDA website, and 52 hits come up on the
CMS website. Although this is no surprise to those involved in the field of
personalized medicine, it does illustrate the uphill battle that we face in
educating policy makers on the need to appropriately cover and reimburse
molecular diagnostics for use in a personalized medicine paradigm. A fully
integrated personalized medicine paradigm will be driven by the ability to
eliminate the reimbursement and coverage barriers that impact a patient's ability
to access technological innovations in molecular diagnostic testing. For the
purpose of this discussion, molecular diagnostic tests will be defined as a
clinical diagnostic test performed on DNA, RNA or protein.
PMID- 29788650
TI - Human Variome Project to identify all human gene mutations launched.
PMID- 29788651
TI - Lay perspective on pharmacogenomics: a literature review.
AB - The lay attitude to pharmacogenomics is crucial to successful implementation. The
intention of the present literature review is to delineate the considerations,
expectations, fears, and so on, described in the literature regarding
pharmacogenomics seen from a lay perspective. The literature review was conducted
in Medline and EMBASE in March 2005. The combined search in databases, reference
lists, and so on, produced a total of 30 relevant articles, of which seven are
included in the literature review. Other literature reviews on this issue are
included in the discussion. This review documents that, at this time, very little
information is available regarding the public's attitude to pharmacogenetic
testing and the use of pharmacogenomics in therapy. Thus, studies of lay
attitudes to and expectations of pharmacogenomics are highly relevant. Research
is increasingly being conducted in the area, and studies have shown that
education, income, age, ethnicity and nationality seems to influence lay
attitudes. Based on this, it is concluded that knowledge of the relation between
national /cross-national cultural and subcultural settings, and attitudes toward
pharmacogenomics seem to be important to a successful pharmacogenomics
implementation in healthcare.
PMID- 29788652
TI - Genomics-based labeling and attribution: a case for integrating social sciences
into personalized medicine research.
AB - As the field of genomics uncovers the etiology and pathophysiological mechanisms
of disease, we will gain a greater understanding of the causes of disease,
leading to preventive interventions, early diagnoses and new and improved
treatments. Although understanding the specific roles of environment and genetics
in disease onset and development will most likely improve health outcomes, the
impact of this information for the individual and public remains to be seen.
Understanding what factors influence individual and public perceptions and
behavioral consequences related to genome-based disease labels and attributions
will be critical to a successful transition for personalized medicine. To better
understand the impact of genomic-based disease labeling and attribution, social
scientists need to be involved in clinical genomics studies to assess the
consequences of the use of and response to new personalized diagnoses and
treatments.
PMID- 29788653
TI - Quality of consent forms in pharmacogenetic studies: a survey of research ethics
committees in Spain.
AB - OBJECTIVES: To know the quality of consent forms in pharmacogenetic studies
submitted for evaluation to Research Ethics Committees (RECs). METHODS: A mail
survey to RECs in Spain was conducted; this survey contained questions on the
frequency with which different items were included in the consent forms of
pharmacogenetic studies reviewed by each REC. RESULTS: A total of 40 out of 69
RECs that answered the questionnaire had reviewed pharmacogenetic studies. RECs
considered that information was always or almost always comprehensible in
approximately 50% of the forms received. Information regarding reimbursement of
patients and commercial interests of the research was scarcely incorporated in
the consent forms. In general, RECs estimated that aspects related to the use of
samples and genetic information were included in the consent forms with a
frequency lower than 50%. The quality of consent forms was considered to be lower
by those RECs which had reviewed a higher number of pharmacogenetic studies.
CONCLUSION: Significant deficiencies have been found in the consent forms of
pharmacogenetic studies reviewed by Spanish RECs.
PMID- 29788654
TI - Overview of genetic testing in cancer.
AB - Until recently, hereditary tendencies toward multiple diseases, including cancer,
were mainly determined by examining the family history of the affected
individuals. However, the Human Genome Project has ushered in a genomics
revolution and boosted the diagnostic testing industry with the emergence of
genetic tests that may be used for the diagnosis and management of both inherited
and noninherited malignancies. Genetic tests are already available to identify
patients carrying cancer susceptibility genes and predict drug response, thus
enabling physicians to carefully tailor a more personalized drug regimen that is
more likely to result in a favorable outcome for the patient. However, before
gene-based tests become widely available, thought leaders and society in general
need to understand and discuss the major technical, ethical and economic
ramifications of genetic testing. These issues are currently being reviewed by
government, academia, regulatory agencies and professional societies using
evidence-based principles.
PMID- 29788655
TI - Molecular and cellular approaches to patient management in oncology.
AB - Many of the techniques that are employed today by pathologists and oncologists to
generate a diagnosis, prognosis or prediction of response have not changed over
several decades. However, new molecular and cellular technologies will enable
more precise and objective decision-making. This review will detail some of the
more recent developments in these areas from Veridex, LLC, academic laboratories
and other commercial entities. The discussion of molecular technologies will
focus on breast sentinel lymph node biopsy, prostate biopsy, carcinoma of unknown
primary, prediction of recurrence in lymph node negative colon and breast cancers
and pharmacogenomics. The discussion of cellular technologies will focus on the
use of circulating cells to serve in both prognostic and predictive capacities
and on the use of molecular methods to interrogate the DNA and RNA isolated from
these circulating cells.
PMID- 29788656
TI - Matching patients with drugs: triumphs and challenges.
AB - The foundations of personalized medicine are built on the premise that laboratory
tests can accurately predict the response of individual patients to a particular
treatment. Herceptin(r) and the HercepTest(r), which is used to select patients
with human epidermal growth factor receptor (HER2)-positive breast cancer, are
rightfully the jewels in the crown of this new treatment paradigm. Although over
8 years have past since their joint approval, there remain ongoing concerns about
the quality of HER2 testing in community pathology laboratories. The development
of predictive epidermal growth factor receptor (EGFR) tests for treatment with
Tarceva(r), Iressa(r) and Erbitux(r) has proven more difficult due to the complex
biology of the target. It has also exposed some of the business challenges of co
developing both a drug and a companion diagnostic test. This article describes
Genentech's experience of developing predictive tests for HER2 and EGFR and
highlights the need for close collaboration between all parties involved in
incorporating predictive diagnostic tests into clinical trials and clinical
practice.
PMID- 29788657
TI - Individualizing analgesic prescription Part I: pharmacogenetics of opioid
analgesics.
AB - The current use of analgesics is based on the empiric administration of a given
drug with clinical monitoring for efficacy and toxicity. However, individual
responses to drugs are influenced by a combination of pharmacokinetic and
pharmacodynamic processes, and each of these components, in addition to pain
perception and processing, seem to be regulated by genetic factors. Whereas
polymorphic drug-metabolizing enzymes and drug transporters may affect the
pharmacokinetics of drugs, polymorphic drug targets and disease-related pathways
may influence the pharmacodynamic action of drugs. After usual dose, drug
toxicity, as well as inefficacy, can be observed depending on the polymorphism,
the analgesic considered and the presence or absence of active metabolites. Thus,
cytochrome P450 (CYP)2D6 polymorphism influences codeine and tramadol analgesic
effects, CYP2C9 has an impact on the disposition of some nonsteroidal anti
inflammatory drugs, and opioid receptor polymorphism (118A>G) may reduce morphine
potency. Moreover, drug interaction mimics genetic deficiency and contributes to
the variability in response to analgesics. This two-part review summarizes the
available data on the pharmacokinetic-pharmacodynamic consequences of known
polymorphisms of drug-metabolizing enzymes (CYP and uridine diphosphate
glucuronosyltransferase), drug transporters (multidrug resistance proteins,
multidrug resistance-associated proteins, organic anion-transporting
polypeptides, and serotonin transporters), relevant drug targets (such as u
opioid receptor, serotonin receptor and cyclooxygenases) and other nonopioid
biological systems, on currently prescribed central and peripheral analgesics.
PMID- 29788658
TI - Individualizing analgesic prescription. Part II: pharmacogenetics of anti
inflammatory analgesics and co-analgesics.
PMID- 29788659
TI - Biobanking and biorepositories: looking to the future.
PMID- 29788660
TI - Personalized medicine: using effective partnering for managing the risk of legal
liability.
AB - Beyond the economic cost-benefit analysis of incorporating pharmacogenomics into
the process of drug development, it is time for the players in the industry to
begin considering the long-term potential legal liabilities that may arise, and
to undertake a legal analysis to identify and avoid those risks to the greatest
possible extent. The current economic model being considered for pharmacogenomics
technologies fails to take this legal risk into consideration, and therefore does
not provide a complete picture of the incentives and disincentives of entering
into this space. However, the more evenly weighted balance of all of the economic
interests - costs, benefits and risks - can be tipped into the positive through
effective partnering relationships between the pharmaceutical and diagnostic
industries, and the diagnostic industry and pharmacy benefit managers. Such
relationships will provide companies not only with needed economic incentives,
but also with added protection from the potential future legal liabilities.
PMID- 29788661
TI - Gene patents and personalized medicine.
PMID- 29788663
TI - Eighth Asian Bioethics Conference.
PMID- 29788662
TI - Searching susceptibility genes for antipsychotic-induced weight gain: is the 5
HT2C receptor gene a promising candidate?
AB - Evaluation of: de Luca V, Mueller DJ, de Bartolomeis A, Kennedy JL: Association
of the HTR2C gene and antipsychotic induced weight gain: a meta-analysis. Int. J.
Neuropsychopharmacol. (2007) (Epub ahead print) [1] . Weight gain is a major
adverse effect of the second-generation antipsychotics that are widely prescribed
in psychiatric practice. Given its variability among patients and indirect
evidence of its heritability, antipsychotic-induced weight gain has become a
major target of pharmacogenetic studies. The protective effect of the T allele of
the 5-HT2C receptor gene -759C/T promoter polymorphism on antipsychotic-induced
weight gain has been repeatedly reported in independent studies. However,
negative results have also been obtained, especially in subjects treated with
clozapine. Recently, de Luca and colleagues investigated the association between
the 5-HT2C receptor gene -759C/T promoter polymorphism and antipsychotic-induced
weight gain using a meta-analytical approach [1] . The pooled data of eight
studies (total 588 subjects) showed a trend of association between the -759T
allele and lower weight gain, with evidence of significant between-study
heterogeneity. Publication bias and the influence of confounding variables, such
as age, gender, ethnicity, clozapine-treated patient proportions, durations of
observation and the effect of weight gain cutoff criteria on effect size, were
also evaluated in their meta-analysis. To clarify the contribution of the 5-HT2C
receptor gene to a complex trait such as antipsychotic-induced weight gain, a
greater number of independent studies with larger sample size that carefully
consider various confounding factors and gene-environment interactions are
required.
PMID- 29788664
TI - New insights into the genetics of asthma.
PMID- 29788666
TI - Personalizing our diet to improve our health: the potential impact of
nutrigenomics.
PMID- 29788667
TI - Today's research, tomorrow's health: focus on pharmacogenomics.
PMID- 29788665
TI - Valuing personalized medicine: willingness to pay for genetic testing for
colorectal cancer risk.
AB - OBJECTIVES: Personalized medicine using genetic information is increasing in
cancer screening and treatment; however, little is known about perceived value of
genetic testing for cancer risk in a general population. The objectives of this
report are: to identify a general population's willingness to pay for genetic
testing that provids colorectal cancer risk information; examine whether
screening intentions (likelihood of testing and test choice) change based on
risk; and identify providers' beliefs about patients' perceived value. METHODS: A
survey of US general (n = 1087) and physician (n = 100) populations using the
willingness-to-pay method was carried out. Physicians responded from the
perspective of a typical patient. chi2 tests, t-tests and ordered logistic
regression were used to examine factors associated with willingness to pay and
intentions to be screened. RESULTS: General population respondents' average
willingness to pay for a genetic test for colorectal cancer risk was US$150.
Higher willingness to pay was significantly associated with being male, having
higher income and education, having private health insurance and reporting a
greater likelihood of getting colorectal cancer screening when due. Physicians'
beliefs about patients were different than general population responses:
physicians believed patients would be willing to pay more (US$212; p < 0.001),
fewer believed patients would not pay (1 vs 17%; p<0.001), and if a genetic test
indicated higher than average risk, physicians believed patients would be more
likely to get screened (65 vs 46%; p < 0.001) and would choose alternative
screening tests (62 vs 22%; p < 0.001). CONCLUSION: Respondents valued genetic
tests to inform screening decisions and indicated that tests may influence
screening choices. Additional studies are needed to examine the implications of
physicians' beliefs about patients' choices for screening.
PMID- 29788668
TI - Collagen gel droplet-embedded culture drug-sensitivity test and potential for
personalizing cancer treatment.
AB - Sensitivity testing for general anticancer agents involves culturing cancer
cells, exposure to an anticancer agent, and assessing the degree of growth
inhibition. One such method is the collagen gel droplet-embedded culture drug
sensitivity test (CD-DST). Clinical results confirm a close correlation of a
better than 75% accuracy between CD-DST results and responses to anticancer
agents administered in the clinical setting. Although there have been few
randomized, controlled studies of the CD-DST method, the general observation is
that cancer patients survive longer if their disease responds to an anticancer
agent than if it is ineffective. Therefore, it can be extrapolated that the high
diagnostic accuracy of CD-DST is indirect evidence that this method can be used
to select the group for whom chemotherapy will be effective, with a resultant
prolongation of their survival time, and the group for whom chemotherapy will be
ineffective, with no increased survival time.
PMID- 29788669
TI - Endocannabinoid system and pathophysiology of adipogenesis: current management of
obesity.
AB - The endocannabinoids are now known as novel and important regulators of energy
metabolism and homeostasis. The endocrine functions of white adipose are chiefly
involved in the control of whole-body metabolism, insulin sensitivity and food
intake. Adipocytes produce hormones, such as leptin and adiponectin, that can
improve insulin resistance or peptides, such as TNF-alpha, that elicit insulin
resistance. Adipocytes express specific receptors, such as peroxisome
proliferator-activated receptor (PPAR)-gamma, which serve as adipocyte targets
for insulin sensitizers such as thiazolidinediones. Recently, endocannabinoids
and related compounds were identified in human fat cells. The endocannabinoid
system consists primarily of two receptors, cannabinoid (CB)1 and CB2, their
endogenous ligands termed endocannabinoids and the enzymes responsible for ligand
biosynthesis and degradation. The endocannabinoids 2-arachidonylglycerol and
anandamide or N-arachidonoylethanolamine increase food intake and promote weight
gain in animals. Rimonabant, a selective CB1 blocker, reduces food intake and
body weight in animals and humans.
PMID- 29788671
TI - Predictive and prognostic markers in colorectal cancer.
AB - Despite recent advances in the treatment of both early and advanced colorectal
cancer, it remains the second leading cause of cancer deaths in the western
world. There is, therefore, a pressing need to optimize the use of the currently
available systemic therapies and to identify active new agents for the treatment
of this disease. Pharmacogenomic studies have shown that genetically determined
variability in key cellular functions can influence toxicity, response to
treatment and survival. Numerous examples of these single 'classical' markers
have been identified for a wide range of agents and each has been studied with
regard to its effect on response. However, in any individual or tumor it is
likely that a number of complex, interacting factors are involved in determining
the likelihood of benefit with a given therapeutic agent. Microarray-based gene
expression profiling has allowed the complex range of molecular changes occurring
in the cell and surrounding stroma to be assessed in relation to response and
prognosis. Predictive gene sets have been developed and, along with other
markers, are being assessed in prospective clinical trials. Treatment may soon be
individualized by using this technology to predict which patients will benefit
from a particular systemic therapy or which are likely to develop recurrence.
PMID- 29788670
TI - Personalized medicine for eradication of Helicobacter pylori.
AB - Regimens for eradication of Helicobacter pylori consist of a proton-pump
inhibitor (PPI) and one or two antimicrobial agents, such as amoxicillin,
clarithromycin or metronidazole. As the pharmacokinetics and pharmacodynamics of
PPIs are affected by polymorphism of CYP2C19, doses and dosing schemes of a PPI
should be optimized based on genotype status of each patient in order to yield
higher eradication rates. PPIs affect the pharmacokinetics of other substrates of
CYP2C19, such as warfarin and diazepam. Acid inhibition induced by a PPI also
affects the pharmacokinetics of some drugs, such as itraconazole. Clarithromycin,
one of the most frequently used antimicrobial agents in eradication of H. pylori,
inhibits activity of CYP3A4, meaning that the pharmacokinetics of substrates of
CYP3A4 are affected by clarithromycin. Therefore, clinicians must pay attention
to the other drugs dosed to each of their patients. Therefore, the eradication
regimen for H. pylori infection should be designed with the CYP2C19 genotype
status, bacterial susceptibility to antimicrobial agents, and other drugs being
taken by each patient having been taken into consideration.
PMID- 29788672
TI - Monogenic disorders of the pancreatic beta-cell: personalizing treatment for rare
forms of diabetes and hypoglycemia.
AB - Over the past 10-20 years, our understanding of the genetic etiology of monogenic
disorders of the pancreatic beta-cell has greatly improved. This has enabled
clinicians to provide patients with more accurate information regarding prognosis
and inheritance and has influenced treatment. Maturity-onset diabetes of the
young and neonatal diabetes are two such examples. Patients with maturity-onset
diabetes of the young due to glucokinase mutations can usually be managed by diet
alone, while those affected by HNF-1alpha and HNF-4alpha mutations respond well
to low doses of sulfonylureas. The identification of mutations in the ATP
dependent potassium channel genes KCNJ11 and ABCC8 as the most common cause of
permanent neonatal diabetes has improved treatment regimes for affected children.
In addition to enabling patients to stop insulin injections, their glycemic
control has also improved. These advances show the importance of unravelling the
genetics of a disease to achieve the best individualized treatment for the
patients affected.
PMID- 29788673
TI - Pharmacogenetics of risperidone response and induced side effects.
AB - Risperidone is an atypical antipsychotic that has been effectively used to treat
several psychiatric diseases. Atypical antipsychotics present some advantages
over conventional antipsychotics, primarily because they offer effective
treatment alternatives that are relatively free of extrapyramidal symptoms.
However, as with all antipsychotics, there are wide individual differences in
response to risperidone, both regarding therapeutic effects and adverse effects,
imposing some limitations with respect to the therapeutic use of the drug.
Genetic factors are thought to play an important role in determining the
variability to drug response. A growing number of studies are investigating how
genetic polymorphisms of enzymes involved in drug metabolism or of receptors
targeted by antipsychotic agents influence drug treatment of several
neuropsychiatric diseases. In this article we will review the genetic variability
in both the pharmacokinetics of risperidone action and in pharmacodynamic
structures mediating risperidone effects, as well as the pharmacogenetic studies
performed for these genes.
PMID- 29788674
TI - Genetic-based therapies to select nonpathogenic variants of HIV-1.
AB - Lentiviral-based genetic therapies offer a valuable addition to the current anti
HIV arsenal and allow for a rational directed approach to evolve HIV-1 to a less
pathogenic state. Many lentiviral vector systems have been described that can be
either replication incompetent, self-inactivating or conditionally replicating.
Importantly, lentiviral vectors can be engineered to deliver anti-HIV-1 genes
such as antisense RNAs, aptamers and siRNAs to those cells involved in HIV-1
infection: T-cells, hematopoietic stem cells and dendritic cells. Furthermore,
the use of HIV-2-based vectors that can be mobilized by wild-type HIV-1 in vivo
and spread to those cells targeted by the virus, as well as compete with HIV-1
viral RNA for packaging and access to viral proteins such as Tat and Rev required
for viral replication, are of special interest. This review will focus on the
rational design of therapeutic lentiviral vectors that can be used in combination
with current antiretroviral therapies to essentially direct the evolution of HIV
1 to a less pathogenic state of existence.
PMID- 29788677
TI - Utility of topical epinephrine for determining the resection range of eyelid
sebaceous carcinoma with dermatitis.
PMID- 29788676
TI - Trends in statistical methods in articles published in Archives of Plastic
Surgery between 2012 and 2017.
AB - This review article presents an assessment of trends in statistical methods and
an evaluation of their appropriateness in articles published in the Archives of
Plastic Surgery (APS) from 2012 to 2017. We reviewed 388 original articles
published in APS between 2012 and 2017. We categorized the articles that used
statistical methods according to the type of statistical method, the number of
statistical methods, and the type of statistical software used. We checked
whether there were errors in the description of statistical methods and results.
A total of 230 articles (59.3%) published in APS between 2012 and 2017 used one
or more statistical method. Within these articles, there were 261 applications of
statistical methods with continuous or ordinal outcomes, and 139 applications of
statistical methods with categorical outcome. The Pearson chi-square test (17.4%)
and the Mann-Whitney U test (14.4%) were the most frequently used methods. Errors
in describing statistical methods and results were found in 133 of the 230
articles (57.8%). Inadequate description of P-values was the most common error
(39.1%). Among the 230 articles that used statistical methods, 71.7% provided
details about the statistical software programs used for the analyses. SPSS was
predominantly used in the articles that presented statistical analyses. We found
that the use of statistical methods in APS has increased over the last 6 years.
It seems that researchers have been paying more attention to the proper use of
statistics in recent years. It is expected that these positive trends will
continue in APS.
PMID- 29788675
TI - Anti-Tumor Necrosis Factor Therapy in Intestinal Behcet's Disease.
AB - Intestinal Behcet's disease is a rare, immune-mediated chronic intestinal
inflammatory disease; therefore, clinical trials to optimize the management and
treatment of patients are scarce. Moreover, intestinal Behcet's disease is
difficult to treat and often requires surgery because of the failure of
conventional medical treatment. Administration of anti-tumor necrosis factor
alpha, a potential therapeutic strategy, is currently under active clinical
investigation, and evidence of its effectiveness for both intestinal Behcet's
disease and inflammatory bowel diseases has been accumulating. Here, we review
updated data on current experiences and outcomes after the administration of anti
tumor necrosis factor-alpha for the treatment of intestinal Behcet's disease. In
addition to infliximab and adalimumab, which are the most commonly used agents,
we describe agents such as golimumab, etanercept, and certolizumab pegol, which
have recently been shown to be effective in refractory intestinal Behcet's
disease. This review also discusses safety issues associated with anti-tumor
necrosis factor-alpha, including vulnerability to infections and malignancy.
PMID- 29788678
TI - Treatment of refractory groin lymphocele by surrounding supermicrosurgical
lymphaticovenous anastomosis.
PMID- 29788679
TI - Delayed bipedicled nasolabial flap in facial reconstruction.
AB - BACKGROUND: The nasolabial flap is ideal for reconstruction of the nasal alar
subunit due to its proximity, color and contour match, and well-placed donor
scar. When raised as a random-pattern flap, there is a risk of vascular
compromise to the tip with increased flap length and aggressive flap thinning.
Surgical delay can greatly improve the chances of tip survival, allowing the
harvest of longer flaps with greater reach. METHODS: We describe our technique of
lengthening the nasolabial flap through multiple delay procedures. A bipedicled
flap was first raised and then transferred as a unipedicled flap with a 6:1
length-to-width ratio. During the delay process, the flap tip was thinned to the
subdermal layer. RESULTS: In our case series of seven patients, defects as far as
the medial canthal area and contralateral ala were reconstructed successfully
with no incidence of tip necrosis or flap loss. The resultant flaps were thin
enough to be folded over for the reconstruction of alar rim defects. CONCLUSIONS:
We highlight the success of our surgical technique in creating thin and robust
nasolabial flaps for the reconstruction of full-thickness defects around the
nose.
PMID- 29788680
TI - Two sequential free flaps for coverage of a total knee implant.
AB - Knee revision arthroplasty following peri-prosthetic joint infections is a
formidable challenge. Patients are at a high risk of recurrent infection, and the
soft tissue over the revised implant is often of questionable quality. Flap
reconstruction has improved the salvage rates of infected arthroplasties, and
should be considered in all cases of revision arthroplasty. We present a
challenging case requiring staged reconstruction with two free latissimus dorsi
flaps after the initial use of a medial gastrocnemius flap.
PMID- 29788681
TI - Use of the facial dismasking flap approach for surgical treatment of a multifocal
craniofacial abscess.
AB - The decision of which surgical approach to use for the treatment of a multifocal
craniofacial abscess is still a controversial matter. A failure to control
disease progress in the craniofacial region can potentially put the patient's
life at risk. Therefore, understanding the various ways to approach the
craniofacial region helps surgeons to obtain satisfactory results in such cases.
In this report, we describe a patient who visited the emergency department with a
large swelling in his right cheek. A blood test and computed tomography revealed
odontogenic maxillary sinusitis. The patient developed sepsis due to a
progressive multifocal abscess. An abscess was seen in the temporal muscle,
infratemporal fossa, and interorbital region. To control this multifocal abscess,
we used the facial dismasking flap (FDF) approach. After debridement using the
FDF approach, we succeeded in obtaining sufficient drainage of the abscess, and
the patient recovered from sepsis. The advantages of the FDF approach are that it
provides a wide surgical field, extending from the parietal region to the mid
facial region, and that it leaves no aesthetically displeasing scars on the face.
The FDF approach may be one of the best options to approach multifocal abscesses
in the craniofacial region.
PMID- 29788682
TI - Immediate breast reconstruction following nipple-sparing mastectomy in an Asian
population: Aesthetic outcomes and mitigating nipple-areolar complex necrosis.
AB - BACKGROUND: Nipple-sparing mastectomies (NSMs) are increasingly performed to
obtain the best aesthetic and psychological outcomes in breast cancer treatment.
However, merely preserving the nipple-areolar complex (NAC) does not guarantee a
good outcome. Darkly pigmented NACs and a tendency for poor scarring outcomes are
particular challenges when treating Asian patients. Herein, we review the
reconstructive outcomes following NSM at Singapore General Hospital. METHODS: All
breasts reconstructed following NSM over an 11-year period from 2005 to 2015 were
reviewed. Information was collected from the patients' records on mastectomy
indications, operative details, and complications. Patient satisfaction, breast
sensation, and aesthetic outcomes were evaluated in 15 patients. Sensation was
quantified using the Semmes-Weinstein monofilament test. RESULTS: A total of 142
NSMs were performed in 133 patients for breast cancer (n=122, 85.9%) or risk
reduction (n=20, 14.1%). Of the procedures, 114 (80.2%) were autologous
reconstructions, while 27 (19.0%) were reconstructions with implants.
Complications occurred in 28 breasts (19.7%), with the most common complication
being NAC necrosis, which occurred in 17 breasts (12.0%). Four breasts (2.8%) had
total NAC necrosis. The overall mean patient satisfaction score was 3.0 (good).
The sensation scores were significantly diminished in the skin envelope, areola,
and nipple of breasts that had undergone NSM compared to non-operated breasts
(P<0.05). Half of the subset of 15 patients in whom aesthetic outcomes were
evaluated had reduced nipple projection. CONCLUSIONS: Immediate reconstruction
after NSM was performed with a low complication rate in this series,
predominantly through autologous reconstruction. Patients should be informed of
potential drawbacks, including NAC necrosis, reduced nipple projection, and
diminished sensation.
PMID- 29788683
TI - Definitions of groove and hollowness of the infraorbital region and clinical
treatment using soft-tissue filler.
AB - Clarification is needed regarding the definitions and classification of groove
and hollowness of the infraorbital region depending on the cause, anatomical
characteristics, and appearance. Grooves in the infraorbital region can be
classified as nasojugal grooves (or folds), tear trough deformities, and
palpebromalar grooves; these can be differentiated based on anatomical
characteristics. They are caused by the herniation of intraorbital fat, atrophy
of the skin and subcutaneous fat, contraction of the orbital part of the
orbicularis oculi muscle or squinting, and malar bone resorption. Safe and
successful treatment requires an optimal choice of filler and treatment method.
The choice between a cannula and needle depends on various factors; a needle is
better for injections into a subdermal area in a relatively safe plane, while a
cannula is recommended for avoiding vascular compromise when injecting filler
into a deep fat layer and releasing fibrotic ligamentous structures. The
injection of a soft-tissue filler into the subcutaneous fat tissue is recommended
for treating mild indentations around the orbital rim and nasojugal region.
Reducing the tethering effect of ligamentous structures by undermining using a
cannula prior to the filler injection is recommended for treating relatively deep
and fine indentations. The treatment of mild prolapse of the intraorbital septal
fat or broad flattening of the infraorbital region can be improved by restoring
the volume deficiency using a relatively firm filler.
PMID- 29788684
TI - Evaluation of the donor site after the median forehead flap.
AB - BACKGROUND: Forehead flaps are useful for facial reconstruction. Studies of these
flaps have mostly focused on the results of the reconstruction. However, due to
the scarring and changes on the forehead caused by the median forehead flap
(MFF), surgeons may be reluctant to perform this flap. Research into the donor
site is needed for practical purposes. METHODS: We examined 42 patients who
underwent an MFF at Pusan National University Hospital from 1996 to 2016. Based
on a retrospective chart review, we examined the occurrence of complications. We
also evaluated scars on the forehead using the Vancouver Scar Scale (VSS) and
assessed changes in the eyebrow position of 22 patients. RESULTS: No
complications occurred in the 42 patients. The mean VSS score of the 22 patients
was 2.8+/-0.79. The ratio of the height of the eyebrow on each side to the
distance between the medial canthi increased postoperatively, meaning that both
the left and right brows were elevated slightly (P=0.026 and P=0.014). However,
the symmetry between the left and right sides did not change (P=0.979). The ratio
of the interbrow distance to the distance between the medial canthi decreased
slightly, meaning that the interbrow distance narrowed mildly (P<0.001).
Moreover, there were no noticeable changes in the brow position as seen in a
photo overlay. CONCLUSIONS: There were no notable complications in the forehead.
Forehead scarring was acceptable. No change in brow symmetry was observed via
photographic measurements and a photo overlay. Therefore, we propose that the MFF
is a useful choice for minimizing scarring or deformation of the donor site.
PMID- 29788685
TI - The lateral intercostal artery perforator as an alternative donor vessel for free
vascularized lymph node transplantation.
AB - Chronic lymphedema is caused by an impairment of the lymphatic system due to
primary or secondary causes. Vascularized lymph node transplantation (VLNT) is
currently the most promising and frequently used technique besides
lymphaticovenous anastomosis. However, the vessel anatomy in the lateral thoracic
region is sometimes quite variable. Based on our experiences with vascular
anatomical inconstancy in the lateral thoracic region, we planned a lateral
intercostal artery perforator flap for VLNT in a female patient with chronic
stage II lymphedema of both legs after cervical cancer treatment. After surgery,
the patient reported significant improvement in limb volume and the accompanying
symptoms. The limb circumference was reduced by an average of 19.2% at 6 months
postoperatively. Despite having a short pedicle and small vessel caliber, the
lateral intercostal artery perforator flap can safely be used for VLNT in
lymphedema patients with anatomical variants.
PMID- 29788686
TI - Augmented reality and dynamic infrared thermography for perforator mapping in the
anterolateral thigh.
AB - Dynamic infrared thermography (DIRT) has been used for the preoperative mapping
of cutaneous perforators. This technique has shown a positive correlation with
intraoperative findings. Our aim was to evaluate the accuracy of perforator
mapping with DIRT and augmented reality using a portable projector. For this
purpose, three volunteers had both of their anterolateral thighs assessed for the
presence and location of cutaneous perforators using DIRT. The obtained image of
these "hotspots" was projected back onto the thigh and the presence of Doppler
signals within a 10-cm diameter from the midpoint between the lateral patella and
the anterior superior iliac spine was assessed using a handheld Doppler device.
Hotspots were identified in all six anterolateral thighs and were successfully
projected onto the skin. The median number of perforators identified within the
area of interest was 5 (range, 3-8) and the median time needed to identify them
was 3.5 minutes (range, 3.3-4.0 minutes). Every hotspot was correlated to a
Doppler sound signal. In conclusion, augmented reality can be a reliable method
for transferring the location of perforators identified by DIRT onto the thigh,
facilitating its assessment and yielding a reliable map of potential perforators
for flap raising.
PMID- 29788687
TI - Trends in breast reconstruction: Implications for the National Health Insurance
Service.
AB - BACKGROUND: Breast reconstruction has become more common as mastectomy has become
more frequent. In Korea, the National Health Insurance Service (NHIS) began
covering breast reconstruction in April 2015. This study aimed to investigate
trends in mastectomy and breast reconstruction over the past 10 years and to
evaluate the impact of NHIS coverage on breast reconstruction. METHODS:
Nationwide data regarding mastectomy and breast reconstruction were collected
from the Korean Breast Cancer Society registry database. Multiple variables were
analyzed in the records of patients who underwent breast reconstruction from
January 2005 to March 2017 at a single institution. RESULTS: At Seoul National
University Hospital, the total number of reconstruction cases increased 13-fold
from 2005 to 2016. The proportion of immediate breast reconstruction (IBR) cases
out of all cases of total mastectomy increased from 4% in 2005 to 52.0% in 2016.
The proportion of delayed breast reconstruction (DBR) cases out of all cases of
breast reconstruction and the overall number of DBR cases increased from 8.8% (20
cases) in 2012 to 18.3% (76 cases) in 2016. After NHIS coverage was initiated,
the proportions of IBR and DBR showed statistically significant increases
(P<0.05). Among the IBR cases, the percentage of prosthesis-based reconstructions
increased significantly (P<0.05), but this trend was not found with DBR. Total
mastectomy became significantly more common after the expansion of NHIS coverage
(P<0.05). CONCLUSIONS: Over the last decade, there has been an increase in
mastectomy and breast reconstruction, and the pace of increase accelerated after
the expansion of NHIS coverage. It is expected that breast reconstruction will be
a routine option for patients with breast cancer under the NHIS.
PMID- 29788688
TI - Effectiveness of double tie-over dressing compared with bolster dressing.
AB - BACKGROUND: Skin grafting is a commonly performed operation in plastic and
reconstructive surgery. The tie-over dressing is an effective technique to secure
the grafted skin by delivering persistent downward pressure. However, if an
additional dressing is required due to incomplete graft healing, the process of
re-implementing the tie-over dressing may be frustrating for both patients and
surgeons. Therefore, we introduce the double tie-over dressing, which readily
allows for an additional tie-over dressing after the first dressing, and we
present a comparison of its effectiveness with that of the simpler bolster
dressing. METHODS: Of 128 patients with a skin defect, 69 received a double tie
over dressing and 59 patients received a simple bolster dressing. Using the
independent t-test, the mean healing time, which was defined as the mean time it
took for the wound to heal completely so that no additional dressing was required
and it was washable with tap water, was compared between the 2 groups in both the
head and neck region and in other areas. RESULTS: The mean healing time for the
head and neck region in the double tie-over dressing group was 9.19+/-1.78 days,
while it was 11.05+/-3.85 days in the bolster dressing group. The comparison of
the 2 groups by the independent t-test revealed a P-value of 0.003 for the mean
healing time. CONCLUSIONS: In the head and neck area, the double tie-over
dressing required less time to heal than the simple bolster dressing.
PMID- 29788689
TI - Weight analysis of mastectomy specimens and abdominal flaps used for breast
reconstruction in Koreans.
AB - BACKGROUND: Slim patients or those with large breasts may be ineligible for
breast reconstruction with an abdominal flap, as the volume of the flap may be
insufficient. This study aimed to establish that abdominal tissue-based breast
reconstruction can be well suited for Korean patients, despite their thin body
habitus. METHODS: A total of 252 patients who underwent postmastectomy breast
reconstruction with an abdominal flap from October 2006 to May 2013 were
retrospectively reviewed. The patients' age and body mass index were analyzed,
and a correlation analysis was performed between the weight of the mastectomy
specimen and that of the initial abdominal flap. RESULTS: The average weights of
the mastectomy specimen and initial abdominal flap were 451.03 g and 644.95 g,
respectively. The ratio of the weight of the mastectomy specimen to that of the
initial flap was 0.71+/-0.23. There was a strong positive linear relationship
between the weight of the mastectomy specimen and that of the initial flap
(Pearson correlation coefficient, 0.728). Thirty nulliparous patients had a final
to-initial flap weight ratio of 0.66+/-0.11. The 25 patients who underwent a
contralateral procedure had a ratio of 0.96+/-0.30. The adjusted ratio of the
final flap weight to the initial flap weight was 0.66+/-0.12. CONCLUSIONS: Breast
weight had a strong positive relationship with abdominal flap weight in Koreans.
Abdominal flaps provided sufficient soft tissue for breast reconstruction in most
Korean patients, including nulliparous patients. However, when the mastectomy
weight is estimated to be >700 g, a contralateral reduction procedure may be
considered.
PMID- 29788690
TI - Upper eyelid platinum weight placement for the treatment of paralytic
lagophthalmos: A new plane between the inner septum and the levator aponeurosis.
AB - BACKGROUND: The most common surgical treatment for paralytic lagophthalmos is the
placement of a weight implant in the upper eyelid; however, this technique
confers the risks of implant visibility, implant extrusion, and entropion. In
this study, we present a new technique of placing platinum weight implants
between the levator aponeurosis and inner septum to decrease such complications.
METHODS: A total of 37 patients with paralytic lagophthalmos were treated between
March 2014 and January 2017 with platinum weight placement (mean follow-up, 520.1
days). After dissecting through the orbicularis oculi muscle, the tarsal plate
and levator aponeurosis were exposed. The platinum weights (1.0-1.4 g) were fixed
to the upper margin of the tarsal plate and placed underneath the orbital septum.
RESULTS: Five patients could partially close their eye after surgery. The average
distance between the upper eyelid and the lower eyelid when the eyes were closed
was 1.12 mm. The rest of the patients were able to close their eye completely.
Three patients patient developed allergic conjunctivitis after platinum weight
insertion, which was managed with medication. None of the patients complained of
discomfort in the upper eyelid after surgery. Visibility or extrusion of the
implant were observed in three patients. CONCLUSIONS: Postseptal weight placement
is a safe and reproducible method in both primary and secondary upper eyelid
surgery for patients with paralytic lagophthalmos. It is a feasible method for
preventing implant visibility, implant exposure, and entropion. Moreover,
platinum is a better implant material than gold because of its smaller size and
greater thinness.
PMID- 29788691
TI - A novel concept for determining the direction of implanted hair in hairline
correction surgery in East Asian women.
PMID- 29788692
TI - Archives of Korean Plastic Surgery.
PMID- 29788693
TI - Treatment Using the SpyGlass Digital System in a Patient with Hepatolithiasis
after a Whipple Procedure.
AB - An 89-year-old man was referred to our hospital for treatment of hepatolithiasis
causing recurrent cholangitis. He had undergone a prior Whipple procedure.
Computed tomography demonstrated left-sided hepatolithiasis. First, we conducted
peroral direct cholangioscopy (PDCS) using an ultraslim endoscope. Although PDCS
was successfully conducted, it was unsuccessful in removing all the stones. The
stones located in the B2 segment were difficult to remove because the endoscope
could not be inserted deeply into this segment due to the small size of the
intrahepatic bile duct. Next, we substituted the endoscope with an upper
gastrointestinal endoscope. After positioning the endoscope, the SpyGlass digital
system (SPY-DS) was successfully inserted deep into the B2 segment. Upon
visualizing the residual stones, we conducted SPY-DS-guided electrohydraulic
lithotripsy. The stones were disintegrated and completely removed. In cases of
PDCS failure, a treatment strategy using the SPY-DS can be considered for
patients with hepatolithiasis after a Whipple procedure.
PMID- 29788694
TI - Long-term rivaroxaban for the treatment of acute venous thromboembolism in
patients with active cancer in a prospective multicenter trial.
AB - Background/Aims: Limited data are available regarding the efficacy of rivaroxaban
for the treatment of cancer-associated venous thromboembolism (VTE). The aim of
this study was to evaluate the effectiveness and safety of rivaroxaban for the
treatment of VTE in active cancer patients. Methods: In this prospective,
multicenter, open-label trial (NCT01989845), we enrolled patients with active
cancer and objectively diagnosed lower-extremity deep vein thrombosis, pulmonary
embolism (PE), or both from November 2013 to June 2016. Active cancer was defined
as a histologically confirmed malignancy, which was diagnosed or treated within
the previous 6 months, or as a recurrent/ metastatic cancer. Patients received
oral rivaroxaban 15 mg twice daily for first 3 weeks, followed by 20 mg once
daily for 6 months. The primary outcome was the symptomatic recurrent VTE and the
secondary outcomes included any recurrent VTE, major or clinically relevant non
major (CRNM) bleeding events, and overall mortality. All study outcomes were
validated by blinded central adjudication. Results: Of 124 patients enrolled, 110
(88.7%) had solid cancer, 93 (75.0%) had metastatic disease, and 110 (88.7%) were
receiving chemotherapy or radiotherapy. During the 6-month study period, seven
patients experienced symptomatic recurrent VTE (cumulative incidence, 5.9%), and
two patients experienced incidental recurrent PE (cumulative incidence of any
recurrent VTE, 7.6%). Major bleeding events occurred in six patients (cumulative
incidence, 5.3%) and CRNM bleeding events in 11 patients (cumulative incidence,
10.2%). Twenty-eight patients (overall mortality, 24.0%) died. Conclusions:
Rivaroxaban is effective and safe for the treatment of VTE in patients with
active cancer.
PMID- 29788695
TI - Usefulness of indirect open reduction via a transconjunctival approach for the
treatment of nasal bone fracture associated with orbital blowout fracture.
AB - BACKGROUND: Nasal fracture and orbital blowout fracture often occur concurrently
in cases of midface blunt trauma. Generally, these multiple fractures treatment
is surgery, and typically, the nasal bone and orbit are operated on separately.
However, we have found that utilizing a transconjunctival approach in patients
with concurrent nasal bone fracture and orbital blowout fracture is a useful
method. METHODS: The participants in the present study included 33 patients who
visited the Plastic Surgery outpatient department between March 2014 and March
2017 and underwent surgery for nasal fracture and orbital blowout fracture. We
assessed patients' and doctors' satisfaction with surgical outcomes after
indirect open reduction via a transconjunctival approach for the treatment of
nasal bone fracture with associated orbital blowout fracture. RESULTS: According
to the satisfaction scores, both patients and doctors were satisfied with
transconjunctival approach. CONCLUSION: We presented here that our method enables
simultaneous operation of nasal fracture accompanied by orbital blowout fracture,
rather than treating the two fractures separately, and it allows precise
reduction of the nasal fracture by direct visualization of the fracture site
without any additional incisions or difficult surgical techniques. Also, by
preventing the use of excessive force during reduction, this method can minimize
damage to the nasal mucosa, thereby reducing the incidence of nasal bleeding.
PMID- 29788696
TI - Forehead reconstruction with a custom-made three-dimensional titanium implant in
a Parry-Romberg syndrome patient.
AB - Parry-Romberg syndrome is a rare neurocutaneous syndrome characterized by
progressive shrinkage and degeneration of the tissues usually on only one side of
the face. It is usually difficult to restore the facial contour due to skin
tightness. In this case report, we report a forehead reconstruction with custom
made three-dimensional (3D) titanium implant of a Parry-Romberg syndrome patient
who was treated with multiple fat grafts but had limited effect. A 36-year-old
man presented with hemifacial atrophy. The disease progressed from 5 to 16 years
old. The patient had alopecia on frontal scalp and received a surgery using
tissue expander. The alopecia lesion was covered by expanded scalp flap done 22
years ago. Also, he was treated with fat grafts on depressed forehead 17 years
ago. However, it did not work sufficiently, and there was noted depressed
forehead. We planned to make 3D titanium implant to cover the depressed area
(from the superior orbital rim to the vertex). During the operation, we confirmed
that the custom-made 3D implant accurately fit for the depressed area without any
dead spaces. Previously depressed forehead and glabella were elevated, and the
forehead contour was improved cosmetically. A custom-made 3D titanium implant is
widely used for skull reconstruction and bring good results. In our case, the
depressed forehead of a Parry-Romberg syndrome patient was improved by a 3D
titanium implant.
PMID- 29788697
TI - Natural Course of Posttraumatic Symptoms in Late-Adolescent Maritime Disaster
Survivors: Results of A 12-Month Follow-Up Study.
AB - Objective: This study is a prospective observational study on 75 late-adolescent
survivors of a large passenger ship accident from immediately after the accident
to one year later. Methods: Assessments of student survivors were conducted on
day 2 and at months 1, 6, and 12. The PTSD Checklist (PCL), Patient Health
Questionnaire-9 (PHQ-9), State subscale of the State and Trait Anxiety Inventory
(STAI-S), Athens Insomnia Scale (AIS), and Brief Resilience Scale (BRS) were
administered. Results: When the assessments for day 2 and month 12 were compared,
all the scales, except the PCL-avoidance subscale, showed a significant
improvement in symptoms among males. However, among females, all the scales,
except the PCL-re-experience subscale and the STAI-S, failed to show a
significant improvement. All the symptoms for both males and females showed a
pattern that decreased to the lowest level at month 1 (camp-based controlled
intervention period), then increased at months 6 and 12 (voluntary individual
treatment after returning to school). Conclusion: The rapid deterioration of
psychological symptoms was found during the chronic phase, when students returned
to their daily routines and received voluntary individual therapy. There is a
need to screen high-risk adolescents and be more attentive to them during this
period.
PMID- 29788698
TI - Electronic Media Exposure and Use among Toddlers.
AB - Objective: These days, young children are exposed to a wide range of smart
devices and their usage of smart devices is rapidly increasing worldwide.
However, the use of smart devices by young children has not been studied in
detail yet because smart device is relatively recent. The purpose of this study
was to investigate the exposure status of smart devices among 2-5 years old
children in Korea. Methods: Four hundred parents of 2- to 5-year-old children
were invited to enroll. Data on demographic information and the frequency of
media use, time of media use, age at first use of media was self-reported.
Results: Among 390 toddlers, 39.3% watched TV almost every day, while 12.0% of
children used smartphone on a daily basis. During weekdays, 48% of the children
watched TV for over an hour. On weekends, 63.1% of the children watched TV for
over an hour. On weekends, 23.4% of children use their smartphones for over an
hour. Children using smartphones before 24 months of age were 31.3%. Conclusion:
Research has shown that TV and smartphones are the most popular digital devices
used by toddlers. Most toddlers began using smart devices at 12-24 months. This
study provides comprehensive information on children's contemporary use of media.
PMID- 29788700
TI - Cardiovascular Risk Prediction in Korean Adults.
PMID- 29788699
TI - Psychiatric Disorders and Recidivism among Korean Adolescents on Probation or
Parole.
AB - Objective: The percentage of repeat offenders is increasing among juvenile
offenders in Korea. The assessment and treatment of the mental health of young
offenders may play an important role in reducing the recidivism rate of
adolescents. This study examined the prevalence of psychiatric disorders among
adolescents on probation or parole and the risk of recidivism associated with
specific psychiatric disorders. Methods: We studied 120 adolescents on probation.
The Mini-International Neuropsychiatric Interview and Conners' Rating Scale
Revised were used to diagnose psychiatric disorders. Results: Almost half of the
juvenile offenders had psychiatric disorders, including alcohol use disorder
(19.17%), bipolar disorder (18.33%), antisocial personality disorder (11.67%),
and attention-deficit/hyperactivity disorder (10.83%). Alcohol use disorder was
significantly associated with repeated offenses, but psychiatric disorders,
excluding alcohol use disorder, were not significantly associated with repeated
offenses. Conclusion: These results suggested that the development of education
and treatment programs for psychiatric disorders, including alcohol use disorder,
among juvenile offenders on probation or parole may help to prevent repeated
criminal behaviour.
PMID- 29788702
TI - The Prevalence of Gallstone Disease Is Significantly Lower in Natives than in
Migrants of Jeju Island.
AB - BACKGROUND: The eating habits of Jeju Island natives are quite different from
those of the mainland people because of geographic isolation. Diet is a main
factor affecting gallstone disease. We investigated the prevalence of gallstone
disease in both Jeju Island natives and migrants and studied the risk factors
affecting gallstone disease in the Jeju Island people. METHODS: A total of 20,763
subjects who underwent medical checkups at the Health Promotion Center of Jeju
National University Hospital in Korea from January 2003 to December 2015 were
enrolled in the study. Ultrasonography was used to determine the presence of
gallbladder stones. Body mass index and biochemical parameters, including liver
function test results, lipid profiles, and fasting blood glucose levels, were
verified, and data on age, birthplace, and sex were collected from medical
records. Univariate and multivariate analyses were performed to identify risk
factors affecting gallstone disease. RESULTS: The prevalence of gallstone disease
in the Jeju Island people was 4.0%: Jeju Island natives, 3.8% and migrants, 4.4%
(P=0.047). After multivariate logistic regression analysis, the independent risk
factors were older age, Jeju migrants, higher fasting blood glucose and alanine
aminotransferase levels, and lower high-density lipoprotein cholesterol levels.
CONCLUSION: The prevalence of gallstone disease was significantly lower in
natives than in migrants from Jeju Island. Older age, Jeju migrants, higher
fasting blood glucose and alanine aminotransferase levels, and lower highdensity
lipoprotein cholesterol levels contributed to gallstone disease prevalence in the
Jeju Island people.
PMID- 29788701
TI - The Bidirectional Relationship between Diabetes and Depression: A Literature
Review.
AB - Diabetes is a major public health problem worldwide. Depression is a serious
mental condition that decreases mental and physical functioning and reduces the
quality of life. Several lines of evidence suggest a bidirectional relationship
between diabetes and depression: diabetes patients are twice as likely to
experience depression than nondiabetic individuals. In contrast, depression
increases the risk of diabetes and interferes with its daily self-management.
Diabetes patients with depression have poor glycemic control, reduced quality of
life, and an increased risk of diabetes complications, consequently having an
increased mortality rate. Conflicting evidence exists on the potential role of
factors that may account for or modulate the relationship between diabetes and
depression. Therefore, this review aims to highlight the most notable body of
literature that dissects the various facets of the bidirectional relationship
between diabetes and depression. A focused discussion of the proposed mechanisms
underlying this relationship is also provided. We systematically reviewed the
relevant literature in the PubMed database, using the keywords "Diabetes AND
Depression". After exclusion of duplicate and irrelevant material, literature
eligible for inclusion in this review was based on meta-analysis studies,
clinical trials with large sample sizes (n>=1,000), randomized clinical trials,
and comprehensive national and cross-country clinical studies. The evidence we
present in this review supports the pressing need for long, outcome-oriented,
randomized clinical trials to determine whether the identification and treatment
of patients with these comorbid conditions will improve their medical outcomes
and quality of life.
PMID- 29788703
TI - Effects of Age at First Childbirth and Other Factors on Central Obesity in
Postmenopausal Women: The 2013-2015 Korean National Health and Nutrition
Examination Survey.
AB - BACKGROUND: Waist circumference is one of the key components of metabolic
syndrome. Recent studies demonstrated that the reproductive profile was
associated with metabolic syndrome in postmenopausal women. This study focused on
the association between central obesity and age at first childbirth. It also
considered other factors associated with central obesity in postmenopausal women.
METHODS: This study was based on the 2013-2015 Korean National Health and
Nutrition Examination Survey and involved 3,143 naturally postmenopausal women.
These women were divided into three groups according to their age at first
childbirth: 19 years or younger (n=252), 20-29 years (n=2,695), and 30 years or
older (n=196). Multivariate analysis using logistic regression was performed to
evaluate the effects of various reproductive factors, including other confounding
factors. RESULTS: During adjustment for confounding factors, in the early age at
first childbirth group, odds ratios (95% confidence intervals) for central
obesity decreased. In the final model, younger age at first childbirth was not
significantly related to central obesity (waist circumference more than 85 cm) in
naturally postmenopausal women after adjusting for other confounding factors.
CONCLUSION: Younger age at first childbirth was not significantly associated with
central obesity after adjustment for confounding factors.
PMID- 29788704
TI - Low Estimated Glomerular Filtration Rate Is Prevalent among North Korean Refugees
in South Korea.
AB - BACKGROUND: The number of North Korean refugees entering South Korea is rising.
Few studies have investigated the risk of non-communicable disease in North
Korean refugees. Moreover, kidney insufficiency, a risk factor for cardiovascular
disease, has not been studied in this population. We compared the prevalence of
non-communicable disease and kidney function in North Korean refugees and South
Koreans. METHODS: Our study was conducted using a case-control design. We
enrolled 118 North Korean refugees from the Hana Center and selected 472 randomly
sampled South Korean individuals as controls, who were age- and sex-matched with
the North Korean refugees in a ratio of 1:4, from the 2014 Korea National Health
and Nutrition Examination Survey database. RESULTS: The prevalence of non
communicable disease did not differ significantly between the groups; however, a
low estimated glomerular filtration rate (eGFR; <90 mL/min per 1.73 m2) was more
prevalent in the North Korean refugees than in the South Korean population (52.1%
vs. 29.9%, P<0.001). After adjusting for covariates and weight gain after escape,
the prevalence of a low eGFR was associated with the length of residence in South
Korea (odds ratio, 2.84; 95% confidence interval, 1.02-7.89). CONCLUSION: The
prevalence of non-communicable disease did not differ between North Korean
refugees and the South Korean population, while a low eGFR was more prevalent in
North Korean refugees than in South Koreans. Moreover, after adjusting for other
covariates, the prevalence of a low eGFR in North Korean refugees was associated
with the length of residence in South Korea.
PMID- 29788705
TI - The Risk of Microalbuminuria by Obesity Phenotypes according to Metabolic Health
and Obesity: The Korean National Health and Nutrition Examination Survey 2011
2014.
AB - BACKGROUND: The present study aimed at identifying the difference in the risk of
microalbuminuria among individuals with various obesity phenotypes in terms of
metabolic health and obesity. METHODS: This cross-sectional study included 15,268
individuals and used data from the National Health and Nutrition Survey conducted
from 2011 to 2014. Obesity was defined as body mass index >=25 kg/m2.
Metabolically unhealthy was defined as meeting two or more of the following
criteria: systolic and diastolic blood pressure >=130/85 mm Hg or current use of
hypertensive drugs; triglyceride level >=150 mg/dL; high-density lipoprotein
level <40/50 mg/dL (in both men and women); and fasting blood glucose level >=100
mg/dL or current use of oral antidiabetic medications. The participants were
further classified into four subgroups: metabolically healthy non-obese (MHNO),
metabolically healthy obese (MHO), metabolically unhealthy non-obese (MUNO), and
metabolically unhealthy obese (MUO). RESULTS: A significant difference was
observed in the microalbuminuria ratio among the four groups. The MHNO group was
considered as the reference group, and the MHO, MUNO, and MUO groups were at an
increased risk for microalbuminuria by 1.42 fold (95% confidence interval [95%
CI], 1.03-1.96), 2.02 fold (95% CI, 1.61-2.53), and 3.40 fold (95% CI, 2.70
4.26), respectively, after adjusting confounding factors. CONCLUSION: The MUNO
group had a higher risk of developing microalbuminuria than the MHNO group. Thus,
based on this result, differences were observed in the risk of developing
microalbuminuria among individuals with various obesity subtypes.
PMID- 29788706
TI - The Association between 10-Year Atherosclerotic Cardiovascular Diseases Risk
Score Calculated Using 2013 American College of Cardiology/American Heart
Association Guidelines and Serum 25-Hydroxyvitamin D Level among Aged 40-79 Years
in Korea: The Sixth Korea National Health and Nutrition Examination Surveys.
AB - BACKGROUND: We examined the relationship between 10-year predicted
atherosclerosis cardiovascular disease (ASCVD) risk score and 25-hydroxyvitamin D
in Koreans aged 40-79 years. METHODS: A population-based, cross-sectional design
was used from data based on the Korea National Health and Nutrition Examination
Survey 2014. RESULTS: A total of 1,134 healthy Koreans aged 40-79 years were
included. A positive relationship between serum 25-hydroxyvitamin D level and
ASCVD score was shown in women (beta=0.015) after adjusting for central obesity,
physical activity, and supplement intake. The chances of being in the moderate to
high risk (risk group, ASCVD score >=5%) with vitamin D sufficiency (serum 25
hydroxyvitamin D >=20 ng/mL) was 1.267-fold (95% confidence interval, 1.039
1.595) greater than the chance of being included in the group with vitamin D
deficiency (serum 25-hydroxyvitamin D <20 ng/mL) after adjustments in women.
CONCLUSION: Our research indicated a significantly positive association between
25-hydroxyvitamin D and ASCVD score. Further detailed studies to evaluate this
correlation are needed.
PMID- 29788707
TI - Comparison of Fatigue Severity and Quality of Life between Unexplained Fatigue
Patients and Explained Fatigue Patients.
AB - BACKGROUND: Recently, despite the high prevalence of fatigue in patients, there
is a lack of research on the quality of life (QoL) in unexplained fatigue
patients, indicating that they are not properly diagnosed and treated. The aim of
this study was to compare fatigue severity and QoL between patients with
explained and unexplained fatigue. METHODS: The study consisted of 200 Korean
adults who complained of fatigue without underlying disease. Fatigue Severity
Scale, Short Form Health Survey-36 version 2 (SF-36v2), and Beck Depression
Inventory-II (BDI-II) self-questionnaires were administered. Participants were
dichotomized to two groups, namely, patients with unexplained or explained
fatigue, sorted according to laboratory examination results. The chi-square test,
t-test, and Wilcoxon rank-sum test were used, and analysis of covariance was
calculated after adjusting for age, sex, body mass index, smoking status, and
physical component summary (PCS) of SF-36v2 or BDI-II. RESULTS: PCS of SF-36v2
between the two groups showed significant difference. Compared to patients with
explained fatigue, those with unexplained fatigue showed lower physical component
scores of QoL. CONCLUSION: QoL of patients with unexplained fatigue could largely
diminish than those with explained fatigue. The primary clinician should be aware
of poor QoL in patients with unexplained fatigue to identify who is in need of
more attention and intervention.
PMID- 29788708
TI - Association between Physical Activity and Depressive Mood among Korean Adults
with Chronic Diseases.
AB - BACKGROUND: This study aimed to investigate the association between physical
activity and depressive mood among Korean adults with chronic diseases. METHODS:
This study analyzed the 2014 Korean National Health and Nutrition Examination
Survey data. The study was restricted to participants aged >=20 years who had
completed the survey and were not diagnosed as having depression. After
exclusion, 4,676 participants were included in the final analysis. Subjects were
categorized according to their level of physical activity. Physical activity was
assessed using the International Physical Activity Questionnaire. Patients were
categorized into two groups according to depressive traits (normal and depressed)
based on the 9-item Patient Health Questionnaire scores. RESULTS: In univariate
analysis, significant associations were observed between the level of physical
activity and depressive mood in both chronic and healthy groups. Participants
with higher levels of physical activity had lower risks of depressive mood than
those with lower levels of physical activity, especially patients with chronic
diseases (odds ratio [OR], 0.47; 95% confidence interval [CI], 0.31-0.73).
Similar trends were observed in multivariate logistic regression analysis (OR,
0.54; 95% CI, 0.34-0.88). CONCLUSION: Physical activity significantly decreased
the development of depressive mood among Korean adults with chronic diseases
compared with their healthy counterparts. Physical activity must be emphasized in
patients with chronic diseases.
PMID- 29788709
TI - Influence of Offspring on Self-Rated Health among Older Adults: Evidence from the
Korean Longitudinal Study of Aging (2006-2012).
AB - BACKGROUND: We investigated whether offspring protect or jeopardize in parents.
METHODS: We used data from the Korean Longitudinal Study of Aging and performed a
longitudinal analysis of 10,236 individuals at baseline (2006) to estimate the
association between offspring-related factors and self-rated health among
individuals >=45 years of age. RESULTS: The estimate for self-rated health was
0.612 times lower (95% confidence interval [CI], 0.503-0.746; P<0.0001) for those
with zero offspring. The estimate for self-rated health was 0.736 (95% CI, 0.635
0.853; P<0.0001) for those with five offspring or more. The estimate for self
rated health was 0.707 (95% CI, 0.528-0.947; P=0.020) for males with zero
offspring. The estimate for self-rated health was 0.563 (95% CI, 0.422-0.751;
P<0.001) for females with no offspring and for females with five or more
offspring. The estimate for self-rated health was 0.686 times lower (95% CI,
0.573-0.822; P<0.0001) for those with five or more offspring compared to females
with two offspring. CONCLUSION: Those with more offspring (>=5) and those with no
offspring tended to have an increased probability of low self-rated health.
Overall, our results suggest that offspring have a significant positive effect on
self-rated health, which was evident graphically as an inverted U-shape.
PMID- 29788710
TI - Hemiballismus in Uncontrolled Diabetes Mellitus.
AB - Hemiballismus, a subtype of chorea, is a rare movement disorder, and is most
commonly found secondary to stroke. Movements are involuntary, violent, coarse,
and have a wide amplitude. There is increasing report of hemiballismus occurring
in non-ketotic hyperglycemia. Spontaneous improvements or remissions were
observed in many patients, and treatment should be directed towards the cause of
hemiballismus. There is no randomized control trial to guide clinicians in
deciding the best treatment option when managing hemiballismus. Symptomatic
treatment includes the use of drugs such as dopamine receptor blocker and
tetrabenazine. Surgical treatment is reserved for severe, persistent, and
disabling hemiballismus. This case is of an elderly woman with long standing
uncontrolled diabetes who presented with abnormal movement in her left upper limb
for 2 months, which resolved slowly with good control of her glucose levels.
Treating physicians need to have a high index of suspicion to prevent
mismanagement of the condition.
PMID- 29788711
TI - A Rare Case of Renal Impairment Caused by Primary Hypothyroidism.
AB - An association between hypothyroidism and renal impairment has rarely been
reported in the literature. We describe a case of hypothyroidism that was
associated with otherwise unexplained acute kidney impairment, which was reversed
with treatment. A 21-year-old female patient presented to her family physician
with myalgia, and preliminary investigations revealed an elevated level of
creatine kinase and poor renal function. Primary hypothyroidism was diagnosed and
no other apparent etiology for renal failure could be identified despite
extensive investigations by the Nephrology Department. Notably, the patient's
renal impairment showed prompt resolution following thyroid hormone replacement.
PMID- 29788712
TI - Correction: Lower Levels of Serum Adiponectin and the T Allele of rs1501299 of
the ADIPOQ Gene Are Protective against Polycystic Ovarian Syndrome in Jordan.
AB - [This corrects the article on p. 108 in vol. 39.].
PMID- 29788713
TI - Tribochemical Competition within a MoS2/Ti Dry Lubricated Macroscale Contact in
Ultrahigh Vacuum: A Time-of-Flight Secondary Ion Mass Spectrometry Investigation.
AB - Controlling and predicting the tribological behavior of dry lubricants is a
necessity to ensure low friction, long life, and low particle generation.
Understanding the tribochemistry of the materials as a function of the
environment is of primary interest as synergistic effects exist between the
mechanics, the physicochemistry, and the thermodynamics within a contact.
However, in most studies the role of the coating internal contaminants in the
process is often discarded to the benefit of a more common approach in which the
performances of the materials are compared as a function of different atmospheric
pressure environments. The study focuses on the understanding of the
tribochemical processes occurring between the materials and their internal
contaminants inside an AISI440C contact lubricated by a MoS2/Ti coating. Time-of
flight secondary ion mass spectrometry is used to study at the molecular level,
the material before and after friction. Friction tests with different durations
are performed in ultrahigh vacuum at the macroscale to stay relevant to the real
application (space). The adsorption/desorption of gaseous species during friction
is monitored by mass spectrometry to ensure reliable study of the tribochemical
processes inside the contact. The study shows that a competition exists between
the Ti- and MoS2-based materials to create the appropriate lubricating materials
via (i) recrystallization of MoS2 materials with creation of a MoS xO y material
via reactions with internal contaminants (presumably H2O), (ii) reaction of Ti
based materials with internal contaminants (mostly H2O and N2). The biphasic
material created is highly similar to the one created in both humid air and dry
N2 environments and providing low friction and low particle generation. However,
the process is incomplete. The study thus brings insight into the possibility of
controlling friction via a rational inclusion of reactants in a form of
contaminants to control the tribochemical processes governing the low friction
and long life.
PMID- 29788714
TI - Negative Capacitance beyond Ferroelectric Switches.
AB - Negative capacitance transistors are a unique class of switches capable of
operation beyond the Boltzmann limit to realize subthermionic switching. To date,
the negative capacitance effect has been predominantly attributed to devices
employing an unstable insulator with ferroelectric properties, exhibiting a two
well energy landscape, in accordance with the Landau theory. The theory and
operation of a solid electrolyte field effect transistor (SE-FET) of subthreshold
swing less than 60 mV/dec in the absence of a ferroelectric gate dielectric are
demonstrated in this work. Unlike ferroelectric FETs that rely on a sudden
switching of dipoles to achieve negative capacitance, we demonstrate a
distinctive mechanism that relies on the accumulation and dispersion of ions at
the interfaces of the oxide, leading to a subthreshold slope (SS) as low as 26
mV/dec in these samples. The frequency of operation of these unscaled devices
lies in a few millihertz because at higher or lower frequencies, the ions in the
insulator are either too fast or too slow to produce voltage amplification. This
is unlike Landau switches, where the SS remains below 60 mV/dec even under quasi
static sweep of the gate bias. The proposed FETs show a higher on-current with a
thicker oxide in the entire range of gate voltage, clearly distinguishing their
scaling laws from those of ferroelectric FETs. Our theory, validated with
experiment, demonstrates a new class of devices capable of negative capacitance
that opens up alternate methods of steep switching beyond the traditional
approach of ferroelectric or memristive FETs.
PMID- 29788715
TI - Versatile Electrochemiluminescence Assays for PEDV Antibody Based on Rolling
Circle Amplification and Ru-DNA Nanotags.
AB - The sensitive and accurate detection methods for PEDV antibody have practical
significance for the prevention and treatment of PEDV. In this work, a new
multiple pathways signal amplification method was proposed to construct a
sensitive electrochemiluminescence (ECL) platform for the detection of PEDV
antibody. Using Au NP-modified graphene nanosheet (Au-GN) as the substrate,
antibody-antigen reaction as the recognition unit, rolling circle amplification
(RCA) for signal enhancement, and assembled cascade Ru-DNA nanotags as signal
label, the proposed platform behaved with good specificity and sensitivity. The
binding system of biotin-streptavidin, RCA, and Ru(bpy)32+-doped silica
nanoparticles (Ru SNPs) showed remarkable amplification efficiency, low
background signal, and little nonspecific adsorption. Moreover, the proposed ECL
sensor exhibited good analytical performance for PEDV antibody with a wide linear
range from 0.1 pg mL-1 to 5000 pg mL-1 with a detection limit of 0.05 pg mL-1 (
S/ N = 3). The proposed strategy exhibited the advantages of excellent stability
and sensitivity for determination of the PEDV antibody, which was easy to prepare
and had a good application prospect.
PMID- 29788716
TI - Porous Silsesquioxane-Imine Frameworks as Highly Efficient Adsorbents for
Cooperative Iodine Capture.
AB - The efficient capture and storage of radioactive iodine (129I or 131I), which can
be formed during nuclear energy generation or nuclear waste storage, is of
paramount importance. Herein, we present highly efficient aerogels for reversible
iodine capture, namely, porous silsesquioxane-imine frameworks (PSIFs),
constructed by condensation of octa(3-aminopropyl)silsesquioxane cage compound
and selected multitopic aldehydes. The resulting PSIFs are permanently porous
(Brunauer-Emmet-Teller surface areas up to 574 m2/g), thermally stable, and
present a combination of micro-, meso-, and macropores in their structures. The
presence of a large number of imine functional groups in combination with
silsesquioxane cores results in extremely high I2 affinity with uptake capacities
up to 485 wt %, which is the highest reported to date. Porous properties can be
controlled by the strut length and rigidity of linkers. In addition, PSIF-1a
could be recycled at least four times while maintaining 94% I2 uptake capacity.
Kinetic studies of I2 desorption show two strong binding sites with apparent
activation energies of 77.0 and 89.0 kJ/mol. These energies are considerably
higher than the enthalpy of sublimation of bulk I2.
PMID- 29788717
TI - Exploiting Crystallographic Regioselectivity To Engineer Asymmetric Three
Component Colloidal Nanoparticle Isomers Using Partial Cation Exchange Reactions.
AB - The precise placement of different materials in specific regions of a nanocrystal
is important for many applications, but this remains difficult to achieve
synthetically. Here we show that regioselectivity during partial cation exchange
reactions of metal chalcogenide nanocrystals emerges from crystallographic
relationships between the precursor and product phases. By maximizing the
formation of low-strain interfaces, it is possible to rationally integrate three
distinct materials within uniform spherical and rod-shaped colloidal
nanoparticles to produce complex asymmetric heterostructured isomers. Through
sequential partial exchange of Cu+ in Cu1.8S nanocrystals with Zn2+ and Cd2+,
five distinct ZnS/CdS/Cu1.8S nanosphere and nanorod isomers are accessible.
PMID- 29788719
TI - Stereocontrolled Synthesis of 3-Sulfonyl Chroman-4-ols.
AB - The stereocontrolled reduction of 3-sulfonyl chromen-4-ones by two synthetic
methods, NaBH4/LiCl and Pd/C/H2, provides two kinds of 3-sulfonyl chroman-4-ols
with three contiguous chiral centers under different reaction conditions. The use
of various reaction conditions is investigated for efficient transformation.
PMID- 29788718
TI - Morphology and Physical Properties of Hydrophilic-Polymer-Modified Lipids in
Supported Lipid Bilayers.
AB - Lipid molecules such as glycolipids that are modified with hydrophilic
biopolymers participate in the biochemical reactions occurring on cell membranes.
Their functions and efficiency are determined by the formation of microdomains
and their physical properties. We investigated the morphology and properties of
domains induced by the hydrophilic-polymer-modified lipid applying a polyethylene
glycol (PEG)-modified lipid as a model modified lipid. We formed supported lipid
bilayers (SLBs) using a 0-10 mol % range of PEG-modified lipid concentration (
CPEG). We studied their morphology and fluidity by fluorescence microscopy, the
fluorescence recovery after photobleaching method, and atomic force microscopy
(AFM). Fluorescence images showed that domains rich in the PEG-modified lipid
appeared and SLB fluidity decreased when CPEG >= 5%. AFM topographies showed that
clusters of the PEG-modified lipid appeared prior to domain formation and the PEG
lipid-rich domains were observed as depressions. Frequency-modulation AFM
revealed a force-dependent appearance of the PEG-lipid-rich domain.
PMID- 29788720
TI - Transition Metal Oxides as Electrocatalysts for the Oxygen Evolution Reaction in
Alkaline Solutions: An Application-Inspired Renaissance.
AB - Water splitting is the essential chemical reaction to enable the storage of
intermittent energies such as solar and wind in the form of hydrogen fuel. The
oxygen evolution reaction (OER) is often considered as the bottleneck in water
splitting. Though metal oxides had been reported as OER electrocatalysts more
than half a century ago, the recent interest in renewable energy storage has
spurred a renaissance of the studies of transition metal oxides as Earth-abundant
and nonprecious OER catalysts. This Perspective presents major progress in
several key areas of the field such as theoretical understanding, activity trend,
in situ and operando characterization, active site determination, and novel
materials. A personal overview of the past achievements and future challenges is
also provided.
PMID- 29788721
TI - Vanishing Thermal Conductance of Carbon Nanotube upon Encapsulation by Zigzag
Sulfur Chain.
AB - We report an unprecedented enhancement of thermoelectric properties of a single
walled carbon nanotube upon encapsulation of a zigzag sulfur chain inside the
nanocore. Our calculations on a 70 A long [5, 5] carbon nanotube reveal that the
encapsulation of zigzag sulfur chain will lead to a 107% increase in the
thermoelectric figure of merit and concomitant quenching of thermal conductance
by 90%. We have noticed that finite transmission gradient at the Fermi level
combined with destructive quantum interference at the sulfur sites and structural
conformation-dependent scattering-induced damping of phonon transmission are
attributed to the dramatic improvement of thermoelectric behavior of this
material. This finding indeed will help circumvent the long-standing problem in
the fabrication of carbon-nanotube-based ultrafast device.
PMID- 29788723
TI - Biomineralization of osteoblasts on DLC coated surfaces for bone implants.
AB - Diamond like carbon (DLC) films were deposited onto Ti6Al4V and Si wafer
substrates by RF plasma enhanced chemical vapor deposition. The influence of
dopants such as fluorine (F), silicon (Si), and nitrogen (N) on composition,
structure, and biocompatibility was investigated. Ion scattering spectroscopy
analysis revealed the presence of dopant atoms in the outer-most layers of the
films. Raman studies showed that the position of the G-band shifts to higher
frequencies with the fluorine and nitrogen content in the DLC film, whereas the
incorporation of Si into DLC induces a decrease of the position of the G peak.
The corrosion behavior was studied in simulated body fluid. A higher charge
transfer resistance (Rct) was observed for the doped DLC films. The indirect
cytotoxicity was performed using L929 fibroblast cells. The coated surfaces were
hemocompatible when tested with red blood cells. DLC films were noncytotoxic to
L929 cells over a 24 h exposure. Saos-2 osteoblast cell response to the doped and
undoped DLC coated surfaces was studied in adhesion, proliferation,
differentiation, and mineralization assays. The production of calcium and
phosphate by cells on doped DLC, particularly, nitrogen doped DLC, was higher
than that on undoped DLC.
PMID- 29788724
TI - miR-506 suppresses cervical cancer cell proliferation both in vitro and in vivo.
AB - Cervical cancer (CC) is one of the most common gynecological malignancies in
women worldwide. Recently increasing evidences indicate aberrant expression of
miR-506 was reported to be associated with a variety of tumors. The aimof this
study was to evaluate the potential role of miR-506 in CC and verify its effect
on the regulation of ABCC4. The expression of miR-506 in cervical cancer tissues
and HeLa and C33A cell lines was examined using quantitative Real-time PCR. MTT
assay and animals studies were use to examine the effects of miR-506 on cervical
cancer proliferation. Luciferase reporter and western blot were used to confirm
miR-506 could regulate ABCC4. We found that miR-506 was significantly
downregulated in human CC cell lines (HeLa and C33A) and clinical CC specimens as
compared with matched cell lines and adjacent normal tissues, while the
expression level of ABCC4 was higher in tumor tissues than it in adjacent normal
tissues. We also revealed that up-regulated expression of miR-506 could inhibit
CC cells proliferation both in vitro and in vivo. Moreover, ABCC4 was identified
as a direct target of miR-506 and the inverse relationship between them was also
observed. In summary, our finding suggests that miR-506 acts an important role in
suppressing CC cell proliferation and suppresses the expression of ABCC4 by
directly targeting its 3'-UTR. miR-506 may represent a novel therapeutic target
of microRNA-mediated suppression of cell proliferation in CC, but the role of the
miR-506/ABCC4 axis in CC progression needs further study.
PMID- 29788725
TI - Tetramethylpyrazine (TMPZ) triggers S-phase arrest and mitochondria-dependent
apoptosis in lung cancer cells.
AB - Tetramethylpyrazine (TMPZ) is one of the active compounds extracted from the
traditional Chinese herb Chuanxiong. Several studies have shown its anti-cancer
properties. However, its functions in lung cancer and the underlying cellular
mechanisms are relatively unknown. Our present study aimed to investigate the
effects of TMPZ on A549 and 95D cells. The MTT assay showed that TMPZ decreased
cell viability in a dose- and time-dependent manner. The results of the colony
formation assay indicated that TMPZ strongly suppressed colony formation ability
in A549 and 95D cells. Flow cytometric analysis revealed that TMPZ induced S
phase arrest in lung cancer cells. In addition, TMPZ induced apoptosis, as shown
by the results of propidium iodide/Annexin V double-staining. Furthermore, TMPZ
decreased mitochondrial membrane potential (?Psim) in a dose-dependent manner.
Finally, western blot analysis of TMPZ-treated cells revealed the activation of
Caspase-3 and the increase of the ratio of Bax/Bcl-2. These results demonstrated
that TMPZ could suppress carcinogenesis of lung cancer cells through blocking
cell cycle and inducing mitochondria-dependent apoptosis by regulating Caspase-3
and Bax/Bcl-2, suggesting that TMPZ may be a promising drug to treat lung cancer.
PMID- 29788726
TI - Dynamics of High Risk Clinical Target Volume reduction during Brachytherapy and
impact on its coverage in patients with inoperable cervical cancer.
AB - Cervical cancer is the third most common cancer in women worldwide. Standard of
care for patients with node positive or locally advanced tumors >4 cm is
definitive radiotherapy and concurrent chemotherapy. Brachytherapy is an integral
part of definitive radiotherapy for cervical cancer. The aim of the study was to
show a dynamics of High Risk Clinical Target Volume (HR-CTV) reduction during
Brachytherapy (BT) as a part of definitive treatment (External Beam Radiotherapy
/EBRT/ +/- Chemotherapy /ChT/) depending on the initial Gross Tumor Volume (GTV)
and its impact on HR-CTV coverage in patients with inoperable cervical cancer. We
analyzed the dosimetric data for BT of 54 patients who have had Three Dimensional
Planning of BT (3D BT). The Gross Tumor Volume, HR-CTV and organs at risk (OARs)
were contoured on the magnetic resonance imaging (MRI), subsequently on the co
registered MRI images with computed tomography (CT). Point A and ICRU 38 rectal
and bladder points were defined on reconstructed CT images. Patients were
categorized on the basis of whether the 100% isodose line of the point-A
prescription dose encompassed the HR-CTV (1st group) or not (2nd group). The 30cc
volume has been determined as a cut-off value, which represented the most
acceptable value of intermediate size of volumes. The initial mean value of GTV
was 42cc. After completion of EBRT/ChT, the mean GTV was 3.24cc what was 91%
reduction rate in relation to the initial value. We followed the dynamics of HR
CTV reduction during BT and have noted its minimal reduction from 24.3cc (mean
value) at the time of the first fraction to the 24.1cc before fourth fraction.
The mean V100 was 98% and increased with decreasing of the volume size (p=0.0063,
Fisher's exact test). D90 (mean value was 96.3 Gy10) has been correlated with
V100 and also, it increased with decreasing of the volume size (p=0.0003). The
mean D0.1cc and D2cc of rectum doses were 80 Gy3 and 65.6 Gy3, respectively. The
mean ICRU rectal dose for all patients was 67.2 Gy3. The mean D0.1cc (99.5 Gy3),
D2cc (79.5 Gy3) and ICRU (75.2 Gy3) of bladder doses were acceptable. Dynamics of
HR-CTV reduction during BT was minimal, although, significant reduction of the
GTV was achieved after EBRT/ChT. This study revealed that the dose prescription
of 7 Gy * 4 fractions to point A was not sufficient indicator for dose coverage
of the HR-CTV. However, dosimetric parameters as V100 and D90 were strong
indicators for coverage of HR-CTV which was inversely related to the volume of
the target and the extension of tumor. However, dosimetric parameters for rectum
and bladder (D0.1cc, D2cc and ICRU) did not show dependence on the target
volumes.
PMID- 29788727
TI - Human Cytomegalovirus (HCMV) infection was not correlated with overall survival
in glioblastomas.
AB - There were many arguments about the presence of HCMV (Human Cytomegalovirus) in
malignant gliomas. This study was to investigate the presence and prognostic
value of HCMV in glioblastomas. 68 patients including 64 primary glioblastomas
and 4 secondary glioblastomas were involved in this study. Immunofluorescence was
adopted for detecting glycoprotein B (gB) and glycoprotein H (gH) of HCMV's in
glioblastoma tissues. Kaplan-Meier Analysis and Chi Square were used to evaluate
patients' survival and the association between HCMV infection and patients'
characteristics respectively. We found that the presence rate of gB and gH were
48.5% (33/68) and 42.6% (29/68) in glioblastomas respectively. The co-occurrence
of gB and gH was 30.8%, and the presence rates of either gB or gH in
glioblastomas was 60.3%. While IDH R132H mutations were significantly correlated
with a better clinical outcome (p=0.006), the presence of neither gB (p=0.551)
nor gH (p=0.871) had prognostic values. Furthermore, there was no significant
association between the presence of HCMV and gliomas' characteristics, neither
with patients' age, gender, KPS, IDH mutations nor PTEN loss. In conclusion, our
results supported the fact that HCMV was detected in glioblastomas. However, no
predictive value of HCMV was observed, the treatment of glioblastomas targeting
HCMV was needed to be revalued by studied again.
PMID- 29788728
TI - Clinical characteristics and treatments of patients with alpha-fetoprotein
producing gastric carcinoma.
AB - Alpha-fetoprotein (AFP) is a well-known tumor marker of hepatic carcinoma and
yolk sac tumor. Alpha-fetoprotein producing gastric carcinoma (AFPGC) is a rare
type of gastric cancer with high malignancy and poor prognosis, which make it
different from other types of gastric cancer. This rare gastric cancer patient
subgroup is likely frequently misdiagnosed which may be related to lack of
knowledge of the disease. The purpose of this article is to summarize the
mechanism of AFP positive gastric cancer, classification, biological behavior and
treatment, in order to assist clinical practitioners to detect AFPGC earlier and
treat it better. Previous studies have showed that AFPGC has a complex
pathophysiology mechanism. AFPGC is more aggressive and characterized by stronger
proliferation, neovascularization, lymphatic invasion and distant metastasis.
Furthermore, so far there has been no standard treatment for patients with AFPGC.
Nevertheless, our present study summarizes some effective treatments based on
previous research outcome. In conclusion, the present study demonstrates that the
importance of detecting AFP routinely in serum and tissues in gastric cancer
cases, which will greatly improve the diagnosis rate of AFPGC, and in regards to
treatment, surgery, chemotherapy, targeted therapy and interventional treatment
may have positive impacts on AFPGC treatment outcome. However, further study with
a larger sample is required to confirm the reliability and validity of these
methods.
PMID- 29788729
TI - Three novel microRNAs based on microRNA signatures for gastric mucosa-associated
lymphoid tissue lymphoma.
AB - This study aimed to identify novel microRNAs (miRNAs) that play crucial
regulatory roles in the pathogenesis of mucosa-associated lymphoid tissue (MALT)
lymphoma by retrieving and analyzing the miRNA expression profile GSE23877.
Differentially expressed miRNAs between gastric MALT lymphoma samples and human
tonsil tissue samples as well as their target genes were identified. The
transcriptional regulatory relationships between miRNAs and target genes were
analyzed, and the regulatory network between them was constructed. Target genes
annotated as transcription factors (TFs) were screened, and an miRNA-target gene
regulatory network was established. Moreover, the expression levels of miRNAs and
target genes as well as the correlation between them were verified. In total, 53
upregulated and 25 downregulated miRNAs were obtained, for which 35 and 25
experimentally validated miRNA-target interactions, respectively, were screened.
Some miRNAs were significantly enriched in certain pathways; for example, miR
320a was enriched in systemic lupus erythematosus and ribosome, miR-622 in the
p53 signaling pathway and chronic myeloid leukemia, and miR-429 in cancer-related
pathways. In addition, upregulated miRNAs, including miR-320a, miR-940, and miR
622, and downregulated miRNAs, including miR-331-3p and miR-429, were hub nodes
in the miRNA-target gene regulatory network, and the TF MYC was a co-target of
miR-320a, miR-622, and miR-429. The expression trends of miR-320a and miR-429 as
well as of some of their target genes were consistent with those in the results
of microarray analysis. In conclusion, miR-320a, miR-622, and miR-429 are
possibly novel miRNAs participating in the pathomechanism of gastric MALT
lymphoma.
PMID- 29788730
TI - AFP role in predicting recurrence of hepatocellular carcinoma after living donor
liver transplantation in HCV patients.
AB - HCC is one of the leading causes of death worldwide. Liver transplantation
including living donor transplantation is the best available treatment. We have
analyzed our experience with LDLT in patients with HCC and HCV in order to
determine if alpha feto-protein (AFP) is a better predictor of recurrence than
the tumor burden. We have identified all patients with HCV related liver disease
and HCC who have undergone LDLT in one center during the period from December
2000 to December 2014. Outcomes from the prospective database were compared for
patients who met Milan criteria (single tumor <=5 cm, maximum of 3 total tumors
with none >3 cm) or not. Uni- and multi-variable analyses of factors influencing
recurrence free survival (RFS) were performed. A total of 142 patients with HCC
and HCV associated liver disease underwent LDTL during the study period. RFS was
96.4% at 1 years, 91.8% at 3 years and 91.8% at 5 years. Gender, model for End
Stage Liver disease (MELD), pre-transplant therapy, AFP level, tumor number,
total tumor size were predictors of recurrence on univariable analysis. On
multivariable analysis MELD score (Hazard ratio (HR) 1.16) and Log10 AFP (HR
3.14) were predictors of RFS. In the ROC curve analysis with an AUC of 0.76 the
optimal cut-off value of AFP was 26ng/mL. In conclusion MELD score and pre
transplant AFP predict recurrence after LDLT for HCC with HCV infection.
PMID- 29788731
TI - Claudin-7 (CLDN7) is overexpressed in gastric cancer and promotes gastric cancer
cell proliferation, invasion and maintains mesenchymal state.
AB - Gastric cancer (GC) ranks as the fourth most common cancer worldwide and is among
the most aggressive types of cancer. Claudin-7 (CLDN7) has been found to be
aberrantly expressed in some types of cancers. However, the expression and role
of CLDN7 on gastric cancer (GC) remain largely unknown. In this study, we have
performed the largest expression analysis study to date of CLDN7 in 113 pairs of
human GC tissues and non-tumorous adjacent tissues. We found CLDN7 expression is
significantly elevated in GC tissues, and the overexpression of CLDN7 is closely
related to lymph node metastasis. Furthermore, we observed that CLDN7 executes an
oncogenic function, promoting cancer cell proliferation, invasion, and epithelial
mesenchymal transition in GC. Given this oncogenic role of CLDN7 in GC formation
and progression, CLDN7 may have an indispensable potential for future anti
metastatic and therapeutic applications.
PMID- 29788732
TI - The current application of ACOSOG Z0011 trial results: Is further implementation
of sentinel lymph node intra-operative histopathological examination mandatory in
breast cancer patients - a single-centre analysis.
AB - The main objective of the ACOSOG Z0011 trial was to determine the impact of
abandoning complete axillary lymph node dissection (ALND) on survival of breast
cancer patients with sentinel node lymph (SLN) metastasis in whom breast
conserving therapy (BCT) had been performed. The aim of our study was to assess
the clinical value of intra-operative histopathological examination of SLN. Our
study comprised 1284 invasive breast cancer patients in whom sentinel lymph node
biopsy (SLNB) was carried out. SLN intra-operative histopathological assessment
was routinely performed in patients treated within the first period (07.2013
06.2014). However, the decision regarding intra-operative assessment was made by
the surgeon for the patients who underwent this evaluation in the later period
07.2014-06.2015 and were submitted for BCT. BCT was performed in 72.4% of
patients. In total, 316 patients (24.6%) developed SLN-metastasis. Within the
period 07.2014-06.2015, SLN intra-operative microscopic evaluation was performed
in 20.8% of patients submitted for BCT. ALND was omitted in 27.5% of patients
demonstrating SLN metastasis, in comparison with 15.5% of the group from the
previous period (p=0.0094). The proportion of patients demonstrating
macrometastasis in SLN who received conservative treatment to the axilla
increased from 5.4% to 23.1% (p=0.0007). The choice of SLN final
histopathological assessment may allow for deferral of decision on more extensive
surgery of the axilla in patients submitted for SLNB. The omission of routinely
performed SLN intra-operative histopathological evaluation has led to a
statistically significant increase in the proportion of patients in whom complete
ALND was avoided.
PMID- 29788733
TI - Sulindac induces differentiation of glioblastoma stem cells making them more
sensitive to oxidative stress.
AB - Glioblastoma tumors (GBM) are very heterogeneous, being comprised of several cell
subtypes, including glioblastoma stem cells (GSC). These tumors have a high rate
of recurrence after initial treatment and one of the most prevalent theories to
explain this is the cancer stem cell theory, which proposes that glioblastomas
arise from mutations that transform normal neural stem cells (NSC) into GSC,
which are highly resistant to oxidative stress and anti-cancer therapies.
Sulindac is a non-steroidal anti-inflammatory drug (NSAID) that has been shown to
protect the normal cells against oxidative damage by initiating a preconditioning
response, but selectively sensitizes several cancer cell lines to agents that
affect mitochondrial respiration, resulting in enhanced killing of the cancer
cells. These effects of sulindac are independent of its NSAID activity. There is
little information on the effect of sulindac on normal and cancer stem cells. To
study the effect of sulindac on both normal and cancer stem cells, we have
isolated normal neural stem cells (NSC), from mice hippocampi and glioblastoma
stem cells (GSC) from a glioma cell line, U87. As expected from previous studies
sulindac can protect normal astrocytes against oxidative stress. Sulindac induces
differentiation of both NSC and GSC cells and sulindac upregulates neurogenesis
in NSC. The differentiated NSC are also protected from oxidative stress damage,
whereas the differentiation of GSC by sulindac increases the sensitivity of these
cells to agents that cause oxidative stress. The S epimer of sulindac is more
effective than the R epimer in inducing neuronal differentiation in both NSC and
GSC. These results indicate that the ability of sulindac to induce GSC
differentiation may have therapeutic value in preventing tumour recurrence.
PMID- 29788734
TI - Neovascularization in Ewing's sarcoma.
AB - Ewing's sarcoma is the second most common bone malignancy in adolescents and
young adults after osteosarcoma. Similar to other solid tumors, Ewing's sarcomas
require an adequate vascular supply to grow and survive. The development and
maintenance of vascular supply is accomplished via three main mechanisms;
angiogenesis, vasculogenesis, and tumor cell vasculogenic mimicry. In addition,
growth factors, parallel biochemical pathways and the tumor microenvironment are
implicated in the initiation and maintenance of neovascularization. This article
summarizes the different mechanisms and factors that contribute to
neovascularization in Ewing's sarcoma, and discusses the significance of this
phenomenon for current treatment options.
PMID- 29788735
TI - HPV status and its genomic integration affect survival of patients with cervical
cancer.
AB - To evaluate the relapse-free and overall survival of HPV-positive patients with
regard to the physical status of type 16 HPV and of HPV-negative patients with
primary CC. As a main result of the study the predictive value of the physical
status of type 16 HPV was determined for relapse-free and overall survival of
patients with CC. Episomal form of the virus is a favorable predictive factor.
Integrated form of the virus is a severely unfavorable predictive factor and
survival of such patients is significantly lower, than for HPV- patients and
patients with mixed form of the virus. The results of the research are data on
survival of patients with CC depending on the physical status of the virus (for
HPV+ patients) and of patients with HPV-negative cancer.
PMID- 29788736
TI - Evaluation of overall survival rate of different therapies in the treatment of T1
T3 prostate cancer: a network meta-analysis.
AB - We performed this network meta-analysis (NMA) in order to compare the overall
survival rate of six different therapies of T1-T3 prostate cancer (PC). The
therapies include radiotherapy (RT), endocrine therapy (ET), Cryoablation (CRYO),
radical prostatectomy (RP), RT+ET and RP+ET. Pubmed, Embase, Cochrane Library,
Google Scholar, Web of Science and MEDLINE were searched to collect relevant
literature from the inception of the study till February 2017. Cohort studies
meeting the inclusion criteria were included in the study. A combination of
direct and indirect evidence was performed to evaluate the odds ratio (OR) and
draw surface under the cumulative ranking curves (SUCRA). Nine eligible cohort
studies were included in this NMA, including 20,644 patients suffering from T1-T3
PC. The pairwise meta-analysis revealed that compared with the ET regimen, the RP
and RP+ET regimens exhibited comparatively higher overall survival rates (OR =
2.81, 95%CI = 2.09 ~ 3.78; OR = 3.15,95%CI = 1.80 ~ 5.50, respectively). The
results of SUCRA values demonstrated that the RP + ET regimen occupied the first
place (89.5%) in terms of overall survival rate, and the RP regimen came second
(84.83%). Thus, the RP+ET regimen had better efficacy in the treatment of T1-T3
PC in combined-therapeutic regimens, and the RP regimen presented better efficacy
in mono-therapeutic regimen. Our findings indicate that the RP+ET regimen had
better efficacy on improving the overall survival rate of T1-T3 PC patients, and
the RP regimen ranked second.
PMID- 29788737
TI - Diagnosis for carcinoma of unknown primary site with the aid of simple PCR tests:
a single-center experience.
AB - This study was aimed to incorporate PCR testing in the determination of
organ/tissue origin for cancers of unknown primary site (CUP). We developed a PCR
panel consisting of 7 expression markers (CDX2, CDH17, SPB, UGRP, MAM, LPB, TG)
and 2 genes frequently mutated in cancer (KRAS and BRAF). The expression tests
were intentionally interpreted in a non-quantitative way, i.e. classified tumors
either as positive or negative expressors. While applying these tests to 135
cancers belonging to 8 common types of adenocarcinomas (AdCa), we observed that
this panel was capable to clearly discriminate between gastrointestinal vs.
female reproductive tract vs. lung vs. thyroid tumors in 112 (83%) of cases and
provided suggestive clues to correct diagnosis in 20 (15%) of instances. We
further assessed the performance of this panel, coupled with the occasional use
of 2 additional mutation tests (somatic: EGFR; germ-line: BRCA1), in the real
diagnostic setting. The PCR analysis of 20 consecutive CUP with known IHC status
turned out to be clinically useful in 19 (95%) cases, with 16 (80%) instances of
resolving the existing controversy and 3 (15%) cases of providing valuable
confirmation to suspected diagnosis. PCR testing of 20 consecutive CUP with
unknown IHC status succeeded to establish tumor organ/tissue origin in 15 (75%)
instances and provided suggestive clues to the diagnosis in 3 (15%) patients. We
conclude that simple non-expensive laboratory-developed PCR assays may aid CUP
diagnosis in a significant proportion of cases.
PMID- 29788738
TI - Hashimoto's thyroiditis, nodular goiter or follicular adenoma combined with
papillary thyroid carcinoma play protective role in patients.
AB - Papillary thyroid carcinoma (PTC) is often combined with other types of thyroid
disease, such as Hashimoto's thyroiditis(HT), nodular goiter(NG), Follicular
adenoma(FA) and other types. However, the function of these diseases in PTC
tumorigenesis and development is not well understood. In this research, 563 PTC
patients were recruited and divided into two groups according to pathological
diagnosis, namely simple PTC (PTC) and PTC combined with other thyroid diseases
(PTC+). Clinicopathological characteristics and BRAFV600E mutation status were
compared between PTC and PTC+. Our data showed that there was a statistically
significant difference in gender (P=0.007), tumor diameter (5mm, P=0.012; 1cm,
P=0.042), lymph node metastasis (P=0.000) and BRAFV600E mutation status (P=0.001)
between PTC and PTC+. PTC+ patients have lower lymph node metastasis rate, even
if PTC nodule diameter is larger than 5mm (P=0.005) or >=1cm (P=0.049) or
BRAFV600E is mutated (P=0.001). In conclusion, our study suggests that HT, NG and
FA, are protective factors of PTC patients, and PTC+ patients have lower lymph
node metastasis and BRAFV600E mutation rate compared with simple PTC patients.
PMID- 29788739
TI - Identification of recurrent risk-related genes and establishment of support
vector machine prediction model for gastric cancer.
AB - This study sought to investigate genes related to recurrent risk and establish a
support vector machine (SVM) classifier for prediction of recurrent risk in
gastric cancer (GC).Based on the gene expression profiling dataset GSE26253,
feature genes that were significantly associated with survival time and status
were screened out. Subsequently, protein-protein interaction (PPI) network was
constructed for these feature genes, and genes in this network was optimized
using betweenness centrality algorithm in order to identify genes potentially
correlated with GC (named as GCGs). In total, 1202 feature genes were identified
to be significantly associated with survival time and status of GC, among of
which, 65 genes were identified as a classifier that was able to recognize
recurrence and nonrecurrence GC cases with a high sensitivity and specificity,
predictive value (PPV), negative predictive value (NPV) and area under the
receiver operating characteristic curve (AUC). Furthermore, the classifier was
able to reasonably classify tumor samples in GSE15459 into high and low recurrent
risk groups. Among those genes, a set of genes were predicted to have
interactions (e.g. RHOA interacting with TGFBR1, PRKACA and PLCG1; TGFBR1
interacting with TGFBR2) and be involved in pathways like MAPK signaling (e.g.
TGFBR1 and TGFBR2), adherens junction (e.g. RHOA) and apoptosis (e.g. PRKACA).The
genes in the classifier model may be related to GC recurrence, and the classifier
model may contribute to the prediction of recurrent risk in GC.
PMID- 29788740
TI - Multiparametric MRI analysis of morphologico-functional features of DCIS -
correlation with grade of nuclear atypia.
AB - The aim was to analyze morphologico-functional characteristics of ductal
carcinoma in situ (DCIS) in breast MRI to assess signs correlating with the
degree of nuclear atypia. From 636 malignant lesions, 114 were DCIS (17.92%): 44
cases of high-grade (38.60%), 37 intermediate (32.45%), 33 low-grade (28.95%).
MRI characteristics - T2 signal intensity (SI), shape, margins, contrast
enhancement, peripheral/ductal enhancement, kinetic curve, presence of
restriction of diffusion were correlated with the nuclear grade of DCIS.
Statistical analysis was performed, statistical significance and Odds ratio (OR)
were calculated. Signs of high-grade lesions were low SI in T2w (p=0.042),
nonhomogeneous contrast enhancement (p=0.012), wash-out phenomenon (p=0.04), high
SI in diffusion weighted imaging (DWI) (p<0.0001), restriction of diffusion in
apparent diffusion coefficient map (p<0.0001). DWI and wash-out phenomenon
reached the highest OR (56.00, 9.76). Breast MRI using DWI and multiparametric
analysis provides important information about the degree of nuclear atypia.
PMID- 29788741
TI - FOXA1 and CK7 expression in esophageal squamous cell carcinoma and its prognostic
significance.
AB - Esophageal Squamous Cell Carcinoma (ESCC) is one of the most common malignant
tumors in human. Some ESCC cells express adenocarcinoma cell markers, such as
Cytokeratin 7 (CK7), but the clinical significance of these cells in ESCC is
unknown. Immunohistochemical analysis of CK7 and Fork head box protein A1(FOXA1,
an upstream regulator of CK7) was performed on 610 ESCC specimens using tissue
microarray. In total, positive staining of CK7 was 59/594 (10%). CK7 expression
was correlated with ESCC differentiation (P=0.006). The expression of CK7 is
associated with poor overall survival (OS) of ESCC patients (P=0.0498). FOXA1
positive staining was 180/586(31%). FOXA1 expression correlates with
differentiation (P<0.0001) and vascular invasion status (P=0.016) of ESCC. FOXA1
expression was non-independently correlated with poor prognosis of OS in ESCC
patients (P=0.1198), but correlated with the prognosis of ESCC patients in some
specific pathological characteristics, such as age less than 61 years (P=0.0066),
tumor located in the middle segment of esophagus (P=0.0046), and non-lymph node
metastasis (P=0.0377). Correlation analysis between the CK7 and FOXA1 expression
was positive (P<0.0001). In conclusion, FOXA1 expression was positive correlated
with CK7 expression. CK7 expression is an independent prognostic factor for ESCC,
and FOXA1 is a non-independent prognostic factor. CK7 and its upstream factor
FOXA1 both can be used as potential targets for ESCC therapy.
PMID- 29788742
TI - miR-483 is down-regulated in gastric cancer and suppresses cell proliferation,
invasion and protein O-GlcNAcylation by targeting OGT.
AB - MicroRNAs (miRNAs) are involved in the gastric carcinogenesis and progression.
Here, we confirmed that miR-483 was frequently decreased in gastric cancer
patients. The expression levels of miR-483 were negatively correlated with tumor
stage, node metastasis and stromal invasion. Log-rank tests demonstrated that low
expression of miR-483 was strongly correlated with poor overall survival in
patients with gastric cancer. Moreover, ectopic expression of miR-483 remarkably
suppressed gastric cancer cell proliferation by enhancing cell apoptosis and
significantly inhibited the invasion of gastric cancer cells, while low
expression of miR-483 exhibited the opposite effect. Bioinformatics analysis
revealed that OGT was a potential target of miR-483, and miR-483 inhibited the
expression level of OGT mRNA by direct binding to its 3'-untranslated region
(3'UTR). Expression of miR-483 was negatively correlated with OGT in gastric
cancer tissues. In addition, modulation of miR-483 expression could affect the
global cellular protein O-GlcNAcylation in gastric cancer cells. Furthermore,
silencing of OGT counteracted the effects of miR-483 repression, while its
overexpression reversed tumor inhibitory effects of miR-483. In conclusion, our
study revealed that miR-483 functions as a tumor suppressor by inhibiting
proliferation, invasion and protein O-GlcNAcylation of gastric cancer via
targeting OGT, and that miR-483 may serve as prognostic or therapeutic target for
gastric cancer.
PMID- 29788743
TI - Novel insights into transcriptional dysregulation in colorectal cancer.
AB - Colorectal cancer (CRC) is a leading cause of cancer-related mortality worldwide.
Although CRC has been comprehensively characterized at the molecular level, the
tumor heterogeneity hinders the identification of reliable diagnostic, prognostic
and predictive biomarkers. Molecular stratification of CRC is based on prevalent
gene mutations and transcription profiles but its significance for clinical
practice remains obscure. Indeed, activating mutations in the genes KRAS, NRAS
and BRAF are the only predictive biomarkers for anti-EGFR antibody therapy
routinely tested the clinic for advanced stages of CRC. Gene expression
signatures are important for clarifying the molecular mechanisms of CRC
development and progression, but only two such tests for predicting recurrence
risk are commercially available. The aim of our study was to propose a diagnostic
approach based on mutation and gene expression analysis that can be routinely
applied in the clinic for defining the most appropriate treatment strategy for
each patient. We used qPCR to determine the presence of KRAS mutations and
measure the transcription levels of a panel of 26 genes in 24 CRC patients.
Statistical analyses were applied to check for associations between clinico
pathological and molecular parameters. Our results reveal novel data concerning
CRC carcinogenesis: almost universal downregulation of EGFR; differential role of
the pro-inflammatory cytokines TNF-alpha and IL-6; overexpression of the vitamin
B12 transporter transcobalamin 1; tumor-suppressor function of SETD2, CA7 and
GUCA2B. The practical application of these findings has yet to be clarified.
PMID- 29788744
TI - General practitioners' participation in cancer treatment in Norway.
AB - INTRODUCTION: General practitioners (GPs) participate in a patient's cancer care
to different extents at different times, from prevention and diagnosis to
treatment and end-of-life care. Traditionally, the GP has had a minor role in
cancer treatment. However, oncological and surgical services frequently delegate
limited cancer treatment tasks to GPs, especially in rural areas far from
hospitals. The aim of this study was to explore the extent of GPs' participation
in cancer treatment in Norway. METHODS: This study was an observational
questionnaire study. In 2007, the chief municipal medical officer in all 93
municipalities in North Norway and a 25% random sample (85 municipalities) in
South Norway was asked to identify up to five GPs who had recently participated
in local treatment of cancer patients, and to forward a patient questionnaire to
them. RESULTS: Seventy-eight GPs in 49 municipalities returned completed
questionnaires for 118 patients, most of them with progressive disease and living
in rural areas. All the GPs reported substantial participation in therapeutic
tasks for this select group of patients. Not counting palliative treatment, 64%
of the GPs participated in cancer treatment either directly, or indirectly
through referrals. Twenty patients received chemotherapy; they belonged to no
particular diagnostic category. Eighty-eight percent of the GPs prescribed some
kind of palliative medicine, such as analgesic, antiemetic, anxiolytic or
antidepressant. Morphine was prescribed equally often by GPs and hospitals.
Eighty-one percent of GPs reported having had a thorough conversation with the
patient about the patient's condition and circumstances. CONCLUSION: In this
group of GPs, participation rates were high for most of the therapeutic and
communicative tasks suggested in the questionnaire. GP participation is feasible
not only in palliative care, but also in some aspects of oncological treatment
and in clinical follow-up. Communication with both patient and hospital seemed
good in this local setting. GPs are important helpers for some cancer patients.
PMID- 29788745
TI - Obesity and physical fitness indices of children aged 5-12 years living on remote
and isolated islands.
AB - INTRODUCTION: Obesity has become one of the major health risks in childhood,
significantly affecting children's health and physical fitness. Although the
marked increase of obesity in urban areas is well established, evidence is
limited in remote and isolated areas with adverse socioeconomic features. The aim
of this study was to examine the prevalence of obesity and its association with
physical performance indices in young school-aged children living in 18 remote
and isolated Greek islands. METHODS: Four hundred and sixty-three children (244
boys, 219 girls), aged 5-12 years underwent a series of physical fitness tests
including 20 m sprint, standing long jump, 1 kg medicine ball throw, agility T
test and sit-and-reach test. Age and gender BMI cut-off points were determined
according to World Health Organisation (WHO) norms. RESULTS: The prevalence of
obesity was 23.8% and 13.2% for boys and girls, respectively. A negative body
mass index (BMI) main effect was observed for weight-bearing activities, such as
20 m sprint (F=6.21, p=0.000, η2=0.041) and standing long jump (F=11.369,
p=0.000, η2=0.074), while medicine ball throw was positively correlated with
BMI in children aged 9-12 years. CONCLUSION: The results of this study confirmed
previous findings on obesity prevalence in Greece. A negative association was
also found between BMI and physical fitness indices and, in particular, in weight
bearing activities. It is critical to establish physical education interventions
and physical fitness programs at schools, aiming to increase motivation for
physical activity participation.
PMID- 29788746
TI - The concept of "harm" in Internet gaming disorder.
AB - Internet gaming disorder (IGD) is a proposed condition that refers to persistent
gaming leading to clinically significant impairment. However, there have been few
attempts to study the different types and degrees of harm caused by IGD. This
commentary describes some of the negative intrapersonal and interpersonal effects
of an extreme time investment in gaming activities in the context of IGD. Future
research should examine the way in which IGD harms may occur at different levels
and degrees. This may enhance the screening of individuals whose behavior is
suspected to meet the definition of the proposed IGD criteria.
PMID- 29788747
TI - Internet pornography viewing preference as a risk factor for adolescent Internet
addiction: The moderating role of classroom personality factors.
AB - Background and aims Adolescent Internet pornography viewing has been
significantly increased in the last decade with research highlighting its
association with Internet addiction (IA). However, there is little longitudinal
data on this topic, particularly in relation to peer context effects. This study
aimed to examine age- and context-related variations in the Internet pornography
IA association. Methods A total of 648 adolescents, from 34 classrooms, were
assessed at 16 years and then at 18 years to examine the effect of Internet
pornography preference on IA in relation to the classroom context. IA was
assessed using the Internet Addiction Test (Young, 1998), Internet pornography
preference (over other Internet applications) was assessed with a binary (yes/no)
question, and classroom introversion and openness to experience (OTE) with the
synonymous subscales within the Five Factor Questionnaire (Asendorpf & Van Aken,
2003). Results Three-level hierarchical linear models were calculated. Findings
showed that viewing Internet pornography exacerbates the risk of IA over time,
while classroom factors, such as the average level of OTE and introversion,
differentially moderate this relationship. Discussion and conclusion The study
demonstrated that the contribution of Internet pornography preference (as an IA
risk factor) might be increased in more extroverted classrooms and decreased in
OTE classrooms.
PMID- 29788749
TI - University life with ASD: Faculty knowledge and student needs.
AB - Increasingly, young adults with autism spectrum disorder are attending 4-year
universities. The transition to adulthood can be challenging for these students,
and university life poses its own set of demands. The present article takes a
mixed-methods approach by including two studies utilizing complementary
methodologies. Through in-depth interviews with students with autism spectrum
disorder ( n = 13) and college professors ( n = 18), the purpose of the first
study was to evaluate the experiences and needs of college students with autism
spectrum disorder and identify the knowledge that faculty members possessed about
working with these students. Through survey methodology with a larger sample of
faculty members ( n = 132), the purpose of the second study was to obtain more
information about faculty knowledge of autism spectrum disorder, and to learn
whether their pedagogical practices accommodated students with autism spectrum
disorder. Findings revealed that autism is often an "invisible" disability on
campuses, and there are many things that professors need to know with regard to
working with these students in particular. Implications for practice are
discussed.
PMID- 29788748
TI - The impact of comorbid impulsive/compulsive disorders in problematic Internet
use.
AB - Background and aims Problematic Internet use (PIU) is commonplace but is not yet
recognized as a formal mental disorder. Excessive Internet use could result from
other conditions such as gambling disorder. The aim of the study was to assess
the impact of impulsive-compulsive comorbidities on the presentation of PIU,
defined using Young's Diagnostic Questionnaire. Methods A total of 123 adults
aged 18-29 years were recruited using media advertisements, and attended the
research center for a detailed psychiatric assessment, including interviews,
completion of questionnaires, and neuropsychological testing. Participants were
classified into three groups: PIU with no comorbid impulsive/compulsive disorders
(n = 18), PIU with one or more comorbid impulsive/compulsive disorders (n = 37),
and healthy controls who did not have any mental health diagnoses (n = 67).
Differences between the three groups were characterized in terms of demographic,
clinical, and cognitive variables. Effect sizes for overall effects of group were
also reported. Results The three groups did not significantly differ on age,
gender, levels of education, nicotine consumption, or alcohol use (small effect
sizes). Quality of life was significantly impaired in PIU irrespective of whether
or not individuals had comorbid impulsive/compulsive disorders (large effect
size). However, impaired response inhibition and decision-making were only
identified in PIU with impulsive/compulsive comorbidities (medium effect sizes).
Discussion and conclusions Most people with PIU will have one or more other
impulsive/compulsive disorders, but PIU can occur without such comorbidities and
still present with impaired quality of life. Response inhibition and decision
making appear to be disproportionately impacted in the case of PIU comorbid with
other impulsive/compulsive conditions, which may account for some of the
inconsistencies in the existing literature. Large scale international
collaborations are required to validate PIU and further assess its clinical,
cognitive, and biological sequelae.
PMID- 29788751
TI - Radiographic analysis of the correlation between ossification of the nuchal
ligament and sagittal alignment and segmental stability of the cervical spine in
patients with cervical spondylotic myelopathy.
AB - Background Ossification of the nuchal ligament (ONL) caused by chronic injury to
the nuchal ligament (NL) is very common in instability-related cervical
disorders. Purpose To determine possible correlations between ONL, sagittal
alignment, and segmental stability of the cervical spine. Material and Methods
Seventy-three patients with cervical spondylotic myelopathy (CSM) and ONL (ONL
group) and 118 patients with CSM only (control group) were recruited.
Radiographic data included the characteristics of ONL, sagittal alignment and
segmental stability, and ossification of the posterior longitudinal ligament
(OPLL). We performed comparisons in terms of radiographic parameters between the
ONL and control groups. The correlations between ONL size, cervical sagittal
alignment, and segmental stability were analyzed. Multivariate logistic
regression was used to identify the independent risk factors of the development
of ONL. Results C2-C7 sagittal vertical axis (SVA), T1 slope (T1S), T1S minus
cervical lordosis (T1S-CL) on the lateral plain, angular displacement (AD), and
horizontal displacement (HD) on the dynamic radiograph increased significantly in
the ONL group compared with the control group. The size of ONL significantly
correlated with C2-C7 SVA, T1S, AD, and HD. The incidence of ONL was higher in
patients with OPLL and segmental instability. Cervical instability, sagittal
malalignment, and OPLL were independent predictors of the development of ONL
through multivariate analysis. Conclusion Patients with ONL are more likely to
have abnormal sagittal alignment and instability of the cervical spine. Thus,
increased awareness and appreciation of this often-overlooked radiographic
finding is warranted during diagnosis and treatment of instability-related
cervical pathologies and injuries.
PMID- 29788750
TI - Exercise motivational regulations and exercise addiction: The mediating role of
passion.
AB - Background and aims The study explored the mediating role of forms of passion in
the relationship between motivational regulations in exercise and exercise
addiction (EA). Methods A total of 485 university students (368 males and 117
females; Mage = 20.43, SD = 3.21) completed a questionnaire measuring the
frequency and intensity of exercise, motivational regulations in exercise,
passion for exercise, and EA. Controlling the effects of age, frequency, and
intensity of practice, the relationships between the study variables were
examined though a path analysis. Results Both self-determined and non-self
determined forms of motivation showed positive association with EA. The forms of
motivation with greatest predictive power for EA were introjected and integrated
regulations. Both forms of motivation had positive direct and indirect effects
through obsessive passion (OP) on EA; however, integrated regulation also showed
negative indirect effects through harmonious passion on EA. Conclusions Both
forms of passion and, especially, OP, seem to affect how motivational regulations
are associated with EA. These findings clarify the association found in previous
studies between self-determined forms of motivation and EA.
PMID- 29788753
TI - Do gaming disorder and hazardous gaming belong in the ICD-11? Considerations
regarding the death of a hospitalized patient that was reported to have occurred
while a care provider was gaming.
AB - There has been much debate regarding the extent to which different types and
patterns of gaming may be considered harmful from individual and public health
perspectives. A recent event in which a hospitalized patient was reported to have
died while a care provider was gaming is worth considering as an example as to
how gaming may distract individuals from work-related tasks or other activities,
with potential negative consequences. As the 11th edition of the International
Classification of Diseases is being developed, events like these are important to
remember when considering entities like, and generating criteria for, disordered
or hazardous gaming.
PMID- 29788752
TI - Cue-reactivity in behavioral addictions: A meta-analysis and methodological
considerations.
AB - Background and aims Recent research has applied cue-reactivity paradigms to
behavioral addictions. The aim of the current meta-analysis is to systematically
analyze the effects of learning-based cue-reactivity in behavioral addictions.
Methods The current meta-analysis includes 18 studies (29 data sets, 510
participants) that have used a cue-reactivity paradigm in persons with gambling
(eight studies), gaming (nine studies), or buying (one study) disorders. We
compared subjective, peripheral physiological, electroencephal, and neural
responses toward addiction-relevant cues in patients versus control participants
and toward addiction-relevant cues versus control cues in patients. Results
Persons with behavioral addictions showed higher cue-reactivity toward addiction
relevant cues compared with control participants: subjective cue-reactivity (d =
0.84, p = .01) and peripheral physiological and electroencephal measures of cue
reactivity (d = 0.61, p < .01). Increased neural activation was found in the
caudate nucleus, inferior frontal gyrus, median cingulate cortex, subgenual
cingulate, and precentral gyrus. Persons with gambling, gaming, or buying
disorders also showed higher cue-reactivity toward addiction-relevant cues
compared with control cues: subjective cue-reactivity (d = 0.39, p = .11) and
peripheral physiological and electroencephal measures of cue-reactivity (d =
0.47, p = .05). Increased neural activation was found in the caudate nucleus,
inferior frontal gyrus, angular gyrus, inferior network, and precuneus.
Discussion and conclusions Cue-reactivity not only exists in substance-use
disorders but also in gambling, gaming, and buying disorders. Future research
should differentiate between cue-reactivity in addictive behaviors and cue
reactivity in functional excessive behaviors such as passions, hobbies, or
professions.
PMID- 29788754
TI - Sleep quality as a mediator of problematic smartphone use and clinical health
symptoms.
AB - Background and aims Although smartphone use brings many benefits for adolescents,
it is also associated with many serious health problems. This study examined the
relationship between problematic smartphone use (PSU) and clinical health
symptoms (e.g., body dysfunction) and the mediating effects of sleep quality on
this relationship in adolescents. Methods Participants in this cross-sectional
survey were 686 middle- and high-school students (girls = 55.7%, Mage = 12.98 +/-
1.38 years). Participants completed self-report measures of PSU, sleep quality,
and physical symptoms. Correlation analyses and structural equation modeling
between adolescents' PSU and the variables of interest were conducted. Results
This study indicated that there was a significant positive correlation between
PSU and health symptoms. Furthermore, sleep quality mediated the relationship
between PSU and health symptoms. Discussion and conclusions Findings suggest that
to promote health and wellness in adolescents, individuals should be encouraged
to place boundaries on smartphone use, especially at bedtime. Reducing
adolescents' exposure to smartphone use in this way may hold promise for
improving the efficacy of PSU prevention efforts for adolescents.
PMID- 29788755
TI - Gaming under the influence: An exploratory study.
AB - Background and aims Association between substance use and excessive play of
online games exists both in theory and research. However, no study to date
examined playing online games under the influence of licit and illicit drugs.
Methods We questioned a convenient online sample of 3,952 Czech online gamers on
their experiences and motives of using caffeine, alcohol, tobacco, psychoactive
pharmaceuticals, and illicit drugs while playing massive multiplayer online games
(MMOGs). Results The results showed low prevalence of illicit drug use while
playing online games. Substance use was positively associated with intensity of
gaming and both addiction and engagement; psychoactive substances with
stimulating effect were linked to higher engagement and gaming intensity, whereas
use of sedatives was associated with higher addiction score. Substance use varied
slightly with the preference of game genre. Discussion Drug use while playing
appears as behavior, which is mostly not related to gaming - it concerns mostly
caffeine, tobacco, alcohol, or cannabis. For some users, however, drug use was
fueled by motivations toward improving their cognitive enhancement and gaming
performance.
PMID- 29788758
TI - Synthesis and biological evaluation of 2-aminothiazole-thiazolidinone conjugates
as potential antitubercular agents.
AB - AIM: Mycobacterium tuberculosis, which causes tuberculosis, continues to infect
millions of the global population, resulting in 1.8 million deaths worldwide in
2015. METHODOLOGY: Hybrids of 2-amino-4-methylthiazole bearing 5-acetyl/5-ethyl
carboxylate functionality with 5-arylidene thiazolidinone moiety (6a-k and 9a-d)
were synthesized and screened for antitubercular and antimicrobial activities.
RESULTS & DISCUSSION: 5-ethyl carboxylate derivative 6k revealed half
antitubercular activity (minimal inhibitory concentration = 1.56 MUg/ml) than the
acetyl analog 6c (minimal inhibitory concentration = 0.78 MUg/ml), however, it
exhibited more potent broad spectrum antibacterial and antifungal activities in
addition to its excellent safety profile with high selectivity toward M.
tuberculosis over normal human lung cells. Collectively, these data suggested
that compound 6k can be considered as an ideal lead compound for further
optimization.
PMID- 29788759
TI - Advocating for mutually beneficial access to shelved compounds.
PMID- 29788756
TI - Correlates of frequent gambling and gambling-related chasing behaviors in
individuals with schizophrenia-spectrum disorders.
AB - Background and aims Published research on the relationship between disordered
gambling and schizophrenia is limited. However, existing data suggest that
individuals with schizophrenia/schizoaffective disorder may have a high
prevalence of co-occurring disordered gambling. As such, effective strategies for
screening and assessing gambling-related problems in individuals with psychosis
are needed. The goal of this study was to explore the correlates of increased
gambling frequency and chasing behavior, a hallmark feature of gambling disorder,
in a sample of individuals with schizophrenia and schizoaffective disorders.
Methods Data from 336 participants who met DSM-IV criteria for schizophrenia or
schizoaffective disorder were used to examine differences between non-gamblers,
infrequent gamblers, frequent gamblers who do not report chasing, and frequent
gamblers who report chasing on a variety of associated features and symptoms of
schizophrenia and disordered gambling. Results and discussion The results of the
study support the conclusion that chasing behavior in individuals with
schizophrenia/schizoaffective disorder lies on a continuum of severity, with more
frequent gamblers endorsing greater chasing. Chasing was also associated with
indicators of lower functioning across co-occurring disorders, such as greater
problems with alcohol and drugs, greater gambling involvement, and a family
history of gambling problems. The findings from the study suggest the utility of
screening for chasing behavior as a brief and efficient strategy for assessing
risk of gambling problems in individuals with psychotic-spectrum disorders.
PMID- 29788757
TI - Expression of NMDA receptor subunits in human blood lymphocytes: A peripheral
biomarker in online computer game addiction.
AB - Background and aims Repeated performance of some behaviors such as playing
computer games could result in addiction. The NMDA receptor is critically
involved in the development of behavioral and drug addictions. It has been
claimed that the expression level of neurotransmitter receptors in the brain may
be reflected in peripheral blood lymphocytes (PBLs). Methods Here, using a real
time PCR method, we have investigated the mRNA expression of GluN2A, GluN2D,
GluN3A, and GluN3B subunits of the NMDA receptor in PBLs of male online computer
game addicts (n = 25) in comparison with normal subjects (n = 26). Results
Expression levels of GluN2A, GluN2D, and GluN3B subunits were not statistically
different between game addicts and the control group. However, the mRNA
expression of the GluN3A subunit was downregulated in PBLs of game addicts.
Discussion and conclusions Transcriptional levels of GluN2A and GluN2D subunits
in online computer game addicts are similar to our previously reported data of
opioid addiction and are not different from the control group. However, unlike
our earlier finding of drug addiction, the mRNA expression levels of GluN3A and
GluN3B subunits in PBLs of game addicts are reduced and unchanged, respectively,
compared with control subjects. It seems that the downregulated state of the
GluN3A subunit of NMDA receptor in online computer game addicts is a finding that
deserves more studies in the future to see whether it can serve as a peripheral
biomarker in addiction studies, where the researcher wants to rule out the
confusing effects of abused drugs.
PMID- 29788760
TI - Regression patterns of choroidal melanoma: After palladium-103 (103Pd) plaque
brachytherapy.
AB - PURPOSE:: To describe the patterns of regression of choroidal melanoma after
treatment with plaque brachytherapy. METHODS:: Retrospective interventional case
series including 170 consecutive patients treated with 103Pd eye plaque radiation
for choroidal melanoma. Outcome measures were changes in tumor thickness, surface
characteristics, tumor vascularity, ultrasonography, fluorescein angiography,
optical coherence tomography, and histopathology. RESULTS:: The mean initial
tumor thickness was 3.9 mm (median 2.8 mm; range 2-11.3 mm) that decreased to 1.7
mm (median 1.2 mm; range 0-7.1 mm) after plaque brachytherapy. On imaging, tumors
were pigmented in 51% ( n = 86/170), amelanotic in 10% ( n = 17/170), and
variably pigmented in 39% ( n = 67/170). Tumor pigmentation increased in 64% ( n
= 106/166), decreased in 18% ( n = 30/166), and was unchanged in 18% ( n =
30/166). Of the 120 that demonstrated intrinsic vascularity, 10% ( n = 12/120)
had decreased tumor-related vascularity and 90% ( n = 108/120) showed complete
resolution. Subretinal fluid was present in 34% ( n = 58/170) of eyes at
presentation. Of them, 15% (9; n = 9/58) had persistent SRF at last follow-up. On
ultrasound imaging, 88% ( n = 149/170) tumors presented with low to moderate
internal reflectivity of which 61% ( n = 91/149) showed increased reflectivity on
regression. We noted a crescendo-decrescendo fluctuation in the presence of
orange pigment lipofuscin along with complete resolution of drusenoid retinal
pigment epithelial detachments. In the entire series of 170 patients, there was
0.5% (1) failure of local control, 2% (4) secondary enucleations, and 6% (10)
patients developing metastasis. CONCLUSION:: Findings related to choroidal
melanoma regression after 103Pd plaque brachytherapy included decreased intrinsic
tumor vascularity, decreased tumor-related subretinal fluid, increased
pigmentation, specific changes in orange pigment lipofuscin and resolution of
drusenoid retinal pigment epithelial detachments, as well as decreased tumor
thickness with an increase in internal reflectivity on ultrasound.
PMID- 29788761
TI - Prevalence of gambling-related harm provides evidence for the prevention paradox.
AB - Background The prevention paradox (PP) describes a situation in which a greater
number of cases of a disease-state come from low-risk members of a population,
because they are more prevalent than high-risk members. Past research has
provided only tangential and disputed evidence to support the application of the
PP to gambling-related harm. Aims To assess whether the PP applies to gambling,
the prevalence of a large set (72) of diverse harmful consequences from gambling
was examined across four risk categories for problem gambling, including no-risk,
low-risk, moderate-risk, and problem-gambling. Methods Respondents who had
gambled on non-lottery forms in the past 6 months completed an online survey (N =
1,524, 49.4% male). The data were weighted to the known prevalence of gambling
problems in the Victorian community. Results The prevalence of gambling harms,
including severe harms, was generally higher in the combined categories of lower
risk categories compared to the high-risk problem-gambling category. There were
some notable exceptions, however, for some severe and rare harms. Nevertheless,
the majority of harms in the 72-item list, including serious harms such as
needing temporary accommodation, emergency welfare assistance, experiencing
separation or end of a relationship, loss of a job, needing to sell personal
items, and experiencing domestic violence from gambling, were more commonly
associated with lower risk gamblers. Conclusion Many significant harms are
concentrated outside the ranks of gamblers with a severe mental health condition,
which supports a public-health approach to ameliorating gambling-related harm.
PMID- 29788763
TI - Progress toward development of a proteostasis drug for myocilin-associated
glaucoma.
PMID- 29788764
TI - Blood Sugar, Your Pancreas, and Unicorns: The Development of Health Education
Materials for Youth With Prediabetes.
AB - BACKGROUND: The obesity epidemic has led to an increase in prediabetes in youth,
causing a serious public health concern. Education on diabetes risk and
initiation of lifestyle change are the primary treatment modalities. There are
few existing age-appropriate health education tools to address diabetes
prevention for high-risk youth. AIM: To develop an age-appropriate health
education tool(s) to help youth better understand type 2 diabetes risk factors
and the reversibility of risk. METHOD: Health education tool development took
place in five phases: exploration, design, analysis, refinement, and process
evaluation. RESULTS: The project resulted in (1) booklet designed to increase
knowledge of risk, (2) meme generator that mirrors the booklet graphics and
allows youth to create their own meme based on their pancreas' current mood, (3)
environmental posters for clinic, and (4) brief self-assessment that acts as a
conversation starter for the health educators. CONCLUSION: Patients reported high
likability and satisfaction with the health education tools, with the majority of
patients giving the materials an "A" rating. The process evaluation indicated a
high level of fidelity and related measures regarding how the health education
tools were intended to be used and how they were actually used in the clinic
setting.
PMID- 29788762
TI - Distinct patterns of Internet and smartphone-related problems among adolescents
by gender: Latent class analysis.
AB - Background and objectives The ubiquitous Internet connections by smartphones
weakened the traditional boundaries between computers and mobile phones. We
sought to explore whether smartphone-related problems differ from those of
computer use according to gender using latent class analysis (LCA). Methods After
informed consents, 555 Korean middle-school students completed surveys on gaming,
Internet use, and smartphone usage patterns. They also completed various
psychosocial instruments. LCA was performed for the whole group and by gender. In
addition to ANOVA and chi2 tests, post-hoc tests were conducted to examine
differences among the LCA subgroups. Results In the whole group (n = 555), four
subtypes were identified: dual-problem users (49.5%), problematic Internet users
(7.7%), problematic smartphone users (32.1%), and "healthy" users (10.6%). Dual
problem users scored highest for addictive behaviors and other psychopathologies.
The gender-stratified LCA revealed three subtypes for each gender. With dual
problem and healthy subgroup as common, problematic Internet subgroup was
classified in the males, whereas problematic smartphone subgroup was classified
in the females in the gender-stratified LCA. Thus, distinct patterns were
observed according to gender with higher proportion of dual-problem present in
males. While gaming was associated with problematic Internet use in males,
aggression and impulsivity demonstrated associations with problematic smartphone
use in females. Conclusions An increase in the number of digital media-related
problems was associated with worse outcomes in various psychosocial scales.
Gaming may play a crucial role in males solely displaying Internet-related
problems. The heightened impulsivity and aggression seen in our female
problematic smartphone users requires further research.
PMID- 29788765
TI - Managing autism spectrum disorder in developing countries by utilizing existing
resources: A perspective from Bangladesh.
PMID- 29788766
TI - Design, synthesis and 3D QSAR based pharmacophore study of novel imatinib analogs
as antitumor-apoptotic agents.
AB - AIM: Imatinib possesses various mechanisms for combating cancer, making the
development of imatinib analogs an attractive target for cancer research. METHOD:
Two series of analogs were designed and synthesized, maintaining the essential
pharmacophoric features in imatinib structure. The synthesized compounds were
subjected to cell-based antiproliferative assays against nonsmall lung (A549) and
colon cancer cell lines. In addition, flow cytometry cell cycle and caspase-3
colorimetric assays were performed. RESULTS: Most compounds showed potent
anticancer activity against both cell lines with IC50 = 0.14-5.07 MUM. Three
compounds demonstrated ability to reinforce cell cycle arrest at G1 stage in a
manner similar to imatinib. In addition, they induced apoptosis via activation of
caspase-3.
PMID- 29788767
TI - Limitations of current monoclonal antibodies for plaque-type psoriasis and an
outlook for the future.
PMID- 29788768
TI - Short-Term Impact of tDCS Over the Right Inferior Frontal Cortex on Impulsive
Responses in a Go/No-go Task.
AB - Inhibitory control, a process deeply studied in laboratory settings, refers to
the ability to inhibit an action once it has been initiated. A common way to
process data in such tasks is to take the mean response time (RT) and error rate
per participant. However, such an analysis ignores the strong dependency between
spontaneous RT variations and error rate. Conditional accuracy function (CAF) is
of particular interest, as by plotting the probability of a response to be
correct as a function of its latency, it provides a means for studying the
strength of impulsive responses associated with a higher frequency of fast
response errors. This procedure was applied to a recent set of data in which the
right inferior frontal gyrus (rIFG) was modulated using transcranial direct
current stimulation (tDCS). Healthy participants (n = 40) were presented with a
"Go/No-go" task (click on letter M, not on letter W, session 1). Then, one
subgroup (n = 20) was randomly assigned to one 20-minutes neuromodulation session
with tDCS (anodal electrode, rIFG; cathodal electrode, neck); and the other group
(n = 20) to a condition with sham (placebo) tDCS. All participants were finally
confronted to the same "Go/No-go" task (session 2). The rate of commission errors
(click on W) and speed of response to Go trials were similar between sessions 1
and 2 in both neuromodulation groups. However, CAF showed that active tDCS over
rIFG leads to a reduction of the drop in accuracy for fast responses (suggesting
less impulsivity and greater inhibitory efficiency), this effect being only
visible for the first experimental block following tDCS stimulation. Overall, the
present data indicate that boosting the rIFG may be useful to enhance inhibitory
skills, but that CAF could be of the greatest relevance to monitor the temporal
dynamics of the neuromodulation effect.
PMID- 29788770
TI - Synthesis & antitumor activity of epothilones B and D and their analogs.
AB - Epothilone is a newly developed antitumor drug; its antitumor principle is to
stop the cell cycle by binding to tubulin in tumor cells, promoting tubulin
polymerization, inhibiting depolymerization of microtubules, and ultimately
inducing apoptosis. There are many analogs of epothilone, such as epothilone B,
epothilone D, ixabepilone, sagopilone, 21-amino-epothilone B and KOS-1584.
Herein, the synthesis and antitumor activity of epothilones B and D were summed
up. The antitumor activity of epothilone analogs was also compared. Synthesis of
epothilone and its analogs is more complex, and choosing the proper synthetic
method is very important. Moreover, these compounds have obvious antitumor
effect. The epothilone and its analogs will continue to play an important role in
the future treatment of tumors.
PMID- 29788769
TI - Audit of Intrapartum Care Based on the National Guideline for Midwifery and Birth
Services.
AB - Providing high-quality maternity care is a worldwide health concern that
necessitates regular assessment of intrapartum practice. In an observational
study, we aimed to audit intrapartum care based on the National Guideline for
Midwifery and Birth Services. Using quota sampling, a total of 200 pregnant
women, admitted for normal vaginal delivery, were recruited from four educational
hospitals in Tehran, Iran. An observational checklist was developed based on the
national guideline to assess the quality of provided care. Content and face
validity of the tool were checked and confirmed. Reliability of the observational
checklist and questionnaire was confirmed using concurrent observation
(intrarater reliability; r = .93) and test-retest ( r = .9) methods,
respectively. We found that the compatibility of intrapartum care and the
national guideline in different domains were as follows: history taking 88.3%,
vital sign measurement 64.6%, performing Leopold's maneuver 38.5%, initial
assessment 83.4%, labor care 22.5%, using pain relief methods 63.5%, labor
progress assessment 71.5%, process of delivery 89.5%, and postpartum management
89.5%. The findings indicate that additional attention and monitoring are
required to align current intrapartum care practices with the national
guidelines.
PMID- 29788771
TI - Understanding Design Vulnerabilities in the Physical Environment Relating to
Patient Fall Patterns in a Psychiatric Hospital: Seven Years of Sentinel Events.
AB - BACKGROUND: The influence of the physical environment on patient falls has not
been fully explored in psychiatric units, despite this patient population's
vulnerability and the critical role of the physical environment in patient
safety. AIMS: The research objective is to describe the spatial and temporal
pattern of falls occurrences and their location in relation to the levels of
safety continuum model. METHOD: This article presents an exploratory case study
design. Seven years of retrospective data on patient falls, yielding 818 sentinel
events, in an 81-bed psychiatric hospital in the United States were collected and
analyzed. Data focused on extrinsic factors for falls, emphasizing the physical
environment. Through a content analysis of the sentinel event narratives,
recorded by the hospital staff, this study explored patient falls related to
location and elements of the physical environment. RESULTS: The analysis revealed
that 15% of recorded falls were attributed to some aspect of or element within
the physical environment. The most typical locations of falls were patient rooms
(39%), patient bathrooms (22%), and dayrooms (20%). Also, the results identified
patterns of environmental factors that appeared linked to increasing patients'
susceptibility to falls. Risk factors included poor nighttime lighting, flooring
surfaces that were uneven, and spaces that inadvertently limited visual access
and supervision. CONCLUSIONS: The physical environment plays an often-unexamined
role in fall events and specific locations. These results are deserving of
further research on design strategies and applications to reduce patient falls in
psychiatric hospital settings.
PMID- 29788772
TI - Conceptualising "dose" in paediatric language interventions: Current findings and
future directions.
AB - Dose is a particularly important element of treatment for speech and language
disorders, yet relatively little is known concerning how best to operationalise
dose in clinical settings. This keynote provides an overview of dose as a
theoretical and empirical concept and discusses recent findings that question
whether "more is better" when treating children with language disorders. Given
recent evidence showing that spaced treatments may result in optimal gains for
children, I argue that low-frequency therapy sessions can be especially
beneficial for children with language disorders when they are highly productive,
providing children with high levels of dose.
PMID- 29788773
TI - Corrigendum.
PMID- 29788774
TI - The Standardization of Diagnostic Criteria for Fetal Alcohol Spectrum Disorder
(FASD): Implications for Research, Clinical Practice and Population Health.
AB - OBJECTIVE: Fetal Alcohol Spectrum Disorder (FASD) is a preventable disorder
caused by maternal alcohol consumption and marked by a range of physical and
mental disabilities. Although recognized by the scientific and medical community
as a clinical disorder, no internationally standardized diagnostic tool yet
exists for FASD. METHODS AND RESULTS: This review seeks to analyse the
discrepancies in existing diagnostic tools for FASD, and the repercussions these
differences have on research, public health, and government policy. CONCLUSIONS:
Disagreement on the adoption of a standardised tool is reflective of existing
gaps in research on the conditions and factors that influence fetal vulnerability
to damage from exposure. This discordance has led to variability in research
findings, inconsistencies in government messaging, and misdiagnoses or missed
diagnoses. The objective measurement of the timing and level of prenatal alcohol
exposure is key to bridging these gaps; however, there is conflicting or limited
evidence to support the use of existing measures.
PMID- 29788775
TI - What would help low-income families?: Results from a North American survey of 2-1
1 helpline professionals.
AB - Almost half of young American children live in low-income families, many with
unmet needs that negatively impact health and life outcomes. Understanding which
needs, proactively addressed, would most improve their lives would allow maternal
and child health practitioners and social service providers to generate
collaborative solutions with the potential to affect health in childhood and
throughout the life course. 2-1-1 referral helplines respond to over 16 million
inquiries annually, including millions of low-income parents seeking resources.
Because 2-1-1 staff members understand the availability of community resources,
we conducted an online survey to determine which solutions staff believed held
most potential to improve the lives of children in low-income families.
Information and referral specialists, resource managers, and call center
directors ( N = 471) from 44 states, Puerto Rico, and Canada ranked the needs of
2-1-1 callers with children based on which needs, if addressed, would help
families most. Childcare (32%), parenting (29%), and child health/health care
(23%) were rated most important. Across all childcare dimensions (e.g. quality
affordable care, special needs care), over half of the respondents rated
community resources inadequate. Findings will help practitioners develop
screeners for needs assessment, prioritize resource referrals, and advocate for
community resource development.
PMID- 29788776
TI - Lenstar(r) LS 900 vs Pentacam(r)-AXL: Comparative study of ocular biometric
measurements and intraocular lens power calculation.
AB - PURPOSE:: Comparison of biometric measurements and calculation of intraocular
lens with a new biometer (Pentacam(r)-AXL, Oculus, Germany) and a reference
biometer (Lenstar LS 900(r), Haag-Streit AG, Switzerland), in order to assess the
agreement between these two devices. SETTING:: Centro Hospitalar de Leiria,
Portugal. MATERIALS AND METHODS:: Prospective, institutional study, in which
measurements of axial length, anterior chamber depth from the corneal epithelium
and endothelium to the anterior surface of the lens (anterior chamber depth ext
and anterior chamber depth int), central corneal thickness and keratometry
readings of the flattest and steepest meridians (K1 and K2) were obtained with
the two systems. Intraocular lens calculation was also performed, using the
Haigis, SRK/T and HofferQ formulas. RESULTS:: The study sample included 136 eyes
of 79 patients. Of these, 42 were women and 37 were men. Statistically
significant differences were found (p < 0.05, paired T test) in K1, K2 and
central corneal thickness between the 2 biometers. Intraocular lens calculation
with the Lenstar(r) and the Pentacam(r) with Haigis, SRK/T and HofferQ formulas
showed statistically significant differences (p < 0.05 Paired T test).
CONCLUSION:: Axial length measurements obtained with the Pentacam(r) and
Lenstar(r) appear to be interchangeable, while measurements of anterior chamber
depth, K1 and K2, and central corneal thickness do not appear to be
interchangeable between different devices. Statistically significant differences
were found in the calculation of intraocular lenses in all formulas used.
PMID- 29788777
TI - In Response to Letters to the Editor From the American Diabetes Association and
Eli Lilly in Regard to: Insulin Concentration in Vials Randomly Purchased in
Pharmacies in the United States: Considerable Loss in the Cold Supply Chain.
PMID- 29788778
TI - Validation of the short version of the Cognitive Emotion Regulation Questionnaire
for Spanish children.
AB - This study aimed to validate a short version of the Cognitive Emotion Regulation
Questionnaire for Spanish kids (CERQ-Sk) based on the 18-item version available
for adults. A sample of 654 children aged 7-12 years completed the CERQ-Sk and
tests for depression and anxiety measures. Confirmatory factor analysis supported
the 18-item version and the original nine-factor structure, which includes self
blame, acceptance, rumination, positive refocusing, refocus on planning, positive
reappraisal, putting into perspective, catastrophizing, and other-blame
(comparative fit index = .99, Tucker-Lewis index = .98, root mean square error of
approximation = .02). Internal consistency was adequate (ordinal alpha = .80),
and the eight-week stability of this version was moderate (intraclass correlation
= .69). Criterion validity was supported by correlations among self-blame,
rumination, and catastrophizing (positive) and among positive reappraisal and
depression and anxiety symptoms (negative). Results suggest that the short
version of the CERQ-Sk is a valid and reliable instrument for assessing these
cognitive emotion regulation strategies during the middle childhood developmental
period. Clinicians and researchers will benefit from this briefer acceptable
version when time is not available for the 36-item version. This study offers
preliminary results for the first short version of the CERQ for children.
PMID- 29788779
TI - Elevated Serum Lipid Peroxidation and Reduced Vitamin C and Trace Element
Concentrations Are Correlated With Epilepsy.
AB - BACKGROUND: Epilepsy is one of the chronic and heterogeneous epidemic
neurological disorders leading to substantial mortality. The aim of the present
study was to investigate the serum levels of malondialdehyde (MDA), vitamin C,
and trace elements namely zinc (Zn), copper (Cu), and manganese (Mn) in epileptic
patients of Bangladesh and to establish if there are any pathophysiological
correlations. METHODS: This was a case-control study with 40 generalized
epileptic patients and 40 healthy subjects as controls. Epilepsy was determined
by the presence of seizure events with an abnormal electroencephalography and
magnetic resonance imaging report of brain. RESULTS: Anthropometric parameters
highlighted that age is a major risk factor of epilepsy and men are more prone to
epilepsy than women. Blood serum analysis demonstrated significantly ( P < .001)
higher values of MDA and lower level of vitamin C in the patient group (4.41 +/-
0.76 MUmol/mL and 18.31 +/- 0.84 MUmol/L, respectively) compared with control
(1.81 +/- 0.70 MUmol/mL and 29.72 +/- 1.06 MUmol/L, respectively). Pearson's
correlation analysis revealed a negative correlation between the serum level of
MDA and vitamin C for both patient ( r = -0.023, P = .887) and control group ( r
= -0.142, P = .383). This study also revealed that the trace elements (Zn, Cu)
were significantly ( P < .05) lower in epileptics (68.32 +/- 4.59 and 50.81 +/-
2.54 MUg/dL, respectively) where the level of Mn in patients (187.71 +/- 9.04
MUg/dL) was almost similar to that of the control group ( P > .05). The
univariate analysis demonstrated that zinc <70 MUg/dL (odds ratio = 3.56, P <
.05) and copper <50 MUg/dL were associated (odds ratio = 14.73, P < .001) with an
increased risk of epilepsy. Establishment of interelement relationship strongly
supported that there was a disturbance in the element homeostasis of epileptic
patients. CONCLUSIONS: The study results strengthen the role of lipid
peroxidation, antioxidants and trace elements in the pathogenesis and warrant
larger studies to investigate the association of these biochemical parameters
with epilepsy.
PMID- 29788781
TI - Purines and triazolo[4,3-e]purines containing pyrazole moiety as potential
anticancer and antioxidant agents.
AB - AIM: Targeting apoptosis regulators such as caspases aiming at inducing apoptosis
is an attractive strategy in cancer therapy. MATERIALS & METHODS: 8-substituted
purine incorporating pyrazole moiety were designed, synthesized and evaluated for
their anticancer and antioxidant activities. RESULTS: Compounds 7a and 8a
displayed potent and selective anticancer activity against lung cancer A549 cell
line with low cytotoxicity on peripheral blood mononuclear normal cells.
Compounds 7a and 8a induced caspase dependent apoptotic death and DNA damage in
all cancer cell lines. In addition, compounds 2, 5, 6a, 7a, 8a, 8c, 11a, 11b and
12b showed good antioxidant activity higher than that of the standard ascorbic
acid. CONCLUSION: Compounds 7a and 8a can be considered promising dual anticancer
and antioxidant leads inducing caspase-dependent apoptotic death and DNA damage.
PMID- 29788780
TI - Isoform selectivity of harmine-conjugated 1,2,3-triazoles against human monoamine
oxidase.
AB - AIM: There is little information available on the monoamine oxidase isoform
selectivity of N-alkyl harmine analogs, which exhibit a myriad of activities
including MAO-A, DYRK1A and cytotoxicity to several select cancer cell lines.
RESULTS: Compounds 3e and 4c exhibited an IC50 of 0.83 +/- 0.03 and 0.43 +/-
0.002 MUM against MAO-A and an IC50 of 0.26 +/- 0.04 and 0.36 +/- 0.001 MUM
against MAO-B, respectively. Molecular docking studies revealed pi-pi
interactions between the synthesized molecules and aromatic amino acid residues.
Conclusion & future perspective: The current study delineates the structural
requirements for MAO-A selectivity and such information may be helpful in
designing selective analogs for kinase, DYRK1A and harmine-based cytotoxics
without apparent MAO enzyme inhibition.
PMID- 29788782
TI - Are SGLT2 inhibitors or GLP-1 receptor agonists more appropriate as a second-line
therapy in type 2 diabetes?
PMID- 29788783
TI - A Mixed-Methods Approach to Understanding the Palliative Needs of Parkinson's
Patients.
AB - Parkinson's disease (PD) is the second-most common age-related neurodegenerative
disorder. Despite recommendations for a palliative approach, little is known
about what palliative needs are unmet by standard care. This study aims to (a)
identify palliative needs of PD patients, (b) determine the relationship between
palliative needs and health-related quality of life (HRQoL), and (c) probe into
factors affecting HRQoL. PD patients and neurologists were recruited for a survey
on palliative need; a subset of patients was interviewed. Significant differences
between physicians and patients were found in Physical, Psychological, Social,
Financial, and Spiritual domains. Physical and Psychological needs predicted
HRQoL. Primary themes across interviews included (a) lack of healthcare education
and (b) need for care coordination. Secondary themes included (a) the importance
of support groups, (b) the role of spirituality/religion, and (c) the narrow
perceived role of the neurologist. Findings highlight the importance of
coordinated individualized care.
PMID- 29788784
TI - Elbow flexion contractures in brachial plexus birth injury: function and
appearance related factors.
AB - PURPOSE: The purpose of this study was to identify the functional and aesthetic
factors associated with an elbow flexion contracture in children with a brachial
plexus birth injury who identified their elbow flexion contracture as a problem.
MATERIALS AND METHODS: A retrospective cross-sectional study of children with
brachial plexus birth injury between 7 and 18 years was conducted to compare the
characteristics of children who had treatment for an elbow flexion contracture
with those who did not. RESULTS: Fifty of the 200 children included in the study
had treatment (one surgical release, 49 serial casting/splinting) for the elbow
flexion contracture. Children who had treatment were an average 12.4 years of
age, which was significantly older than those who did not have treatment. Elbow
extension passive range of motion was an average -40.6 degrees prior to
treatment. Stepwise logistical regression model indicated that children who had
treatment had greater severity in elbow contracture, higher Brachial Plexus
Outcome Measure Activity scores, and lower Brachial Plexus Outcome Measure Self
Evaluation Appearance scores. CONCLUSIONS: In addition to severity of contracture
and function, perceived appearance of the limb is important factor to evaluate in
the management of elbow flexion contractures. Implications for rehabilitation
Priority is often given to evaluate the functional implications of elbow flexion
contractures in brachial plexus birth injury to determine recommendations for
rehabilitation interventions such as serial casting and splinting. Findings in
this study indicate that severity of contracture, upper extremity activity
function, and perceived upper extremity appearance are important factors in the
management of elbow contractures. In addition to upper extremity function,
routine evaluation of perceived upper extremity appearance in children and
adolescents is important in the management of elbow flexion contractures.
PMID- 29788785
TI - Impaired serotonin communication during juvenile development in rats diminishes
adult sperm quality.
AB - : Spermatogenesis and steroidogenesis are testicular functions regulated by
gonadotrophins as well as other factors, including serotonin. Testicular
serotonin acts as an autocrine regulator of testosterone secretion, but studies
on its role in spermatogenesis and sperm quality are scarce. Here, we analyzed
the effects of intratesticular inhibition of serotonin synthesis on
gonadotrophins, testosterone, and sperm quality. Both testicles of 30-day-old
rats were injected once with saline solution (SS) or distinct concentrations of p
chloroamphetamine (PCA) (0.03, 0.06, or 0.12 mg). At 65 days of age, rats were
euthanized and sperm density, motility, membrane integrity, mitochondrial
function, and abnormalities were evaluated in gametes from the vas deferens.
Inhibition of synthesis of intratesticular serotonin by PCA diminished the
concentrations of testosterone and follicle-stimulating hormone (FSH) but
luteinizing hormone (LH) levels were unaltered. Sperm density was not modified in
animals injected with the different concentrations of PCA. In contrast, the
percentage of sperm with abnormalities increased and the sperm membrane integrity
decreased in animals injected at higher PCA concentrations. The functionality of
sperm mitochondria in PCA-injected animals decreased only at the highest PCA
dose. Our results indicate that testicular serotonin plays a role in testosterone
synthesis and in the normal development of sperm, and blocking its effects
disrupts the hormonal communication between the testis and hypophysis.
ABBREVIATIONS: SS: saline solution; PCA: p-chloroamphetamine; FSH: follicle
stimulating hormone; LH: luteinizing hormone; TPH: tryptophan hydroxylase; MAO:
monoamine oxidase; AC: absolute control group; PI: propidium iodide; FLICA:
fluorescence inhibitor of caspase; 3beta-HSD: 3beta-hydroxysteroid dehydrogenase;
17-KSR: 17-ketosteroid reductase; DHT: 5-dihydrotestosterone.
PMID- 29788786
TI - Emergency room visits for chronic obstructive pulmonary disease in Illinois
counties: An epidemiological study based on the Social Ecological Model.
AB - Objectives This study aimed to determine the predictive capability of the Social
Ecological Model for emergency room visits for acute exacerbation of chronic
obstructive pulmonary disease. Methods County-level secondary data ( n = 102) on
emergency room visits for chronic obstructive pulmonary disease were retrieved
from the Illinois Department of Public Health for 2016. Data for variables
operationalized from the intrapersonal, interpersonal, institutional, community,
and public policy levels of the Social Ecological Model were retrieved from
several sources. Geographic information system software was used to examine the
spatial distribution of emergency room visits for chronic obstructive pulmonary
disease in Illinois. Robust linear regression analysis was used to examine
significant predictors of emergency room visits for chronic obstructive pulmonary
disease. Results A regression model with all five levels of the Social Ecological
Model accounted for 50% of the variability in emergency room visits for chronic
obstructive pulmonary disease, F(24,77) = 4.62, p < 0.001. Statistically
significant predictors of emergency room visits for chronic obstructive pulmonary
disease were observed within the interpersonal, institutional, and community
levels of the Social Ecological Model. Discussion Community health practitioners
working to develop programs aimed at controlling chronic obstructive pulmonary
disease exacerbations in Illinois should consider multiple levels of influence.
PMID- 29788788
TI - Diagnostic Performance of the Electroencephalogram in the Elderly Manifesting
With Episodes of Unresponsiveness.
AB - This study aimed to determine diagnostic performance of the EEG in diagnosing
seizures and to ascertain risk factors associated with seizures in the elderly
presenting with episodes of unresponsiveness compared with younger patients. This
is a cross-sectional study. Only EEGs requested with indication of transient
unresponsiveness (TUR) were included. Patients were divided into 2 groups,
younger (aged 18-49 years) and elderly (aged >60 years). The EEG was an index
test. Reference standard, independently verified by 2 board-certified
neurologists, was a clinical diagnosis of presence or absence of
epilepsy/probable seizures. Univariate and multivariate analyses were performed
to ascertain associated risk factors for epilepsy/probable seizures. Among 2187
total EEG recordings, 244 (11.16%) recordings were requested with indication of
TUR. A total of 156 patients (50 younger and 106 elderly) were recruited for
analysis. Prevalence of epilepsy/probable seizures in patients with TUR was
26.9%. Prevalence of interictal epileptiform discharges was 16% in the younger
and 12.3% in the elderly. Overall diagnostic performance was poorer in the
elderly. Associated risk factors for having seizures were presence of
intermittent slow waves in the younger and presence of positive motor signs as
well as presence of nonepileptiform abnormalities in the elderly. Prevalence of
seizures and interictal epileptiform discharges was low in the elderly who
manifests with TUR. Overall diagnostic performance of the EEG in diagnosing
seizures was poorer in the elderly mainly due to low sensitivity. When we
encounter patients with TUR, thorough and detailed history is still a mainstay of
a diagnosis of seizures, not the EEG results.
PMID- 29788789
TI - Measuring Functional Impairment in Children and Adolescents: Psychometric
Properties of the Columbia Impairment Scale (CIS).
AB - The role of measuring functional impairment holds an important place in research,
clinical practice, and service provision for children and adolescents. Responding
to the growing need to measure serious emotional disturbances at the local,
state, and national level, the Columbia Impairment Scale (CIS) was developed in
the early 1990s and has remained one of the several popular scales for assessing
functional impairment. However, despite the growing popularity of the instrument
in research and practice, only a few studies to date have specifically examined
the psychometric properties of the CIS. In this article, we describe the results
of the first item response theory analysis of the CIS utilizing nationally
representative data from the Medical Expenditure Panel Survey ( N = 69,966). The
results of our analysis lend support to the essential unidimensionality of the
CIS and demonstrate that the scale is most reliable for those who exhibit high
levels of functional impairment. Given the psychometric properties of the scale
identified by our analysis, we contend that the CIS is a viable measure in the
ongoing efforts to establish a national epidemiologic surveillance system to
track the prevalence and impact of serious emotional disturbances in children and
adolescents.
PMID- 29788787
TI - Review of bioactive secondary metabolites from marine bryozoans in the progress
of new drugs discovery.
AB - Marine bryozoans play an important role for the discovery of novel bioactive
compounds among marine organisms. In this review, we summarize 164 new secondary
metabolites including macrocyclic lactones, sterols, alkaloids, sphingolipids and
so forth from 24 marine bryozoans in the last two decades. The structural
features, bioactivity, structure-activity relationship, mechanism and strategies
to address the resupply of these scarce secondary metabolites are discussed. The
structural and bioactive diversity of the secondary metabolites from marine
bryozoans indicated the possibility of using these compounds, especially
bryostatin 1 (1), bryostatin analog (BA1), alkaloids (50, 53, 127-128 and 134
139), sphingolipids sulfates (148 and 149) and sulfur-containing aromatic
compound (160), as the starting points for new drug discovery.
PMID- 29788790
TI - EEG Differences in Two Clinically Similar Rapid Dementias: Voltage-Gated
Potassium Channel Complex-Associated Autoimmune Encephalitis and Creutzfeldt
Jakob Disease.
AB - Distinguishing treatable causes for rapidly progressive dementia from those that
are incurable is vital. Creutzfeldt-Jakob disease (CJD) and voltage-gated
potassium channel complex-associated autoimmune encephalitis (VGKC AE) are 2 such
conditions with disparate outcomes and response to treatment. To determine the
differences in electroencephalography between CJD and VGKC AE, we performed a
retrospective review of medical records and examined clinical data, neuroimaging,
and electroencephalographs performed in patients admitted for evaluation for
rapidly progressive dementia diagnosed with CJD and VGKC AE at the Johns Hopkins
Hospital and Bayview Medical Center between January 1, 2007 and December 31,
2015. More patients in the VGKC AE group had seizures (12/17) than those with CJD
(3/14; P = .008). Serum sodium levels were lower in those with VGKC AE ( P =
.001). Cerebrospinal fluid (CSF) white blood cell count was higher in VGKC AE ( P
= .008). CSF protein 14-3-3 ( P = .018) was more commonly detected in CJD, and
tau levels were higher in those with CJD ( P < .006). On neuroimaging, diffusion
restriction in the cortex ( P = .001), caudate ( P < .001), and putamen ( P =
.001) was more frequent in CJD. Periodic sharp wave complexes ( P = .001) and
generalized suppressed activity ( P = .008) were more common on initial EEG in
CJD. On serial EEGs, generalized periodic discharges ( P = .004), generalized
suppressed activity (P=0.008), and periodic sharp wave complexes ( P < .001) were
detected more in CJD. This study shows that there are a number of differentiating
features between CJD and VGKC AE, and electroencephalography can aid in their
diagnoses. Performing serial EEGs better delineates these conditions.
PMID- 29788791
TI - Nicotine metabolite ratio and smoking outcomes using nicotine replacement therapy
and varenicline among smokers with and without psychiatric illness.
AB - INTRODUCTION: It has been suggested that the effectiveness of nicotine
replacement smoking cessation pharmacotherapy may be enhanced by assessing rates
of nicotine metabolism using the nicotine metabolite ratio - which reflects
differences in the activity of the CYP2A6 hepatic enzyme - and titrating doses
appropriately. To date, supporting evidence is equivocal, with little information
regarding the assessment and effectiveness of the nicotine metabolite ratio among
smokers with psychiatric conditions. METHODS: The nicotine metabolite ratio of
499 smokers from the FLEX trial was determined using urine samples obtained at
baseline. They were randomized to receive either: standard transdermal nicotine
(nicotine replacement therapy); extended nicotine replacement therapy + adjunct
nicotine agent; or varenicline. Primary cessation outcomes were seven-day point
prevalence at 5, 10, 22, and 52 weeks post-target quit date, comparing across
treatment and psychiatric status. Our principal analysis employed logistic
regression (outcome: abstinence), using slow metabolizers as the reference
category. RESULTS: No differences were observed by nicotine metabolite ratio
classification (slow, moderate, fast) with respect to any demographic or smoking
related variables. Nicotine metabolite ratio class did not predict smoking
cessation in either the overall sample, or by treatment condition at any time
point (week 52 moderate metabolizers: odds ratio 1.34, 95% confidence interval
(0.68-2.63), p=0.394; fast metabolizers: odds ratio 1.04 (0.56-1.91), p=0. 906).
CONCLUSION: Our results did not find any associations between nicotine metabolite
ratio and cessation outcomes among smokers using nicotine replacement therapy or
varenicline with and without lifetime psychiatric conditions.
PMID- 29788792
TI - Turning the next generation of clinical physicians into management leaders: The
integration of healthcare management into medical training.
PMID- 29788793
TI - Environmental enrichment prevents pup mortality in laboratory mice.
AB - Early death of mouse pups is a commonly known problem in breeding mice colonies,
which is still often regarded as 'normal' or is even overlooked due to the
counting procedures applied. As reduced breeding performance probably indicates
reduced well-being, this seems to be an underestimated welfare issue in
laboratory mouse breeding. The present study compares the influence of three
different forms of enrichment in breeding cages on infant survival rate and
development of C57BL/6J mice. Our data reveal that lack of enrichment results in
greater preweaning pup mortality, reduced weight and delayed development.
Changing the environmental conditions after birth cannot prevent litter loss but
improves the development of pups born in impoverished environments. Overall, our
results underline the importance of early counting of mice for optimizing
refinement strategies to ensure well-being and breeding success.
PMID- 29788794
TI - Implementation of an Allergic Reaction Reporting Tool for School Health
Personnel: A Pilot Study of Three Chicago Schools.
AB - Food allergy (FA) impacts 8% of U.S. children, thus it is critical to document
allergic reactions to assist schools in managing FAs. We implemented and
evaluated an online tool to assist school health personnel in tracking the
characteristics of allergic reactions occurring at schools. The Online School
Allergic Reaction Registry (OScARR) was modified from the Epinephrine
Administration Form developed by the Massachusetts Department of Public Health,
adapted for integration into existing school health records, and implemented in
three Chicago schools during the 2016-2017 school year. All allergic reactions
occurring at participating schools were recorded by school nurses. Twenty-five
percent of the 20 allergic reactions reported were characterized as anaphylaxis
by school nurses. School nurses reported that they would recommend OScARR for use
in other schools. Detailed data obtained from three Chicago schools underscore
the importance of proper documentation to improve the understanding of the
causative allergen and location and management of allergic reactions in schools.
PMID- 29788795
TI - Distress Tolerance Intervention for Improving Self-Management of Chronic
Conditions: A Systematic Review.
AB - BACKGROUND: The inability to tolerate distress can negatively influence effective
self-management (SM) of chronic conditions by interfering with the ability to
focus on illness needs and impairing problem-solving and prioritizing
capabilities, as well as engagement in SM activities. Interventions to increase
distress tolerance offer a holistic approach to chronic disease SM and may
enhance the individual's ability to apply SM skills and resources to improve
quality of life and overall health. The purpose of this systematic review was to
deepen understanding of the relationships among distress tolerance and goal
oriented problem-solving as an aspect of chronic disease SM. METHOD: The
Preferred Reporting Items for Systematic Reviews and Meta-Analyses
recommendations were used to develop a search strategy, selection criteria,
screening, and identification and extraction procedures. PubMed, CINAHL,
PsychInfo, and Scopus were searched from 2006 to December 2017 using the
following search terms: distress tolerance, chronic conditions, self-management.
RESULTS: Across the 11 studies included in the literature review, there was a
high level of heterogeneity in the use of subjective and objective measures to
assess distress tolerance, and only one study included instruments to measure
goal-oriented problem-solving, the target of distress tolerance interventions
that are assumed to influence the selected health outcome. CONCLUSION: Further
research is needed on the efficacy of distress tolerance interventions for
improving SM of chronic conditions. Theory-driven interventions that explicate
the precise goal-oriented problem-solving and SM behaviors that are expected to
change as a result of the distress tolerance intervention will provide insight on
the efficacy of the intervention and help close the theory-practice gap.
PMID- 29788796
TI - Off-Hours Admissions and Mortality in PICU Without 24-Hour Onsite Intensivist
Coverage.
AB - OBJECTIVE: To evaluate whether the off-hours admission has any effect on risk
adjusted mortality and length of stay for nonelective patients admitted to a
pediatric intensive care unit (PICU) without 24-hour in-house intensivist
coverage. DESIGN: Prospective cohort study. SETTING: A 34-bed tertiary PICU.
PATIENTS: All consecutive nonelective patients aged 0 to 14 years admitted from
January 2012 to June 2015. MEASUREMENTS AND MAIN RESULTS: A total of 1254
patients were nonelectively admitted to the PICU. They were categorized according
to time of PICU admission as either office hours (07:30 to 16:30 from Sunday to
Thursday and whenever an intensivist is present in the ICU) or off-hours (16:30
to 07:30, Friday and Saturday and public holidays). Standardized mortality rates
(SMRs) of patients admitted during off-hours were compared to SMRs of patients
admitted during office hours using Pediatric Risk of Mortality (PRISM2) score.
Multivariate logistic regression was used to assess the effect of time of
admission on outcome after adjustment for severity of illness using the PRISM2.
The mortality observed in the office-hours group was 9.4% and in the off-hours
group was 8.1%. The PRISM2-based SMR was 0.83 (95% confidence interval [CI]: 0.43
1.47) for the office-hours group and 0.68 (95% CI: 0.34-1.36) for the off-hours
group. No significant differences in length of ICU stay or duration of mechanical
ventilation were observed between patients admitted during off-hours and those
admitted during office hours. In the logistic regression model, off-hours
admission was not significantly associated with a higher mortality (odds ratio:
0.85, 95% CI: 0.57-1.27; P = .44). CONCLUSIONS: The absence of an in-house
intensivist during off-hours is not associated with an increase in mortality,
length of ICU stay, or duration of mechanical ventilation for patients admitted
to our pediatric ICU.
PMID- 29788798
TI - Optimizing therapy in bortezomib-exposed patients with multiple myeloma.
AB - INTRODUCTION: Multiple myeloma prognosis has improved significantly during the
past decade, with survival prolongation mainly due to the incorporation of novel
agents. Bortezomib represents one of the main backbone agents of antimyeloma
treatment. Areas covered: This review aims to identify possible and available
therapeutic options for patients who experience disease refractoriness following
bortezomib exposure. Expert commentary: For patients who finally relapse after
bortezomib exposure treatment strategy should be individualized. For patients
with disease resistant to bortezomib, the combination of lenalidomide
dexamethasone with a monoclonal antibody seems to be the most optimal choice for
second-line treatment. For third line, pomalidomide-based regimens can be
effective even in bortezomib refractory patients. Several novel agents are
currently under investigation in order to treat multi-refractory disease.G.
PMID- 29788797
TI - Characteristics of the Epithelial-Mesenchymal Transition in Primary and Paired
Metastatic Canine Mammary Carcinomas.
AB - The epithelial-mesenchymal transition (EMT) is a dynamic process linked to
metastasis in many tumor types, including mammary tumors. In this study, we
evaluated E-cadherin and vimentin immunolocalization in primary canine mammary
carcinomas (20 cases) and their respective metastases, as well as their
relationship with the core regulators SNAIL/SLUG. To assess the number of cells
undergoing the process of EMT, we quantitated double-positive (E
cadherin+/vimentin+) cells using immunofluorescence, via cell counting and image
analysis. In addition, SNAIL/SLUG expression was evaluated by established
immunohistochemical methods. Primary tumors had significantly more E
cadherin+/vimentin+ co-expression than their paired respective lymph node or
distant metastasis, respectively. Furthermore, the percentage of E
cadherin+/vimentin+ cells in grade II and III carcinomas was significantly higher
than in grade I tumors. Primary tumors had significantly higher SNAIL/SLUG
expression when analyzed based on the percentage of positive cells compared with
their respective distant metastases in pairwise comparisons. An inverse
correlation was noted between SNAIL/SLUG immunoreactivity and percentage of E
cadherin+/vimentin+ immunopositive cells in primary tumor samples when SNAIL/SLUG
immunoreactivity was grouped into 2 categories (high versus low) based on
percentage-positive staining. These results show a positive correlation between E
cadherin+/vimentin+ cells and higher tumor grade, establish differences between
primary tumor and their respective metastases, and provide further support that
EMT plays a critical role in the metastasis of canine mammary carcinoma.
Furthermore, these data suggest that modulation of this process could provide
greater therapeutic control and provide support for further research to determine
if E-cadherin+/vimentin+ co-immunoreactivity imparts predictive value in the
clinical outcome of patients with canine mammary carcinomas.
PMID- 29788800
TI - Relationship between YKL-40 and pulmonary arterial hypertension in systemic
sclerosis.
AB - OBJECTIVES: Systemic sclerosis (SSc) is an intractable connective tissue disease
that causes skin and organ fibrosis. Interstitial lung disease (ILD) and
pulmonary arterial hypertension (PAH) affect its prognosis. YKL-40 protein
impacts inflammation and tissue remodeling. Therefore, we evaluated the utility
of YKL-40 blood levels in identifying patients with SSc complicated by PAH, as
confirmed by immunohistochemistry (IHC) examination. METHODS: We retrospectively
analyzed 78 patients with SSc and performed IHC on 7 normal and 7 SSc skin
samples in the Japanese population. Age-adjusted YKL-40 serum levels were
analyzed. RESULTS: YKL-40 age percentile was significantly elevated in SSc
patients. There was no difference between patients with SSc with and without ILD
and PAH. YKL-40 age percentile was greater in patients with PAH complication. YKL
40 immunostaining was negative in normal skin and prominent in the subcutaneous
vascular wall of all SSc samples. Receiver operating characteristic (ROC) curve
analysis indicated that YKL-40 age percentile correctly differentiated between
patients with and without PAH with a sensitivity of 80% and a specificity of
94.1%. CONCLUSION: A higher YKL-40 level with PAH may be reflective of
angiogenesis due to capillary injury in SSc. YKL-40 may offer a useful and easily
applicable diagnostic biomarker of SSc complicated with PAH.
PMID- 29788799
TI - Management of the left subclavian artery during TEVAR - complications and mid
term follow-up.
AB - BACKGROUND: Numerous conditions that affect the boundary between the aortic arch
and descending aorta are treated with thoracic endovascular aortic repair
(TEVAR). In 40 % of cases, coverage of the left subclavian artery (LSA) cannot be
prevented. Subsequently, neurological complications such as stroke or ischemia of
the left upper extremity may develop. However, the actual risk of these
complications is subject to considerable controversy. The optimal treatment
approach, specifically the question whether primary revascularization of the LSA
should be performed in all cases, is unclear. PATIENTS AND METHODS: The present
retrospective study analyzed the short- and mid-term results of patients treated
with TEVAR with complete coverage of the LSA. The postoperative protocol
consisted of clinical and noninvasive examinations as well as morphological
imaging. Survival, complication, and reintervention rates were recorded. RESULTS:
A total of 40 patients, undergoing TEVAR with complete coverage of the LSA
between January 2010 and December 2014 were analyzed retrospectively. The 30-day
survival rate was 95 %, the survival one year after performed TEVAR was 67.5 %.
The average follow-up was 1.5 years. After TEVAR procedure with complete coverage
of the LSA, only one patient (2.5 %) developed critical ischemia of the left arm
immediately after aortic stent implantation, requiring revascularization by
transposition of the LSA. Anterior spinal artery syndrome occurred in another
patient (2.5 %) immediately following TEVAR. During follow-up examinations, all
patients showed a compensated arterial arm status. None of the patients developed
new neurological deficits during the follow-up period. CONCLUSIONS: The study
shows that performing TEVAR without primary revascularization of the LSA was
justifiable in our cohort. An important risk factor of developing cerebral
ischemia seems to be insufficient collateralization through the circle of Willis.
PMID- 29788801
TI - Perioperative noninvasive cardiac output monitoring in parturients with singleton
and twin pregnancies undergoing cesarean section under spinal anesthesia with
prophylactic phenylephrine drip: a prospective observational cohort study.
AB - PURPOSE: Spinal anesthesia is considered the gold standard anesthetic technique
for cesarean deliveries (CDs) but is associated with a high rate of hypotension.
The recent international consensus recommends continuous prophylactic
phenylephrine infusion (PPI) administered throughout CD to prevent hypotension.
However, little information is available on the hemodynamic profiles of women
with twin pregnancies as compared to singleton pregnancies perioperatively.
Therefore, in this study, we aim to compare maternal hemodynamic changes both
intraoperatively and postoperatively with the use of the NICAS bioimpendence
monitor in healthy singleton versus twin parturients undergoing CD deliveries
with spinal anesthesia with PPI. MATERIALS AND METHODS: After IRB approval and
signed informed consent, healthy term women with either twin or singleton
pregnancies undergoing spinal anesthesia for uncomplicated CD were enrolled. The
following data were collected - cardiac output (CO), stroke volume (SV), mean
arterial pressure (MAP), and total peripheral resistance (TPR). Measurements were
measured at five time points: (1) before arrival in OR, (2) after spinal
anesthesia with PPI, (3) after beginning of oxytocin infusion, (4) in post
anesthesia care room, (5) 24 hours postoperatively, and (6) 48 hours
postoperatively. All parturients received standardized spinal solution consisting
of 12 mg hyperbaric bupivacaine, 20 ug fentanyl and 100-ug preservative-free
morphine. PPI administered was titrated to preserve blood pressure to 20% of
baseline blood pressure and stopped at the end of surgery. Oxytocin was
administered as a continuous infusion (20-units/1000 cm3 Ringer's lactate) at a
rate of 100 cm3/h. RESULTS: One hundred and thirty seven parturients with
singleton pregnancies and 27 parturients with twin pregnancies completed the
study. There were no significant differences between groups in age or BMI.
Intraoperatively, there was no difference in any hemodynamic parameter. However,
postoperatively at all three times women with twin pregnancies had higher MAP,
lower CO and higher TPR compared with parturients with singleton pregnancies.
CONCLUSIONS: There were significant hemodynamic changes postoperatively but not
intraoperatively in parturients with twin pregnancies compared to women with
singleton pregnancies. These changes need to be further investigated.
PMID- 29788802
TI - Acute effects of inhaled menthol on the rewarding effects of intravenous nicotine
in smokers.
AB - OBJECTIVE: This double-blind, placebo controlled study examined whether menthol
inhaled from an electronic cigarette (e-cigarette) would change subjective and
withdrawal alleviating effects of intravenous nicotine in young adult smokers.
METHODS: A total of 32 menthol-preferring smokers and 25 non-menthol-preferring
smokers participated in the study that consisted of a random sequence of three
different inhaled menthol conditions (0.0%, 0.5%, and 3.2%) across three test
sessions (a single menthol condition per session). In each test session
(performed at least 24 hours apart), a random order of saline, and two different
nicotine infusions of 0.25 mg and 0.5 mg/70 kg of bodyweight were administered,
one hour apart, concurrent with menthol inhalation. RESULTS: While menthol did
not alter the positive subjective effects of nicotine, menthol significantly
enhanced aversive effects of nicotine in non-menthol-preferring smokers and
reduced smoking urges in menthol-preferring smokers. In addition, menthol
preferring smokers reported blunted positive subjective responses to nicotine and
less severe nicotine withdrawal after overnight nicotine deprivation. Finally,
compared to non-menthol-preferring smokers, menthol-preferring smokers had a
significantly lower baseline nicotine metabolite ratio indicating slower nicotine
metabolism within our sample of menthol-preferring smokers. CONCLUSIONS: Our
findings did not support an enhancement of nicotine's positive subjective effects
from inhaled menthol. However, as compared to non-menthol-preferring smokers,
menthol-preferring smokers had blunted positive subjective responses to nicotine
and reduced overnight withdrawal severity that may be partly due to inhibition of
nicotine metabolism from chronic exposure to inhaled menthol. Collectively, these
results reveal a more complex and nuanced role of inhaled menthol in smokers than
previously recognized.
PMID- 29788804
TI - Vitamin C prevents memory impairment induced by waterpipe smoke: role of
oxidative stress.
AB - Waterpipe tobacco smoking (WTS) was previously shown to be associated with memory
deficits, which were related to oxidative stress. Vitamin C (VitC) has
established antioxidant properties against memory deficits associated with
several diseases and conditions. In this study, the potential protective effect
of VitC on memory impairment induced by WTS exposure was evaluated in a rat
model. VitC was administered to animals via oral gavage (100 mg/kg/day, 6 days a
week for 4 weeks). At the same period, animals were exposed to WTS for one
hour/day, 6 days a week for 4 weeks. Using radial arm water maze (RAWM),
behavioral tests were conducted to evaluate the spatial learning and memory. In
addition, hippocampal levels of oxidative stress biomarkers were analyzed. WTS
exposure impaired both short- and long-term memory (p < .05). On the other hand,
VitC protected memory impairment induced by WTS (p < .05). Moreover, VitC
prevented the reduction in hippocampus ratio of GSH/GSSG (p < .05) induced by
WTS. Furthermore, WTS reduced hippocampus activity of glutathione peroxidase
(GPx) and catalase, which were also normalized by VitC treatment. However,
thiobarbituric acid reactive substance (TBARS) levels were not changed by WTS
and/or by VitC (p > .05). In conclusion, WTS resulted in inducing memory
impairment, which was prevented by VitC administration. This could be related to
preserving hippocampus antioxidant mechanisms by VitC during WTS exposure.
PMID- 29788803
TI - Establishment of a spontaneously transformed cell line (JU-PI) from a
myxoinflammatory fibroblastic sarcoma.
AB - Myxoinflammatory fibroblastic sarcoma is a soft-tissue neoplasm most frequently
found in the distal extremities of middle-aged adults. Most myxoinflammatory
fibroblastic sarcoma are low-grade tumors with propensity for local recurrence
after incomplete removal. We report a myxoinflammatory fibroblastic sarcoma which
developed in the foot of a 41-year-old male and showed an exceptionally
aggressive course with metastatic spread and fatal outcome within 16 months. We
managed to establish a spontaneously transformed continuous cell line, called JU
PI, from a metastatic lesion. The JU-PI cells have a sub-tetraploid karyotype
including the 1;10 chromosomal translocation and amplification of the proximal
end of 3p; these features are considered genetic signatures of myxoinflammatory
fibroblastic sarcoma. Both the primary tumor and the JU-PI cells showed nuclear
expression of the TFE3 transcription factor but TFE3-activating chromosomal
rearrangements were not found. To our knowledge, JU-PI is the first established
myxoinflammatory fibroblastic sarcoma cell line. JU-PI cells offer a tool for
investigating the molecular oncology of myxoinflammatory fibroblastic sarcoma.
PMID- 29788805
TI - Proximal row carpectomy, scaphoidectomy with midcarpal arthrodesis or midcarpal
tenodesis: when and how to use.
AB - I report my personal experience over three decades in the treatment of carpal
collapse due to scapholunate collapse and scaphoid nonunion. I have used the
proximal carpal row resection performed through palmar approach, the
scaphoidectomy and double-column midcarpal arthrodesis, and scaphoidectomy with
midcarpal tenodesis. Diagnostic arthroscopy is essential for staging and surgical
decision making regarding the type of treatment. The details of the surgical
techniques, tips, results and possible complications are described for each
method. The advantage of the proximal row carpectomy by palmar approach is the
early permitted rehabilitation with better recovery of wrist motility in
comparison with the traditional technique. The advantage of the double-column
midcarpal arthrodesis lies in its ease of execution. The midcarpal tenodesis is
an excellent intervention from the conceptual point of view even if over time
there is a progressive carpal collapse even in absence of symptoms.
PMID- 29788806
TI - Corrigendum.
PMID- 29788807
TI - Evidence for telehealth group-based treatment: A systematic review.
AB - Background Interest in the use of telehealth interventions to increase access to
healthcare services is growing. Group-based interventions have the potential to
increase patient access to highly needed services. The aim of this study was to
systematically review the available literature on group-based video
teleconference services. Methods The English-language literature was searched
using Ovid MEDLINE, PubMed, PsycINFO and CINAHL for terms related to telehealth,
group therapy and support groups. Abstracts were reviewed for relevance based on
inclusion criteria. Multiple study types were reviewed, including open-label,
qualitative and randomised controlled trial study designs. Data were compiled
regarding participants, study intervention and outcomes. Specific areas of
interest were the feasibility of and satisfaction with telehealth technology, as
well as the effect of video teleconference delivery on group dynamics, including
therapeutic alliance. Results Forty published studies met the inclusion criteria
and were included in the review. Six were randomised controlled trials. Among the
studies, there was a broad range of study designs, participants, group
interventions and outcome measures. Video teleconference groups were found to be
feasible and resulted in similar treatment outcomes to in-person groups. However,
few studies were designed to demonstrate noninferiority of video teleconference
groups compared with in-person groups. Studies that examined group process
factors showed small decreases in therapeutic alliance in the video
teleconference participants. Conclusions Video teleconference groups are feasible
and produce outcomes similar to in-person treatment, with high participant
satisfaction despite technical challenges. Additional research is needed to
identify optimal methods of video teleconference group delivery to maximise
clinical benefit and treatment outcomes.
PMID- 29788808
TI - Epidemiology of cutaneous lupus erythematosus and the associated risk of systemic
lupus erythematosus: a nationwide cohort study in Denmark.
AB - Objectives The objectives of this paper are to describe the epidemiology of
cutaneous lupus erythematosus (CLE) and its subtypes in Denmark, and to
investigate the probability of receiving a subsequent diagnosis of systemic lupus
erythematosus (SLE) and the related time course. Methods A nationwide registry
based cohort study was conducted in Denmark based on data from the Danish
National Patient Registry from 1998 to 2013 using International Classification of
Diseases, Revision 10. Results We identified 2380 patients with CLE. The annual
incidence rate (IR) of CLE was 2.74/100,000 with a female:male ratio of 4:1.
During 12,047 person-years of follow-up, 8% were diagnosed with SLE. The
probability of receiving a subsequent diagnosis of SLE was 12.9% after 10 years
taking death as a competing risk into consideration, and the probability was
highest among women and patients diagnosed with subacute CLE. The median time
until a diagnosis of SLE was 2.05 years. Conclusions This is the first nationwide
study on CLE in Denmark. Although we found the annual IR of CLE and the risk of
receiving an additional diagnosis of SLE to be lower than previously described,
continued monitoring and thorough information for patients with CLE is important
due to the inherent risk of SLE.
PMID- 29788809
TI - Using hydroxychloroquine and protecting the retina.
PMID- 29788810
TI - Clinical and immunological pattern and outcome of Egyptian systemic lupus
erythematosus patients: a single center experience.
AB - Objective The objective of this study was to describe the clinical and
immunological pattern and disease outcome in Egyptian systemic lupus
erythematosus patients. Patients and methods The medical records of 770 systemic
lupus erythematosus patients who were followed from 2002-2015 at Kasr Alainy
Hospital, Cairo University, were retrospectively reviewed. Results There were 707
(91.8%) females. The mean age at disease onset was 22.1 +/- 8.6 and the disease
duration was 6.1 +/- 4.5 years. The main clinical manifestations were
mucocutaneous (90.8% with oral ulcers affecting 52.5%), arthritis (80.3%),
nephritis (67.8%), hematologic involvement (64.9%), serositis (55.2%) and
neuropsychiatric manifestations (44.3%). The frequencies of antinuclear
antibodies were 94.3%, anti-dsDNA 74.8%, anti-Smith 11%, anticardiolipin
antibodies 29.5% and lupus anticoagulant 19.8%. Infections, predominantly
bacterial, affected 337 (43.8%) patients. Thirty-three (4.3%) patients died. The
main causes of death were sepsis and disease activity. The five- and 10-year
survival rates for the total cohort were 97.4% and 96.3%, respectively, and were
96% and 92%, respectively for those with nephritis ( p = 0.008). Autoimmune
hemolytic anemia, thrombocytopenia, elevated serum creatinine, a higher damage
index, infections, a higher glucocorticoid dose and cyclophosphamide use >= six
months were associated with an increased risk of mortality with odds ratios of
3.69, p < 0.01; 4.12, p < 0.001; 1.54, p < 0.001; 1.43, p < 0.001; 5.08, p <
0.001; 5.04, p < 0.001 and 2.25, p = 0.03, respectively. Conclusion Compared to
other cohorts, a relatively lower mean age at systemic lupus erythematosus onset
and higher frequencies of oral ulcers, serositis and nephritis were found.
PMID- 29788811
TI - Central ECMO for circulatory failure following pediatric liver transplantation.
AB - We describe the case of a 4-year-old male with a past medical history significant
for nephrotic syndrome, short-bowel syndrome and fulminant hepatic failure status
post (s/p) liver transplant (LT) who developed early post-transplant allograft
dysfunction (hyperbilirubinemia, coagulopathy) and septic shock requiring central
extracorporeal membrane oxygenation (ECMO). He remained on ECMO for 85 hours
before he was decannulated without event and later underwent repeat LT. This case
highlights the potential of central ECMO to provide the circulatory output
necessary to reverse distributive shock physiology in patients with sepsis and
hepatic dysfunction following LT. Furthermore, this is the first documented
example of central ECMO as a bridge to recovery for repeat LT.
PMID- 29788812
TI - A novel technique to visualize true lumen in endovascular treatment of the
occlusive carotid dissection and the usefulness of external-internal carotid
collateral channel.
AB - Background Dissection of the internal carotid artery (ICA) can cause occlusion or
severe stenosis and is known to be one of the major causes of ischemic stroke in
the young. Endovascular treatment is one of the useful options for carotid
dissections, but passing the guidewire through the occlusion (lesion-cross) and
confirmation of the true lumen are sometimes difficult. Case presentation A 40
year-old right-handed man complaining of dysarthria and gait disturbance
consulted our hospital. Magnetic resonance imaging and angiography revealed right
ICA dissection. Because of worsening symptoms with conservative treatment, we
performed endovascular treatment. Prior to the lesion-cross, a microcatheter was
navigated to the third segment of the internal maxillary artery and a balloon
guiding catheter was navigated to the proximal ICA. Under balloon occlusion of
the ICA, superselective angiography via the ipsilateral maxillary artery and slow
evacuation from the balloon-guiding catheter were performed. Thereafter, the
course of the true lumen was clearly visualized, and we were able to navigate
another microcatheter without difficulty. Subsequently, angioplasty and stent
placement were successfully accomplished. Conclusion We presented a case of ICA
dissection and demonstrated a novel technique for a safe lesion-cross for
occlusive ICA dissection.
PMID- 29788813
TI - De novo aneurysm formation of a feeder artery after embolization of a dural
arteriovenous fistula and rupture during second embolization.
AB - Objective Hemorrhage during embolization of dural arteriovenous fistula (DAVF) is
a rare but devastating complication. This study was undertaken to analyze the
causes of hemorrhage and avoid complication. Methods The clinical data of a case
of DAVF with hemorrhagic complication were retrospectively collected and
analyzed. Results The patient in this case presented with DAVF and two de novo
aneurysms of a feeder artery after the first embolization. One de novo aneurysm
ruptured during the second embolization of the DAVF because of hemodynamic
change. Computed tomography showed a subdural hematoma, and surgical exploration
was emergently performed. However, the patient died at postoperative day 10.
Conclusions De novo aneurysm of a feeder artery may form after embolization of
DAVF because of hemodynamic change. It has a high risk of rupture and should be a
primary consideration in embolization of DAVF.
PMID- 29788814
TI - Advancing a Model of Secondary Trauma: Consequences for Victim Service Providers.
AB - A burgeoning body of scholarship is attempting to understand, normalize, and
ameliorate the emotional strain of victim service provision. The literature,
however, has yet to fully theorize the hazardous process of empathetic engagement
with victims. As a result, concepts, mechanisms, and outcomes are often
conflated, making it difficult to understand the etiological path of this
occupational risk. The goal of this article is to attend to this gap by
accomplishing three objectives. The first is to engage with the perspective of
symbolic interaction to theoretically ground a conceptual model of secondary
trauma. The second objective is to propose a model of secondary trauma that
acknowledges its inherently interactional, interpretive, and, thus, vicariously
transmissible nature. The third objective is to begin the work of empirically
supporting this model with data from a sample of victim service providers ( n =
94) collected using in-depth interviews, focus groups, ethnographic participant
observation, and community-based participatory research. Our findings suggest
that victim service provision, in the form of empathetic engagement, can blur the
boundary between self and other, and lead to a sense of damage in the self that
manifests in unreliable self-agency, untrustworthy coherence of other,
desensitized self-affectivity, and fractured self-history. This work has
significant implications. We illustrate an important paradox by showing how
victim service provision can be helpful to victims but harmful to providers. We
also offer a pathway for reducing this harm. By specifying mechanisms of damage,
the model can be used to inform policies and practices supportive of victim
service providers' health and well-being.
PMID- 29788815
TI - Effect of Magnesium Loading Dose on Insulin Resistance in Patients With Stress
Induced Hyperglycemia: A Randomized Clinical Trial.
AB - OBJECTIVES: There is currently no evidence that whether magnesium supplementation
would improve stress-induced hyperglycemia (SIH) in critically ill patients. In
this study, effects of magnesium loading dose on insulin resistance (IR) indices
were evaluated in critically ill patients without diabetes having SIH. METHODS:
Seventy critically ill patients with SIH were assigned to receive a loading dose
of magnesium (7.5 g of magnesium sulfate in 500 mL normal saline as intravenous
infusion over an 8-hour period) or placebo. Changes in baseline of serum and
intracellular magnesium and serum adiponectin (AD) levels, homeostasis model
assessment of IR (HOMA-IR), and HOMA-AD ratio were assessed in this study.
RESULTS: Serum and intracellular magnesium levels increased significantly in
patients in the magnesium group ( P < .001). At day 3, there were significant
differences between the magnesium group and the placebo group in the mean changes
from baseline in the HOMA (between-group difference: -0.11; 95% confidence
interval [CI]: -0.19 to -0.01; P = .02), the AD (between-group difference: 0.94;
95% CI: 0.41-1.48; P = .04), and the HOMA-AD ratio (between-group difference:
0.03; 95% CI: -0.04 to -0.01; P < .001). CONCLUSION: In the present study, a
single-loading dose of intravenous magnesium improved IR indices in critically
ill patients with SIH.
PMID- 29788816
TI - Is maternal serum relaxin associated with preterm delivery in Chinese pregnant
women? A meta-analysis.
AB - OBJECTIVE: A meta-analysis was performed to study the relationship between serum
relaxin and preterm delivery in women with singleton pregnancies without estrogen
stimulation. METHODS: Cohort and case-control studies were identified through
searching databases (PubMed, Embase, Ovid, CBM, Wan fang, VIP, and CNKI). We
carried out a continuous variable meta-analysis. The outcome was preterm delivery
(gestation age <37 weeks). RESULTS: Fifteen studies were included, involving 1607
women with a singleton pregnancy. The pooled standard mean deviation (SMD) of 15
studies was 0.559 (95%CI: 0.002-1.196) and the heterogeneity was 96.6%. To reduce
the heterogeneity, we chose random effects model and made subgroup analysis
according to gestational age at sample testing (<18 weeks and >=18 weeks) and
race of included pregnant women. The pooled SMD of gestational age at sample
testing >=18 weeks and Chinese were 1.19 (95%CI: 0.63-1.75) and 1.61 (95%CI: 0.82
2.41) and the heterogeneity values (measured by I2) were 93.5% and 76.5%,
respectively. CONCLUSIONS: Elevated maternal serum relaxin of later than 18 weeks
of gestational age is associated with singleton preterm birth in Chinese women.
It might be an important information to prevent singleton preterm delivery in
Chinese women. What's already known about this topic? Previous reports reveal
that there is a relationship between elevated maternal serum relaxin and preterm
birth. However, the included articles contained twin pregnancies and estrogen
stimulation, which obviously resulted in higher relaxin concentrations. What does
this study add?
PMID- 29788817
TI - Inducible nitric oxide inhibitor aminoguanidine, ameliorated oxidative stress,
interleukin-6 concentration and improved brain-derived neurotrophic factor in the
brain tissues of neonates born from titanium dioxide nanoparticles exposed rats.
AB - INTRODUCTION: An interaction between oxidative stress, neuroinflammation, and
nitric oxide (NO) has been suggested to have a role neurotoxicity. The aim of
current research was to investigate the effect of aminoguanidine (AG) as an
inducible NO synthase (iNOS) inhibitor, on brain-derived neurotrophic factor
(BDNF), oxidative stress, and interleukin-6 (IL-6) concentrations in the brain
tissues of neonates born from the rats exposed to titanium dioxide nanoparticles
(TiO2 NPs) during gestation. METHODS: The pregnant rats were grouped into three
and received: (1) saline, (2) TiO2 (200 mg/kg, gavage), and (3) TiO2-AG [200
mg/kg intraperitoneal (IP)]. The treatment was started since the second gestation
day up to the delivery time. The neonates born from the rats were deeply
anesthetized, sacrificed, and the brains were collected for biochemical
evaluations. RESULTS: The neonates born from the rats exposed to TiO2 showed a
lower BDNF (p < .001) but a higher IL-6 (p < .01) concentrations in their
hippocampal tissue. TiO2 exposure also increased malondialdehyde (MDA) (p < .001)
and NO metabolites (p < .001), while diminished thiol (p < .001), superoxide
(SOD) (p < .001), and catalase (CAT) (p < .001) in all hippocampal, cortical, and
cerebellar tissues. Administration of AG improved BDNF (p < .01) but attenuated
IL-6 (p < .01) concentrations in the hippocampal tissue. AG also decreased MDA (p
< .001) and NO metabolites (p < .01-p < .001), while increased thiol (p < .01-p <
.001), SOD (p < .001), and CAT (p < .05-p < .001) in all cerebellar, hippocampal,
cortical, and tissues. CONCLUSION: The results of the current research revealed
that iNOS inhibitor AG, ameliorated oxidative stress, IL-6 concentration, and
improved BDNF in the brain tissues of neonates born from TiO2 NPs exposed rats.
PMID- 29788818
TI - A systematic review on the use of deep venous stenting for acute venous
thrombosis of the lower limb.
AB - Objectives The aim is to evaluate venous stent patency, the development of post
thrombotic syndrome, recurrence, quality of life and the optimal post-procedural
anticoagulation regimen in the treatment of iliofemoral deep venous thrombosis.
Method and results EMBASE and Medline databases were interrogated to identify
studies in which acute deep venous thrombosis patients were stented. Twenty-seven
studies and 542 patients were identified. Primary, assisted primary and secondary
patency rates 12 months after stent placement ranged from 74 to 95, 90 to 95 and
84 to 100%, respectively. The observed post-thrombotic syndrome rate was 14.6%.
The incidence of stent re-thrombosis was 8%. In 26% of studies, patients received
additional antiplatelet therapy. Quality of life questionnaires employed in 11%
of studies, demonstrating an improvement in the chronic venous insufficiency
questionnaire (22.67 +/- 3.01 versus 39.34 +/- 6.66). Conclusion Venous stenting
appears to be an effective adjunct to early thrombus removal; however, further
studies are needed to identify optimal anticoagulant regimen and effect on
quality of life.
PMID- 29788819
TI - Nurse Spiritual Care: Prevalence and Correlates.
AB - Many nurses embrace spiritual care as integral to holistic care. Evidence
documenting the frequency of spiritual care provided in acute care settings,
however, is sparse and weak. For this cross-sectional, correlational study, data
were collected from N = 554 tertiary care nurses using the Nurse Spiritual Care
Therapeutics Scale (NSCTS) measuring their self-reported spiritual care with
patients/family members over the last 72 to 80 hours at work. While the most
frequently endorsed practices centered on presence, listening, and spiritual
assessment, the overall NSCTS score remained modest ( M = 37; SD = 12; possible
range = 17-85). Several associations were found; 32.4% of the variance in
frequency of spiritual care provision was explained by nurse perception that
spiritual issues come up often in the work setting, high nurse spirituality
score, not working in pediatrics, and having received education about spiritual
care. Findings allow for benchmarking of nursing practices that have often been
invisible.
PMID- 29788820
TI - Injury and infection in dental clinics: Risk factors and prevention.
AB - Needlestick and sharp instrument injuries are common in dental practice and
present the risk of direct exposure to blood-borne pathogens, including hepatitis
B virus. This cross-sectional study was carried out to determine the risk factors
of injury and infection in three types of dental clinic in Riyadh, Saudi Arabia.
A total of 274 male and 241 female dentists completed a structured questionnaire
on demographic factors, compliance with infection control practices, and past
exposure to injury and infection hazards. Dentists with more years of
professional experience were significantly less likely to report having had an
injury in the past (unadjusted odds ratios (ORs) and 95% confidence intervals
(CIs) were 0.48, 0.27-0.87 and 0.38, 0.16-0.89 for dentists with 10-20 years and
for those with over 20 years of experience, respectively). Greater compliance
with infection control procedures was associated with significantly fewer reports
of previous infection (OR 0.31, 95% CI 0.12-0.84). Experience of occupational
safety training was not associated with a lower rate of injury or infection.
Greater occupational safety knowledge and compliance with infection control
management practices contribute to a reduced risk of injury and infection among
dentists. More focused and specialized training on infection control and
occupational safety is needed for all practicing dentists and dental students.
PMID- 29788821
TI - The Relationship Between Hofstede's Cultural Dimensions, Schwartz's Cultural
Values, and Obesity.
AB - According to the World Health Organization, obesity is a major public health
issue. In 2014, there were more than 600 million obese people around the world.
According to the data of the World Health Organization, obesity rates differ
among countries. One possible underlying reason of the difference can be culture,
more specifically shared cultural values. The strategies and policies regarding
obesity were developed; however, the effect of culture is not adequately
considered. The aim of the study is to investigate the relationship between
obesity rates of countries, Hofstede's cultural dimensions, Schwartz's values,
and Gross National Income per capita per country. The data consist of obesity
ranking (i.e., the percentage of the population with a body mass index of 30
kg/m2 or higher), Gross National Income per capita for each country, and cultural
variables (i.e., Hofstede's cultural dimensions for 54 nations and Schwartz's
cultural values for 57 nations). Hierarchical regression analysis results
revealed that Gross National Income per capita was not a significantly related
obesity at the aggregated level. Among Hofstede's dimensions, individualism and
uncertainty avoidance were positively associated with obesity, and long-term
orientation was negatively associated with obesity. The relationship between
Schwartz's cultural values and obesity was not found to be significant. Findings
suggest that Hofstede's cultural dimensions should be considered when developing
national level strategies and campaigns to decrease obesity.
PMID- 29788822
TI - Paper Spirits and Flower Sacrifices: Hmong Shamans in the 21st Century.
AB - INTRODUCTION: Fresno, California, is home to more than 30,000 Hmong. The purpose
of this research was to explore the utilization of Hmong shamans 40 years after
the first Hmong immigrants arrived in the United States. Hmong shamanism is
examined to identify and analyze changes to shamans' practices or patients.
METHODS: Using grounded theory, semistructured interviews were conducted with a
convenience sample of 20 shamans in their homes. Transcribed data were
qualitatively analyzed. RESULTS: Shamans continue to train and practice in this
community; utilization by older patients persists while young adult patients have
become the fastest-growing group of users. Healing rituals have changed in
response to the legalities of animal sacrifice in urban areas and the time
demands of work schedules. DISCUSSION: Nurses' awareness that the availability of
biomedicine does not preclude the continuing or recurring utilization of
traditional healers can facilitate understanding of culturally defined health
care needs.
PMID- 29788823
TI - Synbiotic supplementation, systemic inflammation, and symptoms of brain disorders
in elders: A secondary study from a randomized clinical trial.
AB - OBJECTIVES: We aimed to investigate the effect of a symbiotic substance on
symptoms of brain disorders and inflammation in the elderly. METHODS: Forty-nine
elders, both genders, assigned into two groups: S-group (synbiotic) and P-group
(placebo). Evaluations at the beginning and at the end of the experiment:
geriatric depressive symptoms scale-15 (GDS-15); mini-mental status examination
(MMSE); % of body fat (%fat); serum IL-6, TNF-alpha and IL-10; serum diamine
oxidase (DAO), intestinal fatty-acid binding protein (IFABP), and
lipopolysaccharide (LPS). RESULTS: Both groups had reduced their %Fat, TNF-alpha,
and DAO. The IL-6, GDS-15, and MMSE were increased in both groups. IL-10 was
significantly increased only in the S-group, and LPS was significantly reduced
only in the P-group. The GDS-15final was negatively explained by DAO, IL-10, TNF
alpha, %Fat, being woman, and being allocated in the P-group. The variables that
positively explained the GDS-15final were the IL-6, the IFABP, and the LPS.
MMSEfinal was positively associated with the IL-10, DAO, being woman, and being
allocated in the P-group; and negatively associated with IL-6, TNF-alpha, %Fat,
IFABP, and LPS. CONCLUSIONS: We found weak effects of symbiotic on depressive
symptoms and more optimistic effects on cognition in apparently healthy elderly.
Other studies, with individuals diagnosed with depressive morbidity or cognitive
decline, are needed. Registration of Clinical Studies - REBEC (RBR-6qr9xx)].
PMID- 29788824
TI - Activation of AMPK in Human Placental Explants Impairs Mitochondrial Function and
Cellular Metabolism.
AB - OBJECTIVE: Adenosine monophosphate-activated protein kinase (AMPK) is a cellular
energy sensor whose phosphorylation increases energy production. We sought to
evaluate the placenta-specific effect of AMPK activation on the handling of
nutrients required for fetal development. METHODS: Explants were isolated from
term placenta of 29 women (pregravid body mass index: 29.1 +/- 9.9 kg/m2) and
incubated for 24 hours with 0 to 100 umol/L resveratrol or 0 to 1 mmol/L of 5
aminoimidazole-4-carboxyamide ribonucleoside (AICAR). Following treatment, uptake
and metabolism of radiolabeled fatty acids and glucose were measured.
Phosphorylation of AMPK was measured by Western blotting. Adenosine diphosphate
(ATP) production was assessed using the mitochondrial ToxGlo assay kit. P < .05
was considered statistically significant. RESULTS: Resveratrol and AICAR
increased AMPK phosphorylation in human placental explants. Exposure to
resveratrol decreased the uptake of polyunsaturated fatty acids, arachidonic
acid, and docosahexaenoic acid at 100 umol/L ( P < .0001). Fatty acid oxidation
was decreased by 100 umol/L ( P < .05) resveratrol, while esterification was
unchanged. Resveratrol decreased glucose uptake at the 50 and 100 umol/L doses (
P < .05). Glycolysis was not significantly affected. AICAR had similar effects,
decreasing fatty acid uptake and glycolysis ( P < .05). Production of ATP
declined at doses found to decrease nutrient metabolism ( P < .05). CONCLUSIONS:
Activation of AMPK in the human placenta leads to global downregulation of
metabolism, with mitotoxicity induced at the doses of resveratrol and AICAR used
to activate AMPK. Although activation of this pathway has positive metabolic
effects on other tissues, in the placenta there is potential for harm, as
inadequate placental delivery of critical nutrients may compromise fetal
development.
PMID- 29788826
TI - Does task-irrelevant colour information create extraneous cognitive load?
Evidence from a learning task.
AB - Previous studies have shown that task-irrelevant information impedes learning by
creating extraneous cognitive load. But still open is whether such intrusion
reflects a purely semantic phenomenon or whether it also stands for sheer
perceptual interference. Using Cognitive Load Theory as a framework, this study
aimed to answer this question by examining whether and how task-irrelevant colour
information modifies extraneous cognitive load in relation to a new code-learning
paradigm. For this purpose, university students were asked to learn, based on an
example, associations between colour-related and colour-unrelated words and
digits presented in black or in a mismatched ink colour. Evident costs in
learning efficacy were found in learning the associations between words and
digits for colour-related, but not for colour-unrelated, word stimuli. This
suggests that interference by task-irrelevant information in learning stands for
a mere semantic conflict. Implications of the findings for extraneous cognitive
load on learning efficacy are discussed.
PMID- 29788825
TI - Dioxin-like polychlorinated biphenyls in marine fish from Shandong, China, and
human dietary exposure.
AB - The occurrence and human dietary exposure of 12 dioxin-like polychlorinated
biphenyls (DL-PCBs) in 41 marine fish samples from Shandong Province of China
were investigated. The DL-PCB congeners were extracted using automated Soxhlet
extraction, purified via a composite column clean-up procedure and analysed by
gas chromatography-triple quadrupole mass spectrometry. DL-PCB congeners were
found in all analysed samples, with a mean concentration of 0.887 ng/g ww (wet
weight). The TEQ concentrations of DL-PCBs in individual fish samples ranged from
0.011 to 9.214 pg WHO TEQ/g ww. The mean dietary intake for all fish species was
36.5 pg TEQ/kg bw/month, which was lower than the provisional tolerable monthly
intake of 70 pg TEQ/kg bw/month set by the Joint FAO/WHO Expert Committee on Food
Additives. To monitor the trend of DL-PCBs in fish for food safety control, it is
necessary to maintain a surveillance programme.
PMID- 29788827
TI - Video-based education versus nurse-led education for partner notification in Thai
women with sexually transmitted infections: a randomized controlled trial.
AB - Health education on sexually transmitted infections (STIs), condom use, and
partner notification (PN) is required to increase partner evaluation/treatment
(PET) rate. To examine this issue, a randomized controlled trial comparing video
based and nurse-led education in women diagnosed with their first STI at the
Siriraj Female STI clinic was conducted from March 2015 to March 2017. PN was
assessed at two weeks and PET was done at four weeks. Of 330 patients, 225 were
included in the analysis (N = 113 and N = 112 for video-based and nurse-led
groups, respectively). Each participant reported one partner who needed to be
notified. The participants' median age was approximately 30 years old and they
had been diagnosed with PID (N = 85), hepatitis B (N = 49), trichomoniasis (N =
45), syphilis (N = 30), and gonorrhea (N = 16). Characteristics were comparable
between groups. There was no statistical difference in the PN rates (95.6% vs.
90.2%, p = 0.116), while the PET rate was significantly higher in the nurse-led
group (49.6% vs. 67.9%; OR 0.47, 95% CI: 0.27-0.81; p = 0.005). Having endured
symptoms for <7 days, being pregnant and detection of STIs during antenatal/pre
operative blood tests were positive predictors of PET (OR: 3.34, 95% CI: 1.81
6.14; 18.70, 95% CI: 5.61-62.31; and 22.07, 95% CI: 6.46-75.41, respectively). In
conclusion, video-based education is as effective as nurse-led education in terms
of PN rate but results in lower PET.
PMID- 29788828
TI - Mechanistic kinetic modeling generates system-independent P-glycoprotein mediated
transport elementary rate constants for inhibition and, in combination with 3D
SIM microscopy, elucidates the importance of microvilli morphology on P
glycoprotein mediated efflux activity.
AB - INTRODUCTION: In vitro transporter kinetics are typically analyzed by steady
state Michaelis-Menten approximations. However, no clear evidence exists that
these approximations, applied to multiple transporters in biological membranes,
yield system-independent mechanistic parameters needed for reliable in vivo
hypothesis generation and testing. Areas covered: The classical mass action model
has been developed for P-glycoprotein (P-gp) mediated transport across confluent
polarized cell monolayers. Numerical integration of the mass action equations for
transport using a stable global optimization program yields fitted elementary
rate constants that are system-independent. The efflux active P-gp was defined by
the rate at which P-gp delivers drugs to the apical chamber, since as much as 90%
of drugs effluxed by P-gp partition back into nearby microvilli prior to reaching
the apical chamber. The efflux active P-gp concentration was 10-fold smaller than
the total expressed P-gp for Caco-2 cells, due to their microvilli membrane
morphology. The mechanistic insights from this analysis are readily extrapolated
to P-gp mediated transport in vivo. Expert opinion: In vitro system-independent
elementary rate constants for transporters are essential for the generation and
validation of robust mechanistic PBPK models. Our modeling approach and programs
have broad application potential. They can be used for any drug transporter with
minor adaptations.
PMID- 29788829
TI - Owner-reported flea treatment measures and skin disease in cats.
AB - Objectives The aim of this study was to obtain information regarding the use of
flea treatments and owner-reported flea infestation and skin disease. Methods
Owners of 1150 cats were recruited into a long-term longitudinal study ('Bristol
Cats' study) and asked to complete questionnaires at set time points.
Questionnaires 1 and 5 were used and included data collection for kittens aged 2
4 months (T1) and at 2.5 years of age (T2). Owners were asked which brand of flea
treatment, if any, was used at each time point. At T2 owners were asked if they
had noted signs of skin disease or fleas on their cat within the previous 12
months and if they had sought veterinary attention for the skin disease or fleas.
IBM SPSS version 23 was used for statistical analyses. Results Skin disease at T2
was reported by owners of 55/1150 (4.8%; 95% confidence interval [CI] 3.7-6.2)
cats. Many owners who reported skin disease (25/55 [45.5%]; 95% CI 32.3-58.7) had
not sought veterinary attention. There were 81/1150 (7.0%; 95% CI 5.6-8.5) cats
with reported head shaking and/or ear scratching within the past 12 months at T2.
The majority of cats (204/286; 71.3%) received prescription flea treatments at
both points. Use of non-prescription flea treatment at T2 was more likely to be
associated with owner-reported skin disease/flea infestation than those who used
prescription flea treatment ( P <0.001). There was a significant association
between the reported presence of fleas and reported presence of skin disease at
T2 ( P = 0.03). Conclusions and relevance Despite the potential for reverse
causality, the association between owner-reported skin disease and/or flea
infestation in their cats and the use of non-prescription flea treatment was as
expected. Use of flea treatments and, in particular, the type of ectoparasite
control used (prescription or non-prescription) should be carefully assessed when
managing cats with skin disease.
PMID- 29788830
TI - Response, disease-free interval and overall survival of cats with nasal planum
squamous cell carcinoma treated with a fractionated vs a single-dose protocol of
strontium plesiotherapy.
AB - Objectives The main aim of the study was to establish response, disease-free
interval (DFI) and overall survival of cats with nasal planum squamous cell
carcinoma (SCC) treated with Sr90 plesiotherapy. A secondary aim was to determine
whether a fractionated protocol is more effective than a single-dose protocol in
terms of response, DFI and overall survival. The third aim was to evaluate
whether we can identify prognostic factors that influence overall survival.
Methods This was a retrospective study that included cats with a diagnosis of
nasal planum SCC treated with Sr90 plesiotherapy at a single institution. Results
Seventy-four cats were included in the study. Thirty-two were treated with a
fractionated protocol and 42 with a single-dose treatment. Sr90 plesiotherapy was
able to induce complete response in 74% of cats with nasal planum SCC. The median
DFI was 780 days (95% confidence interval [CI] 383-1177), with 17% of cats
experiencing local recurrence. The overall survival for all cats was 1039 days
(95% CI 55-1528). The DFI of cats treated with the fractionated Sr90 was
significantly longer compared with the single-dose treatment, whereas response
and overall survival were not statistically different. Other prognostic factors
that influenced the overall survival were early-stage disease, absence of
concurrent problems and complete response to the treatment. Acute and long-term
toxicity associated with the treatment were minimal and the aesthetic outcome was
pleasing in almost all cases. Conclusions and relevance Strontium plesiotherapy
is a safe and effective treatment of nasal planum SCC in cats.
PMID- 29788831
TI - Lumbosacral transitional vertebrae in cats and its relationship to lumbosacral
vertebral canal stenosis.
AB - Objectives Although a clear relationship has been demonstrated between the
presence of lumbosacral transitional vertebrae and the development of lumbosacral
stenosis in dogs, this relationship has not been evaluated in cats. The aim of
this study was to investigate the relationship between the presence of
lumbosacral transitional vertebrae and lumbosacral stenosis in cats. Methods The
medical records and diagnostic imaging studies of 13 cats diagnosed with
lumbosacral stenosis were retrospectively reviewed for lumbosacral abnormalities
and compared with findings of 405 cats that underwent CT for reasons unrelated to
spinal disease. Results Clinical signs associated with lumbosacral stenosis
included lumbosacral pain, low tail carriage, difficulty jumping, and urinary or
faecal incontinence. Neurological signs included proprioceptive deficits,
ambulatory paraparesis, pelvic limb ataxia, reduced spinal reflexes and reduced
perianal reflex. Duration of clinical signs ranged from 1 day to 10 months (mean
3 months). Of the 13 cats with lumbosacral stenosis, seven (53.8%) were diagnosed
with lumbosacral transitional vertebrae. In the control population of 405 cats,
24 (5.9%) were diagnosed with lumbosacral transitional vertebrae. Results
indicated that lumbosacral transitional vertebrae were significantly ( P <0.0001)
more prevalent in cats with lumbosacral stenosis compared with the control feline
population (odds ratio 18.52, 95% confidence interval 6.1-62.1). Development of
clinical signs of lumbosacral stenosis in cats with lumbosacral transitional
vertebrae (mean 10.8 years) was not significantly different from that of cats
without lumbosacral transitional vertebrae (mean 12.7 years). Likewise, there was
no significant influence of breed ( P >0.99) or sex ( P = 0.29) on the occurrence
of lumbosacral transitional vertebrae. Conclusions and relevance Despite
lumbosacral stenosis being a rare spinal condition in cats, lumbosacral
transitional vertebrae can be considered a risk factor for its development.
PMID- 29788832
TI - Feline injection site sarcoma: immunohistochemical characteristics.
AB - Objectives Feline injection site sarcoma (FISS) is a rapid growing locally
aggressive tumor with a low metastatic rate. Its histologic features are clearly
defined, but there are few studies regarding its immunohistochemical
characteristics. The present study investigated the immunohistochemical
characteristics of 21 cases of FISS. Methods FISSs from 12 male and nine female
cats, 20 mixed-breed and one Siamese, were included in the study. After
histopathological diagnosis, additional histologic sections were immunostained
for vimentin, cytokeratin, desmin, S100 protein, viral feline leukemia virus
(FeLV) particles, cyclooxygenase 2 (COX-2) and c-KIT. Positive and negative
controls were adopted accordingly. Immunostainings were classified as positive
and/or negative according to the number of positive cells from a total of 1000
cells per tumor section. Results Histopathologic diagnosis of the tumors revealed
18 (85.7%) fibrosarcomas and three (14.3%) other sarcomas; four fibrosarcomas
(22.2%) were grade III, five (27.8%) were grade II and nine (50.0%) were grade I.
Two sarcomas were grade III and one was grade II. Seventeen (81%) tumors were
negative for desmin. All samples were positive for vimentin. Twenty tumors
(95.2%) were positive for S-100 protein. Positivity for c-KIT was observed in
four (19%) samples; COX-2 was positive in 13 (61.9%) and FeLV viral particles
were positive in nine (42.9%) FISSs. Conclusions and relevance
Immunohistochemical findings of FISSs revealed positive immunostainings for
desmin, vimentin, S-100 protein, c-KIT, COX-2 and FeLV viral particles.
PMID- 29788835
TI - A symbiosis and a beginning.
PMID- 29788834
TI - Echocardiography in extracorporeal life support: A key player in procedural
guidance, tailoring and monitoring.
AB - Extracorporeal life support (ECLS) is a mainstay of current practice in severe
respiratory, circulatory or cardiac failure refractory to conventional
management. The inherent complexity of different ECLS modes and their influence
on the native pulmonary and cardiovascular system require patient-specific
tailoring to optimize outcome. Echocardiography plays a key role throughout the
ECLS care, including patient selection, adequate placement of cannulas,
monitoring, weaning and follow-up after decannulation. For this purpose,
echocardiographers require specific ECLS-related knowledge and skills, which are
outlined here.
PMID- 29788837
TI - EuroELSO 2018 Abstracts.
PMID- 29788836
TI - Intracranial hemorrhage in adults on ECMO.
AB - RATIONALE: Extracorporeal membrane oxygenation (ECMO) use has exploded over the
last decade. However, it remains invasive and associated with significant
complications, including tamponade, infection, thrombosis, gas embolism and
bleeding. The most dreaded complication is intracranial hemorrhage (ICH). In this
article, we review the literature on the incidence, diagnosis, risk factors,
pathophysiology, prognosis, prevention and management of ICH in adults on ECMO.
MAIN FINDINGS: We found a high incidence of ICH in the literature with a poor
prognosis. Important risk factors included pre-ECMO cardiac arrest, sepsis,
influenza, renal failure, renal replacement therapy, hemolysis and
thrombocytopenia. The optimal anticoagulation strategy is still uncertain. As
platelet dysfunction and depletion appear to play an important role in the
pathogenesis of ICH in patients on ECMO, a liberal platelet transfusion strategy
may be advised. Prompt computed tomography (CT) diagnosis is of great importance
as interventions to limit hematoma expansion and secondary neurological injury
are most effective if instituted early. Transporting patients to the radiology
department can be performed safely while on ECMO. A strategy combining screening
CT on admission with a heparin-free period of extracorporeal support was
demonstrated to be safe in VV-ECMO patients and resulted in a better prognosis
compared to similar cohorts in the literature. CONCLUSION: Despite major
technological improvements and all the experience gained in adults, ECMO remains
associated with a high incidence of ICH. There are still wide gaps in our
understanding of the disease. Optimal management strategies that minimize the
risk of ICH and improve prognosis need to be further studied.
PMID- 29788838
TI - In vitro and in vivo assessment of oxygenator blood volume for the prediction of
clot formation in an ECMO circuit (theory and validation).
AB - INTRODUCTION: Clotting is one of the major causes of mortality and morbidity
during extracorporeal membrane oxygenation (ECMO). A large meta-analysis study
suggests that 29% of patients require the oxygenator to be replaced during ECMO.
As clots usually form in the oxygenator, the oxygenator blood volume (OXBV)
decreases over time. The currently used pressure gradient as a predicator of clot
formation is unreliable. OBJECTIVE: The aim of this study was to develop and
validate ultrasound dilution technology in a quantitative assessment of clotting,
using measurements of OXBV. METHODS: OXBV was measured using the ELSA monitor
(Transonic Systems Inc., Ithaca, NY, USA) from the transit time of a saline bolus
passing through the oxygenator as recorded by a sensor placed after the
oxygenator. The accuracy and reproducibility (coefficient of variation [CV]) of
OXBV measurement and its independence from ECMO flow was assessed in vitro in
lambs and from a clinical data archive. RESULTS: The in vitro accuracy compared
with volumetric measurements of OXBV of 22-134 ml at flows of 300-700 ml/min was
0.8+/-6.6%. For an OXBV of 355 ml at flows of 1020-7000 ml/min, accuracy was
0.4+/-1.6%. In 88 animal OXBV measurements, the CV was 1.49+/-1.12%. For an OXBV
of 153 (range 42-387 ml), clinical measurements at flow ranged from 210-5960
ml/min, with a CV of 3.20+/-2.44 %. CONCLUSION: Dilution technology has the
ability to accurately and reproducibly assess the clotting process in the
oxygenator. Larger studies are needed to establish guidelines for the prediction
of imminent clotting and may help to avoid unnecessary circuit changes.
PMID- 29788839
TI - Phenobarbital pharmacokinetics in neonates and infants during extracorporeal
membrane oxygenation.
AB - INTRODUCTION: The disposition of drugs is potentially changed due to
extracorporeal membrane oxygenation (ECMO) in neonates and infants. METHODS: The
aim of the study was to evaluate the individual pharmacokinetics (PK) of
phenobarbital and the effect of PK covariates in neonates and infants undergoing
ECMO. Sixteen patients (7 neonates, 9 infants) treated with phenobarbital during
ECMO (centrifugal-flow pump circuits) were enrolled in the PK study.
Phenobarbital serum concentrations were measured using a fluorescence
polarization immunoassay. Individual PK parameters - volume of distribution (Vd)
and clearance (CL) were calculated in a one-compartmental pharmacokinetic model.
RESULTS: The mean (SD) Vd and CL values in neonates were 0.46 (0.24) L/kg and 8.0
(4.5) mL/h/kg, respectively. Respective values in infants were 0.56 (0.23) L/kg
and 8.5 (3.1) mL/h/kg. PK parameters in neonates and infants were not
significantly different. We observed high inter-individual variability in PK
parameters (coefficients of variation [CV] were 52% and 53% for CL and Vd,
respectively). Doses were adjusted based on therapeutic drug monitoring (TDM) in
87.5% patients. Only 50% of the first measured phenobarbital serum concentrations
in each patient were within the therapeutic range of 10-40 mg/L, in comparison
with 88.6% concentration measured after TDM implementation. Linear regression
models showed that both Vd and CL are significantly related with body weight (BW)
and length. Median optimal phenobarbital loading dose (LD) and maintenance dose
(MD), calculated from pharmacokinetic data, were 15 mg/kg and 4 mg/kg/day,
respectively. CONCLUSIONS: Body weight was shown to be the main PK covariate of
phenobarbital disposition. Subsequent dosing nomograms are provided for
phenobarbital dosing during ECMO.
PMID- 29788840
TI - New, optimized, dual-lumen cannula for veno-venous ECMO.
AB - OBJECTIVE: The present study was designed to assess in vivo a new, optimized,
virtually wall-less, dual-lumen, bi-caval cannula for veno-venous ECMO in
comparison to a commercially available cannula. METHODS: Veno-venous
extracorporeal membrane oxygenation (ECMO) was carried out in a bovine study
(n=5, bodyweight 75+/-5kg). Following systemic heparinization, ECMO was
established in a trans-jugular fashion through a calibrated 23F orifice, using a
new, optimized, virtually wall-less, dual-lumen, bi-caval 24F cannula
(Smartcanula LLC, Lausanne, Switzerland) versus a commercially available 23F bi
caval, dual-lumen control cannula (Avalon Elite(r), Maquet, Rastatt, Germany) in
a veno-venous ECMO setup. Veno-venous ECMO was initiated at 500 revolutions per
minute (RPM) and increased by incremental steps of 500 RPM up to 2500 RPM.
Catheter outlet pressure, catheter inlet pressure, oxygen saturation and pump
flow were recorded at each stage. RESULTS: Mean flow accounted for 0.37+/-0.04
L/min for wall-less versus 0.29+/- 0.07 L/min for control at 500 RPM, 0.97+/-0.12
versus 0.67+/-0.06 at 1000 RPM, 1.60+/-0.14 versus 1.16+/-0.08 at 1500 RPM,
2.31+/-0.13 versus 1.52+/-0.13 for 2000 RPM and 3.02+/-0.5 versus 2.11+/-0.18
(p<0.004). The mean venous suction required was 19+/-8 mmHg for wall-less versus
20+/-3 mmHg for control at 500 RPM, 7+/-3 versus 9+/-4 for 1000 RPM, -11+/-10
versus -12+/-8 at 1500 RPM, -39+/-15 versus -49+/-10 for 2000 RPM and -60+/-28
versus -94+/-7 for 2500 RPM. The mean venous injection pressure accounted for
29+/-7 mmHg for wall-less versus 27+/-5 mmHg for control at 500 RPM, 50+/-6
versus 61+/-7 at 1000 RPM, 89+/-10 versus 99+/-17 for 1500 RPM, 142+/-14 versus
161+/-9 at 2000 RPM and 211+/-41 versus 252 +/-3 for 2500 RPM. CONCLUSION:
Compared to the commercially available control cannula, the new, optimized,
virtually wall-less, dual-lumen, bi-caval 24F cannula allows for significantly
higher blood flows, requires less suction and results in lower injection
pressures in vivo.
PMID- 29788841
TI - Heparin-induced thrombocytopenia complicating extracorporeal membrane oxygenation
support in pediatric patients: review of the literature and alternative
anticoagulants.
AB - Heparin-induced thrombocytopenia (HIT) is a prothrombotic, immune-mediated
complication of unfractionated heparin (UFH) and low molecular weight heparin
therapy. HIT is characterized by moderate thrombocytopenia 5-10 days after
initial heparin exposure, detection of platelet-activating anti-platelet factor
4/heparin antibodies and an increased risk of venous and arterial thrombosis.
Extracorporeal membrane oxygenation (ECMO) is a form of mechanical circulatory
support used in critically ill patients with respiratory or cardiac failure.
Systemic anticoagulation is used to alleviate the thrombotic complications that
may occur when blood is exposed to artificial surfaces within the ECMO circuit.
Therefore, when HIT complicates patients on ECMO support, it is associated with a
high thrombotic morbidity and mortality. The following article reviews the
current knowledge in pediatric HIT, especially in ECMO patients, and the
alternative anticoagulation options in the presence of HIT.
PMID- 29788842
TI - Veno-arterio-venous ECMO for septic cardiomyopathy: a single-centre experience.
AB - INTRODUCTION: The role of extracorporeal support for patients with septic shock
remains unclear. METHODS: We conducted a retrospective analysis of our single
centre experience with veno-arterio-venous extracorporeal membrane oxygenation
(VAV ECMO) in adult patients with severe respiratory failure and septic
cardiomyopathy. Clinical data was extracted from electronic medical records
including a dedicated ECMO referral and follow-up database. RESULTS: Twelve
patients were commenced on VAV ECMO for septic cardiomyopathy for a median of
four days (IQR 3.0 to 5.3) between 01/2014 and 12/2017. Five patients (41.7%) had
a cardiac arrest prior to initiation of ECMO support. At baseline, median left
ventricular ejection fraction was 16.25% (IQR 13.13 to 17.5) and median PaO2/FiO2
ratio was 9 kPa (IQR 6.5 to 12.0) [67.50 mmHg (IQR 48.75 to 90.00)]. The survival
rate to hospital discharge for VAV ECMO was 75% in this cohort. None of the
surviving patients died within the follow-up period (median six month).
CONCLUSION: VAV ECMO is a feasible rescue strategy for a small proportion of
patients with combined respiratory and cardiac failure secondary to septic shock
with septic cardiomyopathy. We provide a detailed report of our experience with
this technique. Further research is required comparing the different
extracorporeal strategies directly to conventional resuscitation and against each
other.
PMID- 29788843
TI - Effect of pump type on outcomes in neonates with congenital diaphragmatic hernia
requiring ECMO.
AB - PURPOSE: With the exception of neonatal respiratory failure, most centers are now
using centrifugal over roller-type pumps for the delivery of extracorporeal
membrane oxygenation (ECMO). Evidence supporting the use of centrifugal pumps
specifically in infants with congenital diaphragmatic hernia (CDH) remains
lacking. We hypothesized that the use of centrifugal pumps in infants with CDH
would not affect mortality or rates of severe neurologic injury (SNI). METHODS:
Infants with CDH were identified within the ELSO registry (2000-2016). Patients
were then divided into those undergoing ECMO with rollertype pumps or centrifugal
pumps. Patients were matched based on propensity score (PS) for the ECMO pump
type based on pre-ECMO covariates. This was done for all infants and separately
for each ECMO mode, venovenous (VV) and venoarterial (VA) ECMO. RESULTS: We
identified 4,367 infants who were treated with either roller or centrifugal pumps
from 2000-2016. There was no difference in mortality or SNI between the two pump
types in any of the groups (all infants, VA-ECMO infants, VV-ECMO infants).
However, there was at least a six-fold increase in the odds of hemolysis for
centrifugal pumps in all groups: all infants (odds ratio [OR] 6.99, p<0.001), VA
ECMO infants (OR 8.11, p<0.001 and VV-ECMO infants (OR 9.66, p<0.001).
CONCLUSION: For neonates with CDH requiring ECMO, there is no survival advantage
or difference in severe neurologic injury between those receiving roller or
centrifugal pump ECMO. However, there is a significant increase in red blood cell
hemolysis associated with centrifugal ECMO support.
PMID- 29788844
TI - The first five years of neonatal and pediatric transports on extracorporeal
membrane oxygenation in the center and south of Italy: The pediatric branch of
the Italian "Rete Respira" network.
AB - INTRODUCTION: Neonatal and pediatric ECMO is a high-risk procedure that should be
performed only in expert centers. Children who are eligible for ECMO and are
managed in hospitals without ECMO capabilities should be referred to the closest
ECMO center before the severity of illness precludes safe conventional transport.
When the clinical situation precludes safe conventional transport, ECMO should be
provided on site with the patient transported on ECMO. METHODS: We
retrospectively reviewed our institutional database of all ECMO transports for
neonatal and pediatric respiratory failure from February 2013 to February 2018.
RESULTS: Over the last 5 years, we provided 24 transports covering all requests
from the center and south of Italy except for the islands. Of these transports,
20 were performed on ECMO and 4 without ECMO. No patient died during
transportation. Five complications were reported only during the ECMO transports,
and all of these were managed without compromising the patient's safety. The
preferred modes of transport were by ambulance (70%) and ambulance transported
into the fixed wing aircraft (30%) for longer national distances. The survival to
hospital discharge of the patients transported with ECMO was 75% among the
neonatal transports and 83.3% among the pediatric transports. The survival to
hospital discharge of the four patients transported without ECMO was 100% for
both neonates and children. CONCLUSIONS: Neonatal and pediatric ECMO transports
can be safely performed with a dedicated team that maintains stringent adherence
to well-designed management protocols.
PMID- 29788845
TI - Brain perfusion evaluated by regional tissue oxygenation as a possible quality
indicator of ongoing cardiopulmonary resuscitation. An experimental porcine
cardiac arrest study.
AB - INTRODUCTION: Relationship between regional tissue oxygenation (rSO2) and
microcirculatory changes during cardiac arrest (CA) are still unclear. Therefore,
we designed an experimental study to correlate rSO2, microcirculation and
systemic hemodynamic parameters in a porcine model of CA. METHODS: Ventricular
fibrillation was induced in 24 female pigs (50+/-3kg) and left for three minutes
untreated followed by five minutes of mechanical CPR. Regional and peripheral
saturations were assessed by near-infrared spectroscopy, sublingual
microcirculation by Sidestream Dark Field technology and continuous hemodynamic
parameters, including systemic blood pressure (MAP) and carotid blood flow (CF),
during baseline, CA and CPR periods. The Wilcoxon Signed-Rank test, the Friedman
test and the partial correlation method were used to compare these parameters.
RESULTS: Brain and peripheral rSO2 showed a gradual decrease during CA and only
an increase of brain rSO2 during mechanical CPR (34.5 to 42.5; p=0.0001),
reflected by a rapid decrease of microcirculatory and hemodynamic parameters
during CA and a slight increase during CPR. Peripheral rSO2 was not changed
significantly during CPR (38 to 38.5; p=0.09). We only found a moderate
correlation of cerebral/peripheral rSO2 to microcirculatory parameters (PVD:
r=0.53/0.46; PPV: r=0.6/0.5 and MFI: r=0.64/0.52) and hemodynamic parameters
(MAP: r=0.64/0.71 and CF: 0.71/0.67). CONCLUSIONS: Our experimental study
confirmed that monitoring brain and peripheral rSO2 is an easy-to-use method,
well reflecting the hemodynamics during CA. However, only brain rSO2 reflects the
CPR efforts and might be used as a potential quality indicator for CPR.
PMID- 29788846
TI - [Patient- vs Physician-Reported Implementation of and Compliance to Anti
Osteoporotic Medication One Year after Sustained Fragility Fracture].
AB - Patient- vs Physician-Reported Implementation of and Compliance to Anti
Osteoporotic Medication One Year after Sustained Fragility Fracture Abstract. We
were interested why therapy recommendations made by specialists are often not
followed by general practitioners (GPs) and patients. We evaluated systematic
questionnaires comparing both, patient and GP statements (n = 151 each) with
regard to the implementation of and compliance to specific therapy recommended by
an osteologic specialist one year after an osteoporotic fracture. In 53 % GPs
prescribed antiosteoporotic drugs, more often if the indication for treatment was
less aggressive (p <0.001). Once prescribed, in 94 % of cases the GPs' medication
followed the specialists' recommendations. 74 % of patients followed their GP's
prescription. Patients most often stated a missing prescription as the reason for
not taking drugs (39 %), whereas GPs cited a missing interest of their patients
(44 %). The observed discrepancies call for a melioration in the communication
between all parties involved.
PMID- 29788847
TI - ?
PMID- 29788849
TI - ?
PMID- 29788848
TI - [Sports in Patients with Heart Disease: What Should Be Recommended?]
AB - Sports in Patients with Heart Disease: What Should Be Recommended? Abstract.
Sports is recommended in the European guidelines for the prevention and initial
treatment of many cardiovascular diseases as well as the metabolic syndrome.
However, the individually recommended intensity and duration of physical activity
that unfolds the maximal protective effects in terms of prevention, largely
differs. Indeed, a J-curve relationship with regard to exercise training has been
postulated, with the assumption that too much sports is equally harmful or
potentially even more harmful than too little sports. In this review, we try to
answer the question of how much and which sports is optimal for cardiac patients.
PMID- 29788850
TI - ?
PMID- 29788851
TI - [Micturition Complaints in Men: One Symptom, Multiple Causes].
AB - Micturition Complaints in Men: One Symptom, Multiple Causes Abstract. Micturition
complaints in male patients are a common problem in the general practice. The
complaints are summarized as lower urinary tract symptoms (LUTS) and are often an
expression of prostate enlargement and the resulting bladder outlet -obstruction.
Differential diagnosis has to exclude prostate-related LUTS. Basic diagnostics
are performed by means of detailed physical history, Combur test and ultrasound.
The most common diagnoses can already be made at this point and therapy can be
initiated. If -secondary changes of the urinary tract (dilated upper urinary
tract, bladder diverticulum formation) already show, specialist -assessment and
operative therapy are indicated.
PMID- 29788852
TI - ?
PMID- 29788853
TI - [CME Answers: "Periinterventional Management of Direct Oral Anticoagulants -
Balancing Benefits and Risks", Praxis No. 9-10].
PMID- 29788855
TI - [CME Laboratory 54: Inflammation Markers].
PMID- 29788854
TI - [Myocardial Clefts - Incidental Findings in Parainfectious Myocarditis].
AB - Myocardial Clefts - Incidental Findings in Parainfectious Myocarditis Abstract.
We report a 23-year-old woman with parainfectious myocarditis due to
gastroenteritis. A transthoracic echocardio-graphy revealed a recess in the left
inferobasal ventricle. For further investigation, cardiac magnetic resonance
imaging was initiated, which revealed a myocardial cleft, a harmless structural
variation of the heart. Cardiac magnetic resonance is a non-invasive imaging
technique with a high spatial and temporal resolution that enables a precise
depiction of the cardiac anatomy and therefore allows for a differentiation
between normal and pathological structural variations of the heart.
PMID- 29788856
TI - [CME Rheumatology 16: Tennis Elbow - Epicondylitis].
PMID- 29788857
TI - [CME: Rabies Update: Symptoms, Diagnostics and Therapy].
AB - Rabies is one of the oldest known infectious diseases and certainly one of the
most feared because of its impressive course. Despite continuous improvement in
medical treatment, the disease continues to be almost always fatal after onset of
symptoms. Due to urbanization, rabies has lately somewhat disappeared from the
public focus in Central Europe. Because of the remaining high number of fatal
cases due to rabies infection worldwide and the persistent risk of contagion on
holiday or within Switzerland, e.g. due to illegal import of infected animals,
the disease is becoming a frequent topic in the media again.
PMID- 29788858
TI - Capacity of Objective Measures of Physical Activity to Predict Brazilian
Children's Low Motor Proficiency.
AB - This study analyzed the associations between physical activity (PA) and motor
proficiency (MP) to determine what level of moderate PA might help avoid low MP
in 8-10-year-old Brazilian children. We assessed MP of 98 children using the
Bruininks-Oseretsky Motor Proficiency, Second Edition Short Form (BOT-2 SF), and
we assessed PA using the GT3X + accelerometer. We analyzed data using means,
standard deviations, frequency distribution, spearman correlation coefficients,
Mann-Whitney U test, and the Receiver Operating Characteristics curve. There was
a difference between groups of children with adequate or better versus low MP in
minutes/day of moderate PA (defined as 2,296-4,011 accelerometer counts/minute; U
= 666.0, p = .045) such that those with less time engaged in moderate PA had
lower MP scores than peers with adequate MP. There was also a positive
correlation between moderate PA and the strength and agility MP domain ( rs =
.226; p = .025). In addition, minutes/day of moderate PA less than or equal to
142.56 minutes showed predictive capacity for low MP (area under the curve =
0.635; p = .027). Thus, objective measures of PA were able to predict low MP in 8
10-year-old Brazilian children, with an approximate guideline of about 2.5 hours
per day of moderate PA needed to protect against low MP.
PMID- 29788860
TI - Comparative Effects of Auditory Electromyographic Biofeedback for Participants
Who Are Blind and Sighted.
AB - This study examined differences in auditory electromyographic biofeedback (AEB)
effects between participants who were sighted and blind. Past research makes
clear that AEB is effective for people who are blind, particularly because of
their reported superiority in auditory processing, but no study has directly
compared AEB efficacy between participants who are sighted and blind. A total of
11 participants who were sighted and 10 who were blind performed a motor task
requiring 20 nonfatiguing muscle contractions at 20% maximal voluntary
contraction (MVC) with or without AEB. AEB reduced the error (calculated as the
absolute difference of two consecutive contractions) among both participant
groups, and the error for the group without biofeedback was greater for the
participants who were blind than that for the sighted participants (5.20% +/-
2.05% MVC and 3.30% +/- 1.26% MVC, respectively; p < .05). This finding was
consistent with subjective rating data, also indicating a trend toward greater
difficulty for participants who were blind when performing without biofeedback.
Moreover, the AEB/no biofeedback condition showed fewer errors for the
participants who were blind than for the participants who were sighted during the
last part of the task ( p = .036), indicating that participants who were blind
were better at maintaining the AEB effect. These data suggest that the poor motor
performance of participants who were blind was greatly improved with AEB,
suggesting important applications of AEB for assistive technologies, adapted
sports, and activities of daily living.
PMID- 29788862
TI - Cigarette Smoking Cessation Involving the 5-A Framework.
AB - Occupational health nurses (OHNs) need to have adequate knowledge and skills to
manage and educate individuals about smoking cessation.
PMID- 29788859
TI - Female Judo Athletes' Physical Test Performances Are Unrelated to Technical
Tactical Competition Skills.
AB - This study analyzed the relationship between generic and judo-specific physical
test performances and technical-tactical competition performances among 19 female
judo athletes. Participant data were gathered in two stages: (a) physical tests
countermovement jump (CMJ), handgrip strength, Special Judo Fitness Test and
Judogi Grip Strength Test; and (b) match performances recorded for technical
tactical analysis. Pearson's linear correlation and multiple linear regression
analysis showed no significant correlations between any technical-tactical
parameter and judo-specific physical tests. However, the number of attacks during
matches was significantly positively correlated with countermovement jump height
(CMJJH; r = 0.69, p = .002), peak power output (CMJPPO; r = 0.53, p = .002), and
peak velocity (CMJPV; r = 0.62, p = .001). Effective time during matches was
significantly correlated with all CMJ parameters (except maximum force; r = 0.65
0.76, p <= .01) and with right hand grip strength ( r = 0.48, p = .037). Match
effectiveness was correlated with CMJPPO ( r = -0.67, p = .001), mean power
output (CMJMPO; r = -0.54, p = .017), and CMJPV ( r = -0.54, p = .004). The
explained power of variance was low for all variables at 45-54%. We conclude that
judo-specific performance tests do not seem to be related to female judo
athletes' technical-tactical skills in official competition, though athletes with
higher lower limb muscle power and higher handgrip strength showed higher time
effectiveness during matches.
PMID- 29788863
TI - Erratum for Selenium and Selenoproteins: An Overview on Different Biological
Systems.
AB - Current Protein and Peptide Science, 2014, 15(6): 598-607. Selenium and
Selenoproteins: An Overview on Different Biological Systems Erika Mangiapane*,
Alessandro Pessione and Enrica Pessione Regrettably authors of the article
entitled "Selenium and Selenoproteins: An Overview on Different Biological
Systems" declare that due to a oversight at there end, in the original article,
there is an error in the reported name of the bacterial strain. The bacterial
strain was reported as Lactobacillus reuteri Lb2 (DSM 16143) but it should have
been reported as Lactobacillus reuteri Lb26 (DSM 16341). Therefore, any instances
of Lb2 (DSM 16143) should be replaced with Lb26 (DSM 16341). The experimental
work was conducted on the strain Lactobacillus reuteri Lb26 (DSM 16341), formerly
classified as Lactobacillus buchneri Lb26 (DSM 16341). Unfortunately the strain
provided was mislabeled as Lactobacillus reuteri Lb2 (DSM 16143), which does not
possess any of the specific traits published. The results of the research were
unaffected by this labelling issue. The last reference should read: Lamberti, C.;
Mangiapane, E.; Pessione, A.; Mazzoli, R.; Giunta, C.; Pessione, E. Proteomic
characterization of a seleniummetabolizing probiotic Lactobacillus reuteri Lb26
(DSM 16341) for nutraceutical applications. Proteomics, 2011, 11(11), 2212-2221.
PMID- 29788864
TI - Editorial: Protein and Sarcopenia: Experimental Data and Clinical Evidence.
PMID- 29788865
TI - Editorial: Head and Neck Cancer: Recent Findings and New Targets.
PMID- 29788866
TI - Editorial: Integrative Approach to Manage Neurological Disorders.
PMID- 29788868
TI - Contribution of spinal cord oligodendrocytes to neuroinflammatory diseases and
pain.
AB - BACKGROUND: Neuroinflammatory diseases that affect spinal cord or associated
spinal nerves represent challenging conditions for management in current medicine
because of their complex pathology, poor prognosis, and high morbidity, which
strikingly reduces the quality of life of patients. In this sense, a better
understanding of the cellular and molecular mechanisms of spinal cord
neuroinflammation might contribute to the development of novel therapies.
Oligodendrocytes have unique and vital biological properties in central nervous
system (CNS) homeostasis and physiology. A growing body of experimental evidence
demonstrates that these glial cells are involved in the pathophysiological
mechanisms underlying many chronic, neurodegenerative, and incapacitating CNS
disorders. These cells also have important implications for the development and
maintenance of neural plasticity and chronic pain states. On the other hand,
evidence indicates that oligodendrocytes and their products may act in favor of
CNS promoting beneficial effects orchestrating CNS tissue repair after injury.
OBJECTIVE: The present review aims to explore the multi-faceted actions of spinal
cord oligodendrocyte progenitors cells (OPCs) and mature oligodendrocytes in CNS
inflammation and pathology, addressing their roles in experimental and clinical
settings. A major focus was given to spinal cord amyotrophic lateral sclerosis,
multiple sclerosis (MS)/experimental autoimmune encephalomyelitis (EAE),
traumatic injury and pain processing. METHODS: This review analyses and discusses
published original research articles regarding the role of OPCs/oligodendrocytes
in spinal cord inflammation and pain processing. RESULTS AND CONCLUSION: Findings
from a number of clinical and experimental paradigms suggest spinal cord
OPCs/oligodendrocytes are a potential therapeutic target for the control of
neuroinflammation.
PMID- 29788869
TI - Advances in the tyrosinase inhibitors from plant source.
AB - Tyrosinase is a multifunctional copper-containing oxidase which catalyses the
oxidation of tyrosine to produce melanin. The alteration in melanin biosynthesis
occurs in many diseases. The pigment has a protecting role against skin photo
carcinogenesis, but anomalous melanin pigmentation is an aesthetic problem in
human beings. Moreover, the formation of neuromelanin in human brain could
contribute to the neurodegeneration associated with Parkinson's disease. Finally,
tyrosinase is also responsible of undesired browning in fruits and vegetables.
These topics encouraged the search for new inhibitors of this enzyme for
pharmaceutical, cosmetic and foods industries. This review is to report recent
trends in the discovery of tyrosinase inhibitors from plant sources, to provide a
rationale for the continued study of natural tyrosinase inhibitors, and to
recognise the potential therapeutic rewards associated with the identification of
these agents.
PMID- 29788870
TI - Small molecules as drugs to upregulate metastasis suppressors in cancer cells.
AB - It is well-recognized that the majority of cancer-related deaths are attributed
to metastasis, which can arise from virtually any type of tumor. Metastasis is a
complex multistep process wherein cancer cells must break away from the primary
tumor, intravasate into the circulatory or lymphatic systems, extravasate,
proliferate and eventually colonize secondary sites. Since these molecular
processes involve the coordinated actions of numerous proteins, targeted
disruptions of key players along these pathways represent possible therapeutic
interventions to impede metastasis formation and reduce cancer mortality. A
diverse group of proteins with demonstrated ability to inhibit metastatic
colonization have been identified and they are collectively known as metastasis
suppressors. Given that the metastasis suppressors are often down regulated in
tumors, drug-induced re-expression or upregulation of these proteins represents a
promising approach to limit metastasis. Indeed, over 40 compounds are known to
exhibit efficacy in upregulating the expression of metastasis suppressors via
transcriptional or post-transcriptional mechanisms, and the most promising ones
are being evaluated for their translational potentials. These small molecules
range from natural products to drugs in clinical use and they apparently target
different molecular pathways, reflecting the diverse nature of the metastasis
suppressors. In this review, we provide an overview of the different classes of
compounds known to possess the ability to upregulate one or more metastasis
suppressors, with an emphasis on their mechanisms of action and therapeutic
potentials.
PMID- 29788871
TI - Biologics for the treatment of Juvenile Idiopathic Arthritis.
AB - Juvenile Idiopathic Arthritis (JIA) is one of the most common chronic diseases in
children. Recently, the management of JIA has substantially changed, thanks to
the availability of new treatment options, represented by biological drugs or
biologics. These drugs modulate specific mechanisms of the immune systems, such
as TNF-alpha, IL-1 and IL-6 signaling, or lymphocyte activation and/or
functioning. In this review, we provide a comprehensive discussion on the current
recommendations and clinical evidences regarding the use of the available
biologics in the treatment of JIA; moreover, the main pharmacokinetic and
pharmacodynamic aspects of any specific biologic drug have been summarized.
PMID- 29788872
TI - Recent Advances in Magnetic Nanoparticle-based Molecular Probes for
Hepatocellular Carcinoma Diagnosis and Therapy.
AB - Hepatocellular carcinoma (HCC) is one of the most common cancers worldwide,
leading to the second most likely cause of cancer-related deaths. Medical imaging
is crucial in clinic for HCC screening and diagnosis. Due to the relatively high
special resolution and excellent sensitivity, magnetic resonance imaging (MRI) by
using magnetic nanoparticle-based contrast agents has been used so far in HCC
imaging and staging, demonstrating great potential and promising in vivo
applications. This review focuses on the use of different magnetic nanoparticles
for construction of HCC nanoprobes for MR imaging and theranostic purpose.
PMID- 29788873
TI - One Molecule, Many Targets and Numerous Effects: The Pleiotropy of Curcumin Lies
in its Chemical Structure.
AB - Curcumin quite possibly represents one of the most diverse therapeutic agents yet
isolated from natural sources. Therapeutic benefits of this extraordinary natural
compound have been demonstrated during treatment of a variety of diseases,
including cancer, inflammatory processes, immunological disorders, Diabetes, and
oxidative stress often associated with hyperlipidemia. Due to its unique
molecular chemical structure and functional groups, curcumin may bind with and
subsequently either inhibit or activate a variety of endogenous biomolecules,
including enzymes, receptors, signaling molecules, metals, transcription factors,
and even certain proteins located in cell membranes. In fact, curcumin exerts
pharmacologically useful effects through non-covalent interactions with
biomolecules. With so many varied biological targets, curcumin (a polyphenol)
elicits numerous pleiotropic effects, which is therapeutically advantageous owing
to the fact that many pathological disease states involve more than one signaling
pathway, receptor, protein/enzyme, or gene. In this paper, we will discuss the
underlying mechanisms responsible for the chemical interaction of curcumin with
selected classes of biomolecules, rather than attempt to provide an exhaustive
list of each and every biomolecule with which curcumin may chemically interact.
PMID- 29788874
TI - Hydroxytyrosol: Emerging Trends in Potential Therapeutic Applications.
AB - Hydroxytyrosol (HT) and its derivatives represent the minor components of Virgin
Olive Oil (VOO) that are of great interest for their pharmacological properties
and among the most widely researched natural antioxidant compounds. In this
review, the occurrence and metabolic fate of HT and its precursors are presented
prior to discussing its beneficial effects on health. Bioavailability studies
show that the metabolites detected in plasma depend on the model used (animal or
human), the HT source (simple molecule or complex precursors) and the dose
administered. However, in all cases HT sulphate appears to be the most ubiquitous
metabolite in biofluids and it seems probable that it is responsible to a great
extent for HT biological effects. Epidemiological evidence of HT and its
derivatives against such lifestyle-associated pathologies as cancer,
cardiovascular and neurodegenerative diseases is reviewed together with the
newest perspectives on the mechanisms of action based on in-vitro and animal
studies. According to the reviewed data, HT and its precursors could have the
potential clinical use in cardiovascular diseases; more epidemiological data is
needed to demonstrate their neurodegenerative diseases and cancer prevention.
PMID- 29788875
TI - Anti-Cancer and Radio-Sensitizing Effects of Curcumin in Nasopharyngeal
Carcinoma.
AB - Nasopharyngeal Cancer (NPC) is a rare type of head and neck cancer that is mainly
treated by radiotherapy, but sometimes it is radioresistant. Curcumin is a
polyphenolic natural product with established anticancer effects in various human
cancers. Recent studies have shown that curcumin has therapeutic and
radiosensitizing effects on NPC cells. In fact, it has been found that curcumin
can sensitize NPC cells to radiation through different mechanisms, including
modulation of ROS generation, Jab1/CSN5 and non-coding RNAs. As curcumin is safe
and lacks systemic toxic effects in humans, it may be considered as a potential
candidate to enhance the therapeutic effects of radiation and potentiate the
efficacy of chemotherapy in the context of combination regimens.
PMID- 29788876
TI - Exploiting Significance of Physical Exercise in Prevention of Gastrointestinal
Disorders.
AB - BACKGROUND: Physical activity can be involved in the prevention of
gastrointestinal (GI)-tract diseases, however, the results regarding the volume
and the intensity of exercise considered as beneficial for protection of
gastrointestinal organs are conflicting. AIMS AND METHODS: The main objective of
this review is to provide a comprehensive and updated overview on the beneficial
and harmful effects of physical activity on the gastrointestinal tract. We
attempted to discuss recent evidence regarding the association between different
modes and intensity levels of exercise and physiological functions of the gut and
gut pathology. RESULTS: The regular, moderate exercise can exert a beneficial
effect on GI-tract disorders such as reflux esophagitis, peptic ulcers,
cholelithiasis, constipation and Inflammatory Bowel Disease (IBD) leading to the
attenuation of the symptoms. This voluntary exercise has been shown to reduce the
risk of colorectal cancer. On the other hand, there is considerable evidence that
the high-intensity training or prolonged endurance training can exert a negative
influence on GI-tract resulting in the exacerbation of symptoms. CONCLUSION:
Physical activity can exhibit a beneficial effect on a variety of
gastrointestinal diseases, however, this effect depends upon the exercise mode,
duration and intensity. The accumulated evidence indicate that management of
gastrointestinal problems and their relief by the exercise seems to be
complicated and require adjustments of physical activity training, dietary
measures and medical monitoring of symptoms. More experimental and clinical
studies on the effects of physical activity on GI-tract disorders are warranted.
Especially, the association between the exercise intensity and data addressing
the underlying mechanism(s) of the exercise as the complementary therapy in the
treatment of gastrointestinal disorders, require further determination in animal
models and humans.
PMID- 29788877
TI - Contribution of NRF2 in Gastrointestinal Protection from Oxidative Injury.
AB - The human gastrointestinal tract is exposed to a variety of toxic agents, such as
Helicobacter pylori (H.pylori), Nonsteroidal Anti-inflammatory Drugs (NSAIDs),
gastric acid, enteric pathogenic bacteria, excessive auto immune reactions, and
chronic mental stresses. These stressors generate free radicals within the
gastrointestinal tissues, causing chronic inflammatory diseases, ulcers, cancers,
and functional disturbances. Recent studies have demonstrated that some natural
food compounds upregulate the nuclear factor erythroid 2-related factor 2-
mediated antioxidant system, ameliorating or preventing these disorders. We have
previously shown that dietary intake of sulforaphane-rich broccoli sprouts,
ameliorates gastric inflammation induced by H. pylori, prevents NSAIDs-induced
small intestinal injury, and improve functional constipation. There have been
many other compounds, which enhance the nuclear factor erythroid 2-related factor
2-mediated antioxidant system, sufficient evidence for their clinical efficacy
has not yet been provided. In addition, we have to pay attention to some reports,
which have shown that excessive stimulation of nuclear factor erythroid 2-related
factor 2 enhance chemoresistance and facilitates growth of cancer cells.
PMID- 29788878
TI - Cocrystal and its Application in the Field of Active Pharmaceutical Ingredients
and Food Ingredients.
AB - BACKGROUND: The development of solid drug dosage form and food ingredients is
constrained by their low solubility, low dissolution, low bioavailability and
poor physicochemical properties. Formation of cocrystal is a novel and promising
method to enhance and improve the properties of materials without breaking the
covalent bonds. METHODS: The goal of this review is to summarize the cocrystals
and their applications in the field of Active Pharmaceutical Ingredients (APIs)
and food ingredients (AFIs), mainly on the effective improvements of APIs' and
AFIs' pharmacokinetic, physicochemical and mechanical properties by the formation
of cocrystals. RESULTS: After years of research and development on cocrystals in
the area of pharmaceutical and food industries, significant progress has been
made. Formation of cocrystal is an efficient method for improving the solubility,
dissolution rate, permeability and in vivo bioavailability of APIs and AFIs, as
well as for enhancing stability and mechanical properties. CONCLUSION: Cocrystals
exhibit complex structures which can conspicuously affect the physical and
chemical properties of original substance, with good clinical performance and
outstanding stability during processing and storage.
PMID- 29788879
TI - Phase Behavior of Polymorphic Fats in Drug Delivery Systems - A Review of the
State of Art.
AB - Fats are essential nutrients that have a significant role in the human diet and
are essential to provide energy. Fatty acids are present in several types of
lipids, such as triglycerides and phospholipids. Fatty acids differ among them,
depending on the number of double bonds and on the length of the hydrocarbon
chains. If there are no double bonds, the fatty acids are considered saturated
and show a linear structure. Compounds with double bonds are unsaturated and have
bent structure. The saturated fatty acids are usually solid at room temperature
and the unsaturated fatty acids are liquid at that very same temperature. These
compounds are of recognized value as raw materials for drug delivery systems,
such as lipid nanoparticles. The behaviour of the macroscopic aspects of fat
polymorphisms is directly influenced by the melting point, the crystallization
and their polymorphic transformations. In this work, we revise the most critical
factors contributing for the long-term stability of lipid nanoparticles, as well
as the influence of the polymorphism on the loading capacity for drug molecules.
PMID- 29788880
TI - Recent Advances in Nanosuspension Technology for Drug Delivery.
AB - BACKGROUND: Discovery and development of BCS class 1 drugs through high
throughput screening is one of the biggest challenge faced by formulation
scientist. METHODS: There are a number of approaches that have been exploited to
enhance the solubility and permeability of drugs. Among them, development of
nanosuspension has offered several benefits. These techniques may increase
effective surface area due to nanonization of drug particles and further
increases saturation solubility and dissolution properties for improved
bioavailability. Various development methods are patented which are cost
effective and easy to scale up. CONCLUSION: Several unique features of
nanosuspension make it a versatile delivery system for different routes of
administration including oral, dermal, ocular, parenteral and pulmonary. The
present review is focused on preparatory techniques and formulation
considerations of nanosuspension. Brief information about evaluation parameters,
applications of nanosuspension in drug delivery and patented and marketed
products available is also discussed.
PMID- 29788881
TI - Novel Solid Lipid Nanocarrier of Glibenclamide: A Factorial Design Approach with
Response Surface Methodology.
AB - BACKGROUND: In the present investigation, a factorial design approach attempt was
applied to develop the Solid Lipid Nanoparticles (SLN) of Glibenclamide (GLB) a
poorly water-soluble drug (BCS -II) used in the treatment of type 2 diabetes.
OBJECTIVES: Prime objectives of this experiment are to optimize the SLN
formulation of Glibenclamide and improve the therapeutic effectiveness of the
developed formulation. METHODS: Glibenclamide loaded SLNs (GLB-SLN) were
fabricated by High speed homogenization technique. A 32-factorial design approach
has been employed to assess the influence of two independent variables, namely
amount of Poloxamer 188 and Glyceryl Monostearate on entrapment efficiency (% EE)
(Y1), Particle Size (nm) (Y2), % drug release at 8hr Q8 (Y3) and 24 hr Q24 (Y4)
of prepared SLNs. Differential scanning calorimetry analysis revealed the
compatibility of the drug into lipid matrix with a surfactant, while Transmission
electron and Scanning electron microscopy studies indicated the size and shape of
SLN. RESULTS: The entrapment efficiency, particle size, Q8 and Q24 of the
optimized SLNs were 88.93%, 125 nm, 31.12+/-0.951% and 86.07+/-1.291%
respectively. Optimized GLB-SLN formula was derived from an overlay plot. Three
dimensional response surface plots and regression equations confirmed the
corresponding influence of selected independent variables on measured responses.
In vivo testing of the GLB-SLN in diabetic albino rats demonstrated the
significant antidiabetic effect of GLB-SLN. CONCLUSION: The hypoglycemic effect
obtained by GLB-SLN remained significantly higher than that given by drug alone
and marketed formulation, further confirming the higher therapeutic effectiveness
of the GLB-SLN formulation. Our findings suggested the feasibility of the
investigated system for oral administration of Glibenclamide.
PMID- 29788882
TI - Impact of Drug Metabolism/Pharmacokinetics and their Relevance Upon Taxus-based
Drug Development.
AB - BACKGROUND: Drug Metabolism and Pharmacokinetic (DMPK) studies of Taxus natural
products, their semi-synthetic derivatives and analogs are indispensable in the
optimization of lead compounds and clinical therapy. These studies can lead to
development of new drug entities with improved Absorption, Distribution,
Metabolism, Excretion and Toxicity (ADME/T) profiles. To date, there have been no
comprehensive reviews of the DMPK features of Taxus derived medicinal compounds.
Natural and semi-synthetic taxanes may cause and could be affected by Drug-drug
Interaction (DDI). Hence ADME/T studies of various taxane-containing formulations
are important; to date these studies indicate that the role of cytochrome p450s
and drug transporters is more prominent than phase II drug metabolizing enzymes.
Mechanisms of taxane DMPK mediated by nuclear receptors, microRNAs, and single
nucleotide polymorphisms are being revealed. METHOD: Herein we review the latest
knowledge on these topics, as well as the gaps in knowledge of the DMPK issues of
Taxus compounds. RESULTS AND CONCLUSION: DDIs significantly impact the
PK/pharmacodynamics performance of taxanes and coadministered chemicals, which
may inspire researchers to develop novel formula. While the ADME/T profiles of
some taxanes are well defined, DMPK studies should be extended to more Taxus
compounds, species, and Taxus - involved formulations, which would be streamlined
by versatile omics platforms and computational analyses. Further
biopharmaceutical investigations will be beneficial to the translation of bench
findings to the clinical applications.
PMID- 29788885
TI - Application of Traditional Chinese Herbal Medicine By-products as Dietary Feed
Supplements and Antibiotic Replacements in Animal Production.
AB - Misuse of synthetic antibiotics in livestock leads to the transfer of antibiotic
resistant pathogens into humans and deposits toxic residues in meat and milk.
There is therefore an urgent need for safe and viable alternative approaches to
improve the nutrition and wellbeing of farm animals. An alternative source that
has been widely exploited is traditional Chinese herbal medicine (TCHM). These
herbal medicines are an important part of the healthcare system in many Asian
countries. Such herbs contain several but less toxic bioactive compounds which
are generally regarded biodegradable. Recently, advances in knowledge on the
importance of TCHM have led to a rapid increase in its production and hence,
increasing the amount of by-products generated. Such by-products have become a
serious environmental challenge because producers regard them as industrial waste
and discard them directly. Incorporating TCHM by-products as feed additives to
improve animal health and nutrition has been proposed because they contain high
amounts of bioactive compounds which confer several health benefits to animals.
TCHM by-products as feed additives apart from being a good alternative for
synthetic antibiotics could also minimize the current environmental challenges
associated with its disposal. This review summarizes scientific findings on the
bioactive compounds in TCHM and TCHM by-products, discusses functional dietary
patterns and outlines challenges that may hinder full utilization of TCHM by
products in animal production.
PMID- 29788886
TI - Novel Pharmacotherapeutic Approaches in Treatment of Alcohol Addiction.
AB - In the past two decades, the search for novel pharmacotherapies to treat alcohol
addiction has been a global endeavor. This has resulted in several drugs that
have been approved and successfully marketed for public use while some are still
in the testing phase. These pharmacological agents, though effective for the
treatment of alcoholism, are not without shortcomings; such as abuse potential,
serious mental and physical adverse effects, interaction with alcohol and also
poor metabolism and excretion. As more is being understood about the neurobiology
of alcohol addiction as well as the unique pharmacological action of these drugs,
new agents are evaluated for potential benefits when used as an adjunct in
combination therapy. This review article summarizes the novel pharmacotherapeutic
approaches used in the treatment of alcohol addiction by focusing on the drugs,
which include neramexane, gabapentin, baclofen, aripiprazole, nalmafene, and
quetiapine.
PMID- 29788884
TI - Progress of Oral Insulin and Related Drug Delivery Systems and their
Pharmacokinetics.
AB - BACKGROUND: As society has developed and living standards have improved, diabetes
has become a severe public health issue. Insulin plays a crucial role in managing
hyperglycemia caused by type I diabetes and particular type II diabetes. Many
researchers are seeking alternative, more acceptable methods of insulin delivery,
such as oral insulin. An oral formulation has become a new goal for insulin
delivery in recent years. METHODS: The PubMed and CNKI databases were searched
for "oral insulin, " "drug delivery systems, " and "pharmacokinetics, " and 85
relevant articles were selected from the results as material for this review.
These papers were authoritative and had a higher number of citations. RESULTS:
Oral insulin would be highly advantageous but is poorly absorbed. The main reason
for low absorptivity is the hydrolysis of insulin by enzymes in the
gastrointestinal tract. Lack of active transport vectors that pass through the
intestinal epithelium is also a non-negligible problem. Additional issues need to
be considered to facilitate appropriate research, such as long-term efficacy and
safety, clinical data, and toxicological characteristics. CONCLUSION: This review
summarized recent advances in oral insulin and the pharmacokinetic profile of the
suitable delivery system, providing valuable reference material for future
research.
PMID- 29788887
TI - Designing Peptidomimetics.
AB - The concept of a peptidomimetic was coined about forty years ago. Since then,
enormous effort and interest have been devoted to mimic the properties of
peptides with small molecules or pseudopeptides. The present report aims to
review different approaches described in the past to succeed in this goal.
Basically, there are two different approaches to design peptidomimetics: a
medicinal chemistry approach, where parts of the peptide are successively
replaced by non-peptide moieties until getting a non-peptide molecule and a
biophysical approach, where a hypothesis of the bioactive form of the peptide is
sketched and peptidomimetics are designed based on hanging the appropriate
chemical moieties on diverse scaffolds. Although both approaches have been used
in the past, the former has been more widely used to design peptidomimetics of
secretory peptides, whereas the latter is nowadays getting momentum with the
recent interest in designing protein-protein interaction inhibitors. The present
report summarizes the relevance of the information gathered from structure
activity studies, together with a short review of the strategies used to design
new peptide analogs and surrogates. In the following section, there is a short
discussion on the characterization of the bioactive conformation of a peptide, to
continue describing the process of designing conformationally constrained analogs
producing first and second generation peptidomimetics. Finally, there is a
section devoted to reviewing the use of organic scaffolds to design
peptidomimetics based on the information available on the bioactive conformation
of the peptide.
PMID- 29788883
TI - Models of Drug Induced Liver Injury (DILI) - Current Issues and Future
Perspectives.
AB - BACKGROUND: Drug-induced Liver Injury (DILI) is an important cause of acute liver
failure cases in the United States, and remains a common cause of withdrawal of
drugs in both preclinical and clinical phases. METHODS: A structured search of
bibliographic databases - Web of Science Core Collection, Scopus and Medline for
peer-reviewed articles on models of DILI was performed. The reference lists of
relevant studies was prepared and a citation search for the included studies was
carried out. In addition, the characteristics of screened studies were described.
RESULTS: One hundred and six articles about the existing knowledge of appropriate
models to study DILI in vitro and in vivo with special focus on hepatic cell
models, variations of 3D co-cultures, animal models, databases and predictive
modeling and translational biomarkers developed to understand the mechanisms and
pathophysiology of DILI are described. CONCLUSION: Besides descriptions of
current applications of existing modeling systems, associated advantages and
limitations of each modeling system and future directions for research
development are discussed as well.
PMID- 29788889
TI - Targeting Key Transporters in Tumor Glycolysis as a Novel Anticancer Strategy.
AB - Increased glycolysis has been one of the metabolic characteristics known as the
Warburg effect. The functional and therapeutic importance of the Warburg effect
in targeted therapy is scientifically recognized and the glucose metabolic
pathway has become a desirable target of anticancer strategies. Glucose
transporters (GLUTs) play an important role in cancer glycolysis to sustain
cancer cell proliferation, metastasis and survival. Utilizing the knowledge of
differential expression and biological functions of GLUTs offers us the
possibility of designing and delivering chemotherapeutics toward targeted tumor
tissues for improved cancer selectivity. Inhibition of glucose uptake or
glycolysis may effectively kill hypoxic cancer cells. Facilitative drug uptake
via active transportation provides the potential opportunity to circumvent the
drug resistance in chemotherapy. GLUTs as the hallmarks and biotargets of cancer
metabolism enable the design and development of novel targeted theranostic
agents. In this updated review, we examine the current scenario of the GLUTs as
strategic targets in cancer and the unique concepts for discovery and development
of GLUTs-targeted anticancer agents. We highlight the recent progresses on
structural biology and underlying mechanism studies of GLUTs, with a brief
introduction to the computational approaches in GLUT-mediated drug transport and
tumor targeting.
PMID- 29788890
TI - Inhibition of Pyruvate Dehydrogenase Kinase as a Therapeutic Strategy against
Cancer.
AB - Cancer cells alter their metabolism to support the uninterrupted supply of
biosynthetic molecules required for continuous proliferation. Glucose metabolism
is frequently reprogrammed in several tumors in addition to fatty acid, amino
acid and glutamine metabolism. Pyruvate Dehydrogenase Kinase (PDK) is a
gatekeeper enzyme involved in altered glucose metabolism in tumors. There are
four isoforms of PDK (1 to 4) in humans. PDK phosphorylates E1alpha subunit of
pyruvate dehydrogenase complex (PDC) and inactivates it. PDC decarboxylates
pyruvate to acetyl CoA, which is further metabolized in mitochondria.
Overexpression of PDK was observed in several tumors and is frequently associated
with chemotherapy related drug resistance, invasion and metastasis. Elevated
expression of PDK leads to a shift in glucose metabolism towards glycolysis
instead of oxidative phosphorylation. This review summarizes recent literature
related to the role of PDKs in cancer and their inhibition as a strategy. In
particular, we discuss the role of PDK in tumor progression, metabolic
reprogramming in stem cells, and their regulation by miRNAs and lncRNAs,
oncogenes and tumor suppressors. Further, we review strategies aimed at targeting
PDK to halt tumor growth and progression.
PMID- 29788891
TI - Targeting Sugar Uptake and Metabolism for Cancer Identification and Therapy: An
Overview.
AB - Metabolic deregulations have emerged as a cancer characteristic, opening a broad
avenue for strategies and tools to target cancer through sugar uptake and
metabolism. High expression levels of sugar transporters in cancer cells offered
glycoconjugation as an approach to achieve enhanced cellular accumulation of
drugs and imaging agents, with the sugar moiety anchoring the bioactive cargo to
cancer cells. On the other hand, high demand for sugar nutrients in cancers
provided a new avenue to target cancer cells with metabolic or sugar uptake
inhibitors to induce cancer cells starvation or death. This overview summarizes
recent advances in targeting cancer cells through sugar transport for cancer
detection and therapy.
PMID- 29788888
TI - SCAP/SREBPs are Central Players in Lipid Metabolism and Novel Metabolic Targets
in Cancer Therapy.
AB - Lipid metabolism reprogramming emerges as a new hallmark of malignancies. Sterol
regulatory element-binding proteins (SREBPs), which are central players in lipid
metabolism, are endoplasmic reticulum (ER)-bound transcription factors that
control the expression of genes important for lipid synthesis and uptake. Their
transcriptional activation requires binding to SREBP cleavageactivating protein
(SCAP) to translocate their inactive precursors from the ER to the Golgi to
undergo cleavage and subsequent nucleus translocation of their NH2-terminal
forms. Recent studies have revealed that SREBPs are markedly upregulated in human
cancers, providing the mechanistic link between lipid metabolism alterations and
malignancies. Pharmacological or genetic inhibition of SCAP or SREBPs
significantly suppresses tumor growth in various cancer models, demonstrating
that SCAP/SREBPs could serve as promising metabolic targets for cancer therapy.
In this review, we will summarize recent progress in our understanding of the
underlying molecular mechanisms regulating SCAP/SREBPs and lipid metabolism in
malignancies, discuss new findings about SREBP trafficking, which requires SCAP N
glycosylation, and introduce a newly identified microRNA-29-mediated negative
feedback regulation of the SCAP/SREBP pathway. Moreover, we will review recently
developed inhibitors targeting the SCAP/SREBP pathway for cancer treatment.
PMID- 29788893
TI - Triple-Valve Endocarditis in a Diabetic Patient: Case Report and Literature
Review.
AB - BACKGROUND: Despite major advancements since its first description in the 19th
century, infective endocarditis remains a significant medical challenge. Although
commonly involving a single valve, multiple valve involvement may occur,
complicating matters even further. Triplevalve endocarditis is a very rare
phenomenon. Poorly studied and described only a handful of times in the
literature, little is known about the optimal therapeutic and management options
in dealing with this complex entity. CONCLUSION: In this paper we describe the
case of a 48-year-old male who was diagnosed with triple-valve endocarditis and
provide a review of the literature to delineate what is already known and improve
our understanding of this rare phenomenon.
PMID- 29788894
TI - Rotational Atherectomy in Acute STEMI with Heavily Calcified Culprit Lesion is a
Rule Breaking Solution.
AB - BACKGROUND: Calcified coronary lesions represent technical challenges during
percutaneous coronary intervention and are associated with a high frequency of
restenosis and target lesion revascularization. Rotational atherectomy has been
shown to increase procedural success in severely calcified lesions, facilitate
stent delivery in undilatable lesions and ensure complete stent expansion.
However, rotational atherectomy in ST-elevation Myocardial Infarction (STEMI) is
traditionally avoided given the concern for slow or no reflow and considered a
contraindication in lesions with a visible thrombus by its manufacturer
(Rotablator, Boston Scientific). CONCLUSION: This case demonstrates the
successful use of rotational atherectomy to facilitate dilation and
revascularization of a heavily calcified culprit lesions in a patient with acute
anterior STEMI with ongoing chest pain.
PMID- 29788896
TI - Association Between Delayed Graft Function (DGF) Biomarkers and Long-term
Outcomes After Living Donor Kidney Transplantation.
AB - BACKGROUND AND OBJECTIVES: The association between preoperative Urine Neutrophil
Gelatinase-associated Lipocalin (uNGAL) and interleukin-18 (uIL-18) with poor 1
year allograft function has been shown in deceased-donor kidney transplant
recipients previously, and also these markers could predict 3-month allograft
function. However, it is unknown whether there is an association between these
postoperative biomarkers with important recipient outcomes beyond this time in
livedonor transplants. METHODS: NGAL and IL-18 four and 24 hours were measured in
live-donor kidney transplant recipients after transplantation. The relationships
between changes in these markers with clinical outcomes as well as kidney
function were examined at 1 month and 2 years. Moreover, the association between
delayed graft function with clinical outcome and Serum Creatinine (SrCr) was
evaluated during this period. RESULTS: The Mean age for kidney recipients was
23.9 years. Significant interaction was observed between uNGAL 24 hr
(pvalue=0.01) and uIL-18 four and 24 hr after transplantation (pvalue=0.04, 0.03;
respectively) with patients' outcome after 1 month and changes in uNGAL with
outcomes after 2 years (pvalue= 0.04). CONCLUSION: Changes in urine NGAL
postoperative are associated with worst outcomes, 2 years after kidney
transplantation, suggesting its potential role in identifying patients that are
at high risk for diminished allograft function, outcome and survival.
PMID- 29788892
TI - Inhibition of Glycolysis and Glutaminolysis: An Emerging Drug Discovery Approach
to Combat Cancer.
AB - Cancer cells have a very different metabolism from that of normal cells from
which they are derived. Their metabolism is elevated, which allows them to
sustain higher proliferative rate and resist some cell death signals. This
phenomenon, known as the "Warburg effect", has become the focus of intensive
efforts in the discovery of new therapeutic targets and new cancer drugs. Both
glycolysis and glutaminolysis pathways are enhanced in cancer cells. While
glycolysis is enhanced to satisfy the increasing energy demand of cancer cells,
glutaminolysis is enhanced to provide biosynthetic precursors for cancer cells.
It was recently discovered that there is a tyrosine phosphorylation of a specific
isoform of pyruvate kinase, the M2 isoform, that is preferentially expressed in
all cancer cells, which results in the generation of pyruvate through a unique
enzymatic mechanism that is uncoupled from ATP production. Pyruvate produced
through this unique enzymatic mechanism is converted primarily into lactic acid,
rather than acetyl-CoA for the synthesis of citrate, which would normally then
enter the citric acid cycle. Inhibition of key enzymes in glycolysis and
glutaminolysis pathways with small molecules has provided a novel but emerging
area of cancer research and has been proven effective in slowing the
proliferation of cancer cells, with several inhibitors being in clinical trials.
This review paper will cover recent advances in the development of
chemotherapeutic agents against several metabolic targets for cancer therapy,
including glucose transporters, hexokinase, pyruvate kinase M2, glutaminase, and
isocitrate dehydrogenase.
PMID- 29788897
TI - Biofilm Destruction on Endotracheal Tubes by Photodynamic Inactivation.
AB - BACKGROUND: Hospital infections are a public health problem that can occur with
the use of catheters and endotracheal tubes (ETT). Pathogenic microorganisms may
adhere to surfaces of these materials forming a biofilm and produce an
extracellular polymer matrix that promotes resistance of microorganisms to
factors such as pH, temperature and drugs. The conventional treatment is being
made by antibiotics, which has serious adverse effects in immunocompromised
patients. Photodynamic therapy (PDT) is an alternative for microbial inactivation
noninvasive without the stimulus of microbial resistance. PDT combines light and
a photosensitive molecule for produce reactive oxygen species leading to
bacterial death. OBJECTIVE: The objective of this study was to determine the
efficacy of a PDT protocol in bacterial inactivation of biofilm ETT. METHOD: The
photosensitizer (PS) used was curcumin and the light source LED at 450nm. A
statistical experimental design was used for optimization of antimicrobial PDT.
RESULTS: The highest microbial inactivation was observed with 70% biofilm
reduction in conditions 1.25 mg/mL curcumin, 2 h of PS incubation and 50 J/cm2.
CONCLUSION: This study described the photodynamic death of bacteria forming a
biofilm on ETT. Parameters optimization was important for clinical application of
this system.
PMID- 29788895
TI - Synthesis of Nucleosides and Non-nucleosides Based 4,6-disubstituted-2-oxo
dihydropyridine-3-carbonitriles as Antiviral Agents.
AB - BACKGROUND: Viral diseases are considered main threats that face the humanity
worldwide. The emergence of new viruses like influenza viruses emphasizes the
significance of designing novel antiviral drugs. METHOD: The aim of this work is
to synthesize a new set of nucleoside and non-nucleoside cyanopyridine,
characterized and evaluated for their in vitro antiviral properties against
various strains. CONCLUSION: More of the compounds showed variable antiviral
potential against a panel of eighteen DNA and RNA viruses. The screening data
suggested that the order of activity of the active compounds are in the order of
O-glycosyl > O-alkyl > N-alkyl > S-alkyl derivatives. In addition, the 4-fluoro
substituted compounds are more effective among the O- and N-alkyl analogs,
whereas remarkable antiviral activity was ascribed to the methoxylated O-glycosyl
derivatives. Most of the active compounds proved to be more selective towards the
inhibition of the replication of DNA rather than the RNA-viruses. The analogs 1a,
2a, 12b, 14b and 16b possessed broad spectrum and noticeable antiviral potential
against most of the tested DNA- and RNA-viruses (EC50 ~ 0.8-20 uM), accompanied
with considerably low cytotoxic margin (MCC ~ 4-20 uM), and comparable with
reference standard antiviral agents.
PMID- 29788898
TI - Treatment of Lymphoid and Myeloid Malignancies by Immunomodulatory Drugs.
PMID- 29788899
TI - Topical Nanostructured Lipid Carrier Based Hydrogel of Mometasone Furoate for the
Treatment of Psoriasis.
AB - OBJECTIVE: The aim of the present study was to develop and evaluate
nanostructured lipid carrier based topical hydrogel of mometasone furoate for the
treatment of psoriasis. METHOD: Drug loaded NLCs were successfully developed by
microemulsion technique. Pseudo ternary phase diagrams were constructed using
different combinations of surfactant and co-surfactants to study the
microemulsion existence range. Different compositions were selected from the
phase diagram showing maximum microemulsion region and were converted into NLCs
by dilution in water (1:20). The optimized formulation was characterised for
droplet size, zeta potential, entrapment efficiency and morphology was studied
using Transmission Electron Microscopy. Ex vivo permeation studies were carried
out using Wistar rat skin. The potential of this formulation in treating
psoriatic inflammation was studied using imiquimod induced skin inflammation
animal model. RESULTS: The optimized formulation (F4) has droplet size of 163.2+/
0.522 nm, zeta potential - 0.086+/-0.099 mV and entrapment efficiency of 60.0+/
0.187%. Transmission electron microscopy confirmed spherical shape of
nanostructured lipid carrier. Carbopol 940 was used to convert NLC dispersion
into NLC based hydrogel to improve its viscosity for topical administration. Drug
permeation studies showed prolonged drug release from the NLC based gel as
compared to marketed formulation following Higuchi release kinetics. The skin
deposition of MF loaded NLC based hydrogel was found to 2.5 fold higher than
marketed formulation with primary skin irritation index of 0.20. In vivo studies
showed complete clearance of parakeratosis by treatment with the prepared NLC
formulation. Accelerated stability studies signify high robustness scale of
optimized formulation under one month storage period. CONCLUSION: The prepared
NLC based formulation has proved to be a promising carrier system for the
treatment of psoriasis.
PMID- 29788900
TI - Association between vascular comorbidity and progression of Alzheimer's disease:
a two-year observational study in Norwegian memory clinics.
AB - BACKGROUND: Vascular risk factors increase the risk of Alzheimer's disease (AD),
but there is limited evidence on whether comorbid vascular conditions and risk
factors have an impact on disease progression. The aim of this study was to
examine the association between vascular disease and vascular risk factors and
progression of AD. METHODS: In a longitudinal observational study in three
Norwegian memory clinics, 282 AD patients (mean age 73.3 years, 54% female) were
followed for mean 24 (16-37) months. Vascular risk factors and vascular diseases
were registered at baseline, and the vascular burden was estimated by the
Framingham Stroke Risk Profile (FSRP). Cerebral medical resonance images (MRIs)
were assessed for white matter hyperintensities (WMH), lacunar and cortical
infarcts. The associations between vascular comorbidity and progression of
dementia as measured by annual change in Clinical Dementia Rating Sum of Boxes
(CDR-SB) scores were analysed by multiple regression analyses, adjusted for age
and sex. RESULTS: Hypertension occurred in 83%, hypercholesterolemia in 53%,
diabetes in 9%, 41% were overweight, and 10% were smokers. One third had a
history of vascular disease; 16% had heart disease and 15% had experienced a
cerebrovascular event. MRI showed lacunar infarcts in 16%, WMH with Fazekas score
2 in 26%, and Fazekas score 3 in 33%. Neither the vascular risk factors and
diseases, the FSRP score, nor cerebrovascular disease was associated with disease
progression in AD. CONCLUSIONS: Although vascular risk factors and vascular
diseases were prevalent, no impact on the progression of AD after 2 years was
shown.
PMID- 29788901
TI - Recurrent esophageal stricture from previous caustic ingestion treated with 40
year self-dilation: case report and review of literature.
AB - BACKGROUND: Corrosive esophageal strictures are common. The severity of the
strictures depends on type, quantity, duration of contact and concentration of
the caustic substance ingested. Endoscopic balloon dilation and endoscopic
bougienage are a cornerstone in the management of the benign esophageal
strictures and are the most widely used treatments, but are expensive and
invasive procedures. CASE PRESENTATION: We report the case of an 82-year-old
patient with a corrosive esophageal stricture treated for over 40 years by means
of home self-bougienage. The procedure has been carried out for the longest lapse
of time described in literature, with an excellent control of symptoms. In the
case reported, after being carried out for more than 40 years, self-dilation
allowed good quality of life and symptoms management, ensuring an excellent
nutritional status. CONCLUSIONS: Following an adequate patient training, self
dilatation can be a safe and effective option of treatment, avoiding frequent
expensive hospital admissions for endoscopic esophageal dilatation.
PMID- 29788902
TI - Dystonia-deafness syndrome caused by ACTB p.Arg183Trp heterozygosity shows
striatal dopaminergic dysfunction and response to pallidal stimulation.
AB - BACKGROUND: Dystonia-deafness syndrome is a well-known clinical entity, with
sensorineural deafness typically manifesting earlier than dystonia. ACTB
p.Arg183Trp heterozygosity has been reported in six patients to cause combined
infant-onset deafness and dystonia manifesting in adolescence or young adulthood.
Three of these have received beneficial pallidal stimulation. Brain imaging to
assess striatal function has not been reported previously, however. Nor has a
comprehensive hypothesis been presented for how the pleiotropic manifestations of
this specific beta-actin gene mutation originate developmentally. CASE
PRESENTATION: A 19-year-old girl with congenital mild dysmorphic facial features,
cochlear implants for infant-onset deafness, and mild cognitive and emotional
disability, presented with an adolescent-onset, severe generalized dystonia.
Brain MRI and multiple single gene sequencing were inconclusive. Due to life
threatening dystonia, we implanted a neurostimulation device, targeting the
postero-ventral internal pallidum bilaterally. The Burke-Fahn-Marsden Dystonia
Rating Scale motor/disability scores improved from 87/25 to 21/13 at 2.5 months
postoperatively, 26/14 at 3 years, and 30/14 at 4 years. Subsequent whole exome
sequencing identified heterozygosity for the ACTB p.Arg183Trp variant. Brain
imaging included 123I-ioflupane single photon emission computed tomography
(Dopamine Transporter-SPECT), SPECT with 123I-epidepride (binds to dopamine type
2-receptors) and 18 Fluoro-Deoxy-Glucose (FDG)-PET. Both Epidepride-SPECT and FDG
PET showed reduced tracer uptake in the striatum bilaterally, particularly in the
putamen. DaT-SPECT was slightly abnormal. CONCLUSIONS: In this patient with
dystonia-deafness syndrome caused by ACTB p.Arg183Trp heterozygosity,
unprecedented brain imaging findings strongly indicate striatal
neuronal/dopaminergic dysfunction as the underlying cause of the dystonia.
Pallidal stimulation provided a substantial improvement of the severe generalized
dystonia, which is largely sustained at 4-year follow-up, and we advise this
treatment to be considered in such patients. We hypothesize that the pleiotropic
manifestations of the dystonia-deafness syndrome caused by this mutation derive
from diverse developmental functions of beta-actin in neural crest migration and
proliferation (facial dysmorphogenesis), hair cell stereocilia function (infant
onset deafness), and altered synaptic activity patterns associated with pubertal
changes in striatal function (adolescent-onset dystonia). The temporal
differences in developmental onset are likely due to varying degrees of
susceptibility and of compensatory upregulation of other actin variants in the
affected structures.
PMID- 29788903
TI - Sustained efficacy following resolution of frequent heartburn with an over-the
counter regimen of esomeprazole 20 mg or placebo for 14 days: two randomized
trials.
AB - BACKGROUND: A two-week course of therapy with an over-the-counter proton-pump
inhibitor (PPI) is recommended for frequent heartburn. Limited research has been
conducted on the sustained efficacy of short-term PPI therapy after treatment
cessation. Esomeprazole 20 mg was evaluated in the seven-day follow-up period
after the two-week treatment period using pooled data from two identical
randomized, double-blind, placebo-controlled studies. METHODS: Adults without
confirmed diagnoses of gastroesophageal reflux disease experiencing heartburn at
least two days/week in the past four weeks were eligible. Subjects received
treatment with esomeprazole 20 mg or placebo once daily for 14 days. Heartburn
episodes were documented using daily diaries. Missing data during the two-week
treatment period were assumed to be days with heartburn. The proportion of
subjects with heartburn resolution while on treatment and during the seven days
of follow-up was assessed. Predictors of resolution during this post-treatment
period were evaluated using a stepwise logistic regression model. RESULTS: All
subjects in the pooled analysis set who reported diary data for at least three
follow-up days were analyzed (N = 584). This cut-off was used to ensure that
sufficient data were collected for these analyses. Greater run-in heartburn
frequency was a significant negative predictor of heartburn resolution during
follow-up (P < 0.001). Among the on-treatment efficacy variables, the best
predictor of resolution during follow-up was resolution during the last seven
days of treatment (odds ratio: 3.81 [95% confidence interval: 2.40, 6.05; P <
0.0001]). CONCLUSIONS: Lower baseline heartburn frequency and heartburn
resolution during the last seven days of treatment were associated with a greater
likelihood of heartburn resolution during the seven-day follow-up. TRIAL
REGISTRATION: Registered at ClinicalTrials.gov June 11, 2011: NCT01370525 ;
NCT01370538 .
PMID- 29788904
TI - Genetic diversity of BoLA-DRB3 in South American Zebu cattle populations.
AB - BACKGROUND: Bovine leukocyte antigens (BoLAs) are used extensively as markers of
disease and immunological traits in cattle. However, until now, characterization
of BoLA gene polymorphisms in Zebu breeds using high resolution typing methods
has been poor. Here, we used a polymerase chain reaction sequence-based typing
(PCR-SBT) method to sequence exon 2 of the BoLA class II DRB3 gene from 421
cattle (116 Bolivian Nellore, 110 Bolivian Gir, and 195 Peruvian Nellore
Brahman). Data from 1416 Taurine and Zebu samples were also included in the
analysis. RESULTS: We identified 46 previously reported alleles and no novel
variants. Of note, 1/3 of the alleles were detected only in Zebu cattle.
Comparison of the degree of genetic variability at the population and sequence
levels with genetic distance in the three above mentioned breeds and nine
previously reported breeds revealed that Zebu breeds had a gene diversity score
higher than 0.86, a nucleotide diversity score higher than 0.06, and a mean
number of pairwise differences greater than 16, being similar to those estimated
for other cattle breeds. A neutrality test revealed that only Nellore-Brahman
cattle showed the even gene frequency distribution expected under a balanced
selection scenario. The FST index and the exact G test showed significant
differences across all cattle populations (FST = 0.057; p < 0.001). Neighbor
joining trees and principal component analysis identified two major clusters: one
comprising mainly European Taurine breeds and a second comprising Zebu breeds.
This is consistent with the historical and geographical origin of these breeds.
Some of these differences may be explained by variation of amino acid motifs at
antigen-binding sites. CONCLUSIONS: The results presented herein show that the
historical divergence between Taurine and Zebu cattle breeds is a result of
origin, selection, and adaptation events, which would explain the observed
differences in BoLA-DRB3 gene diversity between the two major bovine types. This
allelic information will be important for investigating the relationship between
the major histocompatibility complex and disease, and contribute to an ongoing
effort to catalog bovine MHC allele frequencies according to breed and location.
PMID- 29788905
TI - Genomic prediction of the polled and horned phenotypes in Merino sheep.
AB - BACKGROUND: In horned sheep breeds, breeding for polledness has been of interest
for decades. The objective of this study was to improve prediction of the horned
and polled phenotypes using horn scores classified as polled, scurs, knobs or
horns. Derived phenotypes polled/non-polled (P/NP) and horned/non-horned (H/NH)
were used to test four different strategies for prediction in 4001 purebred
Merino sheep. These strategies include the use of single 'single nucleotide
polymorphism' (SNP) genotypes, multiple-SNP haplotypes, genome-wide and
chromosome-wide genomic best linear unbiased prediction and information from
imputed sequence variants from the region including the RXFP2 gene. Low-density
genotypes of these animals were imputed to the Illumina Ovine high-density (600k)
chip and the 1.78-kb insertion polymorphism in RXFP2 was included in the
imputation process to whole-genome sequence. We evaluated the mode of inheritance
and validated models by a fivefold cross-validation and across- and between
family prediction. RESULTS: The most significant SNPs for prediction of P/NP and
H/NH were OAR10_29546872.1 and OAR10_29458450, respectively, located on
chromosome 10 close to the 1.78-kb insertion at 29.5 Mb. The mode of inheritance
included an additive effect and a sex-dependent effect for dominance for P/NP and
a sex-dependent additive and dominance effect for H/NH. Models with the highest
prediction accuracies for H/NH used either single SNPs or 3-SNP haplotypes and
included a polygenic effect estimated based on traditional pedigree
relationships. Prediction accuracies for H/NH were 0.323 for females and 0.725
for males. For predicting P/NP, the best models were the same as for H/NH but
included a genomic relationship matrix with accuracies of 0.713 for females and
0.620 for males. CONCLUSIONS: Our results show that prediction accuracy is high
using a single SNP, but does not reach 1 since the causative mutation is not
genotyped. Incomplete penetrance or allelic heterogeneity, which can influence
expression of the phenotype, may explain why prediction accuracy did not approach
1 with any of the genetic models tested here. Nevertheless, a breeding program to
eradicate horns from Merino sheep can be effective by selecting genotypes GG of
SNP OAR10_29458450 or TT of SNP OAR10_29546872.1 since all sheep with these
genotypes will be non-horned.
PMID- 29788906
TI - Influences on the adoption of patient safety innovation in primary care: a
qualitative exploration of staff perspectives.
AB - BACKGROUND: Primary care is changing rapidly to meet the needs of an ageing and
chronically ill population. New ways of working are called for yet the
introduction of innovative service interventions is complicated by organisational
challenges arising from its scale and diversity and the growing complexity of
patients and their care. One such intervention is the multi-strand, single
platform, Patient Safety Toolkit developed to help practices provide safer care
in this dynamic and pressured environment where the likelihood of adverse
incidents is increasing. Here we describe the attitudes of staff toward these
tools and how their implementation was shaped by a number of contextual factors
specific to each practice. METHODS: The Patient Safety Toolkit comprised six
tools; a system of rapid note review, an online staff survey, a patient safety
questionnaire, prescribing safety indicators, a medicines reconciliation tool,
and a safe systems checklist. We implemented these tools at practices across the
Midlands, the North West, and the South Coast of England and conducted semi
structured interviews to determine staff perspectives on their effectiveness and
applicability. RESULTS: The Toolkit was used in 46 practices and a total of 39
follow-up interviews were conducted. Three key influences emerged on the
implementation of the Toolkit these related to their ease of use and the novelty
of the information they provide; whether their implementation required additional
staff training or practice resource; and finally factors specific to the
practice's local environment such as overlapping initiatives orchestrated by
their CCG. CONCLUSIONS: The concept of a balanced toolkit to address a range of
safety issues proved popular. A number of barriers and facilitators emerged in
particular those tools that provided relevant information with a minimum impact
on practice resource were favoured. Individual practice circumstances also played
a role. Practices with IT aware staff were at an advantage and those previously
utilising patient safety initiatives were less likely to adopt additional tools
with overlapping outputs. By acknowledging these influences we can better
interpret reaction to and adoption of individual elements of the toolkit and
optimise future implementation.
PMID- 29788907
TI - Mycobacterium Avium complex vertebral osteomyelitis in the absence of HIV
infection: a case report and review.
AB - BACKGROUND: Mycobacterium Avium Complex (MAC) is an established microbiologic
cause of pulmonary disease, lymphadenitis, and disseminated disease in cases of
advanced immune suppression. However, MAC manifesting as vertebral osteomyelitis
is less common, and is particularly rare in the absence of Acquired
Immunodeficiency Syndrome (AIDS). Prompt diagnosis of MAC vertebral osteomyelitis
is challenging, but necessary to prevent serious morbidity or mortality. CASE
PRESENTATION: We report a case of MAC osteomyelitis of the lumbar spine in a 70
year-old woman on extended duration corticosteroid therapy for systemic lupus
erythematosus who presented with progressive back pain. Upon presentation,
imaging revealed osteomyelitis of the lumbar spine with associated paraspinal
abscess. Cultures from the surgical evacuation of the paraspinal abscess yielded
no pathogen growth and she was therefore treated with empiric antibacterial
therapy. Two weeks after her initial hospital discharge she represented with
severe back pain and radiologic evidence of progressive disease in her lumbar
spine. Two additional vertebral biopsies were required during her first 2 weeks
of admission. MAC eventually grew from culture 14 days after collection. She was
treated with ethambutol and rifampin and her symptoms resolved in 2 weeks, though
therapy was continued for 12 months. CONCLUSIONS: MAC is an unusual cause of
vertebral osteomyelitis in patients with AIDS, but is exceedingly rare in those
without severe immune compromise. Despite its rarity, it must be considered in
cases of vertebral osteomyelitis that do not respond to empiric antibiotic
therapy. Multiple biopsies may be necessary to obtain a diagnosis and avoid
destructive infectious complications of an untreated infection.
PMID- 29788908
TI - Perceptions of insulin use in type 2 diabetes in primary care: a thematic
synthesis.
AB - BACKGROUND: Increasing numbers of patients with type 2 diabetes mellitus are
progressing to insulin therapy, and despite its potency many such individuals
still have suboptimal glycaemic control. Insulin initiation and intensification
is now often conducted by Practice Nurses and General Practitioners in many parts
of the UK. Therefore, gaining insight into perspectives of patients and primary
care clinicians is important in determining self-management and engagement with
insulin. A thematic synthesis of studies was conducted exploring the views and
experiences of people with type 2 diabetes and of healthcare professionals on
insulin use and management in the context of primary care. METHODS: Protocol
based systematic searches of electronic databases (CINAHL, Cochrane Library,
EMBASE, MEDLINE, PsycINFO, and Web of Science) were performed on 1 October 2014
and updated on 31 March 2015, to identify studies that identified the views and
experiences of adults with type 2 diabetes or primary care clinicians on the use
of insulin in the management of type 2 diabetes. Studies meeting the review
inclusion criteria were critically appraised using the CASP qualitative research
checklist or Barley's checklist for survey designs. A thematic synthesis was then
conducted of the collected studies. RESULTS: Thirty-four studies were selected.
Of these, 12 used qualitative interviews (nine with patients and three with
healthcare professionals) and 22 were survey based (14 with patients, three with
healthcare professionals, and five with both). Twelve key themes were identified
and formed three domains, patient perceptions, healthcare professional
perceptions, and health professional-patient relationships. The patient-centred
themes were: insulin-related beliefs, social influences, psychological factors,
hypoglycaemia, and therapy barriers. The clinician-related themes were: insulin
skills of general practitioners, healthcare integration, healthcare professional
perceived barriers, hypoglycaemia, and explanations for adherence. Healthcare
professional-patient relationship themes were drawn from the perspectives of
patients and from clinicians. CONCLUSIONS: This review reveals multiple barriers
to optimal insulin use in primary care at both the patient and healthcare
professional levels. These barriers indicate the need for multimodal
interventions to: improve the knowledge and competencies of primary care
professionals in insulin use; provide more effective patient education and self
management support; and introduce integrated insulin support systems.
PMID- 29788910
TI - Infection with high proportion of multidrug-resistant bacteria in conflict
related injuries is associated with poor outcomes and excess resource
consumption: a cohort study of Syrian patients treated in Jordan.
AB - BACKGROUND: Armed conflicts are a major contributor to injury and death globally.
Conflict-related injuries are associated with a high risk of wound infection, but
it is unknown to what extent infection directly relates to sustainment of life
and restoration of function. The aim of this study was to investigate the outcome
and resource consumption among civilians receiving acute surgical treatment due
to conflict-related injuries. Patients with and without wound infections were
compared. METHODS: We performed a cohort study using routinely collected data
from 457 consecutive Syrian civilians that received surgical treatment for acute
conflict-related injuries during 2014-2016 at a Jordanian hospital supported by
Medecins Sans Frontieres. We defined wound infection as clinical signs of
infection verified by a positive culture. We used logistic regression models to
evaluate infection-related differences in outcome and resource consumption.
RESULTS: Wound infection was verified in 49/457 (11%) patients. Multidrug
resistance (MDR) was detected in 36/49 (73%) of patients with infection. Among
patients with infection, 11/49 (22%) were amputated, compared to 37/408 (9%)
without infection, crude relative risk = 2.62 (95% confidence interval 1.42
4.81). Infected patients needed 12 surgeries on average, compared to five in non
infected patients (p < .00001). Mean length of stay was 77 days for patients with
infection, and 35 days for patients without infection (p = .000001). CONCLUSIONS:
Among Syrian civilians, infected conflict-related wounds had a high prevalence of
MDR bacteria. Wound infection was associated with poor outcomes and high resource
consumption. These results could guide the development of antibiotic protocols
and adaptations of surgical management to improve care for wound infections in
conflict-related injuries. TRIAL REGISTRATION: ClinicalTrials.gov ( NCT02744144
). Registered April 13, 2016. Retrospectively registered.
PMID- 29788909
TI - Whole genome analysis reveals the diversity and evolutionary relationships
between necrotic enteritis-causing strains of Clostridium perfringens.
AB - BACKGROUND: Clostridium perfringens causes a range of diseases in animals and
humans including necrotic enteritis in chickens and food poisoning and gas
gangrene in humans. Necrotic enteritis is of concern in commercial chicken
production due to the cost of the implementation of infection control measures
and to productivity losses. This study has focused on the genomic analysis of a
range of chicken-derived C. perfringens isolates, from around the world and from
different years. The genomes were sequenced and compared with 20 genomes
available from public databases, which were from a diverse collection of isolates
from chickens, other animals, and humans. We used a distance based phylogeny that
was constructed based on gene content rather than sequence identity. Similarity
between strains was defined as the number of genes that they have in common
divided by their total number of genes. In this type of phylogenetic analysis,
evolutionary distance can be interpreted in terms of evolutionary events such as
acquisition and loss of genes, whereas the underlying properties (the gene
content) can be interpreted in terms of function. We also compared these methods
to the sequence-based phylogeny of the core genome. RESULTS: Distinct pathogenic
clades of necrotic enteritis-causing C. perfringens were identified. They were
characterised by variable regions encoded on the chromosome, with predicted roles
in capsule production, adhesion, inhibition of related strains, phage
integration, and metabolism. Some strains have almost identical genomes, even
though they were isolated from different geographic regions at various times,
while other highly distant genomes appear to result in similar outcomes with
regard to virulence and pathogenesis. CONCLUSIONS: The high level of diversity in
chicken isolates suggests there is no reliable factor that defines a chicken
strain of C. perfringens, however, disease-causing strains can be defined by the
presence of netB-encoding plasmids. This study reveals that horizontal gene
transfer appears to play a significant role in genetic variation of the C.
perfringens chromosome as well as the plasmid content within strains.
PMID- 29788911
TI - What drives the shift between sexual and clonal reproduction of Caragana
stenophylla along a climatic aridity gradient?
AB - BACKGROUND: The reasons that clonal plants shift between sexual and clonal
reproduction have persisted as a knowledge gap in ecological literature. We
hypothesized that clonal plants' shifts between sexual and clonal reproduction in
different environments are driven by the relative costs of sexual and clonal
reproduction. Moreover, we hypothesized plants prioritize sexual reproduction
over clonal reproduction. To test these hypotheses, we determined the costs of
sexual and clonal reproduction, and proportions of sexual and clonal reproduction
of Caragana stenophylla along a climatic aridity gradient (semi-arid, arid, very
arid and intensively arid zones) in the Inner Mongolia Steppe using several
complementary field experiments. RESULTS: The cost of sexual reproduction
increased while the cost of clonal reproduction decreased as climatic drought
stress increased from the semi-arid to the intensively arid zones. The changes in
the costs of these reproductive modes drove a shift in the reproductive mode of
C. stenophylla from more sexual reproduction in the semi-arid zone to more clonal
propagation in the intensively arid zone. However, because of the evolutionary
advantages of sexual reproduction, sexual reproduction still held priority over
clonal production in C. stenophylla, with the priority of sexual reproduction
gradually increasing from the semi-arid to the intensively arid zones.
CONCLUSIONS: Our study suggested that sexual reproduction has relatively high
priority in propagation of C. stenophylla. However, if the costs of sexual
reproduction are too high, C. stenophylla likely chooses clonal reproduction, and
the ratio between sexual and clonal reproduction could be mediated by
reproductive cost. These reproductive strategies reflect optimal resource
utilization, and allow the persistence of both reproductive modes across
stressful conditions depending on their evolutionary advantages.
PMID- 29788913
TI - Prevalence and outcome of injury in patients visiting the emergency Department of
Yirgalem General Hospital, Southern Ethiopia.
AB - BACKGROUND: Traumatic injuries continue to be an important cause of morbidity and
mortality in the developing world. Despite the high burden of injury in Ethiopia,
the occurrence and health impact have not received due attention. The aim of the
study was to assess the prevalence and outcome of injury among patients visiting
the Emergency Department (ED) of Yirgalem General Hospital, southern Ethiopia.
METHODS: A facility-based prospective cross sectional study was conducted from
March, 27 - April, 30/2017. The final calculated sample size was 353 and all
eligible trauma patients who visited the ED of Yirgalem General Hospital during
the study period were included in the study. Data was collected using a checklist
which was adapted from the WHO injury surveillance guideline. The data were
entered and analyzed using SPSS version 19. RESULTS: A total of 346 patients, who
visited the ED during the study period, participated in the study and of them,
171 (49.4%) were injury cases. Unintentional injuries accounted 123 (71.9%) of
the total injuries and the age group <=24 years (48.2%) was the most commonly
affected age group. More than half (51.4%) of unintentional injury cases were due
to Road Traffic Injuries (RTIs) and 48 (28%) of the cases were attributed to
interpersonal violence (assault). The majority of patients, 97 (56.7%), had a
minor or superficial injury (like bruises and minor cuts), 44 (25.7%) had a
moderate injury and 16 (9.3%) had severe type of injury requiring intensive
medical/surgical management; and RTIs accounted for 11 (68%) of all severe
injuries. CONCLUSION: The prevalence of injury was considerably high in Yirgalem
General Hospital. Road Traffic Injuries (RTIs) accounted for the majority of
severe injury cases; therefore, appropriate prevention strategies should be
strengthened and implemented against RTIs. We also suggest that children and
young adults should be educated in schools and work environments to prevent
injuries/accidents.
PMID- 29788912
TI - Association between troponin-I levels and outcome in critically ill patients
admitted to non-cardiac intensive care unit with high prevalence of
cardiovascular risk factors.
AB - BACKGROUND: The association of troponin-I levels and outcome in medical-surgical
ICU patients has been studied before in populations with low to moderate
prevalence of cardiovascular risk factors. The objective in this article is to
examine the association of troponin-I levels with hospital mortality in patients
with high prevalence of cardiovascular risk factors who were admitted with
medical-surgical indications to a non-cardiac intensive care unit. METHODS: This
was a retrospective study of adult patients admitted to a tertiary medical
surgical ICU between July 2001 and November 2011. Data were extracted from
prospectively collected ICU and clinical laboratory databases. Patients were
stratified based on the highest troponin-I level in the first 72 h of admission
into four groups (Group I < 0.03, Group II = 0.03-0.3, Group III = 0.3-3 and
Group IV > 3 ng/mL). Hospital mortality was the primary outcome. To study the
association between elevated troponin-I and hospital mortality, we carried out
multivariate logistic regression analyses with Group I as a reference group.
RESULTS: During the study period, 3368 patients had troponin-I levels measured in
the first 72 h, of whom 1293 (38.3%) were diabetic and 1356 (40.2%) were
chronically hypertensive. Among the study population, 2719 (81%) had elevated
troponin-I levels (0.03 ng/mL and higher). Hospital mortality increased steadily
as the troponin-I levels increased. Hospital mortality was 23.4% for Group I,
33.2% for Group II (adjusted odds ratio (aOR) 1.08, 95% confidence interval (CI)
0.84, 1.38), 49.6% for Group III (aOR = 1.64, 95% CI 1.24, 2.17), and 57.4% for
Group IV (aOR 1.80, 95% CI 1.30, 2.49). The association of increased mortality
with increased troponin level was observed whether patients had underlying
advanced heart failure or not. Subgroup analysis showed an increased mortality in
patients aged < 50 years, non-diabetics and not on vasopressors. CONCLUSION: In a
population with high prevalence of diabetes and hypertension, elevated troponin-I
was frequently observed in medical-surgical critically ill patients, and showed a
level-dependent association with hospital mortality.
PMID- 29788915
TI - The appropriateness of Bland-Altman's approximate confidence intervals for limits
of agreement.
AB - BACKGROUND: Percentiles are widely used as reference limits for determining the
relative magnitude and substantial importance of quantitative measurements. An
important application is the advocated Bland-Altman limits of agreement. METHODS:
To contribute to the data analysis and design planning of reference limit or
percentile research, the purpose of this paper is twofold. The first is to
clarify the statistical features of interval estimation procedures for normal
percentiles. The second goal is to provide sample size procedures for precise
interval estimation of normal percentiles. RESULTS: The delineation demonstrates
the theoretical connections between different pivotal quantities for obtaining
exact confidence intervals. Moreover, the seemingly accurate approximate methods
with equidistant from the principal estimators are shown to have undesirable
confidence limits. It is found that the optimal sample size has a minimum for
median or mean, and increases as the percentile approaches the extremes.
CONCLUSIONS: The exact interval procedure should be used in preference to the
approximate methods. Computer algorithms are presented to implement the suggested
interval precision and sample size calculations for planning percentile research.
PMID- 29788917
TI - Parental-reported allergic disorders and emergency department presentations for
allergy in the first five years of life; a longitudinal birth cohort.
AB - BACKGROUND: To measure rates of parental-report of allergic disorders and ED
presentations for allergic disorders in children, and to describe factors
associated with either. METHODS: An existing cohort of 3404 children born between
2006 and 2011 (Environments for Healthy Living) with prospectively collected pre
natal, perinatal and follow-up data were linked to i) nationwide Medicare and
pharmaceutical data and ii) Emergency Department (ED) data from four hospitals in
Australia. Parental-reported allergy was assessed in those who returned follow-up
questionnaires. ED presentation was defined as any presentation for a suite of
allergic disorders, excluding asthma. Univariate analysis and multivariate
logistic regression were used to descibe risk factors for both parental-reported
allergy and ED presentation for an allergic disorder. RESULTS: The incidence of
parental-reported child allergy at 1, 3 and 5 years of age was 7.8, 7.8 and
12.6%, respectively. Independent predictors of parental-report of allergy in
multivariate analysis were parental-report of asthma (OR 2.2, 95% CI 1.4-3.4) or
eczema (OR 4.3, 95% CI 3.1-6.1) and age > 6 months at introduction of solids (OR
1.3, 95% CI 1.0-1.7). Factors associated with ED presentations for allergy, which
occurred in 3.6% of the cohort, were presence of maternal asthma (OR 2.3 95%
CI:1.1, 4.9) and child born in spring (OR 1.7, 95% CI 1.1, 2.7). CONCLUSIONS:
More than 10% of children up to 5 years have a parental-reported allergic
disorder, and 3.6% presented to ED. Parental-report of eczema and/or asthma and
late introduction of solids were predictors of parental-report of allergy. Spring
birth and maternal asthma were predictors for ED presentation for allergy.
PMID- 29788914
TI - Nutrition care practices of primary care providers for weight management in
multidisciplinary primary care settings in Ontario, Canada - a qualitative study.
AB - BACKGROUND: Despite the recommended guidelines on addressing diet for the
management and prevention of obesity in primary care, the literature highlights
that their implementation has been suboptimal. In this paper, we provide an in
depth understanding of current nutrition-related weight management practices of
primary care providers (PCPs) working in relatively new multidisciplinary health
care settings in Ontario. METHODS: Three types of multidisciplinary primary care
settings were included (2 Family Health Teams, 3 Community Health Centres and 1
Nurse Practitioner-Led Clinic). Participants (n = 20) included in this study were
nurse practitioners (n = 13) and family physicians (n = 7) supporting care for
adult patients (18 years or older). In-depth interviews were transcribed, coded
and the content was analyzed using an integrated approach. RESULTS: Our analysis
showed that most PCPs used anthropometric measures such as weight for screening
patients who would benefit from nutrition counselling with a dietitian. The topic
of nutrition was generally brought up either during physical examinations, when
patients were diagnosed with a chronic disease, or when blood markers were out of
normal range. Participants also mentioned that physical examinations are no
longer occurring annually, with most PCPs offering episodic care. All
participants reported utilizing dietetic referrals, noting the enablers for
providing the referral, which included access to an on-site dietitian.
Nonetheless, dietetic referrals were mostly used when patients had an obesity
related co-morbidity. Participants mentioned that healthy eating advice was
reinforced during follow-up visits with patients only when there was enough time
to do so. Electronic Health Records (EHRs) were utilized to facilitate message
reinforcement by PCPs, who perceived EHRs to be helpful for viewing what was
discussed in the session with the dietitian. CONCLUSIONS: PCPs mostly used
objective measures to screen for patients who would benefit from nutrition
counselling rather than diet assessment, which undermines the importance of
dietary intake and overemphasizes weight. With physical examinations occurring
less frequently, there will be additional missed opportunities for addressing
nutrition-related concerns. The presence of a dietitian on site allowed for PCPs
to refer patients to nutrition counselling. Having sufficient time during medical
visits and EHRs seemed to facilitate message reinforcement by PCPs in follow-up
visits with patients.
PMID- 29788919
TI - Prediction of fluid responsiveness in mechanically ventilated cardiac surgical
patients: the performance of seven different functional hemodynamic parameters.
AB - BACKGROUND: Functional hemodynamic parameters such as stroke volume and pulse
pressure variation (SVV and PPV) have been shown to be reliable predictors of
fluid responsiveness in mechanically ventilated patients. Today, different
minimally- and non-invasive hemodynamic monitoring systems measure functional
hemodynamic parameters. Although some of these parameters are described by the
same name, they differ in their measurement technique and thus may provide
different results. We aimed to test the performance of seven functional
hemodynamic parameters simultaneously in the same clinical setting. METHODS:
Hemodynamic measurements were done in 30 cardiac surgery patients that were
mechanically ventilated. Before and after a standardized intravenous fluid bolus,
hemodynamics were measured by the following monitoring systems: PiCCOplus
(SVVPiCCO, PPVPiCCO), LiDCOrapid (SVVLiDCO, PPVLiDCO), FloTrac (SVVFloTrac),
Philips Intellivue (PPVPhilips) and Masimo pulse oximeter (pleth variability
index, PVI). Prediction of fluid responsiveness was tested by calculation of
receiver operating characteristic (ROC) curves including a gray zone approach and
compared using Fisher's Z-Test. RESULTS: Fluid administration resulted in an
increase in cardiac output, while all functional hemodynamic parameters
decreased. A wide range of areas under the ROC-curve (AUC's) was observed: AUC
SVVPiCCO = 0.91, AUC-PPVPiCCO = 0.88, AUC-SVVLiDCO = 0.78, AUC-PPVLiDCO = 0.89,
AUC-SVVFloTrac = 0.87, AUC-PPVPhilips = 0.92 and AUC-PVI = 0.68. Optimal
threshold values for prediction of fluid responsiveness ranged between 9.5 and
17.5%. Lowest threshold values were observed for SVVLiDCO, highest for PVI.
CONCLUSION: All functional hemodynamic parameters tested except for PVI showed
that their use allows a reliable identification of potential fluid responders.
PVI however, may not be suitable after cardiac surgery to predict fluid
responsiveness. TRIAL REGISTRATION: NCT02571465 , registered on October 7th, 2015
(retrospectively registered).
PMID- 29788918
TI - Alterations in NO- and PGI2- dependent function in aorta in the orthotopic murine
model of metastatic 4T1 breast cancer: relationship with pulmonary endothelial
dysfunction and systemic inflammation.
AB - BACKGROUND: Patients with cancer develop endothelial dysfunction and subsequently
display a higher risk of cardiovascular events. The aim of the present work was
to examine changes in nitric oxide (NO)- and prostacyclin (PGI2)-dependent
endothelial function in the systemic conduit artery (aorta), in relation to the
formation of lung metastases and to local and systemic inflammation in a murine
orthotopic model of metastatic breast cancer. METHODS: BALB/c female mice were
orthotopically inoculated with 4T1 breast cancer cells. Development of lung
metastases, lung inflammation, changes in blood count, systemic inflammatory
response (e.g. SAA, SAP and IL-6), as well as changes in NO- and PGI2-dependent
endothelial function in the aorta, were examined 2, 4, 5 and 6 weeks following
cancer cell transplantation. RESULTS: As early as 2 weeks following
transplantation of breast cancer cells, in the early metastatic stage, lungs
displayed histopathological signs of inflammation, NO production was impaired and
nitrosylhemoglobin concentration in plasma was decreased. After 4 to 6 weeks,
along with metastatic development, progressive leukocytosis and systemic
inflammation (as seen through increased SAA, SAP, haptoglobin and IL-6 plasma
concentrations) were observed. Six weeks following cancer cell inoculation, but
not earlier, endothelial dysfunction in aorta was detected; this involved a
decrease in basal NO production and a decrease in NO-dependent vasodilatation,
that was associated with a compensatory increase in cyclooxygenase-2 (COX-2)-
derived PGI2 production. CONCLUSIONS: In 4 T1 metastatic breast cancer in mice
early pulmonary metastasis was correlated with lung inflammation, with an early
decrease in pulmonary as well as systemic NO availability. Late metastasis was
associated with robust, cancer-related, systemic inflammation and impairment of
NO-dependent endothelial function in the aorta that was associated with
compensatory upregulation of the COX-2-derived PGI2 pathway.
PMID- 29788920
TI - A focus group study to understand biases and confounders in a cluster randomized
controlled trial on low back pain in primary care in Norway.
AB - BACKGROUND: Cluster randomized controlled trials are often used in research in
primary care but creates challenges regarding biases and confounders. We recently
presented a study on low back pain from primary care in Norway with equal effects
in the intervention and the control group. In order to understand the specific
mechanisms that may produce biases in a cluster randomized trial we conducted a
focus group study among the participating health care providers. The aim of this
study was to understand how the participating providers themselves influenced on
the study and thereby possibly on the results of the cluster randomized
controlled trial. METHODS: The providers were invited to share their experiences
from their participation in the COPE study, from recruitment of patients to
accomplishment of either the intervention or control consultations. Six
clinicians from the intervention group and four from the control group took part
in the focus group interviews. The group discussions focused on feasibility of
the study in primary care and particularly on identifying potential biases and
confounders in the study. The audio-recorded interviews were transcribed verbatim
and analyzed according to a systematic text condensation. The themes for the
analysis emerged from the group discussions. RESULTS: A personal interest for
back pain, logistic factors at the clinics and an assessment of the patients'
capacity to accomplish the study prior to their recruitment was reported. The
providers were allowed to provide additional therapy to the intervention and it
turned out that some of these could be regarded as opposed to the messages of the
intervention. The providers seemed to select different items from the educational
package according to personal beliefs and their perception of the patients'
acceptance. CONCLUSION: The study disclosed several potential biases to the COPE
study which may have impacted on the study results. Awareness of these is highly
important when planning and conducting a cluster randomized controlled trial.
Procedures in the recruitment of both providers and patients seem to be key
factors and the providers should be aware of their role in a scientific study in
order to standardize the provision of the intervention.
PMID- 29788916
TI - In silico exploration of Red Sea Bacillus genomes for natural product
biosynthetic gene clusters.
AB - BACKGROUND: The increasing spectrum of multidrug-resistant bacteria is a major
global public health concern, necessitating discovery of novel antimicrobial
agents. Here, members of the genus Bacillus are investigated as a potentially
attractive source of novel antibiotics due to their broad spectrum of
antimicrobial activities. We specifically focus on a computational analysis of
the distinctive biosynthetic potential of Bacillus paralicheniformis strains
isolated from the Red Sea, an ecosystem exposed to adverse, highly saline and hot
conditions. RESULTS: We report the complete circular and annotated genomes of two
Red Sea strains, B. paralicheniformis Bac48 isolated from mangrove mud and B.
paralicheniformis Bac84 isolated from microbial mat collected from Rabigh Harbor
Lagoon in Saudi Arabia. Comparing the genomes of B. paralicheniformis Bac48 and
B. paralicheniformis Bac84 with nine publicly available complete genomes of B.
licheniformis and three genomes of B. paralicheniformis, revealed that all of the
B. paralicheniformis strains in this study are more enriched in nonribosomal
peptides (NRPs). We further report the first computationally identified trans
acyltransferase (trans-AT) nonribosomal peptide synthetase/polyketide synthase
(PKS/ NRPS) cluster in strains of this species. CONCLUSIONS: B. paralicheniformis
species have more genes associated with biosynthesis of antimicrobial bioactive
compounds than other previously characterized species of B. licheniformis, which
suggests that these species are better potential sources for novel antibiotics.
Moreover, the genome of the Red Sea strain B. paralicheniformis Bac48 is more
enriched in modular PKS genes compared to B. licheniformis strains and other B.
paralicheniformis strains. This may be linked to adaptations that strains
surviving in the Red Sea underwent to survive in the relatively hot and saline
ecosystems.
PMID- 29788923
TI - The segmentation of bones in pelvic CT images based on extraction of key frames.
AB - BACKGROUND: Bone segmentation is important in computed tomography (CT) imaging of
the pelvis, which assists physicians in the early diagnosis of pelvic injury, in
planning operations, and in evaluating the effects of surgical treatment. This
study developed a new algorithm for the accurate, fast, and efficient
segmentation of the pelvis. METHODS: The proposed method consists of two main
parts: the extraction of key frames and the segmentation of pelvic CT images. Key
frames were extracted based on pixel difference, mutual information and
normalized correlation coefficient. In the pelvis segmentation phase, skeleton
extraction from CT images and a marker-based watershed algorithm were combined to
segment the pelvis. To meet the requirements of clinical application, physician's
judgment is needed. Therefore the proposed methodology is semi-automated.
RESULTS: In this paper, 5 sets of CT data were used to test the overlapping area,
and 15 CT images were used to determine the average deviation distance. The
average overlapping area of the 5 sets was greater than 94%, and the minimum
average deviation distance was approximately 0.58 pixels. In addition, the key
frame extraction efficiency and the running time of the proposed method were
evaluated on 20 sets of CT data. For each set, approximately 13% of the images
were selected as key frames, and the average processing time was approximately 2
min (the time for manual marking was not included). CONCLUSIONS: The proposed
method is able to achieve accurate, fast, and efficient segmentation of pelvic CT
image sequences. Segmentation results not only provide an important reference for
early diagnosis and decisions regarding surgical procedures, they also offer more
accurate data for medical image registration, recognition and 3D reconstruction.
PMID- 29788921
TI - Signatures of host specialization and a recent transposable element burst in the
dynamic one-speed genome of the fungal barley powdery mildew pathogen.
AB - BACKGROUND: Powdery mildews are biotrophic pathogenic fungi infecting a number of
economically important plants. The grass powdery mildew, Blumeria graminis, has
become a model organism to study host specialization of obligate biotrophic
fungal pathogens. We resolved the large-scale genomic architecture of B. graminis
forma specialis hordei (Bgh) to explore the potential influence of its genome
organization on the co-evolutionary process with its host plant, barley (Hordeum
vulgare). RESULTS: The near-chromosome level assemblies of the Bgh reference
isolate DH14 and one of the most diversified isolates, RACE1, enabled a
comparative analysis of these haploid genomes, which are highly enriched with
transposable elements (TEs). We found largely retained genome synteny and gene
repertoires, yet detected copy number variation (CNV) of secretion signal peptide
containing protein-coding genes (SPs) and locally disrupted synteny blocks. Genes
coding for sequence-related SPs are often locally clustered, but neither the SPs
nor the TEs reside preferentially in genomic regions with unique features.
Extended comparative analysis with different host-specific B. graminis formae
speciales revealed the existence of a core suite of SPs, but also isolate
specific SP sets as well as congruence of SP CNV and phylogenetic relationship.
We further detected evidence for a recent, lineage-specific expansion of TEs in
the Bgh genome. CONCLUSIONS: The characteristics of the Bgh genome (largely
retained synteny, CNV of SP genes, recently proliferated TEs and a lack of
significant compartmentalization) are consistent with a "one-speed" genome that
differs in its architecture and (co-)evolutionary pattern from the "two-speed"
genomes reported for several other filamentous phytopathogens.
PMID- 29788922
TI - Galectin-3 activates TLR4/NF-kappaB signaling to promote lung adenocarcinoma cell
proliferation through activating lncRNA-NEAT1 expression.
AB - BACKGROUND: Lung cancer remains the top contributor to cancer-related mortality
worldwide. Long non-coding RNAs (lncRNAs) have been reported to participate in
normal development and tumorigenesis. LncRNA nuclear enriched abundant transcript
1 (NEAT1) is highly expressed in lung cancer and promotes lung cancer cell
proliferation and migration. However, the upstream regulatory mechanism still
needs investigation. METHODS: In the present study, we investigated the upstream
regulators and mechanisms of NEAT1 expression disorders. We first examined NEAT1
expression in lung adenocarcinoma tissues and its correlation with clinic
features in patient with lung adenocarcinoma; next, the detailed function of
NEAT1 in lung cancer cell proliferation and migration was assessed. To
investigate whether NF-kappaB acts as a transcription factor of NEAT1 to activate
its expression, we validated the combination between NF-kappaB and NEAT1, and NF
kappaB regulation of NEAT1 upon LPS stimulation. Further, the effect of NF-kappaB
upstream regulator, TLR4, on NEAT1 expression upon LPS stimulation was examined.
Galectin-3 reportedly serves as a ligand of TLR4 and promotes TLR4, MyD88 and p
p65 expression; we investigated whether Galectin-3 could modulate lung
adenocarcinoma cell proliferation and migration through TLR4/NF-kappaB/NEAT1.
Finally, the expression and correlation of the above factors in lung
adenocarcinoma tissues was validated. RESULTS: NEAT1 is highly expressed in lung
adenocarcinoma tissues and promotes lung cancer cell proliferation and migration.
NF-kappaB binds to NEAT1 promoter to activate NEAT1 expression after LPS
stimulated p65 nucleus translocation. LPS stimulation activates TLR4 signaling,
followed by downstream NF-kappaB activation, and ultimately NEAT1 expression
activation. Galectin-3 activates TLR4 signaling thus affecting lung cancer cell
proliferation and migration through TLR4/NF-kappaB/NEAT1. Galectin-3 and TLR4
expression are abnormally up-regulated in lung adenocarcinoma tissues, and
positively correlated with NEAT1 expression. CONCLUSION: We confirmed that
Galectin-3 as a ligand of TLR4 induced TLR4 signaling activation in lung
adenocarcinoma cells, thereby activating downstream p65 nucleus translocation,
promoting NEAT1 expression, and finally affecting lung adenocarcinoma cell
proliferation and migration. Inhibiting Galectin-3-induced TLR4 signaling
activation, thus to reduce p65-activated NEAT1 expression might be a promising
strategy of suppressing lung adenocarcinoma cell proliferation and migration.
PMID- 29788924
TI - Exploration of the association between FOXE1 gene polymorphism and differentiated
thyroid cancer: a meta-analysis.
AB - BACKGROUND: Several association analyses and linkage researches indicated that
inherited genetic variations effectively influence differentiated thyroid
carcinogenesis. METHODS: The results from 15 published studies on differentiated
thyroid carcinoma (DTC) were combined. The genetic model included rs965513,
rs944289 and rs1867277. Meta-analyses were performed and cochran's chi2 based Q
statistic and I2 test were performed to assess heterogeneity using STATA
software. RESULTS: Significant results were noticed for rs965513(Odds Ratio(OR) =
1.162(1.117, 1.208)), rs944289(OR = 1.082(1.035, 1.131)) and rs1867277(OR =
1.415(1.324, 1.512)). In the subgroup analysis by ethnicity, rs965513
polymorphism conferred that risk of Caucasians (OR = 1.168(1.122, 1.215)) was
more than that of East Asians of 1.35 (OR = 0.897(0.680, 1.193)). CONCLUSION:
This meta-analysis revealed that common variations of FOXE1 (rs965513, rs944289
and rs1867277) were risk factors associated with increased DTC susceptibility.
PMID- 29788927
TI - Chronic Kirschsteiniothelia infection superimposed on a pre-existing non
infectious bursitis of the ankle: the first case report of human infection.
AB - BACKGROUND: Kirschsteiniothelia is a saprophytic fungus that is abundantly
present in the environment. To date, there have been no reports of human
infection caused by this fungus. We report a case of Kirschsteiniothelia
infection superimposed on a pre-existing non-infectious bursitis of the ankle.
CASE PRESENTATION: An 81-year-old immunocompetent female local farmer noticed the
presence of a nodule on her right ankle 5 years before her first visit to our
hospital. A cystic mass of approximately 45 mm * 30 mm was present at the tip of
the right lateral malleolus. Culture of the aspirated fluid revealed visibly
black colonies and characteristic blackish hyphae; nucleotide sequence of the
internal transcribed spacer region was determined and compared in a GenBank
database. The results indicated Kirschsteiniothelia infection. CONCLUSIONS: We
described the first case of Kirschsteiniothelia infection manifested as ankle
bursitis. The disease seemed to be localized and systemic antibiotics had not
been used in this case. However, continued observation is needed because of the
possibility of disease progression with the pathogen.
PMID- 29788925
TI - De novo transcriptome assembly of the eight major organs of Sacha Inchi
(Plukenetia volubilis) and the identification of genes involved in alpha
linolenic acid metabolism.
AB - BACKGROUND: Sacha Inchi (Plukenetia volubilis L.), which belongs to the
Euphorbiaceae, has been considered a new potential oil crop because of its high
content of polyunsaturated fatty acids in its seed oil. The seed oil especially
contains high amounts of alpha-linolenic acid (ALA), which is useful for the
prevention of various diseases. However, little is known about the genetic
information and genome sequence of Sacha Inchi, which has largely hindered
functional genomics and molecular breeding studies. RESULTS: In this study, a de
novo transcriptome assembly based on transcripts sequenced in eight major organs,
including roots, stems, shoot apexes, mature leaves, male flowers, female
flowers, fruits, and seeds of Sacha Inchi was performed, resulting in a set of
124,750 non-redundant putative transcripts having an average length of 851 bp and
an N50 value of 1909 bp. Organ-specific unigenes analysis revealed that the most
organ-specific transcripts are found in female flowers (2244 unigenes), whereas a
relatively small amount of unigenes are detected to be expressed specifically in
other organs with the least in stems (24 unigenes). A total of 42,987 simple
sequence repeats (SSRs) were detected, which will contribute to the marker
assisted selection breeding of Sacha Inchi. We analyzed expression of genes
related to the alpha-linolenic acid metabolism based on the de novo assembly and
annotation transcriptome in Sacha Inchi. It appears that Sacha Inchi accumulates
high level of ALA in seeds by strong expression of biosynthesis-related genes and
weak expression of degradation-related genes. In particular, the up-regulation of
FAD3 and FAD7 is consistent with high level of ALA in seeds of Sacha Inchi
compared with in other organs. Meanwhile, several transcription factors (ABI3,
LEC1 and FUS3) may regulate key genes involved in oil accumulation in seeds of
Sacha Inchi. CONCLUSIONS: The transcriptome of major organs of Sacha Inchi has
been sequenced and de novo assembled, which will expand the genetic information
for functional genomic studies of Sacha Inchi. In addition, the identification of
candidate genes involved in ALA metabolism will provide useful resources for the
genetic improvement of Sacha Inchi and the metabolic engineering of ALA
biosynthesis in other plants.
PMID- 29788926
TI - Correlation of increased corrected TIMI frame counts and the topographical extent
of isolated coronary artery ectasia.
AB - BACKGROUND: The precise relationship between increased thrombolysis in myocardial
infarction (TIMI) frame counts and the topographical extent of isolated coronary
artery ectasia (CAE) has not been fully explained. New parameters of linear
dimension (LD) and the estimated ectatic area (EEA) together with the diameter
and ectasia ratio may be associated with the corrected TIMI frame count (CTFC) in
isolated CAE patients. METHODS: The topographical parameters of ectatic coronary
arteries and/or segments of 77 isolated CAE patients were consecutively studied.
The CTFC for each coronary artery was determined by angiographic frame count.
RESULTS: Right coronary artery (RCA) was the most frequently affected. The RCA
and left circumflex (LCX) had significantly longer LD (p < 0.001 for both), and
greater EEA (p < 0.001 for both) than those of left anterior descending artery
(LAD). Similarly, the RCA and LCX have higher CTFCs (p = 0.001 and p = 0.008,
respectively) than LAD. All topographic parameters and CTFCs were positively
correlated with Markis classification. Linear regression analyses revealed that
CTFCs were strongly correlated with diameter, LD, ectasia ratio and EEA, while
EEA was the best predictor for the CTFC. Among multiple linear and nonlinear
regression models, the cubic model between the CTFC and EEA exhibits the best
Goodness-of-Fit. CONCLUSION: The severity of the topographical extent of CAE was
significantly correlated with increased CTFCs. Both the linear dimension and
ectatic diameter (combined as EEA) were important for evaluating decreased
coronary flow in isolated CAE patients.
PMID- 29788928
TI - Residents' breastfeeding knowledge, comfort, practices, and perceptions: results
of the Breastfeeding Resident Education Study (BRESt).
AB - BACKGROUND: Physicians have a significant impact on new mothers' breastfeeding
practices. However, physicians' breastfeeding knowledge is suboptimal. This
knowledge deficit could be the result of limited breastfeeding education in
residency. This study aimed to explore pediatric residents' breastfeeding
knowledge, comfort level, clinical practices, and perceptions. It also
investigated the level and type of education residents receive on breastfeeding
and their preferences for improving it. METHODS: Descriptive, cross-sectional,
self-reported online questionnaires were sent to all residents enrolled in a
Canadian general pediatric residency program, as well as to their program
directors. Resident questionnaires explored breastfeeding knowledge, comfort
level, clinical practices, perceptions, educational experiences and educational
preferences. Program director questionnaires collected data on current
breastfeeding education in Canadian centers. For the resident survey,
breastfeeding knowledge was calculated as the percent of correct responses.
Demographic factors independently associated with overall knowledge score were
identified by multiple linear regression. Descriptive statistics were used for
the program director survey. RESULTS: Overall, 201 pediatric residents, and 14
program directors completed our surveys. Residents' mean overall breastfeeding
knowledge score was 71% (95% CI: 69-79%). Only 4% (95% CI: 2-8%) of residents
were very comfortable evaluating latch, teaching parents breastfeeding
positioning, and addressing parents' questions regarding breastfeeding
difficulties. Over a quarter had not observed a patient breastfeed. Nearly all
agreed or strongly agreed that breastfeeding promotion is part of their role.
Less than half reported receiving breastfeeding education during residency and
almost all wanted more interactive breastfeeding education. According to
pediatric program directors, most of the breastfeeding education residents
receive is didactic. Less than a quarter of program directors felt that the
amount of breastfeeding education provided was adequate. CONCLUSION: Pediatric
residents in Canada recognize that they play an important role in supporting
breastfeeding. Most residents lack the knowledge and training to manage
breastfeeding difficulties but are motivated to learn more about breastfeeding.
Pediatric program directors recognize the lack of breastfeeding education.
PMID- 29788930
TI - NPBSS: a new PacBio sequencing simulator for generating the continuous long reads
with an empirical model.
AB - BACKGROUND: PacBio sequencing platform offers longer read lengths than the second
generation sequencing technologies. It has revolutionized de novo genome assembly
and enabled the automated reconstruction of reference-quality genomes. Due to its
extremely wide range of application areas, fast sequencing simulation systems
with high fidelity are in great demand to facilitate the development and
comparison of subsequent analysis tools. Although there are several available
simulators (e.g., PBSIM, SimLoRD and FASTQSim) that target the specific
generation of PacBio libraries, the error rate of simulated sequences is not well
matched to the quality value of raw PacBio datasets, especially for PacBio's
continuous long reads (CLR). RESULTS: By analyzing the characteristic features of
CLR data from PacBio SMRT (single molecule real time) sequencing, we developed a
new PacBio sequencing simulator (called NPBSS) for producing CLR reads. NPBSS
simulator firstly samples the read sequences according to the read length
logarithmic normal distribution, and choses different base quality values with
different proportions. Then, NPBSS computes the overall error probability of each
base in the read sequence with an empirical model, and calculates the deletion,
substitution and insertion probabilities with the overall error probability to
generate the PacBio CLR reads. Alignment results demonstrate that NPBSS fits the
error rate of the PacBio CLR reads better than PBSIM and FASTQSim. In addition,
the assembly results also show that simulated sequences of NPBSS are more like
real PacBio CLR data. CONCLUSION: NPBSS simulator is convenient to use with
efficient computation and flexible parameters setting. Its generating PacBio CLR
reads are more like real PacBio datasets.
PMID- 29788929
TI - Resveratrol induces autophagy-dependent apoptosis in HL-60 cells.
AB - BACKGROUND: All known mechanisms of apoptosis induced by resveratrol act through
cell cycle arrest and changes in mitochondrial membrane potential. It is
currently unknown whether resveratrol-induced apoptosis is associated with other
physiological processes, such as autophagy. METHODS: Apoptosis-related markers
involved in the intrinsic and extrinsic apoptotic pathways, and autophagic
markers were detected by using western blotting and immunofluorescence.
Mitochondrial membrane potential was assayed by flow cytometry. Pharmaceutical or
genetic inhibition of autophagy involved were carried by 3- methyladenine or
knockdown of autophagy-related (Atg) genes by siRNA. Differences between two
values were tested by Student's unpaired t test. RESULTS: We show that
resveratrol-induced apoptosis occurs through both the intrinsic and extrinsic
apoptotic pathways. Mitochondrial membrane potential and apoptosis-related
markers, such as an increased Bax/Bcl-2 ratio, and cleaved forms of caspase-8 and
caspase-3, arise following resveratrol addition. Moreover, we find that
resveratrol increases both the levels of microtubule-associated protein 1 light
chain 3-II and the number of autophagosomes, and further demonstrate that
resveratrol-induced autophagy depends on the LKB1-AMPK-mTOR pathway. We next
reveal that some apoptosis-related markers induced by resveratrol are further
attenuated by the inhibition of autophagy with 3-methyladenine or knockdown of
autophagy-related (Atg) genes by siRNA. CONCLUSIONS: These results suggest that
resveratrol induced apoptotic cell death of HL-60 cells depends on the autophagy
activated through both the LKB1-AMPK and PI3K/AKT-regulated mTOR signaling
pathways.
PMID- 29788931
TI - The prevalence of substance use among Russian, Somali and Kurdish migrants in
Finland: a population-based study.
AB - BACKGROUND: Substance use is a well-known public health problem, but population
based research on migrants' substance use in Europe is limited. Factors related
to the cultural background and current life situation might influence substance
use among migrants. Here, the prevalence of substance use in Russian, Somali and
Kurdish migrants in Finland is reported in comparison with the general
population, and the associations between substance use and socio-economic and
migration-related background factors among migrants are analysed. METHODS: Cross
sectional data from the Finnish Migrant Health and Wellbeing Study (Maamu) and
comparison group data of the general Finnish population (n = 1165) from the
Health 2011 Survey were used. The survey participants were of Russian (n = 702),
Somali (n = 512), and Kurdish (n = 632) origin. Substance use included self
reported alcohol use within previous 12 months (AUDIT-C questionnaire), current
and lifetime daily smoking and lifetime use of cannabis and intravenous drugs.
RESULTS: Binge drinking was less prevalent among all migrant groups than in the
general Finnish population (Russian men 65%, p < 0.01; Russian women 30%, p <
0.01, Somali men 2%, p < 0.01, Kurdish men 27%, p < 0.01, Kurdish women 6%, p <
0.01, general population men 87% and women 72%). Current daily smoking was more
prevalent among Russian (28%, p = 0.04) and Kurdish (29%, p < 0.01) migrant men
compared with the reference group (20%). Younger age and employment were
associated with binge drinking among migrants. Socio-economic disadvantage
increased the odds for daily smoking in Russian, Somali and Kurdish migrant men.
Several migration-related factors, such as age at migration and language
proficiency, were associated with substance use. CONCLUSIONS: Binge drinking is
less common among migrants than in the Finnish general population. However,
current daily smoking was more prevalent among Russian and Kurdish migrant men
compared with the general population. Younger age, level of education,
employment, duration of residence in Finland and language proficiency were
associated with binge drinking and daily smoking with varying patterns of
association depending on the migrant group and gender. These findings draw
attention to the variation in substance use habits among migrant populations.
PMID- 29788934
TI - The lung microbiome in children with HIV-bronchiectasis: a cross-sectional pilot
study.
AB - BACKGROUND: Data on the lung microbiome in HIV-infected children is limited. The
current study sought to determine the lung microbiome in HIV-associated
bronchiectasis and to assess its association with pulmonary exacerbations.
METHODS: A cross-sectional pilot study of 22 children (68% male; mean age 10.8
years) with HIV-associated bronchiectasis and a control group of 5 children with
cystic fibrosis (CF). Thirty-one samples were collected, with 11 during
exacerbations. Sputum samples were processed with 16S rRNA pyrosequencing.
RESULTS: The average number of operational taxonomy units (OTUs) was 298 +/- 67
vs. 434 +/- 90, for HIV-bronchiectasis and CF, respectively. The relative
abundance of Proteobacteria was higher in HIV-bronchiectasis (72.3%), with only
22.2% Firmicutes. There was no correlation between lung functions (FEV1% and
FEF25/75%) and bacterial community (r = 0.154; p = 0.470 and r = 0.178; p =
0.403), respectively. Bacterial assemblage of exacerbation and non-exacerbation
samples in HIV-bronchiectasis was not significantly different (ANOSIM, RHIV
bronchiectasis = 0.08; p = 0.14 and RCF = 0.08, p = 0.50). Higher within
community heterogeneity and lower evenness was associated with CF (Shannon-Weiner
(H') = 5.39 +/- 0.38 and Pielou's evenness (J) 0.79 +/- 0.10 vs. HIV
bronchiectasis (Shannon-Weiner (H') = 4.45 +/- 0.49 and Pielou's (J) 0.89 +/-
0.03. CONCLUSION: The microbiome in children with HIV-associated bronchiectasis
seems to be less rich, diverse and heterogeneous with predominance of
Proteobacteria when compared to cystic fibrosis.
PMID- 29788933
TI - Continue, adjust, or stop antipsychotic medication: developing and user testing
an encounter decision aid for people with first-episode and long-term psychosis.
AB - BACKGROUND: People with psychosis struggle with decisions about their use of
antipsychotics. They often want to reduce the dose or stop, while facing
uncertainty regarding the effects these decisions will have on their treatment
and recovery. They may also fear raising this issue with clinicians. The purpose
of this study was to develop and test a shared decision making (SDM) tool to
support patients and clinicians in making decisions about antipsychotics.
METHODS: A diverse editorial research team developed an Encounter Decision Aid
(EDA) for patients and clinicians to use as part of the psychiatric consultation.
The EDA was tested using 24 semistructured interviews with participants
representing six stakeholder groups: patients with first-episode psychosis,
patients with long-term psychosis, family members, psychiatrists, mental health
counselors, and administrators. We used inductive and deductive coding of
interview transcripts to identify points to revise within three domains: general
impression and purpose of the EDA; suggested changes to the content, wording, and
appearance; and usability and potential contribution to the psychiatric
consultation. RESULTS: An EDA was developed in an iterative process that yielded
evidence-based answers to five frequently asked questions about antipsychotic
medications. Patients with long-term psychosis and mental health counselors
suggested more changes and revisions than patients with first-episode psychosis
and psychiatrists. Family members suggested more revisions to the answers about
potential risks of stopping or adjusting antipsychotics than other respondents.
CONCLUSIONS: The EDA was perceived as potentially useful and feasible in
psychiatric routine care, especially if presented during the consultation.
PMID- 29788935
TI - Maternal anemia is a potential risk factor for anemia in children aged 6-59
months in Southern Africa: a multilevel analysis.
AB - BACKGROUND: The effect of maternal anemia on childhood hemoglobin status has
received little attention. Thus, we examined the potential association between
maternal anemia and childhood anemia (aged 6-59 months) from selected Southern
Africa countries. METHODS: A cross-sectional study using nationally
representative samples of children aged 6-59 months from the 2010 Malawi, 2011
Mozambique, 2013 Namibia, and 2010-11 Zimbabwe demographic and health surveys
(DHS) was conducted. Generalized linear mixed models (GLMMs) were constructed to
test the associations between maternal anemia and childhood anemia, controlling
for individual and community sociodemographic covariates. RESULTS: The GLMMs
showed that anemic mothers had increased odds of having an anemic child in all
four countries; adjusted odds ratio (aOR = 1.69 and 95% confidence interval
[CI]:1.37-2.13) in Malawi, (aOR = 1.71; 95% CI: 1.37-2.13) in Mozambique, (aOR =
1.55; 95% CI: 1.08-2.22) in Namibia, and (aOR = 1.52; 95% CI: 1.25-1.84) in
Zimbabwe. Furthermore, the odds of having an anemic child was higher in
communities with a low percentage of anemic mothers (aOR = 1.52; 95% CI: 1.19
1.94) in Mozambique. CONCLUSIONS: Despite the long-standing efforts to combat
childhood anemia, the burden of this condition is still rampant and remains a
significant problem in Southern Africa. Thus, public health strategies aimed at
reducing childhood anemia should focus more on addressing infections, and
micronutrient deficiencies both at individual and community levels in Southern
Africa.
PMID- 29788932
TI - Geographic variation in the aetiology, epidemiology and microbiology of
bronchiectasis.
AB - Bronchiectasis is a disease associated with chronic progressive and irreversible
dilatation of the bronchi and is characterised by chronic infection and
associated inflammation. The prevalence of bronchiectasis is age-related and
there is some geographical variation in incidence, prevalence and clinical
features. Most bronchiectasis is reported to be idiopathic however post
infectious aetiologies dominate across Asia especially secondary to tuberculosis.
Most focus to date has been on the study of airway bacteria, both as colonisers
and causes of exacerbations. Modern molecular technologies including next
generation sequencing (NGS) have become invaluable tools to identify
microorganisms directly from sputum and which are difficult to culture using
traditional agar based methods. These have provided important insight into our
understanding of emerging pathogens in the airways of people with bronchiectasis
and the geographical differences that occur. The contribution of the lung
microbiome, its ethnic variation, and subsequent roles in disease progression and
response to therapy across geographic regions warrant further investigation. This
review summarises the known geographical differences in the aetiology,
epidemiology and microbiology of bronchiectasis. Further, we highlight the
opportunities offered by emerging molecular technologies such as -omics to
further dissect out important ethnic differences in the prognosis and management
of bronchiectasis.
PMID- 29788937
TI - Seroprevalence of transfusion-transmissible infections (HBV, HCV, syphilis and
HIV) among prospective blood donors in a tertiary health care facility in
Calabar, Nigeria; an eleven years evaluation.
AB - BACKGROUND: Provision of constant and safe blood has been a public health
challenge in Sub-Saharan Africa with high prevalence of transfusion-transmissible
infections (TTIs). This study was aimed at determining the trend and
seroprevalence of HBV, HCV, syphilis and HIV across the years within study among
prospective blood donors at blood bank in University of Calabar Teaching Hospital
(UCTH), Calabar, Nigeria. METHODS: A retrospective analysis of blood donor data
from January 2005 to December 2016 was conducted in Blood Bank/Donor Clinic of
University of Calabar Teaching Hospital, Calabar, Nigeria. Sera samples were
screened for hepatitis B surface antigen (HBsAg), antibodies to hepatitis C virus
(HCV), human immunodeficiency virus (HIV) 1 and 2 and Treponema pallidum using
commercially available immunochromatic based kits. RESULTS: Out of the 24,979
screened prospective donors in the 2005-2016 study period, 3739 (14.96%) were
infected with at least one infective agent. The overall prevalence of HBV, HCV,
syphilis and HIV were 4.1, 3.6, 3.1 and 4.2%, respectively. During the period of
study, the percentage of all transfusion-transmissible infections declined
significantly with remarkable decline in HIV. The study showed male dominated
donor pool (98.7%) with higher prevalence (4.2%) of transfusion-transmissible
infections than in female donors (0.0%). Commercial donors constituted majority
(62.0%) of the donors and as well had the highest prevalence of transfusion
transmissible infections. Majority (62.9%) of the donors were repeat donors.
CONCLUSION: HBV, HCV, syphilis and HIV have remained a big threat to safe blood
transfusion in Nigeria and Sub-Saharan Africa at large. Strict adherence to
selection criteria and algorithm of donor screening are recommended.
PMID- 29788936
TI - Association between stress fracture incidence and predicted body fat in United
States Army Basic Combat Training recruits.
AB - BACKGROUND: A stress fracture (SF) is a highly debilitating injury commonly
experienced in United States Army Basic Combat Training (BCT). Body fat (BF) may
be associated with this injury but previous investigations (in athletes) have
largely used SF self-reports and lacked sufficient statistical power. This
investigation developed an equation to estimate %BF and used that equation to
examine the relationship between %BF and SF risk in BCT recruits. METHODS: Data
for the %BF predictive equation involved 349 recruits with BF obtained from dual
energy X-ray absorptiometry. %BF was estimated using body mass index (BMI,
weight/height2), age (yr), and sex in the entire population of BCT recruits over
an 11-year period (n = 583,651). Medical information was obtained on these
recruits to determine SF occurrence. Recruits were separated into deciles of
estimated %BF and the risk of SFs determined in each decile. RESULTS: The
equation was %BF = - 7.53 + 1.43 ? BMI + 0.13 ? age - 14.73 ? sex, with sex
either 1 for men or 0 for women (r = 0.88, standard error of estimate = 4.2%BF).
Among the men, SF risk increased at the higher and lower %BF deciles: compared to
men in the mean %BF decile, the risk of a SF for men in the first (lowest %BF)
and tenth (highest %BF) decile were 1.27 (95%confidence interval (95%CI) = 1.17
1.40) and 1.15 (95%CI = 1.05-1.26) times higher, respectively. Among women, SF
risk was only elevated in the first %BF decile with risk 1.20 (95%CI = 1.09-1.32)
times higher compared to the mean %BF decile. CONCLUSIONS: Low %BF was associated
with higher SF risk in BCT; higher %BF was associated with higher SF risk among
men but not women.
PMID- 29788938
TI - Symptom prevalence of patients with fibrotic interstitial lung disease: a
systematic literature review.
AB - BACKGROUND: Those affected by advanced fibrotic interstitial lung diseases have
limited treatment options and in the terminal stages, the focus of care is on
symptom management. However, quantitatively, little is known about symptom
prevalence. We aimed to determine the prevalence of symptoms in Progressive
Idiopathic Fibrotic Interstitial Lung Disease (PIF-ILD). METHODS: Searches on
eight electronic databases including MEDLINE for clinical studies between 1966
and 2015 where the target population was adults with PIF-ILD and for whom the
prevalence of symptoms had been calculated. RESULTS: A total of 4086 titles were
screened for eligibility criteria; 23 studies were included for analysis. The
highest prevalence was that for breathlessness (54-98%) and cough (59-100%)
followed by heartburn (25-65%) and depression (10-49%). The heterogeneity of
studies limited their comparability, but many of the symptoms present in patients
with other end-stage disease were also seen in PIF-ILD. CONCLUSIONS: This is the
first quantitative review of symptoms in people with Progressive Idiopathic
Fibrotic Interstitial Lung Diseases. Symptoms are common, often multiple and have
a comparable prevalence to those experienced in other advanced diseases.
Quantification of these data provides valuable information to inform the
allocation of resources.
PMID- 29788939
TI - NonTuberculous Mycobacteria infection and lung transplantation in cystic
fibrosis: a worldwide survey of clinical practice.
AB - BACKGROUND: In people with cystic fibrosis infection with NonTuberculous
Mycobacteria is of increasing prevalence. Mycobacterium abscessus complex is of
particular concern and has been associated with adverse clinical outcomes.
Optimal treatment usually requires multiple antibiotics for over 12 months. When
considering lung transplantation for patients with NonTuberculous Mycobacteria
potential benefits must be balanced against the risks of uncontrolled infection
post-transplant and significant side-effects associated with treatment. In this
survey we assessed current international practice with regard to assessing and
listing patients for lung transplantation. METHODS: We designed a questionnaire
enquiring about local practice regarding screening for NonTuberculous
Mycobacteria infection, specific contra-indications to transplantation,
management and segregation of patients pre- and post-transplant. The survey was
sent via e-mail to 37 paediatric and adult lung transplant centres across Europe,
North America and Australia. RESULTS: We gathered complete questionnaires from 21
centres (57% response rate). Few centres (29%) have a clear written policy
regarding NonTuberculous Mycobacteria. Sixteen (76%) centres require molecular
identification of NonTuberculous Mycobacteria species. Only four centres would
consider infection with M. abscessus complex in itself a contra-indication for
listing, however 76% regard it as a relative contra-indication. Eighty-six
percent require treatment pre-transplantation. Finally, only 61% of centres had a
clear policy regarding segration of patients pre-transplant and 48% post
transplant. CONCLUSIONS: The issue of NonTuberculous Mycobacteria infection in
people with cystic fibrosis requiring lung transplantation is well-recognized
however current international recommendations are not detailed and there is
variation in practice between centres. There is an urgent requirement for high
quality clinical data to inform decision-making.
PMID- 29788940
TI - Two cases in which 3D MRI was used to differentiate between a disc mass that
mimics a tumor and neurinoma.
AB - BACKGROUND: Since disc sequestration that mimics a tumor is rare and sometimes
presents with an atypical appearance upon magnetic resonance imaging (MRI), it is
often confused with other more common epidural and intradural neoplasms,
particularly neurinoma. Open surgery is necessary due to the difficult of
achieving a definitive diagnosis using computed tomography, MRI, and gadolinium-
enhanced MRI prior to operation. Herein, we describe the use of coronal MR images
of 3D fast-field echo with water selective excitation in the diagnosis of disc
sequestration mimicking a tumor. CASE PRESENTATION: Two patients were admitted to
our hospital with back pain, radiating pain, and hypoesthesia in the right lower
limb. MRI revealed tumor-like masses in the lateral recess of L3 and posterior to
the body of L4. The initial diagnosis indicated disc sequestration mimicking a
tumor and neurinoma. The coronal MR images of 3D fast-field echo with water
selective excitation showed a clear boundary between the tumor-like mass and the
nerve root. Moreover, the mass was also completely separated from the dura.
Therefore, neurinoma was excluded as a possible diagnosis prior to operation.
Surgical excision to perform removal of the gross mass was performed in one
patient. The histopathological diagnosis was consistent with the 3D fast-field
echo with water-selective excitation MRI. Another patient was successfully
treated by minimally invasive endoscopic surgery. CONCLUSIONS: Disc sequestration
that mimics a tumor is difficult to diagnose preoperatively. As a non-invasive
strategy, coronal MR images of 3D fast-field echo with water selective excitation
is a helpful imaging tool for differentiating between diagnosis of disc
sequestration that mimics a tumor and neurinoma prior to operation. If the disc
fragment of mimicking tumor can be identified prior to operation, open surgery
may not be necessary for all patients. Minimally invasive endoscopic surgery also
is an alternative strategy.
PMID- 29788941
TI - Are we really "eating well with Canada's food guide"?
AB - BACKGROUND: Canada's Food Guide (CFG) has been an important health promotion tool
for over seventy years. The most recent version was released in 2007. This study
examined Canadians' exposure to, knowledge, and use of CFG. METHODS: Data came
from the Canadian Community Health Survey's Rapid Response on the Awareness and
Usage of Canada's Food Guide, which included 10,098 Canadians >=12 y in all ten
provinces. Questions were asked on familiarity, awareness and usage of CFG and
Canada's Food Guide for First Nations, Inuit and Metis, as well as healthy eating
principles and behaviours. Descriptive statistics and logistic regression were
used to observe counts and differences among key demographic variables. RESULTS:
More than 80% of Canadians have heard of CFG however significantly more women
than men were aware of the Guide. Most knew that 'Vegetables and Fruit' had the
most recommended servings and that dark green vegetables should be consumed
daily; however fewer than half knew this of orange vegetables. Just under one
third had a copy in their homes, and the most common sources for obtaining CFG
were child's school and health professional/trainer. Those who consulted CFG
recently were more likely to consume the recommended servings of vegetables and
fruits, and to state that their eating habits were 'much better' than one year
previously. CONCLUSIONS: CFG has "brand recognition" among Canadians however
there are gaps between awareness and eating behaviours. The new Food Guide could
consider additional dissemination tools including social media, videos and
workbooks tailored to various age groups, demographic groups and settings.
PMID- 29788942
TI - Use of autologous bone marrow stem cell implantation for osteonecrosis of the
knee in sickle cell disease: a preliminary report.
AB - BACKGROUND: The purpose of our study was to evaluate safety, feasibility and
clinical results of bone marrow mononuclear cell (BMC) implantation for early
stage osteonecrosis of the knee (OK) secondary to sickle cell disease. METHODS:
Thirty-three SCD patients (45 knees) with OK treated with BMC implantation in the
osteonecrotic lesion were clinically and functionally evaluated through the
American Knee Society Clinical Score (KSS), Knee Functional Score (KFS) and
Numeric Rating Scale (NRS) pain score. MRI and radiographic examinations of the
knee were assessed during a period of five years after intervention. RESULTS: No
complications or serious adverse event were associated with BMC implantation.
From preoperative assessment to the latest follow-up, there was a significant (p
< 0.001) improvement of clinical KSS (64.3 +/- 9.7, range: 45-80 and 2.2 +/- 4.1,
range: 84-100, respectively), KFS (44.5 +/- 8.0, range: 30-55 and 91.6 +/- 5.8,
range: 80-100, respectively) and reduction of NRS pain score (6.7 +/- 1.2, range:
4-9 and 3.4 +/- 1.0, range: 2-5, respectively). In total, 87% of patients (29/33)
consistently experienced improvements in joint function and activity level as
compared to preoperative score. No patient had additional surgery following BMC
implantation. Radiographic assessment showed joint preservation and no
progression to subchondral collapse at most recent follow-up. CONCLUSIONS: The
technique of BMC implantation is a promising, relatively simple and safe
procedure for OK in SCD patients. Larger and long-term controlled trials are
needed to support its clinical effectiveness. TRIAL REGISTRATION:
ClinicalTrials.gov NCT02448121 . Retrospectively registered 19 May 2015.
PMID- 29788944
TI - Bronchiectasis: an emerging global epidemic.
AB - Bronchiectasis has an increasing profile within respiratory medicine. This
chronic and irreversible airways disease is common but suffers from a lack of
evidenced based therapy for patients and, a lack of understanding of its inherent
heterogeneity. Research focused on bronchiectasis must therefore be prioritized
if we are to adequately address this evolving clinical problem. This special
issue on bronchiectasis focuses on its clinical, microbiological and therapeutic
aspects. By bringing together a unique collection of original research and review
articles, we hope this issue will showcase international research efforts,
encourage future research collaborations and stimulate debate. In doing so, we
hope to bring greater attention to the urgent need for sustained investment into
focused, dedicated and collaborative research platforms in bronchiectasis, an
emerging "global epidemic".
PMID- 29788943
TI - Profiling non-tuberculous mycobacteria in an Asian setting: characteristics and
clinical outcomes of hospitalized patients in Singapore.
AB - BACKGROUND: Non-tuberculous mycobacteria (NTM) infection is an increasing problem
worldwide. The epidemiology of NTM in most Asian countries is unknown. This study
investigated the epidemiology, and clinical profile of inpatients in whom NTM was
isolated from various anatomical sites in a Singaporean population attending a
major tertiary referral centre. METHODS: Demographic profile, clinical data, and
characteristics of patients hospitalized with NTM isolates at a major tertiary
hospital over two-year period were prospectively assessed (2011-2012). Data
collected included patient demographics, ethnicity, smoking status, co
morbidities, NTM species, intensive care unit (ICU) treatment, and mortality.
RESULTS: A total of 485 patients (62.1% male) with 560 hospital admissions were
analysed. The median patient age was 70 years. Thirteen different NTM species
were isolated from this cohort. Mycobacterium abscessus (M. abscessus) (38.4%)
was most frequently isolated followed by Mycobacterium fortuitum (M. fortuitum)
(16.6%), Mycobacterium avium complex (MAC) (16.3%), Mycobacterium kansasii (M.
kansasii) (15.4%), and Mycobacterium gordonae (M. gordonae) (6.8%). Most (91%)
NTM was isolated from the respiratory tract. The three most common non-pulmonary
sites were; blood (2.7%), skin wounds and abscesses (2.1%), and gastric aspirates
(1.1%). A third (34.4%) of the study population had prior pulmonary tuberculosis
(PTB). There was a significant association between isolated NTM species, and
patient age (p = 0.0002). Eleven (2.2%) patients received intensive care unit
(ICU) treatment during the study period and all cause mortality within 1 year of
the study was 16.9% (n = 82). Of these, 72 (87.8%) patients died of pulmonary
causes. CONCLUSIONS: The profile of NTM species in Singapore is unique. M.
abscessus is the commonest NTM isolated, with a higher prevalence in males, and
in the elderly. High NTM prevalence is associated with high rates of prior PTB in
our cohort.
PMID- 29788945
TI - Musculoskeletal disorders among preschool teachers: analyzing the relationships
among relational demands, work meaning, and intention to leave the job.
AB - BACKGROUND: Based on the conservation of resource (COR) theory by Hobfoll, the
aim of the present study was to test whether the relationships among relational
demands, work meaning, and intention to leave vary as a function of the presence
of musculoskeletal disorders (MSDs). METHOD: The study was cross-sectional and
non-randomized. Analyses were carried out on a dataset consisting of 429
preschool teachers, who filled out a self-report questionnaire. Of them, 238
reported a MSD diagnosis and 191 were free form MSDs. RESULTS: As expected, among
those who reported MSDs, relational demands were significantly associated to
intention to leave, and this relationship was mediated by work meaning; moreover,
among those free from MSDs, no significant paths among the three variables were
found. CONCLUSION: In general, results showed that suffering from MSDs impairs
workers' ability to face to relational demands, thus activating a spiral that
encompasses diminished work meaning and intention to leave. Practical
implications of results will be discussed in the paper.
PMID- 29788947
TI - Electronic Health Record (EHR)-Based Community Health Measures: An Exploratory
Assessment of Perceived Usefulness by Local Health Departments.
AB - BACKGROUND: Given the widespread adoption of electronic health record (EHR)
systems in health care organizations, public health agencies are interested in
accessing EHR data to improve health assessment and surveillance. Yet there exist
few examples in the U.S. of governmental health agencies using EHR data routinely
to examine disease prevalence and other measures of community health. The
objective of this study was to explore local health department (LHD)
professionals' perceptions of the usefulness of EHR-based community health
measures, and to examine these perceptions in the context of LHDs' current access
and use of sub-county data, data aggregated at geographic levels smaller than
county. METHODS: To explore perceived usefulness, we conducted an online survey
of LHD professionals in Indiana. One hundred and thirty-three (133) individuals
from thirty-one (31) LHDs participated. The survey asked about usefulness of
specific community health measures as well as current access to and uses of sub
county population health data. Descriptive statistics were calculated to examine
respondents' perceptions, access, and use. A one-way ANOVA (with pairwise
comparisons) test was used to compare average scores by LHD size. RESULTS:
Respondents overall indicated moderate agreement on which community health
measures might be useful. Perceived usefulness of specific EHR-based community
health measures varied by size of respondent's LHD [F(3, 88) = 3.56, p = 0.017].
Over 70% of survey respondents reported using community health data, but of those
< 30% indicated they had access to sub-county level data. CONCLUSION: Respondents
generally preferred familiar community health measures versus novel, EHR-based
measures that are not in widespread use within health departments. Access to sub
county data is limited but strongly desired. Future research and development is
needed as LHD staff gain access to EHR data and apply these data to support the
core function of health assessment.
PMID- 29788946
TI - Patient information, education and self-management in bronchiectasis:
facilitating improvements to optimise health outcomes.
AB - BACKGROUND: Bronchiectasis is an incurable lung disease characterised by
irreversible airway dilatation. It causes symptoms including chronic productive
cough, dyspnoea, and recurrent respiratory infections often requiring hospital
admission. Fatigue and reductions in quality of life are also reported in
bronchiectasis. Patients often require multi-modal treatments that can be
burdensome, leading to issues with adherence. In this article we review the
provision of, and requirement for, education and information in bronchiectasis.
DISCUSSION: To date, little research has been undertaken to improve self
management in bronchiectasis in comparison to other chronic conditions, such as
COPD, for which there has been a wealth of recent developments. Qualitative work
has begun to establish that information deficit is one of the potential barriers
to self-management, and that patients feel having credible information is
fundamental when learning to live with and manage bronchiectasis. Emerging
research offers some insights into ways of improving treatment adherence and
approaches to self-management education; highlighting ways of addressing the
specific unmet information needs of patients and their families who are living
with bronchiectasis. CONCLUSIONS: We propose non-pharmacological recommendations
to optimise patient self-management and symptom recognition; with the aim of
facilitating measurable improvements in health outcomes for patients with
bronchiectasis.
PMID- 29788948
TI - GeneChip analysis of resistant Mycobacterium tuberculosis with previously treated
tuberculosis in Changchun.
AB - BACKGROUND: With the widespread use of rifampicin and isoniazid, bacterial
resistance has become a growing problem. Additionally, the lack of relevant
baseline information for the frequency of drug-resistant tuberculosis (TB) gene
mutations is a critical issue, and the incidence of this infection in the city of
Changchun has not investigated to date. However, compared with the slow
traditional methods of drug susceptibility testing, recently developed detection
methods, such as rifampicin and isoniazid resistance-related gene chip
techniques, allow for rapid, easy detection and simultaneous testing for mutation
frequency and drug resistance. METHODS: In this study, the rifampicin and
isoniazid resistance-related gene mutation chip method was employed for an
epidemiological investigation. To assess the gene mutation characteristics of
drug-resistant TB and evaluate the chip method, we tested 2143 clinical specimens
from patients from the infectious diseases hospital of Changchun city from
January to December 2016. The drug sensitivity test method was used as the
reference standard. RESULTS: The following mutation frequencies of sites in the
rifampicin resistance gene rpoB were found: Ser531Leu (52.6%), His526Tyr (12.3%),
and Leu511Pro (8.8%). The multidrug-resistance (MDR)-TB mutation frequency was
34.7% for rpoB Ser531Leu and katG Ser315Thr, 26.4% for rpoB Ser531Leu and inhA
promoter - 15 (C -> T), and 10.7% for rpoB His526Tyr and katG Ser315Thr. In
addition, drug susceptibility testing served as a reference standard. In
previously treated clinical cases, the sensitivity and specificity of GeneChip
were 83.1 and 98.7% for rifampicin resistance, 79.9 and 99.6% for isoniazid
resistance, and 74.1 and 99.8% for MDR-TB. CONCLUSIONS: Our experimental results
show that the chip method is accurate and reliable; it can be used to detect the
type of drug-resistant gene mutation in clinical specimens. Moreover, this study
can be used as a reference for future research on TB resistance baselines.
PMID- 29788949
TI - Range of motion after total knee arthroplasty in hemophilic arthropathy.
AB - BACKGROUND: Outcomes of total knee replacement in cases of hemophilic patients
are worse than in patients who undergo operations due to osteoarthritis. Previous
publications have reported varying rates of complications in hemophilic patients,
such as infection and an unsatisfactory range of motion, which have influenced
the survival of prostheses. Our retrospective study evaluated the data of
hemophilic patients regarding changes in the development of the range of motion.
METHODS: The data and clinical outcomes of 72 total knee replacements in 45
patients with hemophilia types A and B were reviewed retrospectively. Patients
were operated between 1998 and 2013. All of the patients were systematically
followed up to record the range of motion and other parameters before and after
surgery. RESULTS: The mean preoperative flexion contracture was 17 degrees +/-
11 degrees (range, 0 degrees -40 degrees ), and it was 7 degrees +/- 12 degrees
(range, 0 degrees -60 degrees ) postoperatively. The mean flexion of the knee
was 73 degrees +/- 30 degrees (range, 5 degrees -135 degrees ) before the
operation and 80 degrees +/- 19 degrees (range, 30 degrees -110 degrees ) at
the last follow-up. The mean range of motion was 56 degrees +/- 34 degrees
(range, 0 degrees -130 degrees ) before the operation and 73 degrees +/- 24
degrees (range, 10 degrees -110 degrees ) at the last follow-up. CONCLUSIONS:
Statistical analysis suggested that the range of motion could be improved until
the 9th postoperative week. The patient should be operated on until the flexion
contracture reaches 22 degrees to obtain a contracture < 15 degrees
postoperatively or until the contracture reaches 12 degrees to obtain less than
5 degrees . The operation generally does not change the flexion of the knee in
cases of hemophilic patients, but it reduces the flexion contracture and
therefore improves the range.
PMID- 29788950
TI - Construct validity and reliability of the Finnish version of the Knee Injury and
Osteoarthritis Outcome Score.
AB - BACKGROUND: The Knee Injury and Osteoarthritis Outcome Score (KOOS) is a commonly
used knee assessment and outcome tool in both clinical work and research.
However, it has not been formally translated and validated in Finnish. The
purpose of this study was to translate and culturally adapt the KOOS
questionnaire into Finnish and to determine its validity and reliability among
Finnish middle-aged patients with knee injuries. METHODS: KOOS was translated and
culturally adapted from English into Finnish. Subsequently, 59 patients with knee
injuries completed the Finnish version of KOOS, Western Ontario and McMaster
Osteoarthritis Index (WOMAC), Short-Form 36 Health Survey (SF-36) and Numeric
Pain Rating Scale (Pain-NRS). The same KOOS questionnaire was re-administered 2
weeks later. Psychometric assessment of the Finnish KOOS was performed by testing
its construct validity and reliability by using internal consistency, test-retest
reliability and measurement error. The floor and ceiling effects were also
examined. RESULTS: The cross-cultural adaptation revealed only minor cultural
differences and was well received by the patients. For construct validity, high
to moderate Spearman's Correlation Coefficients were found between the KOOS
subscales and the WOMAC, SF-36, and Pain-NRS subscales. The Cronbach's alpha was
from 0.79 to 0.96 for all subscales indicating acceptable internal consistency.
The test-retest reliability was good to excellent, with Intraclass Correlation
Coefficients ranging from 0.73 to 0.86 for all KOOS subscales. The minimal
detectable change ranged from 17 to 34 on an individual level and from 2 to 4 on
a group level. No floor or ceiling effects were observed. CONCLUSION: This study
yielded an appropriately translated and culturally adapted Finnish version of
KOOS which demonstrated good validity and reliability. Our data indicate that the
Finnish version of KOOS is suitable for assessment of the knee status of Finnish
patients with different knee complaints. Further studies are needed to evaluate
the predictive ability of KOOS in the Finnish population.
PMID- 29788951
TI - Managing acute ischaemic stroke in a small island developing state: meeting the
guidelines in Barbados.
AB - BACKGROUND: We describe hospital-based management of acute ischaemic stroke
patients in 2010-2013 in Barbados, by comparing documented treatment given in the
single tertiary public hospital with international guideline recommendations.
METHODS: Evidence-based stroke management guidelines were identified through a
systematic literature search. Comparisons were made between these guidelines and
documented diagnostic practice (all strokes) and prescribed medication (ischaemic
stroke only), using a combination of key informant interviews and national stroke
registry data for 2010-2013. RESULTS: Several published international guidelines
for the acute management of ischaemic stroke recommended patient management in a
dedicated stroke unit or nearest hospital specialised in stroke care. Further,
patients should receive clinical diagnosis, CT brain scan, specialist evaluation
by a multidisciplinary team and, if eligible, thrombolysis with alteplase within
3-3.5 h of symptom onset. Subsequent secondary prophylaxis, with a platelet
aggregation inhibitor and a statin was advised. Barbados had no stroke unit or
stroke team, and no official protocol for acute stroke management during the
study period. Most of the 1735 stroke patients were managed by emergency
physicians at presentation; if admitted, they were managed on general medical
wards. Most had a CT scan (1646; 94.9%). Of 1406 registered ischaemic stroke
patients, only 6 (0.4%) had been thrombolysed, 521 (37.1%) received aspirin
within 24 h of admission and 670 (47.7%) were prescribed aspirin on discharge.
CONCLUSIONS: Acute ischaemic stroke diagnosis was consistent with international
recommendations, although this was less evident for treatment. While
acknowledging the difficulty in implementing international guidelines in a low
resource setting, there is scope for improvement in acute ischaemic stroke
management and/or its documentation in Barbados. A stroke unit was established in
August 2013 and written clinical protocols for acute stroke care were in
development at the time of the study; future registry data will evaluate their
impact. Our findings have implications for other low-resource settings with high
stroke burden.
PMID- 29788952
TI - Viruses in bronchiectasis: a pilot study to explore the presence of community
acquired respiratory viruses in stable patients and during acute exacerbations.
AB - BACKGROUND: Bronchiectasis is a chronic respiratory condition. Persistent
bacterial colonisation in the stable state with increased and sometimes altered
bacterial burden during exacerbations are accepted as key features in the
pathophysiology. The extent to which respiratory viruses are present during
stable periods and in exacerbations is less well understood. METHODS: This study
aimed to determine the incidence of respiratory viruses within a cohort of
bronchiectasis patients with acute exacerbations at a teaching hospital and,
separately, in a group of patients with stable bronchiectasis. In the group of
stable patients, a panel of respiratory viruses were assayed for using real time
quantitative PCR in respiratory secretions and exhaled breath. The Impact of
virus detection on exacerbation rates and development of symptomatic infection
was evaluated. RESULTS: Routine hospital-based viral PCR testing was only
requested in 28% of admissions for an exacerbation. In our cohort of stable
bronchiectasis patients, viruses were detected in 92% of patients during the
winter season, and 33% of patients during the summer season. In the 2-month
follow up period, 2 of 27 patients presented with an exacerbation. CONCLUSIONS:
This pilot study demonstrated that respiratory viruses are commonly detected in
patients with stable bronchiectasis. They are frequently detected during
asymptomatic viral periods, and multiple viruses are often present concurrently.
PMID- 29788953
TI - "The missing ingredient": the patient perspective of health related quality of
life in bronchiectasis: a qualitative study.
AB - BACKGROUND: Bronchiectasis is a heterogeneous disease which affects quality of
life. Measuring symptoms and quality of life has proved challenging and research
is limited by extrapolation of questionnaires and treatments from other diseases.
The objective of this study was to identify the major contributors to quality of
life in bronchiectasis and to evaluate existing health related quality of life
questionnaires in bronchiectasis. METHODS: Eight adults with bronchiectasis
participated in one to one semi-structured interviews. These were recorded and
transcribed verbatim. Thematic analysis was used to identify core themes relevant
to disease burden and impact. Participant views on current health related quality
of life questionnaires were also surveyed. RESULTS: Bronchiectasis symptoms are
highly individual. Core themes identified were symptom burden, symptom variation,
personal measurement, quality of life and control of symptoms. Themes
contributing to quality of life were: social embarrassment, sleep disturbance,
anxiety and modification of daily and future activities. Evaluation of 4 existing
questionnaires established their individual strengths and weaknesses. A synthesis
of the participants' perspective identified desirable characteristics to guide
future tool development. CONCLUSIONS: This qualitative study has identified core
themes associated with symptoms and quality of life in bronchiectasis. Current
treatments and quality of life tools do not fully address or capture the burden
of disease in bronchiectasis from the patients' perspective.
PMID- 29788955
TI - Effect of canagliflozin on left ventricular diastolic function in patients with
type 2 diabetes.
AB - BACKGROUND: Type 2 diabetes mellitus (T2DM) greatly increases the risks of
cardiovascular disease and heart failure. In particular, left ventricular
diastolic dysfunction that develops from the early stages of T2DM is an important
factor in the onset and exacerbation of heart failure. The effect of sodium
glucose cotransporter 2 inhibitors on left ventricular diastolic function has not
been elucidated. We have performed the first prospective study on the effects of
canagliflozin on left ventricular diastolic function in T2DM. METHODS: This study
was performed to evaluate the effects of additional treatment with canagliflozin
for 3 months on left ventricular diastolic function in patients with T2DM. A
total of 38 patients with T2DM were consecutively recruited for this study. Left
ventricular diastolic function was assessed by echocardiography. The primary
study outcome was a change in the septal E/e' as a parameter of left ventricular
diastolic function. RESULTS: A total of 37 patients (25 males and 12 females)
were included in the analysis. Mean age of participants was 64.2 +/- 8.1 years
(mean +/- SD), mean duration of diabetes was 13.5 +/- 8.1 years, and mean HbA1c
was 7.9 +/- 0.7%. Of the participants, 86.5% had hypertension, 100% had
dyslipidemia, and 32.4% had cardiovascular disease. Canagliflozin significantly
improved left ventricular diastolic function (septal E/e' ratio 13.7 +/- 3.5-12.1
+/- 2.8, p = 0.001). Furthermore, among the various parameters that changed
through the administration of canagliflozin, only changes in hemoglobin
significantly correlated with changes in the septal E/e' ratio (p = 0.002). In
multiple regression analysis, changes in hemoglobin were also revealed to be an
independent predictive factor for changes in the septal E/e' ratio. CONCLUSIONS:
This study showed for the first time that canagliflozin could improve left
ventricular diastolic function within 3 months in patients with T2DM. The benefit
was especially apparent in patients with substantially improved hemoglobin
values. Trial registration UMIN Clinical Trials Registry UMIN000028141.
PMID- 29788956
TI - Building Bridges, Paediatric Palliative Care in Belgium: A secondary data
analysis of annual paediatric liaison team reports from 2010 to 2014.
AB - BACKGROUND: Although continuity of care in paediatric palliative care (PPC) is
considered to be an essential element of quality of care, it's implementation is
challenging. In Belgium, five paediatric liaison teams (PLTs) deliver palliative
care. A Royal Decree issued in 2010 provides the legal framework that defines the
PLTs' missions, as ensuring continuity of curative and palliative care between
the hospital and home for children diagnosed with life-limiting conditions. This
national study describes how PLTs ensure continuity of care by describing their
activities and the characteristics of the children they cared for from 2010 to
2014. METHODS: Thematic analysis of open-ended questions was performed and
descriptive statistics of aggregated data issued from annual reports, collected
by the Belgian Ministry of Public Health through the Cancer Plan was used. A
review panel of PLT members discussed the results and contributed to their
interpretation. RESULTS: Between 2010 and 2014, 3607 children and young adults (0
21 years) were cared for by the 5 Belgian PLTs (mean of 721/per year). Of these
children, 50% were diagnosed with an oncological disease, 27% with a neurological
or metabolic disease. Four hundred and twenty eight (428) children had died. For
51% of them, death took place at home. PLT activities include coordination;
communication; curative and palliative care; education; research and fundraising.
Different perceptions of what constitutes a palliative stage, heterogeneity in
reporting diagnosis and the current lack of specific valid indicators to report
PPC activities were found. CONCLUSION: PLTs are offering highly individualised,
flexible and integrated care from diagnosis to bereavement in all care settings.
Improvements in data registration and implementation of outcome measures are
foreseen.
PMID- 29788954
TI - Pathogenesis, imaging and clinical characteristics of CF and non-CF
bronchiectasis.
AB - Bronchiectasis is a common feature of severe inherited and acquired pulmonary
disease conditions. Among inherited diseases, cystic fibrosis (CF) is the major
disorder associated with bronchiectasis, while acquired conditions frequently
featuring bronchiectasis include post-infective bronchiectasis and chronic
obstructive pulmonary disease (COPD). Mechanistically, bronchiectasis is driven
by a complex interplay of inflammation and infection with neutrophilic
inflammation playing a predominant role. The clinical characterization and
management of bronchiectasis should involve a precise diagnostic workup, tailored
therapeutic strategies and pulmonary imaging that has become an essential tool
for the diagnosis and follow-up of bronchiectasis. Prospective future studies are
required to optimize the diagnostic and therapeutic management of bronchiectasis,
particularly in heterogeneous non-CF bronchiectasis populations.
PMID- 29788958
TI - Langerhans cell histiocytosis at L5 vertebra treated with en bloc vertebral
resection: a case report.
AB - BACKGROUND: Langerhans cell histiocytosis (LCH) in adult lumbar spine is
extremely rare, and optimal treatments remain unclear. In literature, only a few
cases of lumbar spine LCH were treated using surgery but en bloc vertebral
resection has not been used. CASE PRESENTATION: A 50-year-old man presented with
unbearable radiating pain at his right leg. Radiological studies revealed a
solitary osteolytic lesion, which was moderately enhanced on contrast MR imaging
and hyper-metabolic on PET/CT, at the right L5 vertebral body and arch. In
biopsy, Langerhans cells were observed, but findings were insufficient to
establish a diagnosis of LCH. A modified L5 en bloc vertebral resection via
anterior and posterior approaches was performed to remove the right 2/3 portion
of the L5 vertebra. The left 1/3 vertebral body and left pedicle of L5, which
were not affected, were kept in situ to allow short instrumentation and
reconstruction. His leg pain disappeared after the surgery, and a precise
diagnosis of LCH was established after a throughout histological study of the
removed vertebra. The patient further accepted 1 cycle of low-dose radiotherapy
postoperatively. At 18-month follow-up, the lumbosacral spine was fused and no
local reoccurrence was noticed. CONCLUSIONS: For lumbar spine LCH, surgery should
be considered if there are neurological symptoms or histological diagnosis is
indefinite in biopsy. En bloc vertebral resection can be used to alleviate
neurological symptoms and prevent local reoccurrence.
PMID- 29788957
TI - Endothelial progenitor cells improve the therapeutic effect of mesenchymal stem
cell sheets on irradiated bone defect repair in a rat model.
AB - BACKGROUND: The reconstruction of bone defects is often impaired by radiotherapy
since bone quality is compromised by radiation. This study aims to investigate
the therapeutic efficacy of the composite cell sheets-bone marrow mesenchymal
stem cell (BMSC) sheets cocultured with endothelial progenitor cells (EPCs)-in
the healing of irradiated bone defects and the biological effects of EPCs on the
osteogenic properties of BMSC sheets. METHODS: BMSCs and EPCs were isolated from
rat bone marrow. BMSCs were used to form cell sheets by the vitamin C inducing
method. EPCs were seeded on BMSC sheets to make EPCs-BMSC sheets. Osteogenesis of
EPCs-BMSC sheets and BMSC sheets were tested. In vitro osteogenesis tests
included ALP, Alizarin Red S, Sirius Red staining, qRT-PCR and Western blot
analysis after 3 and 7 days of osteogenic incubation. Subcutaneous osteogenesis
was tested by H&E staining and immunohistochemical staining 8 weeks after
transplantation. EPCs-BMSC sheets and BMSC sheets were used in the 3 mm defects
of non-irradiated and irradiated rat tibias. Micro-CT and histological analysis
were used to test the healing of bone defects 4 and 8 weeks after
transplantation. RESULTS: EPCs-BMSC sheets showed enhanced osteogenic
differentiation in vitro with increased expression of osteoblastic markers and
osteogenesis related staining compared with BMSC sheets. In subcutaneous
osteogenesis test, EPCs-BMSC sheets formed larger areas of new bone and blood
vessels. The EPCs-BMSC group had the highest volume of newly formed bone in the
defect area of irradiated tibias. CONCLUSIONS: EPCs improved the osteogenic
differentiation of BMSC Sheets and enhanced the ectopic bone formation. EPCs-BMSC
sheets promoted bone healing in irradiated rat tibias. EPCs-BMSC sheets are
potentially useful in the reconstruction of bone defect after radiotherapy.
PMID- 29788959
TI - Internal contracting of health services in Cambodia: drivers for change and
lessons learned after a decade of external contracting.
AB - BACKGROUND: Since the late 1990s, contracting has been employed in Cambodia in an
attempt to accelerate rural health system recovery and improve health service
delivery. Special Operating Agencies (SOA), a form of 'internal contracting', was
introduced into selected districts by the Cambodia Ministry of Health in 2009.
This study investigates how the SOA model was implemented and identifies effects
on service delivery, challenges in operation and lessons learned. METHODS: The
study was carried out in four districts, using mixed methods. Key informant
interviews were conducted with representatives of donors and the Ministry of
Health. In-depth interviews were carried out with managers of SOA and health
facilities and health workers from referral hospitals and health centres. Data
from the Annual Health Statistic Report 2009-2012 on utilisation of antenatal
care, delivery and immunisation were analysed. RESULTS: There are several
challenges with implementation: limited capacity and funding for monitoring the
SOA, questionable reliability of the monitoring data, and some facilities face
challenges in achieving the targets set in their contracts. There are some
positive effects on staff behaviour which include improved punctuality, being on
call for 24 h service, and perceived better quality of care, promoted through
adherence to work regulations stipulated in the contracts and provision of
incentives. However, flexibility in enforcing these regulations in SOA has led to
more dual practice, compared to previous contracting schemes. There are reported
increases in utilization of services by the general population and the poor
although the quantitative findings question the extent to which these increases
are attributable to the contracting model. CONCLUSION: Capacity in planning and
monitoring contracts at different levels in the health system is required.
Service delivery will be undermined if effective performance management is not
established nor continuously applied. Improvements in the implementation of SOA
include: better monitoring by the central and provincial levels; developing
incentive schemes that tackle the issues of dual practice; and securing
trustworthy baseline data for performance indicators.
PMID- 29788960
TI - Ecto-5'-nucleotidase (CD73) attenuates inflammation after spinal cord injury by
promoting macrophages/microglia M2 polarization in mice.
AB - BACKGROUND: Immune activation, specifically activation of macrophages and
resident microglia, leading to inflammation is a key component in the progression
of spinal cord injury (SCI). Macrophages/microglia exist in two states-the
classically activated M1 phenotype that confers pro-inflammatory effects or the
alternatively activated M2 phenotype that confers anti-inflammatory effects. Ecto
5'-nucleotidase (CD73) is an immunosuppressive molecule intricately involved in
adaptive and innate immune responses and is able to dephosphorylate AMP to
adenosine. However, it is not known if CD73 is able to modulate the
macrophages/microglia transformation between the M1 and M2 phenotypes. METHODS:
We used gene-deficient mice to determine the role of CD73 in
macrophages/microglia polarization post-SCI in vivo. We used small interference
RNA (siRNA) or pcDNA3.1 to inhibit or overexpress CD73 in BV2 cells to verify
anterior discovery in vitro. A combination of molecular and histological methods
was used to detect the macrophages/microglia polarization and explore the
mechanism both in vivo and in vitro. RESULTS: We found that SCI induced the
upregulation of CD73 expression. CD73 deficient mice were noted to demonstrate
overwhelming immune responses, few anti-inflammatory phenotype
macrophages/microglia, and had a poorer locomotor recovery in comparison to wild
type mice that were also inflicted with SCI. In vitro studies found that CD73
suppression inhibited the expression of characteristic microglial anti
inflammatory polarization markers in BV2 cells, while the converse was noted in
CD73 overexpression. Subsequent experiments confirmed that CD73 promoted
microglia alternative activation by stimulating p38 MAPK. CONCLUSION: We were
able to conclude that CD73 imparts neuroprotective effects by mediating
macrophages/microglia polarization. These findings allow for better understanding
of the modulatory factors involved in triggering the change in
macrophages/microglia phenotypes, therefore uncovering additional molecules and
pathways that may be targeted in the innovation of novel SCI therapies.
PMID- 29788961
TI - Relationships among medication adherence, lifestyle modification, and health
related quality of life in patients with acute myocardial infarction: a cross
sectional study.
AB - BACKGROUND: The healthy adherer effect is a phenomenon in which patients who
adhere to medical therapies tend to pursue health-seeking behaviors. Although the
healthy adherer effect is supposed to affect health outcomes in patients with
coronary artery disease, evaluation of its presence and extent is not easy. This
study aimed to assess the relationship between medication adherence and lifestyle
modifications and health-related quality of life among post-acute myocardial
infarction (AMI) patients. METHODS: A cross-sectional study was conducted in 417
post-AMI patients who underwent percutaneous coronary intervention (PCI).
Patients were recruited from 11 university hospitals from December 2015 to March
2016 in South Korea. Details regarding socio-demographic factors, six health
behaviors (low-salt intake, low-fat diet and/or weight-loss diet, regular
exercise, stress reduction in daily life, drinking in moderation, and smoking
cessation), medication adherence using the Modified Morisky Scale (MMS), and
HRQoL using the Coronary Revascularization Outcome Questionnaire (CROQ) were
surveyed in a one-on-one interview. RESULTS: In the univariate logistic analysis,
sex (female), age (>=70 years), MMS score (>=5), and CROQ score were associated
with adherence to lifestyle modification. In the multiple logistic analysis, a
high MMS score (>=5) was associated with adherence to lifestyle modification
after adjusting for sex, age, marital status, education, and family income
(adjusted odds ratio [OR] = 11.7, 95% confidence interval [CI] = 1.5-91.3). After
further adjusting for the CROQ score, the association between high MMS score and
adherence to lifestyle modification was significant (adjusted OR = 11.5, 95% CI =
1.4-93.3). CONCLUSIONS: Adherence to medication was associated with adherence to
lifestyle modification, suggesting the possible presence of the healthy adherer
effect in post-AMI patients. After further adjusting for HRQoL, the association
remained. To improve health outcome in post-AMI patients, early detection of
patients with poor adherence to medication and lifestyle modification and
motivational education programs to improve adherence are important. In addition,
the healthy adherer effect should be considered in clinical research, in
particular, in studies evaluating the effects of therapies on health outcomes.
PMID- 29788963
TI - Cervical small cell carcinoma frequently presented in multiple high risk HPV
infection and often associated with other type of epithelial tumors.
AB - BACKGROUND: Small cell carcinoma of the uterine cervix is a rare and highly
malignant tumor, and its etiopathogenesis is strongly related to high-risk HPV
infections. METHODS: The clinicopathological data of 30 cases of cervical primary
small cell carcinoma were retrospectively analyzed. In situ hybridization,
polymerase chain reaction and reverse dot-blot hybridization were employed to
detect HPV DNA in both small cell carcinoma and other coexisting epithelial
tumors. Immunohistochemistry was used to detect the protein expression of p16 and
p53. RESULTS: Amongst 30 patients with cervical primary small cell carcinoma, 15
patients simultaneously exhibited other types of epithelial tumors, including
squamous cell carcinoma, adenocarcinoma, squamous cell carcinoma in situ, and
adenocarcinoma in situ. Most tumor cells infected with HPV presented integrated
patterns in the nuclei by in situ hybridization. HPV DNA was detected in every
small cell carcinoma case (100%) by polymerase chain reaction and reverse dot
blot hybridization. 27 cases (90%) harbored type 18, and 15 (50%) displayed
multiple HPV18 and 16 infections. The prevalence of HPV 18 infection in small
cell carcinoma was higher than in cervical squamous and glandular epithelial
neoplasms (P = 0.002). However, similar infection rates of HPV 16 were detected
in both tumors (P = 0.383). Both small cell carcinoma and other types of
epithelial tumors exhibited strong nuclear and cytoplasmic staining for p16 in
all cases. Three cases of small cell carcinoma revealed completely negative p53
immunohistochemical expression in 15 cases of composite tumors, which suggested
TP53 nonsense mutation pattern. The pure small cell carcinoma of uterine cervix
had similar mutation or wild type pattern for TP53 compared with composite tumor
(P = 0.224). CONCLUSIONS: Cervical small cell carcinomas are often associated
with squamous or glandular epithelial tumors, which might result from multiple
HPV infections, especially HPV 16 infection. Multiple HPV infections were not
correlated with tumor stage, size, lymphovascular invasion, lymph node
metastasis, or prognosis. Furthermore, careful observation of specimens is very
important in finding little proportion of small cell carcinoma in the composite
lesions, specifically in cervical biopsy specimens, in order to avoid the missed
diagnosis of small cell carcinoma.
PMID- 29788962
TI - Phenolics from Barleria cristata var. Alba as carcinogenesis blockers against
menadione cytotoxicity through induction and protection of quinone reductase.
AB - BACKGROUND: There are increasing interests in natural compounds for cancer
chemoprevention. Blocking agents represent an important class of chemopreventive
compounds. They prevent carcinogens from undergoing metabolic activation and
thereby suppressing their interaction with cellular macromolecular targets.
METHODS: The effect of phenolic compounds isolated from Barleria cristata var.
alba as chemopreventive agent was evaluated. The ethyl acetate fraction of B.
cristata was subjected to different chromatographic techniques for isolation of
its major phenolic compounds. The isolated compounds were evaluated for their
potential to induce the cancer chemopreventive enzyme marker NAD(P)H
quinonereductase 1 (NQO1) in murine Hepa-1c1c7 cell model. RESULTS: The ethyl
acetate fraction of B. cristata var. alba yielded five known compounds identified
as verbascoside (1), isoverbascoside (2), dimethoxyverbascoside (3), p-hydroxy
benzoic acid (4), and apigenin-7-O-glucoside (5). Among the tested compounds,
isoverbascoside (2) was shown to potently induce the activity of the enzyme in a
dose -dependent manner. As a functional assay for detoxification, compound 2 was
the strongest to protect Hepa-1c1c7 against the toxicity of menadione, a quinone
substrate for NQO1. CONCLUSION: This effect seemed to be attributed to the
compound's potential to induce both the catalytic activity and protein expression
of NQO1 as revealed by enzyme assay and Western blotting, respectively.
PMID- 29788964
TI - RNA sequencing analysis reveals quiescent microglia isolation methods from
postnatal mouse brains and limitations of BV2 cells.
AB - BACKGROUND: Microglia play key roles in neuron-glia interaction,
neuroinflammation, neural repair, and neurotoxicity. Currently, various
microglial in vitro models including primary microglia derived from distinct
isolation methods and immortalized microglial cell lines are extensively used.
However, the diversity of these existing models raises difficulty in parallel
comparison across studies since microglia are sensitive to environmental changes,
and thus, different models are likely to show widely varied responses to the same
stimuli. To better understand the involvement of microglia in pathophysiological
situations, it is critical to establish a reliable microglial model system.
METHODS: With postnatal mouse brains, we isolated microglia using three general
methods including shaking, mild trypsinization, and CD11b magnetic-associated
cell sorting (MACS) and applied RNA sequencing to compare transcriptomes of the
isolated cells. Additionally, we generated a genome-wide dataset by RNA
sequencing of immortalized BV2 microglial cell line to compare with primary
microglia. Furthermore, based on the outcomes of transcriptional analysis, we
compared cellular functions between primary microglia and BV2 cells including
immune responses to LPS by quantitative RT-PCR and Luminex Multiplex Assay,
TGFbeta signaling probed by Western blot, and direct migration by chemotaxis
assay. RESULTS: We found that although the yield and purity of microglia were
comparable among the three isolation methods, mild trypsinization drove microglia
in a relatively active state, evidenced by high amount of amoeboid microglia,
enhanced expression of microglial activation genes, and suppression of microglial
quiescent genes. In contrast, CD11b MACS was the most reliable and consistent
method, and microglia isolated by this method maintained a relatively resting
state. Transcriptional and functional analyses revealed that as compared to
primary microglia, BV2 cells remain most of the immune functions such as
responses to LPS but showed limited TGFbeta signaling and chemotaxis upon
chemoattractant C5a. CONCLUSIONS: Collectively, we determined the optimal
isolation methods for quiescent microglia and characterized the limitations of
BV2 cells as an alternative of primary microglia. Considering transcriptional and
functional differences, caution should be taken when extrapolating data from
various microglial models. In addition, our RNA sequencing database serves as a
valuable resource to provide novel insights for appropriate application of
microglia as in vitro models.
PMID- 29788965
TI - Diagnostic tools of caprine and ovine anaplasmosis: a direct comparative study.
AB - BACKGROUND: The diagnosis of anaplasmosis is rather conflicting with other
haemoprotozoans. Hence, the study aimed to compare and evaluate the efficiency of
competitive ELISA (cELISA), indirect fluorescence antibody (IFA), and Polymerase
chain reaction (PCR) for precise diagnosis of Anaplasma spp. and to assess their
concordance with microscopic examination (ME). RESULTS: A total of 312 blood
samples (189 sheep and 123 goats) were examined for Anaplasma infection during a
1 year period. Giemsa-stained blood smears were examined under the microscope.
IFA and cELISA were used for the detection of Anaplasma spp. antibodies. PCR was
used as a standard of truth and for the identification of Anaplasma species.
Using cELISA assay, 47.4% (148) were positive (93 sheep and 55 goats) with a
sensitivity and specificity of 91.9, and 86.9%, respectively. Using IFA, it was
found that 57.4% (179)were positive (113 sheep and 66 goats) with a sensitivity
and specificity of 100, and 93.3%, respectively. PCR assay identified A. ovis in
49 (25.3%) sheep and 30 (15.5%) goats, and A. phagocytophilumin 74 (38.1%) sheep
and 41 (20.8%) goats. CONCLUSIONS: High sensitivity and specificity values of IFA
and ELISA tests compared to microscopic examination strongly support their
utility in the diagnosis of Anaplasma infection. PCR was a more specific
diagnostic tool that allows to discriminate between Anaplasma subspecies, which
makes it the method of choice for anaplasmosis diagnosis.
PMID- 29788967
TI - Towards a culturally competent health professional: a South African case study.
AB - BACKGROUND: South Africa (SA) has a growing multilingual and multicultural
population of approximately 55 million people, and faces service delivery
challenges due to a shortage in skilled health professionals. Many health care
facilities still depict distinct racial and ethnic characteristics that date back
to the apartheid era, and there are reports of racial intolerance or preferential
treatment at some facilities. There is limited literature in South Africa on
cultural competence or on how to train health professionals to provide culturally
competent care. This paper describes a study conducted to gain a better
understanding of final year medical students' perceptions regarding concepts
related to cultural and linguistic competence in the SA healthcare setting.
METHODS: An exploratory, cross-sectional, analytical study used a questionnaire
to collect data from final year students at the medical school. RESULTS: The
demographic profile indicated considerable diversity in the respondents for
languages spoken, ethnicity and religion. Responses indicated a level of cultural
awareness and, according to the Cross Framework, a position of cultural pre
competence. This position was supported by the majority expressing high levels of
agreement with the items deemed to indicate responsiveness: a desire for cultural
competence to be promoted in the medical curriculum and for professional
development to improve delivery of services and support to linguistically and
culturally diverse groups. No significant association was found when analysing
the latter item against demographic grouping variables. However, although not
significant, a diminishing trend emerged in the rankings of monolingualism,
bilingualism and multilingualism, suggesting that the ability to speak more than
one language could possibly be a facilitating factor in acquiring cultural
competence. CONCLUSIONS: In response, it is recommended that specific learning
objectives be included in the medical curriculum. Understanding of concepts
related to both individual and institutional cultural competence would improve
insights into their relevance in responding to the challenges related to culture
in SA healthcare. Further research in teaching cultural competence is
recommended. In order to respond to local needs, this should include research at
a community level to analyse patients' perspectives and satisfaction with the
cultural competence of healthcare providers and organisations serving the SA
public.
PMID- 29788969
TI - Psychosocial predictors for outcome after total joint arthroplasty: a prospective
comparison of hip and knee arthroplasty.
AB - BACKGROUND: As findings regarding predictors for good outcome after total joint
arthroplasty are highly inconsistent, aim of this study was to investigate the
influence of the psychosocial variables sense of coherence and social support as
well as mental distress on physical outcome after surgery. It should be
investigated if different predictors are important in patients after total hip
arthroplasty (THA) compared to patients after total knee arthroplasty (TKA).
METHODS: In a prospective design, 44 patients undergoing THA and 61 patients
undergoing TKA were examined presurgery and 6 and 12 weeks after surgery using
WOMAC (disease-specific outcome), SF-36 (health-related quality of life), BSI
(psychological distress), SOC-13 (sense of coherence), and F-SozU (social
support). Changes over time were calculated by analyses of variance with repeated
measures. Stepwise multiple linear regression analyses were computed for each
group to predict scores of WOMAC total and all WOMAC subscales 12 weeks
postoperatively. RESULTS: THA as well as TKA patients experienced improvements in
all parameters (effect sizes for WOMAC scores between eta2 = .387 and eta2 =
.631) with THA patients showing even better results than TKA patients. WOMAC
scores 12 weeks after surgery were predicted predominantly by WOMAC baseline
scores in TKA with an amount of explained variance between 9.6 and 19.5%. In THA,
12-weeks WOMAC scores were predicted by baseline measures of psychosocial aspects
(anxiety, sense of coherence, social support). In this group, predictors
accounted for 17.1 to 31.6% of the variance. CONCLUSIONS: Different predictors
for outcome after total joint arthroplasty were obtained for THA and TKA
patients. Although psychosocial aspects seemed to be less important in TKA
patients, preoperatively, distressed patients of both groups should be offered
interventions to reduce psychological distress to obtain better outcomes after
surgery.
PMID- 29788966
TI - Dyslipidemia prevalence, awareness, treatment, control, and risk factors in
Chinese rural population: the Henan rural cohort study.
AB - BACKGROUND: The prevalence of dyslipidemia continue to increase in recent decades
in China, however, little is known about the recent prevalence, awareness,
treatment, control, and potential risk factors of dyslipidemia in the rural areas
of China. METHODS: A total of 39,207 participants aged 18-79 years were recruited
for the epidemiological research from the Henan Rural Cohort study. The age- and
sex-adjusted means (95% confidence intervals, CI) of serum lipid levels or
percentages of prevalence, awareness, treatment, and control overall and in
various population subgroups were estimated and compared by multiple linear
regression or logistic regression. The multivariable logistic regression model
was used to explore the associations between the socio-demographic factors and
the prevalence, awareness, treatment and control of dyslipidemia. RESULTS: The
age- and sex-adjusted mean levels (95%CI) of total cholesterol (TC), triglyceride
(TG), high-density lipoprotein cholesterol (HDL-C), and low-density lipoprotein
cholesterol (LDL-C) were 4.76(4.75-4.77), 1.68(1.67-1.69),1.33(1.32-1.33), and
2.87 (2.86-2.88) mmol/L, respectively. Overall, the age-standardized prevalence
of dyslipidemia was 32.21% (42.85% in men vs. 26.16% in women) in Chinese rural
adults, with 5.11, 16.00, 19.27, and 4.76% for high TC, high TG, low HDL-C and
high LDL-C, respectively. The age-standardized awareness, treatment and control
of dyslipidemia were 15.07, 7.23, and 3.25%, respectively, which were higher in
women than men, and increased steeply with age (P trend < 0.05). The proportion
of prevalence, awareness, treatment, control of dyslipidemia differed
significantly among various subpopulations while the awareness, treatment, and
control rates were universally low in all subgroups (< 54, 36, and 15%,
respectively). Increasing age, men, unhealthy lifestyles, positive family history
of dyslipidemia, abnormal weight, type 2 diabetes mellitus and hypertension were
independent risk factors of dyslipidemia. CONCLUSION: Dyslipidemia was common
with unacceptably low awareness, treatment and control rates in rural China.
Therefore, effective strategies are necessary for improving the status of the
prevention, diagnosis, treatment, control of dyslipidemia in Chinese rural
residents. TRIAL REGISTRATION: ChiCTR-OOC-15006699.
PMID- 29788968
TI - Spatio-temporal mapping of Madagascar's Malaria Indicator Survey results to
assess Plasmodium falciparum endemicity trends between 2011 and 2016.
AB - BACKGROUND: Reliable measures of disease burden over time are necessary to
evaluate the impact of interventions and assess sub-national trends in the
distribution of infection. Three Malaria Indicator Surveys (MISs) have been
conducted in Madagascar since 2011. They provide a valuable resource to assess
changes in burden that is complementary to the country's routine case reporting
system. METHODS: A Bayesian geostatistical spatio-temporal model was developed in
an integrated nested Laplace approximation framework to map the prevalence of
Plasmodium falciparum malaria infection among children from 6 to 59 months in age
across Madagascar for 2011, 2013 and 2016 based on the MIS datasets. The model
was informed by a suite of environmental and socio-demographic covariates known
to influence infection prevalence. Spatio-temporal trends were quantified across
the country. RESULTS: Despite a relatively small decrease between 2013 and 2016,
the prevalence of malaria infection has increased substantially in all areas of
Madagascar since 2011. In 2011, almost half (42.3%) of the country's population
lived in areas of very low malaria risk (<1% parasite prevalence), but by 2016,
this had dropped to only 26.7% of the population. Meanwhile, the population in
high transmission areas (prevalence >20%) increased from only 2.2% in 2011 to
9.2% in 2016. A comparison of the model-based estimates with the raw MIS results
indicates there was an underestimation of the situation in 2016, since the raw
figures likely associated with survey timings were delayed until after the peak
transmission season. CONCLUSIONS: Malaria remains an important health problem in
Madagascar. The monthly and annual prevalence maps developed here provide a way
to evaluate the magnitude of change over time, taking into account variability in
survey input data. These methods can contribute to monitoring sub-national trends
of malaria prevalence in Madagascar as the country aims for geographically
progressive elimination.
PMID- 29788970
TI - An autopsy case of peripheral T cell lymphoma occurring in a postpartum woman: a
unique case suggesting changes in the immunocharacteristics of lymphoma cells
before and after delivery.
AB - BACKGROUND: The occurrence of malignant lymphoma after delivery is an extremely
rare event. Although several cases of Hodgkin lymphoma and B cell lymphoma and a
few cases of peripheral T cell lymphoma (PTCL) after delivery have been reported,
there are no report of autopsy cases of PTCL in the puerperal period. CASE
PRESENTATION: A 32-year-old Japanese woman with a past medical history of atopic
dermatitis and bronchial asthma presented with generalized eruptions four days
after the delivery of her first child; generalized skin induration and
lymphadenopathy subsequently emerged. A skin biopsy specimen showed the diffuse
proliferation of atypical lymphoid cells that were immunohistochemically-positive
for CD4 but negative for CD8. She was diagnosed as PTCL, not otherwise specified
(PTCL, NOS). She died one year and three months after the onset of symptoms. At
autopsy, the systemic infiltration of lymphoma cells into the whole body was
observed. Unexpectedly, these lymphoma cells were immuno-reactive with CD8 but
not with CD4. CONCLUSION: The occurrence and development of PTCL after delivery
with the shift from CD4 positivity to CD8 positivity may be associated with not
only the selection of resistant subclone as a result of chemotherapy but also the
changes of immune status before and after delivery.
PMID- 29788971
TI - Differential regulation of nimodipine-sensitive and -insensitive Ca2+ influx by
the Na+/Ca2+ exchanger and mitochondria in the rat suprachiasmatic nucleus
neurons.
AB - BACKGROUND: Transmembrane Ca2+ influx is critical for molecular rhythmicity,
metabolic activity, and neuropeptide release in the central clock of the
suprachiasmatic nucleus (SCN). We previously reported that both the Na+/Ca2+
exchanger (NCX) and mitochondria play a role in regulating intracellular Ca2+
homeostasis in the rat SCN neurons. Here we present evidence to show differential
regulation by NCX and mitochondria of nimodipine-sensitive and -insensitive Ca2+
influx. METHODS: Ratiometric Ca2+ imaging was used to measure change in [Ca2+]i
and patch clamp recordings to study spontaneous firing, membrane potential, and
voltage-dependent Ca2+ channels in neurons from reduced SCN slice preparations.
Immunofluorescent staining was used to determine the distribution pattern of
CaV1.2 and CaV1.3 and their colocalization with NCX1. RESULTS: Ratiometric Ca2+
imaging indicates that nimodipine (2 MUM) blocked most of 20 (mM) K+-induced, but
less so of 50 K+-induced, Ca2+ rise. The nimodipine-sensitive 50 K+-induced Ca2+
transient rose more rapidly but decayed similarly with the nimodipine-insensitive
component, suggesting both components were extruded by NCX. Immunofluorescent
stains showed the expression of both CaV1.2 and CaV1.3 and their colocalization
with NCX1, whereas functional studies suggest that CaV1.2 mediated most of the
nimodipine-sensitive Ca2+ rise but had insignificant effect on spontaneous
firing. After normalization relative to the Ca2+-free solution, nimodipine
reduced ~ 65% of basal Ca2+ influx, and TTX lowered it by ~ 35%, leaving ~ 25%
basal Ca2+ influx in the combined presence of TTX and nimodipine. With the
mitochondrial uncoupler carbonyl cyanide-p-trifluoromethoxyphenylhydrazone (FCCP)
to inhibit mitochondrial Ca2+ uptake, 20 K+-induced Ca2+ transients became larger
and slower, both in the absence and presence of nimodipine. FCCP markedly
enhanced nimodipine-insensitive, but not nimodipine-sensitive, Ca2+ transients,
suggesting that mitochondria preferentially buffer nimodipine-insensitive Ca2+
influx. Results from using CaV2 channel blockers further indicate that FCCP
enhanced Ca2+ transients mediated by N-, P/Q-, and the blocker cocktail
insensitive Ca2+ channels. CONCLUSIONS: The differential regulation of
transmembrane Ca2+ influx by NCX and mitochondria suggests that Ca2+ entry via
different sources may be regulated differently to play different roles in SCN
physiology.
PMID- 29788972
TI - Inequity in the utilization of antenatal and delivery care in Yangon region,
Myanmar: a cross-sectional study.
AB - BACKGROUND: Equity of access to and utilization of healthcare across socio
economic groups is important to achieve universal health coverage. Although the
utilization of antenatal and delivery care has been increasing in low- and middle
income countries, inequities in the utilization of antenatal and delivery care
have been reported in many countries, but have not yet been studied in Myanmar.
This study aimed to determine whether inequities in the utilization of antenatal
and delivery care existed in Yangon region, Myanmar. METHODS: A community-based
cross-sectional survey using multistage sampling was conducted from October to
November 2016. A wealth index was selected as the main socioeconomic parameter
for measuring inequities with respect to early initiation of antenatal care
(ANC), number of antenatal care visits, delivery by a skilled birth attendant
(SBA) and delivery by cesarean section (CS). Inequities were evaluated using
concentration curves and concentration indexes. RESULTS: Of the 762 women who
gave birth within the 12-month survey period, there was no evidence of inequity
in utilization of ANC; however, inequity of at least one antenatal visit among
women aged less than 20 years was found with a concentration index of 0.04. The
concentration indexes for delivery by SBA and CS were 0.05 and 0.14,
respectively. Delivery by CS was disproportionately higher in adolescents and
women with higher education than middle school. CONCLUSION: There was no overall
inequity in the utilization of ANC but substantial inequities in delivery by CS
and SBA were shown. Social determinants of health, particularly age and
education, were associated with inequities in the utilization of delivery care.
Adolescent pregnant women were found to be particularly vulnerable, and thus
should be a target group for strategic plans to reduce inequities in utilization
of delivery care.
PMID- 29788973
TI - Homoharringtonine regulates the alternative splicing of Bcl-x and caspase 9
through a protein phosphatase 1-dependent mechanism.
AB - BACKGROUND: Homoharringtonine (HHT) is a natural alkaloid with potent antitumor
activity, but its precise mechanism of action is still poorly understood.
METHODS: We examined the effect of HHT on alternative splicing of Bcl-x and
Caspase 9 in various cells using semi-quantitative reverse transcriptase
polymerase chain reaction (RT-PCR). The mechanism of HHT-affected alternative
splicing in these cells was investigated by treatment with protein phosphatase
inhibitors and overexpression of a protein phosphatase. RESULTS: Treatment with
HHT downregulated the levels of anti-apoptotic Bcl-xL and Caspase 9b mRNA with a
concomitant increase in the mRNA levels of pro-apoptotic Bcl-xS and Caspase 9a in
a dose- and time-dependent manner. Calyculin A, an inhibitor of protein
phosphatase 1 (PP1) and protein phosphatase 2A (PP2A), significantly inhibited
the effects of HHT on the alternative splicing of Bcl-x and Caspase 9, in
contrast to okadaic acid, a specific inhibitor of PP2A. Overexpression of PP1
resulted in a decrease in the ratio of Bcl-xL/xS and an increase in the ratio of
Caspase 9a/9b. Moreover, the effects of HHT on Bcl-x and Caspase 9 splicing were
enhanced in response to PP1 overexpression. These results suggest that HHT
induced alternative splicing of Bcl-x and Caspase 9 is dependent on PP1
activation. In addition, overexpression of PP1 could induce apoptosis and
sensitize MCF7 cells to apoptosis induced by HHT. CONCLUSION: Homoharringtonine
regulates the alternative splicing of Bcl-x and Caspase 9 through a PP1-dependent
mechanism. Our study reveals a novel mechanism underlying the antitumor
activities of HHT.
PMID- 29788974
TI - Eicosapentaenoic acid ethyl ester improves endothelial dysfunction in type 2
diabetic mice.
AB - BACKGROUND: Eicosapentaenoic acid (EPA) is thought to have many beneficial
effects, such as anti-atherosclerogenic and anti-inflammatory properties.
However, few studies have reported its effects of endothelial dysfunction in
diabetes and its direct effects on the aorta. Here, we investigated the effects
of EPA treatment on impaired endothelium-dependent relaxation of the aorta in
KKAy mice, a model of type 2 diabetes. METHODS: Male KKAy mice were fed a high
fat (HF) diet for 8 weeks to induce diabetes, after which they were divided into
two groups. One group was fed a HF diet, and the other group was fed a HF diet
containing EPA ethyl ester (EPA-E, 10 mg/day) for 4 weeks. Then, the vascular
reactivities of prepared aortic rings were measured in an organ bath to determine
if EPA-E administration changed vascular function in these diabetic mice. In
addition, we examined effect of EPA-E and its metabolites to vascular action
using aorta separated from C57BL/6 J mice. RESULTS: Although EPA-E administration
did not change the plasma glucose and insulin levels in diabetic mice, total
cholesterol levels were significantly decreased. The aorta extracted from EPA-E
untreated diabetic mice showed impaired endothelium-dependent relaxation in
response to acetylcholine (ACh). However, EPA-E administration improved the
relaxation response to ACh to the control levels observed in non-diabetic C57BL/6
J mice. On the other hand, endothelium-independent relaxation in response to
sodium nitroprusside did not significantly differ among these three groups. The
enhanced contractile response by phenylephrine in diabetic mice was not altered
by the administration of EPA-E. In addition, the direct administration of EPA-E
metabolites such as EPA, docosahexaenoic acid, and docosapentaenoic acid led to
vasodilation in the aortic rings of C57BL/6 J mice. CONCLUSION: These results
showed that chronic EPA-E administration prevented the development of endothelial
dysfunction in KKAy mice, partly via the direct action of EPA-E metabolites on
the aorta.
PMID- 29788976
TI - Improving the analysis of composite endpoints in rare disease trials.
AB - BACKGROUND: Composite endpoints are recommended in rare diseases to increase
power and/or to sufficiently capture complexity. Often, they are in the form of
responder indices which contain a mixture of continuous and binary components.
Analyses of these outcomes typically treat them as binary, thus only using the
dichotomisations of continuous components. The augmented binary method offers a
more efficient alternative and is therefore especially useful for rare diseases.
Previous work has indicated the method may have poorer statistical properties
when the sample size is small. Here we investigate small sample properties and
implement small sample corrections. METHODS: We re-sample from a previous trial
with sample sizes varying from 30 to 80. We apply the standard binary and
augmented binary methods and determine the power, type I error rate, coverage and
average confidence interval width for each of the estimators. We implement
Firth's adjustment for the binary component models and a small sample variance
correction for the generalized estimating equations, applying the small sample
adjusted methods to each sub-sample as before for comparison. RESULTS: For the
log-odds treatment effect the power of the augmented binary method is 20-55%
compared to 12-20% for the standard binary method. Both methods have
approximately nominal type I error rates. The difference in response
probabilities exhibit similar power but both unadjusted methods demonstrate type
I error rates of 6-8%. The small sample corrected methods have approximately
nominal type I error rates. On both scales, the reduction in average confidence
interval width when using the adjusted augmented binary method is 17-18%. This is
equivalent to requiring a 32% smaller sample size to achieve the same statistical
power. CONCLUSIONS: The augmented binary method with small sample corrections
provides a substantial improvement for rare disease trials using composite
endpoints. We recommend the use of the method for the primary analysis in
relevant rare disease trials. We emphasise that the method should be used
alongside other efforts in improving the quality of evidence generated from rare
disease trials rather than replace them.
PMID- 29788975
TI - Activism and scientific research: 20 years of community action by the Vancouver
area network of drug users.
AB - BACKGROUND: Over the past several decades, there have been numerous peer-reviewed
articles written about people who use drugs (PWUDs) from the Downtown Eastside
neighborhood of Vancouver, Canada. While individual researchers have engaged and
acknowledged this population as participants and community partners in their
work, there has been comparatively little attention given to the role of PWUDs
and drug user organizations in directing, influencing, and shaping research
agendas. METHODS: In this community-driven research, we examine 20 years of peer
reviewed studies, university theses, books, and reports that have been directed,
influenced, and shaped by members of the activist organization the Vancouver Area
Network of Drug Users (VANDU). In this paper, we have summarized VANDU's work
based on different themes from each article. RESULTS: After applying the
inclusion criteria to over 400 articles, 59 items containing peer-reviewed
studies, books, and reports were included and three themes of topics researched
or discussed were identified. Theme 1: 'health needs' of marginalized groups was
found in 39% of articles, Theme 2: 'evaluation of projects' related to harm
reduction in 19%, and Theme 3: 'activism' related work in 42%. Ninety-four
percent of co-authors were from British Columbia and 44% of research was
qualitative. Works that have been co-authored by VANDU's members or acknowledged
their participations created 628 citations. Moreover, their work has been
accessed more than 149,600 times. CONCLUSIONS: Peer-based, democratic harm
reduction organizations are important partners in facilitating groundbreaking
health and social research, and through research can advocate for the improved
health and wellbeing of PWUDs and other marginalized groups in their community.
This article also recommends that PWUDs should be more respectfully engaged and
given appropriate credit for their contributions.
PMID- 29788977
TI - Exposure to a multi-level multi-component childhood obesity prevention community
randomized controlled trial: patterns, determinants, and implications.
AB - BACKGROUND: For community interventions to be effective in real-world conditions,
participants need to have sufficient exposure to the intervention. It is unclear
how the dose and intensity of the intervention differ among study participants in
low-income areas. We aimed to understand patterns of exposure to different
components of a multi-level multi-component obesity prevention program to inform
our future impact analyses. METHODS: B'more Healthy Communities for Kids (BHCK)
was a community-randomized controlled trial implemented in 28 low-income zones in
Baltimore in two rounds (waves). Exposure to three different intervention
components (corner store/carryout restaurants, social media/text messaging, and
youth-led nutrition education) was assessed via post-intervention interviews with
385 low-income urban youths and their caregivers. Exposure scores were generated
based on self-reported viewing of BHCK materials (posters, handouts, educational
displays, and social media posts) and participating in activities, including
taste tests during the intervention. For each intervention component, points were
assigned for exposure to study materials and activities, then scaled (0-1 range),
yielding an overall BHCK exposure score [youths: mean 1.1 (range 0-7.6 points);
caregivers: 1.1 (0-6.7), possible highest score: 13]. Ordered logit regression
analyses were used to investigate correlates of youths' and caregivers' exposure
level (quartile of exposure). RESULTS: Mean intervention exposure scores were
significantly higher for intervention than comparison youths (mean 1.6 vs 0.5, p
< 0.001) and caregivers (mean 1.6 vs 0.6, p < 0.001). However, exposure scores
were low in both groups and 10% of the comparison group was moderately exposed to
the intervention. For each 1-year increase in age, there was a 33% lower odds of
being highly exposed to the intervention (odds ratio 0.77, 95% confidence
interval 0.69; 0.88) in the unadjusted and adjusted model controlling for youths'
sex and household income. CONCLUSION: Treatment effects may be attenuated in
community-based trials, as participants may be differentially exposed to
intervention components and the comparison group may also be exposed. Exposure
should be measured to provide context to impact evaluations in multi-level
trials. Future analyses linking exposure scores to the outcome should control for
potential confounders in the treatment-on-the-treated approach, while recognizing
that confounding and selection bias may exist affecting causal inference. TRIAL
REGISTRATION: ClinicalTrials.gov, NCT02181010 . Retrospectively registered on 2
July 2014.
PMID- 29788978
TI - Imaging diagnosis for chronic lateral ankle ligament injury: a systemic review
with meta-analysis.
AB - BACKGROUND: Various imaging techniques have been utilized for the diagnosis of
chronic lateral ankle ligament injury. This systemic review will explore the
effectiveness of different imaging techniques in diagnosing chronic lateral ankle
ligament injury. METHODS: Relative studies were retrieved after searching 3
databases (MEDLINE, EMBASE, and Cochrane Central Register of Controlled Trails).
Eligible studies were summarized. Data were extracted to calculate pooled
sensitivity and specificity of magnetic resonance imaging (MRI), ultrasonography
(US), stress radiography, and arthrography. RESULTS: Fifteen studies met our
inclusion and exclusion criteria. A total of 695 participants were included. The
pooled sensitivities in diagnosing chronic ATFL injury were 0.83 [0.78, 0.87] for
MRI, 0.99 [0.96, 1.00] for US, and 0.81 [0.68, 0.90] for stress radiography. The
pooled specificities in diagnosing chronic ATFL injury were 0.79 [0.69, 0.87] for
MRI, 0.91 [0.82, 0.97] for US, and 0.92 [0.79, 0.98] for stress radiography. The
pooled sensitivities in diagnosing chronic CFL injury were 0.56 [0.46, 0.66] for
MRI, 0.94 [0.85, 0.98] for US, and 0.90 [0.73, 0.98] for arthrography. The pooled
specificities in diagnosing chronic CFL injury were 0.88 [0.82, 0.93] for MRI,
0.91 [0.80, 0.97] for US, and 0.90 [0.77, 0.97] for arthrography. CONCLUSION:
This systematic review with meta-analysis investigated the accuracy of imaging
for the diagnosis of chronic lateral ankle ligament injury. Ultrasound manifested
high diagnostic accuracy in diagnosing chronic lateral ankle ligament injury.
Clinicians should be aware of the limitations of MRI in detecting chronic CFL
injuries.
PMID- 29788980
TI - Treatment of ventriculoperitoneal shunt infection and ventriculitis caused by
Acinetobacter baumannii: a case report.
AB - BACKGROUND: Acinetobacter baumannii (A. baumannii) infections are a recognized
problem in healthcare, causing ventriculoperitoneal shunt infection and
ventriculitis. Such infections are serious intracranial infection that can lead
to serious complication and death. Treatment of infection caused by A. baumannii
becomes difficult because of its inclination to develop pandrug resistance to the
universally used antibiotics. In this case, we focused on pediatric
ventriculitis/shunt infection caused by A. baumannii in an extensive follow-up
and report the subsequent treatment outcome. Very limited information regarding
the therapeutic options against A. baumannii ventriculitis/shunt infection is
available in our hospital. Thus, we present one such case and the problems in its
treatment. CASE PRESENTATION: We reported the case of a 6-year-old Ethiopian boy
who developed ventriculitis/shunt infection from the pandrug-resistant strain of
A. baumannii, after decompression of a craniotomy for medulloblastoma. Following
the surgical procedure, he had developed hydrocephalus and ventriculoperitoneal
shunt infection/ventriculitis as he presented with persistent fever, elevated
white blood cell count, reduced glucose level, and the cerebrospinal fluid
culture revealed A. baumannii, which was not responding to most of commercially
available antibiotics systemically. Our patient was successfully treated with
intravenous ampicillin-sulbactam. CONCLUSIONS: We presented our case of pandrug
resistant A. baumannii ventriculoperitoneal shunt infection and ventriculitis
successfully treated with a systemic ampicillin-sulbactam. Provision of systemic
ampicillin-sulbactam should not be undermined. Therefore, this case exemplifies
that intravenous administration of ampicillin-sulbactam can be a good therapeutic
option against A. baumannii ventriculoperitoneal shunt infection and
ventriculitis.
PMID- 29788981
TI - Safety of pazopanib and sunitinib in treatment-naive patients with metastatic
renal cell carcinoma: Asian versus non-Asian subgroup analysis of the COMPARZ
trial.
AB - BACKGROUND: The international, phase 3 COMPARZ study demonstrated that pazopanib
and sunitinib have comparable efficacy as first-line therapy in patients with
advanced renal cell carcinoma, but that safety and quality-of-life profiles favor
pazopanib. Our report analyzed pazopanib and sunitinib safety in Asian and non
Asian subpopulations. METHODS: Patients were randomized 1:1 to receive pazopanib
800 mg once daily (continuous dosing) or sunitinib 50 mg once daily in 6-week
cycles (4 weeks on, 2 weeks off). RESULTS: Safety population was composed of 363
Asian patients and 703 non-Asian patients. Asian patients had similar duration of
exposure to either drug compared with non-Asian patients, although Asian patients
had a higher frequency of dose modifications. Overall, hematologic toxicities,
cytopenias, increased AST/ALT, and palmar-plantar erythrodysesthesia (PPE) were
more prevalent in Asian patients, whereas gastrointestinal toxicities were more
prevalent in non-Asian patients. Among Asian patients, hematologic adverse events
and most non-hematologic AEs were more common in sunitinib-treated versus
pazopanib-treated patients. Among Asian patients, the most common grade 3/4 AEs
with pazopanib were hypertension (grade 3, 22%) and alanine aminotransferase
increased (grade 3, 12%; grade 4, 1%); the most common grade 3/4 AEs with
sunitinib were thrombocytopenia/platelet count decreased (grade 3, 36%; grade 4,
10%), neutropenia/neutrophil count decreased (grade 3, 24%; grade 4, 3%)
hypertension (grade 3, 20%), and PPE (grade 3, 15%). CONCLUSIONS: A distinct
pattern and severity of adverse events was observed in Asians when compared with
non-Asians with both pazopanib and sunitinib. However, the two drugs were well
tolerated in both subpopulations. TRIAL REGISTRATION: ClinicalTrials.gov,
NCT00720941 , Registered July 22, 2008 ClinicalTrials.gov, NCT01147822 ,
Registered June 22, 2010.
PMID- 29788982
TI - TISU: Extracorporeal shockwave lithotripsy, as first treatment option, compared
with direct progression to ureteroscopic treatment, for ureteric stones: study
protocol for a randomised controlled trial.
AB - BACKGROUND: Urinary stone disease is very common with an estimated prevalence
among the general population of 2-3%. Ureteric stones are associated with severe
pain as they pass through the urinary tract and have significant impact on
patients' quality of life due to the detrimental effect on their ability to work
and need for hospitalisation. Most ureteric stones can be expected to pass
spontaneously with supportive care. However, between one-fifth and one-third of
cases require an intervention. The two standard active intervention options are
extracorporeal shockwave lithotripsy (ESWL) and ureteroscopic stone retrieval.
ESWL and ureteroscopy are effective in terms of stone clearance; however, they
differ in terms of invasiveness, anaesthetic requirement, treatment setting,
complications, patient-reported outcomes (e.g. pain after intervention, time off
work) and cost. There is uncertainty around which is the most clinically
effective in terms of stone clearance and the true cost to the NHS and to society
(in terms of impact on patient-reported health and economic burden). The aim of
this trial is to determine whether, in adults with ureteric stones, judged to
require active intervention, ESWL is not inferior and is more cost-effective
compared to ureteroscopic treatment as the initial management option. METHODS:
The TISU study is a pragmatic multicentre non-inferiority randomised controlled
trial of ESWL as the first treatment option compared with direct progression to
ureteroscopic treatment for ureteric stones. Patients aged over 16 years with a
ureteric stone confirmed by non-contrast computed tomography of the kidney,
ureter and bladder (CTKUB) will be randomised to either ESWL or ureteroscopy. The
primary clinical outcome is resolution of the stone episode (no further
intervention required to facilitate stone clearance) up to six months from
randomisation. The primary economic outcome is the incremental cost per quality
adjusted life years (QALYs) gained at six months from randomisation. DISCUSSION:
Determining whether ESWL is not inferior clinically and is cost-effective
compared to ureteroscopic treatment as the initial management in adults with
ureteric stones who are judged to require active treatment is relevant not only
to patients and clinicians but also to healthcare providers, both in the UK and
globally. TRIAL REGISTRATION: ISRCTN registry, ISRCTN92289221 . Registered on 21
February 2013.
PMID- 29788983
TI - Role of pulmonary hemodynamics in determining 6-minute walk test result in atrial
septal defect: an observational study.
AB - BACKGROUND: The presence of altered pulmonary hemodynamics in adult patients with
atrial septal defect (ASD) is common. However, there are no observational studies
which evaluate the impact of altered pulmonary hemodynamics on the 6-min walk
test (6MWT) result. This study aimed to investigate the role of pulmonary
hemodynamics in determining 6MWT result of patients with ASD. METHOD: Forty-six
consecutive adult patients with ASD were included in this study. Right heart
catheterization was performed to obtain the pulmonary hemodynamics profile.
Meanwhile, 6MWT was presented as high or low with cut-off point 350 m. Receiver
operating characteristic (ROC) was used for analytical methods. RESULT: Abnormal
functional capacity was indicated by ROC result of mPAP cut-off value of > 24
mmHg (p = 0.0243; AUC = 0.681). The value of PVR > 3.42 woods unit (WU) showed
high specificity in determining abnormal functional capacity (p = 0.0069; AUC =
0.713). Flow ratio with cut-off point <=4.89 had the highest sensitivity (100%)
(p = 0.8300; AUC = 0.520). CONCLUSION: Pulmonary hemodynamics can serve as an
indicator of 6MWT result in adult ASD patients with values of mPAP> 24 mmHg and
PVR > 3.42 WU.
PMID- 29788979
TI - MARCKS and MARCKS-like proteins in development and regeneration.
AB - BACKGROUND: The Myristoylated Alanine-Rich C-kinase Substrate (MARCKS) and MARCKS
like protein 1 (MARCKSL1) have a wide range of functions, ranging from roles in
embryonic development to adult brain plasticity and the inflammatory response.
Recently, both proteins have also been identified as important players in
regeneration. Upon phosphorylation by protein kinase C (PKC) or calcium-dependent
calmodulin-binding, MARCKS and MARCKSL1 translocate from the membrane into the
cytosol, modulating cytoskeletal actin dynamics and vesicular trafficking and
activating various signal transduction pathways. As a consequence, the two
proteins are involved in the regulation of cell migration, secretion,
proliferation and differentiation in many different tissues. MAIN BODY:
Throughout vertebrate development, MARCKS and MARCKSL1 are widely expressed in
tissues derived from all germ layers, with particularly strong expression in the
nervous system. They have been implicated in the regulation of gastrulation,
myogenesis, brain development, and other developmental processes. Mice carrying
loss of function mutations in either Marcks or Marcksl1 genes die shortly after
birth due to multiple deficiencies including detrimental neural tube closure
defects. In adult vertebrates, MARCKS and MARCKL1 continue to be important for
multiple regenerative processes including peripheral nerve, appendage, and tail
regeneration, making them promising targets for regenerative medicine.
CONCLUSION: This review briefly summarizes the molecular interactions and
cellular functions of MARCKS and MARCKSL1 proteins and outlines their vital roles
in development and regeneration.
PMID- 29788984
TI - F-18 FDG PET/CT in 26 patients with SAPHO syndrome: a new vision of clinical and
bone scintigraphy correlation.
AB - BACKGROUNDS: Whole-body bone scintigraphy (WBBS) and MRI are widely used in
assessment of patients with synovitis, acne, pustulosis, hyperostosis, and
osteitis (SAPHO) syndrome. However, the value of F-18 fluorodeoxyglucose-positron
emission tomography/computed tomography (18F-FDG PET/CT) in SAPHO syndrome was
unclear. The aim of this study was to characterize the manifestation of SAPHO
syndrome on 18F-FDG PET/CT and explore its relationship with clinical symptoms
and WBBS. METHODS: Twenty-six patients who suffered from SAPHO syndrome and had
undergone whole-body 18F-FDG PET/CT were recruited in Peking Union Medical
College Hospital from 2004 to 2016. Clinical manifestations and laboratory
findings were recorded for all patients. Imaging data on 18F-FDG PET/CT and WBBS
were collected and analyzed retrospectively. RESULTS: All the 26 patients (20
females and 6 males) exhibited skeletal abnormalities on 18F-FDG PET/CT. Multiple
skeletal lesions affecting the anterior chest wall or spine with low to moderate
18F-FDG uptake and coexistence of osteolysis and osteosclerosis presented as the
typical features of SAPHO syndrome. Sixteen (61.5%) patients had abnormal 18F-FDG
uptake outside the osteoarticular system. PET scan had moderate to substantial
agreement with CT and WBBS in revealing lesions in the anterior chest wall and
axial skeleton. Nonetheless, the correlation between increased 18F-FDG uptake and
clinical symptoms was weak. CONCLUSIONS: SAPHO syndrome exhibits characteristic
features on 18F-FDG PET/CT. It showed comparable capacity in revealing skeletal
lesions with bone scintigraphy.
PMID- 29788986
TI - Enzyme replacement therapy reduces the risk for wheelchair dependency in adult
Pompe patients.
AB - BACKGROUND: Pompe disease is a rare metabolic myopathy. In adult patients,
progressive weakness of limb-girdle and respiratory muscles often leads to
wheelchair and respirator dependency. Clinical studies have shown enzyme
replacement therapy (ERT) to positively affect motor and respiratory outcomes.
Here we investigate whether ERT reduces patients' risk of needing a wheelchair or
respirator. METHODS: Data were collected as part of a prospective international
survey, the IPA/Erasmus MC Pompe survey, which was conducted annually between
2002 and 2016. We excluded patients who were already using a wheelchair or
respirator, those under 18 at survey entry, and those who had missing
information. Time-dependent Cox proportional hazard models were used. RESULTS:
The inclusion criteria for analyzing the risk of wheelchair use were met by 189
patients (median age 47 years; range 18-75). During follow-up, 126 (67%) started
ERT. Over 1120 person-years of follow-up (median 5 years), 46 became wheelchair
dependent, 16 of whom used ERT. After adjustment for disease duration, sex and
country, ERT reduced the risk for wheelchair use (HR 0.36; 95% CI 0.17-0.75). For
analyses of respirator use, 177 patients met the inclusion criteria (median age
46 years; range 18-73). Over 1190 person-years of follow-up (median 6 years), 125
patients (71%) were treated and 48 started respiratory support, 28 of whom
received ERT. We found no association between ERT and the risk for respirator use
(HR 1.23; 95% CI 0.61-2.47). CONCLUSIONS: Our study found that ERT reduced the
risk for wheelchair dependency. We could not demonstrate an effect on respiratory
support.
PMID- 29788985
TI - Establishment of lung cancer patient-derived xenograft models and primary cell
lines for lung cancer study.
AB - BACKGROUND: The overall 5-year survival rate of lung cancer is about 15% even
with therapeutic drugs like tyrosine kinase inhibitors. Ideal models are urgently
needed for exploring mechanisms and finding new drugs. Patient-derived xenografts
(PDX) models and primary cells are both used to screen therapeutic regimens for
cancer. However, PDX models and primary cells from the same patient are difficult
to establish. Their consistency to the original tumor tissue is not well studied.
METHODS: 31 lung cancer patient tissues were procured to establish the lung
cancer PDX models and primary cell lines. Tumor growth measurements, histological
and immunohistochemistry analysis, Western blotting, EGFR and K-RAS mutation
detection and gefitinib sensitive assay were performed to evaluate the
characteristic of established PDX models. Immunofluorescence analysis, anchorage
independent cell growth, Western blotting and gefitinib sensitive assay were
performed to assay the characteristic of established primary cell lines. The
whole-exome sequencing was used to compare the characteristic of the patient's
tumor tissue, established PDX and primary cell line. RESULTS: Twenty-one lung
cancer PDX models (67.74%, 21/31) and ten primary cell lines (32.25%, 10/31) were
established from patients' tumor tissues. The histology and pathological
immunohistochemistry of PDX xenografts are consistent with the patients' tumor
samples. Various signal pathways were activated in different PDX models (n = 5)
and primary cell lines (n = 2). EGFR mutation PDX model and primary cell line
(LG1) were sensitive to gefitinib treatment. The expression of CK8/18, TTF1 and
NapsinA in LG1 and LG50 primary cells were also positive. And the activated
signal pathways were activated in LG1 and LG50 primary cell lines. Furthermore,
the gene mutation in PDX tumor tissues and primary cell line (LG50) was
consistent with the mutation in LG50 patient's tumor tissues. CONCLUSION: These
data suggested that established lung cancer PDX models and primary cell lines
reserved mostly molecular characteristics of primary lung cancer and could
provide a new tool to further understand the mechanisms and explore new
therapeutic strategies.
PMID- 29788987
TI - Efficacy of the Buzzy(r) device for pain management of children during needle
related procedures: a systematic review protocol.
AB - BACKGROUND: Needle-related procedures are the most important source of pain in
children in hospital setting. Unmanaged pain could result in short- and long-term
physiological, psychological, and emotional consequences. Although the efficacy
of numerous interventions has been evaluated, procedural pain management is often
suboptimal in children undergoing needle-related procedures. The main objective
of this systematic review is to examine the evidence for the efficacy of the
Buzzy(r) device for needle-related procedural pain in children. METHODS: An
electronic search will be conducted in the following databases: CENTRAL, PubMed,
MEDLINE, EMBASE, PsycInfo, and CINAHL. There will be no restriction regarding the
language, date of publication, and publication status. Eligible studies will be
randomized controlled trials using the Buzzy(r) device for pain management in
children undergoing needle-related procedures. Selection of studies, data
extraction and management, assessment of risk of bias and quality of evidence
will be performed by two independent reviewers. A third researcher will be
consulted in case of discrepancies. Depending on the availability and quality of
the data as well as clinical and statistical heterogeneity, a meta-analysis will
be performed. Otherwise, findings will be qualitatively reported. DISCUSSION:
This will be the first systematic review to examine the efficacy of the Buzzy(r)
device on pain management of children during needle-related procedures. Results
of this review will guide clinical practice and recommendations for further
research to improve procedural pediatric pain management. SYSTEMATIC REVIEW
REGISTRATION: PROSPERO CRD42017076531.
PMID- 29788988
TI - Prevalence and antimicrobial susceptibility patterns of extended spectrum beta
lactamase producing Entrobacteriaceae in the University of Gondar Referral
Hospital environments, northwest Ethiopia.
AB - OBJECTIVE: This study aimed at assessing the magnitude, distribution, and the
antimicrobial susceptibility of the extended spectrum beta-lactamase producing
Entrobacteriaceae in the University of Gondar Referral Hospital environments.
RESULTS: Out of a total of 384 samples, 14.8% were ESBL producing
Entrobacteriaceae, where 42.10% Klebsiella pneumoniae, 35.09% Escherchia coli and
7.01% Proteus mirabilis were the predominant isolates. Most ESBL producing
isolates, that is, 24.56, 22.8, and 22.8% were found from waste water, sinks and
bedside tables respectively. All ESBL producing Entrobacteriaceae were found to
be resistant to ceftriaxone, ceftazidime, cefpirome, cefpodoxime, and amoxicillin
with Clavulanic acid. Resistance rate was also high for non-beta-lactam
antimicrobials, like chloramphenicol (70.18%), cotrimoxazole (64.91%),
norfloxacin (42.10%), ciprofloxacin (43.86%), and gentamicin (19.30%).
PMID- 29788989
TI - Loop ostomy following laparoscopic low anterior resection for rectal cancer after
neoadjuvant chemoradiotherapy.
AB - BACKGROUND: Both loop ileostomy (LI) and loop transverse colostomy (LTC) could
achieve absolute fecal diversion and have several advantages. This study compared
LI and LTC following laparoscopic low anterior resection for rectal cancer after
neoadjuvant chemoradiotherapy. METHODS: Between January 2009 and December 2016,
186 patients who underwent laparoscopic low anterior resection for rectal cancer
and loop ostomy were included. All patients received preoperative neoadjuvant
chemoradiotherapy. Of these, 77 underwent LI and 109 underwent LTC. Demographic
characteristics, operative details, and complications were analyzed. RESULTS: In
the fecal diversion period, the LTC group showed significantly less dermatitis (p
= 0.001) and electrolyte disturbance (p = 0.002), while LI group showed
significantly shorter time to first defecation (p = 0.006) and lower incidence of
parastomal hernia (p = 0.014). In the stoma closure period, a significantly
higher incidence of wound infection was found in LTC group (p = 0.001).
CONCLUSIONS: Both LI and LTC have advantages and disadvantages. For its lower
wound infection rate, lower incidence of parastomal hernia, and shorter time to
first defecation, LI is recommended for all patients except those with potential
electrolyte disturbance and sensitive skin.
PMID- 29788990
TI - Impact of anticoagulation and vasoactive medication on regained radial artery
patency after catheterization: a case-control study.
AB - BACKGROUND: Radial artery access is the primary approach for coronary
interventions due to higher safety profile in comparison to femoral access.
Radial artery occlusion (RAO) is the main complication of transradial
catheterization that can lead to severe symptoms and a permanent artery
occlusion. The incidence of RAO after transradial access ranges from 5 to 38% and
data regarding treatment is scarce. Whether anticoagulation and vasoactive
medication provides an additional benefit in recovery of radial artery patency
(RAP) after catheterization has not been investigated in detail. AIM: The
objective was to investigate the impact of anticoagulation and vasoactive
medication on regained patency after documented RAO following transradial
catheterization. PATIENTS AND METHODS: Overall 2635 patients were screened. 2215
(84%) catheterizations were performed by femoral and 420 (16%) by radial access.
In 30 patients RAO was observed. In case of RAO patients were classified in three
groups: Anticoagulation, anticoagulation added with alprostadil and controls.
Follow-up was conducted after 3 months with ultrasound and clinical examination.
RESULTS: Eight patients received anticoagulation and 11 patients anticoagulation
together with alprostadil. Eleven patients served as controls. Recovery of RAP
after catheterization was higher following either treatment (79.5%) compared to
controls (0%, p = 0.006). Subgroup analysis yielded a higher RAP recovery in
patients treated with anticoagulation (62.5%) as compared to controls (0%, p =
0.002). No effect on regained RAP was found with additional alprostadil therapy
(33.3%) compared to anticoagulation therapy (62.5%, p = 0.229). CONCLUSION: RAO
should be treated with anticoagulation to regain patency. Addition of vasoactive
medication does not lead to further beneficial effects. Further research is
needed regarding preventive and therapeutic strategies following RAO.
PMID- 29788991
TI - Bilateral tympanokeratomas (cholesteatomas) with bilateral otitis media,
unilateral otitis interna and acoustic neuritis in a dog.
AB - BACKGROUND: An aural cholesteatoma, more appropriately named tympanokeratoma, is
an epidermoid cyst of the middle ear described in several species, including
dogs, humans and Mongolian gerbils. The cyst lining consists of stratified,
keratinizing squamous epithelium with central accumulation of a keratin debris.
This case report describes vestibular ganglioneuritis and perineuritis in a dog
with chronic otitis, bilateral tympanokeratomas and presumed extension of otic
infection to the central nervous system. CASE PRESENTATION: An 11-year-old intact
male Dalmatian dog with chronic bilateral otitis externa and sudden development
of symptoms of vestibular disease was examined. Due to the dog's old age the
owner opted for euthanasia without any further examination or treatment and the
dog was submitted for necropsy. Transection of the ears revealed grey soft
material in the external ear canals and pearly white, dry material consistent
with keratin in the tympanic bullae bilaterally. The brain and meninges were
grossly unremarkable. Microscopical findings included bilateral otitis externa
and media, unilateral otitis interna, ganglioneuritis and perineuritis of the
spiral ganglion of the vestibulocochlear nerve and multifocal to coalescing,
purulent meningitis. A keratinizing squamous epithelial layer continuous with the
external acoustic meatus lined the middle ear compartments, consistent with
bilateral tympanokeratomas. Focal bony erosion of the petrous portion of the
temporal bone and squamous epithelium and Gram-positive bacterial cocci were
evident in the left cochlea. The findings suggest that meningitis developed
secondary to erosion of the temporal bone and ganglioneuritis and/or perineuritis
of the vestibulocochlear nerve. CONCLUSIONS: Middle ear tympanokeratoma is an
important and potentially life-threatening otic condition in the dog. Once a
tympanokeratoma has developed expansion of the cyst can lead to erosion of bone
and extension of otic infection to the inner ear, vestibulocochlear ganglion and
nerve potentially leading to bacterial infection of the central nervous system.
PMID- 29788992
TI - Comparing case-control study for treatment of proximal tibia fractures with a
complete metaphyseal component in two centers with different distinct strategies:
fixation with Ilizarov frame or locking plates.
AB - BACKGROUND: The purpose of this study was to compare two methods of stabilization
for proximal tibia fractures (AO 41) with a complete metaphyseal component,
external fixation with the Ilizarov wire frame, and internal fixation with
locking plates. METHODS: Patients from two level 1 trauma centers treated between
2009 and 2015 were included in a retrospective comparing cohort study. The first
center stabilized the non-pathological, proximal tibia fractures exclusively with
external fixation and the second with internal plating. Combined clinically and
radiologically evaluated, bone healing was the primary outcome. The secondary
outcomes included complications, range of motion (ROM) and axial alignment of the
knee, the reoperation rate within 6 months, heterotopic ossifications (HTO), and
signs of posttraumatic osteoarthritis (PTOA). A logistic regression analysis
corrected for uneven distributed parameters. RESULTS: The 62 patients treated
with Ilizarov frame and the 68 patients treated with plate fixation were
comparable regarding epidemiological parameters, injury characteristics, and
comorbidity except for injury severity score (ISS) and smoking behavior. The time
of healing was shorter in the group undergoing plate fixation (p = 0.041);
however, the incidence of non-unions was equal. Furthermore, there was no
difference regarding the rate of deep infections, thrombosis, alignment,
reoperations, PTOA, and ROM. Heterotopic ossifications were more prevalent
following plate fixation (13.2 vs 1.6%, p = .013). External fixation was
associated with a higher rate of superficial infections (40.4 vs 2.9%, p = .000).
The initial displacement, the incidence of deep infections, and the
classification significantly influenced the incidence of non-unions in both
groups (p < 0.02). CONCLUSIONS: Fixation of proximal tibia fractures with plates
resulted in a slightly shorter healing time compared to Ilizarov frame
stabilization. Furthermore, the complication profiles differ with more
heterotopic ossifications and less superficial infections following internal
plating. TRIAL REGISTRATION: DRKS, DRKS00013275 , Registered 11/2/2017,
Retrospectively registered.
PMID- 29788993
TI - Acetylcholine receptor antagonists in acute respiratory distress syndrome: much
more than muscle relaxants.
AB - Acetylcholine receptor antagonists have been shown to improve outcome in patients
with severe acute respiratory distress syndrome. However, it is incompletely
understood how these agents improve outcome. In the current editorial, we discuss
the mechanisms of action of acetylcholine receptor antagonists beyond
neuromuscular blockade.
PMID- 29788994
TI - Tick abundance, pathogen prevalence, and disease incidence in two contrasting
regions at the northern distribution range of Europe.
AB - BACKGROUND: Emergence of tick-borne diseases is impacting humans and livestock
across the Northern Hemisphere. There are, however, large regional variations in
number of cases of tick-borne diseases. Some areas have surprisingly few cases of
disease compared to other regions. The aim here is to provide a first step
towards a better understanding of such contrasting regional patterns of disease
emergences at the northern distribution range of Ixodes ricinus in Europe.
METHODS: We compare disease incidence, vector abundance and pathogen prevalence
in eastern and western Norway differing in the number of tick-borne disease
cases. First, we analysed the incidence of Lyme borreliosis in humans, tick-borne
fever (anaplasmosis) in sheep and anaplasmosis and babesiosis in cattle to verify
if incidence differed. Secondly, we analysed extensive field data on questing
tick density, pathogen prevalence, as well as the broad spatial pattern of human
and livestock distribution as it may relate to tick exposure. RESULTS: The
incidences of all diseases were lower in eastern, compared to western, Norway,
but this was most marked for the livestock diseases. While the prevalence of
Borrelia burgdorferi (sensu lato) in ticks was similar in the two regions, the
prevalence of Anaplasma phagocytophilum was markedly lower in eastern, compared
to western, Norway. We found overall a lower abundance of questing nymphs in the
east. In the east, there were cases of babesiosis in cattle where anaplasmosis
was absent, suggesting absence of the pathogen rather than differences in
exposure to ticks as part of the explanation for the much lower incidence of
anaplasmosis in eastern Norway. CONCLUSIONS: Many factors contribute to different
disease incidence across ecosystems. We found that regional variation in tick
borne disease incidence may be partly linked to vector abundance and pathogen
prevalence, but differently for human and livestock diseases. Further studies are
needed to determine if there is also regional variation in specific genospecies
and strain frequencies differing in pathogenicity.
PMID- 29788995
TI - In vitro chemokine (C-C motif) receptor 6-dependent non-inflammatory chemotaxis
during spermatogenesis.
AB - BACKGROUND: Chemokine (C-C motif) receptor 6 (CCR6) is present in sperm and plays
a significant role in sperm motility and chemotaxis acting in the reproductive
tracts. However, the expression and functional significance of CCR6 in testis are
still poorly understood, especially in the process of spermatogenesis. METHODS
AND RESULTS: CCR6 was expressed in spermatogenic cell lines and its expression
was shown in an age-dependent upregulation manner from puberty to adulthood in
mouse testis. Immunostaining results confirmed the localization of CCR 6 in
testis. Further chemotaxis assays demonstrated that spermatogenic cells GC-1 and
2 exhibited a directional movement toward CCR6-specific ligand such as CCL20 or
Sertoli cells in vitro. CONCLUSIONS: The present findings indicate that CCR6 is
involved in the chemotaxis of spermatogenic cells in vitro and promotes
chemotaxis under non-inflammatory conditions during normal spermatogenesis.
PMID- 29788996
TI - Effectiveness and acceptance of a web-based depression intervention during
waiting time for outpatient psychotherapy: study protocol for a randomized
controlled trial.
AB - BACKGROUND: Due to limited resources, waiting periods for psychotherapy are often
long and burdening for those in need of treatment and the health care system. In
order to bridge the gap between initial contact and the beginning of
psychotherapy, web-based interventions can be applied. The implementation of a
web-based depression intervention during waiting periods has the potential to
reduce depressive symptoms and enhance well-being in depressive individuals
waiting for psychotherapy. METHODS: In a two-arm randomized controlled trial,
effectiveness and acceptance of a guided web-based intervention for depressive
individuals on a waitlist for psychotherapy are evaluated. Participants are
recruited in several German outpatient clinics. All those contacting the
outpatient clinics with the wish to enter psychotherapy receive study information
and a depression screening. Those adults (age >= 18) with depressive symptoms
above cut-off (CES-D scale > 22) and internet access are randomized to either
intervention condition (treatment as usual and immediate access to the web-based
intervention) or waiting control condition (treatment as usual and delayed access
to the web-based intervention). At three points of assessment (baseline, post
treatment, 3-months-follow-up) depressive symptoms and secondary outcomes, such
as quality of life, attitudes towards psychotherapy and web-based interventions
and adverse events are assessed. Additionally, participants' acceptance of the
web-based intervention is evaluated, using measures of intervention adherence and
satisfaction. DISCUSSION: This study investigates a relevant setting for the
implementation of web-based interventions, potentially improving the provision of
psychological health care. The results of this study contribute to the evaluation
of innovative and resource-preserving health care models for outpatient
psychological treatment. TRIAL REGISTRATION: This trial has been registered on 13
February 2017 in the German clinical trials register (DRKS); registration number
DRKS00010282 .
PMID- 29788998
TI - Depression screening and education: an examination of mental health literacy and
stigma in a sample of Hispanic women.
AB - BACKGROUND: Mental health literacy consists of knowledge of a mental disorder and
of the associated stigma. Barriers to depression treatment among Hispanic
populations include persistent stigma which is primarily perpetuated by
inadequate disease literacy and cultural factors. U.S.-born Hispanics are more
likely to have depression compared to Hispanics born in Latin America and are
less likely to follow a treatment plan compared to non-Hispanic whites. Hispanic
women are more likely to access treatment through a primary care provider, making
it an ideal setting for early mental health interventions. METHODS: Baseline data
from 319 female Hispanic patients enrolled in Project DESEO: Depression Screening
and Education: Options to Reduce Barriers to Treatment, were examined. The study
implemented universal screening with a self-report depression screening tool (the
9-item Patient Health Questionnaire (PHQ-9) and took place at one federally
qualified health center (FQHC) over a 24-month period. The current analysis
examined the relationship between four culturally adapted stigma measures and
depression knowledge, and tested whether mental health literacy was comparable
across education levels in a sample of Hispanic women diagnosed with depression.
RESULTS: Almost two-thirds of the sample had less than a high school education.
Depression knowledge scores were significantly, weakly correlated with each the
Stigma Concerns About Mental Health Care (rho = - .165, p = .003), Latino Scale
for Antidepressant Stigma (p = .124, p = .028), and Social Distance scores (p =
.150, p = .007). Depression knowledge (F[2, 312] = 11.82, p < .001, partial eta2
= .071), Social Distance scores (F[2, 312] = 3.34, p = .037, partial eta2 =
.021), and antidepressant medication stigma scores (F[2, 312] = 3.33, p = .037,
partial eta2 = .015) significantly varied by education category. Participants
with at least some college education reported significantly greater depression
knowledge and less stigma surrounding depression and medication than participants
with lower education levels. CONCLUSIONS: Primary care settings are often the
gateway to identifying undiagnosed mental health disorders, particularly for
Hispanic women with comorbid physical health conditions. This study is unique in
that it aims to examine the specific role of patient education level as a
predictor of mental health literacy. For Hispanic women, understanding the mental
health literacy of patients in a healthcare setting may improve quality of care
through early detection of symptoms, culturally effective education and
subsequent engagement in treatment. TRIAL REGISTRATION: The study was registered
with https://clinicaltrials.gov/: NCT02491034 July 2, 2015.
PMID- 29788999
TI - The first case of multiple pulmonary granulomas with amyloid deposition in a
dental technician; a rare manifestation as an occupational lung disease.
AB - BACKGROUND: Occupational lung diseases, such as pneumoconiosis, are one of the
health problems of dental workers that have been receiving increasing interest.
Pulmonary amyloidosis is a heterogenous group of diseases, and can be classified
into primary (idiopathic) and secondary (associated with various inflammatory
diseases, hereditary, or neoplastic). To date, the development of pulmonary
amyloidosis in dental workers has not been reported. CASE PRESENTATION: A 58-year
old Japanese female presented with chest discomfort and low-grade fever that has
persisted for 2 months. She was a dental technician but did not regularly wear a
dust mask in the workplace. Chest X ray and computed tomography revealed multiple
well-defined nodules in both lungs and fluorodeoxyglucose (FDG)-positron emission
tomography revealed abnormal FDG uptake in the same lesions with a maximal
standardized uptake value (SUV [max]) of 5.6. We next performed thoracoscopic
partial resection of the lesions in the right upper and middle lobes. The
histological examination of the specimens revealed granuloma formation with
foreign body-type giant cells and amyloid deposition that was confirmed by Congo
red staining and direct fast scarlet (DFS) staining that produce apple-green
birefringence under crossed polarized light. Because there were no other causes
underlying the pulmonary amyloidosis, we performed electron probe X-ray
microanalysis (EPMA) of the specimens and the result showed silica deposition in
the lesions. Based on these results, we finally diagnosed the patient with
pulmonary granulomas with amyloid deposition caused by chronic silica exposure.
Afterward, her symptoms were improved and the disease has not progressed for 2
years since proper measures against additional occupational exposure were
implemented. CONCLUSIONS: Our case presented three important clinical insights:
First, occupational exposure to silica in a dental workplace could be associated
with the development of amyloid deposition in lung. Second, EPMA was useful to
reveal the etiology of amyloid deposition in the lungs. Last, proper protection
against silica is important to prevent further progression of the disease. In
conclusion, our case suggested that occupational exposure to silica should be
considered when amyloid deposition of unknown etiology is found in the lungs of
working or retired adults.
PMID- 29789000
TI - Spontaneous splenic rupture complicating primary varicella zoster infection: a
case report.
AB - BACKGROUND: Primary varicella zoster virus (VZV) infection is a common illness,
predominantly affecting children. Its course is typically benign, although severe
complications have been described. Splenic rupture is an extremely rare and
potentially fatal complication of primary VZV infection, with only a handful of
cases reported in the literature. CASE PRESENTATION: A 32-year-old Romanian man
with no significant past medical history, presented with a 2 day history of
sudden onset, worsening generalised abdominal pain and a 1 day history of
vomiting. The following day he developed fevers and a generalised widespread
erythematous rash consisting of clusters of macules, papules and vesicles at
different stages of development. There was no history of sore throat, coryza,
arthralgia, myalgia, cough, shortness of breath, weight loss, or night sweats.
There was no recent illness and no history of trauma. CT abdomen showed splenic
rupture with intra-abdominal haemorrhage. Admission bloods showed anaemia and
thrombocytopenia, with haemoglobin 110 g/l and platelets 78 * 109/l. Viral PCR of
vesicle fluid from the rash was positive for VZV DNA confirming the clinical
diagnosis of primary varicella zoster infection. Viral serology also confirmed
recent infection. He was haemodynamically resuscitated, and underwent laparotomy
and splenectomy. He was commenced on IV acyclovir and completed a 5 day course.
Prior to discharge he was commenced on recommended splenectomy secondary
prevention treatment. CONCLUSION: There are several reported complications of
varicella infection, more commonly in the immunocompromised population.
Spontaneous splenic rupture is an unusual complication of primary VZV infection.
Here we report the sixth known case in the literature. Splenic rupture should be
considered in cases of primary varicella in young adults presenting with
abdominal pain.
PMID- 29789001
TI - Dissemination and use of WHO family planning guidance and tools: a qualitative
assessment.
AB - BACKGROUND: As countries continue to improve their family planning (FP)
programmes, they may draw on WHO's evidence-based FP guidance and tools (i.e.
materials) that support the provision of quality FP services. METHODS: To better
understand the use and perceived impact of the materials and ways to strengthen
their use by countries, we conducted qualitative interviews with WHO regional
advisors, and with stakeholders in Ethiopia and Senegal who use WHO materials.
RESULTS: WHO uses a multi-faceted strategy to directly and indirectly disseminate
materials to country-level decision-makers. The materials are used to develop
national family planning guidelines, protocols and training curricula.
Participants reported that they trust the WHO materials because they are evidence
based, and that they adapt materials to the country context (e.g. remove content
on methods not available in the country). The main barrier to the use of national
materials is resource constraints. CONCLUSIONS: Although the system and processes
for dissemination work, improvements might contribute to increased use of the
materials. For example, providers may benefit from additional guidance on how to
counsel women with characteristics or medical conditions where contraceptive
method eligibility criteria do not clearly rule in or rule out a method.
PMID- 29788997
TI - 2D versus 3D human induced pluripotent stem cell-derived cultures for
neurodegenerative disease modelling.
AB - Neurodegenerative diseases, such as Alzheimer's disease (AD), Parkinson's disease
(PD), Huntington's disease (HD) and amyotrophic lateral sclerosis (ALS), affect
millions of people every year and so far, there are no therapeutic cures
available. Even though animal and histological models have been of great aid in
understanding disease mechanisms and identifying possible therapeutic strategies,
in order to find disease-modifying solutions there is still a critical need for
systems that can provide more predictive and physiologically relevant results.
One possible avenue is the development of patient-derived models, e.g. by
reprogramming patient somatic cells into human induced pluripotent stem cells
(hiPSCs), which can then be differentiated into any cell type for modelling.
These systems contain key genetic information from the donors, and therefore have
enormous potential as tools in the investigation of pathological mechanisms
underlying disease phenotype, and progression, as well as in drug testing
platforms. hiPSCs have been widely cultured in 2D systems, but in order to mimic
human brain complexity, 3D models have been proposed as a more advanced
alternative. This review will focus on the use of patient-derived hiPSCs to model
AD, PD, HD and ALS. In brief, we will cover the available stem cells, types of 2D
and 3D culture systems, existing models for neurodegenerative diseases, obstacles
to model these diseases in vitro, and current perspectives in the field.
PMID- 29789002
TI - The impact of economic and social factors on the prevalence of hepatitis B in
Turkey.
AB - BACKGROUND: Viral Hepatitis is one of the major global health problems, affecting
millions of people every year. Limited information is available on the impact of
social and economic factors on the prevalence of Hepatitis B virus (HBV) in
Turkey. This study, contrary to other studies in the literature, was undertaken
with the aim of examining the Majority of the excluded data come from the
volunteers. METHODS: There are medical and the social-economic factors affecting
the prevalence of HBV. This research, while taking medical factors as control
variables, clarify the social and economic factors affecting the prevalence of
HBV by utilising clinical data with the use of the Binary Probit Model (BPM). The
BPM estimation is a powerful tool to determine not only the factors but explain
also the exact impacts of each factor. RESULTS: The estimations of the BPM shows
that economic and social variables such as age, gender, migration, education,
awareness, social welfare, occupation are very important factors for determining
HBV prevalence. Compared to the youngest population, the 46 to 66+ age group has
a higher prevalence of HBV. The male respondents were 5% more likely to develop
HBV compared to females. When region-specific differences are taken into account,
migrating from the poorest parts of the country such as the eastern and south
eastern regions of Turkey are approximately 16% more likely to be infected. The
welfare indicators such as a higher number of rooms in the respondent's house or
flat decreases the probability of having HBV and, relatively higher income groups
are less likely to develop HBV compared to labourers. The Self-employed/Business
owner/Public sector worker category are approximately 10% less likely to develop
HBV. When people are aware of the methods of prevention of HBV, they are 6% less
likely to be infected. Previous HBV infection history increases the probability
of having HBV again B by 17%. CONCLUSIONS: These findings strongly suggest that
the impact of social and economic factors on the prevalence of HBV is vital. Any
improvements in these factors are likely to reduce prevalence of HBV.
PMID- 29789003
TI - Mothers' autonomy and childhood stunting: evidence from semi-urban communities in
Lao PDR.
AB - BACKGROUND: Childhood stunting (height-for-age z-scores below - 2), a form of
chronic undernutrition, remains a global health burden. Although a growing
literature has examined the association between mothers' autonomy and childhood
stunting, these studies have been limited to countries in South Asia or Sub
Saharan Africa where women have relatively lower social status than do men.
Little research has analyzed the effect of mothers' autonomy on childhood
stunting in Lao PDR, where women's social status is relatively high compared to
that in other countries. METHODS: We conducted a cross-sectional questionnaire
and body scale measurement targeting 100 mothers and their 115 children (<5 years
old) from semi-urban communities in Lao PDR, which is the country with the
highest prevalence of childhood stunting in the Indochina region. As dimensions
of women's autonomy, we measured self-esteem, self-efficacy, decision-making
power, freedom of mobility, and control of money. We then analyzed how each
dimension was associated with the likelihood of childhood stunting. RESULTS: The
likelihood of childhood stunting was significantly lower if mothers had higher
self-efficacy for health care (OR = 0.15, p = 0.007), self-esteem (OR = 0.11, p =
0.025), or control of money (OR = 0.11, p = 0.041). In contrast, mothers'
decision-making power and freedom of mobility were not significantly associated
with childhood stunting. CONCLUSIONS: We clarified which dimensions of women's
autonomy were associated with childhood stunting in Lao PDR. A closer examination
of mothers' autonomy will aid proper understanding of the determinants of
childhood stunting.
PMID- 29789004
TI - A population-based study of the risk of osteoporosis and fracture with
dutasteride and finasteride.
AB - BACKGROUND: Dutasteride is a potent inhibitor of 5-alpha reductase enzymes that
reduces concentrations of dihydrotestosterone to a greater extent than
finasteride. Whether this has adverse implications for bone health is unknown. We
compared the risk of osteoporosis and fractures in older men treated with
dutasteride or finasteride. METHODS: We conducted a population-based
retrospective cohort study with high-dimensional propensity score matching of
Ontario men aged 66 years or older who started treatment with dutasteride or
finasteride between January 1, 2006 and December 31, 2012. The primary outcome
was a diagnosis of osteoporosis within 2 years of treatment initiation. A
secondary outcome was osteoporotic or fragility fractures. RESULTS: We studied
31,615 men treated with dutasteride and an equal number of men treated with
finasteride. Dutasteride-treated patients had a lower incidence of osteoporosis
than those receiving finasteride [2.2 versus 2.6 per 100 person years; hazard
ratio (HR) 0.82; 95% confidence interval (CI) 0.72 to 0.93]. This effect was no
longer statistically significant following adjustment for specialty of
prescribing physician (HR 0.90; 95% CI 0.78 to 1.02)]. There was no differential
risk of fractures with dutasteride (HR 1.04; 95% 0.86 to 1.25). CONCLUSIONS:
Despite differential effects on 5-alpha reductase, dutasteride is not associated
with an increased risk of osteoporosis or fractures in older men relative to
finasteride. These findings suggest that dutasteride does not adversely affect
bone health.
PMID- 29789005
TI - Ultrasonography in diagnosis and analysis of chronic pain following anterior open
inguinal herniorrhaphy.
AB - BACKGROUND: Chronic pain as a complication following inguinal herniorrhaphy has
attracted increasing attention in recent years. There is evidence that the
chronic pain seriously affects patients' quality of life. However, there are few
imaging studies and diagnostic techniques of the chronic pain. The aim of this
study is to explore the etiology and to analysis ultrasonographic imaging
description of chronic pain following anterior open inguinal herniorrhaphy.
METHODS: One hundred fifty two patients with the chronic pain following anterior
open inguinal herniorrhaphy were performed by ultrasonography to identify the
main causes of postoperative chronic pain. Positive ultrasonic diagnoses were
confirmed to be correct by the pain relieved when the patients underwent re
operation and other clinical operations. Positive diagnoses which appeared
simultaneously were grouped for pairwise comparisons. RESULTS: Two hundred
sixteen positive ultrasonic diagnoses, 12 categories of postoperative chronic
pain were found. They were encapsulated effusion, scrotal wall edema, testitis,
hydrocele testis, restricted motion of spermatic cord at the reconstructed deep
inguinal ring, varicocele, scar sutured into pubic tubercle, shrinking mesh,
accumulational mesh or mesh plug, recurrent hernia, cyst of spermatic cord and
epididymal cyst. In the pairwise comparison groups, encapsulated effusion with
scrotal wall edema, varicocele with restricted motion of spermatic cord at the
reconstructed deep inguinal ring, and shrinking mesh with recurrent hernia had
significant differences in each intragroup comparisons(P < 0.05). CONCLUSIONS:
Ultrasonography provieds important value in the diagnosis of chronic pain
following anterior open inguinal herniorrhaphy. Some positive diagnoses occur
simultaneously, which is necessary for doctors to consider comprehensively.
PMID- 29789006
TI - Outcomes of lung transplantation in adults with bronchiectasis.
AB - BACKGROUND: Lung transplantation is a well-established treatment for end-stage
non-cystic fibrosis bronchiectasis (BR), though information regarding outcomes of
transplantation remains limited. Our results of lung transplantation for Br are
reported here. METHODS: A retrospective review of case notes and transplantation
databases was conducted for patients that had underwent lung transplantation for
bronchiectasis at the Freeman Hospital between 1990 and 2013. RESULTS: Fourty two
BR patients underwent lung transplantation, the majority (39) having bilateral
sequential lung transplantation. Mean age at transplantation was 47.1 years. Pre
transplantation osteoporosis was a significant non-pulmonary morbidity (48%).
Polymicrobial infection was common, with Pseudomonas aeruginosa infection
frequently but not universally observed (67%). Forced expiratory volume in 1
second (% predicted) improved from a pre-transplantation mean of 0.71 L (22%
predicted) to 2.56 L (79 % predicted) at 1-year post-transplantation. Our
survival results were 74% at 1 year, 64% at 3 years, 61% at 5 years and 48% at 10
years. Sepsis was a common cause of early post-transplantation deaths.
CONCLUSIONS: Lung transplantation for end-stage BR is a useful therapeutic
option, with good survival and lung function outcomes. Survival values were
similar to other bilateral lung transplants at our centre. Pre-transplantation
Pseudomonas infection is common.
PMID- 29789007
TI - Site-dependent differences in the composite fibers of male pelvic plexus
branches: an immunohistochemical analysis of donated elderly cadavers.
AB - BACKGROUND: Although the pelvic autonomic plexus branches are considered to be a
mixture of sympathetic and parasympathetic nerves, little is known regarding the
composite fibers of the pelvic plexus branches. This study aimed to investigate
the immunohistochemical features of sympathetic and parasympathetic nerves in the
pelvic autonomic plexus branches. METHODS: Using 10 donated elderly male
cadavers, the detailed topohistology of nerve fibers at and around the bladder,
seminal vesicle, prostate, and rectum was examined. Neuronal nitric oxide
synthase (nNOS) and vasoactive intestinal polypeptide (VIP) were used as
parasympathetic nerve markers; tyrosine hydroxylase (TH) was used as a
sympathetic nerve marker. The myenteric plexus of the colon was utilized as a
positive control. RESULTS: Most nerve fibers in the bladder, seminal vesicle,
prostate, and rectum were both nNOS- and TH-positive. Thus, pelvic plexus
branches were classified into two types: 1) triple-positive mixed nerves (nNOS+,
VIP+, TH+, thick myelinated fibers + or -) and 2) double-positive mixed nerves
(nNOS+, VIP-, TH+, thick myelinated fibers + or -). Notably, triple-positive
nerves were localized within the posterosuperior part of the plexus (near the
rectum) and travelled anteroinferiorly toward the posterolateral corner of the
prostate. The posteriorly and inferiorly located nerves were predominantly
composed of parasympathetic, rather than sympathetic, fibers. In contrast, nerve
fibers within and along the bladder and seminal vesicle contained either no or
few VIP-positive nerves. These superiorly located nerves were characterized by
clear sympathetic nerve dominance. CONCLUSIONS: The nerves of the pelvic plexus
branches were clearly classified into nerves around the bladder and seminal
vesicle (VIP-negative) and nerves around the prostate (VIP-positive). Although
nNOS- and VIP-positive nerve fibers are candidate cavernous nerves, cavernous
nerve identity cannot be definitively concluded for these nerves in the
periprostatic region.
PMID- 29789009
TI - Correction to: Antibiotic resistance pattern and virulence genes content in avian
pathogenic Escherichia coli (APEC) from broiler chickens in Chitwan, Nepal.
AB - The original article [1] contains errors in author panels and their
contributions, errors in both the Methodology and the Results sections, and
errors with respect to funding sources. The affected sections of the manuscript
and their respective regions of corrected text can be viewed ahead.
PMID- 29789008
TI - Pharmacological effects of N-[2-[[2-[2-[(2,6
dichlorophenyl)amino]phenyl]acetyl]oxy]ethyl]hyaluronamide (diclofenac
Etalhyaluronate, SI-613), a novel sodium hyaluronate derivative chemically linked
with diclofenac.
AB - BACKGROUND: Osteoarthritis (OA) is the most common joint disorder worldwide and
one of the leading causes of disability in the elderly. We have investigated the
novel sodium hyaluronate derivative chemically linked with diclofenac (DF),
diclofenac etalhyaluronate (SI-613), which is a potentially safer and more
effective treatment for OA knee pain. In this study, we evaluated the
pharmacological effects of SI-613 in experimental arthritis models. METHODS: We
compared the analgesic and anti-inflammatory effects of intra-articularly
administered SI-613, hyaluronic acid (HA), and of orally administered diclofenac
sodium (DF-Na) in rat silver nitrate-induced arthritis model and rabbit antigen
induced arthritis model. RESULTS: A single intra-articular (IA) administration of
SI-613 significantly suppressed pain responses in rats in a dose-dependent
manner. The analgesic effects were greater than those of HA, a mixture of DF-Na
and HA, or an oral once-daily administration of DF-Na. In the rabbit arthritis
model, SI-613 significantly reduced knee joint swelling compared with that in the
control group on day 1 after a single IA injection. This significant anti
inflammatory effect was observed until day 28. In the pharmacokinetic study, the
DF concentration in the synovium after SI-613 administration reached its maximum
concentration of 311.6 ng/g on day 1, and gradually declined to 10 ng/g by day
28. It fell below the lower limit of quantification on day 35. Thus, a clear
correlation was found between pharmacokinetics and pharmacodynamics. These
results demonstrate that SI-613 exerts its long-lasting and potent anti
inflammatory effect by sustainable release of DF in the knee joint tissues.
CONCLUSION: A single IA injection of SI-613 was shown to exert analgesic and anti
inflammatory effects for 28 days in non-clinical pharmacological studies,
suggesting that SI-613 will be a promising candidate in the treatment of
osteoarthritis pain.
PMID- 29789010
TI - Perceived behavioural predictors of late initiation to HIV/AIDS care in Gurage
zone public health facilities: a cohort study using health belief model.
AB - OBJECTIVE: The study was aimed to measure incidence density rate and identify
perceived behavioural believes of late initiation to HIV/AIDS care in Gurage zone
public health facilities from September 2015 to November 2016. RESULTS: The
incidence density rates of late initiation to HIV/AIDS care were 2.21 per 100
person-months of observation. HIV positive individuals who did not perceived
susceptibility were 8.46 times more likely delay to start HIV/AIDS care than
their counter parts [OR = 8.46 (95% CI 3.92, 18.26)]. HIV infected individuals
who did not perceived severity of delayed ART initiation were 6.13 time more
likely to delay than HIV infected individuals who perceived its severity [OR =
6.13 (95% CI 2.95, 12.73)]. HIV positive individuals who didn't have self
efficacy were 2.35 times more likely delay to start HIV/AIDS care than HIV
positive individuals who have self-efficacy [OR = 2.35 (95% CI 1.09, 5.05)].
CONCLUSIONS: The study revealed that high incidence density rates of delayed
initiation for HIV care and variations were explained by poor wealth, and
perceived threat and benefit. Therefore, interventions should be designed to
initiate care at their diagnosis time.
PMID- 29789011
TI - Novel Phenoxazinones as potent agonist of PPAR-alpha: design, synthesis,
molecular docking and in vivo studies.
AB - BACKGROUND: The use of statin, a 3-hydroxy-3-methylglutaryl coenzyme A reductase
inhibitor for the treatment of dyslipidemia has been associated with dose
limiting hepatoxicity, mytotoxicity and tolerability due to myalgias thereby
necessitating the synthesis of new drug candidates for the treatment of lipid
disorder. METHODS: The reaction of appropriate benzenesulphonamide with
substituted phenoxazinone in the presence of phenylboronic acid gave the targeted
compounds. The molecular docking study were carried out using autodock tool
against peroxisome proliferator activated receptor alpha. The in vivo lipid
profile were assayed using conventional methods. The kidney and liver function
test were carried out to assess the effect of the derivatives on the organs. The
LD50 of the most active derivatives were determined using mice. RESULTS: The
targeted compounds were successfully synthesized in excellent yields and
characterized using spectroscopic techniques. The results of the molecular
docking experiment showed that they were good stimulant of peroxisome
proliferator activated receptor alpha. Compound 9f showed activity at Ki of 2.8
nM and binding energy of 12.6 kcal/mol. All the compounds tested reduced
triglyceride, total cholesterol, low density lipoprotein cholesterol and very low
density lipoprotein cholesterol level in the mice model. Some of the reported
compounds also increased high density lipoprotein cholesterol level in the mice.
The compounds did not have appreciable effect on the kidney and liver of the mice
used. The LD50 showed that the novel compounds have improved toxicity profile.
CONCLUSION: The synthesis of fifteen new derivatives of carboxamides bearing
phenoxazinone and sulphonamide were successful. The compounds possessed
comparable activity to gemfibrozil. The reported compounds had better toxicity
profile than gemfibrozil and could serve as a replacement for the statins and
fibrate class of lipid agents.
PMID- 29789012
TI - Novel TNF receptor-1 inhibitors identified as potential therapeutic candidates
for traumatic brain injury.
AB - BACKGROUND: Traumatic brain injury (TBI) begins with the application of
mechanical force to the head or brain, which initiates systemic and cellular
processes that are hallmarks of the disease. The pathological cascade of
secondary injury processes, including inflammation, can exacerbate brain injury
induced morbidities and thus represents a plausible target for pharmaceutical
therapies. We have pioneered research on post-traumatic sleep, identifying that
injury-induced sleep lasting for 6 h in brain-injured mice coincides with
increased cortical levels of inflammatory cytokines, including tumor necrosis
factor (TNF). Here, we apply post-traumatic sleep as a physiological bio
indicator of inflammation. We hypothesized the efficacy of novel TNF receptor
(TNF-R) inhibitors could be screened using post-traumatic sleep and that these
novel compounds would improve functional recovery following diffuse TBI in the
mouse. METHODS: Three inhibitors of TNF-R activation were synthesized based on
the structure of previously reported TNF CIAM inhibitor F002, which lodges into a
defined TNFR1 cavity at the TNF-binding interface, and screened for in vitro
efficacy of TNF pathway inhibition (IkappaB phosphorylation). Compounds were
screened for in vivo efficacy in modulating post-traumatic sleep. Compounds were
then tested for efficacy in improving functional recovery and verification of
cellular mechanism. RESULTS: Brain-injured mice treated with Compound 7 (C7) or
SGT11 slept significantly less than those treated with vehicle, suggesting a
therapeutic potential to target neuroinflammation. SGT11 restored cognitive,
sensorimotor, and neurological function. C7 and SGT11 significantly decreased
cortical inflammatory cytokines 3 h post-TBI. CONCLUSIONS: Using sleep as a bio
indicator of TNF-R-dependent neuroinflammation, we identified C7 and SGT11 as
potential therapeutic candidates for TBI.
PMID- 29789013
TI - Prognostic significance of PD-L1 expression and CD8+ T cell infiltration in
pulmonary neuroendocrine tumors.
AB - BACKGROUND: Recent research supports a significant role of immune checkpoint
inhibitors in the treatment of solid tumors. However, relevant reports for
programmed death-ligand 1 (PD-L1) and CD8+ tumor-infiltrating lymphocytes (TILs)
in pulmonary neuroendocrine tumors (PNETs) have not been fully studied.
Therefore, we investigated PNETs for the expression of PD-L1 and infiltration by
CD8+ TILs as well as the prognostic value of both factors. METHODS: In total, 159
specimens of PNETs (35 TC, 2 AC, 28 LCNEC, 94 SCLC) were included in this study.
Immunohistochemistry (IHC) was used to detect the expression of PD-L1 in these
cases. Cases demonstrating >=5% tumor cell expression or any expression (> 1%) of
PD-L1 on immune cells were considered positive. CD8+ TILs both within stroma and
tumor areas of invasive carcinoma were analyzed using whole-slide digital
imaging. Manual regional annotation and machine cell counts were performed for
each case. RESULTS: Positive expression of PD-L1 was observed in 72 cases
(45.3%), including 9 cases (5.7%) with expression exclusively on tumor cells, 46
cases (28.9%) with expression exclusively on immune cells, and 17 cases (10.7%)
with the expression on tumor cells and immune cells. PD-L1 expression was
associated with necrosis (p < 0.001), high pathologic grade (p < 0.001) and
histologic type (p < 0.001). No correlation was observed with overall survival
(OS) (p = 0.158) or progression-free survival (PFS) (p = 0.315). In contrast,
higher CD8+ T cell density was associated with the absence of vascular invasion
(p = 0.004), histologic type (p = 0.005), negative lymph node metastasis (p =
0.005) and lower clinical staging (p = 0.007). Moreover, multivariate analysis
revealed that CD8+ stromal TIL was an independent prognostic factor for improved
OS (p = 0.009) and PFS (p = 0.002). CONCLUSION: PD-L1 was expressed in
approximately half of the PNETs. The majority of the expression was observed in
immune cells. Positive expression of PD-L1 showed no correlation with OS or PFS,
while higher CD8+ TILs within stroma was proved to be an independent prognostic
factor for favorable OS and PFS of PNETs.
PMID- 29789016
TI - Unraveling bacterial fingerprints of city subways from microbiome 16S gene
profiles.
AB - BACKGROUND: Microbial communities can be location specific, and the abundance of
species within locations can influence our ability to determine whether a sample
belongs to one city or another. As part of the 2017 CAMDA MetaSUB Inter-City
Challenge, next generation sequencing (NGS) data was generated from swipe samples
collected from subway stations in Boston, New York City hereafter New York, and
Sacramento. DNA was extracted and Illumina sequenced. Sequencing data was
provided for all cities as part of 2017 CAMDA contest challenge dataset. RESULTS:
Principal component analysis (PCA) showed clear clustering of the samples for the
three cities, with a substantial proportion of the variance explained by the
first three components. We ran two different classifiers and results were robust
for error rate (< 6%) and accuracy (> 95%). The analysis of variance (ANOVA)
demonstrated that overall, bacterial composition across the three cities is
significantly different. A similar conclusion was reached using a novel bootstrap
based test using diversity indices. Last but not least, a co-abundance
association network analyses for the taxonomic levels "order", "family", and
"genus" found different patterns of bacterial networks for the three cities.
CONCLUSIONS: Bacterial fingerprint can be useful to predict sample provenance. In
this work prediction of provenance reported with over 95% accuracy. Association
based network analysis, emphasized similarities between the closest cities
sharing common bacterial composition. ANOVA showed different patterns of
bacterial amongst cities, and these findings strongly suggest that bacterial
signature across multiple cities are different. This work advocates a data
analysis pipeline which could be followed in order to get biological insight from
this data. However, the biological conclusions from this analysis is just an
early indication out of a pilot microbiome data provided to us through CAMDA 2017
challenge and will be subject to change as we get more complete data sets in the
near future. This microbiome data can have potential applications in forensics,
ecology, and other sciences. REVIEWERS: This article was reviewed by Klas Udekwu,
Alexandra Graf, and Rafal Mostowy.
PMID- 29789014
TI - A review of scoring systems for ocular involvement in chronic cutaneous bullous
diseases.
AB - BACKGROUND: Epidermolysis bullosa (EB) and autoimmune blistering diseases (AIBD)
describe a group of rare chronic dermatoses characterized by cutaneous fragility
and blistering. Although uncommon, significant ocular surface disease (OSD) may
occur in both and require ophthalmological assessment. Disease scoring systems
have a critical role in providing objective and accurate assessment of disease
severity. The objectives of this report were, firstly, to document the prevalence
and severity of ocular involvement in EB/AIBD. Secondly, to review and evaluate
existing ocular and systemic scoring systems for EB/AIBD. Finally, to identify
areas where further development of ocular specific tools in EB/AIBD could be
pursued. METHODS: A literature search was performed in October 2017 utilising
Medline, Embase, and Scopus databases. The results were restricted by date of
publication, between 01.01.1950 and 31.10.2017. The reference lists of these
articles were then reviewed for additional relevant publications. Articles of all
languages were included if an English translation was available. Articles were
excluded if they were duplicates, had no reference to ocular involvement in
EB/AIBD or described ocular involvement in other diseases. RESULTS: Descriptions
of ocular involvement in EB/AIBD were identified in 88 peer-reviewed journal
articles. Findings reported include but are not limited to: cicatrising
conjunctivitis, meibomian gland dysfunction, dry eye disease, trichiasis,
symblepharon, fornix fibrosis, keratopathy, ectropion/entropion, ankyloblepharon,
corneal ulceration, visual impairment and blindness. Although scoring systems
exist for assessment of OSD in mucous membrane pemphigoid, no such tools exist
for the other AIBD subtypes or for EB. Several systemic scoring systems exist in
the dermatological literature that are efficacious in grading overall EB/AIBD
severity, but have limited inclusion of ocular features. To the best of our
knowledge, there is no recognised or validated scoring systems which
comprehensively stages or grades the spectrum of ocular manifestations in
EB/AIBD. CONCLUSIONS: There are a range of ocular complications documented in EB
and AIBD. Development of a comprehensive ocular scoring system for EB/AIBD which
incorporates the delineation between 'activity' and 'damage' would facilitate
more objective patient assessment, improved longitudinal monitoring, comparison
of intervention outcomes, and provide commonality for discussion of these
patients due to the multidisciplinary nature of their care.
PMID- 29789015
TI - Pharmacomicrobiomics: exploiting the drug-microbiota interactions in anticancer
therapies.
AB - Cancer is a major health burden worldwide, and despite continuous advances in
medical therapies, resistance to standard drugs and adverse effects still
represent an important cause of therapeutic failure. There is a growing evidence
that gut bacteria can affect the response to chemo- and immunotherapeutic drugs
by modulating either efficacy or toxicity. Moreover, intratumor bacteria have
been shown to modulate chemotherapy response. At the same time, anticancer
treatments themselves significantly affect the microbiota composition, thus
disrupting homeostasis and exacerbating discomfort to the patient. Here, we
review the existing knowledge concerning the role of the microbiota in mediating
chemo- and immunotherapy efficacy and toxicity and the ability of these
therapeutic options to trigger dysbiotic condition contributing to the severity
of side effects. In addition, we discuss the use of probiotics, prebiotics,
synbiotics, postbiotics, and antibiotics as emerging strategies for manipulating
the microbiota in order to improve therapeutic outcome or at least ensure
patients a better quality of life all along of anticancer treatments.
PMID- 29789018
TI - Pain clinic definitions in the medical literature and U.S. state laws: an
integrative systematic review and comparison.
AB - BACKGROUND: In response to widespread opioid misuse, ten U.S. states have
implemented regulations for facilities that primarily manage and treat chronic
pain, called "pain clinics." Whether a clinic falls into a state's pain clinic
definition determines the extent to which it is subject to oversight. It is
unclear whether state pain clinic definitions model those found in the medical
literature, and potential differences lead to discrepancies between scientific
and professionally guided advice found in the medical literature and actual pain
clinic practice. Identifying discrepancies could assist states to design laws
that are more compatible with best practices suggested in the medical literature.
METHODS: We conducted an integrative systematic review to create a taxonomy of
pain clinic definitions using academic medical literature. We then identified
existing U.S. state pain clinic statutes and regulations and compared the
developed taxonomy using a content analysis approach to understand the extent to
which medical literature definitions are reflected in state policy. RESULTS: In
the medical literature, we identified eight categories of pain clinic
definitions: 1) patient case mix; 2) single-modality treatment; 3)
multidisciplinary treatment; 4) interdisciplinary treatment; 5) provider
supervision; 6) provider composition; 7) marketing; and 8) outcome. We identified
ten states with pain clinic laws. State laws primarily include the following
definitional categories: patient case mix; single-modality treatment, and
marketing. Some definitional categories commonly found in the medical literature,
such as multidisciplinary treatment and interdisciplinary treatment, rarely
appear in state law definitions. CONCLUSIONS: This is the first study to our
knowledge to develop a taxonomy of pain clinic definitions and to identify
differences between pain clinic definitions in U.S. state law and medical
literature. Future work should explore the impact of different legal pain clinic
definitions on provider decision-making and state-level health outcomes.
PMID- 29789017
TI - Leveraging routine clinical materials and mobile technology to assess CBT
fidelity: the Innovative Methods to Assess Psychotherapy Practices (imAPP) study.
AB - BACKGROUND: Identifying scalable strategies for assessing fidelity is a key
challenge in implementation science. However, for psychosocial interventions, the
existing, reliable ways to test treatment fidelity quality are often labor
intensive, and less burdensome strategies may not reflect actual clinical
practice. Cognitive behavioral therapies (CBTs) provide clinicians with a set of
effective core elements to help treat a multitude of disorders, which, evidence
suggests, need to be delivered with fidelity to maximize potential client impact.
The current "gold standard" for rating CBTs is rating recordings of therapy
sessions, which is extremely time-consuming and requires a substantial amount of
initial training. Although CBTs can vary based on the target disorder, one common
element employed in most CBTs is the use of worksheets to identify specific
behaviors and thoughts that affect a client's ability to recover. The present
study will develop and evaluate an innovative new approach to rate CBT fidelity,
by developing a universal CBT scoring system based on worksheets completed in
therapy sessions. METHODS: To develop a scoring system for CBT worksheets, we
will compile common CBT elements from a variety of CBT worksheets for a range of
psychiatric disorders and create adherence and competence measures. We will
collect archival worksheets from past studies to test the scoring system and
assess test-retest reliability. To evaluate whether CBT worksheet scoring
accurately reflects clinician fidelity, we will recruit clinicians who are
engaged in a CBT for depression, anxiety, and/or posttraumatic stress disorder.
Clinicians and clients will transmit routine therapy materials produced in
session (e.g., worksheets, clinical notes, session recordings) to the study team
after each session. We will compare observer-rated fidelity, clinical notes, and
fidelity-rated worksheets to identify the most effective and efficient method to
assess clinician fidelity. Clients will also be randomly assigned to either
complete the CBT worksheets on paper forms or on a mobile application (app) to
learn if worksheet format influences clinician and client experience or differs
in terms of reflecting fidelity. DISCUSSION: Scoring fidelity using CBT
worksheets may allow clinics to test fidelity in a short and effective manner,
enhancing continuous quality improvement in the workplace. Clinicians and clinics
can use such data to improve clinician fidelity in real time, leading to improved
patient outcomes. TRIAL REGISTRATION: ClinicalTrials.gov NCT03479398 .
Retrospectively registered March 20, 2018.
PMID- 29789019
TI - Adolescents' perceptions and experiences of pregnancy in refugee and migrant
communities on the Thailand-Myanmar border: a qualitative study.
AB - BACKGROUND: Adolescent pregnancy remains a global health concern, contributing to
11% of all births worldwide and 23% of the overall burden of disease in girls
aged 15-19 years. Premature motherhood can create a negative cycle of adverse
health, economic and social outcomes for young women, their babies and families.
Refugee and migrant adolescent girls might be particularly at risk due to
poverty, poor education and health infrastructure, early marriage, limited access
to contraception and traditional beliefs. This study aims to explore adolescents'
perceptions and experiences of pregnancy in refugee and migrant communities on
the Thailand-Myanmar border. METHODS: In June 2016 qualitative data were
collected in one refugee camp and one migrant clinic along the Thailand-Myanmar
border by conducting 20 individual interviews with pregnant refugee and migrant
adolescents and 4 focus group discussions with husbands, adolescent boys and non
pregnant girls and antenatal clinic staff. Inductive thematic analysis was used
to identify codes and themes emerging from the data. RESULTS: Study participants
perceived adolescent pregnancy as a premature life event that could jeopardise
their future. Important themes were premarital sex, forced marriage, lack of
contraception, school dropout, fear of childbirth, financial insecurity, support
structures and domestic violence. Supportive relationships with mothers, husbands
and friends could turn this largely negative experience into a more positive one.
The main underlying reasons for adolescent pregnancy were associated with
traditional views and stigma on sexual and reproductive health issues, resulting
in a knowledge gap on contraception and life skills necessary to negotiate sexual
and reproductive choices, in particular for unmarried adolescents. CONCLUSIONS:
Adolescents perceive pregnancy as a challenging life event that can be addressed
by developing comprehensive adolescent-friendly sexual and reproductive health
services and education in refugee and migrant communities on the Thailand-Myanmar
border. Creating a more tolerant and less stigmatising environment in these
communities and their governing bodies will help to achieve this goal.
PMID- 29789020
TI - Influenza vaccination of cancer patients during PD-1 blockade induces serological
protection but may raise the risk for immune-related adverse events.
AB - BACKGROUND: Immune checkpoint inhibiting antibodies were introduced into routine
clinical practice for cancer patients. Checkpoint blockade has led to durable
remissions in some patients, but may also induce immune-related adverse events
(irAEs). Lung cancer patients show an increased risk for complications, when
infected with influenza viruses. Therefore, vaccination is recommended. However,
the efficacy and safety of influenza vaccination during checkpoint blockade and
its influence on irAEs is unclear. Similarly, the influence of vaccinations on T
cell-mediated immune reactions in patients during PD-1 blockade remains poorly
defined. METHODS: We vaccinated 23 lung cancer patients and 11 age-matched
healthy controls using a trivalent inactivated influenza vaccine to investigate
vaccine-induced immunity and safety during checkpoint blockade. RESULTS: We did
not observe significant differences between patients and healthy controls in
vaccine-induced antibody titers against all three viral antigens. Influenza
vaccination resulted in protective titers in more than 60% of
patients/participants. In cancer patients, the post-vaccine frequency of irAEs
was 52.2% with a median time to occurrence of 3.2 months after vaccination. Six
of 23 patients (26.1%) showed severe grade 3/4 irAEs. This frequency of irAEs
might be higher than the rate previously published in the literature and the rate
observed in a non-study population at our institution (all grades 25.5%, grade
3/4 9.8%). CONCLUSIONS: Although this is a non-randomized trial with a limited
number of patients, the increased rate of immunological toxicity is concerning.
This finding should be studied in a larger patient population.
PMID- 29789023
TI - Iron supplementation has minor effects on gut microbiota composition in
overweight and obese women in early pregnancy.
AB - Fe is an essential nutrient for many bacteria, and Fe supplementation has been
reported to affect the composition of the gut microbiota in both Fe-deficient and
Fe-replete individuals outside pregnancy. This study examined whether the dose of
Fe in pregnancy multivitamin supplements affects the overall composition of the
gut microbiota in overweight and obese pregnant women in early pregnancy. Women
participating in the SPRING study with a faecal sample obtained at 16 weeks'
gestation were included in this substudy. For each subject, the brand of
multivitamin used was recorded. Faecal microbiome composition was assessed by 16S
rRNA sequencing and analysed with the QIIME software suite. Dietary intake of Fe
was assessed using a FFQ at 16 weeks' gestation. Women were grouped as receiving
low (<60 mg/d, n 94) or high (>=60 mg/d; n 65) Fe supplementation. The median
supplementary Fe intake in the low group was 10 (interquartile range (IQR) 5-10)
v. 60 (IQR 60-60) mg/d in the high group (P<0.001). Dietary Fe intake did not
differ between the groups (10.0 (IQR 7.4-13.3) v. 9.8 (IQR 8.2-13.2) mg/d). Fe
supplementation did not significantly affect the composition of the faecal
microbiome at any taxonomic level. Network analysis showed that the gut
microbiota in the low Fe supplementation group had a higher predominance of SCFA
producers. Pregnancy multivitamin Fe content has a minor effect on the overall
composition of the gut microbiota of overweight and obese pregnant women at 16
weeks' gestation.
PMID- 29789022
TI - Healthcare disparities amongst vulnerable populations of Arabs and Jews in
Israel.
AB - The complex nature of studying health and healthcare disparities in general, and
in the context of the Israeli healthcare system in particular, is depicted in two
recent IJHPR articles. The first examines Emergency Department (ED) waiting times
in a tertiary children's hospital and the second examines disparities in the
health care for people with schizophrenia of an ethnic-national minority.
Contrary to other Israeli studies on wide disparities in health and healthcare,
these studies show no disparities - ED waiting times did not differ among Arab
and Jewish children and report no differences in performance of Hemoglobin A1C
tests or in surgical interventions in patients with cardiovascular disease
between Arabs and Jews with schizophrenia. Thus, the studies reflect areas of
equitable health care delivery within the Israeli healthcare system.Future
studies should account for the fact that the phenomena of health and healthcare
disparities is complex and should utilize rigorous methodologies to take into
consideration the various factors that may affect the manifestation of
differences amongst population groups. As a result, they may help detect
disparities which may otherwise be missed.
PMID- 29789021
TI - Epidermal growth factor receptor (EGFR) T790M mutation identified in plasma
indicates failure sites and predicts clinical prognosis in non-small cell lung
cancer progression during first-generation tyrosine kinase inhibitor therapy: a
prospective observational study.
AB - INTRODUCTION: Plasma circulating tumor DNA (ctDNA) is an ideal approach to
detecting the epidermal growth factor receptor (EGFR) T790M mutation, which is a
major mechanism of resistance to first-generation EGFR-tyrosine kinase inhibitor
(TKI) therapy. The present study aimed to explore the association of ctDNA
identified T790M mutation with disease failure sites and clinical prognosis in
non-small cell lung cancer (NSCLC) patients. METHODS: Patients who progressed on
first-generation TKIs were categorized into failure site groups of chest limited
(CF), brain limited (BF) and other (OF). Amplification refractory mutation system
(ARMS) and droplet digital PCR (ddPCR) were used to identify the T790M mutation
in ctDNA. Prognosis was analyzed with Kaplan-Meier methods. RESULTS: Overall
concordance between the two methods was 78.3%. According to both ARMS and ddPCR,
patients in the OF group had a significantly higher rate of T790M mutation than
did patients in the BF and CF groups (P < 0.001), and a significantly higher
T790M mutation rate was also observed in OF-group patients than in those in the
CF and BF groups (P < 0.001). AZD9291 was found to be an excellent treatment
option and yielded the longest survival for T790M+ patients in all groups who had
progressed on EGFR-TKIs; for other treatments, the prognosis of T790M- patient
subgroups varied. CONCLUSIONS: The present study demonstrates that T790M mutation
in ctDNA is associated with failure sites for NSCLC patients after EGFR-TKI
therapy and indicates that both failure site and T790M mutational status greatly
influence treatment selection and prognosis.
PMID- 29789024
TI - A STUDY OF THE HERITABILITY OF INTELLIGENCE IN SUDAN.
AB - SummaryIntelligence was assessed using the Standard Progressive Matrices in 316
MZ and 550 same-sex DZ twins with a mean age of 10 years in Sudan. Heritability
was estimated at 0.172 and shared environmental influences at 0.596.
PMID- 29789025
TI - Tethered Balloon Technology in Design Solutions for Rescue and Relief Team
Emergency Communication Services.
AB - The actions taken at the initial times of a disaster are critical. Catastrophe
occurs because of terrorist acts or natural hazards which have the potential to
disrupt the infrastructure of wireless communication networks. Therefore,
essential emergency functions such as search, rescue, and recovery operations
during a catastrophic event will be disabled. We propose tethered balloon
technology to provide efficient emergency communication services and reduce
casualty mortality and morbidity for disaster recovery. The tethered balloon is
an actively developed research area and a simple solution to support the
performance, facilities, and services of emergency medical communication. The
most critical requirement for rescue and relief teams is having a higher quality
of communication services which enables them to save people's lives. Using our
proposed technology, it has been reported that the performance of rescue and
relief teams significantly improved. OPNET Modeler 14.5 is used for a network
simulated with the help of ad hoc tools (Disaster Med Public Health Preparedness.
2018;page 1 of 8).
PMID- 29789026
TI - Communication Barrier in Family Linked to Increased Risks for Food Insecurity
among Deaf Adults who use American Sign Language - CORRIGENDUM.
PMID- 29789027
TI - Ethanolamine enhances intestinal functions by altering gut microbiome and mucosal
anti-stress capacity in weaned rats.
AB - Ethanolamine (Etn) contained in milk is the base constituent of
phosphatidylethanolamine and is required for the proliferation of intestinal
epithelial cells and bacteria, which is important for maintenance of the gut
microbiome and intestinal development. The present study investigated the effect
of Etn on intestinal function and microbiome using 21-d-old Sprague-Dawley rats
treated with 0, 250, 500 and 1000 MUm Etn in drinking water for 2 weeks
immediately after weaning. Growth performance, intestinal morphology, antioxidant
capacity and mucosal immunity, as well as gut microbiota community composition,
were evaluated. Metagenomic prediction and metabolic phenotype analysis based on
16S RNA sequencing were also carried out to assess changes in metabolic
functions. We found that weaned rats administered 500 MUm Etn enhanced mucosal
antioxidant capacity, as evidenced by higher superoxide dismutase and glutathione
peroxidase levels in the jejunum (P<0.05) compared with those in the control
group. Predominant microbes including Bacteroidetes, Proteobacteria,
Elusimicrobia and Tenericutes were altered by different levels of Etn compared
with the control group. An Etn concentration of 500 um shifted colonic microbial
metabolic functions that are in favour of lipid- and sugar-related metabolism and
biosynthesis. Etn also altered the metabolic phenotypes such as anaerobic
microbial counts, and oxidative stress tolerance at over 250 um. This is the
first report for a role of Etn in modifying gut microbiota and intestinal
functions. Our findings highlighted the important role of Etn in shaping gut
microbial community and promotes intestinal functions, which may provide a better
insight of breast-feeding to infant's gut health.
PMID- 29789028
TI - Association between serum magnesium and blood lipids: influence of type 2
diabetes and central obesity.
AB - To assess the changes in the relationship between serum Mg and blood lipids of
Chinese adults with type 2 diabetes (T2D) or central obesity, a total of 8163
subjects (mean age 59.6 years, 54.9 % men) were analysed. Participants were
classified according to blood Mg (below 0.65 mmol/l, 0.65-0.95 mmol/l and above
0.95 mmol/l), T2D (yes/no) and central obesity (yes/no). Blood lipids (TAG, total
cholesterol (TC), HDL-cholesterol and LDL-cholesterol) were determined by
standardised methods and conditions. A significant increase in blood lipids, with
the exception of HDL-cholesterol, across progressive Mg groups in all subjects
was noted (P0.05). TAG, TC, HDL-cholesterol and LDL-cholesterol were
significantly higher among subjects with T2D than those without T2D (P<0.05).
Multivariable models for TAG and LDL-cholesterol failed to attain statistical
significance in diabetics, by using a generalised linear or parsimonious model.
TAG, TC, HDL-cholesterol and LDL-cholesterol were significantly higher among
subjects with T2D or central obesity. Blood lipids, with the exception of HDL
cholesterol, were associated with serum Mg, but this association was somehow
influenced by T2D in LDL-cholesterol. In addition, multivariable models for both
TAG and LDL-cholesterol failed to attain statistical significance among subjects
with T2D, different from subjects without T2D.
PMID- 29789030
TI - Yes, not now, or never: an analysis of reasons for refusing or accepting
emergency department-based take-home naloxone.
AB - CLINICIAN'S CAPSULE What is known about the topic? Not all patients at risk of
opioid overdose accept emergency department (ED)-based take-home naloxone (THN).
What did this study ask? Why do at-risk ED patients refuse or accept THN? What
did this study find? Those refusing THN felt: 1) not at risk of overdose; or 2)
their ED visit was not the right time or place for THN. Those accepting THN
wanted to save the lives of others. Why does this study matter to clinicians?
Those refusing ED THN may accept THN elsewhere if referred to appropriate
community services for overdose risk education and THN distribution.
PMID- 29789029
TI - Pediatric Brain Development in Down Syndrome: A Field in Its Infancy.
AB - OBJECTIVES: As surprisingly little is known about the developing brain studied in
vivo in youth with Down syndrome (DS), the current review summarizes the small DS
pediatric structural neuroimaging literature and begins to contextualize existing
research within a developmental framework. METHODS: A systematic review of the
literature was completed, effect sizes from published studies were reviewed, and
results are presented with respect to the DS cognitive behavioral phenotype and
typical brain development. RESULTS: The majority of DS structural neuroimaging
studies describe gross differences in brain morphometry and do not use advanced
neuroimaging methods to provide nuanced descriptions of the brain. There is
evidence for smaller total brain volume (TBV), total gray matter (GM) and white
matter, cortical lobar, hippocampal, and cerebellar volumes. When reductions in
TBV are accounted for, specific reductions are noted in subregions of the frontal
lobe, temporal lobe, cerebellum, and hippocampus. A review of cortical lobar
effect sizes reveals mostly large effect sizes from early childhood through
adolescence. However, deviance is smaller in adolescence. Despite these smaller
effects, frontal GM continues to be largely deviant in adolescence. An
examination of age-frontal GM relations using effect sizes from published studies
and data from Lee et al. (2016) reveals that while there is a strong inverse
relationship between age and frontal GM volume in controls across childhood and
adolescence, this is not observed in DS. CONCLUSIONS: Further developmentally
focused research, ideally using longitudinal neuroimaging, is needed to elucidate
the nature of the DS neuroanatomic phenotype during childhood and adolescence.
(JINS, 2018, 24, 966-976).
PMID- 29789032
TI - Neuropsychological correlates of instrumental activities of daily living in
neurocognitive disorders: a possible role for executive dysfunction and mood
changes.
AB - ABSTRACTSince baseline executive dysfunction predicts worsening Instrumental
Activities of Daily Living (i-ADL) over time and progression to Alzheimer's
Disease (AD), we aimed to analyze the role of neuropsychological variables to
outline which factors can contribute to functional impairment. Specific attention
to executive functions (EFs) has been given.A total of 144 subjects complaining
of different cognitive deficits - ranging from "MCI likely due to AD" to "mild AD
patients" - underwent an overall neuropsychological assessment. The Behavioral
Assessment of the Dysexecutive Syndrome was used to analyze EFs. We conducted
multiple linear regression analyses to study whether the level of independent
living skills - assessed with the Lawton-scale - could be associated with
cognitive and behavioral measurements.We found a significant association between
i-ADL and specific EFs measured by Rule Shift Cards (p = 0.04) and Modified Six
Elements (p = 0.02). Moreover, considering i-ADL scores, we observed an
involvement of mood changes and a reduced awareness of deficits in terms of
Hamilton Depression Rating Scale (p = 0.02) and Awareness of Deficit
Questionnaire - Dementia scale (p < 0.0001), respectively.Our results suggest the
importance of considering the association between a reduction in i-ADL and
executive dysfunction in patients who have AD etiopathology, for which the
ability to inhibit a response, self-monitoring, set-shifting and mood deflection
play a key role. Besides, no straightforward associations between i-ADL scores
and global cognition, memory, language comprehension, attention, and perspective
taking abilities were found.
PMID- 29789031
TI - Diurnal behaviors and herd characteristics of dairy cows housed in a compost
bedded pack barn system under hot and humid conditions.
AB - With international demand for production systems aimed at thermal comfort and
animal welfare, milk producers have become increasingly interested in compost
barns. However, doubts about the behavioral aspects of cows in tropical and
subtropical climates remain, because the compost barn system offers a larger bed
area per animal at a lower stocking rate. The objective of this study was to
evaluate whether the diurnal behaviors, hygiene and lameness of crossbred dairy
cows are influenced by different number of lactations when housed in a compost
bedded pack barn system under hot and humid conditions. Crossbred cows (Holstein
and Jersey), which were divided into two treatments based on number of lactations
(primiparous and multiparous cows), were evaluated during lactation (n=12). The
study was conducted from September 2015 to February 2016 in a compost barn in the
southwest region of the state of Parana, Brazil. Daytime behavior was observed
between milking schedules using focal observations with 0/1 sampling. Cow hygiene
and lameness were evaluated using subjective scores ranging from 1 to 4 and 1 to
5, respectively. Animal behavior and welfare was analyzed using Bayesian
inference with a mixed effects model. The probabilities of dyspnea and pushing
behaviors were higher (P<0.05) in multiparous cows, and the probability increased
during the hottest hours of the day. For both multiparous and primiparous cows,
the agonistic behaviors of pushing, butting and chasing peaked during the
afternoon. Eating behaviors had the highest likelihood values (0.8 at 0800 h).
The cows preferred to remain lying down in the morning, while rest and standing
rumination were preferred in the afternoon. Primiparous cows were cleaner than
multiparous cows, and the hygiene score for this group was significantly lower
(P<0.05). The hygiene and lameness scores for all animals were low, and the
highest scores were 1 and 2. In conclusion, multiparous cows exhibited more
frequent agonistic behaviors during the hottest hours of the day. Regarding
hygiene and lameness scores, multiparous cows exhibited a higher degree of
dirtiness compared with the primiparous cows.
PMID- 29789033
TI - The neurological examination adapted for neuropsychiatry.
AB - The neuropsychiatric examination includes standard neurological and cognitive
examination techniques with several additional observations and tasks designed to
capture abnormalities common among patients with neuropsychiatric disorders or
neurocognitive complaints. Although useful as a screening tool, a single
standardized rating scale such as the Mini Mental State Examination (MMSE) or the
Montreal Cognitive Assessment (MoCA) is insufficient to establish a
neuropsychiatric diagnosis. Extra attention is paid to findings commonly seen in
the setting of psychiatric disorders, dementias, movement disorders, or
dysfunction of cortical or subcortical structures. Dysmorphic features,
dermatologic findings, neurodevelopmental signs, signs of embellishment, and
expanded neurocognitive testing are included. The neuropsychiatric clinician
utilizes the techniques described in this article to adapt the examination to
each patient's situation, choosing the most appropriate techniques to supplement
the basic neurological and psychiatric examinations in support of diagnostic
hypotheses being considered. The added examination techniques facilitate
diagnosis of neurocognitive disorders and enable neuropsychiatric formulation.
PMID- 29789034
TI - Pharmacological properties of cannabidiol in the treatment of psychiatric
disorders: a critical overview.
AB - Cannabidiol (CBD) represents a new promising drug due to a wide spectrum of
pharmacological actions. In order to relate CBD clinical efficacy to its
pharmacological mechanisms of action, we performed a bibliographic search on
PUBMED about all clinical studies investigating the use of CBD as a treatment of
psychiatric symptoms. Findings to date suggest that (a) CBD may exert
antipsychotic effects in schizophrenia mainly through facilitation of
endocannabinoid signalling and cannabinoid receptor type 1 antagonism; (b) CBD
administration may exhibit acute anxiolytic effects in patients with generalised
social anxiety disorder through modification of cerebral blood flow in specific
brain sites and serotonin 1A receptor agonism; (c) CBD may reduce withdrawal
symptoms and cannabis/tobacco dependence through modulation of endocannabinoid,
serotoninergic and glutamatergic systems; (d) the preclinical pro-cognitive
effects of CBD still lack significant results in psychiatric disorders. In
conclusion, current evidences suggest that CBD has the ability to reduce
psychotic, anxiety and withdrawal symptoms by means of several hypothesised
pharmacological properties. However, further studies should include larger
randomised controlled samples and investigate the impact of CBD on biological
measures in order to correlate CBD's clinical effects to potential modifications
of neurotransmitters signalling and structural and functional cerebral changes.
PMID- 29789035
TI - The effect of transportation and lairage on faecal shedding and carcass
contamination with Escherichia coli O157 and O26 in very young calves in New
Zealand.
AB - The effect of transportation and lairage on the faecal shedding and post
slaughter contamination of carcasses with Escherichia coli O157 and O26 in young
calves (4-7-day-old) was assessed in a cohort study at a regional calf-processing
plant in the North Island of New Zealand, following 60 calves as cohorts from six
dairy farms to slaughter. Multiple samples from each animal at pre-slaughter
(recto-anal mucosal swab) and carcass at post-slaughter (sponge swab) were
collected and screened using real-time PCR and culture isolation methods for the
presence of E. coli O157 and O26 (Shiga toxin-producing E. coli (STEC) and non
STEC). Genotype analysis of E. coli O157 and O26 isolates provided little
evidence of faecal-oral transmission of infection between calves during
transportation and lairage. Increased cross-contamination of hides and carcasses
with E. coli O157 and O26 between co-transported calves was confirmed at pre-hide
removal and post-evisceration stages but not at pre-boning (at the end of
dressing prior to chilling), indicating that good hygiene practices and
application of an approved intervention effectively controlled carcass
contamination. This study was the first of its kind to assess the impact of
transportation and lairage on the faecal carriage and post-harvest contamination
of carcasses with E. coli O157 and O26 in very young calves.
PMID- 29789036
TI - Dietary CP and amino acid restriction has a different impact on the dynamics of
protein, amino acid and fat deposition in entire male, castrated and female pigs.
AB - Breeding efforts over the last decades altered markedly empty body (EB)
composition of pigs. This study aimed to re-evaluate the dynamics of changes in
the composition and deposition rate of fat, protein and amino acids (AA) in the
EB from birth to 140 kg BW depending on the dietary CP and AA supply in a current
pig genotype. In the experiment 66 entire male, 58 castrated and 66 female Swiss
Large White pigs were used. From 20 kg BW onwards, they had either ad libitum
access to a control (C) diet or a diet (LP) compared to diet C only 80% of CP,
lysine, methione+cystine, threonine and tryptophan. The EB composition was
determined at birth on eight boars and eight females, at 10 and 20 kg BW on two
boars, two castrates and two females, and at 20 kg intervals from 40 to 140 kg
BW, on four pigs per gender and dietary treatment. Each EB fraction was weighed
and analysed for protein, fat and AA profile. The AA-to-lysine ratio was
calculated and the different chemical component contents were fitted to
allometric regressions. Overall, C-boars had the greatest EB protein and AA
content and deposition rates, and lowest fat content and deposition rates. At the
beginning of the grower period, LP-castrates and females displayed the lowest
protein and AA and the highest fat deposition rates. However, compared with their
counterparts in the C-group, in LP-castrates and females protein and AA
deposition rates were greater above 64 and 40 kg EB weight, respectively, whereas
fat deposition rates was lower above 80 kg EB weight. Thus, there seems a great
potential to optimise protein and AA efficiency especially in the finisher period
in castrates and females. Important individual variations were found in the
essential AA-to-lysine ratio of the EB. Phenylalanine and threonine-to-lysine
ratios decreased with increasing EB weight. Valine- and threonine-to-lysine
ratios in C-castrates and C-females were 5% and 4% greater than recently reported
by the National Research Council (NRC) whereas cysteine-, methionine- and
tyrosine-to-lysine ratios were lower by 34%, 25% and 10%, respectively. The clear
differences found between the EB AA-to-lysine ratios in the present study and the
NRC might partly be explained by the genotype and the temporal changes in the
relative weight of each EB fraction or changes in the AA profile. Nevertheless,
these findings on changes in the essential AA profile of tissue protein warrant
further studies.
PMID- 29789037
TI - A comparison of statistical and machine-learning techniques in evaluating the
association between dietary patterns and 10-year cardiometabolic risk (2002
2012): the ATTICA study.
AB - Statistical methods are usually applied in examining diet-disease associations,
whereas factor analysis is commonly used for dietary pattern recognition.
Recently, machine learning (ML) has been also proposed as an alternative
technique in health classification. In this work, the predictive accuracy of
statistical v. ML methodologies as regards the association of dietary patterns on
CVD risk was tested. During 2001-2002, 3042 men and women (45 (sd 14) years) were
enrolled in the ATTICA study. In 2011-2012, the 10-year CVD follow-up was
performed among 2020 participants. Item Response Theory was applied to create a
metric of combined 10-year cardiometabolic risk, the 'Cardiometabolic Health
Score', that incorporated incidence of CVD, diabetes, hypertension and
hypercholesterolaemia. Factor analysis was performed to extract dietary patterns,
on the basis of either foods or nutrients consumed; linear regression analysis
was used to assess their association with the cardiometabolic score. Two ML
techniques (k-nearest-neighbor's algorithm and random-forests decision tree) were
applied to evaluate participants' health based on dietary information. Factor
analysis revealed five and three factors from foods and nutrients, respectively,
explaining 54 and 65 % of the total variation in intake. Nutrient and food
pattern regression models showed similar accuracy in correctly classifying an
individual according to the cardiometabolic risk (R 2=9.6 % and R 2=8.3 %,
respectively). ML techniques were superior compared with linear regression in
correct classification of the individuals according to the Health Score (accuracy
approximately 38 v. 6 %, respectively), whereas the two ML methods showed equal
classification ability. Conclusively, ML methods could be a valuable tool in the
field of nutritional epidemiology, leading to more accurate disease-risk
evaluation.
PMID- 29789038
TI - Pain management practices surrounding lumbar punctures in children: A survey of
Canadian emergency physicians.
AB - CLINICIAN'S CAPSULE What is known about the topic? Few physicians adhere to
recommendations surrounding analgesia for pediatric lumbar punctures (LPs). What
did this study ask? How willing are emergency physicians to provide analgesia for
pediatric LPs? What did this study find? Compared to older children, the
willingness to provide analgesia was suboptimal in a young infant. Why does this
study matter to clinicians? Knowledge translation should focus on dispelling
misconceptions and emphasize the importance of analgesia for young infants
undergoing LPs.
PMID- 29789039
TI - Prospective association between adherence to dietary recommendations and incident
depressive symptoms in the French NutriNet-Sante cohort.
AB - A posteriori healthier dietary patterns and several nutrients have been
associated with lower risks of depression in various studies; however, evidence
is lacking with regard to the prospective association between adherence to
nutritional recommendations (food-based and nutrient-based recommendations) and
incident depression or depressive symptoms. In this study, we investigate such
associations in the NutriNet Sante cohort. The study sample included 26 225
participants (aged 18-86 years) who were initially free of depressive symptoms.
Adherence to nutritional recommendations was measured by four scores namely
modified French Programme National Nutrition Sante-Guideline Score (mPNNS-GS),
Alternative Healthy Eating Index-2010 (AHEI-2010), Probability of Adequate
Nutrient Intake Dietary Score (PANDiet) and Diet Quality Index-International (DQI
I), using non-consecutive dietary record data during the first 2 years of follow
up (mean number of recording days=8, sd 2). Depressive symptoms were defined by a
Center for Epidemiologic Studies Depression Scale (CES-D) score >=17 for men and
>=23 for women. We used Cox proportional hazards models to estimate hazard ratios
and 95 % CI, modelling the dietary scores as standardised continuous variables
and as tertiles. Over a mean follow-up of 6 years, we identified 2166 incident
cases of depressive symptoms. All dietary scores with the exception of the AHEI
2010 were significantly inversely associated with incident depressive symptoms.
In the fully adjusted model, an increase of 1 sd in the mPNNS-GS, PANDiet and DQI
I was, respectively, associated with an 8 % (95 % CI 4, 13), 5 % (95 % CI 1, 9)
and 9 % (95 % CI 5, 13) reduction in the risk of depressive symptoms. Overall,
these findings suggest that diet in accordance with national or international
guidelines could have beneficial effects with regard to mental health.
PMID- 29789040
TI - Adsorption and Oxidation of As(III) on Iron (Hydro)Oxides.
AB - Iron (hydro)oxides, including poorly crystalline ferrihydrite and the more
crystalline forms, hematite and magnetite, play an important role in the
biogeochemical cycling of arsenic in aquatic environments. In this study,
adsorption and oxidation experiments for As(III) were performed on ferrihydrite,
hematite, and magnetite, respectively. The results showed that the three iron
(hydro)oxides acted as a catalyst for the oxidation of As(III) in the presence of
oxygen. The variation in the oxidation states of As(III) on iron (hydro)oxides
were confirmed by X-ray Absorption Near-Edge Structure (XANES) spectra.
Adsorption kinetics of As(III) followed a pseudo-second-order equation in the
three iron (hydro)oxides systems. Oxidation of As(III) on the three iron
(hydro)oxides was observed by the determination of total As(V) concentration. The
pseudo-first-order equations satisfactorily described the oxidation kinetics
data. The oxidation rate constants in the different iron (hydro)oxide systems
followed the order: hematite > ferrihydrite > magnetite, that is, 0.0111, 0.0021,
and 0.0009 h-1, respectively.
PMID- 29789041
TI - Effect of Water Quality and Temperature on the Efficiency of Two Kinds of
Hydrophilic Polymers in Soil.
AB - In this study, evaluation of two-superabsorbent effects, Super-AB-A-300 and
Super-AB-A-200 in a sandy soil on the water retention capability and saturated
hydraulic conductivity (Ks) at different water quality and soil temperature were
done. The Super-AB-A-200 was less effective in water uptake than Super-AB-A-300.
The efficiency of these polymers in water retention was negatively influenced by
the water quality and temperature. The efficiency of these polymer treatments in
water uptake reduced significantly (P < 0.05) with increasing soil temperature.
In the control soil, the Ks stayed nearly constant with increasing soil
temperature. As compared to the untreated control, the treated soil demonstrated
a significant (P < 0.05) linear increase of Ks with increasing soil temperature.
In the control soil, the water holding properties curve did not change with
increasing soil temperature.
PMID- 29789042
TI - Phytoplankton Functional Groups Variation and Influencing Factors in a Shallow
Temperate Lake.
AB - The present study was carried out in Luoma Lake, a shallow lake in temperate
eastern China. Based on a two-year study, the dynamics of phytoplankton
functional groups and influencing factors were analyzed. A total of 178 taxa were
identified and sorted into 20 codons, according to the phytoplankton functional
group classification. In order to find the environmental factors driving
phytoplankton variations, fifteen groups were analyzed in detail using redundancy
analysis. Groups P (Fragilaria crotonensis), X2 (Chlamydomonas globosa, C.
microsphaera and Chroomonas acuta), and MP (Navicula rotaeana) were dominant
during low temperature periods, whereas groups X2, S1 (Pseudanabaena limnetica),
and W1 (Euglena sp.) were dominant during high temperature periods. Water
temperature, total phosphorus, and ammonium were the significant driving factors
explaining phytoplankton succession. Furthermore, total phosphorus and ammonium
could be broadly used in risk management for potential algal blooms in Luoma
Lake.
PMID- 29789043
TI - Statistical Expose of a Multiple-Compartment Anaerobic Reactor Treating Domestic
Wastewater.
AB - Mainstream anaerobic treatment of domestic wastewater is a promising energy
generating treatment strategy; however, such reactors operated in colder regions
are not well characterized. Performance data from a pilot-scale, multiple
compartment anaerobic reactor taken over 786 days were subjected to comprehensive
statistical analyses. Results suggest that chemical oxygen demand (COD) was a
poor proxy for organics in anaerobic systems as oxygen demand from dissolved
inorganic material, dissolved methane, and colloidal material influence dissolved
and particulate COD measurements. Additionally, univariate and functional
boxplots were useful in visualizing variability in contaminant concentrations and
identifying statistical outliers. Further, significantly different dissolved
organic removal and methane production was observed between operational years,
suggesting that anaerobic reactor systems may not achieve steady-state
performance within one year. Last, modeling multiple-compartment reactor systems
will require data collected over at least two years to capture seasonal
variations of the major anaerobic microbial functions occurring within each
reactor compartment.
PMID- 29789044
TI - Shifting Paradigms in Caring for Older Adults.
PMID- 29789045
TI - Getting Paid for Clinical Services.
AB - Increasingly, pharmacists are providing advanced, patient-centered clinical
services. However, pharmacists are not currently included in key sections of the
Social Security Act, which determines eligibility to bill and be reimbursed by
Medicare. Many state and private health plans also cite the omission from
Medicare as the rationale for excluding reimbursement of pharmacists for clinical
services. This has prompted forward-thinking pharmacists to seek opportunities
for reimbursement in other ways, allowing them to provide value to the health
care system, while carving out unique niches for pharmacists to care for
patients.
PMID- 29789046
TI - Management of Venous Thromboembolism in the Elderly: A Review of the Non-Vitamin
K Oral Anticoagulants.
AB - Objective To provide an up-to-date review of the available evidence regarding
pharmacotherapeutic management of venous thromboembolic events in the geriatric
population. Data Sources A PubMed search of articles published through August
2017 was performed using a combination of the following words: apixaban,
betrixaban, dabigatran, edoxaban, enoxaparin, geriatric, heparin, idaricizumab,
rivaroxaban, and venous thromboembolism. Study Selection/data Extraction Relevant
original research, review articles, and guidelines were assessed for the
management of elderly patients with venous thromboembolism (VTE). References from
the above literature were also evaluated. Articles were selected for inclusion
based on relevance to the topic, detailed methods, and complete results. Data
Synthesis VTE, which includes deep vein thrombosis and pulmonary embolism, is
common in the geriatric population. Elderly patients are at high risk for VTE,
but management is complicated by comorbidities and a higher risk of bleeding.
Until recently, warfarin has been the mainstay of therapy. Newer oral
anticoagulants, which include apixaban, dabigatran, edoxaban, and rivaroxaban are
now available, but there is limited information on their safety and efficacy in
the geriatric population. This article reviews the current literature regarding
outcomes and summarizes pharmacotherapeutic management of VTE in the elderly
population. Conclusion Appropriate management of pharmacotherapy for VTE can help
improve outcomes in elderly patients, and pharmacists can provide guidance and
education regarding evidence-based therapy.
PMID- 29789047
TI - Concerns for Bleeding in the Elderly with the Use of Direct Oral Anticoagulants.
AB - Since Food and Drug Administration approval of dabigatran in 2010, direct oral
anticoagulants (DOACs) have been alternatives to warfarin for patients who are at
risk for cardioembolic complications of nonvalvular atrial fibrillation.
Unfortunately, there are limited safety data available on the use of these newer
agents in older adults, particularly risks of gastrointestinal, intracranial, and
major bleeding (as defined by the International Society on Thrombosis and
Haemostasis) in those 75 years of age and older. The purpose of this manuscript
is to provide a review of available literature regarding the risk of bleeding in
older adults for each DOAC based on available retrospective cohort, secondary,
and subgroup analyses, and to highlight the need for additional safety
information in this population.
PMID- 29789048
TI - Pharmacist Impact on Tacrolimus Serum Concentrations in Liver Transplant
Patients.
AB - Objective The purpose of this quality-improvement project was to determine if a
pharmacist consultation following a liver transplant is associated with an
increased percentage of drug levels in range after the patient sees the
pharmacist. Setting Tertiary care, multispecialty medical clinic, and hospital
providing solid-organ transplant. Practice Description Pharmacist consult service
in a tertiary care, multispecialty medical clinic and hospital providing solid
organ transplant. Practice InnovationProvision of liver post-transplant
consultations, not required by the Centers for Medicare & Medicaid Services, that
results in therapeutic drug level improvement. Main Outcome MeasureTacrolimus
therapeutic drug levels in range. Results A 96% improvement in quantity of
therapeutic tacrolimus levels was seen in liver post-transplant patients after
pharmacist post-transplant consultation. Results revealed 59 out of 74 (79.7%)
post-liver transplant patients, 25 to 77 years of age (average 59.7 years), had
an increased number of therapeutic tacrolimus levels after pharmacist post
transplant consultation. ConclusionWithout a pharmacist consultation following a
liver transplant, patients may have a higher number of tacrolimus levels out of
therapeutic range, placing them at increased risk for possible graft loss from
low tacrolimus levels or toxicity resulting from high tacrolimus levels.
Improvement in therapeutic tacrolimus levels after liver transplant was observed
after patients received consultation and education from a clinical pharmacist.
This finding creates an opportunity for pharmacists to implement services for
patients after liver transplants to improve therapeutic tacrolimus levels.
PMID- 29789050
TI - Long-Term Care: The Thorniest Health Care Problem.
PMID- 29789051
TI - Nurses Inspire, Innovate, and Influence.
PMID- 29789049
TI - The Impact of Cholinesterase Inhibitors with or without Memantine on
Antipsychotic Prescribing.
AB - Background Alzheimer's disease (AD) medications have been suggested to positively
affect behavior, though not universally in all studies. Their impact on
antipsychotic use is not well-defined. Methods This cross-sectional,
retrospective study evaluated residents with AD on cholinesterase inhibitors,
memantine, both, or neither throughout multiple long-term care facilities during
July 2014. Patients were included if they: were between 65 and 89 years of age,
had a diagnosis of AD, and had a cognitive assessment within three months of the
study period. Patients residing in the facility for 100 days or fewer, or those
having a Centers for Medicare & Medicaid Services-approved diagnosis for
antipsychotic use were excluded. The primary outcome was the prevalence of
antipsychotic prescribing in patients receiving AD medications compared with
those without AD therapy. The Texas Tech University Health Sciences Center
institutional review board approved the study protocol. Results Of 1,282 patients
screened, 285 (161 AD medications and 124 no-AD medications) were analyzed.
Median cognitive status scores suggested severe cognitive impairment. Patients
receiving AD medications had higher antipsychotic utilization compared with those
without AD medications (27% vs. 19%, respectively; P = 0.08). Patients receiving
combination AD medications had the highest antipsychotic use. No statistically
significant differences were detected in cognitive status subgroups. Of interest
is that a post-hoc analysis found a statistically significant association with
greater antipsychotic use and increasing number of AD medications. Conclusion
Long-term care facility residents with AD receiving AD medications had higher
rates of antipsychotic use compared with those not receiving AD treatment. The
link between antipsychotic use and the number of AD medications may point to
overprescribing in dementia with behavioral disturbances as a potential
contributing factor.
PMID- 29789052
TI - Congenital Bilateral Eyelid Eversion and Chemosis: A Case Study.
AB - This case reports the findings and management of a late preterm female infant
born with congenital bilateral eyelid eversion with chemosis. The pathogenic
process remains unknown but typically presents at birth, predominantly affecting
the upper eyelid of both eyes. Black males, patients with trisomy 21, and
collodion infants have a higher incidence of eyelid eversion. Treatment
modalities range from conservative therapy including eye patching with antibiotic
and lubricating ointment to invasive surgical eyelid suturing. In this case
report, successful resolution of chemosis and eyelid inversion occurred with
conservative management.
PMID- 29789053
TI - Management of Meconium-Stained Newborns in the Delivery Room.
AB - The approach to the management of meconium-stained newborns in the delivery room
has been changing for over 40 years. The goal is to prevent meconium aspiration
syndrome (MAS) and complications related to MAS. For decades, airway obstruction
was believed to be a major component of MAS and, consequently, suction maneuvers
to remove meconium from the airways were recommended to decrease the frequency
and severity of MAS. Initial recommendations were based on observational studies.
However, the incidence of MAS and mortality related to MAS has declined since the
1970s, mostly because of a decrease in the number of postterm deliveries.
Recently updated guidelines by the American Heart Association and the Neonatal
Resuscitation Program have reflected the strength of evidence supporting tracheal
intubation and suctioning for nonvigorous, meconium-stained newborns. This
article examines practice change since the 1970s in the delivery room management
of meconium-stained newborns and evaluates evidence behind the changes.
PMID- 29789054
TI - Applying Developmentally Supportive Principles to Diapering in the NICU: What We
Know.
AB - Developmental care measures are integrated in the NICU, but these measures are
largely overlooked when it comes to standard care activities such as diapering.
This general review of developmental care in the NICU discusses how caregivers
can apply appropriate, individualized developmental care measures to diapering
regimens. Numerous opportunities to expand developmental care measures into
diapering care are identified; these opportunities can protect and promote sleep
for hospitalized infants, enhance the diapering environment, minimize stress that
infants may experience with diapering, improve infant skin health outcomes
through use of evidence-based skin care practices, and foster family involvement
during diapering care in the NICU. A developmental approach to diapering offers
hospitalized infants regular opportunities to reach their neurodevelopmental
potential.
PMID- 29789056
TI - The Six-Hour Window: How the Community Hospital Nursery Can Optimize Outcomes of
the Infant with Suspected Hypoxic-Ischemic Encephalopathy.
AB - Perinatal hypoxia is a devastating event before, during, or immediately after
birth that deprives an infant's vital organs of oxygen. This injury at birth
often requires a complex resuscitation and increases the newborn's risk of
hypoxic-ischemic encephalopathy (HIE). The resuscitation team in a community
hospital nursery may have less experience with complex resuscitation and post
resuscitation care of this infant than a NICU. This article provides the neonatal
nurse in a Level I or Level II nursery with information about resuscitation and
post-resuscitation care of an infant at risk of HIE while awaiting transport to a
NICU for therapeutic cooling. The article describes the infant at risk for HIE,
discusses pathophysiology and treatment of HIE, and lists essential components of
post-resuscitation care while awaiting transport to an NICU, the importance of
communication with the receiving NICU, and strategies for supporting the family.
PMID- 29789057
TI - Case Study: Cystic Fibrosis in the Newborn.
AB - Cystic fibrosis (CF) is considered one of the most commonly occurring fatal
genetic disorders. This disorder is associated with pancreatic insufficiency and
pulmonary complications. However, at birth the initial complications are
associated with bowel obstruction. Cystic fibrosis management warrants an
interdisciplinary team because this disorder affects various organ systems.
Effective management of the newborn with CF assists in improving the child's
overall prognosis. Family support is critical throughout the prenatal and
postnatal periods. The case presented reviews a child born with suspected CF and
the clinical course within the NICU.
PMID- 29789058
TI - Prevention and Treatment of Respiratory Distress Syndrome in Preterm Neonates.
AB - Respiratory distress syndrome (RDS) impacts a high proportion of preterm
neonates, resulting in significant morbidity and mortality. Advances in
pharmacotherapy, specifically antenatal corticosteroids and postnatal surfactant
therapy, have significantly reduced the incidence and impact of neonatal RDS.
Antenatal corticosteroids accelerate fetal lung maturation by increasing the
activity of enzymes responsible for surfactant biosynthesis, resulting in
improved lung compliance. Maternal antenatal corticosteroid treatment has
improved survival of preterm neonates and lowered the incidence of brain injury.
After birth, exogenous surfactant administration improves lung compliance and
oxygenation, resulting in reductions in the incidence of pneumothorax and of
death. Future research will identify the optimal surfactant product, timing of
the initial dose, and mode of delivery.
PMID- 29789061
TI - News of the Academy of Neonatal Nursing.
PMID- 29789060
TI - Continuing Education Course.
PMID- 29789059
TI - When a Baby Is Sent Away: Evidence to Support Best Practice After Neonatal
Transport.
AB - Every day neonates are transported from the birth hospital to a tertiary neonatal
care center. Separation of mother and neonate in the hours immediately following
birth interrupts the bonding process and can have long-term implications for the
mother-child relationship. This article synthesizes the literature focused on
mothers' experiences with a neonate being transported to a tertiary NICU and
identifies evidence-based practices specific to these situations.
PMID- 29789062
TI - Editorial.
PMID- 29789063
TI - NanoElectrocatalysis: From Basic Research to Applications in Energy Conversion.
AB - In this article an overview of our research in the field of nanoelectrocatalysis,
in particular electrocatalytic energy conversion, is presented. Our research
comprises the areas of catalyst preparation as well as performance evaluation of
model and applied electrocatalysts with respect to activity, selectivity, and
stability. Our aim is to understand the fundamental properties that determine the
performance of electrocatalysts for particular processes and to build a bridge
between fundamental research and realistic applications. In our research we
prepare catalyst materials, study the interface between the catalyst and the
electrochemical environment, i.e. the solid-liquid interface, and perform kinetic
measurements. Furthermore, such research relies to a large extent on material
characterization techniques as well as the development and application of
advanced experimental setups.
PMID- 29789064
TI - Surface and Defect Chemistry of Oxide Materials.
AB - Oxides and oxide-derived materials find ubiquitous applications in many
industrial applications. These materials usually contain point defects, the
concentration and type depending on the synthesis and operating conditions. Many
of the functional properties are determined or strongly affected by the presence
of these point defects. Despite steady advances in experimental techniques it is
still difficult to unambiguously identify point defects and resulting atomic
scale mechanisms from experiment alone. Atomic-scale computational approaches
such as density functional theory (DFT) provide an alternative approach that
ideally complements experimental investigations. The resulting fundamental
understanding of defect-induced mechanisms combined with the knowledge of how to
tailor a point-defect profile to induce new functionality opens exciting new
avenues to engineer novel material properties. This account discusses some case
studies of defect-induced functionality in the area of oxide electronics and
photocatalysis, giving a general flavour of our current understanding of the role
of defects in these applications.
PMID- 29789066
TI - Exploring Modular Glycolipids Involved in Nematode Chemical Communication.
AB - Chemical communication in nematodes has been known for over half a century, but
the underlying molecular basis remained largely elusive. Recent advances in
analytical techniques facilitated the characterization of a modular glycolipid
library based on the dideoxysugar L-ascarylose, which modulates
behavior and development in the model organism C. elegans. Ascaroside signaling
is highly conserved in nematodes and represents a key factor in nematode chemical
ecology. Ascaroside biosynthesis depends on the co-option of the peroxisomal beta
oxidation cycle and in addition integrates a large diversity of additional
building blocks derived from various primary metabolic pathways to give rise to
species-specific modular assemblies, thus, transcending the concept of strictly
segregated primary versus secondary metabolism.
PMID- 29789065
TI - Towards a Synthetic Mitochondrion.
AB - Our group at the University of Bern uses biochemical and biophysical techniques
to unravel details of the molecular mechanism of membrane proteins. Of special
interest are the large multi-subunit complexes of the universally conserved
respiratory chain and the ATP synthase that are found in mitochondria and aerobic
bacteria. In a bottom-up approach using purified membrane proteins and synthetic
lipids, we aim to mimic the basic processes of oxidative phosphorylation. We
further develop methodologies to increase the complexity of such artificial
systems, paving the way for a synthetic mitochondrion. In this minireview, we
summarize recent efforts of our groups and others towards a synthetic respiratory
chain.
PMID- 29789067
TI - Engineering Aspects of Protein Interactions and Self-assembly.
AB - In the new Laboratory for Biochemical Engineering (LBCE) at ETH Zurich
researchers combine principles of chemical engineering with microfluidic
technology and biophysical methods to investigate the physical determinants of
biomolecular self-assembly in living organisms. In this account, we show the
impact of this activity on concrete applications in biomedical sciences and
biotechnology. We focus in particular on the field of protein aggregation and
phase separation, and we highlight examples in the context of diagnosis and
treatment of Alzheimer's disease and neurodegenerative disorders, cell
compartmentalization as well as manufacturing and delivery of therapeutic
proteins.
PMID- 29789068
TI - Understanding Chemical Reactions beyond Transition-State Theory.
AB - Transition-state theory is one of the most successful theories in chemistry. Not
only does it provide a simple formula for computing the rate of a reaction but it
defines our understanding of how a chemical reaction occurs - by overcoming an
energy barrier. However, the theory is based on classical assumptions and ignores
several quantum effects which can be important for certain reactions,
particularly those involving hydrogen transfer at low temperatures. We are
developing a more general quantum version of transition-state theory which
reveals how quantum tunnelling and nonadiabatic effects can strongly affect the
rate.
PMID- 29789069
TI - Towards the Ultimate Membranes: Two-dimensional Nanoporous Materials and Films.
AB - The energy-efficient separation of molecules has been a popular topic in
chemistry and chemical engineering as a consequence of the large energy-footprint
of separation processes in the chemical industry. The Laboratory of Advanced
Separations (LAS) at EPFL, led by Prof. Kumar Varoon Agrawal, is focused to
develop next-generation, high-performance membranes that can improve the energy
efficiency of hydrogen purification, carbon capture, hydrocarbon and water
purification. For this, LAS is seeking to develop the ultimate nanoporous
membranes, those with a thickness of 1 nm and possessing an array of size
selective nanopores. In this article, the research activities at LAS, especially
in the bottom-up and top-down synthesis of chemically and thermally stable,
nanoporous two-dimensional materials and membranes are discussed.
PMID- 29789070
TI - The Three C's of Cethrene.
AB - Molecules that contain one or more unpaired electrons delocalized within a pi
conjugated backbone are promising candidates for applications in spin electronics
or simply 'spintronics'. Our group develops functional organic materials based on
pi-conjugated hydrocarbon molecules, where the electrons are unpaired either in
the ground state or in the excited state that is low in energy and can be
populated thermally. We aim to learn how to introduce and control a multitude of
properties, namely, optical, chiroptical, magnetic, and conductive, in a bulk
material made of these molecules, by manipulating spin interactions between the
unpaired electrons. The first model system that was developed in our group is a
hydrocarbon named cethrene, which has a diradicaloid singlet ground state and a
low-lying triplet excited state. In this article, the structural parameters and
their impact on the properties and reactivity of cethrene are discussed within
the realm of the three C's that symbolize cethrene's C-shape, chirality, and
chameleonic reactivity.
PMID- 29789071
TI - Dynamic ab initio Methods for Vibrational Spectroscopy.
AB - Our group focuses on the development of computational methods derived from
quantum mechanics and their application to a variety of challenging systems
ranging from (bio-)molecules over coordination compounds to solar light-driven
processes. In this review, we describe our recent activities for accurate
calculation of spectroscopic properties. Emphasis is put on forefront methods for
vibrational spectroscopy, in particular with respect to condensed phase systems,
based on ab initio molecular dynamics. This approach has several advantages
compared to standard static approaches and proven to be a highly valuable tool
for in-depth analysis of complex systems.
PMID- 29789072
TI - New Earth-abundant Materials for Large-scale Solar Fuels Generation.
AB - The solar resource is immense, but the power density of light striking the
Earth's surface is relatively dilute, necessitating large area solar conversion
devices in order to harvest substantial amounts of power for renewable energy
applications. In addition, energy storage is a key challenge for intermittent
renewable resources such as solar and wind, which adds significant cost to these
energies. As the majority of humanity's present-day energy consumption is based
on fuels, an ideal solution is to generate renewable fuels from abundant
resources such as sunlight and water. In this account, we detail our recent work
towards generating highly efficient and stable Earth-abundant semiconducting
materials for solar water splitting to generate renewable hydrogen fuel.
PMID- 29789076
TI - SimplyNano-Experimentierkoffer und die Plattform Swiss Nano-Cube.
AB - Die Experimentierkoffer SimplyNano 1 und 2 wurden von der Innovationsgesellschaft
mbH entwickelt und von der SimplyScience Stiftung herausgegeben. Sie enthalten
Unterrichtsunterlagen fur die Erklarung und Vorstellung einfacher Phanomene der
Nanotechnologie. Es stehen Schuleranleitungen, Kommentare fur Lehrpersonen und
Vortragsfolien zur Verfugung. Weiterfuhrende Unterlagen und Ideen zu
Nanotechnologie im Unterricht bietet die Plattform Swiss Nano-Cube.
PMID- 29789077
TI - Open Science in Switzerland.
AB - The results of research financed by public funds are regarded as a public good
and should be published electronically so that they are immediately and publicly
available without charge and can be reused by third parties. The SNSF supports
the principle of free accessibility: it has adopted the aim that all publications
resulting from its funding will be openly accessible as of 2020. The article is a
summary of information provided by the SNSF More information are available on the
SNSF website.
PMID- 29789079
TI - CHIMIA Report/Company News.
PMID- 29789078
TI - Surface Immobilization and Shielding of a Transaminase Enzyme for the
Stereoselective Synthesis of Pharmaceutically Relevant Building Blocks.
AB - Transaminases are enzymes capable of stereoselective reductive amination; they
are of great interest in the production of chiral building blocks. However, the
use of this class of enzymes in industrial processes is often hindered by their
limited stability under operational conditions. Herein, we demonstrate that a
transaminase enzyme from Aspergillus terreus can be immobilized at the surface of
silica nanoparticles and protected in an organosilica shell of controlled
thickness. The so-protected enzyme displays a high biocatalytic activity, and
additionally provides the possibility to be retained in a reactor system for
continuous operation and to be recycled.
PMID- 29789081
TI - The Need for Global Connection and Collaboration.
PMID- 29789082
TI - The Differential Effect of Sustained Operations on Psychomotor Skills of
Helicopter Pilots.
AB - INTRODUCTION: Flying a helicopter is a complex psychomotor skill requiring
constant control inputs from pilots. A deterioration in psychomotor performance
of a helicopter pilot may be detrimental to operational safety. The aim of this
study was to test the hypothesis that psychomotor performance deteriorates over
time during sustained operations and that the effect is more pronounced in the
feet than the hands. The subjects were helicopter pilots conducting sustained
multicrew offshore flight operations in a demanding environment. The remote
flight operations involved constant workload in hot environmental conditions with
complex operational tasking. METHODS: Over a period of 6 d 10 helicopter pilots
were tested. At the completion of daily flying duties, a helicopter-specific
screen-based compensatory tracking task measuring tracking accuracy (over a 5-min
period) tested both hands and feet. Data were compared over time and tested for
statistical significance for both deterioration and differential effect. RESULTS:
A statistically significant deterioration of psychomotor performance was evident
in the pilots over time for both hands and feet. There was also a statistically
significant differential effect between the hands and the feet in terms of
tracking accuracy. The hands recorded a 22.6% decrease in tracking accuracy,
while the feet recorded a 39.9% decrease in tracking accuracy. DISCUSSION: The
differential effect may be due to prioritization of limb movement by the motor
cortex due to factors such as workload-induced cognitive fatigue. This may result
in a greater reduction in performance in the feet than the hands, posing a
significant risk to operational safety.McMahon TW, Newman DG. The differential
effect of sustained operations on psychomotor skills of helicopter pilots. Aerosp
Med Hum Perform. 2018; 89(6):496-502.
PMID- 29789083
TI - Low Baseline Sympathetic Tone Correlates to a Greater Blood Pressure Change in
the Cold Pressor Test.
AB - BACKGROUND: The cold pressor test (CPT) involves acute hand or foot exposure to
cold water. CPT hyper-responders have unique traits, including risk of
hypertension and a greater vasoconstrictor reserve and g force tolerance compared
to hypo-responders. The purpose of this study was to uncover differences in
cardiovascular and sympathetic biomarkers between responder types. METHODS:
Healthy volunteers (N = 30) submerged one hand into cold water (3.3 +/- 0.8
degrees C) for 5 min. Blood pressure, heart rate, cardiac output, and cardiac
parameters were recorded using an automated monitor, impedance cardiography, and
a beat-to-beat monitoring system. We analyzed for salivary alpha-amylase
(SalphaA), which is a convenient biomarker of the sympathetic nervous system.
Subjects were stratified post hoc into hyper-responders (>= 22 mmHg) and hypo
responders (< 22 mmHg) based on change in systolic blood pressure during CPT.
RESULTS: Hyper-responders had a significantly lower baseline heart rate (64 +/- 7
bpm), cardiac output (5.6 +/- 0.9 L . min-1), and SalphaA (60 +/- 37 U . mL-1)
compared to hypo-responders (73 +/- 9 bpm, 6.9 +/- 1.3 L . min-1, 165 +/- 122 U .
mL-1). During the cold immersion, hyper-responders had significantly higher
systolic blood pressure (150 +/- 14 mmHg), diastolic blood pressure (91 +/- 10
mmHg), mean arterial pressure (129 +/- 17 mmHg), and systemic vascular resistance
(1780 +/- 640 dyn . s-1 . cm-5) than hypo-responders (130 +/- 14 mmHg, 81 +/- 10
mmHg, 110 +/- 9 mmHg, 1290 +/- 220 dyn . s-1 . cm-5). The change in systolic
blood pressure correlated with baseline SalphaA (r = -0.455, P = 0.011) and
baseline heart rate (r = -0.374, P = 0.042). DISCUSSION: Baseline characteristics
influenced by sympathetic tone such as SalphaA, heart rate, and cardiac output
are indicative of responses to CPT. Our data supports the use of baseline values
to predict blood pressure response to acute cold exposure and indicates an
intrinsic difference between CPT responder phenotypes.Youssef M, Ghassemi A,
Carvajal Gonczi CM, Kugathasan TA, Kilgour RD, Darlington PJ. Low baseline
sympathetic tone correlates to a greater blood pressure change in the cold
pressor test. Aerosp Med Hum Perform. 2018; 89(6):503-509.
PMID- 29789084
TI - Amplified Pilot Head Vibration and the Effects of Vibration Mitigation on Neck
Muscle Strain.
AB - INTRODUCTION: Rotary wing pilot neck strain is increasing in prevalence due to
the combined effects of head supported mass (e.g., Night Vision Goggles, head
mounted displays) and whole-body vibration. This study examined the physiological
responses of pilots during exposure to whole-body vibration (WBV) representative
of the National Research Council's Bell 412 helicopter in forward flight. WBV
levels were measured and evaluated using the ISO-2631-1-1997 WBV standards.
METHODS: Twelve pilots (aged 20-59 yr, 7 of the 12 with 20+ years flight
experience) underwent six 15-min vibration trials on a human rated shaker
platform. Participants were exposed to three vibration levels (-25%, normal, and
+25% amplitude; Levels 1-3, respectively) while seated on an Original Equipment
Manufacturer (OEM) or vibration mitigating (MIT) cushion. Upper back and neck
electromyography (EMG) and acceleration were continuously recorded. RESULTS:
Normalized EMG amplitude was higher using the OEM compared to the MIT during
Level 2 (0.18 vs. -0.27) and Level 3 (0.24 vs. -0.14) for the anterior neck
muscles. Health weighted vibration amplitude at the head (Mean of 3 levels: OEM =
1.19 and MIT = 1.11 m . s-2) was larger than the vibration amplitude at the seat
(Mean of 3 levels: OEM = 0.77 and MIT = 0.70 m . s-2). DISCUSSION: The
amplification of head vibration relative to the seat, and the significant effects
of vibration level, as well as the vibration mitigation cushion, on neck EMG
amplitude support the need for revisions to the ISO-2631-1 standard to account
for the head and neck response to whole-body vibration.Wright Beatty HE, Law AJ,
Thomas JR, Wickramasinghe V. Amplified pilot head vibration and the effects of
vibration mitigation on neck muscle strain. Aerosp Med Hum Perform. 2018;
89(6):510-519.
PMID- 29789085
TI - Acute Exposure to Low-to-Moderate Carbon Dioxide Levels and Submariner Decision
Making.
AB - BACKGROUND: Submarines routinely operate with higher levels of ambient carbon
dioxide (CO2) (i.e., 2000 - 5000 ppm) than what is typically considered normal
(i.e., 400 - 600 ppm). Although significant cognitive impairments are rarely
reported at these elevated CO2 levels, recent studies using the Strategic
Management Simulation (SMS) test have found impairments in decision-making
performance during acute CO2 exposure at levels as low as 1000 ppm. This is a
potential concern for submarine operations, as personnel regularly make mission
critical decisions that affect the safety and efficiency of the vessel and its
crew while exposed to similar levels of CO2. The objective of this study was to
determine if submariner decision-making performance is impacted by acute exposure
to levels of CO2 routinely present in the submarine atmosphere during sea
patrols. METHODS: Using a subject-blinded balanced design, 36 submarine-qualified
sailors were randomly assigned to receive 1 of 3 CO2 exposure conditions (600,
2500, or 15,000 ppm). After a 45-min atmospheric acclimation period, participants
completed an 80-min computer-administered SMS test as a measure of decision
making. RESULTS: There were no significant differences for any of the nine SMS
measures of decision making between the CO2 exposure conditions. DISCUSSION: In
contrast to recent research demonstrating cognitive deficits on the SMS test in
students and professional-grade office workers, we were unable to replicate this
effect in a submariner population-even with acute CO2 exposures more than an
order of magnitude greater than those used in previous studies that demonstrated
such effects.Rodeheffer CD, Chabal S, Clarke JM, Fothergill DM. Acute exposure to
low-to-moderate carbon dioxide levels and submariner decision making. Aerosp Med
Hum Perform. 2018; 89(6):520-525.
PMID- 29789086
TI - Acute Mild Hypoxic Hypoxia Effects on Cognitive and Simulated Aircraft Pilot
Performance.
AB - BACKGROUND: The effects of acute mild hypoxic hypoxia (HH) and physical activity
on physiological measures, signs and symptoms, mood, fatigue, cognition, and
performance on a simulated flight task were investigated between 8000 (8K; 2438
m) and 14,000 ft (14K; 4267 m). METHOD: In a hypobaric chamber, 16 military
helicopter pilots were randomly exposed to 4 altitudes and 3 physical exertion
levels. After each exercise period, participants identified targets on a
designated flight path on a desktop simulator and completed a cognitive test
battery. Cerebral regional and finger pulse oxyhemoglobin saturation levels (rSO2
and Spo2), heart and respiration rates were continuously monitored. Participants
indicated their symptoms, mood and fatigue. RESULTS: rSO2 and Spo2 were affected
by the increase of altitude and exercise level. Target identification accuracy
and latency within the simulated flight task showed decrements at 8K, 10K (3048
m), 12K (3658 m), and 14K. Cognitive performance was degraded at 14K. More than
60% of the participants at 8K and 10K and more than 80% at 12K and 14K reported
symptoms. Altitude increased symptoms, negative mood, general fatigue, and
physical fatigue. DISCUSSION: Our findings indicate a significant influence of
mild HH on a number of outcome measures at altitudes above 10K, where operational
restrictions are well established. In contrast, there was no clear influence of
HH on performance at lower altitudes (i.e., 8K and 10K). The occurrence of HH
symptoms and the decrements in target identification latency and accuracy at 8K
and 10K may negatively impact flight performance and require further study.Bouak
F, Vartanian O, Hofer K, Cheung B. Acute mild hypoxic hypoxia effects on
cognitive and simulated aircraft pilot performance. Aerosp Med Hum Perform. 2018;
89(6):526-535.
PMID- 29789087
TI - Individual Differences in Adaptability to Isolated, Confined, and Extreme
Environments.
AB - INTRODUCTION: Future deep space missions will expose astronauts to more intense
stressors than previously encountered. Isolation will be greater and more
prolonged, living and work areas more confined, and communications and resupply
channels to Earth longer and less reliable. Astronauts will need to function more
autonomously, with less guidance and support from Earth. Thus, it is important to
select and train astronauts who can adapt and function effectively under extreme
and variable conditions. In order to identify factors linked to individual
adaptability, we conducted a systematic review of the literature on cognitive and
behavioral adaptation to isolated, confined, and extreme (ICE) environments.
METHODS: We searched PubMed, Embase, Web of Science, and PsychINFO databases for
studies addressing individual adaptability to ICE environments. Studies were
rated for quality and fidelity to long-duration space missions and key results
extracted. RESULTS: There were 73 studies that met all inclusion criteria.
Adaptability attributes for ICE environments include intelligence, emotional
stability, self-control, openness, achievement facets of conscientiousness,
optimism, mastery, introversion, hardiness, task-oriented coping, past
experience, low need for social support, and adequate sleep. DISCUSSION: This
review identifies individual factors linked to adaptability under ICE conditions.
Further studies are needed to verify causal directions and determine the relative
importance of these factors.Bartone PT, Krueger GP, Bartone JV. Individual
differences in adaptability to isolated, confined, and extreme environments.
Aerosp Med Hum Perform. 2018; 89(6):536-546.
PMID- 29789088
TI - The Danger Zone for Noise Hazards Around the Black Hawk Helicopter.
AB - BACKGROUND: During ground operations, rotary-wing aircraft engines and subsystems
produce noise hazards that place airfield personnel at risk for hearing damage.
The noise exposure levels outside the aircraft during various operating
conditions, and the distances from aircraft at which they drop to safe levels,
are not readily available. The current study measured noise levels at various
positions around the UH-60 Black Hawk helicopter for three operating conditions
typically used when the aircraft is on the ground. METHODS: Microphones were
positioned systematically around the helicopter and A-weighted sound pressure
levels (SPLs) were computed from the recordings. In addition, the 85-dBA SPL
contour around the aircraft was mapped. The resulting A-weighted SPLs and contour
mapping were used to determine the noise hazard area around the helicopter.
RESULTS: Measurements reported here show noise levels of 105 dB or greater in all
operating conditions. The fueling location at the left rear of the aircraft near
the auxiliary power unit (APU) is the area of greatest risk for noise-induced
hearing loss (NIHL). Additionally, sound field contours indicate noise hazard
areas (>85 dBA SPL) can extend beyond 100 ft from the helicopter. CONCLUSIONS:
This report details the areas of greatest risk for auditory injury around the UH
60 Black Hawk helicopter. Our findings suggest the area of hazardous noise levels
around the aircraft can extend to neighboring aircraft, particularly on the side
of the aircraft where the APU is located. Hearing protection should be worn
whenever the aircraft is operating, even if working at a distance.Jones HG,
Greene NT, Chen MR, Azcona CM, Archer BJ, Reeves ER. The danger zone for noise
hazards around the Black Hawk helicopter. Aerosp Med Hum Perform. 2018; 89(6):547
551.
PMID- 29789089
TI - +Gz Exposure and Spinal Injury-Induced Flight Duty Limitations.
AB - BACKGROUND: The present study aimed to find out if possible differences in early
military flight career +Gz exposure level could predict permanent flight duty
limitations (FDL) due to spinal disorders during a pilot's career. METHODS: The
study population consisted of 23 pilots flying with Gz limitation (max limitation
ranging from +2 Gz to +5 Gz) due to spinal disorders and 50 experienced (+1000
flight hours) symptomless controls flying actively in operative missions in the
Finnish Air Force. Data obtained for all subjects included the level of
cumulative Gz exposure measured sortie by sortie with fatigue index (FI)
recordings and flight hours during the first 5 yr of the pilot's career. RESULTS:
The mean (+/- SD) accumulation of FI in the first 5 yr of flying high-performance
aircraft was 8.0 +/- 1.8 among the pilots in the FDL group and 7.7 +/- 1.7 in the
non-FDL group. There was no association between flight duty limitations and early
career cumulative +Gz exposure level measured with FI or flight hours.
DISCUSSION: According to the present findings, it seems that the amount of
cumulative +Gz exposure during the first 5 yr of a military pilot's career is not
an individual risk factor for spinal disorders leading to flight duty limitation.
Future studies conducted with FI recordings should be addressed to reveal the
relationship between the actual level of +Gz exposure and spinal disorders, with
a longer follow-up period and larger sample sizes.Honkanen T, Sovelius R,
Mantysaari M, Kyrolainen H, Avela J, Leino TK. +Gz exposure and spinal injury
induced flight duty limitations. Aerosp Med Hum Perform. 2018; 89(6):552-556.
PMID- 29789090
TI - The Giant Hand Illusion Experienced on a Simulator.
AB - BACKGROUND: This paper discusses a special kind of a sensory illusion-the Giant
Hand illusion-that was experienced during an exercise on a flight simulator
equipped with a VR headset. In the first part we describe spatial disorientation
and the function of the vestibular apparatus during flight and its consequences.
In this part, the sensory illusion simulator used for the experiment is
mentioned. In the second part we describe the simulator and test flight. In the
third part we discuss data retrieved during simulator flights that are important
for explaining the Giant Hand illusion. CASE REPORT: A well-trained pilot
experienced the Giant Hand illusion while executing instrument flight rules
flight on a simulator. The Giant Hand illusion was detected from the simulation
data and confirmed by the pilot afterward. DISCUSSION: The Giant Hand illusion is
a rare type of sensory illusion. The pilot falsely evaluated the situation as a
malfunction of the aircraft controls. If the pilot had not been informed by the
operator that he might have been influenced by the illusion, he would probably
have crashed the simulated aircraft. An unrecognized Giant Hand illusion during a
flight can lead to fatal consequences. This case report shows the symptoms and
data that can be used for early recognition of this type of illusion.Frantis P,
Petru A. The Giant Hand illusion experienced on a simulator. Aerosp Med Hum
Perform. 2018; 89(6):557-562.
PMID- 29789091
TI - Spinal Stiffness in Prone and Upright Postures During 0-1.8 g Induced by
Parabolic Flight.
AB - INTRODUCTION: The purpose of this study was to analyze posterior-to-anterior
spinal stiffness in Earth, hyper-, and microgravity conditions during both prone
and upright postures. CASE REPORT: During parabolic flight, the spinal stiffness
of the L3 vertebra of a healthy 37-yr-old man was measured in normal Earth
gravity (1.0 g), hypergravity (1.8 g), and microgravity (0.0 g) conditions
induced in the prone and upright positions. Differences in spinal stiffness were
significant across all three gravity conditions in the prone and upright
positions. Most effect sizes were large; however, in the upright posture, the
effect size between Earth gravity and microgravity was medium. Significant
differences in spinal stiffness between the prone and upright positions were
found during Earth gravity and hypergravity conditions. No difference was found
between the two postures during microgravity conditions. DISCUSSION: Based on
repeated measurements of a single individual, our results showed detectable
changes in posterior-to-anterior spinal stiffness. Spinal stiffness increased
during microgravity and decreased during hypergravity conditions. In microgravity
conditions, posture did not impact spinal stiffness. More data on spinal
stiffness in variable gravitational conditions is needed to confirm these
results.Swanenburg J, Meier ML, Langenfeld A, Schweinhardt P, Humphreys BK.
Spinal stiffness in prone and upright postures during 0-1.8 g induced by
parabolic flight. Aerosp Med Hum Perform. 2018; 89(6):563-567.
PMID- 29789092
TI - Letter to the Editor re: You're the Flight Surgeon: Foot Drop: Response.
PMID- 29789093
TI - Letter to the Editor re: You're the Flight Surgeon: Foot Drop: Letter.
PMID- 29789094
TI - The Evolving Science of Drug Detection.
PMID- 29789095
TI - You're the Flight Surgeon.
AB - Holmes RL. You're the flight surgeon: zika virus infection. Aerosp Med Hum
Perform. 2018; 89(6):572-575.
PMID- 29789096
TI - Use of the Fieseler "Stork" in World War II Aeromedical Evacuation.
PMID- 29789097
TI - This Month in Aerospace Medicine History.
PMID- 29789098
TI - AsMA Constituent Organization Presidents for 2018-2019.
PMID- 29789099
TI - Building capacity for change: evaluation of an organisation-wide leadership
development program.
AB - Objective. This study evaluated Leading 4 Change, a change leadership development
program designed to support healthcare middle managers through a period of
significant organisational change and enhance workplace resilience.Methods. A
mixed methods evaluation was conducted within the program's framework of a
quality improvement activity. Quantitative measures were participant responses
(n=160) to online questionnaires, which were compared before and after the
program, using an uncontrolled pre-post study design. Four questionnaires were
used: Resilience @ Work, General Self-Efficacy, the 11-item Learning Organization
Survey and organisational climate. Differences between the pre- and post-program
periods were compared using linear mixed-effects models, incorporating repeated
measures between 'pre' and 'post' periods. Qualitative data were obtained by
interviewing four participants on three occasions during the program, and through
text responses provided by participants during a presentation session after the
program finished. Both interview data and textual data were subjected to thematic
analysis.Results. Integration of data from quantitative and qualitative analyses
generated three main findings: (1) participants were satisfied and engaged with
the program, which met their learning objectives; (2) the program led to
increased workplace resilience, in particular the ability to manage stress and
self-efficacy for individuals; and (3) organisational learning perceptions were
unchanged.Conclusion. Although conducted during a period of intense internal and
external pressure, Leading 4 Change led to demonstrable effects. It effectively
engaged middle managers across a health system. However, there was no evidence
that the effect of the program extended beyond individual participants to their
perceptions of their work environment as a learning organisation.What is known
about the topic? Although much has been written about change management and
change leadership within healthcare, the failure to manage the 'people' element
and engage employees hampers the success of that change. However, how to engage
employees and enhance their resilience and self-efficacy (self-belief to
proactively manage) during change has been little explored. Further, the concept
of a learning organisation has been developed in private, non-healthcare fields
and there is little known about it in other areas, such as healthcare,
particularly during change.What does this paper add? The paper describes the
evaluation of a 16-week change leadership development program (Leading 4 Change)
for middle managers of a public health system undergoing significant reform. It
assesses how the program engaged employees, and how and to what extent their
workplace resilience, self-efficacy and perceptions of their workplace as a
learning organisation changed after the program. Based on the present study,
individual development of staff does not necessarily translate directly to better
staff perceptions of organisational outcomes.What are the implications for
practitioners? Despite being clearly engaged with Leading 4 Change, quantitative
and qualitative measures suggested mixed effects of the program on participants.
Participants' self-rated workplace resilience, ability to manage stress and self
efficacy increased after the program. However, there was no evidence that the
effect of the program improved staff perceptions of their work as a learning
organisation. For complex public sector healthcare organisations to become
learning organisations, other organisational factors, in addition to staff
development and training, require consideration.
PMID- 29789100
TI - Healthcare resource utilisation by patients with coronary heart disease receiving
a lifestyle-focused text message support program: an analysis from the TEXT ME
study.
AB - The 'Tobacco, Exercise and Diet Messages' (TEXT ME) study was a 6-month, single
centre randomised clinical trial (RCT) that found a text message support program
improved levels of cardiovascular risk factors in patients with coronary heart
disease (CHD). The current analyses examined whether receipt of text messages
influenced participants' engagement with conventional healthcare resources. The
TEXT ME study database (N=710) was linked with routinely collected health
department databases. Number of doctor consultations, investigations and cardiac
medication prescriptions in the two study groups were compared. The most
frequently accessed health service was consultations with a General Practitioner
(mean 7.1, s.d. 5.4). The numbers of medical consultations, biochemical tests or
cardiac-specific investigations were similar between the study groups. There was
at least one prescription registered for statin, ACEI/ARBs and beta-blockers in
79, 66 and 50% of patients respectively, with similar refill rates in both the
study groups. The study identified TEXT ME text messaging program did not
increase use of Medicare Benefits Schedule (MBS) and Pharmaceutical Benefits
Scheme (PBS) captured healthcare services. The observed benefits of TEXT ME
reflect direct effects of intervention independent of conventional healthcare
resource engagement.
PMID- 29789101
TI - Licofelone Attenuates LPS-induced Depressive-like Behavior in Mice: A Possible
Role for Nitric Oxide.
AB - PURPOSE: Licofelone, a dual cyclooxygenase/5-lipoxygenase inhibitor, possesses
antioxidant, antiapoptotic, neuroprotective, and anti-inflammatory properties.
The aim of the present study was to investigate the effect of licofelone on
lipopolysaccharide (LPS)-induced depression in a mouse model and also a possible
role for nitric oxide (NO). METHODS: To elucidate the role of NO on this effect
of licofelone (5 and 20 mg/kg, i.p.), L-NAME, a non-specific NO synthase (NOS)
inhibitor; aminoguanidine (AG), a specific inducible NOS (iNOS) inhibitor; 7
nitroindazole (7-NI) a preferential neuronal NOS inhibitor (nNOS) and; L-arginine
(L-Arg), as a NO donor, were used. The animal's behaviors were evaluated
employing forced swimming test (FST), tail suspension test (TST) and open field
test (OFT). RESULTS: LPS (0.83 mg/kg, i.p.) induced depressive-like behavior
increasing immobility time in FST and TST. Conversely, licofelone (20 mg/kg i.p.)
reversed the depressive effect of LPS and lowered the immobility time in FST and
TST. On the other hand, pretreatment with L-Arg also reversed the antidepressant
like effect of licofelone (20 mg/kg) in FST and TST. On the other hand, L-NAME
(10 and 30 mg/kg), AG (50 and 100 mg/kg) and 7-NI (60 mg/kg) could potentiate
licofelone (5 mg/kg) and lowered the immobility duration. CONCLUSIONS: NO down
regulation possibly through iNOS and nNOS inhibition may involve in the
antidepressant property of licofelone. This article is open to POST-PUBLICATION
REVIEW. Registered readers (see "For Readers") may comment by clicking on
ABSTRACT on the issue's contents page.
PMID- 29789103
TI - Evaluation of Ion-pair Formation of Adefovir to Improve Permeation across
Artificial and Biological Membranes.
AB - PURPOSE: Adefovir is an antiviral drug that exhibits high hydrophilic properties
and negligible bioavailability (less than 12%). It is only applied in the form of
the ester prodrug adefovir dipivoxil (ADV). The oral bioavailability of ADV is
limited (32% to 45%) by its low permeability (Class 3) and biological conversion
of the prodrug to adefovir. Ion-pair formation is considered as an alternative
approach to a covalent prodrug (ADV) to enhance intestinal permeation of
adefovir. METHODS: The effect of various counter-ions (anionic, cationic and two
quaternary ammonium salts) on the lipophilicity of adefovir was investigated by
means of the n-octanol/buffer partitioning system, an in vitro transport model
(PAMPA) and a biological membrane (everted gut sac). RESULTS: Quaternary ammonium
salts, cetylpyridinium chloride (CPC) and cetrimide enhanced the lipophilicity of
adefovir 136- and 87-fold, respectively. The apparent permeability of adefovir in
combination with CPC (counter-ion) was 2.5-fold greater than ADV permeability in
the PAMPA model. The apparent permeability of adefovir-CPC (counter-ion) was 1.3
fold greater than that of adefovir dipivoxil permeability in a biologic membrane
(everted gut sac). CONCLUSION: These results suggest that the adefovir-CPC ion
paired system has potential for improving the permeation of adefovir across the
intestinal membrane. This article is open to POST-PUBLICATION REVIEW. Registered
readers (see "For Readers") may comment by clicking on ABSTRACT on the issue's
contents page.
PMID- 29789102
TI - An Update on Drug-induced Oral Reactions.
AB - Adverse drug reactions (ADRs) are one of the major culprits in the development of
oral lesions, which can be misdiagnosed with underlying diseases. The goal of
this study is to summarize and update the current knowledge about drug-induced
oral reactions. Electronic searches were performed in Scopus, Google Scholar,
Cochrane and PubMed databases, for articles published between January 2008 and
August 2017. Two authors screened the titles and abstracts for eligibility.
Finally, 56 studies included in this review. There was no systematic homogeneity
in the included studies; thereby no meta-analysis was performed. The most
frequent oral ADR was xerostomia,andthe most reported cause was antihypertensive
medications. Cardiovascular drugs were the most reported culprit agents for
induction of oral ulcerative and vesiculo-bullous lesions, followed by
methotrexate. Nonsteroidal anti-inflammatory drugs (NSAIDs) and beta-blockers
were found the most common responsible drugs for induction of oral lichen planus.
This article is open to POST-PUBLICATION REVIEW. Registered readers (see "For
Readers") may comment by clicking on ABSTRACT on the issue's contents page.
PMID- 29789104
TI - Functionalized Caprolactone-Polyethylene Glycol Based Thermo-Responsive Hydrogels
of Silibinin for the Treatment of Malignant Melanoma.
AB - PURPOSE: Silibinin, is a natural compound, which has shown anticancer activity in
various malignancies. In this study, we evaluated the anticancer effects of
silibinin in B16-F10 melanoma cells and developed a novel thermoresponsive
hydrogel for local delivery of this compound. METHOD: A thermoresponsive hydrogel
loaded with silibinin was prepared using triblock copolymers of poly[(alpha
benzyl carboxylate-e-caprolactone)-co-(alpha-carboxyl-e-caprolactone)]ran-b-PEG-b
[(alpha-benzyl carboxylate-e-caprolactone) -co-(alpha-carboxyl-e
caprolactone)]ran (PCBCL-b-PEG-b-PCBCL), namely PolyGelTM, and compared with a
Pluronic F-127 formulation of silibinin. Sol-gel transition temperature of
hydrogels was measured by inverse flow method and modulated differential scanning
calorimetry (MDSC). Silibinin loading efficiency was measured by HPLC. The MTT
and clonogenic assays were used to assess the cytotoxicity and anti-proliferative
effects of silibinin on B16-F10 melanoma cells. Flow cytotmetry was used to
quantify the induced level of apoptosis and measure the intracellular level of
activated STAT3 (pSTAT3) following silibinin treatment in B16.F10 cells. The
effects of silibinin on the activation of oncogenic proteins were also evaluated
by western blot. RESULTS: Silibinin inhibited cell proliferation (IC50 = 67 uM),
provoked cell cycle arrest, induced apoptosis, suppressed key oncogenic pathways
(i.e STAT3 and MEK/ERK), and enhanced the cytotoxic effects of doxorubicin in B16
F10 cells. Both PolyGelTM and Pluronic F-127 hydrogels were effective in loading
silibinin. A lower drug release pattern within 24h, fitting first- order release
kinetics, was observed for the release of silibinin from both gels compared to
free drug. PolyGelTM demonstrated enhanced percutaneous absorption of silibinin
through increasing mouse skin intracellular lipid fluidity as documented by DSC
of skin following PolyGelTM use. Silibinin loaded in PolyGel TM inhibited the
growth of B16-F10 cells (IC50 = 30 uM) and effectively suppressed pSTAT3 activity
in B16-F10 cells at 10 uM. CONCLUSION: Our results imply a great potential for
PolyGel TM formulations of silibinin for local treatment of malignant melanoma.
This article is open to POST-PUBLICATION REVIEW. Registered readers (see "For
Readers") may comment by clicking on ABSTRACT on the issue's content page.
PMID- 29789106
TI - Pulmonary Bullae as an Indicator of an Elevated Risk of Renal Carcinoma.
PMID- 29789107
TI - Disturbed Microcirculation as Cause.
PMID- 29789105
TI - Oral Anticoagulation in Chronic Kidney Disease and Atrial Fibrillation.
AB - BACKGROUND: Cardiological societies recommend, in their guidelines, that patients
with atrial fibrillation and an intermediate (or higher) risk of stroke and
systemic embolization should be treated with oral anticoagulant drugs. For
patients who do not have mitral valve stenosis or a mechanical valve prosthesis,
non-vitamin-K dependent oral anticoagulants (NOAC) are preferred over vitamin K
antagonists (VKA) for this purpose. It is unclear, however, whether patients with
chronic kidney disease and atrial fibrillation benefit from oral anticoagulation
to the same extent as those with normal kidney function. It is also unclear which
of the two types of anti - coagulant drug is preferable for patients with chronic
kidney disease; NOAC are, in part, renally eliminated. METHODS: This review is
based on pertinent publications retrieved by a selective literature search, and
on international guidelines. RESULTS: Current evidence suggests that patients
with atrial fibrillation who have chronic kidney disease with a glomerular
filtration rate (GFR) above 15 mL/ min/1.73 m2 should be treated with an oral
anticoagulant drug if they have an at least intermediate risk of embolization, as
assessed with the CHA2DS2-VASc score. For patients with advanced chronic kidney
disease (GFR from 15 to 29 mL/ min/1.73 m2), however, this recommendation is
based only on registry studies. For dialysis patients with atrial fibrillation,
decisions whether to give oral anticoagulant drugs should be taken on an
individual basis, in view of the elevated risk of hemorrhage and the unclear
efficacy of such drugs in these patients. The subgroup analyses of the NOAC
approval studies show that, for patients with atrial fibrillation and chronic
kidney disease with a creatinine clearance of >25-30 mL/min, NOAC should be given
in preference to VKA, as long as the patient does not have mitral valve stenosis
or a mechanical valve prosthesis. For those whose creatinine clearance is less
than 25 mL/min, the relative merits of NOAC versus VKA are still debated.
CONCLUSION: The cardiological societies' recommendation that patients with atrial
fibrillation should be given oral anticoagulant drugs applies to the majority of
such patients who also have chronic kidney disease.
PMID- 29789108
TI - Occurence in Stages of Prediabetes.
PMID- 29789109
TI - Supplemental Information Necessary.
PMID- 29789110
TI - Amiodarone-Associated Vestibulopathy.
PMID- 29789111
TI - Leprosy Neuritis.
PMID- 29789112
TI - In Reply.
PMID- 29789113
TI - A Fall From a Scaffold, an Ignited Smartphone, and a Burn on the Buttocks.
PMID- 29789114
TI - Painful Oculomotor Nerve Palsy.
PMID- 29789116
TI - 10th International Conference on managing fatigue: Managing fatigue to improve
safety, wellness, and effectiveness.
PMID- 29789115
TI - Hints on Diagnosing and Treating Headache.
AB - BACKGROUND: Headache, like dizziness, is one of the more common presenting
complaints in outpatient care and in the emergency room. More than 200 varieties
of headache have been described, and the false impression may arise that the
diagnosis and treatment of these syndromes is a highly challenging task. METHODS:
This review is based on pertinent articles retrieved by a selective search in
PubMed. RESULTS: In primary headache, the headache is not a symptom but a disease
in its own right. There are four types of primary headache: migraine, tension
headache, trigeminal autonomic cephalalgia, and other primary headache disorders.
By definition, the physical examination is normal, including the neurological
examination. Secondary headache, in contrast, is a symptom of another disease
(e.g., a tumor or cerebral hemorrhage). Triptans and nonsteroidal anti
inflammatory drugs (NSAID) are the drugs usually given for the acute treatment
and prophylaxis of migraine. In tension headache, NSAID are given acutely, and
tricyclic drugs for prophylaxis. There are various options for the treatment of
trigeminal autonomic cephalalgia syndromes such as cluster headache and
paroxysmal hemicrania. For group 4 headaches (other primary headache disorders),
the treatment must be chosen on an individual basis; indomethacin is often
effective. CONCLUSION: If the patient is clearly suffering from none of the four
types of primary headache, the problem must be a headache of a secondary nature,
potentially reflecting a dangerous underlying disease. The treatment of headache
is usually successful and thus highly rewarding for physicians of all medical
specialties.
PMID- 29789117
TI - Hemoperitoneum due to delayed gallbladder rupture after abdominal trauma.
PMID- 29789118
TI - Septic shock secondary to Mirizzi syndrome resolved in two stages.
PMID- 29789119
TI - Hyperostotic esthesioneuroblastoma as a fibrous dysplasia mimicker.
PMID- 29789120
TI - SQAB 2017: Quantitative and Comparative Analyses of Behavior.
PMID- 29789122
TI - Indexed plasma drug concentrations for drug adherence screening in hypertensive
patients.
AB - AIM: Due to its high sensitivity, qualitative plasma drug screening by liquid
chromatography/tandem mass spectrometry may not be able to distinguish same-day
drug intake from drug use on preceding days and cause misclassifications of drug
adherence in hypertensive patients. Analysis of plasma drug concentrations may
provide more accurate results. PATIENTS AND METHODS: We describe dose-dependent
indexing of plasma drug concentrations for expected peak concentrations to define
individual screening thresholds for same-day drug use. To explore its utility,
plasma samples from 9 hypertensive patients without major comorbidity were
prospectively analyzed on two occasions. All were on hydrochlorothiazide with
either amlodipine (n=7) and/or valsartan (n=6) at different doses. Drugs were
quantitated by mass spectrometry. Non-adherence was defined if an indexed drug
concentration was below the expected trough level at 24-hour dosing interval.
RESULTS: All patients were adherent by qualitative plasma screening
(spectrometric sensitivity). On the first visit (random sampling time), mean
plasma concentrations of the drugs were 102+/-70, 15.4+/-6.7 and 2529+/
1608ng/mL, and mean indexes 84+/-57%, 85+/-35% and 60+/-38%, respectively. Using
the study criterion, non-adherence was suspected in three. Intraindividual cross
checking retained two. On the second visit (fixed sampling time), amlodipine
concentration was 15.6+/-8.5ng/mL (88+/-52% after indexing). Two patients were
non-adherent according to the study criterion. CONCLUSION: Indexing of plasma
drug concentrations appears practicable and useful for drug adherence screening
under clinical conditions. With this technique, same-day drug intake can be
easily distinguished which reduces the risk of false positive results associated
with qualitative drug screening.
PMID- 29789124
TI - Effective utilization of non-invasive enteroscopy.
PMID- 29789121
TI - Aire is not essential for regulating neuroinflammatory disease in mice transgenic
for human autoimmune-diseases associated MHC class II genes HLA-DR2b and HLA-DR4.
AB - The human autoimmune disease-associated HLA alleles HLA-DR2b (DRB1*1501) and HLA
DR4 (DRB1*0401) are strongly linked to increased susceptibility for multiple
sclerosis (MS) and rheumatoid arthritis (RA), respectively. The underlying
mechanisms are not fully understood, but these MHC alleles may shape the
repertoire of pathogenic T cells via central tolerance. The transcription factor
autoimmune regulator (AIRE) promotes central T cell tolerance via ectopic
expression of tissue-specific antigens (TSAs). Aire deficiency in humans causes
autoimmune polyendocrinopathy syndrome type 1 (APS1), and Aire knockout mice
(Aire-/-) develop spontaneous autoimmune pathology characterized by multi-organ
lymphocytic infiltrates. Here, we asked whether impaired TSAs gene expression in
the absence of Aire promoted spontaneous MS- or RA-like autoimmune pathology in
the context of human HLA alleles in HLA-DR2b or HLA-DR4 transgenic (tg) mice. The
results show that reduced TSAs gene expression in the thymus of Aire-deficient
HLA-DR2b or HLA-DR4 tg mice corresponded to mild spontaneous inflammatory
infiltrates in salivary glands, liver, and pancreas. Moreover, Aire-deficiency
modestly enhanced experimental autoimmune encephalomyelitis (EAE) in HLA-DR tg
mice, but the animals did not show signs of spontaneous neuroinflammation or
arthritis. No significant changes were observed in CD4+ T cell numbers, T cell
receptor (TCR) distribution, regulatory T cells (Treg), or antigen-induced
cytokine production. Abrogating Treg function by treatment with anti-CTLA-4 or
anti-CD25 mAb in Aire-deficient HLA-DR tg mice did not trigger EAE or other
autoimmune pathology. Our results suggest a redundant role for Aire in
maintaining immune tolerance in the context of autoimmune disease-associated
human HLA alleles.
PMID- 29789123
TI - Amputation stump perfusion is predictive of post-operative necrotic eschar
formation.
AB - BACKGROUND: A large proportion of patients develop poor amputation stump healing.
We hypothesize that Laser-Assisted Fluorescent Angiography (LAFA) can predict
inadequate tissue perfusion and healing. METHODS: Over an 8-month period we
reviewed all patients who underwent lower extremity amputation and LAFA. We
evaluated intra-operative LAFA global and segmental stump perfusion, and post
operative modified Bates-Jensen (mBJS) wound healing scores. RESULTS: In 15
patients, amputation stumps with lower global perfusion demonstrated higher mBJS
(P = 0.01). Lower suture-line perfusion also correlated with more eschar
formation (P < 0.001). Diabetic patients had higher mBJS (P = 0.009), lower stump
perfusion (P = 0.02), and increased eschar volume (P < 0.001). CONCLUSION: LAFA
is a useful adjunct for intra-operative stump perfusion assessment and can
predict areas of poor stump healing and eschar formation. Diabetic patients seem
to be at higher risk of stump eschar formation.
PMID- 29789125
TI - Comparison of Changes in mGCIPL Thickness Between Medically and Surgically
Treated Eyes With Advanced Glaucoma.
PMID- 29789126
TI - A comprehensive review on self-healing of asphalt materials: Mechanism, model,
characterization and enhancement.
AB - Self-healing has great potential to extend the service life of asphalt pavement,
and this capability has been regarded as an important strategy when designing a
sustainable infrastructure. This review presents a comprehensive summary of the
state-of-the-art investigations concerning the self-healing mechanism, model,
characterization and enhancement, ranging from asphalt to asphalt pavement.
Firstly, the self-healing phenomenon as a general concept in asphalt materials is
analyzed including its definition and the differences among self-healing and some
viscoelastic responses. Additionally, the development of self-healing in asphalt
pavement design is introduced. Next, four kinds of possible self-healing
mechanism and corresponding models are presented. It is pointed out that the
continuum thermodynamic model, considering the whole process from damage
initiation to healing recovery, can be a promising study field. Further, a set of
self-healing multiscale characterization methods from microscale to macroscale as
well as computational simulation scale, are summed up. Thereinto, the
computational simulation shows great potential in simulating the self-healing
behavior of asphalt materials from mechanical and molecular level. Moreover, the
factors influencing self-healing capability are discussed, but the action
mechanisms of some factors remain unclear and need to be investigated. Finally,
two extrinsic self-healing technologies, induction heating and capsule healing,
are recommended as preventive maintenance applications in asphalt pavement. In
future, more effective energy-based healing systems or novel material-based
healing systems are expected to be developed towards designing sustainable long
life asphalt pavement.
PMID- 29789127
TI - Maternal n-3 polyunsaturated fatty acid dietary supply modulates microglia lipid
content in the offspring.
AB - The brain is highly enriched in long chain polyunsaturated fatty acids (LC-PUFAs)
that are esterified into phospholipids, the major components of cell membranes.
They accumulate during the perinatal period when the brain is rapidly developing.
Hence, the levels of LC-PUFAs in the brains of the offspring greatly depend on
maternal dietary intake. Perinatal n-3 PUFA consumption has been suggested to
modulate the activity of microglial cells, the brain's innate immune cells which
contribute to the shaping of neuronal network during development. However, the
impact of maternal n-3 PUFA intake on microglial lipid composition in the
offspring has never been studied. To investigate the impact of maternal dietary n
3 PUFA supply on microglia lipid composition, pregnant mice were fed with n-3
PUFA deficient, n-3 PUFA balanced or n-3 PUFA supplemented diets during gestation
and lactation. At weaning, microglia were isolated from the pup's brains to
analyze their fatty acid composition and phospholipid class levels. We here
report that post-natal microglial cells displayed a distinctive lipid profile as
they contained high levels of eicosapentaenoic acid (EPA), more EPA than
docosahexaenoic acid (DHA) and large amount of phosphatidylinositol (PI) /
phosphatidylserine (PS). Maternal n-3 PUFA supply increased DHA levels and
decreased n-6 docosapentaenoic acid (DPA) levels whereas the PI/PS membrane
content was inversely correlated to the quantity of PUFAs in the diet. These
results raise the possibility of modulating microglial lipid profile and their
subsequent activity in the developing brain.
PMID- 29789128
TI - Prostaglandin E2 attenuates synergistic bactericidal effects between COX
inhibitors and antibiotics on Staphylococcus aureus.
AB - PGE2 is found to attenuate the bactericidal effects of kanamycin or ampicillin in
Staphylococcus aureus, as well as the methicillin-resistant S. aureus (MRSA). Co
treatment with cyclooxygenase (COX) inhibitors (celecoxib, aspirin or naproxen)
synergistically enhances kanamycin or ampicillin-induced cell death of S. aureus
and MRSA. COX inhibitors repressed bacterial multidrug resistance through down
regulating efflux pump activity in antibiotics-treated S. aureus and MRSA.
However, this synergistic bactericidal effects are reduced by the treatment with
PGE2. PGE2 restores the efflux pump activity as well as increases biofilm
formation in S. aureus and MRSA. Collectively, the enhancement of efflux pump
activity and biofilm formation with PGE2 might partially explain the resistance
to synergistic bactericidal effects between COX inhibitors and antibiotics in
PGE2-treated S. aureus.
PMID- 29789129
TI - Trends in linoleic acid intake in the United States adult population: NHANES 1999
2014.
AB - Linoleic acid (LA), the primary polyunsaturated fatty acid (PUFA) in the US diet,
is an essential fatty acid. LA is available from a wide variety of foods,
although it is primarily sourced from plant seed oils. Individual-level data on
demography and food and nutrient intake were acquired from the NHANES waves 1999
2000, 2001-2002, 2003-2004, 2005-2006, 2007-2008, 2009-2010, 2011-2012, and 2013
2014. Mean daily intake of (LA) was estimated for each survey wave overall, and
by age, gender, educational attainment, race/ethnicity, and income-to-poverty
ratio. Linear temporal (1999-2014) trends in LA intake were estimated using
univariate linear regression tests, with P < 0.05 and a two-tailed distribution.
We found that US adults meet intake recommendation for LA and observed a trend of
increasing intake of LA in the US overall and by sub-categories of age, sex,
education, race/ethnicity, and income-to-poverty ratio.
PMID- 29789130
TI - The effects of alcohol on plasma lipid mediators of inflammation resolution in
patients with Type 2 diabetes mellitus.
AB - BACKGROUND: Type 2 diabetes mellitus is characterized by peripheral insulin
resistance and low-grade systemic inflammation. Inflammation resolution is
recognised as an important process driven by specialised pro-resolving mediators
of inflammation (SPMs) and has the potential to moderate chronic inflammation.
Alcohol has the potential to affect synthesis of SPMs by altering key enzymes
involved in SPM synthesis and may influence ongoing inflammation associated with
Type 2 diabetes mellitus. AIMS: (i) To examine the effects of alcohol consumed as
red wine on plasma SPM in men and women with Type 2 diabetes in a randomised
controlled trial and (ii) compare baseline plasma SPM levels in the same patients
with those of healthy volunteers. METHODS: Twenty-four patients with Type 2
diabetes mellitus were randomized to a three-period crossover study with men
drinking red wine 300 ml/day (~31 g alcohol/day) and women drinking red wine 230
ml/day (~24 g alcohol/day), or equivalent volumes of dealcoholized red wine (DRW)
or water, each for 4 weeks. The SPM 18-hydroxyeicosapentaenoic acid (18-HEPE), E
series resolvins (Rv) (RvE1-RvE3), 17-hydroxydocosahexaenoic acid (17-HDHA), and
D-series resolvins (RvD1, 17R-RvD1, RvD2, RvD5), 14-hydroxydocosahexaenoic acid
(14-HDHA) and Maresin 1 were measured at the end of each period. A baseline
comparison of plasma SPM, hs CRP, lipids and glucose was made with healthy
volunteers. RESULTS: Red wine did not differentially affect any of the SPM
measured when compared with DRW or water. Baseline levels of the hs-CRP and the
SPM 18-HEPE, 17-HDHA, RvD1 and 17R-RvD1 in patients with Type 2 diabetes mellitus
were all significantly elevated compared with healthy controls and remained so
after adjusting for age and gender. CONCLUSION: Moderate alcohol consumption as
red wine does not alter plasma SPM in patients with Type 2 diabetes mellitus. The
elevation of SPM levels compared with healthy volunteers may be a homeostatic
response to counter ongoing inflammation.
PMID- 29789131
TI - Randomized trials of replacing saturated fatty acids with n-6 polyunsaturated
fatty acids in coronary heart disease prevention: Not the gold standard?
AB - Several trials in the 1950s through 1970s tested the hypothesis that replacing
saturated fat in the diet predominantly with n-6 polyunsaturated fat (PUFA) would
reduce the incidence of coronary heart disease (CHD), mainly through modifying
blood lipid profile. Most of these trials did observe a reduction in serum total
cholesterol in the intervention group, but many trials failed to find a
significant reduction in the incidence of CHD. However, some meta-analyses have
found a reduced incidence of CHD by pooling the results from the trials.
Recently, new recovered and reanalyzed data has emerged from two of the old
trials. The new findings seemed to counteract the classical diet-heart
hypothesis, when they found no cardiovascular benefit and even suggested harm,
despite reduction in the serum total cholesterol concentration after replacing
saturated fat especially with n-6 PUFA. This has raised criticism regarding the
validity of the dietary recommendations that suggest partially replacing
saturated fats with n-6 PUFA. This paper introduces the classical diet-heart
trials and their main results and how the new findings relate to the overall
study data of the cardiovascular effects of the n-6 PUFA. For multiple reasons
considered here, it is difficult to draw firm conclusions of the cardiovascular
effects of the n-6 PUFA based only on the findings in the old diet-heart trials.
A more comprehensive picture emerges when also other lines of evidence is
considered. The overall study data, including findings also from prospective
cohort studies and from dietary trials with intermediate outcomes, still suggests
that replacing saturated fat with n-6 PUFA would rather be beneficial than
harmful for the prevention of CHD.
PMID- 29789132
TI - Inverted diverticulum of the colon - a cautious diagnosis.
PMID- 29789133
TI - Eosinophilic pneumonia induced by non-steroidal anti-inflammatory drugs: An
underestimated risk.
AB - INTRODUCTION: Eosinophilic pneumonia (EP) is a rare but serious adverse drug
reaction (ADR) induced by non-steroidal anti-inflammatory drugs (NSAIDs).
METHODS: We describe the second published case of EP induced by oral diclofenac.
We also reviewed the literature as well as French pharmacovigilance database.
Case presentation A 63 year-old woman with polyarthralgia had taken diclofenac
for three days for analgesic purposes. Progressively, the patient presented
weakness, dyspnea and fever. Computed tomography (CT) scan revealed bilateral
interstitial infiltration. Broncho-alveolar lavage (BAL) showed an elevated level
of eosinophils. After ruling out all other possible etiologies, drug-induced EP
was diagnosed and treatment by corticosteroid was initiated. The patient
recovered in three months. RESULTS: In the French pharmacovigilance database, six
cases of EP were recorded (3 with naproxen, 2 with ibuprofen, 1 with piroxicam).
In the literature, twenty-six cases of EP with NSAIDs were published. The most
commonly involved drug was naproxen (n=8), followed by fenbufen (n=4), ibuprofen
(n=3) and diclofenac (n=2). A high level of eosinophils was systematically
observed in the blood cell count or BAL. Corticosteroid therapy was started in
eleven cases. All patients recovered. CONCLUSION: Complete history taking and
examination should be done to rule out other etiological diagnoses. BAL is
sufficient to diagnose EP. Corticosteroid therapy should be indicated for more
severe or refractory cases. This adverse drug reaction is underestimated,
healthcare professionals should be informed.
PMID- 29789134
TI - Fluoroscopy-guided removal of individualised airway-covered stents for airway
fistulas.
AB - AIM: To report the techniques and complications associated with fluoroscopy
guided removal of individual covered stents for airway fistula disease over a 6
year period. MATERIALS AND METHODS: A retrospective analysis was conducted of 85
patients, 72 male and 13 female, who underwent fluoroscopy-guided stent removal
from January 2011 to June 2017. Patients ranged in age from 15 to 81 years (mean,
54.9+/-12.3 years). Before removal, 90 stents had been placed for airway fistula
disease. All patients underwent diagnostic flexible bronchoscopy and airway
computed tomography (CT) prior to stent removal. The indications for stent
removal and post-interventional complications were analysed. RESULTS: Eighty-nine
of 90 airway stents were successfully removed from 85 consecutive patients, for a
technique success rate of 98.9%. Of these, 14 stents showed strut fracture, one
stent showed retained stent pieces, and the remaining 75 stents were removed in
one piece. Indications for stent removal included regular removal (n=46), stent
migration (n=11), excessive granulation tissue (n=10), strut fracture (n=4),
intolerance of stenting (n=6). Complications of stent removal were as follows:
retained stent pieces (n=1), mucosal tear with massive bleeding (n=2), re
obstruction requiring stenting (n=1), dyspnoea with mechanical ventilation needed
(n=1), and dyspnoea with oxygen inhalation needed (n=1). CONCLUSIONS: Airway
stents can be safely removed under fluoroscopy guidance. Removal after 31-90 days
is recommended for removal to avoid excessive granulation tissue.
PMID- 29789135
TI - Combined effects of ocean acidification and temperature on planula larvae of the
moon jellyfish Aurelia coerulea.
AB - Rapidly rising levels of atmospheric CO2 have caused two environmental stressors,
ocean acidification and seawater temperature increases, which represent major
abiotic threats to marine organisms. Here, we investigated for the first time the
combined effects of ocean acidification and seawater temperature increases on the
behavior, survival, and settlement of the planula larvae of Aurelia coerulea,
which is considered a nuisance species around the world. Three pH levels (8.1,
7.7 and 7.3) and two temperature levels (24 degrees C and 27 degrees C) were
used in the present study. There were no interactive effects of temperature and
pH on the behavior, survival, and settlement of planula larvae of A. coerulea. We
found that the swimming speed and mortality of the planula larvae of A. coerulea
were significantly affected by temperature, and low pH significantly affected
settlement. Planula larvae of A. coerulea from the elevated temperature treatment
moved faster and showed higher mortality than those at the control temperature.
The settlement rate of A. coerulea planulae was significantly higher at the pH
level of 7.3 than at other pH levels. These results suggest that seawater
temperature increase, rather than reduced pH, was the main stress factor
affecting the survival of A. coerulea planulae. Overall, the planula larvae of
the common jellyfish A. coerulea appeared to be resistant to ocean acidification,
but may be negatively affected by future seawater temperature increases.
PMID- 29789136
TI - Penetrating trauma in children on the United States-Mexico border: Hispanic
ethnicity is not a risk factor.
AB - INTRODUCTION: The United States-Mexico border is perceived as dangerous by the
media and current political leaders. Hispanic ethnicity, low socioeconomic
status, male gender and adolescent age have previously been identified as risk
factors for penetrating trauma (PT). METHODS: A retrospective review of PT was
performed in a border region. Children 0-17 years old, admitted to the region's
only level I trauma center between 2001 and 2016 were included. Standardized
morbidity ratio was used to compare observed to expected morbidity. RESULTS:
There were 417 PT admissions. 197 (47%) were non-accidental, 34 (8%) suicide
attempts and 186 (45%) accidental. There were 12 homicides, 7 suicides and no
accidental deaths. The region contains over 280,000 children, thus yielding a
homicide rate of 0.26 per 100,000. The U.S. pediatric homicide rate was 2.6-4.0
over this period. Adolescents 13-17 years old accounted for 237 (57%) admissions,
152 (78%) of non-accidental admissions and 12 (63%) deaths. Most admissions (N =
321, 77%) and 15 of the deaths (79%) were males. Non-accidental injuries were
more frequent in ZIP codes associated with low incomes. Hispanic patients
accounted for 173 (88%) of non-accidental trauma. However, 40 (20%) non
accidental injuries occurred in Mexico and 157 (80%) injuries occurred in an 82%
Hispanic region. Therefore, the standardized morbidity ratio for Hispanic
ethnicity was 1.048 (CL 0.8-1.2, P = 0.6). CONCLUSION: On the United States
Mexico border, the pediatric homicide rate was less than 1/10 the national
average. Male adolescents are at risk for non-accidental PT. In a Hispanic
majority population, Hispanic ethnicity was not a risk factor for PT. It is
possible that economic disparity, rather than race/ethnicity, is a risk factor
for PT.
PMID- 29789137
TI - Serratus-intercostal interfascial block as an opioid-saving strategy in supra
umbilical open surgery.
AB - INTRODUCTION: The administration of local anaesthetic in the serratus-intercostal
space provides adequate analgesia in non-reconstructive breast surgery. The aim
of this study was to evaluate whether the blockage of the last intercostal nerves
(T7-T11) can lead to opioid savings in supra-umbilical open surgery procedures.
MATERIAL AND METHODS: A prospective observational study was conducted on patients
undergoing open supra-umbilical surgery under general anaesthesia and with a
serratus-intercostal plane block [modified Blocking the bRanches of IntercostaL
nerves in the Middle Axillary line (BRILMA)] as an associated analgesic strategy.
Post-operative pain was assessed with the numerical verbal scale (NVS 0 to 10) on
admission to the post-anAesthesia recovery unit, at 6, 12, 24, and 48h
postintervention and by need for analgesic rescues with opioids (2mg iv of
morphine, if values higher than 3 in NVS). Adverse events related to the
technique were also recorded. The statistical package used in the analysis of the
data was SPSS(r) for Windows. RESULTS: The study recruited 52 patients.
Differences, with a p<.05, were found intra-operatively in the consumption of
fentanyl: 400 + 80MUg versus 110 + 50MUg in patients who underwent pre-incisional
blockade. In the first 24hours, only 3 cases (two gastrectomies and one
cholecystectomy) required morphine (single bolus of 2mg). Between 24h and 48h it
was necessary to administer several morphine boluses (8 + 2mg) in four patients
(three gastrectomies and one cholecystectomy). Four patients presented with
nausea and / or vomiting and there were no complications related to the analgesic
technique. CONCLUSION: The intercostal nerves block (T7-T11) in the serratus
intercostal space may constitute an opioid-sparing analgesic strategy in open
supra-umbilical surgery.
PMID- 29789138
TI - Introduction to primary hemifacial spasm: A neurosurgical disease.
AB - Primary hemifacial spasm is a hyperactive cranial nerve syndrome. The cause is
always a neurovascular compression, generally at the root exit zone from the
brainstem. Its curative treatment is microvascular decompression, that may be
performed as a first option, or secondarily when botulinum toxin injections fail.
PMID- 29789139
TI - Medical and Preoperative Evaluation of the Older Adult.
AB - Because of age, increased rates of multimorbidity, polypharmacy, functional
changes, and cognitive impairment, older adults are at higher risk for
perioperative complications. Identifying modifiable risk factors and educating
patients and families about what to expect can improve surgical outcomes and
satisfaction. Comprehensive preoperative evaluation assesses these potential
factors and should include recommendations for risk reduction. The optimal
preoperative evaluation for older adults should address medical conditions and
other areas pertinent to the care of older adults including assessments of
cognition, capacity, delirium risk, function, frailty, nutrition, medications,
and treatment preferences.
PMID- 29789140
TI - Changes in concentrations of tau-reactive antibodies are dependent on sex in
Alzheimer's disease patients.
AB - The presence of pre-existing natural antibodies against Alzheimer's disease (AD)
pathological proteins might interfere with immune responses to therapeutic
vaccination with these proteins. We aimed to compare levels of antibodies in CSF
and serum: We observed higher reactivity of natural tau-reactive antibodies
towards phosphorylated bovine tau protein than to human recombinant (non
phosphorylated) tau protein. Males with MCI-AD had higher amounts of these
antibodies than corresponding controls. Concentrations of antibodies were lower
in females with the MCI-AD than in control females. These findings may have
implications for tau vaccination trials.
PMID- 29789142
TI - Diffuse idiopathic pulmonary neuroendocrine cell hyperplasia: Report of two
cases.
AB - INTRODUCTION: Diffuse idiopathic pulmonary neuroendocrine cell hyperplasia
(DIPNECH) is a rare disorder characterized by a proliferation of neuroendocrine
cells within the lung. It is classically described as a disease with persistent
cough, dyspnea and wheezing in non-smoker middle aged females. CT of the chest
reveals diffuse air trapping with mosaic pattern. PATIENTS AND METHODS: We
present two cases of DIPNECH that were sent to our department to perform a lung
biopsy with the diagnostic suspicion of diffuse interstitial disease. Both cases
were women with a history of chronic cough and moderate effort dyspnea. RESULTS
AND DISCUSSION: The aim of this paper is that physicians take into account this
diagnostic entity before treating as an asthmatic a patient with these
characteristics, not forgetting that they are prenoplastic lesions.
PMID- 29789141
TI - Patterns of peritraumatic threat perceptions in patients evaluated for suspected
acute coronary syndrome according to prior and current posttraumatic stress
symptoms.
AB - OBJECTIVE: Prior posttraumatic stress disorder (PTSD) and elevated threat
perceptions predict posttraumatic psychopathology after evaluation for acute
coronary syndrome (ACS), but most research has measured threat retrospectively.
We investigated how threat perceptions during ACS evaluation in the emergency
department (ED) and upon recall were associated with posttraumatic
psychopathology burden due to prior trauma and the suspected ACS. METHODS:
Perceived threat was assessed in the ED, and ED threat recall was assessed upon
inpatient transfer/discharge, along with acute stress disorder (ASD) symptoms due
to suspected ACS and PTSD symptoms due to prior trauma. The sample comprised 894
participants (mean age = 60.7 +/- 13.1 years; 46.8% female; 56.3% Hispanic; 20.5%
Black). One-way ANOVAs examined how those with consistent posttraumatic
psychopathology (prior PTSD/ASD; 14.8%), prior posttraumatic psychopathology
(prior PTSD/no ASD; 6.8%), new-onset posttraumatic psychopathology (no PTSD/ASD;
15.7%), or no posttraumatic psychopathology (no PTSD/no ASD; 62.8%) differed in
threat perception, threat recall, and their discrepancy. RESULTS: Threat
perception scores ranged from 6 to 24. Participants with consistent posttraumatic
psychopathology had higher threat perceptions (M = 14.01) than those with prior
posttraumatic psychopathology (M = 12.02) and new-onset posttraumatic
psychopathology (M = 12.21) (ps <= 0.001); the latter two did not differ
significantly but had higher threat perceptions than those with no posttraumatic
psychopathology (M = 9.84) (p < .001). Similar results were observed for threat
recall (p < .001). The new-onset posttraumatic psychopathology group also had a
greater increase in perceived threat versus the no posttraumatic psychopathology
group (p = .06). Results were similar adjusting for potential confounders.
CONCLUSIONS: Assessing threat perceptions during ACS evaluation and
hospitalization may help identify those at risk for emotional difficulties post
ACS.
PMID- 29789143
TI - Skin necrosis after septic shock.
PMID- 29789144
TI - Papillon-Lefevre Syndrome: A case report.
PMID- 29789145
TI - Corrigendum to "Preparation and characterization of antibacterial, eco-friendly
edible nanocomposite films containing Salvia macrosiphon and nanoclay" [Int. J.
Biol. Macromol. 113(2018) 66-72].
PMID- 29789146
TI - Muir-Torre syndrome.
PMID- 29789147
TI - Long-term outcomes of isolated superficial vein thrombosis in patients with
active cancer.
AB - BACKGROUND: Cancer patients who develop a deep-vein thrombosis (DVT) or a
pulmonary embolism (PE) are at higher risk of death than similar cancer patients
who do not develop DVT or PE. The impact of isolated superficial venous
thrombosis (SVT) (i.e. without DVT or PE) on the prognosis of cancer patients is
unknown. METHODS: Data from the OPTIMEV, multicentre, observational study, to
compare at 3 years the incidences of death, DVT-PE recurrence and bleeding of
cancer patients with objectively confirmed SVT vs. cancer patients with DVT
(matched 1:2 on age, sex, cancer stage) and vs. patients with SVT without cancer
(matched 1:3 on age and sex). RESULTS: Cancer patients with SVT (n = 34) had a
high risk of death (23.2%patient-year(PY)), that was similar to that of cancer
patients with DVT (aHR = 1.0[0.6-1.9]) and higher to that of SVT patients without
cancer (aHR = 9.0[3.5-23.1]). Cancer patients with SVT received anticoagulants
for a median duration of 45 days and had a high risk of DVT-PE recurrence
(6.0%PY), similar to that of cancer patients with DVT (adjusted cause-specific HR
(aCHR) = 1.5[0.4-5.8]) and higher to that of SVT patients without cancer (aCHR =
2.9[0.7-11.9]). In our population, venous thrombosis on varicose veins was
associated with a lower risk of death (aHR = 0.6[0.3-1.0]) and DVT-PE recurrence
(aCHR = 0.6[0.2-1.7]). CONCLUSION: Our results suggest that cancer patients with
SVT have a poor prognosis, similar to that of patients with cancer-related DVT.
The high rate of DVT-PE recurrence suggests that such patients may need longer
duration of anticoagulant treatment.
PMID- 29789148
TI - Breakthrough dynamics of s-metolachlor metabolites in drinking water wells:
Transport pathways and time to trend reversal.
AB - We present the results of a two years study on the contamination of the
Luxembourg Sandstone aquifer by metolachlor-ESA and metolachlor-OXA, two major
transformation products of s-metolachlor. The aim of the study was twofold: (i)
assess whether elevated concentrations of both transformation products (up to
1000 ng/l) were due to fast flow breakthough events of short duration or the
signs of a contamination of the entire aquifer and (ii) estimate the time to
trend reversal once the parent compound was withdrawn from the market. These two
questions were addressed by a combined use of groundwater monitoring, laboratory
experiments and numerical simulations of the fate of the degradation products in
the subsurface. Twelve springs were sampled weekly over an eighteen month period,
and the degradation rates of both the parent compound and its transformation
products were measured on a representative soil in the laboratory using a
radiolabeled precursor. Modelling with the numeric code PEARL simulating
pesticide fate in soil coupled to a simple transfer function model for the
aquifer compartment, and calibrated from the field and laboratory data, predicts
a significant damping by the aquifer of the peaks of concentration of both
metolachlor-ESA and -OXA leached from the soil. The time to trend reversal
following the ban of s-metolachlor in spring protection zones should be observed
before the end of the decade, while the return of contaminant concentrations
below the drinking water limit of 100 ng/l however is expected to last up to
twelve years. The calculated contribution to total water discharge of the fast
flow component from cropland and short-circuiting the aquifer was small in most
springs (median of 1.2%), but sufficient to cause additional peaks of
concentration of several hundred nanograms per litre in spring water. These peaks
are superimposed on the more steady contamination sustained by the base flow, and
should cease immediately once application of the parent compound stops.
PMID- 29789149
TI - The expanding role of murine class Ib MHC in the development and activation of
Natural Killer cells.
AB - Major Histocompatibility Complex-I (MHC-I) molecules can be divided into class Ia
and class Ib, with three distinct class Ib families found in the mouse. These
families are designated as Q, T and M and are largely unexplored in terms of
their immunological function. Among the class Ib MHC, H2-T23 (Qa-1b) has been a
significant target for Natural Killer (NK) cell research, owing to its homology
with the human class Ib human leukocyte antigen (HLA)-E. However, recent data has
indicated that members of the Q and M family of class Ib MHC also play a critical
role in the development and regulation NK cells. Here we discuss the recent
advances in the control of NK cells by murine class Ib MHC as a means to
stimulate further exploration of these molecules.
PMID- 29789150
TI - Compensation for inertial and gravity effects in a moving force platform.
AB - Force plates for human movement analysis provide accurate measurements when
mounted rigidly on an inertial reference frame. Large measurement errors occur,
however, when the force plate is accelerated, or tilted relative to gravity. This
prohibits the use of force plates in human perturbation studies with controlled
surface movements, or in conditions where the foundation is moving or not
sufficiently rigid. Here we present a linear model to predict the inertial and
gravitational artifacts using accelerometer signals. The model is first
calibrated with data collected from random movements of the unloaded system and
then used to compensate for the errors in another trial. The method was tested
experimentally on an instrumented force treadmill capable of dynamic mediolateral
translation and sagittal pitch. The compensation was evaluated in five
experimental conditions, including platform motions induced by actuators, by
motor vibration, and by human ground reaction forces. In the test that included
all sources of platform motion, the root-mean-square (RMS) errors were 39.0 N and
15.3 N m in force and moment, before compensation, and 1.6 N and 1.1 N m, after
compensation. A sensitivity analysis was performed to determine the effect on
estimating joint moments during human gait. Joint moment errors in hip, knee, and
ankle were initially 53.80 N m, 32.69 N m, and 19.10 N m, and reduced to 1.67 N
m, 1.37 N m, and 1.13 N m with our method. It was concluded that the compensation
method can reduce the inertial and gravitational artifacts to an acceptable level
for human gait analysis.
PMID- 29789152
TI - Discussion.
PMID- 29789151
TI - Surgical algorithm and results for repair of pulmonary atresia with ventricular
septal defect and major aortopulmonary collaterals.
AB - OBJECTIVE: Pulmonary atresia with ventricular septal defect and major
aortopulmonary collateral arteries is a complex and heterogeneous form of
congenital heart disease. There is a controversy regarding the optimal treatment
of pulmonary atresia with ventricular septal defect and major aortopulmonary
collateral arteries. The purpose of this study was to summarize our algorithm and
surgical results for pulmonary atresia with ventricular septal defect and major
aortopulmonary collateral arteries. METHODS: This was a retrospective review of
307 patients undergoing primary surgical treatment of pulmonary atresia with
ventricular septal defect and major aortopulmonary collateral arteries. Excluded
from this analysis were patients who had undergone prior surgical treatment at
another institution and patients with single ventricle and major aortopulmonary
collateral arteries. There were 3 surgical pathways, including midline
unifocalization (n = 241), creation of an aortopulmonary window (n = 46), and
other (n = 20). RESULTS: For the 241 patients who underwent midline
unifocalization, 204 (85.4%) had a single-stage complete repair. There were 37
patients who underwent a midline unifocalization and central shunt, and 24 have
subsequently undergone complete repair. Forty-six patients underwent an
aortopulmonary window, of whom 36 have subsequently had a complete repair. There
were 20 patients who had complex anatomy and underwent procedures other than
described, and14 have subsequently undergone complete repair. Thus, for the
patients currently eligible, 280 (93.0%) have achieved complete repair. For the
204 patients who had a single-stage complete repair, the mean right ventricle to
aortic pressure ratio was 0.36 +/- 0.09. Seventy-six patients underwent a staged
repair, and the mean right ventricle to aortic pressure ratio was 0.40 +/- 0.09
(P < .05 compared with single-stage repair). There were 3 (1.5%) early and 8
(4.0%) late deaths for the single-stage complete repair cohort versus 4 (4.0%)
early and 15 (14.9%) late deaths for all other procedures (P < .01). CONCLUSIONS:
The data demonstrate that more than 90% of patients with pulmonary atresia with
ventricular septal defect and major aortopulmonary collateral arteries achieved
complete repair. The overall mortality was significantly lower in the subgroup of
patients who underwent single-stage complete repair.
PMID- 29789153
TI - Adjunct retrograde cerebral perfusion provides superior outcomes compared with
hypothermic circulatory arrest alone: A meta-analysis.
AB - OBJECTIVE: Retrograde cerebral perfusion is becoming less frequently used as a
method of neuroprotection during aortic surgery. The present meta-analysis aims
to compare outcomes after arch surgery with hypothermic circulatory arrest versus
hypothermic circulatory arrest + retrograde cerebral perfusion. METHODS:
Electronic searches were performed using 7 databases from their inception to
September 2016. Relevant comparative studies that included patient groups who
underwent aortic arch surgery using hypothermic circulatory arrest with
continuous retrograde cerebral perfusion or hypothermic circulatory arrest alone
were identified, and data were extracted by 2 independent researchers. Data were
aggregated using a random-effects model per predefined clinical end points.
RESULTS: Twenty-eight comparative studies were identified, with 2705 hypothermic
circulatory arrest cases and 2817 hypothermic circulatory arrest + retrograde
cerebral perfusion cases. No significant differences were seen between both
groups in terms of age, gender, proportion of dissections and aneurysms, and
hemiarch/total arch repair. The hypothermic circulatory arrest + retrograde
cerebral perfusion group had slightly longer cardiopulmonary bypass time and
lower body arrest time. Mortality was significantly increased for the hypothermic
circulatory arrest cohort compared with the hypothermic circulatory arrest +
retrograde cerebral perfusion cohort (odds ratio, 1.75; 95% confidence interval,
1.16-2.63; P = .007; I2 = 54%), but not on pooling of adjusted estimates. Stroke
was also increased for the hypothermic circulatory arrest cohort (odds ratio,
1.50; 95% confidence interval, 1.07-2.10; P = .02; I2 = 29%). No difference in
temporary neurologic deficit was identified (P = .66). Meta-regression found the
treatment effect for mortality and stroke to be less pronounced in more
contemporary series. CONCLUSIONS: These results suggest that the addition of
retrograde cerebral perfusion during aortic arch surgery may provide better
outcomes than using hypothermic circulatory arrest alone, although significant
confounders exist. Further robust studies are required to confirm the utility of
retrograde cerebral perfusion in arch surgery.
PMID- 29789154
TI - Improved prescribed performance control for air-breathing hypersonic vehicles
with unknown deadzone input nonlinearity.
AB - An improved prescribed performance controller is proposed for the longitudinal
model of an air-breathing hypersonic vehicle (AHV) subject to uncertain dynamics
and input nonlinearity. Different from the traditional non-affine model requiring
non-affine functions to be differentiable, this paper utilizes a semi-decomposed
non-affine model with non-affine functions being locally semi-bounded and
possibly in-differentiable. A new error transformation combined with novel
prescribed performance functions is proposed to bypass complex deductions caused
by conventional error constraint approaches and circumvent high frequency
chattering in control inputs. On the basis of backstepping technique, the
improved prescribed performance controller with low structural and computational
complexity is designed. The methodology guarantees the altitude and velocity
tracking error within transient and steady state performance envelopes and
presents excellent robustness against uncertain dynamics and deadzone input
nonlinearity. Simulation results demonstrate the efficacy of the proposed method.
PMID- 29789155
TI - Endocrine and metabolic profile of peripubertal Standardbred colts.
AB - The objectives of this study were to determine the concentrations of reproductive
and metabolic hormones during the peripubertal period and to assess their
relationship with testicular development and body fat deposition. Blood samples
were collected from 23 healthy Standardbred colts every four weeks for twelve
months. Colts were weighed monthly, and percent of body fat and testicular volume
estimated by ultrasound. Onset of puberty was determined as the month when
testosterone was two standard deviations above the previous mean. Plasma FSH, LH,
leptin, estradiol-17beta, androstenedione, IGF-1, insulin, inhibin-A, and inhibin
B were analyzed for a seven month peripubertal period. Spring born Standardbred
colts underwent puberty at 13 months of age; onset of puberty coincided with
exponential testicular growth but did not coincide with an increase in cutaneous
body fat deposition or leptin (p > 0.05). Plasma inhibin-B concentrations were
significantly increased in the postpubertal period (p < 0.05), but no increase
was seen in inhibin-A, androstenedione, FSH, LH, or estradiol-17beta. In
conclusion, the rise in testosterone and subsequent onset of puberty coincides
with rapid testicular growth but is not correlated with an increase in
gonadotropins, IGF-1, cutaneous body fat or leptin in the horse.
PMID- 29789156
TI - Comparing corneal higher-order aberrations in corneal wavefront-guided
transepithelial photorefractive keratectomy versus small-incision lenticule
extraction.
AB - PURPOSE: To evaluate the changes in corneal higher-order aberrations (HOAs) after
corneal wavefront-guided transepithelial photorefractive keratectomy (PRK) and
small-incision lenticule extraction (SMILE). SETTING: Yonsei University College
of Medicine and Eyereum Eye Clinic, South Korea. DESIGN: Retrospective case
series. METHODS: Medical records of patients having either corneal wavefront
guided transepithelial PRK or small-incision lenticule extraction were examined.
The root-mean-square total HOAs, 3rd-order coma aberration, and 4th-order
spherical aberration were measured preoperatively and 6 months postoperatively.
Independent t tests and analysis of covariance were used to compare changes in
corneal HOAs between the 2 groups. RESULTS: The study comprised 77 eyes having
corneal wavefront-guided transepithelial PRK and 81 eyes having small-incision
lenticule extraction. The total HOAs and spherical aberration increased after
transepithelial PRK (all P < .001), whereas coma aberration was stable after
transepithelial PRK. The total HOAs, spherical aberration, and coma aberration
increased after small-incision lenticule extraction (P < .001 for total HOAs,
spherical aberration; P = .004 for coma). At 6 months postoperatively, total HOAs
and spherical aberration were significantly larger in the transepithelial PRK
group than in the small-incision lenticule extraction group. Coma aberration was
larger in the small-incision lenticule extraction group than in the
transepithelial PRK group. Spherical aberration induction was significantly
smaller in the small-incision lenticule extraction group than in the
transepithelial PRK group (P < .001), and coma aberration induction was larger in
the small-incision lenticule extraction group than in the transepithelial PRK
group (P = .011). CONCLUSIONS: Small-incision lenticule extraction demonstrated
that the induction of total HOAs was comparable to corneal wavefront-guided
transepithelial PRK, accompanied by smaller spherical aberration induction and
larger coma aberration induction. During small-incision lenticule extraction,
surgeons should aim to obtain optimum centration for smaller induction of corneal
HOAs.
PMID- 29789157
TI - Comparison of fibrotic response in the human lens capsular bag after femtosecond
laser-assisted cataract surgery and conventional phacoemulsification.
AB - PURPOSE: To compare the effect of different laser pulse energy settings in
femtosecond laser-assisted cataract surgery with that of standard
phacoemulsification and no energy at all used on posterior capsule opacification
(PCO) in vitro. SETTING: Cell and Molecular Biology Research Laboratory,
Department of Ophthalmology, Ludwig-Maximilians-University Munich, Real Eyes,
Ophthalmology Center, Munich, and Institute for Clinical Pathology, Goethe
University Frankfurt, Frankfurt, Germany. DESIGN: Experimental study. METHODS:
Fifteen cadaver capsular bags were cultivated from 8 human donors under standard
cell culture conditions. For preparation of the capsular bag, 4 groups were
established as follows: femtosecond laser-assisted cataract surgery standard
energy (n = 3), femtosecond laser-assisted cataract surgery high energy (n = 3),
phacoemulsification (n = 6), and hydrodissection without energy (extracapsular
cataract extraction) (n = 3). Growth of lens epithelial cells was observed and
photodocumented. The days until full cell coverage of the posterior capsule were
documented. Capsular bags were stained for fibronectin, alpha-smooth muscle
actin, and collagen type 1. RESULTS: Cell growth patterns in all treatment groups
were comparable, with no statistically significant differences detected at any
timepoint measured (P = .81, Kruskal-Wallis). The markers for fibrosis were
equally distributed in all groups, indicating an equal fibrotic reaction in all
groups. CONCLUSION: Femtosecond laser-assisted cataract surgery did not increase
different cellular responses in PCO formation comparison with phacoemulsification
in vitro, even when higher laser pulse energy levels were used.
PMID- 29789158
TI - Rap1 promotes proliferation and migration of vascular smooth muscle cell via the
ERK pathway.
AB - BACKGROUND: Rap1 is involved in a multitude of cellular signal transduction
pathways, which has extensively been linked to cell proliferation and migration.
It has been shown to be important in the regulation of physiological and
pathological processes. The present study aims to elucidate its detailed
mechanistic in proliferation and migration. MATERIAL/METHODS: Vascular smooth
muscle cells (VSMCs) were transfected with pcDNA3.1(empty vector), pcDNA3.1
containing Myc-Tagged-Rap1V12 (Rap1V12) or pcDNA3.1 containing Flag-Tagged
Rap1GAP (Rap1GAP).The cells were presence or absence with 8CPT-2'OMe-cAMP or SDF
1 before transfection. The proliferation and migration were examined by 3-[4,5
dimethylthiazol-2-yl]-2,5 diphenyl tetrazolium bromide (MTT) and transwell
analysis, respectively. Afterwards, western blot was performed to detect the
expression of ERK, phosphorylated-ERK, Rap1, Rap1GAP and Rap1GTP. RESULTS: The
results showed that proliferation, migration and the expression of Rap1, Rap1GAP,
p-EKR were boosted in treatment of Rap1V12-transfection. However, Rap1GAP
presented the opposite effects. Subsequently, VSMCs were pretreatment with
stimulators Rap1 guanine exchange factor (Rap1GEF), 8CPT-2'OMe-cAMP and stromal
cell-derived factor 1 (SDF-1), then transfected with different vectors and the
expression of Rap1, Rap1GAP and p-EKR were obviously decreased. CONCLUSIONS:
Taken together, these findings indicated for the first time that Rap1 was
essential for the VSMCs in proliferation and migration by ERK signaling pathway.
PMID- 29789159
TI - Chickenpox: An update.
AB - Despite its benign characteristics, chickenpox is a childhood disease responsible
for complications and deaths, particularly in the high-risk population.
VariZIG(r), not commercialized in France, is a good alternative for seronegative
individuals exposed to the virus and not eligible for vaccination. The efficacy
of routine vaccination has been demonstrated with a decrease in chickenpox
incidence and with the development of herd immunity. Over time, the protective
antibody titer of vaccinated people decreases and can be maintained by two doses
of the vaccine. A tetravalent measles-mumps-rubella-chickenpox vaccine, used in
the United States, has a good tolerability in spite of the occurrence of fever
and febrile seizures. Routine vaccination would contribute to make savings in
France, by reducing direct and indirect costs of chickenpox.
PMID- 29789160
TI - Pneumocystosis and quantitative PCR.
AB - OBJECTIVE: Pneumocystis pneumonia (PCP) is now predominantly observed in
immunosuppressed non-HIV-infected patients. The sensitivity of the PCR is here
higher than direct examination (DE) of respiratory secretions because the
infection is caused by a lower inoculum of Pneumocystis jirovecii (P. jirovecii).
The objective of our retrospective study was to assess the contribution of
quantitative PCR (qPCR) in the diagnosis of PCP. PATIENTS AND METHODS: All
patients hospitalized for PCP suspicion with a positive qPCR were included.
Irrespective of the qPCR value, patients were initially classified into two
groups (infection and colonization [PCP ruled out]) based on clinical,
radiological, and microbiological data. Both groups were then compared based on
the qPCR value. RESULTS: Between 2013 and 2016, 150 patients were included; 75%
of them were not infected with HIV. The diagnosis of PCP was retained for 129
patients and rejected for 21 patients. The DE was negative in 60% of PCP cases.
The median value of qPCR was 76,650copies/mL among infected patients and
3220copies/mL among colonized patients. The threshold corresponding to a
specificity of 100% was 56,000copies/mL. The optimal value to distinguish an
infection from a colonization was 10,100copies/mL. CONCLUSION: Our study confirms
the diagnostic value of the qPCR in immunosuppressed patients, especially when
the DE is negative. When the qPCR is?56,000copies/mL, the result should be
interpreted based on the clinical context and paraclinical examinations.
PMID- 29789161
TI - Synthesis of silver-containing calcium aluminate particles and their effects on a
MTA-based endodontic sealer.
AB - OBJECTIVE: To synthetize calcium aluminate (C3A) and silver-containing C3A
particles (C3A+Ag) testing their effects on the properties of a MTA-based
endodontic sealer in comparison to an epoxy resin- and a calcium silicate-based
sealer. METHODS: Pure C3A and C3A+Ag particles were synthesized by a chemical
method and characterized using XRD to identify crystalline phases. SEM/EDS
analysis investigated morphology, particle size, and elemental composition of
particles. Setting time, flow, radiopacity, water sorption and solubility of
commercial and modified sealers were evaluated according to ISO 6876/2012. The pH
and ions release were measured using a pHmeter and a microwave induced plasma
optical emission spectrometer. The inhibition of biofilm growth was evaluated by
confocal laser scanning microscopy (CLSM). Data were rank transformed and
analyzed by ANOVA and Tukey test (P<0.05). RESULTS: The C3A particles showed an
irregular grain agglomerated structure with voids and pores. In C3A+Ag particles,
Ag modified the material morphology, confirming the deposition of Ag. The
physicochemical properties of the modified MTA-based sealer were similar to the
commercial material, except for the significant increase in Ca+2 release.
However, there was no Ag release. Setting time, flow, radiopacity, water sorption
and solubility were adequate for all materials. All the materials showed alkaline
pH. Antibiofilm effect was improved in the presence of C3A particles, while the
biofilm inhibition was lower in the presence of Ag. SIGNIFICANCE: The modified
sealer presented improved antibiofilm properties and calcium release, without
dramatic effects on the other characteristics. It is expected a positive effect
in its antimicrobial behavior.
PMID- 29789162
TI - Fatigue failure load of an adhesively-cemented lithium disilicate glass-ceramic:
Conventional ceramic etching vs etch & prime one-step primer.
AB - OBJECTIVES: To evaluate the effect of different glass-ceramic surface treatments
and aging on the fatigue failure load of a lithium disilicate glass-ceramic
adhesively cemented to a dentin analogue material. METHODS: One hundred and
twenty (120) disc-shaped lithium disilicate specimens (O=10mm, thickness=1.5mm)
were produced and randomly allocated (n=20) into 6 groups, considering 2 study
factors: "surface treatment" in 3 levels (SIL-silane application only; HF5+SIL-5%
hydrofluoric acid etching and silane application; ME&P-etching with an one-step
ceramic primer), and "storage" in 2 levels (baseline-storage for 7 days; aging
storage for 90 days+12,000 thermal cycles). Ceramic discs were adhesively
cemented to discs of a dentin analogue material (O=10mm, thickness=2.0mm)
following the manufacturers' instructions. The fatigue failure load was
determined by the staircase approach (250,000 cycles; 20Hz; initial load=1050N
[~70% of mean load-to-failure]; step size=52.5N [5% of initial load]). Micro
morphologic, fractographic, and atomic force microscope analysis were also
performed. Fatigue failure load data were evaluated by one-way ANOVA, Bonferroni
and t-tests for independent samples. RESULTS: HF5+SIL presented higher fatigue
failure load in both conditions (baseline and aging); ME&P presented intermediary
mean values, while the SIL group presented the worst performance. All groups had
a statistically significant decrease in the fatigue performance after aging.
SIGNIFICANCE: Hydrofluoric acid followed by silane application showed the best
fatigue performance for an adhesively-cemented lithium disilicate ceramic. Aging
negatively influenced the fatigue performance for all tested groups.
PMID- 29789163
TI - Biodegradation of resin-dentin interfaces is dependent on the restorative
material, mode of adhesion, esterase or MMP inhibition.
AB - OBJECTIVE: To measure the effect of simulated human salivary esterases (SHSE) and
metalloproteinases (MMP) inhibition on the integrity of restoration-tooth
interfaces made from traditional or polyacid-modified resin composites bonded to
human dentin by either total-etch or self-etch adhesives. METHODS: Resin-dentin
specimens, made from traditional (Z250) or polyacid-modified (Dyract-eXtra)
composites were bonded to human dentin using total-etch (TE-Scotchbond) or self
etch (SE-EasyBond) adhesives. TE was applied with or without the MMP inhibitor
galardin. Specimens were incubated in phosphate-buffer or SHSE (37 degrees
C/pH=7.0) for up to 180 days, then suspended in a continuous flow biofilm
fermenter cultivating biofilms of Streptococcus mutans UA159. Interfacial
bacterial penetration, biofilm biomass and viability were measured by confocal
laser scanning microscopy and biomarker dyes and used as interfacial
biodegradation markers. RESULTS: All specimens showed increased biofilm
penetration and biomass with time regardless of incubation condition. SHSE
increased bacterial penetration in all experimental samples after 180days
(p<0.05). Galardin reduced interfacial bacterial ingress and bacterial biomass
vs. non-MMP-inhibited TE-bonded specimens (p<0.05). TE interfaces showed lower
interfacial bacterial biomass vs. SE after 90-day and 180-day (p<0.05). Dyract
eXtra specimens showed lower bacterial cell viability within the interface vs.
Z250 (p<0.05). SIGNIFICANCE: The biodegradation of resin-tooth interfaces is
accelerated by esterases, modulated by MMP inhibition and is dependent on the
material's chemistry and mode of adhesion. The in vitro bacterial growth model
used in this study facilitates the elucidation of differences in interfacial
integrity and biostability between different materials and techniques and is
suitable for assessment of their performance prior to clinical evaluation.
PMID- 29789164
TI - [Health system, primary care and public health].
PMID- 29789165
TI - [Study of obesity in a rural children population and its relationship with
anthropometric variables].
AB - GOALS: To know the prevalence of obesity in primary and secondary school
students, and to evaluate the diagnostic accuracy of anthropometric variables for
its detection. DESIGN: Cross-sectional study. LOCATION: Rural area of Cordoba. In
the year 2014. PARTICIPANTS: Student population. A stratified sampling was
performed according to age, gender and educational centers. A total of 323
students from 6 to 16 years were included in the study, all parents had signed
informed consent. MAIN MEASUREMENTS: The prevalence of obesity was determined and
sociodemographic, anthropometric, physical condition and dietary predictor
variables were collected. A binary logistic regression was performed determining
crude and adjusted Odds Ratio (OR) values, ROC curves were obtained and cut-off
values were determined, calculating the sensitivity, specificity and Youden
index. RESULTS: The prevalence of overweight and obesity was 26.2% and 22.3%,
respectively. Only 15.2% of school children had an optimal Mediterranean diet.
The waist-height ratio (WtHR) was the predictive variable with the highest
adjusted OR 7.1 (4.3-11.6) and the largest area under the curve 0.954 (0.928
0.979), from a global cut-off value to discriminate obesity of 0.507. This gave a
sensitivity of 90% and specificity of 87.2%. CONCLUSIONS: The high prevalence of
obesity, the low-medium adherence to the Mediterranean diet and the low physical
fitness make this population a priority target for the prevention of future
cardiovascular events. The WtHR has been the best anthropometric predictor of
obesity, recommending its use for the diagnosis of obesity in children at the
expense of body mass index.
PMID- 29789166
TI - [Reflection on "Critical view and argumentation on chronic care programs in
Primary and Community Care"].
PMID- 29789167
TI - Prevalence of malnutrition and impact on clinical outcomes in cancer services: A
comparison of two time points.
AB - BACKGROUND: The prevention and management of malnutrition is increasingly
recognised as a significant element of cancer care. By identifying and comparing
cancer malnutrition in two large cross-sectional cancer populations, this study
aims to provide a greater understanding of clinical characteristics and
trajectories relating to cancer malnutrition. METHODS: A multi-centre point
prevalence study was conducted in Victoria, Australia at two time points (March
2012, May 2014). Adults with cancer receiving ambulatory chemotherapy,
radiotherapy and multi-day inpatients were included. The presence of malnutrition
was determined using Patient Generated-Subjective Global Assessment (PG-SGA).
Demographic, clinical information and 30-day outcomes were collected. RESULTS:
The study included 1677 patients in 2012 (17 sites) and 1913 patients in 2014 (27
sites). Older age, >=5% weight loss, hospital admission and metastatic disease
were factors significantly associated with malnutrition. Patients with upper
gastrointestinal, head and neck and lung cancers were more likely to be
malnourished. Malnutrition was associated with infection and poor outcomes at 30
days. Malnutrition prevalence reduced from 31% in 2012 to 26% in 2014 (p =
0.002). This reflects a reduction in patients with malnutrition receiving
ambulatory chemotherapy, those with upper gastrointestinal or colorectal cancers
and those residing in regional areas. CONCLUSION: The study has provided a
comprehensive description of cancer malnutrition prevalence representative of all
treatment settings, tumour types and stages of disease. This provides valuable
insight into cancer malnutrition enabling oncology services to identify
opportunities to embed identification and prevention strategies into models of
care, resulting in improved patient outcomes and reduced health care costs.
PMID- 29789168
TI - quanTLC, an online open-source solution for videodensitometric quantification.
AB - The image is the key feature of planar chromatography. Videodensitometry by
digital image conversion is the fastest way of its evaluation. Instead of
scanning single sample tracks one after the other, only few clicks are needed to
convert all tracks at one go. A minimalistic software was newly developed, termed
quanTLC, that allowed the quantitative evaluation of samples in few minutes.
quanTLC includes important assets such as open-source, online, free of charge,
intuitive to use and tailored to planar chromatography, as none of the nine
existent software for image evaluation covered these aspects altogether. quanTLC
supports common image file formats for chromatogram upload. All necessary steps
were included, i.e., videodensitogram extraction, preprocessing, automatic peak
integration, calibration, statistical data analysis, reporting and data export.
The default options for each step are suitable for most analyses while still
being tunable, if needed. A one-minute video was recorded to serve as user
manual. The software capabilities are shown on the example of a lipophilic dye
mixture separation. The quantitative results were verified by comparison with
those obtained by commercial videodensitometry software and opto-mechanical slit
scanning densitometry. The data can be exported at each step to be processed in
further software, if required. The code was released open-source to be exploited
even further. The software itself is online useable without installation and
directly accessible at http://shinyapps.ernaehrung.uni-giessen.de/quanTLC.
PMID- 29789169
TI - Determination of organochlorine compounds in fish liver by ultrasound-assisted
dispersive liquid-liquid microextraction based on solidification of organic
droplet coupled with gas chromatography-electron capture detection.
AB - A simple and rapid method for the extraction of organochlorine compounds (OCs)
including poly-chlorinated biphenyls (PCBs) and organochlorine pesticides (OCPs)
in fish liver using ultrasound assisted dispersive liquid-liquid microextraction
based on the solidification of floating organic droplet (US-DLLME-SFO) was
developed. For reducing the complexity of the matrix, the sample was pre-treated
prior to microextraction. Factors affecting US-DLLME-SFO were optimized by using
statistical design of experiment (DoE). The analysis was carried out by Gas
Chromatography (GC) equipped with micro electron capture detector (MU-ECD). The
optimized parameters were 4.8 min of ultrasound, 3.0 mL of Milli-Q and 24 MUL of
1-undecanol as an extraction solvent as determined by DoE. US-DLLME-SFO was
validated in terms of limit of detection, limit of quantification, dynamic
linearity range, coefficient of determination (linearity) and extraction recovery
in fish liver for OCs, and the respective values were (1.06-3.84 ng g-1), (3.50
12.67 ng g-1), (1.0-500 ng g-1), (R2 = 0.994-0.999), (88.5-108.4%). Interday and
intraday precisions were evaluated as relative standard deviation (% RSD) and the
values were <=10%.
PMID- 29789170
TI - Method selectivity evaluation using the co-feature ratio in LC/MS metabolomics:
Comparison of HILIC stationary phase performance for the analysis of plasma,
urine and cell extracts.
AB - Evaluation of the chromatographic separation in metabolomics studies has
primarily been done using preselected sets of standards or by counting the number
of detected features. An alternative approach is to calculate each feature's co
feature ratio, which is a combined selectivity measurement for the separation
(i.e. extent of co-elution) and the MS-signal (i.e. adduct formation and in
source fragmentation). The aim of this study was to demonstrate how the
selectivity of different HILIC stationary phases can be evaluated using the co
feature ratio approach. The study was based on three sample types; plasma, urine
and cell extracts. Samples were analyzed on an UHPLC-ESI-Q-ToF system using an
amide, a bare silica and a sulfobetaine stationary phase. For each feature, a co
feature ratio was calculated and used for multivariate analysis of the
selectivity differences between the three stationary phases. Unsupervised PCA
models indicated that the co-feature ratios were highly dependent on type of
stationary phase. For several metabolites a 15-30 fold difference in the co
feature ratio were observed between the stationary phases. Observed selectivity
differences related primarily to the retention patterns of unwanted matrix
components such as inorganic salts (detected as salt clusters),
glycerophospholipids, and polyethylene glycols. These matrix components affected
the signal intensity of co-eluting metabolites by interfering with the ionization
efficiency and/or their adduct formation. Furthermore, the retention pattern of
these matrix components had huge influence on the number of detected features.
The co-feature ratio approach has successfully been applied for evaluation of the
selectivity performance of three HILIC stationary phases. The co-feature ratio
could therefore be used in metabolomics for developing selective methods fit for
their purpose, thereby avoiding generic analytical approaches, which are often
biased, as type and amount of interfering matrix components are metabolome
dependent.
PMID- 29789172
TI - The Role of the Pulmonologist in a Pulmonary Embolism Response Team (PERT): A
Time to Come on Stage.
PMID- 29789171
TI - N-Propyl-N'-2-pyridylurea-modified silica as mixed-mode stationary phase with
moderate weak anion exchange capacity and pH-dependent surface charge reversal.
AB - Herein, we present a novel silica-based stationary phase modified with N-propyl
N'-2-pyridylurea selector. Due to the weakly basic properties of the pyridine
selector and the presence of residual silanols after selector immobilization, a
zwitterionic surface with a pI observed at approximately pH 5.5 was measured by
electrophoretic light scattering in pH-dependent zeta-potential determinations.
The capability of the new N-propyl-N'-2-pyridylurea-modified silica to serve as
mixed-mode stationary phase was investigated. For this purpose, it was
characterized under RP and HILIC conditions using test mixtures. Subsequent
classification of this stationary phase in comparison to in-house and commercial
benchmarks was carried by principal component analysis of resultant retention
factors from chromatographic tests. The results show a relatively unique mixed
mode character amongst the tested stationary phases. The chromatographic
retention characteristics of acidic compounds matched well the zeta-potential
determinations. The application of anion-exchange at low pH values (e.g. pH 5)
and ion exclusion chromatography at pH 7 for the separation of uridine 5'-mono-,
di- and triphosphate demonstrated a pH-dependent umpolung of the stationary phase
surface. The combination of these separation principles in a pH gradient from 5
to 7 gave rise to weak anion-exchange selectivity with a charge-inducted elution
due to repulsive interactions at higher pH and resulted in a significant faster
separation with improved peak shape under mild elution conditions.
PMID- 29789173
TI - The Exacerbation Conundrum.
PMID- 29789174
TI - Pulmonary Foreign Body Granulomatosis 11 Years After Injection of a Cosmetic
Dermal Filler.
PMID- 29789175
TI - Macrolide Resistance in Cases of Community-Acquired Bacterial Pneumonia in the
Emergency Department.
AB - BACKGROUND: Emergency physicians are under pressure to prescribe an antibiotic
early in the treatment course of a patient with community-acquired pneumonia
(CAP). Macrolides are recommended first-line empirical therapy for the outpatient
treatment of CAP in patients without associated comorbidities; however,
resistance rates to macrolides in the United States are on the rise. OBJECTIVE:
This review considers macrolide use for CAP in the emergency department by
reviewing the microbiologic environment in the United States and whether
macrolides can overcome in vitro resistance during actual clinical use.
Alternatives to macrolides for CAP are briefly discussed. DISCUSSION: Resistance
to macrolides is now above 25% in all regions of the United States, and
resistance to other antibiotics is also on the rise. The failure of outpatient
macrolide treatment for CAP because of resistance rates increases the burden of
the disease both in terms of the patient and health economics. No definitive
answer is available on whether macrolides will achieve treatment success despite
infection with in vitro resistant strains. When selecting a therapy, a balance
needs to be struck between spectrum of activity targeted against the probable
etiology (including atypical pathogens) for respiratory tract infections and the
need for first-time success. CONCLUSIONS: Currently available macrolides are now
facing resistance rates that cloud their recommendation as a first-line treatment
for CAP. Clinicians need a better understanding of their own local resistance
rates, while hospitals need to do a better job in describing low- and high-level
resistance rates to better inform their physicians.
PMID- 29789176
TI - How to support cancer genetics counselees in informing at-risk relatives? Lessons
from a randomized controlled trial.
AB - OBJECTIVE: In hereditary and familial cancer, counselees are requested to inform
their at-risk relatives. We developed an intervention to support counselees in
this task. METHODS: A randomized controlled trial was conducted aimed at
improving cancer genetic counselees' i) knowledge, ii) motivation to disclose
information, and ii) self-efficacy in this regard. Eligible participants were
randomized to telephonic counseling (n = 148), or standard care (n = 157) and
assessed at baseline, 1 week post-intervention, and 4 months after study
enrolment. RESULTS: No between-group differences were found in participants'
knowledge, motivation, and self-efficacy. Knowledge concerning which second
degree relatives to inform was lower compared to first-degree relatives. About
60% of the participants was of the opinion that they needed to inform more
relatives than stated in their summary letter and only about 50% were correctly
aware of which information to disclose. Of note, at baseline, almost 80% of the
participants had already correctly informed their at-risk relatives. CONCLUSIONS:
Since, unexpectedly, counselees already informed most of their relatives before
the intervention was offered, efficacy of the intervention could not convincingly
be determined. Counselees' knowledge about whom to inform about what is
suboptimal. PRACTICE IMPLICATIONS: Future interventions should target a more
homogeneous sample and address counselees' understanding and recall.
PMID- 29789177
TI - Hematuria as the only symptom at initial presentation of hypovolemic shock caused
by ruptured renal arteriovenous malformations.
AB - Gross hematuria is a very common complaint in emergency departments and
outpatient clinics. Globally, the incidence of hematuria is 4 per 1000 patients
per year. Infection, urolithiasis, and neoplasm are the most common etiologies.
However, hematuria rarely causes hypovolemic shock or an emergent, life
threatening condition at the initial presentation. In this report, we describe
the case of a 64-year-old man who suffered a life-threatening gross hematuria in
a very short time due to ruptured renal arteriovenous malformations (AVMs).
PMID- 29789178
TI - Corrigendum to "Double Opposing Zplasty with VY Advancement of the Perineum: Long
term results of a new technique as an alternative to Fenton's operation for
narrowing and splitting of the skin at the posterior vaginal fourchette" [Eur. J.
Obstet. Gynecol. Reprod. Biol. 223 (2018) 46-49].
PMID- 29789179
TI - Implementation of molecular techniques for diagnosis of mumps.
PMID- 29789180
TI - Epidemiology of tuberculosis in Spain: Areas of improvement in epidemiological
surveillance and contributions from the Spanish Network for the Study of
Pediatric Tuberculosis.
PMID- 29789181
TI - Onychomycosis caused by algae without chlorophyll.
PMID- 29789182
TI - Geodermatophilus chilensis sp. nov., from soil of the Yungay core-region of the
Atacama Desert, Chile.
AB - A polyphasic study was undertaken to establish the taxonomic status of three
representative Geodermatophilus strains isolated from an extreme hyper-arid
Atacama Desert soil. The strains, isolates B12T, B20 and B25, were found to have
chemotaxonomic and morphological properties characteristic of the genus
Geodermatophilus. The isolates shared a broad range of chemotaxonomic, cultural
and physiological features, formed a well-supported branch in the
Geodermatophilus 16S rRNA gene tree in which they were most closely associated
with the type strain of Geodermatophilus obscurus. They were distinguished from
the latter by BOX-PCR fingerprint patterns and by chemotaxonomic and other
phenotypic properties. Average nucleotide identity, average amino acid identity
and digital DNA-DNA hybridization values between the whole genome sequences of
isolate B12T and G. obscurus DSM 43160T were 89.28%, 87.27% and 37.4%,
respectively, metrics consistent with its classification as a separate species.
On the basis of these data, it is proposed that the isolates be assigned to the
genus Geodermatophilus as Geodermatophilus chilensis sp. nov. with isolate B12T
(CECT 9483T=NCIMB 15089T) as the type strain. Analysis of the whole genome
sequence of G. chilensis B12T with 5341 open reading frames and a genome size of
5.5Mb highlighted genes and gene clusters that encode for properties relevant to
its adaptation to extreme environmental conditions prevalent in extreme hyper
arid Atacama Desert soils.
PMID- 29789184
TI - Infused cardioplegia index: A new tool to improve myocardial protection. A cohort
study.
AB - BACKGROUND: Strategies for cardio-protection are essential in coronary artery
bypass graft surgery. The authors explored the relationship between cardioplegia
volume, left ventricular mass index and ischemia time by means of the infused
cardioplegia index and its relationship with post-operative low cardiac output
syndrome. DESIGN: All patients undergoing coronary artery bypass graft surgery
between January 2013 and December 2015 were included. Low cardiac output syndrome
was defined according to criteria of the SEMICYUC's consensus document. The
perioperative factors associated with low cardiac output syndrome were estimated,
and using a ROC curve, the optimum cut-off point for the infused cardioplegia
index to predict the absence of low cardiac output syndrome was calculated.
RESULTS: Of 360 patients included, 116 (32%) developed low cardiac output
syndrome. The independent risk predictors were: New York Heart Association
Functional Classification (OR 1.8 [95% CI=1.18-2.55]), left ventricle ejection
fraction (OR 0.95 (95% CI=0.93-0.98]), ICI (OR 0.99 [95% CI=0.991-0.996]) and
retrograde cardioplegia (OR 1.2 [95% CI=1.03-1.50]). The infused cardioplegia
index showed an area under the ROC curve of 0.77 (0.70-0.83; P<.001) for the
absence of postoperative low cardiac output syndrome using the optimum cut-off
point of 23.6ml.min-1(100g/m2 of LV)-1. CONCLUSIONS: The infused cardioplegia
index presents an inverse relationship with the development of post-operative low
cardiac output syndrome. This index could form part of new strategies aimed at
optimising cardio-protection. The total volume of intermittent cardioplegia,
especially that of maintenance, should probably be individualised, adjusting for
ischemia time and left ventricle mass index.
PMID- 29789183
TI - "Do not do" recommendations of the working groups of the Spanish Society of
Intensive and Critical Care Medicine and Coronary Units (SEMICYUC) for the
management of critically ill patients.
AB - The project "Commitment to Quality of Scientific Societies", promoted since 2013
by the Spanish Ministry of Health, seeks to reduce unnecessary health
interventions that have not proven effective, have little or doubtful
effectiveness, or are not cost-effective. The objective is to establish the "do
not do" recommendations for the management of critically ill patients. A panel of
experts from the 13 working groups (WGs) of the Spanish Society of Intensive and
Critical Care Medicine and Coronary Units (SEMICYUC) was selected and nominated
by virtue of clinical expertise and/or scientific experience to carry out the
recommendations. Available scientific literature in the management of adult
critically ill patients from 2000 to 2017 was extracted. The clinical evidence
was discussed and summarized by the experts in the course of consensus finding of
each WG, and was finally approved by the WGs after an extensive internal review
process carried out during the first semester of 2017. A total of 65
recommendations were developed, of which 5 corresponded to each of the 13 WGs.
These recommendations are based on the opinion of experts and scientific
knowledge, and aim to reduce those treatments or procedures that do not add value
to the care process; avoid the exposure of critical patients to potential risks;
and improve the adequacy of health resources.
PMID- 29789185
TI - Variation in post-thaw sperm quality of white-tailed deer bucks (Odocoileus
virginianus) during rut.
AB - White-tailed deer farming is a growing industry in the United States, with
breeding operations contributing significantly to the industry's economic impact.
Artificial insemination with frozen semen allows for selection and dissemination
of valuable genetics, yet surprisingly little is known regarding the best time
throughout rut to perform semen cryopreservation. The objective of this study was
to compare semen quality following cryopreservation of white-tailed deer bucks
collected early in the breeding season (September, n = 6), at peak rut (December,
n = 8), and late season (March, n = 7). We hypothesized that post-thaw semen
quality would be enhanced at peak rut. Mature bucks were anesthetized with
tiletamine-zolazepam and xylazine administered intramuscularly via projector.
Semen was collected by electroejaculation and cryopreserved using Optixcell
extender. Overall and progressive sperm motilities were assessed for each sample
before and after cryopreservation using a computer-aided sperm analyzer. Flow
cytometry was used for post-thaw assessment of sperm viability (SYBR-14/PI),
acrosome integrity (FITC-PNA/PI), and DNA stability (acridine orange). Analysis
of variance was applied to normalized data using a general linear mixed model
with buck ID as a random variable, and a Tukey HSD test was performed as needed
for post-hoc analysis. Pre-freeze overall and progressive sperm motilities were
lowest in March, intermediate in September, and highest in December (p <= 0.04).
Post-thaw overall and progressive motilities were lowest in September (p <=
0.02), but did not differ between December and March (p >= 0.12). The DNA
Fragmentation Index was lowest in December, intermediate in September, and
highest in March (p <= 0.05). The percentage of spermatozoa with intact plasma
membrane was higher in December than September (p < 0.01), but the percentage of
intact acrosomes per sperm with intact plasma membrane was highest in September
(p = 0.03). This study confirms that post-thaw semen quality appears to be
superior during peak rut (December) in bucks. Though semen collected early or
late in rut may present acceptable motility, DNA stability is impaired, which
could adversely affect fertility rates. This data suggests that semen
cryopreservation during transitional periods should be avoided, though field
studies evaluating the translation of these results into satisfactory pregnancy
rates are warranted.
PMID- 29789186
TI - A Population-Based Assessment of Depression and Anxiety in Patients With Brachial
Plexus Injuries.
AB - PURPOSE: Reactive depression and anxiety are common after major life changes such
as brachial plexus injuries (BPI). The purpose of this study was to evaluate the
incidence and risk factors for coded depression and coded anxiety among patients
with BPI using a national database of commercial insurance claims. METHODS: We
used the Truven MarketScan database from 2007 to 2013 to identify commercially
insured patients aged 18 to 64 years who underwent BPI surgery. For comparison, a
control group without BPI was frequency-matched 10:1 by age group, sex, number of
provider visits, and length of insurance enrollment. Using International
Classification of Diseases, Ninth Revision diagnosis codes and pharmacy claims,
we identified coded depression and coded anxiety in the 12 months before and 12
months after BPI surgery. Multivariable Cox regression models were used to
determine risk factors for coded depression or coded anxiety, adjusting for known
risk factors for depression or anxiety (eg, alcohol, substance abuse). RESULTS:
We identified 1,843 patients with BPI and 18,430 controls. Within the 12 months
preceding surgery, coded depression and coded anxiety were present in 38% and
42%, respectively, of the BPI group; both were present in 25% and either was
present in 54%. The rate of new-onset/postoperatively coded depression among
patients with BPI was 142.1/1,000 person-years (12%) and of new
onset/postoperatively coded anxiety was 273.6/1,000 person-years (20%). Patients
with BPI were significantly more likely than controls to develop new
onset/postoperatively coded depression (hazard ratio = 1.3; confidence interval
[CI], 1.1-1.5) and new-onset/postoperatively coded anxiety (HR = 2.1 [CI, 1.8
2.4]). CONCLUSIONS: Patients undergoing BPI surgery have a high prevalence of
coded depression and coded anxiety in the 12 months before surgery and are at
higher risk for developing new-onset/postoperatively coded depression and coded
anxiety within 1 year after surgery. These findings can be used by BPI surgeons
to inform perioperative counseling, guide emotional recovery from injury, and
facilitate coordinated or colocated care with mental health professionals. TYPE
OF STUDY/LEVEL OF EVIDENCE: Prognostic II.
PMID- 29789187
TI - A Review of Lymphedema for the Hand and Upper-Extremity Surgeon.
AB - Secondary lymphedema of the upper limb is frequently seen in Western countries
after cancer treatment (most often breast in women). It is a chronic disease that
affects quality of life and functioning. In its extreme form, it may be
debilitating. A review is given of the pathology, nonsurgical treatment, and
surgical treatment with a protocol.
PMID- 29789188
TI - Fractures after multimodality treatment of soft tissue sarcomas with isolated
limb perfusion and radiation; likely to occur and hard to heal.
AB - OBJECTIVES: Treatment associated fractures (TAFs) are known severe side effects
after surgery and radiotherapy for soft tissue sarcoma (STS). There is no
literature about TAF after multimodality treatment with isolated limb perfusion
(ILP) for locally advanced STS. This study aimed to analyze predictive factors,
treatment and outcome for TAF after multimodality treatment with ILP. METHOD: Out
of 126 consecutive patients undergoing ILP after 1991 till now, 25 patients were
excluded due to no surgery or direct amputation at initial surgery. Therefore,
101 patients were at risk and 12 developed a TAF (12%). RESULTS: The majority of
tumors was located at the upper leg and knee (N = 60), and 11 patients developed
a TAF (18%) after median 28 (5-237) months. Twenty-five tumors were located at
the lower leg, and 1 patient developed a TAF after 12 months (4%). No patients
with a tumor at the upper extremities (N = 16) developed a TAF. Ten out of 12
patients with a fracture received adjuvant RT with a dose of 50 Gy, and a median
boost dose of 18 (10-20) Gy. Predictive factors were periosteal stripping, age
over 65 years at time of treatment and tumor size after ILP >=10 cm. Multivariate
analysis showed periosteal stripping and tumor size after ILP >=10 cm as
significant predictive factors. The majority of the fractures were treated with
intramedullary nailing. Only one of 12 patients without radiotherapy reached bone
union (8%). The median survival after developing TAF was 18 (1-195) months.
CONCLUSION: The overall risk of TAF after multimodality treatment with ILP was
relatively high with 15% at ten years. The incidence of TAF for patients with
tumors located at the thigh and knee after resection with periosteal stripping
and radiotherapy was even >50%. The treatment of these fractures is challenging
due to the high non-union rate, requiring an extensive orthopedic oncological TAF
experience.
PMID- 29789191
TI - Corrigendum re: "Influence of Modified Posterior Reconstruction of the
Rhabdosphincter on Early Recovery of Continence and Anastomotic Leakage Rates
after Robot-Assisted Radical Prostatectomy" [Eur Urol 2011;59:72-80].
PMID- 29789189
TI - Antimicrobial Susceptibility of Enterobacteriaceae and Pseudomonas aeruginosa
Isolates from United States Medical Centers Stratified by Infection Type: Results
from the International Network for Optimal Resistance Monitoring (INFORM)
Surveillance Program, 2015-2016.
AB - A total of 18,656 Enterobacteriaceae and 4,175 Pseudomonas aeruginosa were
consecutively collected from 85 US hospitals and tested for susceptibility by
broth microdilution methods in a central monitoring laboratory (JMI
Laboratories). The antimicrobial susceptibility and frequency of key resistance
phenotypes were assessed and stratified by infection type as follows: bloodstream
(BSI; 3,434 isolates; 15.0%), pneumonia (6,439; 28.2%), skin and skin structure
(SSSI; 4,134; 18.1%), intra-abdominal (IAI; 951; 4.2%), and urinary tract (UTI;
7,873; 34.5%). Ceftazidime-avibactam was active against 99.9% to 100.0% of
Enterobacteriaceae and 97.0% (pneumonia) to 99.4% (UTI) of P. aeruginosa
isolates. Susceptibility rates were consistently lower for beta-lactams, such as
ceftazidime (82.3% vs. 87.1-90.8%), piperacillin-tazobactam (87.5% vs. 90.2
95.6%), and meropenem (96.8% vs. 98.4-99.4%) among Enterobacteriaceae from
pneumonia compared to other infection types. Susceptibility to gentamicin was
also generally lower among isolates from pneumonia, whereas susceptibility to
levofloxacin and colistin were lowest among BSI and SSSI isolates, respectively.
The occurrence of multidrug-resistance (MDR; 8.2% overall), extensively drug
resistance (XDR; 1.1% overall), and carbapenem-resistant Enterobacteriaceae (CRE;
1.3% overall) phenotypes were markedly higher among isolates from patients with
pneumonia compared to other infection types. Among P. aeruginosa, susceptibility
rates for ceftazidime, piperacillin-tazobactam, and gentamicin were lowest among
isolates from pneumonia, whereas susceptibility to meropenem was similar among
isolates from BSI, pneumonia, and IAI (77.3-77.9%), and susceptibility to
levofloxacin was markedly lower among UTI isolates (67.1%). The frequencies of P.
aeruginosa isolates with MDR and XDR phenotypes were highest among isolates from
patients with pneumonia.
PMID- 29789190
TI - Use of matrix-assisted laser desorption ionization-time of flight mass
spectrometry to identify MLST clade 4 Clostridium difficile isolates.
AB - Clostridium difficile is the leading cause of health care-associated infections.
Previous studies suggest that C. difficile MLST clade 4 strains with higher drug
resistance rates constitute the major clone spreading in China. Thus development
of a rapid and accurate typing method for these strains is needed to monitor the
epidemiology of this clone and to guide clinical treatment. A total of 160 non
duplicate C. difficile isolates recovered from three large teaching hospitals in
Beijing were studied. All the 41 clade 4 C. difficile isolates clustered together
on the PCA dendrogram. Spectra peak statistics revealed that five markers
(2691.43Da, 2704.91Da, 2711.93Da, 3247.27Da and 3290.76Da) can easily and
reliably distinguish between clade 4 and non-clade 4 isolates, with area under
the curve (AUC) values of 0.991, 0.997, 0.973, 1 and 1, respectively. In
conclusion, MALDI-TOF MS is a very simple and accurate method for identifying C.
difficile MLST clade 4 strains.
PMID- 29789192
TI - [Educational and information needs of patients under vitamin K antagonist
therapy].
AB - BACKGROUND: Adverse events related to vitamin K antagonists (VKA) represent a
major public health problem. Informative tools and educative program contributes
to the reduction of iatrogenic risk. The purpose of our study is to assess
representations and information needs of patients under VKA therapy in order to
develop a suitable therapeutic education program. METHODS: Individual semi
structured interviews were conducted among both long term VKA therapy patient and
patients initiating VKA. The thematic analysis allowed us to explore patient's
speech qualitatively and semi-quantitatively. RESULTS: The main needs in
information concerned the modalities of treatment (27.6%), side effects (24.1%),
precautions and management of VKA treatment (24.1%). Origin of the disease
(P=0.022) and drug mechanism of action (0.012) were specially asked about by
patients initiating their treatment. CONCLUSION: Patients under VKA therapy
reported needs for information on both their pathology and their anticoagulant
therapy. The therapeutic education approach will enable us to adapt the
educational tools and messages to the needs of patients under VKA therapy.
PMID- 29789194
TI - Operative technique for benign submandibular gland mass without identifying the
mandibular branch of the facial nerve.
AB - OBJECTIVE: The marginal mandibular branch of the facial nerve must be protected
during surgery for benign diseases of submandibular gland. Methods for protecting
the marginal mandibular branch include the nerve identification method and the
non-identification method. METHODS: We performed submandibular gland surgery in
138 patients with benign submandibular gland diseases using the non
identification method to preserve the marginal mandibular branch. In brief, the
submandibular gland capsule is incised at the inferior border of the gland and
detached along the gland parenchyma. The nerve is protected by this procedure
without the need for identification. RESULTS: Among 138 patients who underwent
this surgical procedure, only 7 patients developed transient paralysis of the
lower lip. CONCLUSION: This method of resecting the submandibular gland without
identifying the marginal mandibular branch is an effective procedure associated
with a low incidence of transient paralysis. Moreover, no patient developed
paralysis due to procedural errors.
PMID- 29789193
TI - Deoxysphingolipid precursors indicate abnormal sphingolipid metabolism in
individuals with primary and secondary disturbances of serine availability.
AB - Patients with primary serine biosynthetic defects manifest with intellectual
disability, microcephaly, ichthyosis, seizures and peripheral neuropathy. The
underlying pathogenesis of peripheral neuropathy in these patients has not been
elucidated, but could be related to a decrease in the availability of certain
classical sphingolipids, or to an increase in atypical sphingolipids. Here, we
show that patients with primary serine deficiency have a statistically
significant elevation in specific atypical sphingolipids, namely
deoxydihydroceramides of 18-22 carbons in acyl length. We also show that patients
with aberrant plasma serine and alanine levels secondary to mitochondrial
disorders also display peripheral neuropathy along with similar elevations of
atypical sphingolipids. We hypothesize that the etiology of peripheral neuropathy
in patients with primary mitochondrial disorders is related to this elevation of
deoxysphingolipids, in turn caused by increased availability of alanine and
decreased availability of serine. These findings could have important therapeutic
implications for the management of these patients.
PMID- 29789195
TI - Steroid pulse therapy transiently destroys the discriminative histological
structure of tonsils in IgA nephropathy: Tonsillectomy should be performed before
or just after steroid pulse therapy.
AB - OBJECTIVE: Tonsillectomy combined with steroid-pulse therapy is a widely accepted
method for the treatment of IgA nephropathy (IgAN) in Japan. However, the
indication of tonsillectomy for IgAN is still controversial, and the timing of
tonsillectomy is not clearly defined for the protocol of this therapy. Based on
the results of a randomized control trial in Japan, the Evidence-Based Clinical
Practice Guidelines for IgA nephropathy 2014 (edited in Japan) recommended
tonsillectomy combined with steroid-pulse therapy for Grade C1. However, this is
not widely accepted worldwide. To clarify the validity and timing of
tonsillectomy, we evaluated how the three-consecutive steroid-pulse therapy
method affects the tonsil tissues of IgAN patients. METHODS: We examined tonsil
specimens from 35 IgAN patients and 8 chronic tonsillitis patients. We compared
the proportion of follicular area to total tonsillar area and the number of
germinal centers between each group on hematoxylin and eosin stained pathological
specimens to clarify the histopathological characteristics of tonsils from IgAN
patients. Based on these findings, we examined the tonsils of patients after
three-consecutive steroid-pulse therapy treatments (n=34) to determine the
influence of this therapy on the tonsil tissues of IgAN patients. Moreover, we
observed chronological changes in tonsil tissues after steroid-pulse therapy.
RESULTS: The extrafollicular area was enlarged in IgAN patients before steroid
pulse therapy compared with chronic tonsillitis patients. Just after steroid
pulse therapy, the follicles became very small with blurry outlines, and the
number of germinal centers was remarkably decreased. With a gradual decrease in
oral prednisolone, the tonsil tissue structure was gradually restored.
CONCLUSION: Tonsillectomy combined with steroid-pulse therapy is considered a
reasonable treatment for IgAN. Steroid-pulse therapy-induced histological changes
in tonsils were transient, indicating tonsillectomy should be performed before or
just after steroid-pulse therapy.
PMID- 29789196
TI - Understanding and Manipulating Viral Immunity: Antibody Immunodominance Enters
Center Stage.
AB - Adaptive immune responses against antigenically variable viruses and cellular
pathogens are efficient in many cases, but largely limited to the infecting or
immunizing strain. A major factor that limits immunity is immunodominance (ID),
the hierarchical focusing of adaptive immune responses on a subset of antigenic
determinants. While CD8+ T cell ID has been extensively studied, studies of basic
mechanisms of B cell ID are limited, despite the importance of antibodies (Abs)
for durable protection against pathogens. Here, we review recent progress in
understanding the basic rules and mechanisms of B cell ID, compare B and CD8+ T
cell ID, and outline challenges to overcoming ID to develop Ab-based 'universal'
vaccines for influenza A and other highly variable viruses.
PMID- 29789197
TI - Re: Postoperative nausea and vomiting in facial fracture patients: a randomized
and controlled trial on the effect of dexamethasone.
PMID- 29789198
TI - Corseting: a new technique for the management of diffuse venous malformations in
the head and neck region.
AB - A new surgical technique of intra-tumoural ligation for the treatment of low-flow
vascular malformations in the head and neck region is proposed. Ninety patients
with diffuse low-flow vascular malformations diagnosed clinically and/or
radiologically were treated surgically with the corset suturing technique. All
patient records and clinical photographs were reviewed retrospectively.
Significant clinical results were obtained: a reduction of the turnout tumour
mass and a return of the regional facial outline was evident within 4 weeks after
surgery. Recurrence of the lesion (seen in 10 patients) and transient facial
nerve palsy (seen in seven patients) were the main complications. All incisions
were placed within the junction lines of cosmetic subunits and skin tension lines
of the head and neck. The advantages of this technique over the widely used and
popular Popescu technique are discussed. Also, the indications, disadvantages,
operative technique, and complications are described. In conclusion, corset
suturing was found to be a simple, aesthetic, and cost-effective method of
treating diffuse low-flow vascular malformations of the head and neck.
PMID- 29789200
TI - Determination of spatial dose distribution in UCC treatments with LDR
brachytherapy using Monte Carlo methods.
AB - Using Monte Carlos methods, with the MCNP5 code, a gynecological phantom and a
vaginal cylinder were modeled. The spatial distribution of absorbed dose rates in
Uterine Cervical Cancer treatment through low dose rate brachytherapy was
determined. A liquid water gynecology computational phantom, including a vaginal
cylinder applicator made of Lucite, was designed. The applicator has a linear
array of four radioactive sources of Cesium 137. Around the vaginal cylinder, 13
water spherical cells of 0.5 cm-diameter were modeled to calculate absorbed dose
emulating the procedure made by the treatment planning system. The gamma-ray
fluence distribution was estimated, as well as the absorbed doses resulting
approximately symmetrical for cells located at upper and lower of vaginal
cylinder. Obtained results allow the use of the radioactive decay law to
determine dose rate for Uterine Cervical Cancer using low dose rate
brachytherapy.
PMID- 29789201
TI - Combined deletion of the fibronectin-type III domains and the stalk region
results in ligand-independent, constitutive activation of the Interleukin 6
signal-transducing receptor gp130.
AB - Gp130 is the common receptor within the Interleukin 6 cytokine family. Gp130
consists of 6 extracellular domains followed by a small stalk region connecting
the last extracellular domain with the trans-membrane domain. Whereas the first
three extracellular domains bind to IL-6-type cytokines, the domains 4-6 are
needed for correct positioning of the intracellular domains to facilitate Janus
kinase activation after cytokine binding. Interestingly, deletion within the
cytokine-binding domain resulted in cytokine-independent constitutive activation
of mutant gp130 receptors. Here, we tested the hypothesis, if deletions of the
stalk region and/or domains 4-6 of gp130 might also result in constitutive
receptor activation. Shortening of the stalk region of gp130 alone did, however,
not result in constitutive receptor activation, whereas a gp130 receptor deletion
variant only consisting of the three N-terminal cytokine binding domains but
lacking all FNIII domains was biologically inactive. Importantly, combined
deletion of the three FNIII domains plus shortening of the stalk region of gp130
resulted in ligand-independent, constitutive receptor activation of gp130.
PMID- 29789203
TI - Papillary glioneuronal tumor. A case report.
AB - Papillary glioneuronal tumor (PGNT) is a recently described central nervous
system neoplasm. In 2007, the World Health Organization classified this tumor as
a grade I neuronal-glial neoplasm. Patients are usually juvenile and young adults
who commonly present with headache or seizures. We report a case of a 13-year-old
boy that was related to our hospital after suffering a mild head injury result of
an automobile accident. Emergent CT scan showed a right hypointense temporo
occipital lesion. MRI confirmed the presence of a lesion suggestive of a primary
brain tumor. The patient underwent total resection of the tumor, followed by an
uneventful recovery. Pathological analysis of the lesion revealed characteristic
pseudopapillary structure with astrocytes and neurons, compatible with PGNT. We
discuss the clinical, Radiological and histological features of this infrequent
type of tumors.
PMID- 29789202
TI - Relationship between prolonged neural suppression and cerebral hemodynamic
dysfunction during hypothermia in asphyxiated piglets.
AB - OBJECTIVES: Hypothermia (HT) improves the outcome of neonatal hypoxic-ischemic
encephalopathy. Here, we investigated changes during HT in cortical electrical
activity using amplitude-integrated electroencephalography (aEEG) and in cerebral
blood volume (CBV) and cerebral hemoglobin oxygen saturation using near-infrared
time-resolved spectroscopy (TRS) and compared the results with those obtained
during normothermia (NT) after a hypoxic-ischemic (HI) insult in a piglet model
of asphyxia. We previously reported that a greater increase in CBV can indicate
greater pressure-passive cerebral perfusion due to more severe brain injury and
correlates with prolonged neural suppression during NT. We hypothesized that when
energy metabolism is suppressed during HT, the cerebral hemodynamics of brains
with severe injury would be suppressed to a greater extent, resulting in a
greater decrease in CBV during HT that would correlate with prolonged neural
suppression after insult. METHODS: Twenty-six piglets were divided into four
groups: control with NT (C-NT, n = 3), control with HT (C-HT, n = 3), HI insult
with NT (HI-NT, n = 10), and HI insult with HT (HI-HT, n = 10). TRS and aEEG were
performed in all groups until 24 h after the insult. Piglets in the HI-HT group
were maintained in a hypothermic state for 24 h after the insult. RESULTS: There
was a positive linear correlation between changes in CBV at 1, 3, 6, and 12 h
after the insult and low-amplitude aEEG (<5 uV) duration after insult in the HI
NT group, but a negative linear correlation between these two parameters at 6 and
12 h after the insult in the HI-HT group. The aEEG background score and low
amplitude EEG duration after the insult did not differ between these two groups.
DISCUSSION AND CONCLUSION: A longer low-amplitude EEG duration after insult was
associated with a greater CBV decrease during HT in the HI-HT group, suggesting
that brains with more severe neural suppression could be more prone to HT-induced
suppression of cerebral metabolism and circulation.
PMID- 29789204
TI - Quantification of the omega5- and gamma-gliadin content in wheat flour and rat
plasma with an enzyme-linked immunosorbent assay using antibodies specific to
their IgE-binding epitopes.
PMID- 29789199
TI - Survival after in-hospital cardiac arrest among cerebrovascular disease patients.
AB - Stroke is a leading cause of death and disability, and while preferences for
cardiopulmonary resuscitation (CPR) are frequently discussed, there is limited
evidence detailing outcomes after CPR among acute cerebrovascular neurology
(inclusive of stroke, subarachnoid hemorrhage (SAH)) patients. Systematic review
and meta-analysis of PubMed and Cochrane libraries from January 1990 to December
2016 was conducted among stroke patients undergoing in-hospital CPR. Primary data
from studies meeting inclusion criteria at two levels were extracted: 1) studies
reporting survival to hospital discharge after CPR with cerebrovascular primary
admitting diagnosis, and 2) studies reporting survival to hospital discharge
after CPR with cerebrovascular comorbidity. Meta-analysis generated weighted,
pooled survival estimates for each population. Of 818 articles screened, there
were 176 articles (22%) that underwent full review. Three articles met primary
inclusion criteria, with an estimated 8% (95% Confidence Interval (CI) 0.01,
0.14) rate of survival to hospital discharge from a pooled sample of 561
cerebrovascular patients after in-hospital CPR. Twenty articles met secondary
inclusion criteria, listing a cerebrovascular comorbidity, with an estimated rate
of survival to hospital discharge of 16% (95% CI 0.14, 0.19). All studies
demonstrated wide variability in adherence to Utstein guidelines, and
neurological outcomes were detailed in only 6 (26%) studies. Among the few
studies reporting survival to hospital discharge after CPR among acute
cerebrovascular patients, survival is lower than general inpatient populations.
These findings synthesize the limited empirical basis for discussions about
resuscitation among stroke patients, and highlight the need for more disease
stratified reporting of outcomes after inpatient CPR.
PMID- 29789205
TI - Genetic variants related to urate and risk of Parkinson's disease.
AB - INTRODUCTION: Higher urate concentrations have been associated with a lower risk
of developing Parkinson's disease (PD) and with slower rates of clinical decline
in PD patients. Whether these associations reflect a neuroprotective effect of
urate is unclear. Our objective was to assess whether genetic variants that
modify circulating urate levels are also associated with altered PD risk.
METHODS: Participants were from three large ongoing cohort studies: the Nurses'
Health Study (NHS), the Health Professionals Follow-up Study (HPFS), and the
Cancer Prevention Study II Nutrition Cohort (CPS-IIN). We examined associations
between single nucleotide polymorphisms (SNPs) in SLC2A9 and other genes involved
in urate transport and PD risk using conditional logistic regression among 1451
cases and 3135 matched controls. We assessed associations between SNPs and plasma
urate levels in a subset of 1174 control participants with linear regression
models. RESULTS: We found the expected associations between SNPs in SLC2A9 and
plasma urate levels among men and women; however, SNPs in other genes tended not
to be associated with urate. Each SNP in SLC2A9 explained less than 7% of the
variance in plasma urate. We did not find significant associations between the
SNPs in SLC2A9 and PD risk among men or women. CONCLUSION: Our results do not
support an association between genetic variants associated with circulating urate
levels and risk of PD, but larger investigations are needed to determine whether
the modest genetic effects on blood urate contribute to predict PD risk.
PMID- 29789206
TI - Environmental Signals Influencing Myeloid Cell Metabolism and Function in
Diabetes.
AB - The environment induces metabolic reprogramming of immune cells via specific
signaling pathways. Recent studies have revealed that changes in cell metabolism
affect key immune cell functions including cytokine production and migration. In
diabetes, these functions are either insufficiently or excessively activated,
translating into diabetes-associated complications, including increased
susceptibility to infection and accelerated cardiovascular disease. Diabetes
alters the abundance of environmental signals, including glucose, insulin, and
lipids. Subsequently, changes in environmental signals drive metabolic
reprogramming, impair immune cell function, and ultimately contribute to diabetes
associated complications. We review here recent studies on changes in innate
immune cell metabolism, especially in myeloid cells, that are driven by
environmental signals relevant to diabetes, and discuss therapeutic perspectives
of targeting metabolism of immune cells in diabetes.
PMID- 29789207
TI - Antidiabetic potential of phytochemicals isolated from the stem bark of Myristica
fatua Houtt. var. magnifica (Bedd.) Sinclair.
AB - Phytochemical investigation of the stem bark of Myristica fatua Houtt. led to the
isolation of a new compound 1 (3-tridecanoylbenzoic acid), along with six known
acylphenols (2-7). All the compounds displayed moderate inhibitory activity on
alpha-amylase and significant activity on alpha-glucosidase; however malabaricone
B (6) and C (7) were identified as potent alpha-glucosidase inhibitors with IC50
values of 63.70 +/- 0.546, and 43.61 +/- 0.620 uM respectively. Acylphenols
(compounds 3-7) also showed significant antiglycation property. The molecular
docking and dynamics simulation studies confirmed the efficient binding of
malabaricone C with C-terminus of human maltase-glucoamylase (2QMJ). Malabaricone
B also enhanced the 2-NBDG [2-(N-(7-nitrobenz-2-oxa-1,3-diazol-4-yl)amino)-2
deoxy glucose] uptake in L6 myotubes. These findings demonstrate that acylphenols
isolated from Myristica fatua Houtt. can be considered as a lead scaffold for the
treatment of type II diabetes mellitus.
PMID- 29789208
TI - Synthesis of 4'-C-aminoalkyl-2'-O-methyl modified RNA and their biological
properties.
AB - In this paper, we describe the synthesis of 4'-C-aminoalkyl-2'-O
methylnucleosides and the properties of RNAs containing these analogs.
Phosphoramidites of 4'-C-aminoethyl and 4'-C-aminopropyl-2'-O-methyluridines were
prepared using glucose as starting material, and RNAs containing the analogs were
synthesized using the phosphoramidites. Thermal denaturation studies revealed
that these nucleoside analogs decreased the thermal stabilities of double
stranded RNAs (dsRNAs). Results of NMR, molecular modeling, and CD spectra
measurements suggested that 4'-C-aminoalkyl-2'-O-methyluridine adopts an C2'-endo
sugar puckering in dsRNA. The 4'-C-aminoalkyl modifications in the passenger
strand and the guide strand outside the seed region were well tolerated for RNAi
activity of siRNAs. Single-stranded RNAs (ssRNAs) and siRNAs containing the 4'-C
aminoethyl and 4'-C-aminopropyl analogs showed high stability in buffer
containing bovine serum. Thus, siRNAs containing the 4'-C-aminoethyl and 4'-C
aminopropyl analogs are good candidates for the development of therapeutic siRNA
molecules.
PMID- 29789209
TI - All is not lost: Post-saccadic contributions to the perceptual omission of intra
saccadic streaks.
AB - Saccades rapidly jerk the eye into new positions, yet we rarely experience the
motion streaks imposed on the retinal image. Here we examined spatial and
temporal properties of post-saccadic masking-one potential explanation of this
perceptual omission. Observers judged the motion direction of a target stimulus,
a Gaussian blob, that moved vertically upwards or downwards and then back to its
initial position, just as observers made a saccade. We manipulated the onset and
offset of the target and of distractors in various spatial relations to the
target, and assessed their effect on performance and subjective confidence.
Although the presence of the target after the saccade caused the strongest
omission, the offset of spatially distant distractor stimuli upon saccade offset
also impaired performance. The temporal properties of these two separate effects
suggest that, in addition to masking, an independent effect of attentional
distraction further accentuates perceptual omission of intra-saccadic motion
streaks.
PMID- 29789210
TI - Should first blood pressure measurement be performed in the newborn?
AB - Dilated cardiomyopathy is the most common form of cardiomyopathy and the main
cause of cardiac transplantation in children and in adults. Infants and children
have a wider spectrum of etiologies, hampering their identification. The most
frequent initial manifestation of dilated cardiomyopathy is symptomatic heart
failure during exercise or at rest (although many patients are asymptomatic).
Some causes are potentially reversible, therefore the investigation should be
carefully planned and immediately performed after diagnosis. In most children no
cause is identified, which limits the targeted therapeutic approach and therefore
the effectiveness of the treatment. The authors present a case of dilated
cardiomyopathy secondary to renovascular hypertension diagnosed in an infant with
3.5 month-old, highlighting the etiological investigation, treatment and
evolution. The authors present this case emphasising the fact that the arterial
hypertension diagnose in infants is not always easy, questioning the current
recommendations relating to an initial evaluation on blood pressure. We postulate
that the assessment of blood pressure in newborns can detect early renovascular
hypertension (and even other cardiovascular diseases) and help prevent the
development of deleterious effects, including fatal episodes.
PMID- 29789211
TI - Distress thermometer for preoperative screening of patients with oral squamous
cell carcinoma.
AB - In this study, we evaluate the association between distress, various demographic
and medical variables, and the prevalence of psychosocial distress in
preoperative patients with oral squamous cell carcinoma. A total of 100
consecutive patients were recruited into the study and asked to complete the
Distress Thermometer (DT) form with the Problem List questionnaire prior to
surgical intervention; the average distress score was 5.7 +/- 2.7. The distress
score was neither correlated with age (r = -0.025; p = 0.804) nor with tumor size
(r = 0.028; p = 0.785). General worries, anxiety, sadness, depression, pain,
exhaustion, sleeping disorders, or problems with nutrition resulted in
significantly higher distress scores compared to patients without these
complaints. Individuals with a DT score of 5 or higher (p = 0.006) were advised
to seek out psychological support. There is a strong correlation between a high
DT score and emotional disorders, as well as physical problems.
PMID- 29789213
TI - Severe hypertriglyceridemia. Clinical characteristics and therapeutic management.
AB - INTRODUCTION: The therapeutic management of severe hypertriglyceridaemia
represents a clinical challenge. OBJECTIVES: The objectives of this study were 1)
to identify the clinical characteristics of patients with severe
hypertriglyceridaemia, and 2) to analyse the treatment established by the
physicians in each case. METHODS: A cross-sectional study was carried out using
the computerised medical records of all patients>18 years of age with a blood
triglyceride level>=1,000mg/dL between 1 January 2011 and 31 December 2016.
Clinical and laboratory variables were collected. The behaviour of the physicians
in the 6 months after the lipid finding was analysed. RESULTS: A total of 420
patients were included (mean age 49.1+/-11.4 years, males 78.8%). The median of
triglycerides was 1,329mg/dL (interquartile range 1,174-1,658). No secondary
causes were found in 34.1% of the patients. The most frequent secondary causes
were obesity (38.6%) and diabetes (28.1%). Physical activity was recommended and
a nutritionist was referred to in 49.1% and 44.2% of the patients, respectively.
Secondary causes were identified and attempts were made to correct them in 40.7%
of cases. The most indicated pharmacological treatments were fenofibrate
200mg/day (26.5%) and gemfibrozil 900mg/day (19.3%). Few patients received the
indication of omega 3 fatty acids or niacin. CONCLUSION: This study showed, for
the first time in our country, the characteristics of a population with severe
hypertriglyceridaemia. The therapeutic measures instituted by the physicians were
insufficient. Knowing the characteristics in this particular clinical scenario
could improve the current approach of these patients.
PMID- 29789212
TI - Fibrates in primary prevention of cardiovascular disease. Comments on the results
of a systematic review of the Cochrane Collaboration.
AB - Fibrates are drugs that reduce triglycerides, elevate high-density lipoproteins,
as well as decrease small, dense LDL particles. The results of a study have
recently been published by the Cochrane Collaboration on fibrates efficacy and
safety in the primary prevention of cardiovascular disease. This study includes a
systematic review and a meta-analysis of 6 studies (16,135 patients) that
evaluated the clinical benefits of fibrates compared to placebo use or other
lipid-lowering drugs. This review showed evidence of a protective effect of the
fibrates compared with placebo as regards a reduction 16% of a compound objective
of death due to cardiovascular disease, non-fatal myocardial infarction, or non
fatal cerebrovascular accident (NNT: 112), and that reduce coronary morbidity and
mortality by 21% (NNT: 125). In addition, fibrates could reduce previously
established diabetic retinopathy. However, fibrates do not influence total
mortality, or non-cardiovascular mortality. Its joint use with statins does not
benefit patients without established cardiovascular disease, compared to the use
of statins in monotherapy. Fibrates are safe, although they can elevate serum
creatinine levels.
PMID- 29789215
TI - Clinical outcomes and influencing factors of in-stent restenosis after stenting
for symptomatic stenosis of the vertebral V1 segment.
AB - OBJECTIVE: The objective of this study was to evaluate 30-day and long-term
clinical outcomes and influencing factors of in-stent restenosis (ISR) after
stenting for symptomatic stenosis of the vertebral V1 segment. METHODS: The
clinical and follow-up data of 301 consecutive patients (mean age, 64 +/- 8
years; 252 men) with symptomatic V1 stenosis who underwent stenting at the Fuwai
Hospital between January 2010 and June 2016 were collected retrospectively. The
30-day and long-term follow-up of stroke and death after stenting and the
recurrence of symptoms, ISR, and repeated revascularization were assessed.
RESULTS: Technical success was 100%. The mean stenosis of lesions was reduced
from 82.8% +/- 7.6% to 4.4% +/- 4.0% immediately after 312 stents (165 bare-metal
stents [BMSs] and 147 drug-eluting stents) were implanted. The overall risk of
combined any stroke and death was 1.0% (3/301) within 30 days after stenting. The
rates of freedom from any stroke and death were 98.2%, 96.8%, and 91.4% at 1
year, 3 years, and 5 years, respectively. After a mean follow-up of 2.9 +/- 1.5
years, 46 (15.8%) patients developed ISR, of whom 19 (6.5%) were symptomatic.
Twenty-two (7.6%) patients with ISR underwent repeated revascularization. The
primary and assisted patency rates were 90.0% and 95.4%, 82.6% and 90.3%, and
80.3% and 87.9% at 1 year, 3 years, and 5 years, respectively. BMS (hazard ratio,
2.02; 95% confidence interval, 1.01-4.06; P < .05) and diabetes (hazard ratio,
1.87; 95% confidence interval, 1.04-3.37; P = .04) were independently associated
with an increased risk of ISR. CONCLUSIONS: Percutaneous stent placement for
symptomatic V1 stenosis is safe and associated with a good long-term patency
rate. BMS and diabetes are independent predictive factors of ISR.
PMID- 29789214
TI - Emergent carotid endarterectomy versus stenting in acute stroke patients with
tandem occlusion.
AB - OBJECTIVE: Acute stroke due to tandem cervical internal carotid artery (ICA) and
intracranial large-vessel occlusion (ILVO) has a high rate of morbidity and
mortality. The most appropriate treatment strategy for the extracranial culprit
lesion remains unclear. In this study, we report our institutional outcomes with
two approaches: emergent carotid endarterectomy (CEA) and carotid artery stenting
(CAS). METHODS: Patients with tandem ICA-ILVO were identified in a prospective
mechanical thrombectomy (MT) database between July 2012 and April 2016. Patients
had a concomitant complete ICA origin occlusion and occlusion of the intracranial
ICA or M1 or M2 middle cerebral artery segment. Baseline characteristics,
procedural data, and treatment times were reviewed. End points included good
recanalization of both ICA and ILVO, symptomatic intracerebral hemorrhage
(defined by clinical decline of >4 points on the National Institutes of Health
Stroke Scale), and functional outcome at 90 days. RESULTS: Forty-five patients
had tandem ICA-ILVO occlusion; 27 patients underwent emergent CAS and 12 patients
underwent emergent CEA after MT. Successful Thrombolysis in Cerebral Infarction
grade 2B/3 recanalization was achieved in 92% of the CEA and 96% of the CAS
patients (P = .53). Three CAS patients (11%) and none of the CEA patients had
symptomatic intracerebral hemorrhage (P = .54). At 90 days, 75% (9/12) of the CEA
patients were functionally independent compared with 70% (19/27) in the CAS group
(P = 1.0). No deaths were noted in the CEA group compared with five (18.5%) in
the CAS arm (P = .30). CONCLUSIONS: Our study indicates that early recanalization
with MT followed by emergent CEA is safe and feasible, which suggests that both
CAS and CEA should be considered in the emergent treatment of patients with
tandem occlusion.
PMID- 29789216
TI - The clinical presentation and collateral pathway development of congenital
absence of the internal carotid artery.
AB - OBJECTIVE: The objective of this study was to investigate the clinical
presentation, risks, and collateral pathway development of the congenital absence
of the internal carotid artery (ICA). METHODS: Sixty-four patients (10 new
patients and 54 patients from the relevant literature) were studied. Data on
demographic, clinical, and radiologic features were collected, followed by an
analysis of the risks associated with ICA agenesis. RESULTS: There were 31 male
and 33 female patients whose ages ranged from 5 months to 75 years, with a mean
age of 31.1 years. The range of clinical symptoms recorded included transient
ischemic attack (17 patients), subarachnoid hemorrhage (12 patients),
developmental delay (13 patients), asymptomatic (8 patients), and other symptoms
(15 patients). All 64 patients presented with absence of unilateral or bilateral
ICAs, as measured by cervical computed tomography angiography or magnetic
resonance angiography. The carotid canal was absent in all patients on computed
tomography of the base of the skull, and abnormal development of collateral
circulation pathways was observed. Five patients presented with basilar artery
dilation on angiography. Aneurysms were observed in the angiography results from
16 patients. Ten patients presented with variations in the ophthalmic artery
origin (the ophthalmic artery originated from the ipsilateral middle meningeal
artery in six patients and from the ipsilateral middle cerebral artery in four
patients). CONCLUSIONS: From analysis of our 10 cases of ICA agenesis and our
review of the relevant literature, we conclude that young patients with ICA
agenesis may present with developmental delay, subarachnoid hemorrhage, or other
developmental abnormalities, whereas older patients most commonly present with
transient neurologic events. Complications of carotid agenesis are related to
specific anatomic subtypes and the resulting collateral circulation development.
PMID- 29789218
TI - Does Too Much MAGIC Lead to Mitophagy?
AB - Neurodegeneration-associated hallmarks include an abundance of protein aggregates
and amelioration of mitochondrial function. Despite the knowledge of molecular
counteracting mechanisms, the molecular dialogue between protein aggregate
accumulation and aberrant mitochondrial import is poorly understood. Recent work
unraveled a novel role for the mitochondrial import machinery in regulating
cytosolic proteostasis.
PMID- 29789217
TI - Outcomes and cost of open versus endovascular repair of intact thoracoabdominal
aortic aneurysm.
AB - OBJECTIVE: Many previous studies have evaluated the outcomes of open and
endovascular repair of thoracoabdominal aortic aneurysms (TAAAs). However, little
is known about the differences in cost of these procedures and the potential
factors driving these differences. The aim of this study was to evaluate the
outcomes and cost of open aortic repair (OAR) vs endovascular repair of intact
TAAA. METHODS: All patients undergoing repair for intact TAAA were identified in
the Premier Healthcare Database (July 2009-March 2015). Categorical and
continuous variables were analyzed using the chi2 test, Student t-test, and
median test as appropriate. A multivariable generalized linear model was used to
examine total in-hospital cost. RESULTS: A total of 879 TAAA repairs were
identified (481 [55%) endovascular repairs vs 398 [45%] OARs). Patients
undergoing endovascular repair were on average 5 years older (71.2 [+/-10.0]
years vs 66.5 [+/-10.9] years; P < .001) and more likely to be female (48% vs
42%; P = .05) and hypertensive (87% vs 80%; P = .009). Otherwise, there were no
significant differences in comorbidities between the two groups. Patients
undergoing OAR were more likely to stay longer in the hospital (median
[interquartile range], 11 [7-20] days vs 5 [2-9] days; P < .001). In-hospital
mortality (15% vs 5%; P < .001) and all major complications were two to three
times higher after OAR. The median total cost of OAR was significantly higher
compared with endovascular repair (cost [interquartile range], $44,355 [$32,177
$54,824] vs $36,612 [$24,395-$53,554]; P = .004). The majority of the cost
attributed to TAAA repair was also higher in patients undergoing open repair:
room and board ($11,561 vs $4720), operating room ($9230 vs $4929), pharmacy
($2309 vs $900), blood bank ($1189 vs $195), rehabilitation/physical therapy
($378 vs $236), and respiratory therapy ($875 vs $168; all P < .001). Only the
cost of central supplies, which includes endovascular grafts and stents, was the
highest among patients undergoing endovascular repair ($17,472 vs $5501; P <
.001). The cost of diagnostic imaging ($625 vs $595) and anesthesia ($479 vs
$478) was similar in both approaches. In a multivariable analysis, the adjusted
total hospitalization cost for OAR was $5974 (95% confidence interval, $1828
$10,120; P = .005) higher compared with endovascular repair. However, after
adjusting for in-hospital complications, no difference was seen between the two
approaches (-$460; 95% confidence interval, -$4390 to $3470; P = .82).
CONCLUSIONS: In this large cohort of intact TAAAs, we showed a significantly
higher adjusted total hospitalization cost of open compared with endovascular
repair despite the additional cost of endografts. This is likely driven by longer
length of stay and higher morbidity after OAR.
PMID- 29789219
TI - Cap-Independent Translation: What's in a Name?
AB - Eukaryotic translation initiation relies on the m7G cap present at the 5' end of
all mRNAs. Some viral mRNAs employ alternative mechanisms of initiation based on
internal ribosome entry. The 'IRES ideology' was adopted by researchers to
explain the differential translation of cellular mRNAs when the cap recognition
is suppressed. However, some cellular IRESs have already been challenged and
others are awaiting their validation. As an alternative cap-independent
mechanism, we propose adopting the concept of cap-independent translation
enhancers (CITEs) for mammalian mRNAs. Unlike IRESs, CITEs can be located both
within 5' and 3' UTRs and bind mRNA-recruiting translational components. The
respective 5' UTRs are then inspected by the scanning machinery essentially in
the same way as under cap-dependent translation.
PMID- 29789220
TI - ADAURA: Phase III, Double-blind, Randomized Study of Osimertinib Versus Placebo
in EGFR Mutation-positive Early-stage NSCLC After Complete Surgical Resection.
AB - INTRODUCTION: Currently, the role of epidermal growth factor receptor (EGFR)
tyrosine kinase inhibitors as adjuvant therapy for early-stage non-small-cell
lung cancer after complete surgical tumor resection remains under investigation.
We present the rationale and study design for the ADAURA (ClinicalTrials.gov
identifier, NCT02511106) trial, a multicenter, double-blind, randomized, placebo
controlled study. PATIENTS AND METHODS: Study entry will be limited to adults
aged >= 18 years (and in Japan and Taiwan, age >= 20 years) with primary
nonsquamous stage IB-IIIA non-small-cell lung cancer with central confirmation of
an EGFR exon 19 deletion or L858R mutation. Patients will be randomized 1:1 to
receive osimertinib 80 mg once daily or placebo once daily until disease
recurrence, a treatment discontinuation criterion is met, or patients achieve the
maximum treatment duration of 3 years. The primary endpoint of this study is
disease-free survival. Secondary endpoints include the disease-free survival rate
at 2, 3, and 5 years, overall survival, overall survival rate at 5 years, and
safety and tolerability. Health-related quality of life and pharmacokinetics will
also be evaluated. The exploratory objectives include assessment of osimertinib
efficacy in patients with a confirmed baseline T790M mutation status and
postrecurrence outcomes, health resource use, and a comparison of plasma-derived
circulating tumor DNA EGFR mutation status at baseline and at disease recurrence.
RESULTS: Study enrollment began in August 2015, and results are expected in the
third quarter of 2021 (depending on the actual event rate).
PMID- 29789221
TI - Germline silencing of UASt depends on the piRNA pathway.
PMID- 29789222
TI - Risk of metabolic syndrome in adolescents with polycystic ovarian syndrome: A
systematic review and meta-analysis.
AB - BACKGROUND: Polycystic ovarian syndrome (PCOS) is the commonest reproductive
disorder in women and is closely associated with the development of metabolic
syndrome (MetS). The objective of this systematic review and meta-analysis was to
describe the risk of MetS in adolescent with PCOS to help diagnosing and
preventing of morbidity and mortality later in life. METHODS: Pubmed, Medline,
EMBASE, CINAHL and other sources were searched for metabolic syndrome in
adolescents with polycystic ovarian syndrome using PRISMA guidelines (Moher et
al., 2009). All type of study design of women aged 10-20 reported association of
PCOS with metabolic syndrome was included in this study. Meta-analysis was
conducted for MetS and its individual component using bias adjusted quality
effect model and we compare the results from quality effects with random effects
and IVhet model. Data were presented as prevalence, odds ratio (95% confidence
interval and mean difference (95% confidence interval). RESULTS: This systematic
review included 9 studies while the meta-analysis included 7 studies. Meta
analysis showed that the odds of being experiencing MetS in PCOS groups was 2.69
(1.29, 5.60) times than girls without PCOS. The mean difference between girls
with PCOS and without PCOS for systolic blood pressure was 5.00 (1.28, 8.72),
diastolic blood pressure was 3.50 (0.48, 6.56), triglycerides level was 4.20 (
3.99, 12.45), glucose level was 1.30 (-0.46, 3.05), HDL level was -1.40 (-4.85,
2.00). CONCLUSION: This systematic review and meta-analysis support the
hypothesis that the risk of MetS is much greater in adolescents with PCOS
compared to the normal population. It is important to screen PCOS in early age to
prevent MetS and its complications which lead to morbidity and mortality later in
life.
PMID- 29789223
TI - Vitamin D status in diabetic children and adolescents.
AB - INTRODUCTION: Besides its role in calcium homeostasis, vitamin D (VD) has an
important immuno-mudulation effect. However, its role in autoimmune diseases such
as type 1 diabetes is under discussion. This study designed to investigate serum
VD status in children and adolescents with and without diabetes. MATERIALS AND
METHODS: In a case-control study, 85 diabetic and 85 non-diabetic control (total
170) aged 1-15 yr. were enrolled. History of breast feeding and vitamin
supplementation was obtained; growth indices and serum levels of Calcium,
phosphorous, Alkaline-Phosphatase (ALP), Hemoglobin-A1c and VD were measured and
analyzed. RESULTS: The mean values for VD in case and control groups were 12.80
+/- 10.59 and 15.85 +/- 9.92 nmol/L, respectively (p = 0.057). Serum VD status in
these two groups was sufficient in 5.88% and 9.41%, insufficient in 18% and 14%,
and deficient in 75.29% and 76.74%, respectively. Compared to the controls,
diabetics had more history of breast-feeding (62.35% vs. 42.35%; p = 0.017) and
higher serum ALP levels (772.34 +/- 309.89 vs. 657.99 +/- 395.11 U/L; p = 0.032).
CONCLUSION: More frequency of breast-feeding, higher serum ALP and mildly lower
serum level of VD in diabetics suggests a significant difference in VD status in
diabetics compared to the healthy peers indicating a potential role of this
deficiency in the disease process.
PMID- 29789225
TI - Grafting for bone defects after curettage of benign bone tumor - Analysis of
factors influencing the bone healing.
AB - BACKGROUND: Simple bone cyst often weaken bone properties and predispose to
pathological fractures, requiring tumor excision and the filling of bone defects
with grafts to prevent complications. The purpose of this study was to evaluate
factors potentially affecting the quality and efficiency of graft healing.
METHODS: This study retrospectively assessed 84 patients with simple bone cysts
who had undergone tumor excision and filling of the bone defects with grafts
between 2004 and 2014. Various patient-, tumor- and treatment-related factors
that could potentially influence radiologic healing status and time to stable
healing were evaluated. RESULTS: Bone healing was not related to gender and age.
Graft type was not significantly correlated with both radiologic healing status
or time to stable healing. Only two of all variables evaluated were significantly
correlated with the prognosis: (1) Tumors location: patients with tumors located
at proximal femur were significantly more likely to achieve complete healing
(Neer I) (OR = 3.2; 95%CI, 1.29-8.00; p = 0.011). (2) Tumor length: patients with
a tumor length less than 6.2 cm, complete healing was nearly five times more
likely to occur (OR = 4.84; 95% CI, 1.83-12.84; p = 0.002). Degree of graft
filling of the bone defects affected the time to stable healing. The average
healing times were 4.86 months for filling degree >=90% and 5.94 months for
filling degrees <90%, respectively (p = 0.009). Postoperative re-fracture
occurred in one case. CONCLUSION: Factors influencing the quality of bone healing
following intralesional curettage and bone grafting are proximal femur location
and tumor length. A greater degree of graft filling can contribute to higher bone
healing efficiency.
PMID- 29789226
TI - Engineering E. coli to Have a Hybrid Archaeal/Bacterial Membrane.
AB - Bacteria and Archaea have membrane lipids with an opposite stereochemistry. The
most plausible explanation for this differentiation implies an unstable
heterochiral membrane stage. A recent study engineered Escherichia coli with a
significant abundance of archaeal lipids showing higher robustness, disproving
heterochirality as the driving force for this differentiation.
PMID- 29789228
TI - Distinct kinetics of two pathologies induced in mice by topical treatment with
imiquimod cream: Psoriasis-like inflammation and systemic autoimmunity.
PMID- 29789227
TI - Cost-effectiveness analysis of sensor-augmented pump therapy with low glucose
suspend in patients with type 1 diabetes mellitus and high risk of hypoglycemia
in Spain.
AB - OBJECTIVE: To compare the cost-effectiveness of sensor-augmented pump therapy
(SAP) [continuous subcutaneous insulin infusion (CSII) plus real-time continuous
glucose monitoring (RT-CGM)] with low glucose suspend (MiniMedTM VeoTM) and CSII
alone in patients with type 1 diabetes mellitus (T1DM) at high risk of
hypoglycemia in Spain. METHODS: The IQVIA CORE Diabetes Model was used to
estimate healthcare outcomes as life-years gained (LYGs) and quality-adjusted
life years (QALYs), and to project lifetime costs. Information about efficacy,
resource utilization, and unit costs (?2016) was taken from published sources and
validated by an expert panel. Analyses were performed from both the Spanish
National Health System (NHS) perspective and the societal perspective. RESULTS:
From the NHS perspective, SAP with low glucose suspend was associated to a
?47,665 increase in direct healthcare costs and to increases of 0.19 LYGs and
1.88 QALYs, both discounted, which resulted in an incremental cost-effectiveness
ratio (ICER) of ?25,394/QALY. From the societal perspective, SAP with low glucose
suspend increased total costs (including direct and indirect healthcare costs) by
?41,036, with a resultant ICER of ?21,862/QALY. Considering the willingness-to
pay threshold of ?30,000/QALY in Spain, SAP with low glucose suspend represents a
cost-effective option from both the NHS and societal perspectives. Sensitivity
analyses confirmed the robustness of the model. CONCLUSIONS: From both the
Spanish NHS perspective and the societal perspective, SAP with low glucose
suspend is a cost-effective option for the treatment of T1DM patients at high
risk of hypoglycemia.
PMID- 29789229
TI - Cryoglobulinemic vasculitis and psoriatic arthritis: Case report of an unusual
association.
AB - We report the case of a 47-year-old man with a 9-year history of psoriatic
arthritis (PsA) in whom we detected renal involvement, hypocomplementemia,
peripheral neuropathy, acral necrotic lesions and positive cryoglobulins. The
results of the diagnosis led us to conclude that the clinical picture
corresponded to cryoglobulinemic vasculitis concomitant with PsA. In addition, we
present a review of the literature on the presence of these two diseases in a
single patient.
PMID- 29789230
TI - Impact of Second-Opinion Interpretation of Breast Imaging Studies in Patients Not
Currently Diagnosed With Breast Cancer.
AB - PURPOSE: To study the impact of second-opinion interpretation of breast imaging
studies submitted from outside facilities to a tertiary cancer center. MATERIALS
AND METHODS: A retrospective database review was conducted of second-opinion
interpretations rendered at our institution from January 1, 2010, to June 30,
2014, on studies from patients who did not have a concurrent breast cancer
diagnosis. A total of 2,253 patients were included. RESULTS: In 800 of 2,253
patients (35.5%), the BI-RADS categories assigned at our institution and at
outside facilities were discordant. Of 973 patients assigned BI-RADS category 4
or 5 at outside facilities, 278 (28.6%) were assigned BI-RADS category 1 to 3 (no
biopsy necessary) at our institution. Of 923 patients assigned BI-RADS category 1
to 3 at outside facilities, 191 (20.7%) were assigned BI-RADS category 4 or 5 at
our institution, and 189 of these had biopsies, which revealed 23 cancers, 15
high-risk lesions, and 151 benign lesions. One high-risk lesion at core biopsy
was upgraded to invasive ductal carcinoma and ductal carcinoma in situ (DCIS) on
excision, resulting in 24 cancers. Of these, 18 reflected true additional breast
cancers detected as a result of second-opinion interpretation: 12 invasive
carcinomas and 6 cases of DCIS. These results translate into a 9.4% (18/191)
positive predictive value for the number of cancers diagnosed among all biopsies
recommended and a 9.5% (18/189) positive predictive value for the number of
cancers diagnosed among all biopsies recommended and actually performed.
CONCLUSIONS: These findings demonstrate the positive clinical impact of second
opinion interpretation at a tertiary cancer center of outside-facility breast
imaging studies in patients without a breast cancer diagnosis.
PMID- 29789231
TI - Assessment of Appropriate Recovery Time After Liver Biopsy.
PMID- 29789232
TI - High-Grade Serous Ovarian Cancer: Use of Machine Learning to Predict
Abdominopelvic Recurrence on CT on the Basis of Serial Cancer Antigen 125 Levels.
AB - PURPOSE: The aim of this study was to use machine learning to predict abdominal
recurrence on CT on the basis of serial cancer antigen 125 (CA125) levels in
patients with advanced high-grade serous ovarian cancer on surveillance. METHODS:
This institutional review board-approved, HIPAA-compliant, retrospective,
hypothesis-generating study included all 57 patients (mean age, 61 +/- 11.2
years) with advanced high-grade serous ovarian cancer who underwent cytoreductive
surgery from January to December 2012, followed by surveillance abdominopelvic CT
and corresponding CA125 levels. A blinded radiologist reviewed abdominopelvic CT
studies until recurrence was noted. Four measures of CA125 were assessed: actual
CA125 levels at the time of CT, absolute change since prior CT, relative change
since prior CT, and rate of change since prior CT. Using machine learning,
support vector machine models were optimized and evaluated using 10-fold cross
validation to determine the CA125 measure most predictive of abdominal
recurrence. The association of the most accurate CA125 measure was further
analyzed using Cox proportional-hazards model along with age, tumor size, stage,
and degree of cytoreduction. RESULTS: Rate of change in CA125 was most predictive
of abdominal recurrence in a linear kernel support vector machine model and was
significantly higher preceding CT studies showing abdominal recurrence (median
13.2 versus 0.6 units/month; P = .007). On multivariate analysis, a higher rate
of CA125 increase was significantly associated with recurrence (hazard ratio,
1.02 per 10 units change; 95% confidence interval, 1.0006-1.04; P = .04).
CONCLUSION: A higher rate of CA125 increase is associated with abdominal
recurrence. The rate of increase of CA125 may help in the selection of patients
who are most likely to benefit from abdominopelvic CT in surveillance of ovarian
cancer.
PMID- 29789233
TI - Amyotrophic neuralgia of atypical presentation associated with exposure to a
hepatitis B vaccine.
PMID- 29789234
TI - Exploring positive surgical margins after minimally invasive radical
prostatectomy: Does body habitus really make a difference ?
AB - BACKGROUND: Positive surgical margins (PSMs) at radical prostatectomy (RP) are
generally recognized as a surrogate of poor or difficult dissection of the
prostatic gland. In open RP cohorts, obesity seems to be associated to an
increased risk of PSMs, probably due to the technical challenge that obese men
pose to surgical access. Minimally invasive RP has been claimed to possibly
reduce PSM rate. Aim of the study was to explore the impact of obesity and body
habitus on PSM risk and their localisation during laparoscopic and robotic
assisted RP. MATERIALS AND METHODS: We reviewed 539 prospectively enrolled
patients undergoing laparoscopic and robotic-assisted RP with pT2 prostate
cancer. The outcome measured was rate of PSM according to the BMI and surgical
approach (laparoscopic vs robotic-assisted). Patients were categorized in
BMI<25kg/m2, BMI 25-29.9kg/m2 and BMI >30kg/m2 groups respectively and compared
using Kruskall-Wallis or chi2 test, as appropriate. Uni- and multivariate
logistic regression models were constructed to assess the impact of BMI and
surgical technique on PSM risk. RESULTS: Overall, 127 (24%) of men had PSMs
detected at final specimen evaluation. Mean PSM length was 3.9+/-3.4mm, and 30
(6%) men presented significant margins >=4mm. Analysing the rate of PSMs across
BMI categories, no significant association between increased BMI and PSM was
detected (all P>0.48). On uni- and multivariate logistic regression BMI was not a
statistically significant risk factor for PSM (P=0.14), nor was the minimally
invasive technique (laparoscopic vs robotic-assisted) (P=0.54). CONCLUSIONS: In
this study obese men do not appear to have a significant increase in risk of PSMs
at RP compared to lean and overweight men when operated by a minimally invasive
approach. The magnified vision and increased access to the pelvis allowed by a
laparoscopic and robotic-assisted approach may be accountable for our findings.
Larger studies are needed to validate our results. LEVEL OF PROOF: 4.
PMID- 29789235
TI - [Pathology findings after radical prostatectomy for prostate cancer in patients
eligible for active surveillance: Contribution of multiparametric MRI to
treatment decision].
AB - OBJECTIVES: To analyze, in patients with prostate cancer (PC) potentially
eligible for active surveillance (AS), whether multiparametric-MRI (mp-MRI)
predicts presence of clinically significant cancer on radical prostatectomy (RP)
specimen. METHODS: We identified 77 men with PC eligible for AS (PSA<=15ng/mL,
stage<=T2a, Gleason score<=6, up to 3 positive cores, maximal cancer core
length<=5mm) who underwent RP between 01/2008 and 08/2015. All patients had
prebiopsy mp-MRI followed by systematic+/-targeted biopsies. For each patient,
the likelihood of the presence of cancer on mp-MRI was assigned using Likert
scale (1 to 5). The predictive factors for the presence of significant cancer on
RP specimen (Gleason score>=7 and/or tumoral maximal diameter>10mm) were
evaluated using logistic regression. RESULTS: Median age was 61 and median PSA
was 6.7ng/mL. Overall, 49 (64%) patients had a positive mp-MRI (score>=3).
Clinically significant cancer on RP specimen was found in 45 (58%) patients (69%
in MRI-positive patients vs 39% in MRI-negative patients). In multivariate
analysis, a positive MRI was a predictive factor for the presence of significant
cancer on the surgical specimen (OR=3.0; CI95% [1.01-8.88]; P=0.04), as was age
(OR=1.17; CI95% [1.05-1.31]; P=0.004) and PSAD (OR=1.10; CI95% [1.01-1.20];
P=0.02). CONCLUSION: Mp-MRI is a useful exam for selecting patients eligible for
AS even if the situation remains unclear after prostate biopsies including
targeted biopsies. Upon confirmation by further studies, mp-MRI should be
considered as an independent criterion before entering an AS program. LEVEL OF
EVIDENCE: 4.
PMID- 29789236
TI - [Study of the beneficial effects of triptorelin on lower urinary tract symptoms
in Algeria in patients with non-localized prostate cancer].
AB - INTRODUCTION: This study aims to assess the effectiveness of triptorelin on lower
urinary tract symptoms (LUTS) in Algerian patients with non-localized prostate
cancer in routine practice. MATERIALS: This prospective, observational, non
interventional, multicentre study was conducted in Algeria. Included patients who
had locally advanced or metastatic prostate cancer and were treated with
triptorelin 11.25mg given every 12 weeks. LUTS were evaluated with the
International Prostate Symptom Score (IPSS) until week 48 after treatment
initiation. An IPSS>7 indicated moderate to severe LUTS. The primary objective of
the study was to determine the distribution of IPSS at week 48. RESULTS: This
study enrolled 193 patients at 21 centres. A total of 144 participants had IPSS
available at baseline and after baseline (136 patients had moderate to severe
LUTS and eight had mild LUTS at baseline). At week 48, amongst the 116 patients
with IPSS available and moderate to severe LUTS at baseline, 94 (81.0%) had
moderate to severe LUTS and 22 (19.0%) had mild LUTS. At week 48, the eight
patients with mild symptoms at baseline remained in this category. The proportion
of patient with severe LUTS decreased from 53.7% at baseline to 12.1% at week 48.
Adverse events were reported in 22.9% of participants. CONCLUSION: A reduction of
LUTS is observed in patients with locally advanced or metastatic prostate cancer
treated with triptorelin in routine practice. This is in agreement with similar
observational studies of triptorelin conducted in other countries. LEVEL OF
PROOF: 4.
PMID- 29789237
TI - Predictors of biochemical recurrence after radical prostatectomy in an Afro
Caribbean population in Guadeloupe (French West Indies).
AB - PURPOSE: Few studies have investigated predictive risk factors of biochemical
recurrence (BCR) after radical prostatectomy (RP) in other than Caucasian and
Asian populations. We aimed to identify pre- and post-operative predictors of BCR
after RP in an Afro-Caribbean population in Guadeloupe (French West Indies).
PATIENTS AND METHODS: The study included 964 patients who underwent RP for
clinically localized prostate cancer between April 1, 2000 and December 31, 2010
in the University Hospital of Guadeloupe. The hazard ratio (HR) and corresponding
95% confidence interval (CI) for single variable associations with BCR were
calculated using the Cox proportional hazards regression. Multiple variable
analyses for association with BCR were performed, including all variables that
reached statistical significance (P value<0.05) in univariate analysis. A
backward selection model was then applied with a P value >=0.1 for retention in
the final model. Sensitivity analysis was performed and restricted to patients
with known values for all variables (complete case analysis). RESULTS: With a
median follow-up of 4.8 years, the BCR rate was 26.7%. In multivariable analysis,
predictors of BCR before surgery were diabetes mellitus type 2 (DT2) (HR: 1.37,
95% CI: 1.02-1.85; P=0.038), pre-operative PSA>7.5ng/ml (1.49, 1.15-1.92;
P=0.002), clinical stage T2 (1.55, 1.21-1.98; P=0.0006), Gleason score>7 or 4+3
(2.12, 1.54-2.91; P<0.0001), and percentage of length of biopsy positive scores
(1.66, 1.24-2.20; P=0.0006). Predictors of BCR after surgery were DT2 (HR: 1.37,
95% CI: 1.01-1.85; P=0.045), pre-operative PSA>7.5ng/ml (1.37, 1.06-1.79;
P=0.018), pathological Gleason score>7 or 4+3 (2.36, 1.74-3.19; P<0.0001),
pathological stage pT3b (1.68, 1.15-2.45; P=0.007), positive surgical margins
(1.72, 1.32-2.45; P=0.0001), and perioperative blood loss>2000ml (3.74, 1.37
10.2; P=0.01). The results were virtually the same by sensitivity analysis
(complete cases), except for DT2, which was associated with BCR with borderline
statistical significance in the pre-operative model and not retained in the post
operative model. CONCLUSIONS: Afro-Caribbean populations in French West Indies
share the same major clinical and pathological risk factors of BCR after RP
identified in other ethnic groups. Perioperative blood loss appears to be an
additional and independent predictive factor of BCR. LEVEL OF PROOF: 4.
PMID- 29789239
TI - Mandatory influenza vaccination and religious accommodation for healthcare
workers: Lessons from recent legal challenges.
PMID- 29789238
TI - A phase 1 study of safety and immunogenicity following intradermal administration
of a tetravalent dengue vaccine candidate.
AB - BACKGROUND: As part of the ongoing search for an effective dengue vaccine, Takeda
performed a phase 1b study to investigate the safety and immunogenicity of an
early low-dose tetravalent dengue vaccine candidate formulation (LD-TDV), based
on an attenuated serotype 2 backbone, when administered intradermally with an
injector device (PharmaJet(r)), or needle-syringe. METHODS: The study was
performed in two centers in the US, in healthy 18-45 year old subjects with no
history of dengue vaccination or disease. One or two vaccine doses were given on
Day 0, and another dose or placebo on Day 90. Neutralizing antibodies were
measured up to Day 270; safety was assessed as laboratory measurements and
solicited and unsolicited adverse events on diary cards. RESULTS: Changes in
World Health Organization prequalification guidance for new vaccines concerning
storage conditions favored the use of lyophilized preparations, and led to the
early cessation of enrolment, but not before 67 subjects were enrolled in four
treatment groups. Sixty-five subjects completed the planned schedule. There were
no safety signals or serious adverse events. All vaccination regimens elicited
neutralizing antibodies. Titers of neutralizing antibodies against serotypes 1
and 2 were higher than those against serotypes 3 and 4. There were no consistent
increases in responses with two doses given either concomitantly or 90 days
apart. CONCLUSIONS: Simultaneous injection of two LD-TDV doses was shown to have
the potential to improve seroconversion rates to serotypes 1 and 2, and to
increase serotype 2 antibody titers. A primary dose of LD-TDV administered by
PharmaJet was shown to induce more rapid seroconversion to serotypes 1, 2, and 3
compared with administration by needle-syringe (ClinicalTrials.gov: NCT01765426).
PMID- 29789240
TI - Effect of maternal immunization against pertussis in Medellin and the
metropolitan area, Colombia, 2016-2017.
AB - BACKGROUND: In 2013, pertussis immunization (Tdap) for pregnant women was
implemented in Colombia to protect newborns in response to increased pertussis
incidence. OBJECTIVE: To assess the effect of Tdap maternal immunization on the
concentration of mother/umbilical cord antibodies and the occurrence of pertussis
in infants during their first six months of life. METHODS: A cohort study in
eight randomly selected hospitals in Medellin and metropolitan area of Antioquia,
Colombia was conducted in 2015-2016. IgG PT antibody levels in paired maternal
and umbilical cord sera were measured from 805 mothers immunized recruited during
labor and 200 mothers recruited during the prenatal care before immunization and
followed until delivery. Antibodies were analyzed by commercial ELISA kits. 896
infants were followed to detect acute respiratory infections and paroxysms of
coughing, inspiratory whoop, apnea, cyanosis or post-tussive vomiting. For
laboratory confirmation, B. pertussis- specific real time PCR was performed.
RESULTS: We observed a high prevalence of titers >100 IU/mL (mother: 18.40% [95%
CI 16-21%]; umbilical cord: 23.1% [95% CI 19.2-27.4%]), positive correlation of
umbilical cord and maternal antibodies, higher antibody concentration in
vaccinated than in non-vaccinated mothers and significant difference in antibody
levels before and after vaccination (Wilcoxon test p = 0.000). The trans
placental transport ratio was higher if the mother was vaccinated between 26 and
30 weeks of pregnancy and maximum eight weeks before delivery. Two cases of
pertussis were confirmed in infants (incidence of 1.99 per 1000). CONCLUSION: The
expected effect of Tdap maternal vaccination against pertussis was observed.
PMID- 29789241
TI - Vaccines, inspiring innovation in health.
AB - This report covers the topics of pandemics, epidemics and partnerships, including
regulatory convergence initiatives, new technologies and novel vaccines,
discussed by leading public and private sector stakeholders at the 18th Annual
General Meeting (AGM) of the Developing Countries Vaccine Manufacturers' Network
(DCVMN). Contributions of Gavi and the vaccine industry from emerging countries
to the growing global vaccine market, by improving the supply base from
manufacturers in developing countries and contributing to 58% of doses, were
highlighted. The Coalition for Epidemic Preparedness Innovations (CEPI), the
International Vaccine Institute (IVI) and others reported on new strategies to
ensure speedy progress in preclinical and clinical development of innovative
vaccines for future MERS, Zika or other outbreak response. Priorities for vaccine
stockpiling, to assure readiness during emergencies and to prevent outbreaks due
to re-emerging diseases such as yellow fever, cholera and poliomyelitis, were
outlined. The role of partnerships in improving global vaccine access,
procurement and immunization coverage, and shared concerns were reviewed. The
World Health Organization (WHO) and other international collaborating partners
provided updates on the Product, Price and Procurement database, the
prequalification of vaccines, the control of neglected tropical diseases,
particularly the new rabies elimination initiative, and regulatory convergence
proposals to accelerate vaccine registration in developing countries. Updates on
supply chain innovations and novel vaccine platforms were presented. The
discussions enabled members and partners to reflect on efficiency of research &
development, supply chain tools and trends in packaging technologies improving
delivery of existing vaccines, and allowing a deeper understanding of the current
public-health objectives, industry financing, and global policies, required to
ensure optimal investments, alignment and stability of vaccine supply in
developing countries.
PMID- 29789242
TI - 'What have you HEARD about the HERD?' Does education about local influenza
vaccination coverage and herd immunity affect willingness to vaccinate?
AB - BACKGROUND: Vaccination protects individuals directly and communities indirectly
by reducing transmission. We aimed to determine whether information about herd
immunity and local vaccination coverage could change an individual's vaccination
plans and concern about influenza. METHODS: We surveyed Minnesota residents >=18
years during the 2016 Minnesota State Fair. Participants were asked to identify
the definition of herd immunity, to report their history of and plans to receive
influenza vaccine, to report their concern about influenza, and to estimate the
reported influenza vaccination coverage in their county. After providing
educational information about herd immunity and local vaccination rates, we
reassessed vaccination plans and concerns. We used logistic regression to
estimate predicted percentages for those willing to be vaccinated, for concern
about influenza, and for changes in these outcomes after the intervention. We
then compared those individuals with and without prior knowledge of herd
immunity, accounting for other characteristics. RESULTS: Among 554 participants,
the median age was 57 years; most were female (65.9%), white (91.0%), and non
Hispanic/Latino (93.9%). Overall, 37.2% of participants did not know about herd
immunity and 75.6% thought that the influenza vaccination coverage in their
county was higher than it was reported. Those not knowledgeable about herd
immunity were significantly less likely than those knowledgeable about the
concept to report plans to be vaccinated at baseline (67.8% versus 78.9%; p =
0.004). After learning about herd immunity and influenza vaccination coverage,
the proportion of those not knowledgeable about herd immunity who were willing to
be vaccinated increased significantly by 7.3 percentage points (p = 0.001).
Educating participants eliminated the significant difference in the proportion
planning to be vaccinated between these two groups (80.1% of those knowledgeable
and 75.1% of those who were not initially knowledgeable became willing; p =
0.148). CONCLUSIONS: Education about herd immunity and local vaccination coverage
could be a useful tool for increasing willingness to vaccinate, generating
benefits both to individuals and communities.
PMID- 29789243
TI - MenACWY-TT is immunogenic when co-administered with Tdap and AS04-HPV16/18 in
girls and young women: Results from a phase III randomized trial.
AB - BACKGROUND: Co-administration of vaccines in adolescents may improve coverage. We
assessed co-administration of quadrivalent meningococcal serogroups A, C, W and Y
tetanus toxoid-conjugate vaccine (MenACWY-TT), human papillomavirus 16/18 AS04
adjuvanted vaccine (AS04-HPV16/18) and tetanus-diphtheria-acellular pertussis
vaccine (Tdap) in girls and young women. METHODS: In this phase IIIb study
(NCT01755689), 1300 healthy 9-25-year-old females were randomized (1:1:1:1:1) to
receive: MenACWY-TT at month (M) 0 and AS04-HPV16/18 at M1, M2, M7; MenACWY-TT
and AS04-HPV16/18 at M0 and AS04-HPV16/18 at M1, M6; AS04-HPV16/18 at M0, M1, M6;
MenACWY-TT, Tdap and AS04-HPV16/18 at M0 and AS04-HPV16/18 at M1, M6; Tdap and
AS04-HPV16/18 at M0 and AS04-HPV16/18 at M1, M6. Immunogenicity, safety and
reactogenicity were evaluated. RESULTS: Immunogenicity of MenACWY-TT and AS04
HPV16/18 when co-administered was non-inferior to that of the 2 vaccines given
separately. Co-administration of MenACWY-TT, AS04-HPV16/18 and Tdap was non
inferior to MenACWY-TT administered alone or to Tdap co-administered with AS04
HPV16/18 in terms of immunogenicity for all vaccine components, except pertussis
antigens. Post-vaccination, >=89.5% of participants reached antibody levels above
the pre-specified threshold for all antigens. No safety concerns were identified.
CONCLUSION: Our data support co-administration of MenACWY-TT with Tdap and AS04
HPV16/18 vaccines in adolescents.
PMID- 29789244
TI - Biopsychosocial Management of Female Sexual Dysfunction: A Pilot Study of Patient
Perceptions From 2 Multi-Disciplinary Clinics.
AB - BACKGROUND: Sexual dysfunction is often complex and biopsychosocial. Traditional
sexual health care management involves individual providers not in a multi
disciplinary setting. A multi-disciplinary team may consist of a medical
provider, pelvic floor physical therapist, and sex therapist. AIM: The aim was to
explore the patient perceptions of benefit from management of their sexual
dysfunction by a biopsychosocial multi-disciplinary team. METHODS: A survey was e
mailed to women patients seen by multi-disciplinary teams at 2 different
settings: San Diego Sexual Medicine or Mayo Clinic Women's Health Clinic during a
27-month period. Data are reported using summary statistics for age and count for
remaining survey responses. Cochran-Armitage tests for trend were used to compare
pre- and post-comfort levels. OUTCOMES: Main outcome measures included perceived
benefit of being managed in a team-based model of care, level of benefit and
satisfaction from each provider, and difference from pre-conceived level of
comfort to actual comfort after each provider visit. RESULTS: 89 of 270 e-mailed
surveys were analyzed. Patient populations (mean age 47.6, range 23-77 years)
were similar between sites. Overall, 82% of respondents reported moderate/great
benefit from the team-based model; 72.1% reported management by all 3 providers
valuable/extremely valuable; and 84.3% were somewhat/very satisfied with the
model. Women endorsed specific ways in which they benefitted from the team-based
model including: improved sexual function (58.1%), feeling validated (72.1%) and
listened to (62.8%), that they better understood their health concerns (65.1%),
that their partner better understood their health concerns (46.5%), and feeling
normal (46.5%). There were no significant differences between the 2 clinics in
terms of patient-perceived benefit, value, or satisfaction. CONCLUSIONS: The team
based model of care for management of sexual dysfunction in women including a
medical provider, physical therapist, and sex therapist is associated with
patient-perceived benefit, satisfaction, and value. Rullo J, Faubion S, Hartzell
R, et al. Biopsychosocial Management of Female Sexual Dysfunction: A Pilot Study
of Patient Perceptions From 2 Multi-Disciplinary Clinics. Sex Med 2018;6:217-223.
PMID- 29789245
TI - Outcomes of Arthroscopic Rotator Cuff Repair in Patients Who Are 70 Years of Age
or Older Versus Under 70 Years of Age: A Sex- and Tear Size-Matched Case-Control
Study.
AB - PURPOSE: To compare the structural and clinical outcomes after arthroscopic
rotator cuff repair (ARCR) of a case group aged 70 and above with those of a
control group younger than 70, with the 2 groups matched for sex and tear size.
METHODS: The case group, comprising 53 patients 70 or older, and the control
group, comprising 159 patients younger than 70, all received ARCR to 1 shoulder
with symptomatic full-thickness rotator cuff tear. The case and the control
subjects, who were matched for sex and tear size to minimize bias related to
tendon healing, received ARCR during the same period. The mean age was 71.8 +/-
2.6 years in the case group and 59.3 +/- 7.1 years in the control group. The
minimum follow-up period was 1 year in both groups. Cuff integrity was evaluated
using ultrasonography. Structural and clinical outcomes of the 2 groups were
compared. RESULTS: Regarding structural outcomes, the complete healing, partial
thickness retear, and full-thickness retear rates were 66% (35/53), 15% (8/53),
and 19% (10/53) in the case group, and 68% (108/159), 19% (30/159), and 13%
(21/159), respectively, in the control group. The 2 groups had no significantly
different retear rates (P = .52). Regarding clinical outcomes, the mean
improvements in range of motion, pain, muscle strength, and age- and sex-matched
Constant scores were not significantly different between the 2 groups (P > .37).
The preoperative tear size was significantly associated with retear in both
studied groups (P = .02). CONCLUSIONS: The clinical and structural outcomes of
ARCR in patients 70 or older with symptomatic full-thickness rotator cuff tear
are comparable with those in patients younger than 70 with at least 1-year follow
up. Preoperative tear size, a biological factor, is a strong predictor for
retear. LEVEL OF EVIDENCE: Level III, a retrospective comparative (case-control)
study.
PMID- 29789246
TI - Arthroscopic Latarjet Techniques: Graft and Fixation Positioning Assessed With 2
Dimensional Computed Tomography Is Not Equivalent With Standard Open Technique.
AB - PURPOSE: To analyze graft and fixation (screw and EndoButton) positioning after
the arthroscopic Latarjet technique with 2-dimensional computed tomography (CT)
and to compare it with the open technique. METHODS: We performed a retrospective
multicenter study (March 2013 to June 2014). The inclusion criteria included
patients with recurrent anterior instability treated with the Latarjet procedure.
The exclusion criterion was the absence of a postoperative CT scan. The positions
of the hardware, the positions of the grafts in the axial and sagittal planes,
and the dispersion of values (variability) were compared. RESULTS: The study
included 208 patients (79 treated with open technique, 87 treated with
arthroscopic Latarjet technique with screw fixation [arthro-screw], and 42
treated with arthroscopic Latarjet technique with EndoButton fixation [arthro
EndoButton]). The angulation of the screws was different in the open group versus
the arthro-screw group (superior, 10.3 degrees +/- 0.7 degrees vs 16.9 degrees
+/- 1.0 degrees [P < .001]; inferior, 10.3 degrees +/- 0.8 degrees vs 15.7
degrees +/- 0.9 degrees [P < .0001]). The angulation of the EndoButtons was 5.7
degrees +/- 0.5 degrees ; this was different from that of open inferior screws
(P = .003). In the axial plane (level of equator), the arthroscopic techniques
resulted in lateral positions (arthro-screw, 1.5 +/- 0.3 mm lateral [P < .001];
arthro-EndoButton, 0 +/- 0.3 mm lateral [P < .0001]) versus the open technique
(0.9 +/- 0.2 mm medial). At the level of 25% of the glenoid height, the
arthroscopic techniques resulted in lateral positions (arthro-screw, 0.3 +/- 0.3
mm lateral [P < .001]); (arthro-EndoButton, 0.7 +/- 0.3 mm lateral [P < .0001])
versus the open technique (1.0 +/- 0.2 mm medial). Higher variability was
observed in the arthro-screw group. In the sagittal plane, the arthro-screw
technique resulted in higher positions (55% +/- 3% of graft below equator) and
the arthro-EndoButton technique resulted in lower positions (82% +/- 3%, P <
.0001) versus the open technique (71% +/- 2%). Variability was not different.
CONCLUSIONS: This study shows that the position of the fixation devices and
position of the bone graft with the arthroscopic techniques are statistically
significantly different from those with the open technique with 2-dimensional CT
assessment. In the sagittal plane, the arthro-screw technique provides the
highest positions, and the arthro-EndoButton technique, the lowest. Overall, the
mean position of the bone block with the open Latarjet technique in the axial
plane is slightly medial to the joint line, as recommended. Conversely, with the
arthroscopic techniques, the bone grafts are more lateral with a slight overhang.
The main differences are observed in the dispersion of the values (more extreme
positions) with the arthro-screw technique, given the acknowledged limitations.
Despite the statistical significance, the clinical significance of these
differences is yet unknown. LEVEL OF EVIDENCE: Level III, retrospective
comparative study.
PMID- 29789247
TI - Preoperative Depression Is Negatively Associated With Function and Predicts
Poorer Outcomes After Hip Arthroscopy for Femoroacetabular Impingement.
AB - PURPOSE: (1) To determine the prevalence of depression in patients undergoing hip
arthroscopy for the treatment of femoroacetabular impingement (FAI) syndrome and
(2) to determine whether depression has a statistically significant and
clinically relevant effect on preoperative and postoperative patient-reported
outcome scores. METHODS: Consecutive subjects undergoing hip arthroscopy for FAI
syndrome were retrospectively reviewed. The Beck Depression Inventory-II (BDI
II), Hip Outcome Score (HOS), and 33-item International Hip Outcome Tool (iHOT
33) were administered preoperatively and postoperatively. Clinically relevant
differences were defined by the minimal clinically important difference,
substantial clinical benefit, and patient acceptable symptom state. Comparisons
between preoperative and postoperative scores were completed. The Spearman
correlation coefficient (r) was used to determine the degree of correlation
between the BDI-II score, HOS, and iHOT-33 score preoperatively and
postoperatively. RESULTS: We analyzed 77 patients (72.7% female patients; mean
age, 35.2 +/- 12.5 years). Depressive symptoms were reported as minimal (75.3%),
mild (11.7%), moderate (6.5%), or severe (6.5%). Patients with minimal or mild
depression had a superior HOS Activities of Daily Living (Delta17.3
preoperatively [P < .001] and Delta37.8 postoperatively [P < .001]), HOS Sport
Specific Subscore (Delta12.8 preoperatively [P = .002] and Delta52.1
postoperatively [P < .0001]), and iHOT-33 score (Delta15.4 preoperatively [P <
.0001] and Delta51.3 postoperatively [P < .0001]) compared with patients with
moderate or severe depression. There was a weak to moderate negative correlation
between the BDI-II score and iHOT-33 score (r = -0.4614, P < .0001
preoperatively; r = -0.327, P < .0001 at 1 year), HOS Activities of Daily Living
(r = -0.531, P < .0001 preoperatively), and HOS Sport-Specific Subscore (r =
0.379, P < .0017 at 1 year). CONCLUSIONS: Most patients undergoing hip
arthroscopy for FAI have minimal depressive symptoms with the overall prevalence
higher than the general population. Patients with minimal or mild depressive
symptoms have statistically and clinically better preoperative and postoperative
patient-reported outcomes, are more likely to obtain substantial clinical benefit
from surgery, and are more likely to reach a patient acceptable symptom state
after surgery than patients with moderate to severe depressive symptoms. LEVEL OF
EVIDENCE: Level III, case-control study.
PMID- 29789248
TI - Native Enthesis Preservation Versus Removal in Rotator Cuff Repair in a Rabbit
Model.
AB - PURPOSE: The purpose of this study was to explore whether preservation of native
enthesis during rotator cuff repair (RCR) results in a histologically and
biomechanically better rotator cuff-greater tuberosity (RC-GT) connection in an
animal model. METHODS: Thirty-six New Zealand white rabbits were used in this
study. The supraspinatus tendons were cut from the footprint to create a rotator
cuff tear (RCT) on both shoulders, followed by immediate repair, with a 1 mm
thick tendon stump remaining on the footprint. On one side, RCR was performed by
attaching the medial rotator cuff to the GT over the footprint stump layer
(enthesis preservation [EP] approach). On the other side, the footprint stump and
enthesis were fully removed and the footprint was decorticated. The repair was
performed by attaching the medial rotator cuff onto the spongy bone surface in
the footprint area (enthesis removal [ER] approach). Twelve rabbits were
sacrificed at 4, 8, and 12 weeks, of which 6 were used for histological analysis
and 6 for biomechanical evaluation. RESULTS: Histological examination revealed
that in the EP group, the native enthesis healed well with the reattached cuff
tendon, and continuous collagen crossed the tendon-tendon interface area at 12
weeks. However, in the ER group, there was only fibrous scar tissue formation at
the tendon-bone interface. Biomechanical testing revealed a significantly higher
load to failure (P = .001) of the RC-GT structure in the EP group than that in
the ER group at 12 weeks. CONCLUSIONS: For acute RCT with remnant enthesis, EP
RCR incorporates the native enthesis functionally in the restored RC-GT
connection and enhances the RC-GT connection when compared with ER-RCR at 12
weeks in a rabbit model. CLINICAL RELEVANCE: This finding justifies a human trial
because in RCR with a remnant footprint, the EP technique may be adopted for a
better RC-GT connection.
PMID- 29789249
TI - The Relationship of Femoral Tunnel Positioning in Medial Patellofemoral Ligament
Reconstruction on Clinical Outcome and Postoperative Complications.
AB - PURPOSE: To analyze the relation of the femoral tunnel (FT) positioning on
clinical outcome. METHODS: Patients with recurrent patellar dislocation who
underwent medial patellofemoral ligament (MPFL) reconstruction with or without
tibial tubercle osteotomy between 1998 and 2012 were included in this
retrospective study. Strict postoperative lateral radiographs were mandatory.
Knees with previous osseous stabilization surgery, fixed lateral patellar
dislocation, valgus knee (>5 degrees ), or open growth plates were excluded. The
distance between the FT and the validated radiographic landmark (the "Schoettle
point") was measured. An FT with a distance greater than 10 mm was considered as
malpositioned. The distance was correlated to subjective outcome measurements
(patient satisfaction and Kujala score) and postoperative complications (a loss
of range of motion and revision surgery). RESULTS: Sixty-three knees in 60
patients (aged 23.7 +/- 7.5 years at the time of surgery, 79.4% female) were
included with a mean follow-up of 5.7 +/- 3.3 years. The FT showed an average
distance of 13.3 mm (+/-6.0 mm; 95% confidence interval [CI]: 11.7-14.8) to the
Schoettle point, and 45 of 63 knees (71.4%) were deemed malpositioned. The
postoperative Kujala score of malpositioned FT (75.9 points; 95% CI: 71.2-80.7)
was not significantly inferior to knees with an FT within 10 mm (80.5; 95% CI:
73.7-87.3, P = .315). However, all 5 knees that underwent revision surgery showed
an FT outside the 10-mm area. CONCLUSIONS: Malpositioning of the FT in MPFL
reconstruction is associated with postoperative complications. However, a
malpositioned FT in MPFL reconstruction will not necessarily lead to an
unsatisfactory subjective or objective clinical outcome. Other factors, such as
surgical indication or graft tensioning, might also significantly influence
postoperative outcome. LEVEL OF EVIDENCE: Level IV, case-control study.
PMID- 29789250
TI - Sectioning of the Anterior Intermeniscal Ligament Changes Knee Loading Mechanics.
AB - PURPOSE: The purpose of this cadaver research project was to describe the
biomechanical consequences of anterior intermeniscal ligament (AIML) resection on
menisci function under load conditions in full extension and 60 degrees of
flexion. METHODS: Ten unpaired fresh frozen cadaveric knees were dissected
leaving the knee joint intact with its capsular and ligamentous attachments. The
femur and tibia were sectioned 15 cm from the joint line and mounted onto the
loading platform. A linear motion x-y table allows the tibial part of the joint
to freely translate in the anterior-posterior direction. K-scan sensors were used
to define contact area, contact pressure, and position of pressure center of
application (PCOA). Two series of analysis were planned: before and after AIML
resection, mechanical testing was performed with specimens in full extension
(1,400 N load) and in 60 degrees of flexion (700 N load) to approximate heel
strike and foot impulsion during the gait. RESULTS: Sectioning of the AIML
produced mechanical variations below the 2 menisci when specimens were at full
extension and loaded to 1,400 N: increasing the mean contact pressure (delta 0.4
+/- 0.2 MPa, +15% variation P = .008) and maximum contact pressure (delta 1.50 +/
0.8 MPa, 15% variation P < .0001) and decreasing of tibiofemoral contact area
(delta 71 +/- 51 mm2, -15% variation P < .0001) and PCOA (delta 2.1 +/- 0.8 mm).
At 60 degrees flexion, significant differences regarding lateral meniscus
mechanical parameters were observed before and after AIML resection: mean contact
pressure increasing (delta 0.06 +/- 0.1 MPa, +21% variation P = .001), maximal
contact-pressure increasing (delta 0.17 +/- 0.9 MPa, +28% variation P = .001),
mean contact area decreasing (delta 1.84 +/- 8 mm2, 4% variation P = .3), and
PCOA displacement to the joint center (mean displacement 0.6 +/- 0.5 mm).
CONCLUSIONS: The section of the intermeniscal ligament leads to substantial
changes in knee biomechanics, increasing femorotibial contact pressures,
decreasing contact areas, and finally moving force center of application, which
becomes more central inside the joint. CLINICAL RELEVANCE: AIML resection
performed ex vivo in this study, might potentially be deleterious in vivo.
Clinical studies focusing on preserving or even repairing the AIML are needed to
evaluate those ex vivo elements.
PMID- 29789251
TI - Influence of Tonnis Grade on Outcomes of Arthroscopic Management of Symptomatic
Femoroacetabular Impingement.
AB - PURPOSE: To define the outcomes of arthroscopic correction of femoroacetabular
impingement (FAI) based on Tonnis findings within a previously reported patient
population, including a comparative analysis of Tonnis grade 0 and 1 versus grade
2 changes. METHODS: Outcomes (modified Harris Hip Score [mHHS]) of a previously
published study of arthroscopic correction of FAI were correlated with Tonnis
grade. The inclusion criteria were the first 100 consecutive patients undergoing
arthroscopic FAI correction with minimum 2-year follow-up. These procedures were
performed between December 2003 and May 2006. Grades were determined
independently by 2 experienced clinicians. Tonnis grades 0 and 1 were compared
with Tonnis grade 2. Independent variables of sex and age were also evaluated.
RESULTS: The average age of the entire group was 34.7 years (range, 13-76 years),
with 66 male and 34 female patients. Of the patients, 17 had Tonnis grade 0, 49
had Tonnis grade 1, 29 had Tonnis grade 2, and 4 had Tonnis grade 3 (1 unknown).
The average mHHS improvement for Tonnis grade 0 was 20.6 points; Tonnis grade 1,
22.2 points; Tonnis grade 2, 14.9 points; and Tonnis grade 3, 18.8 points. The
improvement was statistically (P < .01) and clinically (>8 points) significant
across all Tonnis grades. There was no difference (P = .077) between Tonnis
grades 0 and 1 (21.8 points) versus grade 2 (14.9 points). There was no
difference based on sex or age. CONCLUSIONS: These data support that
statistically and clinically meaningful successful patient-reported outcomes
(mHHS) at 2 years can be encountered even in the presence of Tonnis grade 2
radiographic features. Neither age nor sex was an indicator of poorer results
within similar Tonnis grades. LEVEL OF EVIDENCE: Level III, case-control study.
PMID- 29789252
TI - A History of Anterior Cruciate Ligament Reconstruction at the National Football
League Combine Results in Inferior Early National Football League Career
Participation.
AB - PURPOSE: To evaluate whether players with a history of an anterior cruciate
ligament reconstruction (ACLR) before the National Football League (NFL) Combine
played or started fewer games and/or participated in fewer eligible snaps
compared with NFL Combine participants without a history of knee injury or
surgery. METHODS: We performed a retrospective review of all players who
participated in the NFL Combine between 2009 and 2015 and who had a history of an
ACLR. NFL Combine participants were included if they had a previous ACLR or
combined anterior cruciate ligament (ACL) injury and nonoperatively managed
medial collateral ligament injury. The number of games started, number of games
played, draft number, overall draft pick, and snap percentage for each position
were determined. The mean value of each outcome metric was compared between case
and control players. RESULTS: We identified 110 players who had an ACL injury (n
= 76) or a combined ACL and medial collateral ligament injury (n = 34). Players
in the ACLR group had a significantly worse mean draft pick number (difference of
30.2, P = .002) and mean draft round (difference of 0.8, P = .019) versus
controls. Compared with control players, players in the ACLR group started and
played significantly fewer games in both season 1 (difference of 2.7 games
started, P < .001; difference of 2.7 games played, P < .001) and season 2
(difference of 7.4 games started, P < .001; difference of 3.0 games played, P =
.003) and had a significantly lower snap percentage in both season 1 (difference
of 23.1%, P < .001) and season 2 (difference of 24.0%, P < .001). CONCLUSIONS:
Athletes at the NFL Combine who previously underwent an ACLR had significantly
lower early-career NFL player metrics, including fewer games started, fewer games
played, and a lower snap percentage, than uninjured controls. Defensive linemen,
defensive backs, and linebackers were the 3 most affected positions. Players with
a prior ACLR and combined meniscal-chondral pathology had significantly lower
numbers of games started and games played in seasons 1 and 2 and a significantly
lower season 2 snap percentage. LEVEL OF EVIDENCE: Level III, case-control study.
PMID- 29789253
TI - Topographic Analysis of 2 Alternative Donor Sites of the Ipsilateral Elbow in the
Treatment of Capitellar Osteochondritis Dissecans.
AB - PURPOSE: To find the best topographic subchondral bone match between the
capitellum and 2 proposed alternative donor sites of the ipsilateral elbow for
capitellar osteochondral autologous transplantation: the non-articulating part of
the radial head and the lateral olecranon tip. METHODS: In our retrospective
database, 20 patients with an unremarkable computed tomography scan of the elbow
despite clinical suspicion were identified for analysis. Included were patients
aged 11 to 20 years with intact osseous structures and a computed tomography
slice thickness of 1.25 mm or less. Three-dimensional polygon models were created
using a standard predefined threshold, after which 4 articular surface lesions on
the capitellum were created: central 40 degrees , central 60 degrees , lateral 40
degrees , and lateral 60 degrees . In addition, 3 donor locations on the non
articulating part of the radial head (diameter, 5 mm) and the lateral olecranon
tip (diameter, 3.5 mm) were created. For each of 24 donor-recipient combinations,
the donor graft surface was virtually placed on the recipient surface to quantify
the mean distance between surfaces. RESULTS: The group of 20 patients consisted
of 16 male and 4 female patients with a mean age of 15.9 years (range, 12-18
years). For a central 40 degrees lesion, the mean distance across all 6 donor
recipient combinations ranged from 0.085 +/- 0.023 to 0.118 +/- 0.036 mm; for a
central 60 degrees lesion, the mean distance ranged from 0.075 +/- 0.018 to
0.117 +/- 0.062 mm; for a lateral 40 degrees lesion, the mean distance ranged
from 0.087 +/- 0.030 to 0.182 +/- 0.226 mm; and for a lateral 60 degrees lesion,
the mean distance ranged from 0.084 +/- 0.048 to 0.115 +/- 0.045 mm. There were
no differences in topographic matching between donor-recipient combinations.
CONCLUSIONS: The findings of this study show a less than 0.2-mm difference in the
topographic subchondral bone match between 4 common lesion locations on the
capitellum and 2 alternative donor sites of the ipsilateral elbow for capitellar
osteochondral autologous transplantation: the non-articulating part of the radial
head and the lateral olecranon tip. CLINICAL RELEVANCE: These findings suggest
that the non-articulating part of the radial head and the lateral olecranon tip
may potentially be used as a donor source when treating capitellar
osteochondritis dissecans.
PMID- 29789254
TI - Prediction of the Irreparability of Rotator Cuff Tears.
AB - PURPOSE: To determine the influence of preoperative factors on reparability of
rotator cuff tears (RCTs) and yield a predictive model for predicting
irreparability preoperatively. METHODS: Among patients with full-thickness RCTs,
the reparable group underwent arthroscopic rotator cuff repairs for reparable
RCTs whereas the irreparable group underwent alternative surgical procedures for
irreparable RCTs. We analyzed age, sex, chronic pseudoparalysis (CPP),
mediolateral and anteroposterior tear sizes, acromiohumeral distance (AHD),
tangent sign, fatty infiltration (FI) (group 1, Goutallier stage 0 or 1; and
group 2, Goutallier stage 2, 3, or 4), and tendon involvement (TI) (type 1,
supraspinatus; type 2, supraspinatus and subscapularis; type 3, supraspinatus and
infraspinatus; and type 4, all 3 tendons). RESULTS: The irreparability rate was
12.5%. Between the reparable (663 patients) and irreparable (95 patients) groups,
significant differences were found in age (58.8 +/- 8.3 years vs 65.6 +/- 8.0
years, P < .001); female sex (46.9% vs 63.2%, P = .014); CPP (6.5% vs 36.8%, P <
.001); mediolateral tear size (23.7 +/- 12.1 mm vs 47.4 +/- 9.1 mm, P < .001);
anteroposterior tear size (17.9 +/- 11.5 mm vs 43.4 +/- 16.2 mm, P < .001); AHD
(9.0 +/- 1.7 mm vs 5.8 +/- 1.6 mm, P < .001); tangent sign (2.9% vs 61.1%, P <
.001); group 2 FI of the subscapularis (6.9% vs 20.0%, P < .001), supraspinatus
(12.1% vs 58.9%, P < .001), infraspinatus (26.8% vs 69.5%, P < .001), and teres
minor (4.2% vs 10.5%, P = .008); and type 1, 2, 3, and 4 TI (88.1%, 6.2%, 5.4%,
and 0.3%, respectively, vs 29.5%, 21.1%, 28.4%, and 21.1%, respectively; P <
.001). Multiple logistic regression analysis showed CPP, mediolateral tear size,
AHD, tangent sign, group 2 FI of the supraspinatus, and type 4 TI were
significant independent predictors of irreparability, with odds ratios of 3.539
(P = .007), 1.087 (P < .001), 0.624 (P < .001), 6.141 (P < .001), 2.233 (P =
.034), and 12.350 (P = .016), respectively. These factors yielded a predictive
model for irreparability as follows: Logit P = 1.264 * CPP + 0.084 * Mediolateral
tear size - 0.472 * AHD + 0.804 * Group 2 FI of supraspinatus + 1.815 * Tangent
sign + 2.514 * Type 4 TI - 3.460. CONCLUSIONS: The irreparability of RCTs is
strongly associated with CPP, mediolateral tear size, AHD, tangent sign, group 2
FI of the supraspinatus, and type 4 TI and can be preoperatively calculated using
the predictive equation. LEVEL OF EVIDENCE: Level III, retrospective comparative
study.
PMID- 29789255
TI - Combined Tibial Tubercle Osteotomy and Medial Patellofemoral Ligament
Reconstruction for Recurrent Lateral Patellar Instability in Patients With
Multiple Anatomic Risk Factors.
AB - PURPOSE: To report the outcomes for combined tibial tubercle osteotomy (TTO) and
medial patellofemoral ligament (MPFL) reconstruction and assess for potential
risk factors for recurrent instability and/or poor outcomes. METHODS: The medical
record at our institution was reviewed for patients treated with MPFL
reconstruction and TTO for recurrent lateral patellar instability from 1998 to
2014. Preoperative imaging was assessed for trochlear dysplasia according to the
Dejour classification (high grade = B, C, D) and the presence of patella alta
using the Caton-Deschamps ratio (>1.2). The indication for combined MPFL
reconstruction and TTO was MPFL insufficiency and a lateralized tibial tubercle.
Outcomes were determined by recurrent instability, return to sport, and Kujala
and International Knee Documentation Committee (IKDC) scores. RESULTS: Thirty
knees in 28 patients (14 M, 14 F) with a mean age of 22.6 +/- 9.1 years (range,
13-51 years) were included with a mean follow-up of 48 +/- 28 months (24-123
months). Seventy-three percent (22/30) had high-grade trochlear dysplasia, and
63% (19/30) had patella alta. One patient had a postoperative dislocation and 1
had a subluxation event. The Caton-Deschamps ratio decreased by a mean of 0.2 (P
= .001), leaving 30% with postoperative patella alta. The mean postoperative
scores were as follows: Tegner = 5 +/- 2, Kujala = 89 +/- 16 (45-100), and IKDC =
85 +/- 17 (44-100). Eighty-three percent (15/18) returned to their preoperative
sport. Female gender was a risk factor for lower IKDC (77.3 vs. 92.6, P = .01)
and Kujala (82.2 vs. 95.0, P = .03) scores. Medialization greater than 10 mm was
directly correlated to lower IKDC (P = .02) and Kujala (P = .01) scores.
CONCLUSIONS: The combination of MPFL reconstruction and TTO in patients with
trochlear dysplasia results in low recurrence of instability. Patients on average
had good subjective outcomes and were able to return to sport. Female gender and
tibial tubercle medialization greater than 10 mm were associated with worse
outcomes. LEVEL OF EVIDENCE: Level IV, therapeutic case series.
PMID- 29789256
TI - Systems-level analysis of risk genes reveals the modular nature of schizophrenia.
AB - Schizophrenia (SCZ) is a complex mental disorder with high heritability. Genetic
studies (especially recent genome-wide association studies) have identified many
risk genes for schizophrenia. However, the physical interactions among the
proteins encoded by schizophrenia risk genes remain elusive and it is not known
whether the identified risk genes converge on common molecular networks or
pathways. Here we systematically investigated the network characteristics of
schizophrenia risk genes using the high-confidence protein-protein interactions
(PPI) from the human interactome. We found that schizophrenia risk genes encode a
densely interconnected PPI network (P = 4.15 * 10-31). Compared with the
background genes, the schizophrenia risk genes in the interactome have
significantly higher degree (P = 5.39 * 10-11), closeness centrality (P = 7.56 *
10-11), betweeness centrality (P = 1.29 * 10-11), clustering coefficient (P =
2.22 * 10-2), and shorter average shortest path length (P = 7.56 * 10-11). Based
on the densely interconnected PPI network, we identified 48 hub genes and 4
modules formed by highly interconnected schizophrenia genes. We showed that the
proteins encoded by schizophrenia hub genes have significantly more direct
physical interactions. Gene ontology (GO) analysis revealed that cell adhesion,
cell cycle, immune system response, and GABR-receptor complex categories were
enriched in the modules formed by highly interconnected schizophrenia risk genes.
Our study reveals that schizophrenia risk genes encode a densely interconnected
molecular network and demonstrates the modular nature of schizophrenia.
PMID- 29789257
TI - HIV pre-exposure prophylaxis: Exploring the potential for expanding the role of
pharmacists in public health.
AB - OBJECTIVES: The study objectives were to a) assess knowledge and experience; b)
describe perceptions and attitudes; and c) identify training needs of community
based pharmacists regarding HIV pre-exposure prophylaxis (PrEP). DESIGN: This was
a cross-sectional survey study. SETTING AND PARTICIPANTS: The survey was
administered online to pharmacists practicing in a community setting in the state
of Minnesota. OUTCOME MEASURES: Measures included knowledge of and experience
with HIV PrEP, perceptions and attitudes towards pharmacists' involvement, and
HIV PrEP-specific training needs for pharmacists. RESULTS: With a survey response
rate of approximately 13% (n = 347), most respondents (76.4%) agreed that HIV
PrEP can be beneficial in high-risk populations. Forty-six percent of respondents
were not aware of U.S. Food and Drug Administration approval of emtricitabine and
tenofovir disoproxil fumarate for PrEP. Most respondents (71.1%) were "not at all
familiar" with Centers for Disease Control and Prevention guidelines for PrEP.
Twenty-one percent of respondents had sufficient knowledge to counsel patients on
PrEP. Experience with counseling on PrEP (21.8%), having dispensed PrEP in the
last 2 years (33.1%), fewer years in practice (<=10 years), location of practice
site (urban or suburban), and having received HIV continuing education in the
last 2 years (33.0%) were associated with more knowledge of HIV PrEP. Top
concerns with counseling were knowledge about the medication and behavior
modification. The most frequently indicated primary concerns with implementing
PrEP initiatives were identifying appropriate candidates and patient adherence.
CONCLUSION: As pharmacists' roles continue to expand, relevant content in
pharmacy education and requisite training (including continuing education) are
critical to addressing knowledge gaps and competencies that will enable
pharmacists engage more effectively in public health efforts such as HIV
prevention.
PMID- 29789258
TI - Design, synthesis and biological evaluation of artemisinin derivatives containing
fluorine atoms as anticancer agents.
AB - Ten novel artemisinin derivatives containing fluorine atoms were synthesized and
their structures were confirmed by 1H NMR, 13C NMR and HRMS technologies in this
study. The in vitro cytotoxicity against U87MG, SH-SY5Y, MCF-7, MDA-MB-231, A549
and A375 cancer cell lines was evaluated by MTT assay. Compound 9j was the most
potent anti-proliferative agent against the human breast cancer MCF-7 cells (IC50
= 2.1 MUM). The mechanism of action of compound 9j was further investigated by
analysis of cell apoptosis and cell cycle. Compound 9j induced cell apoptosis and
arrested cell cycle at G1 phase in MCF-7 cells. Our promising findings indicated
that the compound 9j could stand as potential lead compound for further
investigation.
PMID- 29789259
TI - Synthesis, characterization and cytotoxicity studies of 1,2,3-triazoles and 1,2,4
triazolo [1,5-a] pyrimidines in human breast cancer cells.
AB - Vascular endothelial growth factor (VEGF) and its receptor (VEGFR) is essential
for physiological functions of tissues and neovasculature. VEGFR signaling is
associated with the progression of pathological angiogenesis in various types of
malignancies, making it an attractive therapeutic target in cancer treatment. In
the present work, we report the synthesis of 1,4-disubstituted 1,2,3-triazoles
and 1,2,4-triazolo[1, 5-a]pyrimidine derivatives via copper (I)-catalyzed azide
alkyne cycloaddition (CuAAC) reaction and screened for their anticancer activity
against MCF7 cells. We identified 1-(2'-ethoxy-4'-fluoro-[1,1'-biphenyl]-4-yl)-4
phenyl-1H-1,2,3-triazole (EFT) as lead cytotoxic agent against MCF7 cell lines
with an IC50 value of 1.69 uM. Further evaluation revealed that EFT induces
cytotoxicity on Ishikawa, MDA-MB-231 and BT474 cells with IC50 values of 1.97,
4.81 and 4.08 uM respectively. However, EFT did not induce cytotoxicity in normal
lung epithelial (BEAS-2B) cells. Previous reports suggested that 1,2,3-triazoles
are the inhibitors of VEGFR1 and therefore, we evaluated the effect of EFT on the
expression of VEGFR1. The results demonstrated that EFT downregulates the
expression of VEGFR1 in MCF7 cells. In summary, we identified a potent cytotoxic
agent that imparts its antiproliferative activity by targeting VEGFR1 in breast
cancer cells. The novel compound could serve as a lead structure in developing
VEGFR1 inhibitors.
PMID- 29789260
TI - Respondent driven sampling in a biomonitoring study of refugees from Burma in
Buffalo, New York who eat Great Lakes fish.
AB - BACKGROUND: Refugees from Burma who consume fish caught from local waterbodies
have increased risk of exposure to environmental contaminants. We used respondent
driven sampling (RDS) to sample this hard-to-reach population for the first
Biomonitoring of Great Lakes Populations program. In the current study, we
examined the interview data and assessed the effectiveness of RDS to sample the
unique population. METHODS: In 2013, we used RDS to sample 205 Burmese refugees
and immigrants residing in Buffalo, New York who consumed fish caught from Great
Lakes waters. RDS-adjusted population estimates of sociodemographic
characteristics, residential history, fish consumption related behaviors, and
awareness of fish advisories were obtained. We also examined sample homophily and
equilibrium to assess how well the RDS assumptions were met in the study.
RESULTS: Our sample was diverse with respect to sex, age, years residing in
Buffalo, years lived in a refugee camp, education, employment, and fish
consumption behaviors, and each of these variables reached equilibrium by the end
of recruitment. Burmese refugees in Buffalo consumed Great Lakes fish throughout
the year; a majority of them consumed the fish more than two times per week
during summer, and about one third ate local fish more than once per week in
winter. An estimated 60% of Burmese refugees in Buffalo had heard about local
fish advisories. CONCLUSIONS: RDS has the potential to be an effective
methodology for sampling refugees and immigrants in conducting biomonitoring and
environmental exposure assessment. Due to high fish consumption and limited
awareness and knowledge of fish advisories, some refugee and immigrant
populations are more susceptible to environmental contaminants. Increased
awareness on local fish advisories is needed among these populations.
PMID- 29789261
TI - Corrigendum to "Oleamide suppresses inflammatory responses in LPS-induced
RAW264.7 murine macrophages and alleviates paw edema in a carrageenan-induced
inflammatory rat model" [Int. Immunopharmacol. 56 (2018) 179-185].
PMID- 29789262
TI - Eccentric cycling emphasising a low cardiopulmonary demand increases leg strength
equivalent to workload matched concentric cycling in middle age sedentary males.
AB - OBJECTIVES: This study determined if eccentric endurance cycling, eliciting a low
cardiovascular demand, could stimulate muscle strength adaptations in sedentary
middle-aged males. DESIGN: Twenty-four middle-aged sedentary males were allocated
to concentric (CON) or matched workload eccentric (ECC) cycling (60% peak
concentric workload) according to their maximal voluntary isometric quadriceps
strength. METHODS: Seventeen participants [42.7+/-8.3 years, BMI 28.6+/-5.2, peak
oxygen consumption [30.5+/-5.8mLkg-1min-1] completed 8 weeks (2 sessions per
week) of CON (n=8) or ECC (n=9) cycle training. Incline leg press (6RM), maximal
voluntary isometric contraction (MVIC) torque of the quadriceps and peak oxygen
consumption were measured at baseline and 8 weeks. RESULTS: Eccentric cycle
training resulted in low cardiovascular demand (CON 154+/-2; ECC 95+/-3bmin
1P<0.05) and ratings of perceived exertion (CON 14.9+/-0.3; ECC 9.5+/-0.3/20
P<0.05). Peak oxygen consumption improved within the CON group (Baseline 27.4+/
2.1; 8 weeks: 30.0+/-1.7mLkg-1min-1P<0.05) and not within the ECC group (Baseline
33.2+/-1.5; 8 weeks 33.3+/-1.6mLkg-1min-1) following training. 6RM (CON 176+/-20;
ECC 192+/-11kg) and MVIC (CON 199+/-25; ECC 199+/-25Nm) strength were equivalent
at baseline (P>0.05). Both groups significantly increased 6RM (CON 13.0+/-3.0;
ECC 10.7+/-3.2%) and MVIC (CON 12.9+/-4.3; ECC 18.8+/-3.0%) relative to their own
baseline (P<0.05). Therefore, improved leg strength was equivalent between CON
and ECC groups despite the varied training (P>0.05). CONCLUSIONS: In sedentary
middle age males, eccentric endurance cycling with a low cardiovascular demand,
increased both quadriceps isometric and 6RM strength comparable to a matched
workload concentric cycling program.
PMID- 29789263
TI - Gross motor skills in toddlers: Prevalence and socio-demographic differences.
AB - OBJECTIVES: Gross motor skills (GMS) are a vital component of a child's
development. Monitoring levels and correlates of GMS is important to ensure
appropriate strategies are put in place to promote these skills in young
children. The aim of this study was to describe the current level of GMS
development of children aged 11-29months and how these levels differ by age, sex,
BMI and socio-economic status. DESIGN: Cross-sectional study. METHODS: This study
involved children from 30 childcare services in NSW, Australia. GMS were assessed
using the Peabody Developmental Motor Scales Second Edition. Prevalence was
reported using the gross motor quotient and both raw and standard scores for
locomotor, object manipulation and stationary subtests. Socio-demographics were
collected via parent questionnaires. Analyses included t-tests, chi-square tests,
one-way ANOVA and linear regression models. RESULTS: This study included 335
children (mean age=19.80+/-4.08months, 53.9% boys). For the gross motor quotient,
23.3% of the children scored below average. For the GMS subtests, 34.3% of
children scored below average for locomotion, 10.1% for object manipulation and
0.3% for stationary. Boys were more proficient in object manipulation than girls
(p=0.001). GMS were negatively associated with age and a higher socio-economic
status (all p<0.05). There were no associations for BMI. CONCLUSIONS: This is the
first descriptive study to show the prevalence of below average at locomotor
skills in toddlers is higher than reported in normative samples. Early
commencement of GMS promotion is recommended with a focus on locomotor skills and
girls' object manipulation skills.
PMID- 29789264
TI - Talent identification for soccer: Physiological aspects.
AB - OBJECTIVES: Soccer coaches are always looking to discover the next star player,
without investing the necessary resources, time, and effort into a player's
development. In the modern era, talent identification in soccer seems to be a
comparative process rather than a developmental process. This article will look
at the physiological profiles of soccer players in the modern era and how testing
and talent identification processes should coincide with this data. DESIGN: An
extensive literature search identifying the physiological attributes of soccer
players that are required to compete at an elite level was conducted. An
examination of the methods to test these attributes was also conducted. METHODS:
Studies were assigned into three areas to understand the physiological aspect of
soccer: physiological testing methods, benchmark values, and correlations between
different tests. RESULTS: A testing battery was established to test the key
physiological attributes of prospective youth soccer players. Benchmark levels
were also identified to allow coaches to understand areas of improvement.
CONCLUSIONS: Using a physiological testing battery will allow teams to track
their players' progress throughout their developmental years. This allows coaches
to consistently identify a player's strengths and weaknesses, as well as allow
players who may experience late maturation to still be identified.
PMID- 29789265
TI - The physiological and perceptual demands of running on a curved non-motorised
treadmill: Implications for self-paced training.
AB - OBJECTIVES: To compare physiological and perceptual response of running on a
curved non-motorized treadmill (cNMT) with running on a motorized treadmill (MT),
and to determine the running velocity at which a physiological response>=90%
VO2max was elicited. DESIGN & METHODS: 13 trained male runners (mean+/-SD; 36+/
11years, 1.80+/-0.06m, 70+/-4kg, VO2max: 57.3+/-3.5 mLkg-1min-1) performed an
incremental running test on a MT to determine VO2max and the accompanying maximum
velocity (Vmax). Participants first completed a familiarization session on the
cNMT. Next, participants ran for 4min at five/six progressively higher velocities
(40-90% Vmax). These runs were completed on the cNMT and MT in two separate
visits in a randomized and counterbalanced order. RESULTS: No participant was
able to complete the 4min run at 80% Vmax on the cNMT. Running on the cNMT elicit
a higher relative oxygen uptake (%VO2max) across all velocities compared to the
MT (32.5+/-5%, p<0.001, ES 3.3+/-0.9), and was accompanied by significantly
higher heart rates (16.8+/-3%, p<0.001, ES 3.4+/-1.5), an altered cadence (2.6+/
0.7%, p<0.001, ES 0.8+/-0.3) and ratings of perceived exertion (27.2+/-5%,
p<0.001, ES 2.3+/-0.6). A less efficient running economy was evident when running
on the cNMT (+38.4+/-16%, p<0.001, ES 2.73). Individual (n=9) linear
interpolation predicted an exercise intensity of 90% VO2max was achieved in the
non-motorized condition when running at 62.1+/-3.5% Vmax (R2=0.986+/-0.01), which
was lower than MT run in which 90% VO2max was achieved at 81.4+/-5.6% Vmax
(R2=0.985+/-0.02; 29.8+/-8%, p<0.001, ES 3.87). CONCLUSIONS: Running on the cNMT
has higher physiological and perceptual demands and increases cadence.
PMID- 29789266
TI - Microvascular volume in symptomatic Achilles tendons is associated with VISA-A
score.
AB - OBJECTIVES: The role of neovascularisation in tendinopathy is still poorly
understood, potentially due to technical limitations of conventional power
Doppler ultrasound. This study aimed to investigate the association between
contrast-enhanced ultrasound (CEUS) microvascular volume (MV), Victorian
Institute of Sports Assessment-Achilles (VISA-A) scores and intrinsic Achilles
tendon tenderness, as well as two different Power Doppler modes. DESIGN: Cross
sectional study. METHODS: 20 individuals with uni- or bilateral Achilles
tendinopathy completed a VISA-A questionnaire, and underwent microvascular volume
measurements of the Achilles tendon mid-portion using both conventional,
ultrasensitive (SMITM) power Doppler ultrasound and CEUS. Intrinsic tendon
tenderness was assessed with sensation detection threshold to extracorporeal
shock waves (ESW). Linear Mixed Model analysis was used to determine the
association between microvascular volume (MV), VISA-A, and ESW-detection
threshold for both symptomatic and asymptomatic Achilles tendons. RESULTS: There
was a significant association between VISA-A and MV (B=-5.3, 95%CI=[-8.5; -2.0],
P=0.0004), and between MV and symptom duration (B=-1.7, 95%CI=[-3.2; -5.0],
P=0.023). No significant associations were found between power Doppler ultrasound
and CEUS-based MV or between CEUS-based MV and ESW-detection threshold. In
comparison with conventional power Doppler ultrasound, SMITM showed on average
similar detection capacity for neovessels in the mid-portion of the Achilles
tendon, whilst being superior for detecting neovessels within Kager's fat pad
(t=3.46, 95%CI=[0.27; 1.03], P<0.005). CONCLUSIONS: Our results indicate that
CEUS-based MV of the Achilles tendon is moderately associated with Achilles
tendon symptoms. In accordance, CEUS-detected MV could be a novel target for
treatment as it seems to be more sensitive than PDU and is correlated with
symptoms.
PMID- 29789267
TI - The effect of lower limb occlusion on recovery following sprint exercise in
academy rugby players.
AB - OBJECTIVES: The effects of vascular occlusion on recovery of physiological and
neuromuscular markers over 24h, and hormonal reactivity to subsequent exercise
were investigated. DESIGN: Counterbalanced, randomised, crossover. METHODS:
Academy rugby players (n=24) completed six 50-m sprints (5-min inter-set
recovery) before occlusion cuff application (thighs) and intermittent inflation
to 171-266mmHg (Recovery) or 15mmHg (Con) for 12-min (two sets, 3-min
repetitions, 3-min non-occluded reperfusion). Countermovement jumps, blood
(lactate, creatine kinase), saliva (testosterone, cortisol), and perceptual
(soreness, recovery) responses were measured before (baseline) and after (post,
+2h, +24h) sprinting. Saliva was sampled after a 30-min resistance exercise
session performed 24h after sprinting. RESULTS: Although sprinting (total: 40.0+/
2.8s, p=0.238; average: 6.7+/-0.5s, p=0.674) influenced creatine kinase (p<0.001,
+457.1+/-327.3MUL-1, at 24h), lactate (p<0.001, 6.8+/-2.3mmolL-1, post),
testosterone (p<0.001, -55.9+/-63.2pgmL-1, at 2h) and cortisol (p<0.001, -0.3+/
0.3MUgdL-1, at 2h) concentrations, countermovement jump power output (p<0.001,
409.6+/-310.1W; -5.4+/-3.4cm, post), perceived recovery (p<0.001, -3.0+/-2.3,
post), and muscle soreness (p<0.001; 1.5+/-1.1, at 24h), vascular occlusion had
no effect (all p>0.05) on recovery. In response to subsequent exercise performed
24h after vascular occlusion, testosterone increased pre-to-post-exercise
(Recovery: p=0.031, 21.6+/-44.9pgmL-1; Con: p=0.178, 10.6+/-36.6pgmL-1) however
Deltatestosterone was not significantly different (p=0.109) between conditions.
CONCLUSIONS: Vascular occlusion had no effect on physiological or neuromuscular
markers 2h or 24h after sprinting or in response to a physical stress test.
PMID- 29789268
TI - Making Individual Prognoses in Psychiatry Using Neuroimaging and Machine
Learning.
AB - Psychiatric prognosis is a difficult problem. Making a prognosis requires looking
far into the future, as opposed to making a diagnosis, which is concerned with
the current state. During the follow-up period, many factors will influence the
course of the disease. Combined with the usually scarcer longitudinal data and
the variability in the definition of outcomes/transition, this makes prognostic
predictions a challenging endeavor. Employing neuroimaging data in this endeavor
introduces the additional hurdle of high dimensionality. Machine learning
techniques are especially suited to tackle this challenging problem. This review
starts with a brief introduction to machine learning in the context of its
application to clinical neuroimaging data. We highlight a few issues that are
especially relevant for prediction of outcome and transition using neuroimaging.
We then review the literature that discusses the application of machine learning
for this purpose. Critical examination of the studies and their results with
respect to the relevant issues revealed the following: 1) there is growing
evidence for the prognostic capability of machine learning-based models using
neuroimaging; and 2) reported accuracies may be too optimistic owing to small
sample sizes and the lack of independent test samples. Finally, we discuss
options to improve the reliability of (prognostic) prediction models. These
include new methodologies and multimodal modeling. Paramount, however, is our
conclusion that future work will need to provide properly (cross-)validated
accuracy estimates of models trained on sufficiently large datasets.
Nevertheless, with the technological advances enabling acquisition of large
databases of patients and healthy subjects, machine learning represents a
powerful tool in the search for psychiatric biomarkers.
PMID- 29789269
TI - Anterior Cingulate Cortex Glutamatergic Metabolites and Mood Stabilizers in
Euthymic Bipolar I Disorder Patients: A Proton Magnetic Resonance Spectroscopy
Study.
AB - BACKGROUND: Bipolar disorder is a chronic and recurrent illness characterized by
depressive and manic episodes. Proton magnetic resonance spectroscopy (1H-MRS)
studies have demonstrated glutamate (Glu) system abnormalities in BD, but it is
unclear how Glu varies among mood states and how medications modulate it. The
objective of this study was to investigate the influence of mood stabilizers on
anterior cingulate cortex Glu levels using 1H-MRS during euthymia. METHODS: One
hundred twenty-eight bipolar I disorder (BDI) euthymic subjects and 80 healthy
control subjects underwent 3T brain 1H-MRS imaging examination including
acquisition of an anterior cingulate cortex single voxel (8 cm3) 1H-MRS, based on
a point resolved spectroscopy (PRESS) sequence with an echo time of 80 ms and a
repetition time of 1500 ms (BIPUSP MRS study). The Glu system was described by
measuring Glu and the sum of Glu and glutamine (Glx) using creatine (Cre) as a
reference. RESULTS: Euthymic BDI subjects presented with higher ratios of Glu/Cre
and Glx/Cre compared to healthy control subjects. Glu/Cre ratios were lower among
patients using anticonvulsants, while Glx/Cre did not differ between the two
groups. Lithium, antipsychotics, and antidepressants did not influence Glu/Cre or
Glx/Cre. CONCLUSIONS: We reported Glu/Cre and Glx abnormalities in the largest
sample of euthymic BDI patients studied by 1H-MRS to date. Our data indicate that
both Glu/Cre and Glx/Cre are elevated in BDI during euthymia regardless of
medication effects, reinforcing the hypothesis of glutamatergic abnormalities in
BD. Furthermore, we found an effect of anticonvulsants on Glu/Cre during
euthymia, which might indicate a mechanism of mood stabilization in BD.
PMID- 29789270
TI - Surplus fat rapidly increases fat oxidation and insulin resistance in
lipodystrophic mice.
AB - OBJECTIVE: Surplus dietary fat cannot be converted into other macronutrient forms
or excreted, so has to be stored or oxidized. Healthy mammals store excess energy
in the form of triacylgycerol (TAG) in lipid droplets within adipocytes rather
than oxidizing it, and thus ultimately gain weight. The 'overflow hypothesis'
posits that the capacity to increase the size and number of adipocytes is finite
and that when this limit is exceeded, fat accumulates in ectopic sites and leads
to metabolic disease. METHODS: Here we studied the energetic and biochemical
consequences of short-term (2-day) excess fat ingestion in a lipodystrophic (A
ZIP/F-1) mouse model in which adipose capacity is severely restricted. RESULTS:
In wildtype littermates, this acute exposure to high fat diets resulted in excess
energy intake and weight gain without any significant changes in macronutrient
oxidation rates, glucose, TAG, or insulin levels. In contrast, hyperphagic
lipodystrophic mice failed to gain weight; rather, they significantly increased
hepatic steatosis and fat oxidation. This response was associated with a
significant increase in hyperglycemia, hyperinsulinemia, glucosuria,
hypertriglyceridemia, and worsening insulin tolerance. CONCLUSIONS: These data
suggest that when adipose storage reserves are saturated, excess fat intake
necessarily increases fat oxidation and induces oxidative substrate competition
which exacerbates insulin resistance resolving any residual energy surplus
through excretion of glucose.
PMID- 29789271
TI - Molecular elements in FGF19 and FGF21 defining KLB/FGFR activity and specificity.
AB - OBJECTIVE: To signal, FGF19 and FGF21 require co-receptor betaKlotho (KLB) to act
in concert with FGF receptors, and yet there is appreciable variance in the C
terminal sequences of these two novel metabolic hormones where binding is
believed to be primary. We seek to determine the functional consequences for
these amino acid differences and determine whether such information can be used
to design high potency antagonists and agonists. METHODS: We employed a
functional in vitro assay to identify C-terminal protein fragments capable of
fully blocking KLB-mediated FGF19 and 21 receptor signaling. The key residues in
each hormone responsible for support full bioactivity were identified through
peptide-based Ala-scanning. Chemical optimization of the peptides was employed to
increase their antagonistic potency. An optimized sequence as a substituted part
of a full length FGF21 was assessed for enhanced FGFR/KLB-mediated agonism using
tissue culture and obese mice. RESULTS: C-terminal FGF19 and FGF21 peptides of
relatively short length were observed to potently inhibit the activity of these
two hormones, in vitro and in vivo. These FGFs of different sequence also
demonstrated a striking conservation of structural determinants to maintain KLB
binding. A single C-terminal amino acid in FGF19 was observed to modulate
relative activity through FGFR1 and FGFR4. The substitution of native FGF21 C
terminal sequence with a peptide optimized for the highest antagonistic activity
resulted in significantly enhanced FGF potency, as measured by in vitro signaling
and improvements in metabolic outcomes in diet-induced obese mice. CONCLUSIONS:
We report here the ability of short C-terminal peptides to bind KLB and function
as antagonists of FGF19 and 21 actions. These proteins maintain high conservation
of sequence in those residues central to KLB binding. An FGF21 chimeric protein
possessing an optimized C-terminal sequence proved to be a super-agonist in
delivery of beneficial metabolic effects in obese mice.
PMID- 29789272
TI - PSOdisk is a reliable, intuitive instrument for the evaluation of psychological
distress, which strongly correlates with DLQI: a preliminary study.
AB - BACKGROUND: PSOdisk is a 10-item visual instrument, aimed at assessing the burden
of disease in patients with psoriasis. OBJECTIVES: To compare PSOdisk with a
scientifically validated questionnaire, the Dermatology Life Quality Index
(DLQI), and asses both tools in relation to Psoriasis Severity Index (PASI) and
patient acceptance. MATERIALS & METHODS: Fifty patients with cutaneous psoriasis
and/or arthritic psoriasis were recruited. Correlation analysis between PSOdisk
and DLQI was performed using Pearson's product-moment correlation coefficient. A
multivariate linear regression was carried out to investigate the effect of PASI
on PSOdisk and DLQI scores. In addition, we evaluated completion times as well as
patient satisfaction for both PSOdisk and DLQI. RESULTS: PSOdisk and DLQI mean
scores were 22.04 +/- 20.56 and 3.35 +/- 4.52, respectively. The mean value for
PASI was 5.98 +/- 5.89 and for age was 55.88 +/- 14.09 years. The mean value of
Cronbach's coefficient alpha was 0.88 for PSOdisk and 0.90 for DLQI, suggesting
good reliability. A significant correlation was found between PSOdisk and PASI,
and a statistically significant correlation between DLQI and PASI. Multivariate
linear regression analysis demonstrated a statistically significant effect of
PASI on both the DLQI score and PSOdisk score. CONCLUSIONS: PSOdisk demonstrates
good correlation with DLQI and PASI, good patient satisfaction, and requires a
short completion time.
PMID- 29789273
TI - Insulin Resistance as Related to Psychiatric Disorders in Obese Children
AB - Objective: The current study aimed to investigate psychiatric consequences of
obesity and the relationship between componenets of the metabolic syndrome and
psychiatric disorders in children. Our second aim was to elucidate which of the
anthropometric parameters or metabolic components were most strongly associated
with psychiatric disorders. Methods: The study included 88 obese and overweight
children with a body mass index (BMI) greater than 85th percentile. The patients
were evaluated for psychiatric disorders by a single child and adolescent
psychiatrist. Forty patients diagnosed with psychiatric disorders and 48 patients
with normal psychiatric evaluation were compared in terms of anthropometric and
metabolic parameters. BMI, BMI-standard deviation score and BMI percentile, waist
circumference, waist to hip ratio, blood pressure and pubertal stage of all
patients were recorded. Fasting serum glucose, insulin, lipid profile and
homeostatic model assessments of insulin resistance (HOMA-IR) were measured to
evaluate the metabolic parameters. Serum and 24 hour urine cortisol levels were
measured. Results: HOMA-IR in the group with psychiatric disorders was found to
be significantly higher than in the group without psychiatric disorders (6.59+/
3.36 vs 5.21+/-2.67; p=0.035). Other anthropometric measurements and metabolic
parameters were not significantly different between the two groups. Conclusion:
An understanding of the relationships between obesity related medical
comorbidities and psychiatric pathologies is important to encourage patients and
their families to make successful healthy lifestyle changes and for weight
management in terms of appropriate treatment.
PMID- 29789275
TI - Perceived Expressed Emotion, Emotional and Behavioral Problems and Self-Esteem in
Obese Adolescents: A Case-Control Study
AB - Objective: Obesity is a chronic disease which leads to medical and psychiatric
complications. Family climate is a critical factor in the treatment of obesity
and comorbid psychiatric disorders. In our study, perceived expressed emotion
(EE), psychopathology, self-esteem and emotional and behavioural problems (BP)
among obese adolescents were investigated and compared with their non-obese
peers. Methods: The subjects were 49 obese adolescents and 47 non-obese
adolescents served as the control group. All participants were requested to fill
out the Socio-demographic Data Form, Shortened Level of Expressed Emotion Scale,
Rosenberg Self-Esteem Scale, Strength and Difficulties Questionnaire-Adolescent
Form. Results: In our study, obese adolescents showed a significant difference in
perceived EE (p<0.001). Subscales of EE, such as Lack of Emotional Support
(p<0.001), intrusiveness (p<0.001), irritability (p<0.001), self-esteem
(p<0.001), emotional and BP (p<0.001), attention deficit-hyperactivity disorder
(p<0.001), problems in peer relationships (p<0.001) and social skills (p<0.001)
were significantly worse when compared with the control group. There was a strong
relationship between EE and emotional and BP and self-esteem. Conclusion: The
higher rate of perceived EE, psychopathology and low self-esteem among obese
adolescents showed that obesity prevention and treatment are also crucial for
good mental health in adolescents. The important role of the family in mental
health of obese adolescents was emphasized. It was shown that identification of
risk factors in childhood that promote obesity should be done so that targeted
intervention and prevention programs can be developed.
PMID- 29789276
TI - Novel Method to Efficiently Create an mHealth App: Implementation of a Real-Time
Electrocardiogram R Peak Detector.
AB - BACKGROUND: In parallel to the introduction of mobile communication devices with
high computational power and internet connectivity, high-quality and low-cost
health sensors have also become available. However, although the technology does
exist, no clinical mobile system has been developed to monitor the R peaks from
electrocardiogram recordings in real time with low false positive and low false
negative detection. Implementation of a robust electrocardiogram R peak detector
for various arrhythmogenic events has been hampered by the lack of an efficient
design that will conserve battery power without reducing algorithm complexity or
ease of implementation. OBJECTIVE: Our goals in this paper are (1) to evaluate
the suitability of the MATLAB Mobile platform for mHealth apps and whether it can
run on any phone system, and (2) to embed in the MATLAB Mobile platform a real
time electrocardiogram R peak detector with low false positive and low false
negative detection in the presence of the most frequent arrhythmia, atrial
fibrillation. METHODS: We implemented an innovative R peak detection algorithm
that deals with motion artifacts, electrical drift, breathing oscillations,
electrical spikes, and environmental noise by low-pass filtering. It also fixes
the signal polarity and deals with premature beats by heuristic filtering. The
algorithm was trained on the annotated non-atrial fibrillation MIT-BIH Arrhythmia
Database and tested on the atrial fibrillation MIT-BIH Arrhythmia Database.
Finally, the algorithm was implemented on mobile phones connected to a mobile
electrocardiogram device using the MATLAB Mobile platform. RESULTS: Our algorithm
precisely detected the R peaks with a sensitivity of 99.7% and positive
prediction of 99.4%. These results are superior to some state-of-the-art
algorithms. The algorithm performs similarly on atrial fibrillation and non
atrial fibrillation patient data. Using MATLAB Mobile, we ran our algorithm in
less than an hour on both the iOS and Android system. Our app can accurately
analyze 1 minute of real-time electrocardiogram signals in less than 1 second on
a mobile phone. CONCLUSIONS: Accurate real-time identification of heart rate on a
beat-to-beat basis in the presence of noise and atrial fibrillation events using
a mobile phone is feasible.
PMID- 29789274
TI - Incidence of Type 1 Diabetes in Children Aged Below 18 Years during 2013-2015 in
Northwest Turkey
AB - Objective: To assess the incidence of type 1 diabetes mellitus (T1DM) in children
under 18 years of age in the northwest region of Turkey during 2013-2015.
Methods: All newly diagnosed T1DM cases were recorded prospectively during 2013
2015. Total, as well as gender and age group specific (0-4, 5-9, 10-14 and 15-17
age) mean incidences per 100,000 per year were calculated. Results: There were
1,773 patients diagnosed during 2013-2015 (588 cases in 2013, 592 cases in 2014,
593 cases in 2015). Of these, 862 (48.6%) were girls and 911 (51.4%) were boys.
The mean age at diagnosis was 9.2+/-4.2 years and it was not significantly
different between girls (9.0+/-4.1 years) and boys (9.4+/-4.4 years) (p=0.052).
The crude mean incidence was 8.99/100.000 confidence interval (CI) (95% CI: 8.58
9.42). Although mean incidence was similar between boys [8.98/100.000 (CI: 8.40
to 9.58)] and girls [9.01/100.000 (CI: 8.42 to 9.63)], there was male
predominance in all groups except for 5-9 year age group. The standardized mean
incidence was 9.02/100.000 according to the World Health Organization standard
population. The mean incidence for the 0-4, 5-9, 10-14 and 15-17 age groups was
6.13, 11.68, 11.7 and 5.04/100.000 respectively. The incidence of T1DM was
similar over the course of three years (p=0.95). A significant increase in the
proportion of cases diagnosed was observed in the autumn-winter seasons.
Conclusion: The northwest region of Turkey experienced an intermediate incidence
of T1DM over the period of the study.
PMID- 29789277
TI - Applicability of a Web-Based, Individualized Exercise Intervention in Patients
With Liver Disease, Cystic Fibrosis, Esophageal Cancer, and Psychiatric
Disorders: Process Evaluation of 4 Ongoing Clinical Trials.
AB - BACKGROUND: In the primary and secondary prevention of civilization diseases,
regular physical activity is recommended in international guidelines to improve
disease-related symptoms, delay the progression of the disease, or to enhance
postoperative outcomes. In the preoperative context, there has been a paradigm
shift in favor of using preconditioning concepts before surgery. Web-based
interventions seem an innovative and effective tool for delivering general
information, individualized exercise recommendations, and peer support.
OBJECTIVE: Our first objective was to assess feasibility of our Web-based
interventional concept and analyze similarities and differences in a sustained
exercise implementation in different diseases. The second objective was to
investigate the overall participants' satisfaction with our Web-based concept.
METHODS: A total of 4 clinical trials are still being carried out, including
patients with esophageal carcinoma scheduled for oncologic esophagectomy
(internet-based perioperative exercise program, iPEP, study), nonalcoholic fatty
liver disease (hepatic inflammation and physical performance in patients with
nonalcoholic steatohepatitis, HELP, study), depression (exercise for depression,
EXDEP, study), and cystic fibrosis (cystic fibrosis online mentoring for
microbiome, exercise, and diet, COMMED, study). During the intervention period,
the study population had access to the website with disease-specific content and
a disease-specific discussion forum. All participants received weekly, individual
tailored exercise recommendations from the sports therapist. The main outcome was
the using behavior, which was obtained by investigating the log-in rate and
duration. RESULTS: A total of 20 participants (5 from each trial) were analyzed.
During the intervention period, a regular contact and a consequent implementation
of exercise prescription were easily achieved in all substudies. Across the 4
substudies, there was a significant decrease in log-in rates (P<.001) and log-in
durations (P<.001) over time. A detailed view of the different studies shows a
significant decrease in log-in rates and log-in durations in the HELP study
(P=.004; P=.002) and iPEP study (P=.02; P=.001), whereas the EXDEP study (P=.58;
P=.38) and COMMED study (P=.87; P=.56) showed no significant change over the 8
week intervention period. There was no significant change in physical activity
within all studies (P=.31). Only in the HELP study, the physical activity level
increased steadily over the period analyzed (P=.045). Overall, 17 participants
(85%, 17/20) felt secure and were not scared of injury, with no major differences
in the subtrials. CONCLUSIONS: The universal use of the Web-based intervention
appears to be applicable across the heterogonous collectives of our study
patients with regard to age and disease. Although the development of physical
activity shows only moderate improvements, flexible communication and tailored
support could be easily integrated into patients' daily routine. TRIAL
REGISTRATION: iPEP study: ClinicalTrials.gov NCT02478996;
https://clinicaltrials.gov/ct2/show/NCT02478996 (Archived by WebCite at
http://www.webcitation.org/6zL1UmHaW); HELP study: ClinicalTrials.gov
NCT02526732; http://www.webcitation.org/6zJjX7d6K (Archived by WebCite at
http://www.webcitation.org/6Nch4ldcL); EXDEP study: ClinicalTrials.gov
NCT02874833; https://clinicaltrials.gov/ct2/show/NCT02874833 (Archived by WebCite
at http://www.webcitation.org/6zJjj7FuA).
PMID- 29789278
TI - The Effectiveness of a Computer-Tailored E-Learning Program for Practice Nurses
to Improve Their Adherence to Smoking Cessation Counseling Guidelines: Randomized
Controlled Trial.
AB - BACKGROUND: Improving practice nurses' (PN) adherence to smoking cessation
counseling guidelines will benefit the quality of smoking cessation care and will
potentially lead to higher smoking abstinence rates. However, support programs to
aid PNs in improving their guideline uptake and adherence do not exist yet.
OBJECTIVE: The aim of this study was to assess the effects of a novel computer
tailored electronic learning (e-learning) program on PNs' smoking cessation
guideline adherence. METHODS: A Web-based randomized controlled trial (RCT) was
conducted in which an intervention group (N=147) with full access to the e
learning program for 6 months was compared with a control group (N=122) without
access. Data collection was fully automated at baseline and 6-month follow-up via
online questionnaires, assessing PNs' demographics, work-related factors,
potential behavioral predictors based on the I-Change model, and guideline
adherence. PNs also completed counseling checklists to retrieve self-reported
counseling activities for each consultation with a smoker (N=1175). To assess the
program's effectiveness in improving PNs' guideline adherence (ie, overall
adherence and adherence to individual counseling guideline steps), mixed linear
and logistic regression analyses were conducted, thus accommodating for the
smokers being nested within PNs. Potential effect moderation by work-related
factors and behavioral predictors was also examined. RESULTS: After 6 months, 121
PNs in the intervention group (82.3%, 121/147) and 103 in the control group
(84.4%, 103/122) completed the follow-up questionnaire. Mixed linear regression
analysis revealed that counseling experience moderated the program's effect on
PNs' overall guideline adherence (beta=.589; 95% CI 0.111-1.068; PHolm-Bonferroni
=.048), indicating a positive program effect on adherence for PNs with a more
than average level of counseling experience. Mixed logistic regression analyses
regarding adherence to individual guideline steps revealed a trend toward
moderating effects of baseline levels of behavioral predictors and counseling
experience. More specifically, for PNs with less favorable scores on behavioral
predictors (eg, low baseline self-efficacy) and high levels of counseling
experience, the program significantly increased adherence. CONCLUSIONS: Results
from our RCT showed that among PNs with more than average counseling experience,
the e-learning program resulted in significantly better smoking cessation
guideline adherence. Experienced PNs might have been better able to translate the
content of our e-learning program into practically applicable counseling
strategies compared with less experienced colleagues. Less favorable baseline
levels of behavioral predictors among PNs possibly contributed to this effect, as
there was more room for improvement by consulting the tailored content of the e
learning program. To further substantiate the effectiveness of e-learning
programs on guideline adherence by health care professionals (HCPs), it is
important to assess how to support a wider range of HCPs. TRIAL REGISTRATION:
Netherlands Trial Register NTR4436;
http://www.trialregister.nl/trialreg/admin/rctview.asp?TC=4436 (Archived by
WebCite at http://www.webcitation.org/6zJQuSRq0).
PMID- 29789279
TI - Opportunities for Enhanced Strategic Use of Surveys, Medical Records, and Program
Data for HIV Surveillance of Key Populations: Scoping Review.
AB - BACKGROUND: Normative guidelines from the World Health Organization recommend
tracking strategic information indicators among key populations. Monitoring
progress in the global response to the HIV epidemic uses indicators put forward
by the Joint United Nations Programme on HIV/AIDS. These include the 90-90-90
targets that require a realignment of surveillance data, routinely collected
program data, and medical record data, which historically have developed
separately. OBJECTIVE: The aim of this study was to describe current challenges
for monitoring HIV-related strategic information indicators among key populations
((men who have sex with men [MSM], people in prisons and other closed settings,
people who inject drugs, sex workers, and transgender people) and identify future
opportunities to enhance the use of surveillance data, programmatic data, and
medical record data to describe the HIV epidemic among key populations and
measure the coverage of HIV prevention, care, and treatment programs. METHODS: To
provide a historical perspective, we completed a scoping review of the expansion
of HIV surveillance among key populations over the past three decades. To
describe current efforts, we conducted a review of the literature to identify
published examples of SI indicator estimates among key populations. To describe
anticipated challenges and future opportunities to improve measurement of
strategic information indicators, particularly from routine program and health
data, we consulted participants of the Third Global HIV Surveillance Meeting in
Bangkok, where the 2015 World Health Organization strategic information
guidelines were launched. RESULTS: There remains suboptimal alignment of
surveillance and programmatic data, as well as routinely collected medical
records to facilitate the reporting of the 90-90-90 indicators for HIV among key
populations. Studies (n=3) with estimates of all three 90-90-90 indicators rely
on cross-sectional survey data. Programmatic data and medical record data
continue to be insufficiently robust to provide estimates of the 90-90-90 targets
for key populations. CONCLUSIONS: Current reliance on more active data collection
processes, including key population-specific surveys, remains warranted until the
quality and validity of passively collected routine program and medical record
data for key populations is optimized.
PMID- 29789280
TI - Factors Affecting Bone Mineral Density Among Snowy Region Residents in Japan:
Analysis Using Multiple Linear Regression and Bayesian Network Model.
AB - BACKGROUND: As the onset of osteoporosis leads to reduced activities of daily
living and may result in patients being bedridden, efforts to prevent decreased
bone density are necessary. Various studies on the relationship between sex, age,
nutrients, and exercise habits and bone mineral density have been conducted to
date. However, for snowy region residents, the magnitude of influence of various
factors affecting bone mineral density and the influence level have not been
clarified. OBJECTIVE: This study aimed to clarify the degree of influence and
factors influencing bone mineral density based on survey results on health
conditions and lifestyle habits in heavy snow areas. METHODS: A total of 354
citizens who visited a drugstore in the target area were included in a study that
included using the brief-type self-administered diet history questionnaire on
lifestyle and exercise habits. Height, weight, body composition, and bone
densitometer values were analyzed using multiple regression to calculate their
association with bone mineral density. In addition, a Bayesian network model was
used to determine the influence level of each factor as a conditional
probability. RESULTS: Multiple regression analysis revealed that age, sex,
fracture, and calcium intake significantly influenced bone mineral density. In
addition, the result of Bayesian network analysis suggested that age and sex
affected bone mineral density, whereas nutrients and exercise habits might not
have a direct impact. However, calcium intake and the T-score were significant
factors affecting the presence or absence of fracture experiences, suggesting
that adequate calcium intake is essential for preventing fractures. CONCLUSIONS:
In the multiple regression analysis, age, sex, fracture, and calcium intake were
selected as factors; however, in the Bayesian analysis, only age and sex affected
bone mineral density while nutrients did not. In addition, the fact that calcium
intake and the T-score were shown to affect bone fracture history suggests that
calcium intake is an important measure that can prevent bone fractures. Overall,
these results suggest that measures such as ensuring a bone fracture-free
environment and providing nutritional advice for calcium intake can be effective
in preventing bone loss.
PMID- 29789282
TI - Internet Use, Depression, and Anxiety in a Healthy Adolescent Population:
Prospective Cohort Study.
AB - BACKGROUND: Psychiatric disorders, including conduct disturbances, substance
abuse, and affective disorders, emerge in approximately 20% of adolescents. In
parallel with the rise in internet use, the prevalence of depression among
adolescents has increased. It remains unclear whether and how internet use
impacts mental health in adolescents. OBJECTIVE: We assess the association
between patterns of internet use and two mental health outcomes (depression and
anxiety) in a healthy adolescent population. METHODS: A total of 126 adolescents
between the ages of 12 and 15 years were recruited. Participants reported their
typical computer and internet usage patterns. At baseline and one-year follow-up,
they completed the Beck Depression Index for primary care (BDI-PC) and the Beck
Anxiety Inventory for Primary Care (BAI-PC). Individual linear regressions were
completed to determine the association between markers of internet use at
baseline and mental health outcomes at one-year follow-up. All models controlled
for age, gender, and ethnicity. RESULTS: There was an inverse correlation between
minutes spent on a favorite website per visit and BAI-PC score. No association
was found between internet use and BDI-PC score. CONCLUSIONS: There is no
relationship between internet use patterns and depression in adolescents, whereas
internet use may mitigate anxiety in adolescents with higher levels of baseline
anxiety.
PMID- 29789283
TI - Safety of medicines delivered by homecare companies.
PMID- 29789281
TI - Internet and Social Media Access Among Youth Experiencing Homelessness: Mixed
Methods Study.
AB - BACKGROUND: Youth experiencing homelessness are at a risk for a variety of
adverse outcomes. Given the widespread use of the internet and social media,
these new technologies may be used to address their needs and for outreach
purposes. However, little is known about how this group uses these resources.
OBJECTIVE: This study investigated how homeless adolescents use these
technologies for general and health-related purposes, whether the scope of their
use changes with housing status, and their interest in a website dedicated to
youth experiencing homelessness. METHODS: A convenience sample of youth aged 18
to 21 years was recruited from a youth-specific homeless shelter. All
participants completed a 47-item survey, with 10 individuals completing a
semistructured interview. Descriptive statistics, exact testing, logistic
regression, and generalized estimating equation modeling was performed for
quantitative data analysis. Interviews were transcribed verbatim, and NVivo 10
(QSR International) was employed to facilitate double coding and thematic
analysis. RESULTS: A total of 87 participants completed the survey with a mean
age of 19.4 (SD 1.1) years. While experiencing homelessness, 56% (49/87) accessed
the internet at least once a day, with 86% (75/87) accessing once a week. Access
to a smartphone was associated with a 3.03 greater odds of accessing the internet
and was the most frequently used device (66% of participants, 57/87). While
experiencing homelessness, subjects reported a 68% decreased odds in internet
access frequency (odds ratio [OR] 0.32, P<.001), 75% decreased odds in spending
greater amounts of time on the internet (OR 0.25, P<.001), and an 87% decreased
odds of social media use (OR 0.13, P=.01). Ten participants completed the
semistructured interview. Several themes were identified, including (1) changes
in internet behaviors while experiencing homelessness, (2) health status as a
major concern and reason for Internet use, and (3) interest in a website
dedicated to youth experiencing homelessness. While experiencing homelessness,
participants indicated their behaviors were more goal-oriented and less focused
on leisure or entertainment activities. CONCLUSIONS: While homeless youth
experience changes in the frequency, amount of time, and specific uses of the
internet and social media, study participants were able to access the internet
regularly. The internet was used to search health-related topics. Given the
importance of smartphones in accessing the internet, mobile-optimized websites
may be an effective method for reaching this group.
PMID- 29789284
TI - A home for patient-oriented research.
PMID- 29789285
TI - "All my relations": experiences and perceptions of Indigenous patients connecting
with Indigenous Elders in an inner city primary care partnership for mental
health and well-being.
AB - BACKGROUND: Mental health services in urban settings generally have not been
adapted to serve the needs of Indigenous patients. We explored how patients'
encounters with Indigenous Elders affected their overall mental health and well
being to identify therapeutic mechanisms underlying improvement. METHODS: We
conducted qualitative interviews of participants enrolled in a 6-month
prospective mixed-methods evaluation of a program for mental health and well
being that featured the inclusion of Elders in the direct care of Indigenous
patients in an inner city primary care clinic. Individual semistructured
interviews were conducted to explore patients' experiences and perceptions of
their participation in the Elders program. RESULTS: We included 37 participants
from at least 20 different First Nations. All but 1 participant described
substantial benefits from their encounters with Elders, and none reported being
negatively affected. Five overarching themes were identified: experiencing
healing after prolonged periods of seeking and desperation; strengthening
cultural identity and belonging; developing trust and opening up; coping with
losses; and engaging in ceremony and spiritual dimensions of care as a resource
for hope. INTERPRETATION: Our evaluation illustrates that the Elders program was
perceived by participants to have a broad range of positive impacts on their care
and well-being. Although this study was based on experiences at a single urban
clinic, these findings support the Truth and Reconciliation Commission of
Canada's calls to action regarding the inclusion of Elders as a strategy to
improve care of Indigenous patients in Canadian health care systems.
PMID- 29789286
TI - Improving health research among Indigenous Peoples in Canada.
PMID- 29789287
TI - Lyme carditis and atrioventricular block.
PMID- 29789288
TI - Porphyria cutanea tarda presenting as milia and blisters.
PMID- 29789289
TI - Effects of helmet use on costs of motorcycle crashes.
PMID- 29789290
TI - Response to: "Some patients with chronic pain need low-dose opioid therapy for
survival and quality of life".
PMID- 29789291
TI - Is Ontario adding drug prices to EMRs?
PMID- 29789292
TI - New fines pose financial catch-22 for Ontario long-term care.
PMID- 29789293
TI - Major gaps in supports for medical trainees with disabilities.
PMID- 29789294
TI - Public health in the 21st century: Governance for a healthy, just and sustainable
future.
PMID- 29789295
TI - Integration of protein phosphorylation, acetylation, and methylation data sets to
outline lung cancer signaling networks.
AB - Protein posttranslational modifications (PTMs) have typically been studied
independently, yet many proteins are modified by more than one PTM type, and cell
signaling pathways somehow integrate this information. We coupled
immunoprecipitation using PTM-specific antibodies with tandem mass tag (TMT) mass
spectrometry to simultaneously examine phosphorylation, methylation, and
acetylation in 45 lung cancer cell lines compared to normal lung tissue and to
cell lines treated with anticancer drugs. This simultaneous, large-scale,
integrative analysis of these PTMs using a cluster-filtered network (CFN)
approach revealed that cell signaling pathways were outlined by clustering
patterns in PTMs. We used the t-distributed stochastic neighbor embedding (t-SNE)
method to identify PTM clusters and then integrated each with known protein
protein interactions (PPIs) to elucidate functional cell signaling pathways. The
CFN identified known and previously unknown cell signaling pathways in lung
cancer cells that were not present in normal lung epithelial tissue. In various
proteins modified by more than one type of PTM, the incidence of those PTMs
exhibited inverse relationships, suggesting that molecular exclusive "OR" gates
determine a large number of signal transduction events. We also showed that the
acetyltransferase EP300 appears to be a hub in the network of pathways involving
different PTMs. In addition, the data shed light on the mechanism of action of
geldanamycin, an HSP90 inhibitor. Together, the findings reveal that cell
signaling pathways mediated by acetylation, methylation, and phosphorylation
regulate the cytoskeleton, membrane traffic, and RNA binding protein-mediated
control of gene expression.
PMID- 29789296
TI - Tuning ITAM multiplicity on T cell receptors can control potency and selectivity
to ligand density.
AB - The T cell antigen receptor (TCR) recognizes peptides from pathogenic proteins
bound in the major histocompatibility complex (MHC). To convert this binding
event into downstream signaling, the TCR complex contains immunoreceptor tyrosine
based activation motifs (ITAMs) that act as docking sites for the cytoplasmic
tyrosine kinase ZAP-70. Unique among antigen receptors, the TCR complex uses 10
ITAMs to transduce peptide-MHC binding to the cell interior. Using synthetic,
drug-inducible receptor-ligand pairs, it was found that greater ITAM multiplicity
primarily enhanced the efficiency with which ligand binding was converted into an
intracellular signal. This manifested as an increase in the fraction of cells
that became activated in response to antigen, and a more synchronous initiation
of TCR-proximal signaling, rather than direct amplification of the intracellular
signals. Exploiting these findings, the potency and selectivity of chimeric
antigen receptors targeted against cancer were substantially enhanced by
modulating the number of encoded ITAMs.
PMID- 29789298
TI - Challenge anti-migrant policies with evidence, doctors are told.
PMID- 29789299
TI - David Oliver: Are comparisons between acute healthcare and the aviation industry
invidious?
PMID- 29789300
TI - Teamwork on Rounds on an Inpatient Ward Team: An Ethnographic Study.
AB - BACKGROUND AND OBJECTIVES: Pediatric hospitalists are expected to be adept at
effective teamwork; yet, studies in which researchers describe teamwork practices
in general pediatric inpatient settings are lacking. Our aim in this study was to
examine the roles that general pediatric team members assume in real-life
settings and how team members conduct teamwork practices on family-centered
rounds. METHODS: In the ethnographic tradition, we observed a general pediatric
team on a hospitalist service, focusing on family-centered rounds, and conducted
in-depth interviews with interns, senior residents, and faculty. We collected
data in the form of field notes from observations and transcribed interviews and
used constant comparison methods to create codes and generate themes. We used
Team Strategies and Tools to Enhance Performance and Patient Safety (TeamSTEPPS)
as an analytic lens and organizing framework. RESULTS: Communication occurred in
both structured and unstructured ways. Situation monitoring happened during
routines, such as running the list, and led to creating a plan of patient care
through shared decision-making. Some leadership characteristics were not
exclusive to 1 team member. Finally, mutual support occurred through task
completion and empowering learners; for example, attending physicians empowered
senior residents, who also helped interns. CONCLUSIONS: Our findings aligned with
some, but not all, teamwork principles from TeamSTEPPS; misalignment may be due
to contextual factors, such as the need to provide medical education and the
development of grassroots routines (eg, running the list). Context is a key
consideration when developing interventions to enhance teamwork on inpatient
medical wards.
PMID- 29789297
TI - The DUF1669 domain of FAM83 family proteins anchor casein kinase 1 isoforms.
AB - Members of the casein kinase 1 (CK1) family of serine-threonine protein kinases
are implicated in the regulation of many cellular processes, including the cell
cycle, circadian rhythms, and Wnt and Hedgehog signaling. Because these kinases
exhibit constitutive activity in biochemical assays, it is likely that their
activity in cells is controlled by subcellular localization, interactions with
inhibitory proteins, targeted degradation, or combinations of these mechanisms.
We identified members of the FAM83 family of proteins as partners of CK1 in
cells. All eight members of the FAM83 family (FAM83A to FAM83H) interacted with
the alpha and alpha-like isoforms of CK1; FAM83A, FAM83B, FAM83E, and FAM83H also
interacted with the delta and epsilon isoforms of CK1. We detected no interaction
between any FAM83 member and the related CK1gamma1, CK1gamma2, and CK1gamma3
isoforms. Each FAM83 protein exhibited a distinct pattern of subcellular
distribution and colocalized with the CK1 isoform(s) to which it bound. The
interaction of FAM83 proteins with CK1 isoforms was mediated by the conserved
domain of unknown function 1669 (DUF1669) that characterizes the FAM83 family.
Mutations in FAM83 proteins that prevented them from binding to CK1 interfered
with the proper subcellular localization and cellular functions of both the FAM83
proteins and their CK1 binding partners. On the basis of its function, we propose
that DUF1669 be renamed the polypeptide anchor of CK1 domain.
PMID- 29789301
TI - ORBITA2.
PMID- 29789303
TI - #Me_Who: Anatomy of Scholastic, Leadership, and Social Isolation of
Underrepresented Minority Women in Academic Medicine.
AB - In academic medicine, under-represented minority women physician-scientists
(URMWP)* are uncommon, particularly in leadership positions. Data from the
American Association of Medical Colleges (AAMC) show that among internal medicine
chairs, there are 12 Asian males, 10 African/American (blacks; 9 men), 7
Hispanics (2 females) and 137 whites (21 females). In the top 40 ranked
cardiology programs, there are no female cardiology chiefs, whereas there are at
least 10, 2, 1 and 24 Asian, black, Hispanic and white males respectively. There
are more URMWP than URM males, yet URMWP are less likely to be professors and
occupy leadership positions in academia. Specifically, among United States
medical school faculty, relative proportions at assistant, associate and full
professor levels according to race/ethnicity and gender have remained essentially
unchanged over the past 20 years. AAMC information demonstrates that only 11%,
9%, 11% and 24% of Asian, black, Hispanic and white women are full professors
compared with 21%, 18%, 19% and 36% of Asian, black, Hispanic and white men.
Additionally, while there are representative proportions of women and Asians at
the lowest faculty levels, they have not equitably progressed in academic
medicine, likely reflecting discrimination and structural/organizational barriers
that are also applicable to black and Hispanic females1.
PMID- 29789302
TI - Fractional Flow Reserve and Instantaneous Wave-Free Ratio as Predictors of the
Placebo-Controlled Response to Percutaneous Coronary Intervention in Stable
Single-Vessel Coronary Artery Disease.
AB - BACKGROUND: There are no data on how fractional flow reserve (FFR) and
instantaneous wave-free ratio (iFR) are associated with the placebo-controlled
efficacy of percutaneous coronary intervention (PCI) in stable single-vessel
coronary artery disease. METHODS: We report the association between
prerandomization invasive physiology within ORBITA (Objective Randomised Blinded
Investigation With Optimal Medical Therapy of Angioplasty in Stable Angina), a
placebo-controlled trial of patients who have stable angina with angiographically
severe single-vessel coronary disease clinically eligible for PCI. Patients
underwent prerandomization research FFR and iFR assessment. The operator was
blinded to these values. Assessment of response variables, treadmill exercise
time, stress echocardiography score, symptom frequency, and angina severity were
performed at prerandomization and blinded follow-up. Effects were calculated by
analysis of covariance. The ability of FFR and iFR to predict placebo-controlled
changes in response variables was tested by using regression modeling. RESULTS:
Invasive physiology data were available in 196 patients (103 PCI and 93 placebo).
At prerandomization, the majority had Canadian Cardiovascular Society class II or
III symptoms (150/196, 76.5%). Mean FFR and iFR were 0.69+/-0.16 and 0.76+/-0.22,
respectively; 97% had >=1 positive ischemia tests. The estimated effect of PCI on
between-arm prerandomization-adjusted total exercise time was 20.7 s (95%
confidence interval [CI], -4.0 to 45.5; P=0.100) with no interaction of FFR (
Pinteraction=0.318) or iFR ( Pinteraction=0.523). PCI improved stress
echocardiography score more than placebo (1.07 segment units; 95% CI, 0.70-1.44;
P<0.00001). The placebo-controlled effect of PCI on stress echocardiography score
increased progressively with decreasing FFR ( Pinteraction<0.00001) and
decreasing iFR ( Pinteraction<0.00001). PCI did not improve angina frequency
score significantly more than placebo (odds ratio, 1.64; 95% CI, 0.96-2.80;
P=0.072) with no detectable evidence of interaction with FFR (
Pinteraction=0.849) or iFR ( Pinteraction=0.783). However, PCI resulted in more
patient-reported freedom from angina than placebo (49.5% versus 31.5%; odds
ratio, 2.47; 95% CI, 1.30-4.72; P=0.006) but neither FFR ( Pinteraction=0.693)
nor iFR ( Pinteraction=0.761) modified this effect. CONCLUSIONS: In patients with
stable angina and severe single-vessel disease, the blinded effect of PCI was
more clearly seen by stress echocardiography score and freedom from angina than
change in treadmill exercise time. Moreover, the lower the FFR or iFR, the
greater the magnitude of stress echocardiographic improvement caused by PCI.
CLINICAL TRIAL REGISTRATION: URL: https://www.clinicaltrials.gov . Unique
identifier: NCT02062593.
PMID- 29789304
TI - Neuroimaging findings in Menkes disease: a rare neurodegenerative disorder.
AB - Menkes disease is a rare neurodegenerative metabolic disease with a reported
incidence of 1 per 300 000 live births. It occurs due to mutations in ATP7A gene
located on X-chromosome leading to deficiency of several copper-containing
enzymes. The patient presents with history of neuroregression with characteristic
kinky hair. MRI is the imaging modality of choice. Characteristic imaging
findings are: bilateral subdural hygromas, cerebral and cerebellar atrophy, white
matter changes and tortuous intracranial vessels on angiography. The rarity of
this condition prompted us to report this case of Menkes disease along with the
characteristic neuroimaging findings and brief review of literature.
PMID- 29789306
TI - Chronic Madura foot: mycetoma and/or Actinomyces spp or actinomycosis.
AB - A 58-year-old agricultural worker from a remote Western province farming
community in Saudi Arabia presented with a 2-year history of right plantar foot
soft tissue mass. According to the patient, the swelling had gradually increased
in size over a few years, but it was painless and thus had not restricted him
from continuing to farm until the lesion started to affect mobility. An MRI,
microbiology and histopathology reported a rare infectious agent-Actinomyces spp,
otherwise referred to as Madura foot. Three-dimensional CT aided in a
preoperative surgical plan which included mass excision/debulking for this
challenging lesion. Full eradication was not possible, and the patient required
prolonged anti-infective therapy (>6 months) along with close surveillance to map
resolution of infective symptoms.
PMID- 29789305
TI - Ro-positive interstitial lung disease treated with cyclophosphamide.
AB - Interstitial lung disease (ILD) comprises a spectrum of conditions involving
inflammation and/or fibrosis of the alveolar wall causing limitation in gaseous
exchange. Treatment varies depending on the underlying ILD. We describe the case
of a woman presenting with a productive cough who was diagnosed with community
acquired pneumonia. While on the ward she developed type-1 respiratory failure
requiring continuous positive airway pressure and intensive care unit admission.
Failing to respond to targeted antimicrobials she was investigated by chest high
resolution CT and autoantibody screen to identify non-infective causes of her
respiratory signs and symptoms. These demonstrated diffuse ground-glass change
with peripheral honeycombing in keeping with fibrosis and alveolitis alongside
high titres of anti-SS-A/Ro antibodies. She was managed with reducing course of
steroids and immunosuppression with cyclophosphamide. The rational of long-term
immunosuppression was based on a presumed diagnosis of lung-dominant connective
tissue disease, a disease concept proposed in contemporary medical literature.
PMID- 29789307
TI - Overcoming overuse: the way forward is not standing still-an essay by Steven
Woloshin and Lisa M Schwartz.
PMID- 29789309
TI - GPs have been Luddites for too long and must embrace new technology.
PMID- 29789308
TI - High-throughput discovery of functional disordered regions.
PMID- 29789311
TI - FDA Tackles Underage E-cigarette Use.
AB - In recent weeks, the FDA has taken steps to address underage use of e-cigarettes:
The agency has identified and warned retailers selling to minors, issued warning
letters to companies selling e-cigarette cartridges in adolescent-appealing
packaging, and requested information from e-cigarette companies. The steps are
part of the FDA's ongoing effort to prevent middle- and high-school students from
using e-cigarette products.
PMID- 29789310
TI - CLERK is a novel receptor kinase required for sensing of root-active CLE peptides
in Arabidopsis.
AB - CLAVATA3/EMBRYO SURROUNDING REGION (CLE) peptides are secreted endogenous plant
ligands that are sensed by receptor kinases (RKs) to convey environmental and
developmental inputs. Typically, this involves an RK with narrow ligand
specificity that signals together with a more promiscuous co-receptor. For most
CLEs, biologically relevant (co-)receptors are unknown. The dimer of the receptor
like protein CLAVATA 2 (CLV2) and the pseudokinase CORYNE (CRN) conditions
perception of so-called root-active CLE peptides, the exogenous application of
which suppresses root growth by preventing protophloem formation in the meristem.
clv2 as well as crn null mutants are resistant to root-active CLE peptides,
possibly because CLV2-CRN promotes expression of their cognate receptors. Here,
we have identified the CLE-RESISTANT RECEPTOR KINASE (CLERK) gene, which is
required for full sensing of root-active CLE peptides in early developing
protophloem. CLERK protein can be replaced by its close homologs, SENESCENCE
ASSOCIATED RECEPTOR-LIKE KINASE (SARK) and NSP-INTERACTING KINASE 1 (NIK1). Yet
neither CLERK nor NIK1 ectodomains interact biochemically with described CLE
receptor ectodomains. Consistently, CLERK also acts genetically independently of
CLV2-CRN We, thus, have discovered a novel hub for redundant CLE sensing in the
root.
PMID- 29789312
TI - Development of Diagnostic SNP Markers To Monitor Hybridization Between Sika Deer
(Cervus nippon) and Wapiti (Cervus elaphus).
AB - Sika deer (Cervus Nippon) and wapiti (Cervus elaphus) are closely related species
and their hybridization can result in significant allele-shift of their gene
pool. Additive genetic effects and putative heterotic effects of their
hybridization on growth performance could confer considerable economic advantage
in deer farming. Here, we used double-digest restriction site-associated DNA
sequencing technology (ddRAD-seq) and detected ~320,000 genome-wide SNPs from 30
captive individuals: 7 sika deer, 6 wapiti and 17 F1 hybrids (reciprocal cross).
By screening observed heterozygosity of each SNP across four taxonomic groups, we
report for the first time a resource of 2,015 putative diagnostic SNP markers
(species-specific SNPs for sika deer and wapiti), which can be used to design
tools for assessing or monitoring the degree of hybridization between sika deer
and wapiti. These ddRAD-seq data and SNP datasets are also valuable resources for
genome-wide studies, including trait discovery for breeders of domestic deer.
PMID- 29789315
TI - Quinoline-3-carboxamides such as tasquinimod are not specific inhibitors of
S100A9.
PMID- 29789313
TI - BLAST-XYPlot Viewer: A Tool for Performing BLAST in Whole-Genome Sequenced
Bacteria/Archaea and Visualize Whole Results Simultaneously.
AB - One of the most commonly used tools to compare protein or DNA sequences against
databases is BLAST. We introduce a web tool that allows the performance of BLAST
searches of protein/DNA sequences in whole-genome sequenced bacteria/archaea, and
displays a large amount of BLAST-results simultaneously. The circular bacterial
replicons are projected as horizontal lines with fixed length of 360,
representing the degrees of a circle. A coordinate system is created with length
of the replicon along the x-axis and the number of replicon used on the y-axis.
When a query sequence matches with a gene/protein of a particular replicon, the
BLAST-results are depicted as an "x,y" position in a specially adapted plot. This
tool allows the visualization of the results from the whole data to a particular
gene/protein in real time with low computational resources.
PMID- 29789316
TI - Oral ivermectin for the treatment of head lice infestation.
AB - PURPOSE: Published literature describing the use of oral ivermectin for the
treatment of head lice infestation is reviewed. SUMMARY: In the United States and
globally, head lice infestation, or pediculosis capitis, remains a public health
issue with both social and medical implications. Treatment with oral or topical
medications is typically required for head lice eradication. Resistance to
traditional topical therapies for head lice infestation is increasing, creating a
need for consideration of additional treatment options. A growing body of data
describing the potential role of oral ivermectin for the treatment or prevention
of head lice infestation is available. A literature search identified 5 clinical
trials that evaluated safety and/or effectiveness outcomes of oral ivermectin use
as an alternative to malathion, other topical prescription medications, and
traditional, nonprescription remedies; those studies were conducted in various
parts of the world (e.g., Australia, Brazil, Mexico, Egypt) and likely involved
varying types and degrees of lice resistance. Clinical research findings to date,
while not consistently robust, suggest that oral ivermectin is comparable or
superior in effectiveness to other topical treatment options for head lice
infestation while being well tolerated and favorably perceived by patients and
caretakers. CONCLUSION: Oral ivermectin is an option for the treatment of head
lice infestation, especially in individuals who have experienced a treatment
failure. Published evidence from clinical trials indicates that oral ivermectin
is as effective as currently available topical treatments.
PMID- 29789314
TI - Inhibition of ATR acutely sensitizes acute myeloid leukemia cells to nucleoside
analogs that target ribonucleotide reductase.
AB - The ataxia telangiectasia and Rad3-related (ATR) protein kinase promotes cancer
cell survival by signaling stalled replication forks generated by replication
stress, a common feature of many cancers including acute myeloid leukemia (AML).
Here we show that the antileukemic activity of the chemotherapeutic nucleoside
analogs hydroxyurea and gemcitabine was significantly potentiated by ATR
inhibition via a mechanism involving ribonucleotide reductase (RNR) abrogation
and inhibition of replication fork progression. When administered in combination
with gemcitabine, an inhibitor of the M1 RNR subunit, the ATR inhibitor VX-970,
eradicated disseminated leukemia in an orthotopic mouse model, eliciting long
term survival and effective cure. These data identify a synergistic interaction
between ATR inhibition and RNR loss that will inform the deployment of small
molecule inhibitors for the treatment of AML and other hematologic malignancies.
PMID- 29789317
TI - Nonprescription availability of the opioid antagonist naloxone.
PMID- 29789318
TI - Impact of a pharmacist-physician collaborative care model on patient outcomes and
health services utilization.
AB - PURPOSE: The impact of a pharmacist-physician collaborative care model on patient
outcomes and health services utilization is described. METHODS: Six hospitals
from the Carilion Clinic health system in southwest Virginia, along with 22
patient-centered medical home (PCMH) practices affiliated with Carilion Clinic,
participated in this project. Eligibility criteria included documented diagnosis
of 2 or more of the 7 targeted chronic conditions (congestive heart failure,
hypertension, hyperlipidemia, diabetes mellitus, asthma, chronic obstructive
pulmonary disease, and depression), prescriptions for 4 or more medications, and
having a primary care physician in the Carilion Clinic health system. A total of
2,480 evaluable patients were included in both the collaborative care group and
the usual care group. The primary clinical outcomes measured were the absolute
change in values associated with diabetes mellitus, hypertension, and
hyperlipidemia management from baseline within and between the collaborative care
and usual care groups. RESULTS: Significant improvements (p < 0.01) in
glycosylated hemoglobin, blood pressure, low-density-lipoprotein cholesterol, and
total cholesterol were observed in the collaborative care group compared with the
usual care group. Hospitalizations declined significantly in the collaborative
care group (23.4%), yielding an estimated cost savings of $2,619 per patient. The
return on investment (net savings divided by program cost) was 504%. CONCLUSION:
Inclusion of clinical pharmacists in this physician-pharmacist collaborative care
based PCMH model was associated with significant improvements in patients'
medication-related clinical health outcomes and a reduction in hospitalizations.
PMID- 29789319
TI - Faecal immunochemical tests have the potential for correctly ruling out
colorectal cancer in symptomatic patients.
PMID- 29789320
TI - Intensive versus standard blood pressure treatment improves cardiovascular
outcomes without any difference in patient-reported outcomes.
PMID- 29789321
TI - Introducing the informed health choices project to Ireland.
PMID- 29789322
TI - A missing piece: the spiny mouse and the puzzle of menstruating species.
AB - We recently discovered the first known menstruating rodent. With the exception of
four bats and the elephant shrew, the common spiny mouse (Acomys cahirinus) is
the only species outside the primate order to exhibit menses. There are few
widely accepted theories on why menstruation developed as the preferred
reproductive strategy of these select mammals, all of which reference the
evolution of spontaneous decidualisation prior to menstrual shedding. Though
menstruating species share several reproductive traits, there has been no
identifiable feature unique to menstruating species. Such a feature might suggest
why spontaneous decidualisation, and thus menstruation, evolved in these species.
We propose that a >=3-fold increase in progesterone during the luteal phase of
the reproductive cycle is a unique characteristic linking menstruating species.
We discuss spontaneous decidualisation as a consequence of high progesterone, and
the potential role of prolactin in screening for defective embryos in these
species to aid in minimising implantation of abnormal embryos. We further explore
the possible impact of nutrition in selecting species to undergo spontaneous
decidualisation and subsequent menstruation. We summarise the current knowledge
of menstruation, discuss current pre-clinical models of menstruation and how the
spiny mouse may benefit advancing our understanding of this rare biological
phenomenon.
PMID- 29789323
TI - The emerging connections between IGF1, the intestinal microbiome, Lactobacillus
strains and bone growth.
AB - In most animal species, postnatal growth is controlled by conserved
insulin/insulin-like growth factor (IGF) signaling. In mammals, juvenile growth
is characterized by a longitudinal bone growth resulting from the ossification of
the growth plate. This ossification is under IGF1 influence through endocrine and
paracrine mechanisms. Moreover, the nutritional status has been largely described
as an important factor influencing the insulin/insulin-like growth factor
signaling. It is now well established that the gut microbiota modulates the
nutrient availability of its host. Hence, studies of the interaction between
nutritional status, gut microbiota and bone growth have recently emerged. Here,
we review recent findings using experimental models about the impact of gut
bacteria on the somatotropic axis and its consequence on the bone growth. We also
discuss the perspectives of these studies in opening an entire field for clinical
interventions.
PMID- 29789324
TI - Smoking and Cutaneous Melanoma: Findings from the QSkin Sun and Health Cohort
Study.
AB - Background: Previous studies suggest that smokers have lower risks of cutaneous
melanoma than nonsmokers, but data from population-based prospective studies are
scarce. We investigated associations between smoking and melanoma in a cohort
study purpose-designed to investigate skin cancer outcomes.Methods: Participants
with no prior history of melanoma (n = 38,697) completed a risk factor survey at
baseline (2011). Patients were followed through linkage to the cancer registry.
We estimated hazard ratios (HRs) and 95% confidence intervals (CIs) for
associations between smoking (including intensity, duration, time since quitting)
and melanoma using multivariate Cox proportional hazards regression, accounting
for death as a competing event.Results: During a mean follow-up of 3.5 years,
invasive melanomas developed in 247 participants. Compared with never smokers,
former smokers (but not current smokers) had lower risks of invasive melanoma (HR
0.76; 95% CI, 0.57-1.01). Among former smokers, risks were lower with greater
quantity of cigarettes smoked (HR 0.75; 95% CI, 0.56-0.98 per 10 cigarettes/day).
No association was observed with duration of smoking while longer time since
quitting was associated with a relative risk of melanoma that was not
significantly different from the null (HR 1.18; 95% CI, 0.91-1.51, for every 10
years since quitting).Conclusions: We observed complex associations between
smoking and melanoma, with some suggestion that former smokers had lower risks
than never or current smokers. The apparent inverse association among former
smokers may be due to residual confounding, although surveillance bias or
biological effects cannot be excluded entirely.Impact: Smoking does not increase
the risk of cutaneous melanoma. Cancer Epidemiol Biomarkers Prev; 27(8); 874-81.
(c)2018 AACR.
PMID- 29789326
TI - Hepatocellular Carcinoma Outcome Is Predicted by Expression of Neuronal Calcium
Sensor 1.
AB - Background: Hepatocellular carcinoma (HCC) is the second leading cause of cancer
related death worldwide. There is an urgent demand for prognostic biomarkers that
facilitate early tumor detection, as the incidence of HCC has tripled in the
United States in the last three decades. Biomarkers to identify populations at
risk would have significant impact on survival. We recently found that expression
of Neuronal Calcium Sensor 1 (NCS1), a Ca2+-dependent signaling molecule,
predicted disease outcome in breast cancer, but its predictive value in other
cancer types is unknown. This protein is potentially useful because increased
NCS1 regulates Ca2+ signaling and increased Ca2+ signaling is a hallmark of
metastatic cancers, conferring cellular motility and an increasingly aggressive
phenotype to tumors.Methods: We explored the relationship between NCS1 expression
levels and patient survival in two publicly available liver cancer cohorts and a
tumor microarray using data mining strategies.Results: High NCS1 expression
levels are significantly associated with worse disease outcome in Asian patients
within these cohorts. In addition, a variety of Ca2+-dependent and tumor growth
promoting genes are transcriptionally coregulated with NCS1 and many of them are
involved in cytoskeleton organization, suggesting that NCS1 induced dysregulated
Ca2+ signaling facilitates cellular motility and metastasis.Conclusions: We found
NCS1 to be a novel biomarker in HCC. Furthermore, our study identified a
pharmacologically targetable signaling complex that can influence tumor
progression in HCC.Impact: These results lay the foundation for using NCS1 as a
prognostic biomarker in prospective cohorts of HCC patients and for further
functional assessment of the characterized signaling axis. Cancer Epidemiol
Biomarkers Prev; 27(9); 1091-100. (c)2018 AACR.
PMID- 29789325
TI - Genomic-Epidemiologic Evidence That Estrogens Promote Breast Cancer Development.
AB - Background: Estrogens are a prime risk factor for breast cancer, yet their causal
relation to tumor formation remains uncertain. A recent study of 560 breast
cancers identified 82 genes with 916 point mutations as drivers in the genesis of
this malignancy. Because estrogens play a major role in breast cancer development
and are also known to regulate the expression of numerous genes, we hypothesize
that the 82 driver genes are likely to be influenced by estrogens, such as 17beta
estradiol (E2), and the estrogen receptor ESR1 (ERalpha). Because different types
of tumors are characterized by unique sets of cancer driver genes, we also argue
that the fraction of driver genes regulated by E2-ESR1 is lower in malignancies
not associated with estrogens, e.g., acute myeloid leukemia (AML).Methods: We
performed a literature search of each driver gene to determine its E2-ESR1
regulation.Results: Fifty-three of the 82 driver genes (64.6%) identified in
breast cancers showed evidence of E2-ESR1 regulation. In contrast, only 19 of 54
mutated driver genes (35.2%) identified in AML were linked to E2-ESR1. Among the
916 driver mutations found in breast cancers, 813 (88.8%) were linked to E2-ESR1
compared with 2,046 of 3,833 in AML (53.4%).Conclusions: Risk assessment revealed
that mutations in estrogen-regulated genes are much more likely to be associated
with elevated breast cancer risk, while mutations in unregulated genes are more
likely to be associated with AML.Impact: These results increase the plausibility
that estrogens promote breast cancer development. Cancer Epidemiol Biomarkers
Prev; 27(8); 899-907. (c)2018 AACR.
PMID- 29789328
TI - BAPIO calls for single visa route for non-EU doctors to boost NHS workforce and
prevent brain drain.
PMID- 29789327
TI - Antidepressant Use and Risk of Colorectal Cancer in the Women's Health
Initiative.
AB - Background: Some prior studies have reported reduced colorectal cancer risk among
individuals using antidepressant medications, especially selective serotonin
reuptake inhibitors (SSRIs). Yet most studies have not considered the potential
role of depression or other confounders in their analyses.Methods: We utilized
prospectively collected data from 145,190 participants in the Women's Health
Initiative, among whom 2,580 incident colorectal cancer cases were diagnosed.
Antidepressant use and depressive symptoms were assessed at baseline and follow
up study visits. Cox proportional hazards regression models with adjustment for
depressive symptoms and other covariates were utilized to estimate HRs and 95%
confidence intervals (CIs) for associations between antidepressant use and
colorectal cancer.Results: Antidepressant use was reported by 6.9% of
participants at baseline, with SSRIs the most common class of antidepressant
used. In multivariable analyses, including adjustment for depressive
symptomology, we observed no statistically significant association between
antidepressant use overall (HR = 0.90; 95% CI, 0.75-1.09) or with SSRIs
specifically (HR = 1.08; 95% CI, 0.85-1.37) and colorectal cancer risk. A
borderline significant reduction in colorectal cancer risk was observed for use
of tricyclic antidepressants (HR = 0.76; 95% CI, 0.56-1.04). Severe depressive
symptoms were independently associated with a 20% increased risk of colorectal
cancer (HR = 1.21; 95% CI, 1.09-1.48). Results were similar for separate
evaluations of colon and rectal cancer.Conclusions: We observed no evidence of an
association between antidepressant use, overall or by therapeutic class, and
colorectal cancer risk.Impact: These results suggest that antidepressants may not
be useful as chemopreventive agents for colorectal cancer. Cancer Epidemiol
Biomarkers Prev; 27(8); 892-8. (c)2018 AACR.
PMID- 29789329
TI - New approaches to WHO financing: the key to better health.
PMID- 29789330
TI - Trudeau calls for inquiry after Canadian doctor is shot in Gaza.
PMID- 29789331
TI - Sugar in food: critics urge tougher regulation as industry fails to meet
reduction target.
PMID- 29789332
TI - Elevated Potassium Levels in Patients With Congestive Heart Failure: Occurrence,
Risk Factors, and Clinical Outcomes: A Danish Population-Based Cohort Study.
AB - BACKGROUND: Data on the true burden of hyperkalemia in patients with heart
failure (HF) in a real-world setting are limited. METHODS AND RESULTS: Incidence
rates of hyperkalemia (first blood test with a potassium level >5.0 mmol/L) in
primary or hospital care were assessed in a population-based cohort of patients
with incident HF diagnoses in northern Denmark from 2000 to 2012. Risk factors
and clinical outcomes were compared in patients with HF with versus without
hyperkalemia. Of 31 649 patients with HF, 39% experienced hyperkalemia (mean
follow-up, 2.2 years). Risks of experiencing a second, third, or fourth event
were 43%, 54%, and 60%, respectively. Among patients with HF with stage 3A, 3B,
4, or 5 kidney dysfunction, 26%, 35%, 44%, and 48% experienced hyperkalemia
within the first year. Important hyperkalemia risk factors included chronic
kidney disease (prevalence ratio, 1.46; 95% confidence interval [CI], 1.43-1.49),
diabetes mellitus (prevalence ratio, 1.38; 95% CI, 1.32-1.45), and spironolactone
use (prevalence ratio, 1.48; 95% CI, 1.42-1.54). In patients with HF who
developed hyperkalemia, 53% had any acute-care hospitalization 6 months before
the hyperkalemia event, increasing to 74% 6 months after hyperkalemia (before
after risk ratio, 1.41; 95% CI, 1.38-1.44). Compared with matched patients with
HF without hyperkalemia, adjusted 6-month hazard ratios in patients with
hyperkalemia were 2.75-fold (95% CI, 2.65-2.85) higher for acute-care
hospitalization and 3.39-fold (95% CI, 3.19-3.61) higher for death. CONCLUSIONS:
Almost 4 in 10 patients with HF develop hyperkalemia, and many patients have
recurrent hyperkalemia episodes. Hyperkalemia risk is strongly associated with
degree of reduced kidney function and use of spironolactone. Hyperkalemia is
associated with severe clinical outcomes and death in HF.
PMID- 29789333
TI - Hyperkalemia in Heart Failure: Probably Not O"K".
PMID- 29789334
TI - X-Ray Exposure in Cardiac Electrophysiology: A Retrospective Analysis in 8150
Patients Over 7 Years of Activity in a Modern, Large-Volume Laboratory.
AB - BACKGROUND: Only a few studies have systematically evaluated fluoroscopy data of
electrophysiological and device implantation procedures. Aims of this study were
to quantify ionizing radiation exposure for electrophysiological/device
implantation procedures in a large series of patients and to analyze the x-ray
exposure trend over years and radiation exposure in patients undergoing atrial
fibrillation ablation considering different technical aspects. METHODS AND
RESULTS: We performed a retrospective analysis of all electrophysiological/device
implantation procedures performed during the past 7 years in a modern, large
volume laboratory. We reported complete fluoroscopy data on 8150
electrophysiological/device implantation procedures (6095 electrophysiological
and 2055 device implantation procedures); for each type of procedure, effective
dose and lifetime attributable risk of cancer incidence and mortality were
calculated. Over the 7-year period, we observed a significant trend reduction in
fluoroscopy time, dose area product, and effective dose for all
electrophysiological procedures (P<0.001) and a not statistically significant
trend reduction for device implantation procedures. Analyzing 2416 atrial
fibrillation ablations, we observed a significant variability of fluoroscopy
time, dose area product and effective dose among 7 different experienced
operators (P<0.0001) and a significant reduction of fluoroscopy use over time
(P<0.0001) for all of them. Considering atrial fibrillation ablation techniques,
fluoroscopy time was not different (P = 0.74) for radiofrequency catheter
ablation in comparison with cryoablation, though cryoablation was still
associated with higher dose area product and effective dose values (P<0.001).
CONCLUSIONS: Electrophysiological procedures involve a nonnegligible x-ray use,
leading to an increased risk of malignancy. Awareness of radiation-related risk,
together with technological advances, can successfully optimize fluoroscopy use.
PMID- 29789335
TI - Prediction of Thrombotic and Bleeding Events After Percutaneous Coronary
Intervention: CREDO-Kyoto Thrombotic and Bleeding Risk Scores.
AB - BACKGROUND: Prediction of thrombotic and bleeding risk is important to optimize
antithrombotic therapy after percutaneous coronary intervention. METHODS AND
RESULTS: We developed the prediction rules for thrombotic and bleeding events
separately in Japanese patients. Derivation and validation cohorts consisted of
4778 patients from CREDO-Kyoto (Coronary Revascularization Demonstrating Outcome
Study in Kyoto) registry cohort 2 and 4669 patients from RESET (Randomized
Evaluation of Sirolimus-Eluting Versus Everolimus-Eluting Stent Trial) and NEXT
(Nobori Biolimus-Eluting Versus Xience/Promus Everolimus-Eluting Stent Trial).
Primary thrombotic and bleeding events were a composite of myocardial infarction,
definite or probable stent thrombosis or ischemic stroke, and GUSTO (Global
Utilization of Streptokinase and Tissue Plasminogen Activator for Occluded
Coronary Arteries) moderate or severe bleeding. The prediction rule for
thrombosis assigned 2 points for severe chronic kidney disease, atrial
fibrillation, peripheral vascular disease, and anemia and 1 point for age >=75
years, heart failure, diabetes mellitus, and chronic total occlusion. The
prediction rule for bleeding assigned 2 points for thrombocytopenia, severe
chronic kidney disease, peripheral vascular disease, and heart failure and 1
point for prior myocardial infarction, malignancy, and atrial fibrillation. In
derivation and validation cohorts, area under the curve was 0.68 and 0.64,
respectively, for thrombosis and 0.66 and 0.66, respectively, for bleeding. In
the validation cohort, a high thrombosis risk score (>=4, n=682) was associated
with higher 3-year incidence of thrombotic events than a score that was
intermediate (2-3, n=1178) or low (0-1, n=2809) (7.6%, 3.7%, versus 2.4%,
respectively; P<0.0001). A high bleeding risk score (>=3, n=666) was associated
with higher incidence of bleeding than scores that were intermediate (1-2,
n=1802) or low (0, n=2201) (8.8%, 4.1%, versus 2.3%, respectively; P<0.0001).
Among 682 patients at high thrombotic risk, only 39 (5.7%) had low bleeding risk,
whereas 401 (58.8%) had high bleeding risk with very high incidence of bleeding
(11.6%). CONCLUSIONS: CREDO-Kyoto thrombotic and bleeding risk scores
demonstrated modest accuracy in stratifying thrombotic and bleeding risks;
however, a large proportion of patients at high thrombotic risk also had high
bleeding risk.
PMID- 29789337
TI - Science and Culture: Artistic endeavors strive to save coral reefs.
PMID- 29789340
TI - Death is no longer just in the hands of god or fate, but often a decision.
PMID- 29789336
TI - Illness Identity: A Novel Predictor for Healthcare Use in Adults With Congenital
Heart Disease.
AB - BACKGROUND: To optimize healthcare use of adults with congenital heart disease,
all important predictors of healthcare utilization should be identified. Clinical
and psychological characteristics (eg, age and depression) have been found to be
associated with healthcare use. However, the concept of illness identity, which
assesses the degree to which congenital heart disease is integrated into one's
identity, has not yet been investigated in association with healthcare use.
Hence, the purpose of the study is to examine the predictive value of illness
identity for healthcare use. METHODS AND RESULTS: In this ambispective analytical
observational cohort study, 216 adults with congenital heart disease were
included. The self-reported Illness Identity Questionnaire was used to assess
illness identity states: engulfment, rejection, acceptance, and enrichment. After
1 year, self-reported healthcare use for congenital heart disease or other
reasons over the past 6 months was assessed including hospitalizations; visits to
general practitioner; visits to medical specialists; and emergency room visits.
Binary logistic and negative binomial regression analyses were conducted,
adjusting for age, sex, disease complexity, and depressive and anxious symptoms.
The more profoundly the heart defect dominated one's identity (ie, engulfment),
the more likely this person was to be hospitalized (odds ratio=3.76; 95%
confidence interval=1.43-9.86), to visit a medical specialist (odds ratio=2.32;
95% confidence interval=1.35-4.00) or a general practitioner (odds ratio=1.78;
95% confidence interval=1.01-3.17), because of their heart defect. CONCLUSIONS:
Illness identity, more specifically engulfment, has a unique predictive value for
the occurrence of healthcare encounters. This association deserves further
investigation, in which the directionality of effects and the contribution of
illness identity in terms of preventing inappropriate healthcare use should be
determined.
PMID- 29789338
TI - Inner Workings: Zebrafish assay forges new approach to drug discovery.
PMID- 29789343
TI - Even a $13 meal paid by pharma increases doctors' opioid prescribing, study
finds.
PMID- 29789341
TI - A novel CHCHD10 mutation implicates a Mia40-dependent mitochondrial import
deficit in ALS.
AB - CHCHD10 mutations are linked to amyotrophic lateral sclerosis, but their mode of
action is unclear. In a 29-year-old patient with rapid disease progression, we
discovered a novel mutation (Q108P) in a conserved residue within the coiled-coil
helix-coiled-coil-helix (CHCH) domain. The aggressive clinical phenotype prompted
us to probe its pathogenicity. Unlike the wild-type protein, mitochondrial import
of CHCHD10 Q108P was blocked nearly completely resulting in diffuse cytoplasmic
localization and reduced stability. Other CHCHD10 variants reported in patients
showed impaired mitochondrial import (C122R) or clustering within mitochondria
(especially G66V and E127K) often associated with reduced expression. Truncation
experiments suggest mitochondrial import of CHCHD10 is mediated by the CHCH
domain rather than the proposed N-terminal mitochondrial targeting signal.
Knockdown of Mia40, which introduces disulfide bonds into CHCH domain proteins,
blocked mitochondrial import of CHCHD10. Overexpression of Mia40 rescued
mitochondrial import of CHCHD10 Q108P by enhancing disulfide-bond formation.
Since reduction in CHCHD10 inhibits respiration, mutations in its CHCH domain may
cause aggressive disease by impairing mitochondrial import. Our data suggest
Mia40 upregulation as a potential therapeutic salvage pathway.
PMID- 29789342
TI - CLK2 blockade modulates alternative splicing compromising MYC-driven breast
tumors.
PMID- 29789344
TI - PGC-1alpha as a Biomarker of Physical Activity-Protective Effect on Colorectal
Cancer.
AB - Colorectal cancer is a significant public health concern. As a multistage and
multifactorial disease, environmental and genetic factors interact at each stage
of the process, and an individual's lifestyle also plays a relevant role. We set
out to review the scientific evidence to study the need to investigate the role
of the peroxisome proliferator-activated receptor gamma coactivator 1 alpha (PGC
1alpha) gene as a biomarker of the physical activity's (PA) effect on colorectal
cancer. PA is a protective factor against colorectal cancer and usually increases
the expression of PGC-1alpha This gene has pleiotropic roles and is the main
regulator of mitochondrial functions. The development of colorectal cancer has
been associated with mitochondrial dysfunction; in addition, alterations in this
organelle are associated with colorectal cancer risk factors, such as obesity,
decreased muscle mass, and the aging process. These are affected by PA acting,
among other aspects, on insulin sensitivity and oxygen reactive species/redox
balance. Therefore, this gene demands special attention in the understanding of
its operation in the consensual protective effect of PA in colorectal cancer. A
significant amount of indirect evidence points to PGC-1alpha as a potential
biomarker in the PA-protective effect on colorectal cancer. The article focuses
on the possible involvement of PGC-1alpha in the protective role that physical
activity has on colorectal cancer. This is an important topic both in relation to
advances in prevention of the development of this widespread disease and in its
therapeutic treatment. We hope to generate an initial hypothesis for future
studies associated with physical activity-related mechanisms that may be involved
in the development or prevention of colorectal cancer. PGC-1alpha is highlighted
because it is the main regulator of mitochondrial functions. This organelle, on
one hand, is positively stimulated by physical activity; on the other hand, its
dysfunction or reduction increases the probability of developing colorectal
cancer. Therefore, we consider the compilation of existing information about the
possible ways to understand the mechanisms of this gene to be highly relevant.
This study is based on evidence of PGC-1alpha and physical activity, on PGC
1alpha and colorectal cancer, on colorectal cancer and physical
activity/inactivity, and the absence of studies that have sought to relate all of
these variables. Cancer Prev Res; 11(9); 523-34. (c)2018 AACR.
PMID- 29789345
TI - The hidden costs of infertility treatment.
PMID- 29789346
TI - Maternal polycystic ovarian syndrome and offspring growth: the Upstate KIDS
Study.
AB - BACKGROUND: Polycystic ovarian syndrome (PCOS) is the most common cause of female
infertility and is associated with higher levels of circulating androgens.
Exposure to higher levels of androgens in utero may be a risk factor for obesity
among children of women with PCOS. METHODS: We examined whether maternal PCOS was
associated with differences in offspring growth and obesity in the Upstate KIDS
study, a prospective cohort study of infants born in New York State (excluding
New York City) oversampled for fertility treatments and multiple births.
Measurements of offspring length/height and weight were recorded at doctor's
visits through 3 years of age. PCOS diagnosis was self-reported by mothers at
baseline. We used linear mixed models with robust SEs to estimate differences in
growth by maternal PCOS exposure. We used logistic regression to examine whether
infants experienced rapid weight gain at 4, 9 and 12 months. Growth measures were
reported by 4098 mothers for 4949 children (1745 twins). Of these, 435 mothers
(10.6%) had a diagnosis of PCOS. RESULTS: Compared with children born to mothers
without PCOS, children of mothers with PCOS did not have significant differences
in weight (4.81 g, 95% CI -95.1 to 104.7), length/height (0.18 cm, 95% CI -0.16
to 0.52) and body mass index (-0.14 kg/m2, 95% CI -0.30 to 0.01) through 3 years
of age. We also observed no association between maternal PCOS and offspring rapid
weight gain. CONCLUSIONS: Overall, we found little evidence to suggest that
maternal PCOS influences early childhood growth in this large, prospective cohort
study.
PMID- 29789347
TI - Scaling of avian bipedal locomotion reveals independent effects of body mass and
leg posture on gait.
AB - Birds provide an interesting opportunity to study the relationships between body
size, limb morphology and bipedal locomotor function. Birds are ecologically
diverse and span a large range of body size and limb proportions, yet all use
their hindlimbs for bipedal terrestrial locomotion, for at least some part of
their life history. Here, we review the scaling of avian striding bipedal gaits
to explore how body mass and leg morphology influence walking and running. We
collate literature data from 21 species, spanning a 2500* range in body mass from
painted quail to ostriches. Using dynamic similarity theory to interpret scaling
trends, we find evidence for independent effects of body mass, leg length and leg
posture on gait. We find no evidence for scaling of duty factor with body size,
suggesting that vertical forces scale with dynamic similarity. However, at
dynamically similar speeds, large birds use relatively shorter stride lengths and
higher stride frequencies compared with small birds. We also find that birds with
long legs for their mass, such as the white stork and red-legged seriema, use
longer strides and lower swing frequencies, consistent with the influence of high
limb inertia on gait. We discuss the observed scaling of avian bipedal gait in
relation to mechanical demands for force, work and power relative to muscle
actuator capacity, muscle activation costs related to leg cycling frequency, and
considerations of stability and agility. Many opportunities remain for future
work to investigate how morphology influences gait dynamics among birds
specialized for different habitats and locomotor behaviors.
PMID- 29789348
TI - Gestational low-protein intake enhances whole-kidney miR-192 and miR-200 family
expression and epithelial-to-mesenchymal transition in rat adult male offspring.
AB - Studies have shown that adult offspring of mothers fed a protein-restricted diet
during pregnancy present a pronounced reduction of nephron number associated with
decreased fractional urinary sodium excretion and arterial hypertension.
Additionally, recent advances in our understanding of the molecular pathways that
govern the association of gestational nutritional restriction, intrauterine
growth retardation and inflammation with impaired nephrogenesis, nephron
underdosing and kidney fibrosis point to the epithelial to mesenchymal transition
(EMT) as a common factor. In the current study, protein and sodium urinary
excretion rates were evaluated in rats, and immunohistochemistry and western blot
techniques were used to characterize kidney structure changes in 16 week old male
offspring of mothers fed a low-protein diet during pregnancy (LP group) compared
with age-matched (NP) controls. We also verified the expression of miRNA, mRNA
and protein markers of fibrosis and the EMT in whole kidney prepared from LP
offspring. We found, surprisingly, that arterial hypertension and long-term
hyperfiltration, manifest by proteinuria, were associated with increased renal
miR-192 and miR-200 family expression in 16 week old LP relative to age-matched
NP rats. Measurement of kidney fibrosis and EMT-related protein markers, by
histochemistry and immunoblot techniques, showed a significant rise of TGF-beta1
and type-I collagen content in glomeruli and tubulointerstitial areas,
accompanied by enhanced fibronectin and ZEB1 and decreased E-cadherin
immunoreactivity in 16 week old LP offspring. The results were partially
confirmed by increased gene (mRNA) expression of collagen 1alpha1, collagen
1alpha2 and ZEB1 in LP whole kidneys compared with those of age-matched NP
offspring. In view of the presumed functional overload in the remaining nephrons,
we suggest that hypertension and proteinuria development following maternal
protein restriction may be a preponderant factor for EMT and structural kidney
changes in LP offspring. However, our study was not wholly able to establish the
precise role of miRNAs in LP kidney disorders. Thus, further studies will be
required to assess the contribution of the miR family to renal injury in a
gestational protein-restricted model of fetal programming.
PMID- 29789349
TI - Passive water collection with the integument: mechanisms and their biomimetic
potential.
AB - Several mechanisms of water acquisition have evolved in animals living in arid
habitats to cope with limited water supply. They enable access to water sources
such as rain, dew, thermally facilitated condensation on the skin, fog, or
moisture from a damp substrate. This Review describes how a significant number of
animals - in excess of 39 species from 24 genera - have acquired the ability to
passively collect water with their integument. This ability results from chemical
and structural properties of the integument, which, in each species, facilitate
one or more of six basic mechanisms: increased surface wettability, increased
spreading area, transport of water over relatively large distances, accumulation
and storage of collected water, condensation, and utilization of gravity. Details
are described for each basic mechanism. The potential for bio-inspired
improvement of technical applications has been demonstrated in many cases, in
particular for several wetting phenomena, fog collection and passive, directional
transport of liquids. Also considered here are potential applications in the
fields of water supply, lubrication, heat exchangers, microfluidics and hygiene
products. These present opportunities for innovations, not only in product
functionality, but also for fabrication processes, where resources and
environmental impact can be reduced.
PMID- 29789350
TI - Regulatory T Cells and Kidney Transplantation.
AB - The ability of the immune system to differentiate self from nonself is critical
in determining the immune response to antigens expressed on transplanted tissue.
Even with conventional immunosuppression, acceptance of the allograft is an
active process often determined by the presence of regulatory T cells (Tregs).
Tregs classically are CD4+ cells that constitutively express high levels of the
IL-2 receptor alpha chain CD25, along with the transcription factor Foxp3. The
use of Tregs in the field of solid organ transplantation is related specifically
to the objective of achieving tolerance, with the goal of reducing or eliminating
immunosuppressive drugs as well as maintaining tissue repair and managing acute
rejection. A key issue in clinical use of Tregs is how to effectively expand the
number of Tregs, either through increasing numbers of endogenous Tregs or by the
direct infusion of exogenously expanded Tregs. In order to realize the benefits
of Treg therapy in solid organ transplantation, a number of outstanding
challenges need to be overcome, including assuring an effective expansion of
Tregs, improving long-term Treg stability and reduction of risk-related to off
target, nonspecific, immunosuppressive effects related specially to cancer.
PMID- 29789351
TI - Development and validation of two clinical prediction models to inform clinical
decision-making for lumbar spinal fusion surgery for degenerative disorders and
rehabilitation following surgery: protocol for a prospective observational study.
AB - INTRODUCTION: Potential predictors of poor outcome will be measured at baseline:
(1) preoperatively to develop a clinical prediction model to predict which
patients are likely to have favourable outcome following lumbar spinal fusion
surgery (LSFS) and (2) postoperatively to predict which patients are likely to
have favourable long-term outcomes (to inform rehabilitation). METHODS AND
ANALYSIS: Prospective observational study with a defined episode inception of the
point of surgery. Electronic data will be collected through the British Spine
Registry and will include patient-reported outcome measures (eg, Fear-Avoidance
Beliefs Questionnaire) and data items (eg, smoking status). Consecutive patients
(>=18 years) undergoing LSFS for back and/or leg pain of degenerative cause will
be recruited. EXCLUSION CRITERIA: LSFS for spinal fracture, inflammatory disease,
malignancy, infection, deformity and revision surgery. 1000 participants will be
recruited (n=600 prediction model development, n=400 internal validation derived
model; planning 10 events per candidate prognostic factor). The outcome being
predicted is an individual's absolute risk of poor outcome (disability and pain)
at 6 weeks (objective 1) and 12 months postsurgery (objective 2). Disability and
pain will be measured using the Oswestry Disability Index (ODI), and severity of
pain in the previous week with a Numerical Rating Scale (NRS 0-10), respectively.
Good outcome is defined as a change of 1.7 on the NRS for pain, and a change of
14.3 on the ODI. Both linear and logistic (to dichotomise outcome into low and
high risk) multivariable regression models will be fitted and mean differences or
ORs for each candidate predictive factor reported. Internal validation of the
derived model will use a further set of British Spine Registry data. External
validation will be geographical using two spinal registries in The Netherlands
and Switzerland. ETHICS AND DISSEMINATION: Ethical approval (University of
Birmingham ERN_17-0446A). Dissemination through peer-reviewed journals and
conferences.
PMID- 29789354
TI - UK patients lose legal claim that DePuy metal-on-metal hip implant was
"defective".
PMID- 29789353
TI - The Power of Social Media in Medicine and Medical Education: Opportunities,
Risks, and Rewards.
PMID- 29789352
TI - Reporting quality of randomised controlled trial abstracts on age-related macular
degeneration health care: a cross-sectional quantification of the adherence to
CONSORT abstract reporting recommendations.
AB - OBJECTIVE: To assess the reporting quality of randomised controlled trial (RCT)
abstracts on age-related macular degeneration (AMD) healthcare, to evaluate the
adherence to the Consolidated Standards of Reporting Trials (CONSORT) statement's
recommendations on minimum abstract information and to identify journal
characteristics associated with abstract reporting quality. DESIGN: Cross
sectional evaluation of RCT abstracts on AMD healthcare. METHODS: A PubMed search
was implemented to identify RCT abstracts on AMD healthcare published in the
English language between January 2004 and December 2013. Data extraction was
performed by two parallel readers independently by means of a documentation
format in accordance with the 16 items of the CONSORT checklist for abstracts.
The total number of criteria fulfilled by an abstract was derived as primary
endpoint of the investigation; incidence rate ratios (IRRs) with unadjusted 95%
CI were estimated by means of multiple Poisson regression to identify journal and
article characteristics (publication year, multicentre design, structured
abstract recommendations, effective sample size, effective abstract word counts
and journal impact factor) possibly associated with the total number of fulfilled
items. STUDY CHARACTERISTICS: 136 of 673 identified abstracts (published in 36
different journals) fulfilled all eligibility criteria. RESULTS: The median
number of fulfilled items was 7 (95% CI 7 to 8). No abstract reported all 16
recommended items; the maximum total number was 14, the minimum 3 of 16 items.
Multivariate analysis only demonstrated the abstracts' word counts as being
significantly associated with a better reporting of abstracts (Poisson regression
based IRR 1.002, 95% CI 1.001 to 1.003). CONCLUSIONS: Reporting quality of RCT
abstracts on AMD investigations showed a considerable potential for improvement
to meet the CONSORT abstract reporting recommendations. Furthermore, word counts
of abstracts were identified as significantly associated with the overall
abstract reporting quality.
PMID- 29789355
TI - HDL functionality and cardiovascular outcome among nondialysis chronic kidney
disease patients.
AB - CVD remains the leading cause of morbidity and mortality in patients with chronic
kidney disease (CKD). CKD profoundly affects HDL composition and functionality,
but whether abnormal HDL independently contributes to cardiovascular events in
CKD patients remains elusive. In the present study, we assessed whether
compositional and functional properties of HDL predict cardiovascular outcome
among 526 nondialysis CKD patients who participate in the CARE FOR HOMe study. We
measured HDL cholesterol, the content of HDL-associated proinflammatory serum
amyloid A (SAA), and activities of the HDL enzymes paraoxonase and lipoprotein
associated phospholipase A2 (Lp-PLA2). In addition, we assessed the antioxidative
activity of apoB-depleted serum. During a mean follow-up of 5.1 +/- 2.1 years,
153 patients reached the predefined primary endpoint, a composite of
atherosclerotic cardiovascular events including cardiovascular mortality and
death of any cause. In univariate Cox regression analyses, lower HDL-cholesterol
levels, higher HDL-associated SAA content, and lower paraoxonase activity
predicted cardiovascular outcome, while Lp-PLA2 activity and antioxidative
capacity did not. HDL-cholesterol and HDL-paraoxonase activity lost their
association with cardiovascular outcome after adjustment for traditional
cardiovascular and renal risk factors, while SAA lost its association after
further adjustment for C-reactive protein. In conclusion, our data suggest that
neither HDL quantity nor HDL composition or function independently predict
cardiovascular outcome among nondialysis CKD patients.
PMID- 29789356
TI - Risk-adapted treatment of acute promyelocytic leukemia: results from the
International Consortium for Childhood APL.
AB - Pediatric acute promyelocytic leukemia (APL) can be cured with all-trans retinoic
acid (ATRA) and anthracycline. However, most published trials have employed high
cumulative doses of anthracyclines. Here, we report the outcome of newly
diagnosed APL patients enrolled in the International Consortium for Childhood APL
(ICC-APL-01) trial, which reduced anthracycline exposure but extended that of
ATRA. The study recruited 258 children/adolescents with
molecularly/cytogenetically proven APL. Patients were stratified into standard
risk (SR) and high-risk (HR) groups according to baseline white blood cell counts
(<10 * 109/L or >=10 * 109/L); both groups received identical induction treatment
with ATRA and 3 doses of idarubicin. Two or 3 blocks of consolidation therapy
were administered to SR and HR patients, respectively, while maintenance therapy
with low-dose chemotherapy and ATRA cycles was given to all patients for 2 years.
The cumulative dose of daunorubicin equivalent anthracyclines in SR and HR
patients was lower than that of previous studies (355 mg/m2 and 405 mg/m2,
respectively). Hematologic remission was obtained in 97% of patients; 8 children
died of intracranial hemorrhage in the first 2 weeks following diagnosis. Five
year overall and event-free survival for the whole cohort were 94.6% and 79.9%,
respectively; they were 98.4% and 89.4% in SR patients and 84.3% and 74.2% in HR
patients (P = .002 and P = .043, respectively). These data demonstrate that
extended use of ATRA coupled to a risk-adapted consolidation can achieve high
cure rates in childhood APL and limit anthracycline exposure. The trial was
registered at www.clinicaltrials.gov as EudractCT 2008-002311-40.
PMID- 29789358
TI - Divide and Conquer: High-Throughput Screening of Chlamydomonas Cell Cycle
Mutants.
PMID- 29789357
TI - Reactivation of gamma-globin in adult beta-YAC mice after ex vivo and in vivo
hematopoietic stem cell genome editing.
AB - Disorders involving beta-globin gene mutations, primarily beta-thalassemia and
sickle cell disease, represent a major target for hematopoietic stem/progenitor
cell (HSPC) gene therapy. This includes CRISPR/Cas9-mediated genome editing
approaches in adult CD34+ cells aimed toward the reactivation of fetal gamma
globin expression in red blood cells. Because models involving erythroid
differentiation of CD34+ cells have limitations in assessing gamma-globin
reactivation, we focused on human beta-globin locus-transgenic (beta-YAC) mice.
We used a helper-dependent human CD46-targeting adenovirus vector expressing
CRISPR/Cas9 (HDAd-HBG-CRISPR) to disrupt a repressor binding region within the
gamma-globin promoter. We transduced HSPCs from beta-YAC/human CD46-transgenic
mice ex vivo and subsequently transplanted them into irradiated recipients.
Furthermore, we used an in vivo HSPC transduction approach that involves HSPC
mobilization and the intravenous injection of HDAd-HBG-CRISPR into beta-YAC/CD46
transgenic mice. In both models, we demonstrated efficient target site
disruption, resulting in a pronounced switch from human beta- to gamma-globin
expression in red blood cells of adult mice that was maintained after secondary
transplantation of HSPCs. In long-term follow-up studies, we did not detect
hematological abnormalities, indicating that HBG promoter editing does not
negatively affect hematopoiesis. This is the first study that shows successful in
vivo HSPC genome editing by CRISPR/Cas9.
PMID- 29789359
TI - Conserved Responses in a War of Small Molecules between a Plant-Pathogenic
Bacterium and Fungi.
AB - Small-molecule signaling is one major mode of communication within the
polymicrobial consortium of soil and rhizosphere. While microbial secondary
metabolite (SM) production and responses of individual species have been studied
extensively, little is known about potentially conserved roles of SM signals in
multilayered symbiotic or antagonistic relationships. Here, we characterize the
SM-mediated interaction between the plant-pathogenic bacterium Ralstonia
solanacearum and the two plant-pathogenic fungi Fusarium fujikuroi and Botrytis
cinerea We show that cellular differentiation and SM biosynthesis in F. fujikuroi
are induced by the bacterially produced lipopeptide ralsolamycin (synonym
ralstonin A). In particular, fungal bikaverin production is induced and
preferentially accumulates in fungal survival spores (chlamydospores) only when
exposed to supernatants of ralsolamycin-producing strains of R. solanacearum
Although inactivation of bikaverin biosynthesis moderately increases
chlamydospore invasion by R. solanacearum, we show that other metabolites such as
beauvericin are also induced by ralsolamycin and contribute to suppression of R.
solanacearum growth in vitro Based on our findings that bikaverin antagonizes R.
solanacearum and that ralsolamycin induces bikaverin biosynthesis in F.
fujikuroi, we asked whether other bikaverin-producing fungi show similar
responses to ralsolamycin. Examining a strain of B. cinerea that horizontally
acquired the bikaverin gene cluster from Fusarium, we found that ralsolamycin
induced bikaverin biosynthesis in this fungus. Our results suggest that
conservation of microbial SM responses across distantly related fungi may arise
from horizontal transfer of protective gene clusters that are activated by
conserved regulatory cues, e.g., a bacterial lipopeptide, providing consistent
fitness advantages in dynamic polymicrobial networks.IMPORTANCE Bacteria and
fungi are ubiquitous neighbors in many environments, including the rhizosphere.
Many of these organisms are notorious as economically devastating plant
pathogens, but little is known about how they communicate chemically with each
other. Here, we uncover a conserved antagonistic communication between the
widespread bacterial wilt pathogen Ralstonia solanacearum and plant-pathogenic
fungi from disparate genera, Fusarium and Botrytis Exposure of Fusarium fujikuroi
to the bacterial lipopeptide ralsolamycin resulted in production of the
antibacterial metabolite bikaverin specifically in fungal tissues invaded by
Ralstonia Remarkably, ralsolamycin induction of bikaverin was conserved in a
Botrytis cinerea isolate carrying a horizontally transferred bikaverin gene
cluster. These results indicate that horizontally transferred gene clusters may
carry regulatory prompts that contribute to conserved fitness functions in
polymicrobial environments.
PMID- 29789361
TI - Breaching Pathogeographic Barriers by the Bat White-Nose Fungus.
AB - Bat white-nose syndrome has become associated with unparalleled mortality in bat
species across the United States since 2006. In a recent article, Drees and
colleagues (mBio 8:e01941-17, 2017, https://doi.org/10.1128/mBio.01941-17)
utilized both whole-genome sequencing and microsatellite data to explore the
origin and spread of the causative agent of bat white-nose syndrome,
Pseudogymnoascus destructans The research by Drees et al. supports the hypothesis
that P. destructans was introduced into North America from Europe, with molecular
dating suggesting a divergence from European isolates approximately 100 years
ago. The approaches described in this study are an important contribution toward
pinpointing the origins of this infection and underscore the need for more
rigorous international biosecurity in order to stem the tide of emerging fungal
pathogens.
PMID- 29789362
TI - Reply to Forni et al., "Multiple Selected Changes May Modulate the Molecular
Interaction between Laverania RH5 and Primate Basigin".
PMID- 29789360
TI - Bat Caliciviruses and Human Noroviruses Are Antigenically Similar and Have
Overlapping Histo-Blood Group Antigen Binding Profiles.
AB - Emerging zoonotic viral diseases remain a challenge to global public health.
Recent surveillance studies have implicated bats as potential reservoirs for a
number of viral pathogens, including coronaviruses and Ebola viruses.
Caliciviridae represent a major viral family contributing to emerging diseases in
both human and animal populations and have been recently identified in bats. In
this study, we blended metagenomics, phylogenetics, homology modeling, and in
vitro assays to characterize two novel bat calicivirus (BtCalV) capsid sequences,
corresponding to strain BtCalV/A10/USA/2009, identified in Perimyotis subflavus
near Little Orleans, MD, and bat norovirus. We observed that bat norovirus formed
virus-like particles and had epitopes and receptor-binding patterns similar to
those of human noroviruses. To determine whether these observations stretch
across multiple bat caliciviruses, we characterized a novel bat calicivirus,
BtCalV/A10/USA/2009. Phylogenetic analysis revealed that BtCalV/A10/USA/2009
likely represents a novel Caliciviridae genus and is most closely related to
"recoviruses." Homology modeling revealed that the capsid sequences of
BtCalV/A10/USA/2009 and bat norovirus resembled human norovirus capsid sequences
and retained host ligand binding within the receptor-binding domains similar to
that seen with human noroviruses. Both caliciviruses bound histo-blood group
antigens in patterns that overlapped those seen with human and animal
noroviruses. Taken together, our results indicate the potential for bat
caliciviruses to bind histo-blood group antigens and overcome a significant
barrier to cross-species transmission. Additionally, we have shown that bat
norovirus maintains antigenic epitopes similar to those seen with human
noroviruses, providing further evidence of evolutionary descent. Our results
reiterate the importance of surveillance of wild-animal populations, especially
of bats, for novel viral pathogens.IMPORTANCE Caliciviruses are rapidly evolving
viruses that cause pandemic outbreaks associated with significant morbidity and
mortality globally. The animal reservoirs for human caliciviruses are unknown;
bats represent critical reservoir species for several emerging and zoonotic
diseases. Recent reports have identified several bat caliciviruses but have not
characterized biological functions associated with disease risk, including their
potential emergence in other mammalian populations. In this report, we identified
a novel bat calicivirus that is most closely related to nonhuman primate
caliciviruses. Using this new bat calicivirus and a second norovirus-like bat
calicivirus capsid gene sequence, we generated virus-like particles that have
host carbohydrate ligand binding patterns similar to those of human and animal
noroviruses and that share antigens with human noroviruses. The similarities to
human noroviruses with respect to binding patterns and antigenic epitopes
illustrate the potential for bat caliciviruses to emerge in other species and the
importance of pathogen surveillance in wild-animal populations.
PMID- 29789363
TI - Role of Severe Acute Respiratory Syndrome Coronavirus Viroporins E, 3a, and 8a in
Replication and Pathogenesis.
AB - Viroporins are viral proteins with ion channel (IC) activity that play an
important role in several processes, including virus replication and
pathogenesis. While many coronaviruses (CoVs) encode two viroporins, severe acute
respiratory syndrome CoV (SARS-CoV) encodes three: proteins 3a, E, and 8a.
Additionally, proteins 3a and E have a PDZ-binding motif (PBM), which can
potentially bind over 400 cellular proteins which contain a PDZ domain, making
them potentially important for the control of cell function. In the present work,
a comparative study of the functional motifs included within the SARS-CoV
viroporins was performed, mostly focusing on the roles of the IC and PBM of E and
3a proteins. Our results showed that the full-length E and 3a proteins were
required for maximal SARS-CoV replication and virulence, whereas viroporin 8a had
only a minor impact on these activities. A virus missing both the E and 3a
proteins was not viable, whereas the presence of either protein with a functional
PBM restored virus viability. E protein IC activity and the presence of its PBM
were necessary for virulence in mice. In contrast, the presence or absence of the
homologous motifs in protein 3a did not influence virus pathogenicity. Therefore,
dominance of the IC and PBM of protein E over those of protein 3a was
demonstrated in the induction of pathogenesis in mice.IMPORTANCE Collectively,
these results demonstrate key roles for the ion channel and PBM domains in
optimal virus replication and pathogenesis and suggest that the viral viroporins
and PBMs are suitable targets for antiviral therapy and for mutation in
attenuated SARS-CoV vaccines.
PMID- 29789365
TI - Structural Alteration of Gut Microbiota during the Amelioration of Human Type 2
Diabetes with Hyperlipidemia by Metformin and a Traditional Chinese Herbal
Formula: a Multicenter, Randomized, Open Label Clinical Trial.
AB - Accumulating evidence implicates gut microbiota as promising targets for the
treatment of type 2 diabetes mellitus (T2DM). With a randomized clinical trial,
we tested the hypothesis that alteration of gut microbiota may be involved in the
alleviation of T2DM with hyperlipidemia by metformin and a specifically designed
herbal formula (AMC). Four hundred fifty patients with T2DM and hyperlipidemia
were randomly assigned to either the metformin- or AMC-treated group. After 12
weeks of treatment, 100 patients were randomly selected from each group and
assessed for clinical improvement. The effects of the two drugs on the intestinal
microbiota were evaluated by analyzing the V3 and V4 regions of the 16S rRNA gene
by Illumina sequencing and multivariate statistical methods. Both metformin and
AMC significantly alleviated hyperglycemia and hyperlipidemia and shifted gut
microbiota structure in diabetic patients. They significantly increased a
coabundant group represented by Blautia spp., which significantly correlated with
the improvements in glucose and lipid homeostasis. However, AMC showed better
efficacies in improving homeostasis model assessment of insulin resistance (HOMA
IR) and plasma triglyceride and also exerted a larger effect on gut microbiota.
Furthermore, only AMC increased the coabundant group represented by
Faecalibacterium spp., which was previously reported to be associated with the
alleviation of T2DM in a randomized clinical trial. Metformin and the Chinese
herbal formula may ameliorate type 2 diabetes with hyperlipidemia via enriching
beneficial bacteria, such as Blautia and Faecalibacterium spp.IMPORTANCE
Metabolic diseases such as T2DM and obesity have become a worldwide public health
threat. Accumulating evidence indicates that gut microbiota can causatively
arouse metabolic diseases, and thus the gut microbiota serves as a promising
target for disease control. In this study, we evaluated the role of gut
microbiota during improvements in hyperglycemia and hyperlipidemia by two drugs:
metformin and a specifically designed Chinese herbal formula (AMC) for diabetic
patients with hyperlipidemia. Both drugs significantly ameliorated blood glucose
and lipid levels and shifted the gut microbiota. Blautia spp. were identified as
being associated with improvements in glucose and lipid homeostasis for both
drugs. AMC exerted larger effects on the gut microbiota together with better
efficacies in improving HOMA-IR and plasma triglyceride levels, which were
associated with the enrichment of Faecalibacterium spp. In brief, these data
suggest that gut microbiota might be involved in the alleviation of diabetes with
hyperlipidemia by metformin and the AMC herbal formula.
PMID- 29789364
TI - Bacterial Quorum Sensing and Microbial Community Interactions.
AB - Many bacteria use a cell-cell communication system called quorum sensing to
coordinate population density-dependent changes in behavior. Quorum sensing
involves production of and response to diffusible or secreted signals, which can
vary substantially across different types of bacteria. In many species, quorum
sensing modulates virulence functions and is important for pathogenesis. Over the
past half-century, there has been a significant accumulation of knowledge of the
molecular mechanisms, signal structures, gene regulons, and behavioral responses
associated with quorum-sensing systems in diverse bacteria. More recent studies
have focused on understanding quorum sensing in the context of bacterial
sociality. Studies of the role of quorum sensing in cooperative and competitive
microbial interactions have revealed how quorum sensing coordinates interactions
both within a species and between species. Such studies of quorum sensing as a
social behavior have relied on the development of "synthetic ecological" models
that use nonclonal bacterial populations. In this review, we discuss some of
these models and recent advances in understanding how microbes might interact
with one another using quorum sensing. The knowledge gained from these lines of
investigation has the potential to guide studies of microbial sociality in
natural settings and the design of new medicines and therapies to treat bacterial
infections.
PMID- 29789367
TI - Multiple Selected Changes May Modulate the Molecular Interaction between
Laverania RH5 and Primate Basigin.
PMID- 29789368
TI - The Case for an Expanded Concept of Trained Immunity.
AB - Trained immunity was originally proposed as a program of innate immunity memory
by innate immunity cells of hematopoietic origin such as the
monocytes/macrophages and the NK cells. Here I discuss some old and new data
justifying this program and some specific, still unanswered, questions it raises
regarding the model fungus Candida albicans and the chronic, inflammatory
vulvovaginal disease it causes. Building upon this well-established program, the
recent reports that epithelial cells of mammals can also acquire memory from
previous stimulations, and the apparent intrinsic ability of many living cells
from bacteria to mammals to learn from experience, I suggest an expansion of the
concept of trained immunity to include all cells of different lineages with the
potential of memorizing previous microbial encounters. This expansion would
better fit the complexity of innate immunity and the role it plays in infectious
and inflammatory diseases.
PMID- 29789366
TI - Loss of Upc2p-Inducible ERG3 Transcription Is Sufficient To Confer Niche-Specific
Azole Resistance without Compromising Candida albicans Pathogenicity.
AB - Inactivation of sterol Delta5,6-desaturase (Erg3p) in the prevalent fungal
pathogen Candida albicans is one of several mechanisms that can confer resistance
to the azole antifungal drugs. However, loss of Erg3p activity is also associated
with deficiencies in stress tolerance, invasive hyphal growth, and attenuated
virulence in a mouse model of disseminated infection. This may explain why
relatively few erg3-deficient strains have been reported among azole-resistant
clinical isolates. In this study, we examined the consequences of Erg3p
inactivation upon C. albicans pathogenicity and azole susceptibility in mouse
models of mucosal and disseminated infection. While a C. albicanserg3Delta/Delta
mutant was unable to cause lethality in the disseminated model, it induced
pathology in a mouse model of vaginal infection. The erg3Delta/Delta mutant was
also more resistant to fluconazole treatment than the wild type in both models of
infection. Thus, complete loss of Erg3p activity confers azole resistance but
also niche-specific virulence deficiencies. Serendipitously, we discovered that
loss of azole-inducible ERG3 transcription (rather than complete inactivation) is
sufficient to confer in vitro fluconazole resistance, without compromising C.
albicans stress tolerance, hyphal growth, or pathogenicity in either mouse model.
It is also sufficient to confer fluconazole resistance in the mouse vaginal
model, but not in the disseminated model of infection, and thus confers niche
specific azole resistance without compromising C. albicans pathogenicity at
either site. Collectively, these results establish that modulating Erg3p
expression or activity can have niche-specific consequences on both C. albicans
pathogenicity and azole resistance.IMPORTANCE While conferring resistance to the
azole antifungals in vitro, loss of sterol Delta5,6-desaturase (Erg3p) activity
has also been shown to reduce C. albicans pathogenicity. Accordingly, it has been
presumed that this mechanism may not be significant in the clinical setting. The
results presented here challenge this assumption, revealing a more complex
relationship between Erg3p activity, azole resistance, C. albicans pathogenicity,
and the specific site of infection. Most importantly, we have shown that even
modest changes in ERG3 transcription are sufficient to confer azole resistance
without compromising C. albicans fitness or pathogenicity. Given that previous
efforts to assess the importance of ERG3 as a determinant of clinical azole
resistance have focused almost exclusively on detecting null mutants, its role
may have been grossly underestimated. On the basis of our results, a more
thorough investigation of the contribution of the ERG3 gene to azole resistance
in the clinical setting is warranted.
PMID- 29789369
TI - Wolbachia wStri Blocks Zika Virus Growth at Two Independent Stages of Viral
Replication.
AB - Mosquito-transmitted viruses are spread globally and present a great risk to
human health. Among the many approaches investigated to limit the diseases caused
by these viruses are attempts to make mosquitos resistant to virus infection.
Coinfection of mosquitos with the bacterium Wolbachia pipientis from supergroup A
is a recent strategy employed to reduce the capacity for major vectors in the
Aedes mosquito genus to transmit viruses, including dengue virus (DENV),
Chikungunya virus (CHIKV), and Zika virus (ZIKV). Recently, a supergroup B
Wolbachia wStri, isolated from Laodelphax striatellus, was shown to inhibit
multiple lineages of ZIKV in Aedes albopictus cells. Here, we show that wStri
blocks the growth of positive-sense RNA viruses DENV, CHIKV, ZIKV, and yellow
fever virus by greater than 99.9%. wStri presence did not affect the growth of
the negative-sense RNA viruses LaCrosse virus or vesicular stomatitis virus.
Investigation of the stages of the ZIKV life cycle inhibited by wStri identified
two distinct blocks in viral replication. We found a reduction of ZIKV entry into
wStri-infected cells. This was partially rescued by the addition of a cholesterol
lipid supplement. Independent of entry, transfected viral genome was unable to
replicate in Wolbachia-infected cells. RNA transfection and metabolic labeling
studies suggested that this replication defect is at the level of RNA
translation, where we saw a 66% reduction in mosquito protein synthesis in wStri
infected cells. This study's findings increase the potential for application of
wStri to block additional arboviruses and also identify specific blocks in viral
infection caused by Wolbachia coinfection.IMPORTANCE Dengue, Zika, and yellow
fever viruses are mosquito-transmitted diseases that have spread throughout the
world, causing millions of infections and thousands of deaths each year. Existing
programs that seek to contain these diseases through elimination of the mosquito
population have so far failed, making it crucial to explore new ways of limiting
the spread of these viruses. Here, we show that introduction of an insect
symbiont, Wolbachia wStri, into mosquito cells is highly effective at reducing
yellow fever virus, dengue virus, Zika virus, and Chikungunya virus production.
Reduction of virus replication was attributable to decreases in entry and a
strong block of virus gene expression at the translational level. These findings
expand the potential use of Wolbachia wStri to block viruses and identify two
separate steps for limiting virus replication in mosquitos that could be targeted
via microbes or other means as an antiviral strategy.
PMID- 29789370
TI - A Single-Domain Response Regulator Functions as an Integrating Hub To Coordinate
General Stress Response and Development in Alphaproteobacteria.
AB - The alphaproteobacterial general stress response is governed by a conserved
partner-switching mechanism that is triggered by phosphorylation of the response
regulator PhyR. In the model organism Caulobacter crescentus, PhyR was proposed
to be phosphorylated by the histidine kinase PhyK, but biochemical evidence in
support of such a role of PhyK is missing. Here, we identify a single-domain
response regulator, MrrA, that is essential for general stress response
activation in C. crescentus We demonstrate that PhyK does not function as a
kinase but accepts phosphoryl groups from MrrA and passes them on to PhyR,
adopting the role of a histidine phosphotransferase. MrrA is phosphorylated by at
least six histidine kinases that likely serve as stress sensors. MrrA also
transfers phosphate to LovK, a histidine kinase involved in C. crescentus
holdfast production and attachment, which also negatively regulates the general
stress response. We show that LovK together with the response regulator LovR acts
as a phosphate sink to redirect phosphate flux away from the PhyKR branch. In
agreement with the biochemical data, an mrrA mutant is unable to activate the
general stress response and shows a hyperattachment phenotype, which is linked to
decreased expression of the major holdfast inhibitory protein HfiA. We propose
that MrrA serves as a central phosphorylation hub that coordinates the general
stress response with C. crescentus development and other adaptive behaviors. The
characteristic bow-tie architecture of this phosphorylation network with MrrA as
the central knot may expedite the evolvability and species-specific niche
adaptation of this group of bacteria.IMPORTANCE Two-component systems (TCSs)
consisting of a histidine kinase and a cognate response regulator are predominant
signal transduction systems in bacteria. To avoid cross talk, TCSs are generally
thought to be highly insulated from each other. However, this notion is based
largely on studies of the HisKA subfamily of histidine kinases, while little
information is available for the HWE and HisKA2 subfamilies. The latter have been
implicated in the alphaproteobacterial general stress response. Here, we show
that in the model organism Caulobacter crescentus an atypical FATGUY-type single
domain response regulator, MrrA, is highly promiscuous in accepting and
transferring phosphoryl groups from and to multiple up- and downstream kinases,
challenging the current view of strictly insulated TCSs. Instead, we propose that
FATGUY response regulators have evolved in alphaproteobacteria as central
phosphorylation hubs to broadly sample information and distribute phosphoryl
groups between the general stress response pathway and other TCSs, thereby
coordinating multiple cellular behaviors.
PMID- 29789372
TI - Atraumatic (pencil-point) versus conventional needles for lumbar puncture: a
clinical practice guideline.
PMID- 29789371
TI - Identification of new risk factors for rolandic epilepsy: CNV at Xp22.31 and
alterations at cholinergic synapses.
AB - BACKGROUND: Rolandic epilepsy (RE) is the most common genetic childhood epilepsy,
consisting of focal, nocturnal seizures and frequent neurodevelopmental
impairments in speech, language, literacy and attention. A complex genetic
aetiology is presumed in most, with monogenic mutations in GRIN2A accounting for
>5% of cases. OBJECTIVE: To identify rare, causal CNV in patients with RE.
METHODS: We used high-density SNP arrays to analyse the presence of rare CNVs in
186 patients with RE from the UK, the USA, Sardinia, Argentina and Kerala, India.
RESULTS: We identified 84 patients with one or more rare CNVs, and, within this
group, 14 (7.5%) with recurrent risk factor CNVs and 15 (8.0%) with likely
pathogenic CNVs. Nine patients carried recurrent hotspot CNVs including at
16p13.11 and 1p36, with the most striking finding that four individuals (three
from Sardinia) carried a duplication, and one a deletion, at Xp22.31. Five
patients with RE carried a rare CNV that disrupted genes associated with other
epilepsies (KCTD7, ARHGEF15, CACNA2D1, GRIN2A and ARHGEF4), and 17 cases carried
CNVs that disrupted genes associated with other neurological conditions or that
are involved in neuronal signalling/development. Network analysis of disrupted
genes with high brain expression identified significant enrichment in pathways of
the cholinergic synapse, guanine-exchange factor activation and the mammalian
target of rapamycin. CONCLUSION: Our results provide a CNV profile of an
ethnically diverse cohort of patients with RE, uncovering new areas of research
focus, and emphasise the importance of studying non-western European populations
in oligogenic disorders to uncover a full picture of risk variation.
PMID- 29789373
TI - Voltage-Gated Calcium Influx Modifies Cholinergic Inhibition of Inner Hair Cells
in the Immature Rat Cochlea.
AB - Until postnatal day (P) 12, inner hair cells of the rat cochlea are invested with
both afferent and efferent synaptic connections. With the onset of hearing at
P12, the efferent synapses disappear, and afferent (ribbon) synapses operate with
greater efficiency. This change coincides with increased expression of voltage
gated potassium channels, the loss of calcium-dependent electrogenesis, and the
onset of graded receptor potentials driven by sound. The transient efferent
synapses include near-membrane postsynaptic cisterns thought to regulate calcium
influx through the hair cell's alpha9-containing and alpha10-containing nicotinic
acetylcholine receptors. This influx activates small-conductance Ca2+-activated
K+ (SK) channels. Serial-section electron microscopy of inner hair cells from two
9-d-old (male) rat pups revealed many postsynaptic efferent cisterns and
presynaptic afferent ribbons whose average minimal separation in five cells
ranged from 1.1 to 1.7 MUm. Efferent synaptic function was studied in rat pups
(age, 7-9 d) of either sex. The duration of these SK channel-mediated IPSCs was
increased by enhanced calcium influx through L-type voltage-gated channels,
combined with ryanodine-sensitive release from internal stores-presumably the
near-membrane postsynaptic cistern. These data support the possibility that inner
hair cell calcium electrogenesis modulates the efficacy of efferent inhibition
during the maturation of inner hair cell synapses.SIGNIFICANCE STATEMENT Strict
calcium buffering is essential for cellular function. This problem is especially
acute for compact hair cells where increasing cytoplasmic calcium promotes the
opposing functions of closely adjoining afferent and efferent synapses. The near
membrane postsynaptic cistern at efferent synapses segregates synaptic calcium
signals by acting as a dynamic calcium store. The hair cell serves as an
informative model for synapses with postsynaptic cisterns (C synapses) found in
central neurons.
PMID- 29789374
TI - Identification and Characterization of a Novel Spontaneously Active Bursty
GABAergic Interneuron in the Mouse Striatum.
AB - The recent availability of different transgenic mouse lines coupled with other
modern molecular techniques has led to the discovery of an unexpectedly large
cellular diversity and synaptic specificity in striatal interneuronal circuitry.
Prior research has described three spontaneously active interneuron types in
mouse striatal slices: the cholinergic interneuron, the neuropeptide Y-low
threshold spike interneuron, and the tyrosine hydroxylase interneurons (THINs).
Using transgenic Htr3a-Cre mice, we now characterize a fourth population of
spontaneously active striatal GABAergic interneurons termed spontaneously active
bursty interneurons (SABIs) because of their unique burst-firing pattern in cell
attached recordings. Although they bear some qualitative similarity in intrinsic
electrophysiological properties to THINs in whole-cell recordings, detailed
analysis revealed significant differences in many intrinsic properties and in
their morphology. Furthermore, all previously identified striatal GABAergic
interneurons have been shown to innervate striatal spiny projection neurons
(SPNs), contributing to the suggestion that the principal function of striatal
GABAergic interneurons is to provide feedforward inhibition to SPNs. Here, very
surprisingly, paired recordings show that SABIs do not innervate SPNs
significantly. Further, optogenetic inhibition of striatal Htr3a-Cre interneurons
triggers barrages of IPSCs in SPNs. We hypothesize that these IPSCs result from
disinhibition of a population of GABAergic interneurons with activity that is
constitutively suppressed by the SABIs. We suggest that the SABIs represent the
first example of a striatal interneuron-selective interneuron and, further, that
their existence, along with previously defined interneuronal networks, may
participate in the formation of SPN ensembles observed by others.SIGNIFICANCE
STATEMENT Before ~2010, the main function of the three known subtypes of striatal
GABAergic interneurons was assumed to mediate feedforward inhibition of the spiny
neurons (SPNs). During the past decade, we and others have described several
novel populations of striatal GABAergic interneurons and their synaptic
connections and have shown that striatal interneurons and SPNs interact through
extensive and highly cell-type-specific connections that form specialized
networks. Here, we describe a novel population of striatal GABAergic interneuron
and provide several lines of evidence suggesting that it represents the first
interneuron-selective interneuron in striatum. Striatal interneurons and their
synaptic connections are suggested to play an important role in the formation of
ensembles of striatal SPNs interconnected by inhibitory axon collaterals.
PMID- 29789375
TI - Neurotrophin Responsiveness of Sympathetic Neurons Is Regulated by Rapid
Mobilization of the p75 Receptor to the Cell Surface through TrkA Activation of
Arf6.
AB - The p75 neurotrophin receptor (p75NTR) plays an integral role in patterning the
sympathetic nervous system during development. Initially, p75NTR is expressed at
low levels as sympathetic axons project toward their targets, which enables
neurotrophin-3 (NT3) to activate TrkA receptors and promote growth. Upon reaching
nerve growth factor (NGF) producing tissues, p75NTR is upregulated, resulting in
formation of TrkA-p75 complexes, which are high-affinity binding sites selective
for NGF, thereby blunting NT3 signaling. The level of p75NTR expressed on the
neuron surface is instrumental in regulating trophic factor response; however,
the mechanisms by which p75NTR expression is regulated are poorly understood.
Here, we demonstrate a rapid, translation independent increase in surface
expression of p75NTR in response to NGF in rat sympathetic neurons. p75NTR was
mobilized to the neuron surface from GGA3-postitive vesicles through activation
of the GTPase Arf6, which was stimulated by NGF, but not NT3 binding to TrkA.
Arf6 activation required PI3 kinase activity and was prevented by an inhibitor of
the cytohesin family of Arf6 guanine nucleotide exchange factors. Overexpression
of a constitutively active Arf6 mutant (Q67L) was sufficient to significantly
increase surface expression of p75NTR even in the absence of NGF. Functionally,
expression of active Arf6 markedly attenuated the ability of NT3 to promote
neuronal survival and neurite outgrowth, whereas the NGF response was unaltered.
These data suggest that NGF activation of Arf6 through TrkA is critical for the
increase in p75NTR surface expression that enables the switch in neurotrophin
responsiveness during development in the sympathetic nervous system.SIGNIFICANCE
STATEMENT p75NTR is instrumental in the regulation of neuronal survival and
apoptosis during development and is also implicated as a contributor to aberrant
neurodegeneration in numerous conditions. Therefore, a better understanding of
the mechanisms that mediate p75NTR surface availability may provide insight into
how and why neurodegenerative processes manifest and reveal new therapeutic
targets. Results from this study indicate a novel mechanism by which p75NTR can
be rapidly shuttled to the cell surface from existing intracellular pools and
explores a unique pathway by which NGF regulates the sympathetic innervation of
target tissues, which has profound consequences for the function of these organs.
PMID- 29789377
TI - Polyamine Modulation of Anticonvulsant Drug Response: A Potential Mechanism
Contributing to Pharmacoresistance in Chronic Epilepsy.
AB - Despite the development of numerous novel anticonvulsant drugs, ~30% of epilepsy
patients remain refractory to antiepileptic drugs (AEDs). Many established and
novel AEDs reduce hyperexcitability via voltage- and use-dependent inhibition of
voltage-gated Na+ channels. For the widely used anticonvulsant carbamazepine
(CBZ), use-dependent block of Na+ channels is significantly reduced both in
experimental and human epilepsy. However, the molecular underpinnings of this
potential cellular mechanism for pharmacoresistance have remained enigmatic.Here,
we describe the mechanism that leads to the emergence of CBZ-resistant Na+
channels. We focused on the endogenous polyamine system, which powerfully
modulates Na+ channels in a use-dependent manner. We had shown previously that
the intracellular polyamine spermine is reduced in chronic epilepsy, resulting in
increased persistent Na+ currents. Because spermine and CBZ both bind use
dependently in spatial proximity within the Na+ channel pore, we hypothesized
that spermine loss might also be related to diminished CBZ response. Using the
pilocarpine model of refractory epilepsy in male rats and whole-cell patch-clamp
recordings, we first replicated the reduction of use-dependent block by CBZ in
chronically epileptic animals. We then substituted intracellular spermine via the
patch pipette in different concentrations. Under these conditions, we found that
exogenous spermine significantly rescues use-dependent block of Na+ channels by
CBZ. These findings indicate that an unexpected modulatory mechanism, depletion
of intracellular polyamines, leads both to increased persistent Na+ currents and
to diminished CBZ sensitivity of Na+ channels. These findings could lead to novel
strategies for overcoming pharmacoresistant epilepsy that target the polyamine
system.SIGNIFICANCE STATEMENT Pharmacoresistant epilepsy affects ~18 million
people worldwide, and intense efforts have therefore been undertaken to uncover
the underlying molecular and cellular mechanisms. One of the key known candidate
mechanisms of pharmacoresistance has been a loss of use-dependent Na+ channel
block by the anticonvulsant carbamazepine (CBZ), both in human and experimental
epilepsies. Despite intense scrutiny, the molecular mechanisms underlying this
phenomenon have not been elucidated. We now show that a loss of intracellular
spermine in chronic epilepsy is a major causative factor leading to the
development of CBZ-resistant Na+ currents. This finding can be exploited both for
the screening of anticonvulsants in expression systems, and for novel strategies
to overcome pharmacoresistance that target the polyamine system.
PMID- 29789376
TI - Optogenetic Activation of Colon Epithelium of the Mouse Produces High-Frequency
Bursting in Extrinsic Colon Afferents and Engages Visceromotor Responses.
AB - Epithelial cells of the colon provide a vital interface between the internal
environment (lumen of the colon) and colon parenchyma. To examine epithelial
neuronal signaling at this interface, we analyzed mice in which channelrhodopsin
(ChR2) was targeted to either TRPV1-positive afferents or to villin-expressing
colon epithelial cells. Expression of a ChR2-EYFP fusion protein was directed to
either primary sensory neurons or to colon epithelial cells by crossing Ai32 mice
with TRPV1-Cre or villin-Cre mice, respectively. An ex vivo preparation of the
colon was used for single-fiber analysis of colon sensory afferents of the pelvic
nerve. Afferents were characterized using previously described criteria as
mucosal, muscular, muscular-mucosal, or serosal and then tested for blue light
induced activation. Light activation of colon epithelial cells produced robust
firing of action potentials, similar to that elicited by physiologic stimulation
(e.g., circumferential stretch), in 50.5% of colon afferents of mice homozygous
for ChR2 expression. Light-induced activity could be reduced or abolished in most
fibers using a cocktail of purinergic receptor blockers suggesting ATP release by
the epithelium contributed to generation of sensory neuron action potentials.
Using electromyographic recording of visceromotor responses we found that light
stimulation of the colon epithelium evoked behavioral responses in Vil-ChR2 mice
that was similar to that seen with balloon distension of the colon. These ex vivo
and in vivo data indicate that light stimulation of colon epithelial cells alone,
without added mechanical or chemical stimuli, can directly activate colon
afferents and elicit behavioral responses.SIGNIFICANCE STATEMENT Abdominal pain
that accompanies inflammatory diseases of the bowel is particularly vexing
because it can occur without obvious changes in the structure or inflammatory
condition of the colon. Pain reflects abnormal sensory neuron activity that may
be controlled in part by release of substances from lining epithelial cells. In
support of this mechanism we determined that blue-light stimulation of
channelrhodopsin-expressing colon epithelial cells could evoke action potential
firing in sensory neurons and produce changes in measures of behavioral
sensitivity. Thus, activity of colon epithelial cells alone, without added
mechanical or chemical stimuli, is sufficient to activate pain-sensing neurons.
PMID- 29789380
TI - Metaplasticity in the Visual Cortex: Crosstalk Between Visual Experience and
Reactive Oxygen Species.
AB - Metaplasticity is the regulation of synaptic plasticity based on the history of
previous synaptic activation. This concept was formulated after observing that
synaptic changes in the visual cortex are not fixed, but dynamic and dependent on
the history of visual information flux. In visual cortical neurons, sustained
synaptic stimulation activate the enzymatic complex NOX2, resulting in the
generation of reactive oxygen species (ROS). NOX2 is the main molecular structure
responsible for translating neural activity into redox modulation of
intracellular signaling pathways involved in plastic changes. Here, we studied
the interaction between NOX2 and visual experience as metaplastic factors
regulating synaptic plasticity at the supergranular layers of the mouse visual
cortex. We found that genetic inhibition of NOX2 reverses the polarizing effects
of dark rearing from LTP to LTD. In addition, we demonstrate that this process
relies on changes in the NMDA receptor functioning. Altogether, this work
indicates a role of ROS in the activity-dependent regulation of cortical synaptic
plasticity.SIGNIFICANCE STATEMENT Synaptic plasticity in the visual cortex is
modulated by the history of sensory experience and this modulation has been
defined as metaplasticity. Dark rearing facilitates synaptic potentiation as a
mechanism optimizing the range of synaptic modification. This process requires
the production of reactive oxygen species mediated by the enzymatic complex NOX2.
If the activity of NOX2 is inhibited, then visual deprivation results in synaptic
depression. These findings increase our knowledge about metaplasticity and help
in our understanding of how neural activity modulates cellular mechanisms of
synaptic change.
PMID- 29789378
TI - Subthalamic Nucleus Neurons Differentially Encode Early and Late Aspects of
Speech Production.
AB - Basal ganglia-thalamocortical loops mediate all motor behavior, yet little detail
is known about the role of basal ganglia nuclei in speech production. Using
intracranial recording during deep brain stimulation surgery in humans with
Parkinson's disease, we tested the hypothesis that the firing rate of subthalamic
nucleus neurons is modulated in sync with motor execution aspects of speech.
Nearly half of 79 unit recordings exhibited firing-rate modulation during a
syllable reading task across 12 subjects (male and female). Trial-to-trial timing
of changes in subthalamic neuronal activity, relative to cue onset versus
production onset, revealed that locking to cue presentation was associated more
with units that decreased firing rate, whereas locking to speech onset was
associated more with units that increased firing rate. These unique data indicate
that subthalamic activity is dynamic during the production of speech, reflecting
temporally-dependent inhibition and excitation of separate populations of
subthalamic neurons.SIGNIFICANCE STATEMENT The basal ganglia are widely assumed
to participate in speech production, yet no prior studies have reported detailed
examination of speech-related activity in basal ganglia nuclei. Using
microelectrode recordings from the subthalamic nucleus during a single-syllable
reading task, in awake humans undergoing deep brain stimulation implantation
surgery, we show that the firing rate of subthalamic nucleus neurons is modulated
in response to motor execution aspects of speech. These results are the first to
establish a role for subthalamic nucleus neurons in encoding of aspects of speech
production, and they lay the groundwork for launching a modern subfield to
explore basal ganglia function in human speech.
PMID- 29789379
TI - Correlated Gene Expression and Anatomical Communication Support Synchronized
Brain Activity in the Mouse Functional Connectome.
AB - Cognition and behavior depend on synchronized intrinsic brain activity that is
organized into functional networks across the brain. Research has investigated
how anatomical connectivity both shapes and is shaped by these networks, but not
how anatomical connectivity interacts with intra-areal molecular properties to
drive functional connectivity. Here, we present a novel linear model to explain
functional connectivity by integrating systematically obtained measurements of
axonal connectivity, gene expression, and resting-state functional connectivity
MRI in the mouse brain. The model suggests that functional connectivity arises
from both anatomical links and inter-areal similarities in gene expression. By
estimating these effects, we identify anatomical modules in which correlated gene
expression and anatomical connectivity support functional connectivity. Along
with providing evidence that not all genes equally contribute to functional
connectivity, this research establishes new insights regarding the biological
underpinnings of coordinated brain activity measured by BOLD fMRI.SIGNIFICANCE
STATEMENT Efforts at characterizing the functional connectome with fMRI have
risen exponentially over the last decade. Yet despite this rise, the biological
underpinnings of these functional measurements are still primarily unknown. The
current report begins to fill this void by investigating the molecular
underpinnings of the functional connectome through an integration of
systematically obtained structural information and gene expression data
throughout the rodent brain. We find that both white matter connectivity and
similarity in regional gene expression relate to resting-state functional
connectivity. The current report furthers our understanding of the biological
underpinnings of the functional connectome and provides a linear model that can
be used to streamline preclinical animal studies of disease.
PMID- 29789381
TI - WT1-Expressing Interneurons Regulate Left-Right Alternation during Mammalian
Locomotor Activity.
AB - The basic pattern of activity underlying stepping in mammals is generated by a
neural network located in the caudal spinal cord. Within this network, the
specific circuitry coordinating left-right alternation has been shown to involve
several groups of molecularly defined interneurons. Here we characterize a
population of spinal neurons that express the Wilms' tumor 1 (WT1) gene and
investigate their role during locomotor activity in mice of both sexes. We
demonstrate that WT1-expressing cells are located in the ventromedial region of
the spinal cord of mice and are also present in the human spinal cord. In the
mouse, these cells are inhibitory, project axons to the contralateral spinal
cord, terminate in close proximity to other commissural interneuron subtypes, and
are essential for appropriate left-right alternation during locomotion. In
addition to identifying WT1-expressing interneurons as a key component of the
locomotor circuitry, this study provides insight into the manner in which several
populations of molecularly defined interneurons are interconnected to generate
coordinated motor activity on either side of the body during
stepping.SIGNIFICANCE STATEMENT In this study, we characterize WT1-expressing
spinal interneurons in mice and demonstrate that they are commissurally
projecting and inhibitory. Silencing of this neuronal population during a
locomotor task results in a complete breakdown of left-right alternation, whereas
flexor-extensor alternation was not significantly affected. Axons of WT1 neurons
are shown to terminate nearby commissural interneurons, which coordinate
motoneuron activity during locomotion, and presumably regulate their activity.
Finally, the WT1 gene is shown to be present in the spinal cord of humans,
raising the possibility of functional homology between these species. This study
not only identifies a key component of the locomotor circuitry but also begins to
unravel the connectivity among the growing number of molecularly defined
interneurons that comprise this neural network.
PMID- 29789382
TI - Robustness and universality of surface states in Dirac materials.
AB - Ballistically propagating topologically protected states harbor exotic transport
phenomena of wide interest. Here we describe a nontopological mechanism that
produces such states at the surfaces of generic Dirac materials, giving rise to
propagating surface modes with energies near the bulk band crossing. The
robustness of surface states originates from the unique properties of Dirac-Bloch
wavefunctions which exhibit strong coupling to generic boundaries. Surface
states, described by Jackiw-Rebbi-type bound states, feature a number of
interesting properties. Mode dispersion is gate tunable, exhibiting a wide
variety of different regimes, including nondispersing flat bands and linear
crossings within the bulk bandgap. The ballistic wavelike character of these
states resembles the properties of topologically protected states; however, it
requires neither topological restrictions nor additional crystal symmetries. The
Dirac surface states are weakly sensitive to surface disorder and can dominate
edge transport at the energies near the Dirac point.
PMID- 29789383
TI - T7 phage factor required for managing RpoS in Escherichia coli.
AB - T7 development in Escherichia coli requires the inhibition of the housekeeping
form of the bacterial RNA polymerase (RNAP), Esigma70, by two T7 proteins: Gp2
and Gp5.7. Although the biological role of Gp2 is well understood, that of Gp5.7
remains to be fully deciphered. Here, we present results from functional and
structural analyses to reveal that Gp5.7 primarily serves to inhibit EsigmaS, the
predominant form of the RNAP in the stationary phase of growth, which accumulates
in exponentially growing E. coli as a consequence of the buildup of guanosine
pentaphosphate [(p)ppGpp] during T7 development. We further demonstrate a
requirement of Gp5.7 for T7 development in E. coli cells in the stationary phase
of growth. Our finding represents a paradigm for how some lytic phages have
evolved distinct mechanisms to inhibit the bacterial transcription machinery to
facilitate phage development in bacteria in the exponential and stationary phases
of growth.
PMID- 29789385
TI - Transparency on scientific instruments.
PMID- 29789384
TI - Mutations in EID1 and LNK2 caused light-conditional clock deceleration during
tomato domestication.
AB - Circadian period and phase of cultivated tomato (Solanum lycopersicum) were
changed during domestication, likely adapting the species to its new agricultural
environments. Whereas the delayed circadian phase is mainly caused by allelic
variation of EID1, the genetic basis of the long circadian period has remained
elusive. Here we show that a partial deletion of the clock gene LNK2 is
responsible for the period lengthening in cultivated tomatoes. We use
resequencing data to phylogenetically classify hundreds of tomato accessions and
investigate the evolution of the eid1 and lnk2 mutations along successive
domestication steps. We reveal signatures of selection across the genomic region
of LNK2 and different patterns of fixation of the mutant alleles. Strikingly,
LNK2 and EID1 are both involved in light input to the circadian clock, indicating
that domestication specifically targeted this input pathway. In line with this,
we show that the clock deceleration in the cultivated tomato is light-dependent
and requires the phytochrome B1 photoreceptor. Such conditional variation in
circadian rhythms may be key for latitudinal adaptation in a variety of species,
including crop plants and livestock.
PMID- 29789387
TI - Mitochondrial adaptation in obesity is a ClpPicated business.
PMID- 29789386
TI - Antagonistic interactions between two MAP kinase cascades in plant development
and immune signaling.
AB - Mitogen-activated protein kinase (MAPK) signaling plays important roles in
diverse biological processes. In Arabidopsis, MPK3/MPK6, MKK4/MKK5, and the
MAPKKK YODA (YDA) form a MAPK pathway that negatively regulates stomatal
development. Brassinosteroid (BR) stimulates this pathway to inhibit stomata
production. In addition, MPK3/MPK6 and MKK4/MKK5 also serve as critical signaling
components in plant immunity. Here, we report that MAPKKK3/MAPKKK5 form a kinase
cascade with MKK4/MKK5 and MPK3/MPK6 to transduce defense signals downstream of
multiple plant receptor kinases. Loss of MAPKKK3/MAPKKK5 leads to reduced
activation of MPK3/MPK6 in response to different pathogen-associated molecular
patterns (PAMPs) and increased susceptibility to pathogens. Surprisingly,
developmental defects caused by silencing of YDA are suppressed in the mapkkk3
mapkkk5 double mutant. On the other hand, loss of YDA or blocking BR signaling
leads to increased PAMP-induced activation of MPK3/MPK6. These results reveal
antagonistic interactions between a developmental MAPK pathway and an immune
signaling MAPK pathway.
PMID- 29789388
TI - Tissue-resident macrophages in the intestine are long lived and defined by Tim-4
and CD4 expression.
AB - A defining feature of resident gut macrophages is their high replenishment rate
from blood monocytes attributed to tonic commensal stimulation of this site. In
contrast, almost all other tissues contain locally maintained macrophage
populations, which coexist with monocyte-replenished cells at homeostasis. In
this study, we identified three transcriptionally distinct mouse gut macrophage
subsets that segregate based on expression of Tim-4 and CD4. Challenging current
understanding, Tim-4+CD4+ gut macrophages were found to be locally maintained,
while Tim-4-CD4+ macrophages had a slow turnover from blood monocytes; indeed,
Tim-4-CD4- macrophages were the only subset with the high monocyte-replenishment
rate currently attributed to gut macrophages. Moreover, all macrophage
subpopulations required live microbiota to sustain their numbers, not only those
derived from blood monocytes. These findings oppose the prevailing paradigm that
all macrophages in the adult mouse gut rapidly turn over from monocytes in a
microbiome-dependent manner; instead, these findings supplant it with a model of
ontogenetic diversity where locally maintained subsets coexist with rapidly
replaced monocyte-derived populations.
PMID- 29789389
TI - LRRK2 is a negative regulator of Mycobacterium tuberculosis phagosome maturation
in macrophages.
AB - Mutations in the leucine-rich repeat kinase 2 (LRRK2) are associated with
Parkinson's disease, chronic inflammation and mycobacterial infections. Although
there is evidence supporting the idea that LRRK2 has an immune function, the
cellular function of this kinase is still largely unknown. By using genetic,
pharmacological and proteomics approaches, we show that LRRK2 kinase activity
negatively regulates phagosome maturation via the recruitment of the Class III
phosphatidylinositol-3 kinase complex and Rubicon to the phagosome in
macrophages. Moreover, inhibition of LRRK2 kinase activity in mouse and human
macrophages enhanced Mycobacterium tuberculosis phagosome maturation and
mycobacterial control independently of autophagy. In vivo, LRRK2 deficiency in
mice resulted in a significant decrease in M. tuberculosis burdens early during
the infection. Collectively, our findings provide a molecular mechanism
explaining genetic evidence linking LRRK2 to mycobacterial diseases and establish
an LRRK2-dependent cellular pathway that controls M. tuberculosis replication by
regulating phagosome maturation.
PMID- 29789391
TI - MST2 kinase suppresses rDNA transcription in response to DNA damage by
phosphorylating nucleolar histone H2B.
AB - The heavily transcribed rDNA repeats that give rise to the ribosomal RNA are
clustered in a unique chromatin structure, the nucleolus. Due to its highly
repetitive nature and transcriptional activity, the nucleolus is considered a
hotspot of genomic instability. Breaks in rDNA induce a transient transcriptional
shut down to conserve energy and promote rDNA repair; however, how nucleolar
chromatin is modified and impacts on rDNA repair is unknown. Here, we uncover
that phosphorylation of serine 14 on histone H2B marks transcriptionally inactive
nucleolar chromatin in response to DNA damage. We identified that the MST2 kinase
localises at the nucleoli and targets phosphorylation of H2BS14p in an ATM
dependent manner. We show that establishment of H2BS14p is necessary for damage
induced rDNA transcriptional shut down and maintenance of genomic integrity.
Ablation of MST2 kinase, or upstream activators, results in defective
establishment of nucleolar H2BS14p, perturbed DNA damage repair, sensitisation to
rDNA damage and increased cell lethality. We highlight the impact of chromatin
regulation in the rDNA damage response and targeting of the nucleolus as an
emerging cancer therapeutic approach.
PMID- 29789390
TI - The assembly of lipid droplets and their roles in challenged cells.
AB - Cytoplasmic lipid droplets are important organelles in nearly every eukaryotic
and some prokaryotic cells. Storing and providing energy is their main function,
but they do not work in isolation. They respond to stimuli initiated either on
the cell surface or in the cytoplasm as conditions change. Cellular stresses such
as starvation and invasion are internal insults that evoke changes in droplet
metabolism and dynamics. This review will first outline lipid droplet assembly
and then discuss how droplets respond to stress and in particular nutrient
starvation. Finally, the role of droplets in viral and microbial invasion will be
presented, where an unresolved issue is whether changes in droplet abundance
promote the invader, defend the host, to try to do both. The challenges of stress
and infection are often accompanied by changes in physical contacts between
droplets and other organelles. How these changes may result in improving cellular
physiology, an ongoing focus in the field, is discussed.
PMID- 29789392
TI - FAM35A associates with REV7 and modulates DNA damage responses of normal and
BRCA1-defective cells.
AB - To exploit vulnerabilities of tumors, it is urgent to identify associated defects
in genome maintenance. One unsolved problem is the mechanism of regulation of DNA
double-strand break repair by REV7 in complex with 53BP1 and RIF1, and its
influence on repair pathway choice between homologous recombination and non
homologous end-joining. We searched for REV7-associated factors in human cells
and found FAM35A, a previously unstudied protein with an unstructured N-terminal
region and a C-terminal region harboring three OB-fold domains similar to single
stranded DNA-binding protein RPA, as novel interactor of REV7/RIF1/53BP1. FAM35A
re-localized in damaged cell nuclei, and its knockdown caused sensitivity to DNA
damaging agents. In a BRCA1-mutant cell line, however, depletion of FAM35A
increased resistance to camptothecin, suggesting that FAM35A participates in
processing of DNA ends to allow more efficient DNA repair. We found FAM35A absent
in one widely used BRCA1-mutant cancer cell line (HCC1937) with anomalous
resistance to PARP inhibitors. A survey of FAM35A alterations revealed that the
gene is altered at the highest frequency in prostate cancers (up to 13%) and
significantly less expressed in metastatic cases, revealing promise for FAM35A as
a therapeutically relevant cancer marker.
PMID- 29789394
TI - Joining a Multicenter Clinical Trial.
PMID- 29789393
TI - Long-Term Outcomes in Patients Aged <=70 Years With Intravenous Glyburide From
the Phase II GAMES-RP Study of Large Hemispheric Infarction: An Exploratory
Analysis.
AB - BACKGROUND AND PURPOSE: We aimed to determine whether subjects aged <=70 years
who were treated with intravenous glyburide (RP-1127; BIIB093; glibenclamide)
would have better long-term outcomes than those who received placebo. METHODS:
GAMES-RP (Glyburide Advantage in Malignant Edema and Stroke-Remedy
Pharmaceuticals) was a prospective, double-blind, randomized, placebo-controlled
phase 2 clinical trial. Eighty-six participants, aged 18 to 80 years, who
presented to 18 centers with large hemispheric infarction (baseline diffusion
weighted imaging volumes, 82-300 cm3) randomized within 10 hours of symptom onset
were enrolled. In the current exploratory analysis, we included participants aged
<=70 years treated with intravenous glyburide (n=35) or placebo (n=30) who met
per-protocol criteria. Intravenous glyburide or placebo was administered in a 1:1
ratio. We analyzed 90-day and 12-month mortality, functional outcome (modified
Rankin Scale, Barthel Index), and quality of life (EuroQol group 5-dimension).
Additional outcomes assessed included blood-brain barrier injury (MMP-9 [matrix
metalloproteinase 9]) and cerebral edema (brain midline shift). RESULTS:
Participants <=70 years of age treated with intravenous glyburide had lower
mortality at all time points (log-rank for survival hazards ratio, 0.34; P=0.04).
After adjustment for age, the difference in functional outcome (modified Rankin
Scale) demonstrated a trend toward benefit for intravenous glyburide-treated
subjects at 90 days (odds ratio, 2.31; P=0.07). Repeated measures analysis at 90
days, 6 months, and 12 months using generalized estimating equations showed a
significant treatment effect of intravenous glyburide on the Barthel Index
(P=0.03) and EuroQol group 5-dimension (P=0.05). Participants treated with
intravenous glyburide had lower plasma levels of MMP-9 (189 versus 376 ng/mL;
P<0.001) and decreased midline shift (4.7 versus 9 mm; P<0.001) compared with
participants who received placebo. CONCLUSIONS: In this exploratory analysis,
participants <=70 years of age with large hemispheric infarction have improved
survival after acute therapy with intravenous glyburide. CLINICAL TRIAL
REGISTRATION: URL: https://www.clinicaltrials.gov. Unique identifier:
NCT01794182.
PMID- 29789395
TI - Blood Pressure-Attained Analysis of ATACH 2 Trial.
AB - BACKGROUND AND PURPOSE: We compared the rates of death or disability, defined by
modified Rankin Scale score of 4 to 6, at 3 months in patients with intracerebral
hemorrhage according to post-treatment systolic blood pressure (SBP)-attained
status. METHODS: We divided 1000 subjects with SBP >=180 mm Hg who were
randomized within 4.5 hours of symptom onset as follows: SBP <140 mm Hg achieved
or not achieved within 2 hours; subjects in whom SBP <140 mm Hg was achieved
within 2 hours were further divided: SBP <140 mm Hg for 21 to 22 hours (reduced
and maintained) or SBP was >=140 mm Hg for at least 2 hours during the period
between 2 and 24 hours (reduced but not maintained). RESULTS: Compared with
subjects without reduction of SBP <140 mm Hg within 2 hours, subjects with
reduction and maintenance of SBP <140 mm Hg within 2 hours had a similar rate of
death or disability (relative risk of 0.98; 95% confidence interval, 0.74-1.29).
The rates of neurological deterioration within 24 hours were significantly higher
in reduced and maintained group (10.4%; relative risk, 1.98; 95% confidence
interval, 1.08-3.62) and in reduced but not maintained group (11.5%; relative
risk, 2.08; 95% confidence interval, 1.15-3.75) compared with reference group.
The rates of cardiac-related adverse events within 7 days were higher among
subjects with reduction and maintenance of SBP <140 mmHg compared to subjects
without reduction (11.2% versus 6.4%). CONCLUSIONS: No decline in death or
disability but higher rates of neurological deterioration and cardiac-related
adverse events were observed among intracerebral hemorrhage subjects with
reduction with and without maintenance of intensive SBP goals. CLINICAL TRIAL
REGISTRATION: URL: https://www.clinicaltrials.gov. Unique identifier:
NCT01176565.
PMID- 29789396
TI - Patient-Reported Outcome Measures (PROMs) for Acute Stroke: Rationale, Methods
and Future Directions.
PMID- 29789397
TI - The Wnt antagonist and secreted frizzled-related protein 5: implications on lipid
metabolism, inflammation, and type 2 diabetes mellitus.
AB - Various reports have suggested that secreted frizzled-related protein (SFRP) 5
(SFRP5) plays a regulatory role in the processes of cellular proliferation and
differentiation, by means of inactivating the Wnt/beta-catenin signaling pathway.
Recently, SFRP5 has been identified as an anti-inflammatory adipokine, which may
be induced during preadipocyte proliferation, differentiation, and maturation.
This review aims to identify the recent progress in the research and development
of SFRP5 that can play a role in influencing lipid metabolism, inflammation, and
type 2 diabetes mellitus (T2DM). Recent evidence has indicated that SFRP5 is
capable of stimulating adipocyte differentiation via inhibition of the Wnt/beta
catenin signaling pathway. In addition, SFRP5 binding with wingless-type murine
mammary tumor virus integration site family, member 5A (Wnt5a), inhibits the
activation of c-Jun N-terminal kinase (JNK) downstream of the Wnt signaling
pathway. An antagonistic relationship has been found between the reductions in
inflammatory cytokine production and serine phosphorylation of insulin receptor
substrate-1 (IRS-1) in regard to inhibition of insulin signaling network. By this
mechanism, SFRP5 exerts its influence on metabolic function. Based on our review
of the current available literature, we support the notion that SFRP5 can be used
as a therapeutic target in the treatment of T2DM.
PMID- 29789398
TI - MicroRNA-133a impairs perfusion recovery after hindlimb ischemia in diabetic
mice.
AB - Objective: Peripheral arterial disease (PAD) patients with diabetes mellitus
suffer from impaired neovascularization after ischemia which results in poorer
outcomes. MicroRNA (miR)-133a is excessively expressed in endothelial cells under
diabetic conditions. Here, we test whether diabetes-induced miR-133a up
regulation is involved in the impaired capability of neovascularization in
experimental PAD models. Methods and results: MiR-133a level was measured by
quantitative RT-PCR and showed a higher expression level in the ischemic muscle
from diabetic mice when compared with nondiabetic mice. Knockdown of miR-133a
using antagomir improved perfusion recovery and angiogenesis in experimental PAD
model with diabetes day 21 after HLI. On the other hand, overexpression of miR
133a impaired perfusion recovery. Ischemic muscle was harvested day 7 after
experimental PAD for biochemical test, miR-133a antagonism resulted in reduced
malondialdehyde, and it increased GTP cyclohydrolase 1 (GCH1), and cyclic guanine
monophosphate (cGMP) levels. In cultured endothelial cells, miR-133a antagonism
resulted in reduced reactive oxygen species level, and it increased tube
formation, nitric oxide (NO), and cGMP level. Moreover, miR-133a antagonism
induced angiogenesis was abolished by GCH1 inhibitor. In contrary, miR-133a
overexpression impairs angiogenesis and it reduces GCH1, NO, and cGMP levels in
nondiabetic models. Conclusion: Diabetes mellitus-induced miR-133a up-regulation
impairs angiogenesis in PAD by reducing NO synthesis in endothelial cells. MiR
133a antagonism improves postischemic angiogenesis.
PMID- 29789399
TI - EBF1 gene polymorphism and its interaction with smoking and drinking on the risk
of coronary artery disease for Chinese patients.
AB - Objective: Early B-cell factor 1 (EBF1) is a transcription factor that is
expressed in early B-cells, adipocytes, and olfactory neurons, and is essential
for the maturation of early B lymphocytes. The present study analyzes the
influence of EBF1 gene polymorphism and its interaction with smoking and drinking
on the risk of coronary artery disease (CAD). Methods: In the present study, 243
CAD cases were enrolled as the CAD group and 215 non-CAD patients as the control
group by case-control study. We analyzed their genotypes of the rs987401919,
rs36071027, and rs1056065671 loci of the EBF1 gene by Sanger sequencing and
detected their content of HDL-C, LDL-C, and TG. Results: The C allele at the
rs987401919 and rs36071027 loci of EBF1 was found to be the risk factor for CAD
(Odds ratio, OR = 1.233; 95% confidence interval, CI: 1.039-1.421; P=0.017; OR =
1.487; 95% CI: 1.015-1.823; P=0.042). The interaction between single nucleotide
polymorphisms (SNP) of the rs987401919 and rs36071027 loci and smoking and
drinking were distinctly associated with the incidence of CAD (P<0.05). The
content of systolic blood pressure (SBP), diastolic blood pressure (DBP), HDL-C,
LDL-C, and TG was distinctly changed after gene mutation at the rs987401919 and
rs36071027 loci (P<0.05). Conclusion: The results of the present study show that
the mutation (CT+TT) at the rs987401919 and rs36071027 loci of EBF1 and its
interaction with smoking and drinking are risk factors for CAD, and that the
mechanism may be related to the changes in blood pressure and blood lipid
content.
PMID- 29789401
TI - Treatment with eucalyptol mitigates cigarette smoke-induced lung injury through
suppressing ICAM-1 gene expression.
AB - The present study was conducted to investigate the clinical significance of
Eucalyptol in treating cigarette smoke-induced lung injury with the potential
mechanism involved in the event. Rats were exposed to air (control) and cigarette
smoke (smoking) after they were treated with Eucalyptol (260 mg/kg) orally once a
day for 12 weeks. Cell counts of bronchoalveolar lavage fluid (BALF),
measurements of mean liner intercept (MLI) and mean alveolar number (MAN), and
lung function test were executed in experimental animals. Contents of cytokines
and intercellular adhesion molecule (ICAM)-1 in BALF and ICAM-1 protein and mRNA
expression in lung tissues were determined by ELISA, immunohistochemistry (IHC),
and RT-PCR, respectively. A rat model of chronic obstructive pulmonary disease
(COPD) displayed declining lung function, increased cell counts and cytokine
production in BALF, and emphysema-like lesions in cigarette smoke-exposed lungs
compared with the controls (all P<0.01). Treatment with Eucalyptol partly
reversed lung function decline with obvious decrease in inflammatory cell
infiltrate, TNF-alpha, IL-6, and ICAM-1 expression levels in the challenged lungs
(all P<0.05 and 0.01). Furthermore, oral administration of the drug not only
reduced the emphysema-associated lung lesions but also suppressed ICAM-1 protein
and mRNA expression in the lungs compared with the control (all P<0.05 or 0.01).
Intervention of Eucalyptol mitigates the ongoing inflammatory process in airways
and ameliorates the cigarette smoke-induced lung injury through suppressing ICAM
1 gene expression in the diseased lungs.
PMID- 29789402
TI - Rod-Specific Ablation Using the Nitroreductase/Metronidazole System to
Investigate Regeneration in Xenopus.
AB - Genetically controlled cell type-specific ablation provides a reproducible method
to induce regeneration that can be temporally and spatially controlled. Until
recently, regeneration studies in Xenopus have relied on surgical methods to
stimulate regeneration. These methods are labor intensive and not as reproducible
as a genetically controlled approach. In this protocol we describe selective
ablation of rod photoreceptors in the premetamorphic Xenopus laevis retina using
the nitroreductase/metronidazole (NTR/Mtz) system. We use the XOPNTR transgenic
line in which the Xenopus Rhodopsin promoter drives rod photoreceptor-specific
expression of the bacterial enzyme, NTR. Exposure of transgenic tadpoles to Mtz
for 2 d completely ablates rods by 7 d after initial Mtz exposure. Removal of Mtz
allows rods to regenerate and makes rod-specific ablation reversible and amenable
for regeneration studies. The protocol presented here is applicable to the
selective ablation of any cell type with the use of appropriate cell type
specific promoters.
PMID- 29789403
TI - The Mauthner cell in a fish with top-performance and yet flexibly tuned C-starts.
I. Identification and comparative morphology.
AB - Archerfish use two powerful C-starts: one to escape threats, the other to secure
prey that they have downed with a shot of water. The two C-starts are
kinematically equivalent and variable in both phases, and the predictive C-starts
- used in hunting - are adjusted in terms of the angle of turning and the final
linear speed to where and when their prey will hit the water surface. Presently,
nothing is known about the neural circuits that drive the archerfish C-starts. As
the starting point for a neuroethological analysis, we first explored the
presence and morphology of a pair of Mauthner cells, which are key cells in the
teleost fast-start system. We show that archerfish have a typical Mauthner cell
in each medullary hemisphere and that these send by far the largest axons down
the spinal cord. Stimulation of the spinal cord caused short-latency all-or-none
field potentials that could be detected even at the surface of the medulla and
that had the Mauthner cell as its only source. The archerfish's Mauthner cell is
remarkably similar morphologically to that of equally sized goldfish, except that
the archerfish's ventral dendrite is slightly longer and its lateral dendrite
thinner. Our data provide the necessary starting point for the dissection of the
archerfish fast-start system and of any role potentially played by its Mauthner
cell in the two C-start manoeuvres. Moreover, they do not support the recently
expressed view that Mauthner cells should be reduced in animals with highly
variable fast-start manoeuvres.
PMID- 29789400
TI - Carnosic acid impedes cell growth and enhances anticancer effects of carmustine
and lomustine in melanoma.
AB - Carnosic acid (CA), a major polyphenolic diterpene present in Rosmarinus
officinalis, has been reported to have multiple functions, including antitumor
activity. The MTT assay, BrdU incorporation, wound healing, and colony formation
were used to detect melanoma B16F10 cell growth and proliferation. Flow cytometry
was used for cell cycle detection. p21 and p27 expression was detected by Western
blotting. B16F10 cell xenograft model was established, and treated with CA,
carmustine (BCNU), or lomustine (CCNU). The present study found that CA exhibits
significant growth inhibition and cell cycle arrest in melanoma B16F10 cells. We
also found that CA triggers cell cycle arrest at G0/G1 phase, and enhances p21
expression. Additionally, CA can enhance BCNU- and CCNU-mediated cytotoxicity and
cell cycle arrest in B16F10 cells. Finally, we found that CA inhibits tumor
growth, and reduces the values of aspartate aminotransferase (AST) and alanine
aminotransferase (ALT) in vivo The present study study concluded that CA may be
safe and useful as a novel chemotherapeutic agent.
PMID- 29789404
TI - New approaches for assessing squid fin motions: coupling proper orthogonal
decomposition with volumetric particle tracking velocimetry.
AB - Squid, which swim using a coupled fin/jet system powered by muscular hydrostats,
pose unique challenges for the study of locomotion. The high flexibility of the
fins and complex flow fields generated by distinct propulsion systems require
innovative techniques for locomotive assessment. For this study, we used proper
orthogonal decomposition (POD) to decouple components of the fin motions and
defocusing digital particle tracking velocimetry (DDPTV) to quantify the
resultant 3D flow fields. Kinematic footage and DDPTV data were collected from
brief squid, Lolliguncula brevis [3.1-6.5 cm dorsal mantle length (DML)],
swimming freely in a water tunnel at speeds of 0.39-7.20 DML s-1 Both flap and
wave components were present in all fin motions, but the relative importance of
the wave components was higher for arms-first swimming than for tail-first
swimming and for slower versus higher speed swimming. When prominent wave
components were present, more complex interconnected vortex ring wakes were
observed, while fin movements dominated by flapping resulted in more spatially
separated vortex ring patterns. Although the jet often produced the majority of
the thrust for steady rectilinear swimming, our results demonstrated that the
fins can contribute more thrust than the jet at times, consistently produce
comparable levels of lift to the jet during arms-first swimming, and can boost
overall propulsive efficiency. By producing significant drag signatures, the fins
can also aid in stabilization and maneuvering. Clearly, fins play multiple roles
in squid locomotion, and when coupled with the jet, allow squid to perform a
range of swimming behaviors integral to their ecological success.
PMID- 29789405
TI - The Mauthner cell in a fish with top-performance and yet flexibly tuned C-starts.
II. Physiology.
AB - The parallel occurrence in archerfish of fine-tuned and yet powerful predictive C
starts as well as of kinematically identical escape C-starts makes archerfish an
interesting system to test hypotheses on the roles played by the Mauthner cells,
a pair of giant reticulospinal neurons. In this study, we show that the
archerfish Mauthner cell shares all hallmark physiological properties with that
of goldfish. Visual and acoustic inputs are received by the ventral and lateral
dendrite, respectively, and cause complex postsynaptic potentials (PSPs) even in
surgically anaesthetised fish. PSP shape did not indicate major differences
between the species, but simple light flashes caused larger PSPs in archerfish,
often driving the cell to fire an action potential. Probing archerfish in the
classical tests for feedback inhibition, established in the Mauthner-associated
networks in goldfish, revealed no differences between the two species, including
the indications for electrical and chemical synaptic components. Also, the
established hallmark experiments on feed-forward inhibition showed no differences
between the goldfish and archerfish Mauthner system. Extending these experiments
to visual stimuli also failed to detect any differences between the two species
and suggested that acoustical and visual input cause feed-forward inhibition, the
magnitude, time course and duration of which match that of the respective PSPs in
both archerfish and goldfish. Our findings question simple views on the role of
the Mauthner cell and suggest that the archerfish Mauthner cell should be a good
system to explore the function of these giant neurons in more sophisticated C
start behaviours.
PMID- 29789406
TI - Ranking hospitals: do we gain reliability by using composite rather than
individual indicators?
AB - BACKGROUND: Despite widespread use of quality indicators, it remains unclear to
what extent they can reliably distinguish hospitals on true differences in
performance. Rankability measures what part of variation in performance reflects
'true' hospital differences in outcomes versus random noise. OBJECTIVE: This
study sought to assess whether combining data into composites or including data
from multiple years improves the reliability of ranking quality indicators for
hospital care. METHODS: Using the Dutch National Medical Registration (2007-2012)
for stroke, colorectal carcinoma, heart failure, acute myocardial infarction and
total hiparthroplasty (THA)/ total knee arthroplasty (TKA) in osteoarthritis
(OA), we calculated the rankability for in-hospital mortality, 30-day acute
readmission and prolonged length of stay (LOS) for single years and 3-year
periods and for a dichotomous and ordinal composite measure in which mortality,
readmission and prolonged LOS were combined. Rankability, defined as (between
hospital variation/between-hospital+within hospital variation)*100% is classified
as low (<50%), moderate (50%-75%) and high (>75%). RESULTS: Admissions from 555
053 patients treated in 95 hospitals were included. The rankability for mortality
was generally low or moderate, varying from less than 1% for patients with OA
undergoing THA/TKA in 2011 to 71% for stroke in 2010. Rankability for acute
readmission was low, except for acute myocardial infarction in 2009 (51%) and
2012 (62%). Rankability for prolonged LOS was at least moderate. Combining
multiple years improved rankability but still remained low in eight cases for
both mortality and acute readmission. Combining the individual indicators into
the dichotomous composite, all diagnoses had at least moderate rankability
(range: 51%-96%). For the ordinal composite, only heart failure had low
rankability (46% in 2008) (range: 46%-95%). CONCLUSION: Combining multiple years
or into multiple indicators results in more reliable ranking of hospitals,
particularly compared with mortality and acute readmission in single years,
thereby improving the ability to detect true hospital differences. The composite
measures provide more information and more reliable rankings than combining
multiple years of individual indicators.
PMID- 29789407
TI - Immediate and long-term effects of a team-based quality improvement training
programme.
AB - BACKGROUND: Although many studies of quality improvement (QI) education
programmes report improvement in learners' knowledge and confidence, the impact
on learners' future engagement in QI activities is largely unknown and few
studies report project measures beyond completion of the programme. METHOD: We
developed the Academy for Quality and Safety Improvement (AQSI) to prepare
individuals, across multiple departments and professions, to lead QI. The 7-month
programme consisted of class work and team-based project work. We assessed
participants' knowledge using a multiple choice test and an adapted Quality
Improvement Knowledge Assessment Test (QIKAT) before and after the programme. We
evaluated participants' postprogramme QI activity and project status using
surveys at 6 and 18 months. RESULTS: Over 5 years, 172 individuals and 32 teams
participated. Participants had higher multiple choice test (71.9+/-12.7 vs 79.4+/
13.2; p<0.001) and adapted QIKAT scores (55.7+/-16.3 vs 61.8+/-14.7; p<0.001)
after the programme. The majority of participants at 6 months indicated that they
had applied knowledge and skills learnt to improve quality in their clinical area
(129/148; 87.2%) and to implement QI interventions (92/148; 62.2%). At 18 months,
nearly half (48/101; 47.5%) had led other QI projects and many (41/101; 40.6%)
had provided QI mentorship to others. Overall, 14 (43.8%) teams had positive
postintervention results at AQSI completion and 20 (62.5%) had positive results
at some point (ie, completion, 6 months or 18 months after AQSI). CONCLUSIONS: A
team-based QI training programme resulted in a high degree of participants'
involvement in QI activities beyond completion of the programme. A majority of
team projects showed improvement in project measures, often occurring after
completion of the programme.
PMID- 29789408
TI - The relationship between serum IGF-1, handgrip strength, physical performance and
falls in elderly men and women.
AB - OBJECTIVE: Human aging is accompanied by a decrease in growth hormone secretion
and serum insulin-like growth factor (IGF)-1 levels. Also, loss of muscle mass
and strength and impairment of physical performance, ending in a state of
frailty, are seen in elderly. We aimed to investigate whether handgrip strength,
physical performance and recurrent falls are related to serum IGF-1 levels in
community-dwelling elderly. DESIGN: Observational cohort study (cross-sectional
and prospective). METHODS: We studied the association between IGF-1 and handgrip
strength, physical performance and falls in participants of the Longitudinal
Aging Study Amsterdam. A total of 1292 participants were included (633 men, 659
women). Serum IGF-1 levels were divided into quartiles (IGF-1-Q1 to IGF-1-Q4).
Data on falls were collected prospectively for a period of 3 years. All analyses
were stratified for age and physical activity and adjusted for relevant
confounders. RESULTS: Men with a low physical activity score in IGF-1-Q1 and IGF
1-Q2 of the younger age group had a lower handgrip strength compared to IGF-1-Q4.
In younger more active males in IGF-1-Q2 physical performance was worse.
Recurrent fallers were less prevalent in older, low active males with low IGF-1
levels. In females, recurrent fallers were more prevalent in older, more active
females in IGF-1-Q2. IGF-1 quartile may predict changes in handgrip strength and
physical performance in men and women. CONCLUSIONS: Our results indicate that
lower IGF-1 levels are associated with lower handgrip strength and worse physical
performance, but less recurrent fallers especially in men. Associations were
often more robust in IGF-1-Q2. Future studies on this topic are desirable.
PMID- 29789409
TI - Characterization of an activating R1353H insulin-like growth factor 1 receptor
variant in a male with extreme tall height.
AB - OBJECTIVE: The insulin-like growth factor1 receptor (IGF1R) is important in
growth and development, and inactivating IGF1R mutations cause short stature and
relatively high levels of serum IGF-I. We identified an unclassified IGF1RR1353H
variant in a male with extreme tall height, very low levels of serum IGF-I and
delayed and prolonged growth spurt. The index case's mother and three sons all
carried the variant, but so far only the eldest son (age 18 years) presented with
tall height. We hypothesized that the variant could constitute an activating
mutation. DESIGN: The IGF1RR1353H variant was investigated in Igf1r-/- mouse
embryonic fibroblasts (R-cells) by cell cycle, colony formation and transcriptome
analyses. RESULTS: The IGF1RR1353H (R-1353) exhibited significantly increased
cell proliferation, G1-S progression and colony formation in soft agar. RNA
sequencing identified 195 differentially expressed genes between R-WT and R-1353
(adjusted P < 1E-100). Most genes were upregulated in R-1353, including the gene
encoding the androgen receptor (AR). Gene expression profiling showed the most
significant enrichment in extracellular matrix organization (P = 2.76E-7),
collagen biosynthesis (P = 1.21E-5) and cell adhesion (P = 7.38E-5).
Retrospective biochemical analysis of the index case revealed decreased
testosterone and sex hormone-binding globulin levels, whereas LH and FSH were
within normal ranges. This profile suggests an increased sensitivity to androgen,
which is compatible with the enhanced expression of Ar in R-1353 cells.
CONCLUSIONS: Our findings suggest that R1353H constitutes an activating IGF1R
variant. The possible deregulation of collagen turnover and increased androgen
sensitivity implicates an association to tall phenotype in male carriers.
PMID- 29789411
TI - Heliox Adjunct Therapy for Neonates With Congenital Diaphragmatic Hernia.
AB - BACKGROUND: Congenital diaphragmatic hernia remains a complex disease with
significant morbidity and mortality. Hypercarbia is a common derangement in this
population, which often requires escalating ventilator support. By decreasing
airway turbulence and enhancing CO2 removal, inhaled helium-oxygen mixture
(heliox) has the potential to improve ventilation and thereby decrease ventilator
support and its associated lung injury. METHODS: Retrospective cohort review of
all neonates with congenital diaphragmatic hernia treated at Rady Children's
Hospital San Diego during 2011-2015. Clinical characteristics were compared
between the infants who were treated with heliox and those who did not receive
this intervention. To analyze the effect of heliox in the subgroup that received
this treatment, ventilator settings and arterial blood gas values were compared
before and after starting heliox by using paired t tests. RESULTS: During the
study period, 45 neonates with congenital diaphragmatic hernia were admitted to
our neonatal ICU, 28 received heliox, and 27 were analyzed. During heliox
treatment, PaCO2 levels decreased from 68 to 49 mm Hg (P < .001), amplitude
decreased from 33 to 23 cm H2O (P < .001), ventilator frequency decreased from 28
to 23 breaths/min (P = .02), FIO2 decreased from 0.52 to 0.40 (P < .01), and pH
increased from 7.3 to 7.4 (P < .001). CONCLUSIONS: The addition of heliox to the
standard practice of permissive hypercapnia facilitated improvement in gas
exchange, which allowed a decrease in ventilator settings and oxygen exposure,
both of which are known to contribute to lung injury in this population. A
prospective trial is needed to more clearly define the acute and long-term
impacts of this treatment.
PMID- 29789412
TI - Effect of a Nebulizer Holding Chamber on Aerosol Delivery.
AB - BACKGROUND: A new holding chamber was designed to be used with the Aerogen Solo
nebulizer to increase the aerosol emitted that reach the patient. The aim of this
study was to evaluate the efficacy of this holding chamber with the nebulizer and
determine its usability with other nebulizers. METHODS: The study was divided
into 2 parts. In the first part, aerosol emitted of 1 mL respirable solution
(nominal dose of 5000 MUg salbutamol), delivered by using the mesh nebulizer, Pro
nebulizer, and jet nebulizer, connected to a T-piece or a holding chamber, was
determined by using a breathing simulator set to provide a tidal volume of 500
mL, frequency of 15 breaths/min, and the inspiratory-expiratory ratio of 1:1 for
adults as the quiet breathing pattern. Aerodynamic particle size
characterizations were determined by using a cooled cascade impactor at an
inhalation flow of 15 L/min. In the second part of the study, 12 healthy
nonsmoking subjects (6 females) >18 y, with an FEV1 > 90% were enrolled. Inhaled
aerosol of 1 mL respirable solution (5,000 MUg salbutamol) was delivered through
the mesh nebulizer-holding chamber and an mesh nebulizer-T-piece using normal
tidal breathing. The subjects provided urine samples 30 min after dosing and
cumulatively collected their urine for 24 h. The samples were analyzed for
salbutamol content. RESULTS: The holding chamber significantly increased aerosol
emitted by the 3 nebulizers compared with the T-piece (P < .01) and relatively
decreased the mass median aerodynamic diameter but with no significant
difference. The mesh nebulizer-holding chamber resulted in significantly higher
aerosol emitted compared with any other delivery method tested (P < .01). The
mesh nebulizer-holding chamber resulted in higher urine samples 30 min after
dosing (as an index of lung deposition) and cumulatively collected urine for 24 h
(as an index of systemic absorption) compared with the nebulizer-T-piece (P <
.05). CONCLUSIONS: The use of the holding chamber with a jet nebulizer, Pro
nebulizer, and the Solo nebulizer significantly increased the aerosol delivery.
The Solo nebulizer-holding chamber had the highest aerosol emitted compared with
all nebulizer-adapter combinations and higher urine samples 30 min after dosing
and cumulatively collected urine for 24 h compared with the nebulizer-T-piece.
PMID- 29789410
TI - A randomised, open-label, parallel group phase 2 study of antisense
oligonucleotide therapy in acromegaly.
AB - OBJECTIVE: ATL1103 is a second-generation antisense oligomer targeting the human
growth hormone (GH) receptor. This phase 2 randomised, open-label, parallel-group
study assessed the potential of ATL1103 as a treatment for acromegaly. DESIGN:
Twenty-six patients with active acromegaly (IGF-I >130% upper limit of normal)
were randomised to subcutaneous ATL1103 200 mg either once or twice weekly for 13
weeks and monitored for a further 8-week washout period. METHODS: The primary
efficacy measures were change in IGF-I at week 14, compared to baseline and
between cohorts. For secondary endpoints (IGFBP3, acid labile subunit (ALS), GH,
growth hormone-binding protein (GHBP)), comparison was between baseline and week
14. Safety was assessed by reported adverse events. RESULTS AND CONCLUSIONS:
Baseline median IGF-I was 447 and 649 ng/mL in the once- and twice-weekly groups
respectively. Compared to baseline, at week 14, twice-weekly ATL1103 resulted in
a median fall in IGF-I of 27.8% (P = 0.0002). Between cohort comparison at week
14 demonstrated the median fall in IGF-I to be 25.8% (P = 0.0012) greater with
twice-weekly dosing. In the twice-weekly cohort, IGF-I was still declining at
week 14, and remained lower at week 21 than at baseline by a median of 18.7% (P =
0.0005). Compared to baseline, by week 14, IGFBP3 and ALS had declined by a
median of 8.9% (P = 0.027) and 16.7% (P = 0.017) with twice-weekly ATL1103; GH
had increased by a median of 46% at week 14 (P = 0.001). IGFBP3, ALS and GH did
not change with weekly ATL1103. GHBP fell by a median of 23.6% and 48.8% in the
once- and twice-weekly cohorts (P = 0.027 and P = 0.005) respectively. ATL1103
was well tolerated, although 84.6% of patients experienced mild-to-moderate
injection-site reactions. This study provides proof of concept that ATL1103 is
able to significantly lower IGF-I in patients with acromegaly.
PMID- 29789413
TI - Best Protocol for the Sit-to-Stand Test in Subjects With COPD.
AB - BACKGROUND: Different protocols for the sit-to-stand test (STS) are available for
assessing functional capacity in COPD. We sought to correlate each protocol of
the STS (ie, the 5-repetition [5-rep STS], the 30-s STS, and the 1-min STS) with
clinical outcomes in subjects with COPD. We also aimed to compare the 3 protocols
of the STS, to verify their association and agreement, and to verify whether the
3 protocols are able to predict functional exercise capacity and physical
activity in daily life (PADL). METHODS: 23 subjects with COPD (11 men; FEV1 53 +/
15% predicted) performed 3 protocols of the STS. Subjects also underwent the
following assessments: incremental shuttle walking test, 6-min walk test (6MWT),
4-m gait speed test (4MGS), 1-repetition maximum of quadriceps muscle, assessment
of PADL, and questionnaires on health-related quality of life and functional
status. RESULTS: The 1-min STS showed significant correlations with the 6MWT (r =
0.40), 4MGS (r = 0.64), and PADL (0.40 <= r <= 0.52), and the 5-rep STS and 30-s
STS were associated with the 4MGS (r = 0.54 and r = 0.52, respectively). The
speed differed for each protocol (5-rep STS 0.53 +/- 0.16 rep/s, 30-s STS 0.48 +/
0.13 rep/s, 1-min STS 0.45 +/- 0.11 rep/s, P = .01). However, they presented
good agreement (intraclass correlation coefficient >= 0.73 for all) and
correlated well with each other (r >= 0.68 for all). More marked changes in
peripheral oxygen saturation (P = .004), heart rate (P < .001), blood pressure (P
< .001), dyspnea (P < .001), and leg fatigue (P < .001) were found after the 1
min STS protocol. Furthermore, the 3 protocols were equally able to identify
subjects with low exercise capacity or preserved exercise capacity. CONCLUSIONS:
The 1-min STS generated higher hemodynamic demands and correlated better with
clinical outcomes in subjects with COPD. Despite the difference in speed
performance and physiological demands between the 5-rep STS and 1-min STS, there
was a good level of agreement among the 3 protocols. In addition, all 3 tests
were able to identify subjects with low exercise capacity or preserved exercise
capacity.
PMID- 29789414
TI - Development of Personalized Fitting Device With 3-Dimensional Solution for
Prevention of NIV Oronasal Mask-Related Pressure Ulcers.
AB - BACKGROUND: Pressure ulcers related to oronasal masks used with noninvasive
ventilation (NIV), along with patient discomfort, occur due to improper fit of
the mask. We developed a personalized fitting device using a 3-dimensional (3D)
scanning solution to prevent the formation of NIV mask-related pressure ulcers.
This study aimed to evaluate the effectiveness of the proposed personalized
fitting device. METHODS: We conducted a randomized crossover experimental study
of 20 healthy participants to study the use of this personalized fitting device
between the face and an NIV mask designed with 3D solutions. The fitting device
was not used under the NIV mask for the control. The outcome measures were the
presence of blanchable erythema, standardized redness intensity, discomfort
level, and contact pressure. RESULTS: The incidence of blanchable erythema and
standardized redness intensity values were significantly lower for subjects who
used the fitting device when worn for 30 min (P < .001). The discomfort levels at
the forehead, nasal bridge, and both cheeks, as well as leakage, were
significantly reduced as well (P = .008, P < .001, P = .001, P = .002, P = .001,
P = .02, P < .001, P < .001, P < .001). Contact pressure at the nasal bridge,
where pressure ulcers most frequently develop, was significantly decreased with
the fitting device (P < .001). CONCLUSIONS: Personalized fitting devices that
incorporate 3D scanning solutions may contribute to the prevention of NIV mask
related pressure ulcers and the reduction of discomfort.
PMID- 29789415
TI - Acute Effects of Different Types of Resistance Training on Cardiac Autonomic
Modulation in COPD.
AB - BACKGROUND: An exercise modality that has been gaining significant importance in
the rehabilitation of subjects with COPD is resistance training. When considering
that patients with COPD present alterations in autonomic cardiac modulation
caused by the disease itself, it is necessary to investigate the behavior of the
autonomic nervous system in relation to this type of exercise. Thus, the
objective of this study was to compare the acute effects of resistance training
with elastic tubes, elastic bands, and conventional weightlifitng on the behavior
of cardiac autonomic modulation in post-exercise recovery in subjects with COPD.
METHODS: Thirty-four subjects with COPD performed an single session of resistance
training divided according to the therapeutic resource used: elastic tubes (n =
10), elastic bands (n = 11), and conventional bodybuilding (n = 13). For analysis
of cardiac autonomic modulation, the heart rate was obtained beat to beat at rest
and immediately after the end of the session for 60 min in a seated position.
Heart rate variability indices were obtained in the time and frequency domains.
RESULTS: The 3 therapeutic resource types used in the single session of
resistance training promoted changes in heart rate variability linear indices in
the time and frequency domains; however, post-exercise recovery time was similar
for all protocols performed. CONCLUSIONS: After single resistance training the
elastic tubes group presented a minimum alteration in the post-exercise recovery
of cardiac autonomic modulation in the subjects with COPD; however, at 5 min
after exercising, the subjects with COPD had already recovered. Therefore, if the
purpose of the training is to restore autonomic cardiac modulation, the use of
elastic tubes is suggested, when considering their low cost and versatility.
PMID- 29789416
TI - Macrophage-Derived Granulin Drives Resistance to Immune Checkpoint Inhibition in
Metastatic Pancreatic Cancer.
AB - The ability of disseminated cancer cells to evade the immune response is a
critical step for efficient metastatic progression. Protection against an immune
attack is often provided by the tumor microenvironment that suppresses and
excludes cytotoxic CD8+ T cells. Pancreatic ductal adenocarcinoma (PDAC) is a
highly aggressive metastatic disease with unmet needs, yet the immunoprotective
role of the metastatic tumor microenvironment in pancreatic cancer is not
completely understood. In this study, we find that macrophage-derived granulin
contributes to cytotoxic CD8+ T-cell exclusion in metastatic livers. Granulin
expression by macrophages was induced in response to colony-stimulating factor 1.
Genetic depletion of granulin reduced the formation of a fibrotic stroma, thereby
allowing T-cell entry at the metastatic site. Although metastatic PDAC tumors are
largely resistant to anti-PD-1 therapy, blockade of PD-1 in granulin-depleted
tumors restored the antitumor immune defense and dramatically decreased
metastatic tumor burden. These findings suggest that targeting granulin may serve
as a potential therapeutic strategy to restore CD8+ T-cell infiltration in
metastatic PDAC, thereby converting PDAC metastatic tumors, which are refractory
to immune checkpoint inhibitors, into tumors that respond to immune checkpoint
inhibition therapies.Significance: These findings uncover a mechanism by which
metastatic PDAC tumors evade the immune response and provide the rationale for
targeting granulin in combination with immune checkpoint inhibitors for the
treatment of metastatic PDAC.Graphical Abstract:
http://cancerres.aacrjournals.org/content/canres/78/15/4253/F1.large.jpg Cancer
Res; 78(15); 4253-69. (c)2018 AACR.
PMID- 29789418
TI - Juxtacrine Signaling Inhibits Antitumor Immunity by Upregulating PD-L1
Expression.
AB - Programmed death-ligand 1 (PD-L1) is a well-known immune checkpoint protein that
helps cancer cells evade immune response. Anti-PD-L1 immune therapy has been
approved for the treatment of several advanced human cancers. Therefore, further
understanding of the regulatory mechanisms of PD-L1 is critical to improve PD-L1
targeting immunotherapy. Recent studies indicated that contact-dependent pathways
may regulate anticancer immunity, highlighting the importance of cell contact
induced signaling in cancer immunity. Here, we show that tumor cell contact
upregulates PD-L1 expression and reduces T-cell-mediated cell killing through the
membrane receptor tyrosine kinase ephrin receptor A10 (EphA10), which is not
expressed in normal tissues except testis and is known to mediate cell contact
dependent juxtacrine signaling. Knockout of EphA10 in tumor cells increased T
cell-mediated antitumor immunity in syngeneic mouse models. EphA10 expression
also correlated positively with PD-L1 in human breast tumor tissues. Together,
our data reveal that in addition to paracrine/autocrine signaling, cell contact
mediated juxtacrine signaling also promotes PD-L1 expression, implying that tumor
cells may escape immune surveillance via this mechanism and that targeting EphA10
to boost antitumor immunity may be a new immune checkpoint blockade strategy for
female patients with breast cancer.Significance: Regulation of PD-L1 expression
by cell contact-mediated signaling promotes immune escape in breast cancer and
may lead to the development of an immunotherapy with less adverse effects in
female patients. Cancer Res; 78(14); 3761-8. (c)2018 AACR.
PMID- 29789419
TI - Detection of Urothelial Bladder Carcinoma via Microfluidic Immunoassay and Single
Cell DNA Copy-Number Alteration Analysis of Captured Urinary-Exfoliated Tumor
Cells.
AB - The increasing incidence of bladder cancer and its high rate of recurrence over a
5-year period necessitate the need for diagnosis and surveillance amelioration.
Cystoscopy and urinary cytology are the current tools, and molecular techniques
such as BTA stat, NMP22, survivin mRNA, and urovysion FISH have attracted
attention; however, they suffer from insufficient sensitivity or specificity. We
developed a novel microfluidic approach for harvesting intact urinary-exfoliated
tumor cells (UETC), either individually or in clusters, in a clean and segregated
environment, which is crucial to minimize cross-contamination and misreads. To
reliably and accurately identify UETC, our quantitative immunoassay involved
concurrent use of two oncoproteins CK20 and CD44v6 antigen. CK20 is an
intermediate filament protein overexpressed in urothelial tumors, and CD44v6 is a
membrane adhesion molecule closely associated with cell invasion, tumor
progression, and metastatic spread. Single-cell whole-genome sequencing on 12
captured UETCs and copy number alteration analysis showed that 11/12 (91.7%) of
the immunofluorescence-identified UETCs possessed genomic instability. A total of
79 patients with bladder cancer and 43 age-matched normal controls (NC) were
enrolled in the study. We detected considerably higher UETC counts in patients
with bladder cancer versus the NC group [53.3 (10.7-1001.9) vs. 0.0 (0-3.0)
UETCs/10 mL; P < 0.0001]. For bladder cancer detection, a stratified 10-fold
cross-validation of training data reveals an overall predictive accuracy of 0.84
[95% confidence interval (CI), 0.76-0.93] with an 89.8% (95% CI, 71.5%-86.4%) for
sensitivity and 71.5% (95% CI, 59.7%-83.3%) for specificity. Overall, the
microfluidic immunoassay demonstrates increased sensitivity and specificity
compared with other techniques for the detection of bladder cancer.Significance:
A unique and promising diagnostic assay for bladder cancer is proposed with
potential clinical utility as a complement for cytology. Cancer Res; 78(14); 4073
85. (c)2018 AACR.
PMID- 29789417
TI - Mapping the HLA Ligandome of Colorectal Cancer Reveals an Imprint of Malignant
Cell Transformation.
AB - Immune cell infiltrates have proven highly relevant for colorectal carcinoma
prognosis, making colorectal cancer a promising candidate for immunotherapy.
Because tumors interact with the immune system via HLA-presented peptide ligands,
exact knowledge of the peptidome constitution is fundamental for understanding
this relationship. Here, we comprehensively describe the naturally presented HLA
ligandome of colorectal carcinoma and corresponding nonmalignant colon (NMC)
tissue. Mass spectrometry identified 35,367 and 28,132 HLA class I ligands on
colorectal carcinoma and NMC, attributable to 7,684 and 6,312 distinct source
proteins, respectively. Cancer-exclusive peptides were assessed on source protein
level using the Kyoto Encyclopedia of Genes and Genomes (KEGG) and protein
analysis through evolutionary relationships (PANTHER), revealing pathognomonic
colorectal carcinoma-associated pathways, including Wnt, TGFbeta, PI3K, p53, and
RTK-RAS. Relative quantitation of peptide presentation on paired colorectal
carcinoma and NMC tissue further identified source proteins from cancer- and
infection-associated pathways to be overrepresented merely within the colorectal
carcinoma ligandome. From the pool of tumor-exclusive peptides, a selected HLA
ligand subset was assessed for immunogenicity, with the majority exhibiting an
existing T-cell repertoire. Overall, these data show that the HLA ligandome
reflects cancer-associated pathways implicated in colorectal carcinoma
oncogenesis, suggesting that alterations in tumor cell metabolism could result in
cancer-specific, albeit not mutation-derived, tumor antigens. Hence, a defined
pool of unique tumor peptides, attributable to complex cellular alterations that
are exclusive to malignant cells, might comprise promising candidates for
immunotherapeutic applications.Significance: Cancer-associated pathways are
reflected in the antigenic landscape of colorectal cancer, suggesting that tumor
specific antigens do not necessarily have to be mutation-derived but may also
originate from other alterations in cancer cells. Cancer Res; 78(16); 4627-41.
(c)2018 AACR.
PMID- 29789420
TI - Glycosylation of Recombinant Anticancer Therapeutics in Different Expression
Systems with Emerging Technologies.
AB - Glycosylation, a posttranslational modification, has a major role in recombinant
anticancer therapeutic proteins, as most of the approved recombinant therapeutics
are glycoproteins. The constant amino acid sequence of therapeutics determines
the enzymatic activity, while the presence of glycans influences their
pharmacokinetics, solubility, distribution, serum half-life, effector function,
and binding to receptors. Glycoproteins expressed in different expression systems
acquire their own oligosaccharides, which increases the protein diversity. The
heterogeneity of glycans creates hurdles in downstream processing, ultimately
leading to variable anticancer therapeutic efficacy. Therefore, glycoproteins
require an appropriate expression system to obtain structurally and functionally
identical glycans, as in humans. In many expression systems, the N-glycosylation
pathway remains conserved in the endoplasmic reticulum, but divergence is
observed when the protein enters the Golgi complex. Hence, in recent decades,
numerous approaches have been adopted to engineer the Golgi's N-glycosylation
pathway to attain human-like glycans. Several researchers have tried to engineer
the N-glycosylation pathway of expression systems. In this review, we examine the
glycosylation pattern in various expression systems, along with emerging
technologies for glycosylation engineering of anticancer therapeutic drugs.
Cancer Res; 78(11); 2787-98. (c)2018 AACR.
PMID- 29789422
TI - Machine Learning-Based Radiomics for Molecular Subtyping of Gliomas.
AB - Purpose: The new classification announced by the World Health Organization in
2016 recognized five molecular subtypes of diffuse gliomas based on isocitrate
dehydrogenase (IDH) and 1p/19q genotypes in addition to histologic phenotypes. We
aim to determine whether clinical MRI can stratify these molecular subtypes to
benefit the diagnosis and monitoring of gliomas.Experimental Design: The data
from 456 subjects with gliomas were obtained from The Cancer Imaging Archive.
Overall, 214 subjects, including 106 cases of glioblastomas and 108 cases of
lower grade gliomas with preoperative MRI, survival data, histology, IDH, and
1p/19q status were included. We proposed a three-level machine-learning model
based on multimodal MR radiomics to classify glioma subtypes. An independent
dataset with 70 glioma subjects was further collected to verify the model
performance.Results: The IDH and 1p/19q status of gliomas can be classified by
radiomics and machine-learning approaches, with areas under ROC curves between
0.922 and 0.975 and accuracies between 87.7% and 96.1% estimated on the training
dataset. The test on the validation dataset showed a comparable model performance
with that on the training dataset, suggesting the efficacy of the trained
classifiers. The classification of 5 molecular subtypes solely based on the MR
phenotypes achieved an 81.8% accuracy, and a higher accuracy of 89.2% could be
achieved if the histology diagnosis is available.Conclusions: The MR radiomics
based method provides a reliable alternative to determine the histology and
molecular subtypes of gliomas. Clin Cancer Res; 24(18); 4429-36. (c)2018 AACR.
PMID- 29789421
TI - Pericytes in the Premetastatic Niche.
AB - The premetastatic niche formed by primary tumor-derived molecules contributes to
fixation of cancer metastasis. The design of efficient therapies is limited by
the current lack of knowledge about the details of cellular and molecular
mechanisms involved in the premetastatic niche formation. Recently, the role of
pericytes in the premetastatic niche formation and lung metastatic tropism was
explored by using state-of-the-art techniques, including in vivo lineage-tracing
and mice with pericyte-specific KLF4 deletion. Strikingly, genetic inactivation
of KLF4 in pericytes inhibits pulmonary pericyte expansion and decreases
metastasis in the lung. Here, we summarize and evaluate recent advances in the
understanding of pericyte contribution to premetastatic niche formation. Cancer
Res; 78(11); 2779-86. (c)2018 AACR.
PMID- 29789424
TI - The C-terminal region of the yeast monocarboxylate transporter Jen1 acts as a
glucose signal-responding degron recognized by the alpha-arrestin Rod1.
AB - In response to changes in nutrient conditions, cells rearrange the composition of
plasma membrane (PM) transporters to optimize their metabolic flux. Not only
transcriptional gene regulation, but also inactivation of specific transporters
is important for fast rearrangement of the PM. In eukaryotic cells, endocytosis
plays a role in transporter inactivation, which is triggered by ubiquitination of
these transporters. The Nedd4 family E3 ubiquitin ligase is responsible for
ubiquitination of the PM transporters and requires that a series of alpha
arrestin proteins are targeted to these transporters. The mechanism by which an
alpha-arrestin recognizes its cognate transporters in response to environmental
signals is of intense scientific interest. Excess substrates or signal
transduction pathways are known to initiate recognition of transporters by alpha
arrestins. Here, we identified an endocytic-sorting signal in the monocarboxylate
transporter Jen1 from yeast (Saccharomyces cerevisiae), whose endocytic
degradation depends on the Snf1-glucose signaling pathway. We found that the C
terminal 20-amino acid-long region of Jen1 contains an amino acid sequence
required for association of Jen1 to the alpha-arrestin Rod1, as well as lysine
residues important for glucose-induced Jen1 ubiquitination. Notably, fusion of
this region to the methionine permease, Mup1, whose endocytosis is normally
induced by excess methionine, was sufficient for Mup1 to undergo glucose-induced,
Rod1-mediated endocytosis. Taken together, our results demonstrate that the Jen1
C-terminal region acts as a glucose-responding degron for alpha-arrestin-mediated
endocytic degradation of Jen1.
PMID- 29789423
TI - ATP-binding cassette member B5 (ABCB5) promotes tumor cell invasiveness in human
colorectal cancer.
AB - ABC member B5 (ABCB5) mediates multidrug resistance (MDR) in diverse malignancies
and confers clinically relevant 5-fluorouracil resistance to CD133-expressing
cancer stem cells in human colorectal cancer (CRC). Because of its recently
identified roles in normal stem cell maintenance, we hypothesized that ABCB5
might also serve MDR-independent functions in CRC. Here, in a prospective
clinical study of 142 CRC patients, we found that ABCB5 mRNA transcripts
previously reported not to be significantly expressed in healthy peripheral blood
mononuclear cells are significantly enriched in patient peripheral blood
specimens compared with non-CRC controls and correlate with CRC disease
progression. In human-to-mouse CRC tumor xenotransplantation models that
exhibited circulating tumor mRNA, we observed that cancer-specific ABCB5
knockdown significantly reduced detection of these transcripts, suggesting that
the knockdown inhibited tumor invasiveness. Mechanistically, this effect was
associated with inhibition of expression and downstream signaling of AXL receptor
tyrosine kinase (AXL), a proinvasive molecule herein shown to be produced by
ABCB5-positive CRC cells. Importantly, rescue of AXL expression in ABCB5
knockdown CRC tumor cells restored tumor-specific transcript detection in the
peripheral blood of xenograft recipients, indicating that ABCB5 regulates CRC
invasiveness, at least in part, by enhancing AXL signaling. Our results implicate
ABCB5 as a critical determinant of CRC invasiveness and suggest that ABCB5
blockade might represent a strategy in CRC therapy, even independently of ABCB5's
function as an MDR mediator.
PMID- 29789425
TI - A heterodimer formed by bone morphogenetic protein 9 (BMP9) and BMP10 provides
most BMP biological activity in plasma.
AB - Bone morphogenetic protein 9 (BMP9) and BMP10 are the two high-affinity ligands
for the endothelial receptor activin receptor-like kinase 1 (ALK1) and are key
regulators of vascular remodeling. They are both present in the blood, but their
respective biological activities are still a matter of debate. The aim of the
present work was to characterize their circulating forms to better understand how
their activities are regulated in vivo First, by cotransfecting BMP9 and BMP10,
we found that both can form a disulfide-bonded heterodimer in vitro and that this
heterodimer is functional on endothelial cells via ALK1. Next, we developed an
ELISA that could specifically recognize the BMP9-BMP10 heterodimer and which
indicated its presence in both human and mouse plasma. In addition to using
available Bmp9-KO mice, we generated a conditional Bmp10-KO mouse strain. The
plasma from Bmp10-KO mice, similarly to that of Bmp9-KO mice, completely lacked
the ability to activate ALK1-transfected 3T3 cells or phospho-Smad1-5 on
endothelial cells, indicating that the circulating BMP activity is mostly due to
the BMP9-BMP10 heterodimeric form. This result was confirmed in human plasma that
had undergone affinity chromatography to remove BMP9 homodimer. Finally, we
provide evidence that hepatic stellate cells in the liver could be the source of
the BMP9-BMP10 heterodimer. Together, our findings demonstrate that BMP9 and
BMP10 can heterodimerize and that this heterodimer is responsible for most of the
biological BMP activity found in plasma.
PMID- 29789426
TI - JAK1-mediated Sirt1 phosphorylation functions as a negative feedback of the JAK1
STAT3 pathway.
AB - The type III NAD-dependent histone deacetylase Sirt1 plays important roles in a
variety of pathobiological functions through targeting either the acetylated
histones or transcription factors. However, the molecular mechanisms underlying
how the Sirt1 functions are regulated remain vague. Herein we identified that the
Janus kinase 1 (JAK1) interacts with Sirt1 and catalyzes its phosphorylation at
the tyrosine residues of 280 and 301, both of which are highly conserved and
located in the histone deacetylase catalytic domain of Sirt1. IL-6 stimulation
enhanced Sirt1 interaction with JAK1 and JAK1-mediated Sirt1 phosphorylation.
Interestingly, JAK1-mediated Sirt1 phosphorylation did not alter Sirt1
deacetylase catalytic activity, but instead it is required for Sirt1 interaction
with the downstream transcription factor STAT3. JAK1-mediated phosphorylation
enhanced Sirt1 suppression of STAT3 acetylation and transcriptional activity. As
a consequence, Sirt1 activation attenuates IL-6 activity in protecting cancer
cells from chemotherapeutic drug-induced apoptosis. Our studies identify JAK1 as
a previously unappreciated tyrosine kinase of Sirt1 and reveal a novel negative
feedback of the JAK1-STAT3 pathway.
PMID- 29789428
TI - Injury prevention: achieving population-level change.
PMID- 29789427
TI - Impact of tobacco-specific nitrosamine-derived DNA adducts on the efficiency and
fidelity of DNA replication in human cells.
AB - The tobacco-derived nitrosamines 4-(methylnitrosamino)-1-(3-pyridyl)-1-butanone
(NNK) and N'-nitrosonornicotine (NNN) are known human carcinogens. Following
metabolic activation, NNK and NNN can induce a number of DNA lesions, including
several 4-(3-pyridyl)-4-oxobut-1-yl (POB) adducts. However, it remains unclear to
what extent these lesions affect the efficiency and accuracy of DNA replication
and how their replicative bypass is influenced by translesion synthesis (TLS) DNA
polymerases. In this study, we investigated the effects of three stable POB DNA
adducts (O2-POB-dT, O4-POB-dT, and O6-POB-dG) on the efficiency and fidelity of
DNA replication in HEK293T human cells. We found that, when situated in a double
stranded plasmid, O2-POB-dT and O4-POB-dT moderately blocked DNA replication and
induced exclusively T->A (~14.9%) and T->C (~35.2%) mutations, respectively. On
the other hand, O6-POB-dG slightly impeded DNA replication, and this lesion
elicited primarily the G->A transition (~75%) together with a low frequency of
the G->T transversion (~3%). By conducting replication studies in isogenic cells
in which specific TLS DNA polymerases (Pols) were deleted by CRISPR-Cas9 genome
editing, we observed that multiple TLS Pols, especially Pol eta and Pol zeta, are
involved in bypassing these lesions. Our findings reveal the cytotoxic and
mutagenic properties of specific POB DNA adducts and unravel the roles of several
TLS polymerases in the replicative bypass of these adducts in human cells.
Together, these results provide important new knowledge about the biological
consequences of POB adducts.
PMID- 29789429
TI - Brown adipose tissue development and function and its impact on reproduction.
AB - Although brown adipose tissue (BAT) is one of the smallest organs in the body, it
has the potential to have a substantial impact on both heat production as well as
fat and carbohydrate metabolism. This is most apparent at birth, which is
characterised with the rapid appearance and activation of the BAT specific
mitochondrial uncoupling protein (UCP)1 in many large mammals. The amount of
brown fat then gradually declines with age, an adaptation that can be modulated
by the thermal environment. Given the increased incidence of maternal obesity and
its potential transmission to the mother's offspring, increasing BAT activity in
the mother could be one mechanism to prevent this cycle. To date, however, all
rodent studies investigating maternal obesity have been conducted at standard
laboratory temperature (21 degrees C), which represents an appreciable cold
challenge. This could also explain why offspring weight is rarely increased,
suggesting that future studies would benefit from being conducted at
thermoneutrality (~28 degrees C). It is also becoming apparent that each fat
depot has a unique transcriptome and show different developmental pattern, which
is not readily apparent macroscopically. These differences could contribute to
the retention of UCP1 within the supraclavicular fat depot, the most active depot
in adult humans, increasing heat production following a meal. Despite the rapid
increase in publications on BAT over the past decade, the extent to which
modifications in diet and/or environment can be utilised to promote its activity
in the mother and/or her offspring remains to be established.
PMID- 29789430
TI - Dialysis versus Medical Management at Different Ages and Levels of Kidney
Function in Veterans with Advanced CKD.
AB - Background Appropriate patient selection and optimal timing of dialysis
initiation among older adults with advanced CKD are uncertain. We determined the
association between dialysis versus medical management and survival at different
ages and levels of kidney function.Methods We assembled a nationally
representative 20% sample of United States veterans with eGFR<30 ml/min per 1.73
m2 between 2005 and 2010 (n=73,349), with follow-up through 2012. We used an
extended Cox model to determine associations among the time-varying exposures,
age (<65, 65-74, 75-84, and >=85 years), eGFR (<6, 6-<9, 9-<12, 12-<15, and 15
<29 ml/min per 1.73 m2), and provision of dialysis, and survival.Result Over the
mean+/-SEM follow-up of 3.4+/-2.2 years, 15% of patients started dialysis and 52%
died. The eGFR at which dialysis, compared with medical management, associated
with lower mortality varied by age (P<0.001). For patients aged <65, 65-74, 75
84, and >=85 years, dialysis associated with lower mortality for those with eGFR
not exceeding 6-<9, <6, 9-<12, and 9-<12 ml/min per 1.73 m2, respectively.
Dialysis initiation at eGFR<6 ml/min per 1.73 m2 associated with a higher median
life expectancy of 26, 25, and 19 months for patients aged 65, 75, and 85 years,
respectively. When dialysis was initiated at eGFR 9-<12 ml/min per 1.73 m2, the
estimated difference in median life expectancy was <1 year for these
patients.Conclusions Provision of dialysis at higher levels of kidney function
may extend survival for some older patients.
PMID- 29789432
TI - GATM Mutations Cause a Dominant Fibrillar Conformational Disease in Mitochondria
When Eternity Kills.
PMID- 29789431
TI - Clinical and Pathology Findings Associate Consistently with Larger Glomerular
Volume.
AB - Background Glomerular volume increases when demand exceeds nephron supply, which
may lead to glomerulosclerosis. It is unclear if determinants of glomerular
volume are consistent between populations that differ by severity of
comorbidities.Methods We studied kidney biopsy specimens from living kidney
donors (n=2453) and patients who underwent radical nephrectomy for a renal tumor
(n=780). We scanned specimen sections into high-resolution digital images,
manually traced glomerular profiles, and calculated mean glomerular volumes using
the Weibel-Gomez stereologic formula (separately for nonsclerosed glomeruli and
globally sclerosed glomeruli). We then assessed the relationship of glomerular
volume with age, clinical characteristics, and nephrosclerosis on biopsy
specimen.Results Compared with kidney donors, patients with tumors were older and
more frequently men, obese, diabetic, or hypertensive, had more
glomerulosclerosis and interstitial fibrosis on biopsy specimen, and had 12%
larger nonsclerosed glomeruli (P<0.001). In both populations, male sex, taller
height, obesity, hypertension, and proteinuria associated with larger
nonsclerosed glomeruli to a similar extent. In patients with tumors, diabetes,
glomerulosclerosis >25%, and interstitial fibrosis >25% also associated with
larger nonsclerosed glomeruli. Independent clinical predictors of larger
nonsclerotic glomeruli were family history of ESRD, male sex, taller height,
obesity, diabetes, and proteinuria. After adjustment for these characteristics,
nonsclerotic glomerular volume did not differ between populations and was stable
up to age 75 years, after which it decreased with age. Many of these findings
were also evident with globally sclerotic glomerular volume.Conclusions
Characteristics associated with glomerular volume are consistent between patient
populations with low and high levels of comorbidity.
PMID- 29789433
TI - Retraction for Hunter et al., "Lactobacillus bulgaricus Prevents Intestinal
Epithelial Cell Injury Caused by Enterobacter sakazakii-Induced Nitric Oxide both
In Vitro and in the Newborn Rat Model of Necrotizing Enterocolitis".
PMID- 29789434
TI - Erratum for Graham-Brown et al., "Dairy Heifers Naturally Exposed to Fasciola
hepatica Develop a Type 2 Immune Response and Concomitant Suppression of
Leukocyte Proliferation".
PMID- 29789436
TI - Low Vulnerability to Xylem Embolism in Leaves and Stems of North American Oaks.
AB - Although recent findings suggest that xylem embolism represents a significant,
drought-induced damaging process in land plants, substantial debate surrounds the
capacity of long-vesseled, ring-porous species to resist embolism. We
investigated whether recent methodological developments could help resolve this
controversy within Quercus, a long-vesseled, ring-porous temperate angiosperm
genus, and shed further light on the importance of xylem vulnerability to
embolism as an indicator of drought tolerance. We used the optical technique to
quantify leaf and stem xylem vulnerability to embolism of eight Quercus species
from the Mediterranean-type climate region of California to examine absolute
measures of resistance to embolism as well as any potential hydraulic
segmentation between tissue types. We demonstrated that our optical assessment
reflected flow impairment for a subset of our sample species by quantifying
changes in leaf hydraulic conductance in dehydrating branches. Air-entry water
potential varied 2-fold in leaves, ranging from -1.7 +/- 0.25 MPa to -3.74 +/-
0.23 MPa, and 4-fold in stems, ranging from -1.17 +/- 0.04 MPa to -4.91 +/- 0.3
MPa. Embolism occurred earlier in leaves than in stems in only one out of eight
sample species, and plants always lost turgor before experiencing stem embolism.
Our results show that long-vesseled North American Quercus species are more
resistant to embolism than previously thought and support the hypothesis that
avoiding stem embolism is a critical component of drought tolerance in woody
trees. Accurately quantifying xylem vulnerability to embolism is essential for
understanding species distributions along aridity gradients and predicting plant
mortality during drought.
PMID- 29789437
TI - Dynamics of RecA-mediated repair of replication-dependent DNA breaks.
AB - Chromosomal replication is the major source of spontaneous DNA double-strand
breaks (DSBs) in living cells. Repair of these DSBs is essential for cell
viability, and accuracy of repair is critical to avoid chromosomal
rearrangements. Repair of replication-dependent DSBs occurs primarily by
homologous recombination with a sister chromosome. However, this reaction has
never been visualized at a defined chromosomal locus, so little is known about
its spatial or temporal dynamics. Repair of a replication-independent DSB
generated in Escherichia coli by a rare-cutting endonuclease leads to the
formation of a bundle of RecA filaments. In this study, we show that in contrast,
repair of a replication-dependent DSB involves a transient RecA focus localized
in the central region of the cell in which the DNA is replicated. The recombining
loci remain centrally located with restricted movement before segregating with
little extension to the period of postreplicative sister-chromosome cohesion. The
spatial and temporal efficiency of this reaction is remarkable.
PMID- 29789435
TI - Molecular Mechanisms Preventing Senescence in Response to Prolonged Darkness in a
Desiccation-Tolerant Plant.
AB - The desiccation-tolerant plant Haberlea rhodopensis can withstand months of
darkness without any visible senescence. Here, we investigated the molecular
mechanisms of this adaptation to prolonged (30 d) darkness and subsequent return
to light. H. rhodopensis plants remained green and viable throughout the dark
treatment. Transcriptomic analysis revealed that darkness regulated several
transcription factor (TF) genes. Stress- and autophagy-related TFs such as ERF8,
HSFA2b, RD26, TGA1, and WRKY33 were up-regulated, while chloroplast- and
flowering-related TFs such as ATH1, COL2, COL4, RL1, and PTAC7 were repressed.
PHYTOCHROME INTERACTING FACTOR4, a negative regulator of photomorphogenesis and
promoter of senescence, also was down-regulated. In response to darkness, most of
the photosynthesis- and photorespiratory-related genes were strongly down
regulated, while genes related to autophagy were up-regulated. This occurred
concomitant with the induction of SUCROSE NON-FERMENTING1-RELATED PROTEIN KINASES
(SnRK1) signaling pathway genes, which regulate responses to stress-induced
starvation and autophagy. Most of the genes associated with chlorophyll
catabolism, which are induced by darkness in dark-senescing species, were either
unregulated (PHEOPHORBIDE A OXYGENASE, PAO; RED CHLOROPHYLL CATABOLITE REDUCTASE,
RCCR) or repressed (STAY GREEN-LIKE, PHEOPHYTINASE, and NON-YELLOW COLORING1).
Metabolite profiling revealed increases in the levels of many amino acids in
darkness, suggesting increased protein degradation. In darkness, levels of the
chloroplastic lipids digalactosyldiacylglycerol, monogalactosyldiacylglycerol,
phosphatidylglycerol, and sulfoquinovosyldiacylglycerol decreased, while those of
storage triacylglycerols increased, suggesting degradation of chloroplast
membrane lipids and their conversion to triacylglycerols for use as energy and
carbon sources. Collectively, these data show a coordinated response to darkness,
including repression of photosynthetic, photorespiratory, flowering, and
chlorophyll catabolic genes, induction of autophagy and SnRK1 pathways, and
metabolic reconfigurations that enable survival under prolonged darkness.
PMID- 29789440
TI - Objective evaluation of ram and buck sperm motility by using a novel sperm
tracker software.
AB - This work offers researchers the first version of an open-source sperm tracker
software (Sperm Motility Tracker, V1.0) containing a novel suit of algorithms to
analyze sperm motility using ram and buck sperm as models. The computer-assisted
semen analysis is used in several publications with increasing trend worldwide in
the last years, showing the importance of objective methodologies to evaluate
semen quality. However, commercial systems are costly and versatility is
constrained. In the proposed method, segmentation is applied and the tracking
stage is performed by using individual Kalman filters and a simplified occlusion
handling method. The tracking performance in terms of precision (number of true
tracks), the percentage of fragmented paths and percentage of correctly detected
particles were manually validated by three experts and compared with the
performance of a commercial motility analyzer (Microptic's SCA). The precision
obtained with our sperm motility tracker was higher than the one obtained with a
commercial software at the current acquisition frame rate of 25 fps (P < 0.0001),
concomitantly with a similar percentage of fragmentized tracks (P = 0.0709) at
sperm concentrations ranging 25-37 * 106 cells/mL. Moreover, our tracker was able
to detect trajectories that were unseen by SCA. Kinetic values obtained by using
both methods were contrasted. The higher values found were explained based on the
better performance of our sperm tracker to report speed parameters for very fast
motile sperm. To standardize results, acquisition conditions are suggested. This
open-source sperm tracker software has a good plasticity allowing researchers to
upgrade according requirements and to apply the tool for sperm from a variety of
species.
PMID- 29789439
TI - Autophagosomal YKT6 is required for fusion with lysosomes independently of
syntaxin 17.
AB - Macroautophagy is an evolutionarily conserved catabolic mechanism that delivers
intracellular constituents to lysosomes using autophagosomes. To achieve
degradation, lysosomes must fuse with closed autophagosomes. We previously
reported that the soluble N-ethylmaleimide-sensitive factor attachment protein
receptor (SNARE) protein syntaxin (STX) 17 translocates to autophagosomes to
mediate fusion with lysosomes. In this study, we report an additional mechanism.
We found that autophagosome-lysosome fusion is retained to some extent even in
STX17 knockout (KO) HeLa cells. By screening other human SNAREs, we identified
YKT6 as a novel autophagosomal SNARE protein. Depletion of YKT6 inhibited
autophagosome-lysosome fusion partially in wild-type and completely in STX17 KO
cells, suggesting that YKT6 and STX17 are independently required for fusion. YKT6
formed a SNARE complex with SNAP29 and lysosomal STX7, both of which are required
for autophagosomal fusion. Recruitment of YKT6 to autophagosomes depends on its N
terminal longin domain but not on the C-terminal palmitoylation and farnesylation
that are essential for its Golgi localization. These findings suggest that two
independent SNARE complexes mediate autophagosome-lysosome fusion.
PMID- 29789438
TI - Integrin alpha4beta7 switches its ligand specificity via distinct conformer
specific activation.
AB - Chemokine (C-C motif) ligand 25 (CCL25) and C-X-C motif chemokine 10 (CXCL10)
induce the ligand-specific activation of integrin alpha4beta7 to mediate the
selective adhesion of lymphocytes to mucosal vascular addressin cell adhesion
molecule-1 (MAdCAM-1) or vascular cell adhesion molecule-1 (VCAM-1). However, the
mechanism underlying the selective binding of different ligands by alpha4beta7
remains obscure. In this study, we demonstrate that CCL25 and CXCL10 induce
distinct active conformers of alpha4beta7 with a high affinity for either MAdCAM
1 or VCAM-1. Single-cell force measurements show that CCL25 increases the
affinity of alpha4beta7 for MAdCAM-1 but decreases its affinity for VCAM-1,
whereas CXCL10 has the opposite effect. Structurally, CCL25 induces a more
extended active conformation of alpha4beta7 compared with CXCL10-activated
integrin. These two distinct intermediate open alpha4beta7 conformers selectively
bind to MAdCAM-1 or VCAM-1 by distinguishing their immunoglobulin domain 2.
Notably, Mn2+ fully opens alpha4beta7 with a high affinity for both ligands.
Thus, integrin alpha4beta7 adopts different active conformations to switch its
ligand-binding specificity.
PMID- 29789441
TI - Metformin counteracts the effects of FSH on rat Sertoli cell proliferation.
AB - Metformin (MET) is one of the most widely used anti-hyperglycemic agents for
treating patients with type 2 diabetes and it has started to be used in pediatric
population at ages when Sertoli cells are still proliferating. It is well known
that follicle-stimulating hormone (FSH) is the major Sertoli cell mitogen. The
aim of the study is to investigate a possible effect of MET, which has been shown
to have anti-proliferative properties, on FSH regulation of postnatal Sertoli
cell proliferation and on the molecular mechanisms involved in this regulation.
The present study was performed in eight-day-old rat Sertoli cell cultures. The
results obtained show that MET in the presence of FSH increases phosphorylated
acetyl-CoA carboxylase and decreases phosphorylated p70S6K levels. Moreover, we
show that MET decreases FSH-stimulated Sertoli cell proliferation, and this
decrease is accompanied by a reduction in FSH-stimulated Ccnd1 and Ccnd2
expression and an increase in cell cycle inhibitor p21Cip expression. Altogether,
these results suggest that MET can, at least in part, counteract the effect of
FSH on postnatal Sertoli cell proliferation.
PMID- 29789442
TI - Ovine prenatal growth restriction impacts glucose metabolism and body composition
throughout life in both sexes.
AB - Low birthweight is a risk factor for later adverse health. Here the impact of
placentally mediated prenatal growth restriction followed by postnatal nutrient
abundance on growth, glucose metabolism and body composition was assessed in both
sexes at key stages from birth to mid-adult life. Singleton-bearing adolescent
dams were fed control or high nutrient intakes to induce normal or growth
restricted pregnancies respectively. Restricted lambs had ~40% reduced
birthweight. Fractional growth rates were higher in restricted lambs of both
sexes predominantly during suckling/juvenile phases. Thereafter, rates and
patterns of growth differed by sex. Absolute catch-up was not achieved and
restricted offspring had modestly reduced weight and stature at mid-adulthood
necropsy (~109 weeks). Dual-energy X-ray absorptiometry revealed lower bone
mineral density in restricted vs normal lambs at 11, 41, 64 and 107 weeks, with
males > females from 41 weeks onwards. Body fat percentage was higher in females
vs males throughout, in restricted vs normal lambs at weaning (both sexes) and in
restricted vs normal females at mid-adulthood. Insulin secretion after glucose
challenge was greater in restricted vs normal of both sexes at 7 weeks and in
restricted males at 32 weeks. In both sexes, fasting glucose concentrations were
greater in restricted offspring across the life course, while glucose area under
the curve after challenge was higher in restricted offspring at 32, 60, 85 and
106 weeks, indicative of persistent glucose intolerance. Therefore, prenatal
growth restriction has negative consequences for body composition and metabolism
throughout the life course with the effects modulated by sex differences in
postnatal growth rates, fat deposition and bone mass accrual.
PMID- 29789444
TI - Short-term Seizure Outcomes in Childhood Epilepsy.
AB - BACKGROUND AND OBJECTIVES: Seizure freedom is the optimal response to
antiepileptic treatment. In previous studies, it has been shown that between 61%
and 71% of children with epilepsy achieve seizure freedom, whereas 7% to 20% have
drug-resistant epilepsy. The definition of drug resistance has not been
consistent across studies, and there is a lack of contemporary population-based
data. We used data from a large nationwide child cohort to provide such
information, implementing the current standard definition of drug resistance.
METHODS: The study was based on the Norwegian Mother and Child Cohort Study.
Potential epilepsy cases were identified through registry linkages and parental
questionnaires. Medical record reviews and parental interviews were used to
collect clinical information and to classify seizures, epilepsies, and
etiologies. RESULTS: The cohort included 112 745 eligible children aged 3 to 13
years (median age 7 years) at end of follow-up. Of these, 600 were epilepsy cases
with at least 1 year of follow-up since epilepsy onset (median follow-up time:
5.8 years). There were 178 (30%) who had developed drug-resistant epilepsy, 353
(59%) who had been seizure free for >=1 year, and 69 (12%) with intermediate
seizure outcomes. Having an identified cause of epilepsy (genetic, structural,
metabolic, or infectious) was associated with unsatisfactory seizure outcome (48%
drug resistance) and influenced the relative risk associated with other
prognostic factors. Sociodemographic characteristics were not associated with
short-term seizure outcomes. CONCLUSIONS: Drug resistance occurs in 3 out of 10
children with epilepsy, whereas 6 out of 10 become seizure free. Having an
identified cause of epilepsy is associated with poor response to treatment.
PMID- 29789443
TI - Low-intensity pulsed ultrasound stimulation facilitates in vitro osteogenic
differentiation of human adipose-derived stem cells via up-regulation of heat
shock protein (HSP)70, HSP90, and bone morphogenetic protein (BMP) signaling
pathway.
AB - Low-intensity pulsed ultrasound (LIPUS) has positive effects on osteogenic
differentiation. However, the effect of LIPUS on osteogenic differentiation of
human adipose-derived stem cells (hASCs) is unclear. In the present study, we
investigated whether LIPUS could promote the proliferation and osteogenic
differentiation of hASCs. hASCs were isolated and osteogenically induced with
LIPUS stimulation at 20 and 30 mW cm-2 for 30 min day-1 Cell proliferation and
osteogenic differentiation potential of hASCs were respectively analyzed by cell
counting kit-8 assay, Alizarin Red S staining, real-time polymerase chain
reaction, and Western blotting. The results indicated that LIPUS stimulation did
not significantly affect the proliferation of hASCs, but significantly increased
their alkaline phosphatase activity on day 6 of culture and markedly promoted the
formation of mineralized nodules on day 21 of culture. The mRNA expression levels
of runt-related transcription factor, osteopontin, and osteocalcin were
significantly up-regulated by LIPUS stimulation. LIPUS stimulation did not affect
the expression of heat shock protein (HSP) 27, HSP40, bone morphogenetic protein
(BMP)-6 and BMP-9, but significantly up-regulated the protein levels of HSP70,
HSP90, BMP-2, and BMP-7 in the hASCs. Further studies found that LIPUS increased
the mRNA levels of Smad 1 and Smad 5, elevated the phosphorylation of Smad 1/5,
and suppressed the expression of BMP antagonist Noggin. These findings indicated
that LIPUS stimulation enhanced osteogenic differentiation of hASCs possibly
through the up-regulation of HSP70 and HSP90 expression and activation of BMP
signaling pathway. Therefore, LIPUS might have the potential to promote the
repair of bone defect.
PMID- 29789445
TI - Thermal Effect of a Woolen Cap in Low Birth Weight Infants During Kangaroo Care.
AB - BACKGROUND AND OBJECTIVES: World Health Organization guidelines recommend
covering the head during kangaroo mother care (KMC), but the effect of a cap on
neonatal thermal control during KMC remains to be defined. Our objective was to
assess the effectiveness and safety of a woolen cap in maintaining low birth
weight infants (LBWIs) in normal thermal range during KMC. METHODS: Three hundred
LBWI candidates for KMC in 3 African hospitals were randomly assigned to KMC with
(CAP group) or without (NOCAP group) a woolen cap in a 1:1 ratio during the first
week after birth. Axillary temperature was measured every 6 hours. Maternal and
room temperature and adherence to skin-to-skin contact were registered at the
same time points. RESULTS: A total number of 5064 measurements were recorded
(median 19 measurements per subject; interquartile range: 10-25). Mean time spent
in normal temperature range was 55% (SD 24) in CAP and 56% (SD 24) in NOCAP
groups. Multivariable analysis estimated a rate ratio of 0.92 (95% confidence
interval: 0.84 to 1.00; P = .06) for the effect of the cap versus no cap on time
spent in the normal temperature range. CONCLUSIONS: In these 3 African, low
resource settings and so many days post birth, the use of a woolen cap was safe
but provided no advantages in maintaining LBWI in the normal thermal range while
being in a KMC ward. LBWIs spent only half of the time in the normal temperature
range despite warm rooms and skin-to-skin contact. Maintaining normothermia in
LBWIs remains an unfinished challenge in low-resource settings.
PMID- 29789447
TI - Segment-Tube: Spatio-Temporal Action Localization in Untrimmed Videos with Per
Frame Segmentation.
AB - Inspired by the recent spatio-temporal action localization efforts with tubelets
(sequences of bounding boxes), we present a new spatio-temporal action
localization detector Segment-tube, which consists of sequences of per-frame
segmentation masks. The proposed Segment-tube detector can temporally pinpoint
the starting/ending frame of each action category in the presence of
preceding/subsequent interference actions in untrimmed videos. Simultaneously,
the Segment-tube detector produces per-frame segmentation masks instead of
bounding boxes, offering superior spatial accuracy to tubelets. This is achieved
by alternating iterative optimization between temporal action localization and
spatial action segmentation. Experimental results on three datasets validated the
efficacy of the proposed method, including (1) temporal action localization on
the THUMOS 2014 dataset; (2) spatial action segmentation on the Segtrack dataset;
and (3) joint spatio-temporal action localization on the newly proposed ActSeg
dataset. It is shown that our method compares favorably with existing state-of
the-art methods.
PMID- 29789448
TI - A Nonlinear Calibration Algorithm Based on Harmonic Decomposition for Two-Axis
Fluxgate Sensors.
AB - Nonlinearity is a prominent limitation to the calibration performance for two
axis fluxgate sensors. In this paper, a novel nonlinear calibration algorithm
taking into account the nonlinearity of errors is proposed. In order to establish
the nonlinear calibration model, the combined effort of all time-invariant errors
is analyzed in detail, and then harmonic decomposition method is utilized to
estimate the compensation coefficients. Meanwhile, the proposed nonlinear
calibration algorithm is validated and compared with a classical calibration
algorithm by experiments. The experimental results show that, after the nonlinear
calibration, the maximum deviation of magnetic field magnitude is decreased from
1302 nT to 30 nT, which is smaller than 81 nT after the classical calibration.
Furthermore, for the two-axis fluxgate sensor used as magnetic compass, the
maximum error of heading is corrected from 1.86 degrees to 0.07 degrees , which
is approximately 11% in contrast with 0.62 degrees after the classical
calibration. The results suggest an effective way to improve the calibration
performance of two-axis fluxgate sensors.
PMID- 29789446
TI - Validation of Ion TorrentTM Inherited Disease Panel with the PGMTM Sequencing
Platform for Rapid and Comprehensive Mutation Detection.
AB - Quick and accurate molecular testing is necessary for the better management of
many inherited diseases. Recent technological advances in various next generation
sequencing (NGS) platforms, such as target panel-based sequencing, has enabled
comprehensive, quick, and precise interrogation of many genetic variations. As a
result, these technologies have become a valuable tool for gene discovery and for
clinical diagnostics. The AmpliSeq Inherited Disease Panel (IDP) consists of 328
genes underlying more than 700 inherited diseases. Here, we aimed to assess the
performance of the IDP as a sensitive and rapid comprehensive gene panel testing.
A total of 88 patients with inherited diseases and causal mutations that were
previously identified by Sanger sequencing were randomly selected for assessing
the performance of the IDP. The IDP successfully detected 93.1% of the mutations
in our validation cohort, achieving high overall gene coverage (98%). The
sensitivity for detecting single nucleotide variants (SNVs) and short Indels was
97.3% and 69.2%, respectively. IDP, when coupled with Ion Torrent Personal Genome
Machine (PGM), delivers comprehensive and rapid sequencing for genes that are
responsible for various inherited diseases. Our validation results suggest the
suitability of this panel for use as a first-line screening test after applying
the necessary clinical validation.
PMID- 29789449
TI - Automatic Identification of Alpine Mass Movements by a Combination of Seismic and
Infrasound Sensors.
AB - The automatic detection and identification of alpine mass movements such as
debris flows, debris floods, or landslides have been of increasing importance for
devising mitigation measures in densely populated and intensively used alpine
regions. Since these mass movements emit characteristic seismic and acoustic
waves in the low-frequency range (.
PMID- 29789450
TI - Lessons Learned in Developing a Commercial FIV Vaccine: The Immunity Required for
an Effective HIV-1 Vaccine.
AB - The feline immunodeficiency virus (FIV) vaccine called Fel-O-Vax(r) FIV is the
first commercial FIV vaccine released worldwide for the use in domestic cats
against global FIV subtypes (A-E). This vaccine consists of inactivated dual
subtype (A plus D) FIV-infected cells, whereas its prototype vaccine consists of
inactivated dual-subtype whole viruses. Both vaccines in experimental trials
conferred moderate-to-substantial protection against heterologous strains from
homologous and heterologous subtypes. Importantly, a recent case-control field
study of Fel-O-Vax-vaccinated cats with outdoor access and >=3 years of annual
vaccine boost, resulted in a vaccine efficacy of 56% in Australia where subtype-A
viruses prevail. Remarkably, this protection rate is far better than the
protection rate of 31.2% observed in the best HIV-1 vaccine (RV144) trial.
Current review describes the findings from the commercial and prototype vaccine
trials and compares their immune correlates of protection. The studies described
in this review demonstrate the overarching importance of ant-FIV T-cell immunity
more than anti-FIV antibody immunity in affording protection. Thus, future
efforts in developing the next generation FIV vaccine and the first effective HIV
1 vaccine should consider incorporating highly conserved protective T-cell
epitopes together with the conserved protective B-cell epitopes, but without
inducing adverse factors that eliminate efficacy.
PMID- 29789453
TI - In Situ Determination of Bisphenol A in Beverage Using a Molybdenum
Selenide/Reduced Graphene Oxide Nanoparticle Composite Modified Glassy Carbon
Electrode.
AB - Due to the endocrine disturbing effects of bisphenol A (BPA) on organisms, rapid
detection has become one of the most important techniques for monitoring its
levels in the aqueous solutions associated with plastics and human beings. In
this paper, a glassy carbon electrode (GCE) modified with molybdenum
selenide/reduced graphene oxide (MoSe2/rGO) was fabricated for in situ
determination of bisphenol A in several beverages. The surface area of the
electrode dramatically increases due to the existence of ultra-thin nanosheets in
a flower-like structure of MoSe2. Adding phosphotungstic acid in the electrolyte
can significantly enhance the repeatability (RSD = 0.4%) and reproducibility (RSD
= 2.2%) of the electrode. Under the optimized condition (pH = 6.5), the linear
range of BPA was from 0.1 MUM-100 MUM and the detection limit was 0.015 MUM (S/N
= 3). When using the as-prepared electrode for analyzing BPA in beverage samples
without any pretreatments, the recoveries ranged from 98-107%, and the
concentrations were from below the detection limit to 1.7 MUM, indicating its
potential prospect for routine analysis of BPA.
PMID- 29789454
TI - Magnetorelaxometry in the Presence of a DC Bias Field of Ferromagnetic
Nanoparticles Bearing a Viscoelastic Corona.
AB - With allowance for orientational Brownian motion, the magnetorelaxometry (MRX)
signal, i.e., the decay of magnetization generated by an ensemble of ferromagnet
nanoparticles, each of which bears a macromolecular corona (a loose layer of
polymer gel) is studied. The rheology of corona is modelled by the Jeffreys
scheme. The latter, although comprising only three phenomenological parameters,
enables one to describe a wide spectrum of viscoelastic media: from linearly
viscous liquids to weakly-fluent gels. The "transverse" configuration of MRX is
considered where the system is subjected to a DC (constant bias) field, whereas
the probing field is applied perpendicularly to the bias one. The analysis shows
that the rate of magnetization decay strongly depends on the state of corona and
slows down with enhancement of the corona elasticity. In addition, for the case
of "transverse" MRX, we consider the integral time, i.e., the characteristic that
is applicable to relaxation processes with an arbitrary number of decay modes.
Expressions for the dependence of the integral time on the corona elasticity
parameter and temperature are derived.
PMID- 29789451
TI - Zebrafish Models of Rare Hereditary Pediatric Diseases.
AB - Recent advances in sequencing technologies have made it significantly easier to
find the genetic roots of rare hereditary pediatric diseases. These novel methods
are not panaceas, however, and they often give ambiguous results, highlighting
multiple possible causative mutations in affected patients. Furthermore, even
when the mapping results are unambiguous, the affected gene might be of unknown
function. In these cases, understanding how a particular genotype can result in a
phenotype also needs carefully designed experimental work. Model organism
genetics can offer a straightforward experimental setup for hypothesis testing.
Containing orthologs for over 80% of the genes involved in human diseases,
zebrafish (Danio rerio) has emerged as one of the top disease models over the
past decade. A plethora of genetic tools makes it easy to create mutations in
almost any gene of the zebrafish genome and these mutant strains can be used in
high-throughput preclinical screens for active molecules. As this small
vertebrate species offers several other advantages as well, its popularity in
biomedical research is bound to increase, with "aquarium to bedside" drug
development pipelines taking a more prevalent role in the near future.
PMID- 29789455
TI - Map Changes and Theme Evolution in Work Hours: A Co-Word Analysis.
AB - (1) Background: Work hours are the basic carrier impacting employees' work-life
experience and organizational performance, and employees have greater anxiety in
relation to work hours as new technology requires an increasingly faster work
rhythm. However, scientific research on this topic lags far behind the practice,
calling to attention the need for research on work hours from the perspective of
historical evolution; (2) Methods: The Bibliometric method is used to analyze the
6364 articles and their contained 77 high-frequency keywords related to work
hours from the Web of Science published between 1901 and 2017. Additionally, an
individual-organization-society integrative perspective was adopted to describe
the map changes and theme evolution of work hours; (3) Results and conclusions:
The hot spots of research at the organizational level changed significantly
around 1990, with the theme of "long work hours" becoming the core issue in
recent years. Studies on the individual level have gradually moved from
physiological aspects to the issues of burnout and psychological distress.
Research topics related to the social level are somewhat loose, and mainly
focused on work-life conflict areas. In addition, the cluster analysis based on
the high-frequency keywords classifies six research types according to their
research themes. Based on these findings, future trends are proposed to provide
theoretical and practical reference for future studies.
PMID- 29789456
TI - Human Health Risk Assessment of Toxic Elements in Farmland Topsoil with Source
Identification in Jilin Province, China.
AB - The presence of toxic elements in agricultural soils from anthropogenic
activities is a potential threat to human health through the food chain. In this
study, the concentration of toxic elements in 122 agricultural topsoil composite
samples were determined in order to study the current status, identify their
sources and assess the level of pollution and human health risk. The results
showed that the mean concentrations of Zn, Cu, Pb, Cd, Hg and As in the farmland
topsoil were 21.72, 15.09, 36.08, 0.2451, 0.0378 and 4.957 mg.kg-1, respectively.
The spatial distribution showed that the soils were mainly contaminated by Cd, Pb
and Hg in midwest Jilin but by Cu and As in the east. According to the pollution
index (Pi), Nemerow integrated pollution index (PN) and Geo-Accumulation Index
(Igeo), Cd and Pb were the main pollutants in the soils. The occurrence of these
elements was caused by anthropogenic activities and they were concentrated in the
Songyuan-Changchun-Siping economic belt. There is limited non-carcinogenic and
carcinogenic health risk to humans. Principal component analyses suggest the Pb,
Cd and Hg soil contamination was mainly derived from anthropogenic activities in
the Midwest, but all examined toxic elements in the east were mainly due to
geogenic anomalies and came from atmospheric deposition.
PMID- 29789458
TI - Flying Real-Time Network to Coordinate Disaster Relief Activities in Urban Areas
?.
AB - While there have been important advances within wireless communication
technology, the provision of communication support during disaster relief
activities remains an open issue. The literature in disaster research reports
several major restrictions to conducting first response activities in urban
areas, given the limitations of telephone networks and radio systems to provide
digital communication in the field. In search-and-rescue operations, the
communication requirements are increased, since the first responders need to rely
on real-time and reliable communication to perform their activities and
coordinate their efforts with other teams. Therefore, these limitations open the
door to improvisation during disaster relief efforts. In this paper, we argue
that flying ad-hoc networks can provide the communication support needed in these
scenarios, and propose a new solution towards that goal. The proposal involves
the use of flying witness units, implemented using drones, that act as
communication gateways between first responders working at different locations of
the affected area. The proposal is named the Flying Real-Time Network, and its
feasibility to provide communication in a disaster scenario is shown by
presenting both a real-time schedulability analysis of message delivery, as well
as simulations of the communication support in a physical scenario inspired by a
real incident. The obtained results were highly positive and consistent,
therefore this proposal represents a step forward towards the solution of this
open issue.
PMID- 29789452
TI - Circulating Metabolites Associated with Alcohol Intake in the European
Prospective Investigation into Cancer and Nutrition Cohort.
AB - Identifying the metabolites associated with alcohol consumption may provide
insights into the metabolic pathways through which alcohol may affect human
health. We studied associations of alcohol consumption with circulating
concentrations of 123 metabolites among 2974 healthy participants from the
European Prospective Investigation into Cancer and Nutrition (EPIC) study.
Alcohol consumption at recruitment was self-reported through dietary
questionnaires. Metabolite concentrations were measured by tandem mass
spectrometry (BIOCRATES AbsoluteIDQTM p180 kit). Data were randomly divided into
discovery (2/3) and replication (1/3) sets. Multivariable linear regression
models were used to evaluate confounder-adjusted associations of alcohol
consumption with metabolite concentrations. Metabolites significantly related to
alcohol intake in the discovery set (FDR q-value < 0.05) were further tested in
the replication set (Bonferroni-corrected p-value < 0.05). Of the 72 metabolites
significantly related to alcohol intake in the discovery set, 34 were also
significant in the replication analysis, including three acylcarnitines, the
amino acid citrulline, four lysophosphatidylcholines, 13
diacylphosphatidylcholines, seven acyl-alkylphosphatidylcholines, and six
sphingomyelins. Our results confirmed earlier findings that alcohol consumption
was associated with several lipid metabolites, and possibly also with specific
acylcarnitines and amino acids. This provides further leads for future research
studies aiming at elucidating the mechanisms underlying the effects of alcohol in
relation to morbid conditions.
PMID- 29789457
TI - Enhanced Catalytic Reduction of 4-Nitrophenol Driven by Fe3O4-Au Magnetic
Nanocomposite Interface Engineering: From Facile Preparation to Recyclable
Application.
AB - In this work, we report the enhanced catalytic reduction of 4-nitrophenol driven
by Fe3O4-Au magnetic nanocomposite interface engineering. A facile solvothermal
method is employed for Fe3O4 hollow microspheres and Fe3O4-Au magnetic
nanocomposite synthesis via a seed deposition process. Complementary structural,
chemical composition and valence state studies validate that the as-obtained
samples are formed in a pure magnetite phase. A series of characterizations
including conventional scanning/transmission electron microscopy (SEM/TEM),
Mossbauer spectroscopy, magnetic testing and elemental mapping is conducted to
unveil the structural and physical characteristics of the developed Fe3O4-Au
magnetic nanocomposites. By adjusting the quantity of Au seeds coating on the
polyethyleneimine-dithiocarbamates (PEI-DTC)-modified surfaces of Fe3O4 hollow
microspheres, the correlation between the amount of Au seeds and the catalytic
ability of Fe3O4-Au magnetic nanocomposites for 4-nitrophenol (4-NP) is
investigated systematically. Importantly, bearing remarkable recyclable features,
our developed Fe3O4-Au magnetic nanocomposites can be readily separated with a
magnet. Such Fe3O4-Au magnetic nanocomposites shine the light on highly efficient
catalysts for 4-NP reduction at the mass production level.
PMID- 29789459
TI - The Influence of Shape on the Output Potential of ZnO Nanostructures: Sensitivity
to Parallel versus Perpendicular Forces.
AB - With the consistent shrinking of devices, micro-systems are, nowadays, widely
used in areas such as biomedics, electronics, automobiles, and measurement
devices. As devices shrunk, so too did their energy consumptions, opening the way
for the use of nanogenerators (NGs) as power sources. In particular, to harvest
energy from an object's motion (mechanical vibrations, torsional forces, or
pressure), present NGs are mainly composed of piezoelectric materials in which,
upon an applied compressive or strain force, an electrical field is produced that
can be used to power a device. The focus of this work is to simulate the
piezoelectric effect in different ZnO nanostructures to optimize the output
potential generated by a nanodevice. In these simulations, cylindrical nanowires,
nanomushrooms, and nanotrees were created, and the influence of the
nanostructures' shape on the output potential was studied as a function of
applied parallel and perpendicular forces. The obtained results demonstrated that
the output potential is linearly proportional to the applied force and that
perpendicular forces are more efficient in all structures. However, nanotrees
were found to have an increased sensitivity to parallel applied forces, which
resulted in a large enhancement of the output efficiency. These results could
then open a new path to increase the efficiency of piezoelectric nanogenerators.
PMID- 29789461
TI - Co-Morbidities as Predictors of Airflow Limitation among Smokers in England.
AB - The prevalence of co-morbidities among patients with Chronic Obstructive
Pulmonary Disease (COPD) is well documented in the literature. Therefore, this
pilot study aimed to identify whether co-morbidities screening would enhance COPD
case-finding. Smoking patients were approached at Croydon University Hospital and
two local community pharmacies (CPs). Their co-morbidities, respiratory symptoms,
smoking pack-years and exercise capacity were collected. Airflow limitation was
determined using handheld spirometry (COPD-6) device. The prevalence of airflow
limitation was 42% (n = 21/50). The main identified predictors of airflow
limitation were: co-morbidities (OR = 9, CI: 1.04-77.81, p = 0.025), respiratory
symptoms (OR = 33.54, CI: 1.06-11.77, p = 0.039) and smoking history of >=20 pack
years (OR = 3.94, CI: 1.13-13.64, p = 0.029). CPs were the main location for case
finding. This study demonstrated the need to screen for co-morbidities for COPD
case-finding within CPs.
PMID- 29789462
TI - Identifying the Driving Factors of Water Quality in a Sub-Watershed of the
Republican River Basin, Kansas USA.
AB - Studies have shown that the agricultural expansion and land use changes in the
Midwest of the U.S. are major drivers for increased nonpoint source pollution
throughout the regional river systems. In this study, we empirically examined the
relationship of planted area and production of three dominant crops with nitrate
flux in the Republican River, Kansas, a sub-watershed of Mississippi River Basin.
Our results show that land use in the region could not explain the observed
changes in nitrate flux in the river. Instead, after including explanatory
variables such as precipitation, growing degree days, and well water irrigation
in the regression model we found that irrigation and spring precipitation could
explain >85% of the variability in nitrate flux from 2000 to 2014. This suggests
that changes in crop acreage and production alone cannot explain variability in
nitrate flux. Future agricultural policy for the region should focus on
controlling both the timing and amount of fertilizer applied to the field to
reduce the potential leaching of excess fertilizer through spring time runoff
and/or over-irrigation into nearby river systems.
PMID- 29789463
TI - Properties of Ferrite Garnet (Bi, Lu, Y)3(Fe, Ga)5O12 Thin Film Materials
Prepared by RF Magnetron Sputtering.
AB - This work is devoted to physical vapor deposition synthesis, and characterisation
of bismuth and lutetium-substituted ferrite-garnet thin-film materials for
magneto-optic (MO) applications. The properties of garnet thin films sputtered
using a target of nominal composition type Bi0.9Lu1.85Y0.25Fe4.0Ga1O12 are
studied. By measuring the optical transmission spectra at room temperature, the
optical constants and the accurate film thicknesses can be evaluated using
Swanepoel's envelope method. The refractive index data are found to be matching
very closely to these derived from Cauchy's dispersion formula for the entire
spectral range between 300 and 2500 nm. The optical absorption coefficient and
the extinction coefficient data are studied for both the as-deposited and
annealed garnet thin-film samples. A new approach is applied to accurately derive
the optical constants data simultaneously with the physical layer thickness,
using a combination approach employing custom-built spectrum-fitting software in
conjunction with Swanepoel's envelope method. MO properties, such as specific
Faraday rotation, MO figure of merit and MO swing factor are also investigated
for several annealed garnet-phase films.
PMID- 29789460
TI - Frizzled Receptors as Potential Therapeutic Targets in Human Cancers.
AB - Frizzled receptors (FZDs) are a family of seven-span transmembrane receptors with
hallmarks of G protein-coupled receptors (GPCRs) that serve as receptors for
secreted Wingless-type (WNT) ligands in the WNT signaling pathway. Functionally,
FZDs play crucial roles in regulating cell polarity, embryonic development, cell
proliferation, formation of neural synapses, and many other processes in
developing and adult organisms. In this review, we will introduce the basic
structural features and review the biological function and mechanism of FZDs in
the progression of human cancers, followed by an analysis of clinical relevance
and therapeutic potential of FZDs. We will focus on the development of antibody
based and small molecule inhibitor-based therapeutic strategies by targeting FZDs
for human cancers.
PMID- 29789465
TI - Efficacy, Safety, and Usability of Remifentanil as Premedication for INSURE in
Preterm Neonates.
AB - Background: We previously reported a 67% extubation failure with INSURE
(Intubation, Surfactant, Extubation) using morphine as analgosedative
premedication. Remifentanil, a rapid- and short-acting narcotic, might be ideal
for INSURE, but efficacy and safety data for this indication are limited.
Objectives: To assess whether remifentanil premedication increases extubation
success rates compared with morphine, and to evaluate remifentanil's safety and
usability in a teaching hospital context. Methods: Retrospective review of
remifentanil orders for premedication, at a large teaching hospital neonatal
intensive care unit (NICU). We compared INSURE failure rates (needing invasive
ventilation after INSURE) with prior morphine-associated rates. Additionally, we
surveyed NICU staff to identify usability and logistic issues with remifentanil.
Results: 73 remifentanil doses were administered to 62 neonates (mean 31.6 +/-
3.8 weeks' gestation). Extubation was successful in 88%, vs. 33% with morphine
premedication (p < 0.001). Significant adverse events included chest wall
rigidity (4%), one case of cardiopulmonary resuscitation (CPR) post-surfactant,
naloxone reversal (5%), and notable transient desaturation (34%). Among 137
completed surveys, 57% indicated concerns, including delayed drug availability
(median 1.1 h after order), rapid desaturations narrowing intubation timeframes
and hindering trainee involvement, and difficulty with bag-mask ventilation after
unsuccessful intubation attempts. Accordingly, 33% of ultimate intubators were
attending neonatologists, versus 16% trainees. Conclusions: Remifentanil
premedication was superior to morphine in allowing successful extubation, despite
occasional chest wall rigidity and unfavorable conditions for trainees. We
recommend direct supervision and INSURE protocols aimed at ensuring rapid
intubation.
PMID- 29789464
TI - Role of mTOR Complexes in Neurogenesis.
AB - Dysregulation of neural stem cells (NSCs) is associated with several
neurodevelopmental disorders, including epilepsy and autism spectrum disorder.
The mammalian target of rapamycin (mTOR) integrates the intracellular signals to
control cell growth, nutrient metabolism, and protein translation. mTOR regulates
many functions in the development of the brain, such as proliferation,
differentiation, migration, and dendrite formation. In addition, mTOR is
important in synaptic formation and plasticity. Abnormalities in mTOR activity is
linked with severe deficits in nervous system development, including tumors,
autism, and seizures. Dissecting the wide-ranging roles of mTOR activity during
critical periods in development will greatly expand our understanding of
neurogenesis.
PMID- 29789468
TI - In Vitro Evaluation of 2D-Printed Edible Films for the Buccal Delivery of
Diclofenac Sodium.
AB - Printing technologies have recently emerged in the development of novel drug
delivery systems toward personalized medicine, to improve the performance of
formulations, existing bioavailability patterns, and patients' compliance. In the
context of two-dimensional printing, this article presents the development of
buccal films that are designed to efficiently deliver a class II compound
(diclofenac sodium), according to the Biopharmaceutics Classification System
(BCS), to the oral cavity. The preparation of drug-loaded inks was carried out
based on solubility studies and evaluation of rheological properties, combining
ethanol and propylene glycol as optimal solvents. Deposition of the drug was
achieved by increasing the number of printing layers onto edible substrates, to
produce formulations with dose variance. Thermal analysis, X-ray diffraction, and
infrared spectroscopy were used to characterize the developed films. Drug loading
and water uptake studies complemented the initial assessment of the films, and
preliminary in vitro studies were conducted to further evaluate their
performance. The in vitro release profiles were recorded in simulated saliva,
presenting the complete release of the incorporated active in a period of 10 min.
The effect of multiple layers on the overall performance of films was completed
with in vitro permeation studies, revealing the correlation between the number of
printed layers and the apparent permeability coefficient.
PMID- 29789466
TI - Association of Tea Consumption with Risk of Alzheimer's Disease and Anti-Beta
Amyloid Effects of Tea.
AB - Neurodegenerative disease Alzheimer's disease (AD) is attracting growing concern
because of an increasing patient population among the elderly. Tea consumption is
considered a natural complementary therapy for neurodegenerative diseases. In
this paper, epidemiological studies on the association between tea consumption
and the reduced risk of AD are reviewed and the anti-amyloid effects of related
bioactivities in tea are summarized. Future challenges regarding the role of tea
in preventing AD are also discussed.
PMID- 29789467
TI - The Present and Future of Whole Genome Sequencing (WGS) and Whole Metagenome
Sequencing (WMS) for Surveillance of Antimicrobial Resistant Microorganisms and
Antimicrobial Resistance Genes across the Food Chain.
AB - Antimicrobial resistance (AMR) surveillance is a critical step within risk
assessment schemes, as it is the basis for informing global strategies,
monitoring the effectiveness of public health interventions, and detecting new
trends and emerging threats linked to food. Surveillance of AMR is currently
based on the isolation of indicator microorganisms and the phenotypic
characterization of clinical, environmental and food strains isolated. However,
this approach provides very limited information on the mechanisms driving AMR or
on the presence or spread of AMR genes throughout the food chain. Whole-genome
sequencing (WGS) of bacterial pathogens has shown potential for epidemiological
surveillance, outbreak detection, and infection control. In addition, whole
metagenome sequencing (WMS) allows for the culture-independent analysis of
complex microbial communities, providing useful information on AMR genes
occurrence. Both technologies can assist the tracking of AMR genes and mobile
genetic elements, providing the necessary information for the implementation of
quantitative risk assessments and allowing for the identification of hotspots and
routes of transmission of AMR across the food chain. This review article
summarizes the information currently available on the use of WGS and WMS for
surveillance of AMR in foodborne pathogenic bacteria and food-related samples and
discusses future needs that will have to be considered for the routine
implementation of these next-generation sequencing methodologies with this aim.
In particular, methodological constraints that impede the use at a global scale
of these high-throughput sequencing (HTS) technologies are identified, and the
standardization of methods and protocols is suggested as a measure to upgrade HTS
based AMR surveillance schemes.
PMID- 29789470
TI - Physical Activity Recommendations for Health and Beyond in Currently Inactive
Populations.
AB - Widespread persistent inactivity makes continued efforts in physical activity
promotion a persistent challenge. The precise content of physical activity
recommendations is not broadly known, and there are concerns that the general
messaging of the guidelines, including the recommendations to perform at least
150 min of at least moderate intensity physical activity per week might seem
unattainable for and even actually discourage currently inactive people. Here we
show that there are a myriad of ways of being physically active, and provide (in
part) out-of-the-box examples of evidence based, pragmatic, easily accessible
physical activity regimes below 150 min and/or with lower than moderate intensity
that yield meaningful health benefits for currently inactive people.
PMID- 29789469
TI - Fungal Diversity in Lichens: From Extremotolerance to Interactions with Algae.
AB - Lichen symbioses develop long-living thallus structures even in the harshest
environments on Earth. These structures are also habitats for many other
microscopic organisms, including other fungi, which vary in their specificity and
interaction with the whole symbiotic system. This contribution reviews the recent
progress regarding the understanding of the lichen-inhabiting fungi that are
achieved by multiphasic approaches (culturing, microscopy, and sequencing). The
lichen mycobiome comprises a more or less specific pool of species that can
develop symptoms on their hosts, a generalist environmental pool, and a pool of
transient species. Typically, the fungal classes Dothideomycetes, Eurotiomycetes,
Leotiomycetes, Sordariomycetes, and Tremellomycetes predominate the associated
fungal communities. While symptomatic lichenicolous fungi belong to lichen
forming lineages, many of the other fungi that are found have close relatives
that are known from different ecological niches, including both plant and animal
pathogens, and rock colonizers. A significant fraction of yet unnamed melanized
('black') fungi belong to the classes Chaethothyriomycetes and Dothideomycetes.
These lineages tolerate the stressful conditions and harsh environments that
affect their hosts, and therefore are interpreted as extremotolerant fungi. Some
of these taxa can also form lichen-like associations with the algae of the lichen
system when they are enforced to symbiosis by co-culturing assays.
PMID- 29789471
TI - Brownian Motion at Lipid Membranes: A Comparison of Hydrodynamic Models
Describing and Experiments Quantifying Diffusion within Lipid Bilayers.
AB - The capability of lipid bilayers to exhibit fluid-phase behavior is a fascinating
property, which enables, for example, membrane-associated components, such as
lipids (domains) and transmembrane proteins, to diffuse within the membrane.
These diffusion processes are of paramount importance for cells, as they are for
example involved in cell signaling processes or the recycling of membrane
components, but also for recently developed analytical approaches, which use
differences in the mobility for certain analytical purposes, such as in-membrane
purification of membrane proteins or the analysis of multivalent interactions.
Here, models describing the Brownian motion of membrane inclusions (lipids,
peptides, proteins, and complexes thereof) in model bilayers (giant unilamellar
vesicles, black lipid membranes, supported lipid bilayers) are summarized and
model predictions are compared with the available experimental data, thereby
allowing for evaluating the validity of the introduced models. It will be shown
that models describing the diffusion in freestanding (Saffman-Delbruck and Hughes
Pailthorpe-White model) and supported bilayers (the Evans-Sackmann model) are
well supported by experiments, though only few experimental studies have been
published so far for the latter case, calling for additional tests to reach the
same level of experimental confirmation that is currently available for the case
of freestanding bilayers.
PMID- 29789473
TI - Effect of Sewage Irrigation on the CT-Measured Soil Pore Characteristics of a
Clay Farmland in Northern China.
AB - Sewage irrigation has a strong influence on the physical, chemical, and
biological properties of soil. However, the effects of sewage irrigation on the
pore characteristics of soil are not well understood. This study compares the
effects of sewage irrigation and groundwater irrigation on computed tomography
(CT)-measured pore parameters and examines the relationships between CT-measured
pore parameters and soil physicochemical and microbial properties. Intact soil
cores were collected from S1 irrigated with sewage for 25 years, S2 irrigated
with sewage for 52 years, and CK irrigated with groundwater. Various soil pore
characteristics were determined, including the total pore number, macropore
number (>1 mm diam.), coarse mesopore number (0.264-1 mm diam.), total porosity,
macroporosity, coarse mesoporosity, and circularity. The results indicated that
sewage irrigation significantly affected soil pore number and porosity. Compared
with S1 and S2, CK exhibited a higher average total pore number (91), macropore
number (40), coarse mesopore number (51), total porosity (2.08%), macroporosity
(1.90%), and coarse mesoporosity (0.18%) throughout the 50-350 mm layer. At
depths of 200-350 mm, S2 exhibited the lowest average total pore number (33),
macropore number (13), coarse mesopore number (21), total porosity (0.42%),
macroporosity (0.35%), and coarse mesoporosity (0.07%) among the three sites. In
addition, the average pore numbers and porosity at depths of 200-350 mm decreased
with increasing sewage irrigation time. There were significant positive
correlations between pore features (including pore numbers and porosity) and soil
properties (phosphorus content and fungi numbers). Our results suggest that
decreased macropore numbers and macroporosity in the sewage-irrigated farmland
may strongly intensify the accumulation of metals and nutrients in the upper
layer. The findings of this study are useful for understanding the negative
effects of sewage irrigation on soil pore structure and are critical for
developing sustainable strategies in agriculture.
PMID- 29789472
TI - The Impact of Nutrition and Health Claims on Consumer Perceptions and Portion
Size Selection: Results from a Nationally Representative Survey.
AB - Nutrition and health claims on foods can help consumers make healthier food
choices. However, claims may have a 'halo' effect, influencing consumer
perceptions of foods and increasing consumption. Evidence for these effects are
typically demonstrated in experiments with small samples, limiting
generalisability. The current study aimed to overcome this limitation through the
use of a nationally representative survey. In a cross-sectional survey of 1039
adults across the island of Ireland, respondents were presented with three
different claims (nutrition claim = "Low in fat"; health claim = "With plant
sterols. Proven to lower cholesterol"; satiety claim = "Fuller for longer") on
four different foods (cereal, soup, lasagne, and yoghurt). Participants answered
questions on perceived healthiness, tastiness, and fillingness of the products
with different claims and also selected a portion size they would consume. Claims
influenced fillingness perceptions of some of the foods. However, there was
little influence of claims on tastiness or healthiness perceptions or the portion
size selected. Psychological factors such as consumers' familiarity with foods
carrying claims and belief in the claims were the most consistent predictors of
perceptions and portion size selection. Future research should identify
additional consumer factors that may moderate the relationships between claims,
perceptions, and consumption.
PMID- 29789475
TI - An Identity-Based Anti-Quantum Privacy-Preserving Blind Authentication in
Wireless Sensor Networks.
AB - With the development of wireless sensor networks, IoT devices are crucial for the
Smart City; these devices change people's lives such as e-payment and e-voting
systems. However, in these two systems, the state-of-art authentication protocols
based on traditional number theory cannot defeat a quantum computer attack. In
order to protect user privacy and guarantee trustworthy of big data, we propose a
new identity-based blind signature scheme based on number theorem research unit
lattice, this scheme mainly uses a rejection sampling theorem instead of
constructing a trapdoor. Meanwhile, this scheme does not depend on complex public
key infrastructure and can resist quantum computer attack. Then we design an e
payment protocol using the proposed scheme. Furthermore, we prove our scheme is
secure in the random oracle, and satisfies confidentiality, integrity, and non
repudiation. Finally, we demonstrate that the proposed scheme outperforms the
other traditional existing identity-based blind signature schemes in signing
speed and verification speed, outperforms the other lattice-based blind signature
in signing speed, verification speed, and signing secret key size.
PMID- 29789474
TI - Design of a Novel MEMS Microgripper with Rotatory Electrostatic Comb-Drive
Actuators for Biomedical Applications.
AB - Primary tumors of patients can release circulating tumor cells (CTCs) to flow
inside of their blood. The CTCs have different mechanical properties in
comparison with red and white blood cells, and their detection may be employed to
study the efficiency of medical treatments against cancer. We present the design
of a novel MEMS microgripper with rotatory electrostatic comb-drive actuators for
mechanical properties characterization of cells. The microgripper has a compact
structural configuration of four polysilicon layers and a simple performance that
control the opening and closing displacements of the microgripper tips. The
microgripper has a mobile arm, a fixed arm, two different actuators and two
serpentine springs, which are designed based on the SUMMiT V surface
micromachining process from Sandia National Laboratories. The proposed
microgripper operates at its first rotational resonant frequency and its mobile
arm has a controlled displacement of 40 um at both opening and closing directions
using dc and ac bias voltages. Analytical models are developed to predict the
stiffness, damping forces and first torsional resonant frequency of the
microgripper. In addition, finite element method (FEM) models are obtained to
estimate the mechanical behavior of the microgripper. The results of the
analytical models agree very well respect to FEM simulations. The microgripper
has a first rotational resonant frequency of 463.8 Hz without gripped cell and it
can operate up to with maximum dc and ac voltages of 23.4 V and 129.2 V,
respectively. Based on the results of the analytical and FEM models about the
performance of the proposed microgripper, it could be used as a dispositive for
mechanical properties characterization of circulating tumor cells (CTCs).
PMID- 29789476
TI - Female Facial Attractiveness Assessed from Three-Dimensional Contour Lines by
University Students.
AB - BACKGROUND: Three-dimensional (3D) images could provide more accurate evaluation
for facial attractiveness than two-dimensional (2D) images. The 3D facial image
could be simplified into gray scale 3D contour lines. Whether female facial
attractiveness could be perceived in these simplified 3D facial contour lines
should be determined. METHODS: A series of 100 2D photographs (one frontal and
two lateral views) and 3D contour lines extracted from 3D facial images of
females were projected onto a screen. Each image presentation lasted 5 s, and the
evaluators marked their impression of each image's facial attractiveness on a
five-point Likert scale within 3 s of its presentation. The evaluation of the 3D
contour lines was performed twice, 2 weeks apart. The evaluators were university
students. RESULTS: High consistency (r = 0.92) was found for the first and second
evaluation of 3D facial contour lines for female facial attractiveness. The
judgments of unattractive face were more consistent than the judgments of
attractive face. Male students tended to give lower scores than female students
in the evaluation of female facial attractiveness. CONCLUSIONS: Female facial
attractiveness could be evaluated by 3D facial contour lines. 3D facial contour
lines should be one of the key factors of facial attractiveness.
PMID- 29789478
TI - Strategies to Improve Activity Recognition Based on Skeletal Tracking: Applying
Restrictions Regarding Body Parts and Similarity Boundaries.
AB - This paper aims to improve activity recognition systems based on skeletal
tracking through the study of two different strategies (and its combination): (a)
specialized body parts analysis and (b) stricter restrictions for the most easily
detectable activities. The study was performed using the Extended Body-Angles
Algorithm, which is able to analyze activities using only a single key sample.
This system allows to select, for each considered activity, which are its
relevant joints, which makes it possible to monitor the body of the user
selecting only a subset of the same. But this feature of the system has both
advantages and disadvantages. As a consequence, in the past we had some
difficulties with the recognition of activities that only have a small subset of
the joints of the body as relevant. The goal of this work, therefore, is to
analyze the effect produced by the application of several strategies on the
results of an activity recognition system based on skeletal tracking joint
oriented devices. Strategies that we applied with the purpose of improve the
recognition rates of the activities with a small subset of relevant joints.
Through the results of this work, we aim to give the scientific community some
first indications about which considered strategy is better.
PMID- 29789477
TI - MS/MS-Guided Isolation of Clarinoside, a New Anti-Inflammatory Pentalogin
Derivative.
AB - Re-investigation of the chemical composition of the annual plant Mitracarpus
scaber Zucc. led to the identification of clarinoside, a new pentalogin
derivative containing a rare quinovose moiety, and the known compound
harounoside. While the planar structure was fully determined using tandem mass
spectrometry (MS) and quantum mechanics (QM) calculations, the tridimensional
structure was unravelled after isolation and NMR analysis. The absolute
configuration was assigned by comparison of experimental and theoretical
synchrotron radiation circular dichroism spectra. Both compounds were tested for
anti-inflammatory activity, and compound 1 showed the ability to inhibit the
production of interleukin-8 (Il-8) with an IC 50 value of 9.17 MU M.
PMID- 29789479
TI - Anandamide Revisited: How Cholesterol and Ceramides Control Receptor-Dependent
and Receptor-Independent Signal Transmission Pathways of a Lipid
Neurotransmitter.
AB - Anandamide is a lipid neurotransmitter derived from arachidonic acid, a
polyunsaturated fatty acid. The chemical differences between anandamide and
arachidonic acid result in a slightly enhanced solubility in water and absence of
an ionisable group for the neurotransmitter compared with the fatty acid. In this
review, we first analyze the conformational flexibility of anandamide in aqueous
and membrane phases. We next study the interaction of the neurotransmitter with
membrane lipids and discuss the molecular basis of the unexpected selectivity of
anandamide for cholesterol and ceramide from among other membrane lipids. We show
that cholesterol behaves as a binding partner for anandamide, and that following
an initial interaction mediated by the establishment of a hydrogen bond,
anandamide is attracted towards the membrane interior, where it forms a molecular
complex with cholesterol after a functional conformation adaptation to the apolar
membrane milieu. The complex is then directed to the anandamide cannabinoid
receptor (CB1) which displays a high affinity binding pocket for anandamide. We
propose that cholesterol may regulate the entry and exit of anandamide in and out
of CB1 by interacting with low affinity cholesterol recognition sites (CARC and
CRAC) located in transmembrane helices. The mirror topology of cholesterol
binding sites in the seventh transmembrane domain is consistent with the
delivery, extraction and flip-flop of anandamide through a coordinated
cholesterol-dependent mechanism. The binding of anandamide to ceramide
illustrates another key function of membrane lipids which may occur independently
of protein receptors. Interestingly, ceramide forms a tight complex with
anandamide which blocks the degradation pathway of both lipids and could be
exploited for anti-cancer therapies.
PMID- 29789482
TI - The Social Basis of Vaccine Questioning and Refusal: A Qualitative Study
Employing Bourdieu's Concepts of 'Capitals' and 'Habitus'.
AB - This article is an in-depth analysis of the social nature of vaccine decision
making. It employs the sociological theory of Bourdieu and Ingram to consider how
parents experience non-vaccination as a valued form of capital in specific
communities, and how this can affect their decision-making. Drawing on research
conducted in two Australian cities, our qualitative analysis of new interview
data shows that parents experience disjuncture and tugs towards 'appropriate'
forms of vaccination behavior in their social networks, as these link to broader
behaviors around food, school choices and birth practices. We show how
differences emerge between the two cities based on study designs, such that we
are able to see some parents at the center of groups valorizing their decisions,
whilst others feel marginalized within their communities for their decisions to
vaccinate. We draw on the work of philosopher Mark Navin to consider how all
parents join epistemic communities that reward compliance and conformity with the
status quo and consider what this means for interventions that seek to influence
the flow of pro-vaccine information through vaccine-critical social groups.
PMID- 29789483
TI - Removal of Crystal Violet by Using Reduced-Graphene-Oxide-Supported Bimetallic
Fe/Ni Nanoparticles (rGO/Fe/Ni): Application of Artificial Intelligence Modeling
for the Optimization Process.
AB - Reduced-graphene-oxide-supported bimetallic Fe/Ni nanoparticles were synthesized
in this study for the removal of crystal violet (CV) dye from aqueous solutions.
This material was characterized by X-ray diffraction (XRD), scanning electron
microscopy (SEM) coupled with energy dispersive spectroscopy (EDS), Raman
spectroscopy, N2-sorption, and X-ray photoelectron spectroscopy (XPS). The
influence of independent parameters (namely, initial dye concentration, initial
pH, contact time, and temperature) on the removal efficiency were investigated
via Box-Behnken design (BBD). Artificial intelligence (i.e., artificial neural
network, genetic algorithm, and particle swarm optimization) was used to optimize
and predict the optimum conditions and obtain the maximum removal efficiency. The
zero point of charge (pHZPC) of rGO/Fe/Ni composites was determined by using the
salt addition method. The experimental equilibrium data were fitted well to the
Freundlich model for the evaluation of the actual behavior of CV adsorption, and
the maximum adsorption capacity was estimated as 2000.00 mg/g. The kinetic study
discloses that the adsorption processes can be satisfactorily described by the
pseudo-second-order model. The values of Gibbs free energy change (DeltaG0),
entropy change (DeltaS0), and enthalpy change (DeltaH0) demonstrate the
spontaneous and endothermic nature of the adsorption of CV onto rGO/Fe/Ni
composites.
PMID- 29789484
TI - Nursing Intervention Practices for Smoking Cessation: A Large Survey in Hong
Kong.
AB - Previous studies have shown that nursing interventions are effective in helping
people to stop smoking, but that the participation of nurses in tobacco control
activities has been far from satisfactory. The primary objective of this study is
to identify factors that encourage or discourage nurses from participating in
providing smoking-cessation interventions to their clients, based on the 5 A's
(ask, advise, assess, assist, arrange) framework. A cross-sectional survey was
conducted among 4413 nurses in Hong Kong from different clinical specialties. A
logistics regression analysis found that predictors for the practicing of all of
the 5 A's are nurses who want to receive training in smoking-cessation
interventions, those who have received such training, and those who are primarily
working in a medical unit or in ambulatory/outpatient settings. The regression
model also showed that attitude towards smoking cessation was positively
associated with all of the 5 A's. The results indicate a need to encourage and
provide nurses with opportunities to receive training on smoking-cessation
interventions. Strategies to persuade nurses to provide smoking-cessation
interventions are also important, since nurses are motivated to perform smoking
cessation interventions when they feel a stronger sense of mission to control
tobacco use.
PMID- 29789480
TI - Alterations in Cellular Iron Metabolism Provide More Therapeutic Opportunities
for Cancer.
AB - Iron is an essential element for the growth and proliferation of cells. Cellular
iron uptake, storage, utilization and export are tightly regulated to maintain
iron homeostasis. However, cellular iron metabolism pathways are disturbed in
most cancer cells. To maintain rapid growth and proliferation, cancer cells
acquire large amounts of iron by altering expression of iron metabolism- related
proteins. In this paper, normal cellular iron metabolism and the alterations of
iron metabolic pathways in cancer cells were summarized. Therapeutic strategies
based on targeting the altered iron metabolism were also discussed and disrupting
redox homeostasis by intracellular high levels of iron provides new insight for
cancer therapy. Altered iron metabolism constitutes a promising therapeutic
target for cancer therapy.
PMID- 29789487
TI - Transmitting Pulse Encoding for Beyond-PRT Retransmitting Deception Jamming
Detection in Spaceborne Synthetic Aperture Radar (SAR).
AB - Retransmitting deception jamming (RDJ) degrades and misleads the Synthetic
Aperture Radar (SAR) image interpretation by forming false targets. The beyond
Pulse Repetition Time (PRT) RDJ enlarges the effective jamming area without
constraining the jammer location to reduce the spaceborne SAR working
effectiveness. In order to detect the beyond-PRT RDJ and enhance the working
efficiency in electronic countermeasure environment, the transmitting pulse
encoding method for use in spaceborne SAR is proposed based on the geometry and
signal models of beyond-PRT RDJ. Optimum binary codes with maximum number of
detection windows are determined by the encoding procedure. The detected area is
found to be proportional to the code length and the encoding efficiencies of even
and odd codes are analyzed. The simulation results validate the effectiveness of
the transmitting pulse encoding method for beyond-PRT RDJ detection in spaceborne
SAR.
PMID- 29789485
TI - Association of Plasma Lipids and Polar Metabolites with Low Bone Mineral Density
in Singaporean-Chinese Menopausal Women: A Pilot Study.
AB - The diagnosis of osteoporosis is mainly based on clinical examination and bone
mineral density assessments. The present pilot study compares the plasma lipid
and polar metabolite profiles in blood plasma of 95 Singaporean-Chinese (SC)
menopausal women with normal and low bone mineral density (BMD) using an
untargeted metabolomic approach. The primary finding of this study was the
association between lipids and femoral neck BMD in SC menopausal women. Twelve
lipids were identified to be associated with low BMD by the orthogonal partial
least squares (OPLS) model. Plasma concentrations of eight glycerophospholipid,
glycerolipid, and sphingolipid species were significantly lower in menopausal
women with low BMD but higher in two glycerophospholipid species
(phosphatidylinositol and phosphatidic acid). Further, this study found no
significant differences in plasma amino acid metabolites. However, trends for
lower 4-aminobutyric acid, turanose, proline, aminopropionitrile, threonine, and
methionine were found in women with low BMD. This pilot study identified
associations between lipid metabolism and femoral neck BMD in SC women. Further
studies are required on larger populations for evaluating the bone health effect
of these compounds and their usefulness as clinical biomarkers for osteoporosis
prediction in women.
PMID- 29789486
TI - Prevalence and Risk Factors for Hepatitis B Virus Infection in Roma and Non-Roma
People in Slovakia.
AB - : Prevalence of Hepatitis B is relatively low in developed European countries.
However specific subpopulations may exist within each country with markedly
different Hepatitis B burden. Roma minority is very numerous in Slovakia and
their lifestyle is completely different to non-Roma population. The aim of this
study is to map Hepatitis B prevalence in Roma and compare it to non-Roma
population and to explore potential socio-economic and health related risk
factors. Cross-sectional epidemiology study was performed in Slovakia that
included randomly sampled Roma population and geographically corresponding random
sampled non-Roma population. Comprehensive questionnaire about risk factors was
administered and blood samples were drawn for Hepatitis B serology and virology
tests. Altogether 855 participants were included. Global Hepatitis B surface
Antigen (HBsAg) positivity rate was 7.7% (i.e., active Hepatitis B) and anti
Hepatitis B core IgG antibody (antiHBcIgG) positivity rate was 34.6%. Roma
population had significantly higher prevalence of Hepatitis B, both active
chronic infection (12.4%; 95% Confidence Interval (CI) 9.58%-15.97% versus 2.8%;
95% CI 1.56%-4.91%; p < 0.0001) and antiHBcIgG positivity (52.8%; 95% CI 48.17%
57.44% versus 25.9%; 95% CI 12.56%-20.02%; p < 0.0001) Main risk factors for
HBsAg positivity were Roma ethnicity, male sex and tattoo. CONCLUSION: There is a
very high prevalence of Hepatitis B in Roma communities in Slovakia, with
potential for grave medical consequences.
PMID- 29789481
TI - Concepts and Methods to Access Novel Antibiotics from Actinomycetes.
AB - Actinomycetes have been proven to be an excellent source of secondary metabolites
for more than half a century. Exhibiting various bioactivities, they provide
valuable approved drugs in clinical use. Most microorganisms are still untapped
in terms of their capacity to produce secondary metabolites, since only a small
fraction can be cultured in the laboratory. Thus, improving cultivation
techniques to extend the range of secondary metabolite producers accessible under
laboratory conditions is an important first step in prospecting underexplored
sources for the isolation of novel antibiotics. Currently uncultured
actinobacteria can be made available by bioprospecting extreme or simply habitats
other than soil. Furthermore, bioinformatic analysis of genomes reveals most
producers to harbour many more biosynthetic gene clusters than compounds
identified from any single strain, which translates into a silent biosynthetic
potential of the microbial world for the production of yet unknown natural
products. This review covers discovery strategies and innovative methods recently
employed to access the untapped reservoir of natural products. The focus is the
order of actinomycetes although most approaches are similarly applicable to other
microbes. Advanced cultivation methods, genomics- and metagenomics-based
approaches, as well as modern metabolomics-inspired methods are highlighted to
emphasise the interplay of different disciplines to improve access to novel
natural products.
PMID- 29789488
TI - Superhydrophobic Natural and Artificial Surfaces-A Structural Approach.
AB - Since ancient times humans observed animal and plants features and tried to adapt
them according to their own needs. Biomimetics represents the foundation of many
inventions from various fields: From transportation devices (helicopter,
airplane, submarine) and flying techniques, to sports' wear industry (swimming
suits, scuba diving gear, Velcro closure system), bullet proof vests made from
Kevlar etc. It is true that nature provides numerous noteworthy models (shark
skin, spider web, lotus leaves), referring both to the plant and animal kingdom.
This review paper summarizes a few of "nature's interventions" in human
evolution, regarding understanding of surface wettability and development of
innovative special surfaces. Empirical models are described in order to reveal
the science behind special wettable surfaces (superhydrophobic
/superhydrophilic). Materials and methods used in order to artificially obtain
special wettable surfaces are described in correlation with plants' and animals'
unique features. Emphasis is placed on joining superhydrophobic and
superhydrophilic surfaces, with important applications in cell culturing,
microorganism isolation/separation and molecule screening techniques. Bio
inspired wettability is presented as a constitutive part of traditional
devices/systems, intended to improve their characteristics and extend
performances.
PMID- 29789489
TI - Reconciling the Entomological Hazard and Disease Risk in the Lyme Disease System.
AB - Lyme disease (LD) is a commonly cited model for the link between habitat loss
and/or fragmentation and disease emergence, based in part on studies showing that
forest patch size is negatively related to LD entomological risk. An equivalent
relationship has not, however, been shown between patch size and LD incidence
(LDI). Because entomological risk is measured at the patch scale, while LDI is
generally assessed in relation to aggregate landscape statistics such as forest
cover, we posit that the contribution of individual patches to human LD risk has
not yet been directly evaluated. We design a model that directly links
theoretical entomological risk at the patch scale to larger-scale epidemiological
data. We evaluate its predictions for relative LD risk in artificial landscapes
with varying composition and configuration, and test its ability to predict
countywide LDI in a 12-county region of New York. On simulated landscapes, we
find that the model predicts a unimodal relationship between LD incidence and
forest cover, mean patch size, and mean minimum distance (a measure of
isolation), and a protective effect for percolation probability (a measure of
connectivity). In New York, risk indices generated by this model are
significantly related to countywide LDI. The results suggest that the lack of
concordance between entomological risk and LDI may be partially resolved by this
style of model.
PMID- 29789490
TI - Evaluation of Lactic Acid Bacteria on the Inhibition of Vibrio parahaemolyticus
Infection and Its Application to Food Systems.
AB - This study tested the effect of lactic acid bacteria (LAB) inhibition on Vibrio
parahaemolyticus BCRC (Bioresource Collection and Research Center) 10806 and BCRC
12865 in a food model. MTT [3-(4,5-Dimethylthiazol-2-yl)-2,5-diphenyltetrazolium
bromide] assays indicated that Caco-2 cells were not damaged after a two-hour
treatment with lactic acid bacteria (LAB) and V. parahaemolyticus. The LAB cell
culture and supernatant effectively inhibited the growth of V. parahaemolyticus
in a food model. ELISA (Enzyme-linked immunosorbent assay) results indicated the
significant inhibition of TNF-alpha; IL-1beta; and IL-6; but Lactobacillus
plantarum PM 222 and L. plantarum LP 735 did not significantly affect IL-8
levels. Real-time polymerase chain reaction (PCR) results indicated that LAB
could inhibit the mRNA expression of proinflammatory cytokines IL-8; IL-6; and
TNF-alpha; which were induced by V. parahaemolyticus. After rat-received LAB; the
expression levels of TNF-alpha; IL-6; and IL-8 in the serum decreased
significantly. In intestinal histology; the rat that received L. plantarum PM 222
and L. plantarum LP 010 was able to alleviate the intestinal villi damage caused
by V. parahaemolyticus; which also helped reduce cell apoptosis. In conclusion;
our results indicate that LAB can inhibit inflammatory responses caused by V.
parahaemolyticus and can effectively inhibit the growth of V. parahaemolyticus in
food products.
PMID- 29789492
TI - A Continuum Model for the Effect of Dynamic Recrystallization on the Stress
Strain Response.
AB - Austenitic Stainless Steels and High-Strength Low-Alloy (HSLA) steels show
significant dynamic recovery and dynamic recrystallization (DRX) during hot
forming. In order to design optimal and safe hot-formed products, a good
understanding and constitutive description of the material behavior is vital. A
new continuum model is presented and validated on a wide range of deformation
conditions including high strain rate deformation. The model is presented in rate
form to allow for the prediction of material behavior in transient process
conditions. The proposed model is capable of accurately describing the stress
strain behavior of AISI 316LN in hot forming conditions, also the high strain
rate DRX-induced softening observed during hot torsion of HSLA is accurately
predicted. It is shown that the increase in recrystallization rate at high strain
rates observed in experiments can be captured by including the elastic energy due
to the dynamic stress in the driving pressure for recrystallization. Furthermore,
the predicted resulting grain sizes follow the power-law dependence with steady
state stress that is often reported in literature and the evolution during hot
deformation shows the expected trend.
PMID- 29789491
TI - From Evidence-Based Research to Practice-Based Evidence: Disseminating a Web
Based Computer-Tailored Workplace Sitting Intervention through a Health Promotion
Organisation.
AB - Prolonged sitting has been linked to adverse health outcomes; therefore, we
developed and examined a web-based, computer-tailored workplace sitting
intervention. As we had previously shown good effectiveness, the next stage was
to conduct a dissemination study. This study reports on the dissemination efforts
of a health promotion organisation, associated costs, reach achieved, and
attributes of the website users. The organisation systematically registered all
the time and resources invested to promote the intervention. Website usage
statistics (reach) and descriptive statistics (website users' attributes) were
also assessed. Online strategies (promotion on their homepage; sending e-mails,
newsletters, Twitter, Facebook and LinkedIn posts to professional partners) were
the main dissemination methods. The total time investment was 25.6 h, which cost
approximately 845 EUR in salaries. After sixteen months, 1599 adults had visited
the website and 1500 (93.8%) completed the survey to receive personalized sitting
advice. This sample was 38.3 +/- 11.0 years, mainly female (76.9%),
college/university educated (89.0%), highly sedentary (88.5% sat >8 h/day) and
intending to change (93.0%) their sitting. Given the small time and money
investment, these outcomes are positive and indicate the potential for wide-scale
dissemination. However, more efforts are needed to reach men, non
college/university educated employees, and those not intending behavioural
change.
PMID- 29789493
TI - Critical Appraisal of Advanced Glycation End Products (AGEs) and Circulating
Soluble Receptors for Advanced Glycation End Products (sRAGE) as a Predictive
Biomarkers for Cardiovascular Disease in Hemodialysis Patients.
AB - The interaction of advanced glycation end products (AGE) and their receptors
promote vascular complications of diabetes in hemodialysis (HD) patients. The
soluble form of the receptor for the advanced glycation end-products (sRAGE) has
been studied as a vascular biomarker in various diseases with controversial
results. Our aim was to evaluate the association of the serum levels of the AGEs
and their receptor sRAGE with cardiovascular disease (CVD) and the cardiovascular
risk factors among HD patients. There were 130 HD patients and 80 age and gender
matched control subjects were involved; 31.5% of the HD group were diabetic,
which was an underlying cause of renal impairment; 36.1% had CVD, which was
comprising 44.7% of diabetics and 55.3% of non-diabetic patients. The AGEs and
sRAGE were assessed by enzyme linked immunosorbent assay (ELISA). In addition,
the lipid profile, glycemic indices, pre-dialysis renal function tests, and
hemoglobin % (Hb) were evaluated. The results show that the circulating AGEs and
sRAGE levels were significantly higher in the HD patients. Those with underlying
diabetes displayed higher sRAGE levels, which were positively correlated with
hyperglycemia, HbA1C, and total cholesterol (TC). The HD patients with an
increased serum sRAGE exhibited more cardiovascular risk factors
(hypercholesterolemia and anemia) with a high prevalence of CVD. Using a linear
regression analysis, we found a significant association of sRAGE with CVD and TC
among HD patients, regardless of whether associating diabetes was an underlying
cause of renal impairment. Overall, the HD patients displayed significantly
higher serum AGEs with a concomitant increase in the circulating sRAGE levels,
mainly in the diabetic HD, which were significantly associated with the CVD
(independent predictors) and CV risk factors (hypercholesterolemia), mainly
sRAGEs, regardless of the underlying diabetes mellitus. This highlights the
prognostic role of AGEs and sRAGE in HD patients regardless of underlying cause
in order to predict the risk for CVD.
PMID- 29789494
TI - Evaluating the Intoxicating Degree of Liquor Products with Combinations of Fusel
Alcohols, Acids, and Esters.
AB - To investigate the effects of fusel alcohols on the intoxicating degree of liquor
products, formulated liquors (FLs) were prepared by blending 1-propanol,
isobutanol, and isoamyl alcohol with ethanol, organic acids, and corresponding
ethyl esters to simulate the formula of traditional Chinese liquors. The prepared
FLs were submitted for evaluation of their intoxicating degree (ID). The results
showed that the fusel alcohols had a biphasic effect on the IDs of the FLs,
depending on the comprehensive coordination of the characteristic minor
components. The importance of the suitable ratio of alcohols/acids/esters (RAAE)
on the IDs was also revealed. Under an optimal ratio level, the fusel alcohols
exhibited negligible effects on the IDs of the FLs. Moreover, the ratio of
isoamyl alcohol to isobutanol (IA/IB) showed a strong positive correlation to the
IDs of the FLs. This study lays a foundation for the potential application in
producing low-ID liquor.
PMID- 29789495
TI - Apolipoprotein E and Atherosclerosis: From Lipoprotein Metabolism to MicroRNA
Control of Inflammation.
AB - Apolipoprotein (apo) E stands out among plasma apolipoproteins through its
unprecedented ability to protect against atherosclerosis. Although best
recognized for its ability to mediate plasma lipoprotein clearance in the liver
and protect against macrophage foam cell formation, our recent understanding of
the influence that apoE can exert to control atherosclerosis has significantly
widened. Among apoE's newfound athero-protective properties include an ability to
control exaggerated hematopoiesis, blood monocyte activation and aortic
stiffening in mice with hyperlipidemia. Mechanisms responsible for these exciting
new properties extend beyond apoE's ability to prevent cellular lipid excess.
Rather, new findings have revealed a role for apoE in regulating microRNA
controlled cellular signaling in cells of the immune system and vascular wall.
Remarkably, infusions of apoE-responsive microRNA mimics were shown to substitute
for apoE in protecting against systemic and vascular inflammation to suppress
atherosclerosis in mice with hyperlipidemia. Finally, more recent evidence
suggests that apoE may control the release of microvesicles that could modulate
cellular signaling, inflammation and atherosclerosis at a distance. These
exciting new findings position apoE within the emerging field of intercellular
communication that could introduce new approaches to control atherosclerosis
cardiovascular disease.
PMID- 29789496
TI - Determinants of Inappropriate Admissions in County Hospitals in Rural China: A
Cross-Sectional Study.
AB - Inappropriate admissions have contributed to the rapid increase in
hospitalisations in rural China. This study characterised the degree and
determinants of inappropriate admissions in county hospitals. We used expert
consultation to develop an appropriateness evaluation protocol that included nine
requirements for services and 21 indicators of disease severity. A total of 2230
medical records from 2014 were collected from five county hospitals by stratified
cluster sampling and evaluated for appropriateness using the protocol in 2016.
The determinants of inappropriate admissions were analysed by two-level logistic
regression. The overall inappropriate admission rate was 15.2%. Patients aged.
PMID- 29789497
TI - Treating p53 Mutant Aggregation-Associated Cancer.
AB - p53 is a tumor suppressor protein. Under stressful conditions, p53 tightly
regulates cell growth by promoting apoptosis and DNA repair. When p53 becomes
mutated, it loses its function, resulting in abnormal cell proliferation and
tumor progression. Depending on the p53 mutation, it has been shown to form
aggregates leading to negative gain of function of the protein. p53 mutant
associated aggregation has been observed in several cancer tissues and has been
shown to promote tumor growth. Recent studies show correlation between p53 mutant
aggregation, functional loss, and tumor growth. Moreover, p53 aggregation has
been observed in biopsies, patient tissues, and in vivo studies. Given the fact
that over fifty percent of cancers have p53 mutation and several of them are
prone to aggregation, therapeutic strategies are needed for treating p53 mutant
aggregation associated cancers. Recent studies using polyarginine analogues and
designer peptides for inhibiting p53 aggregation and tumor growth gives further
encouragement in treating cancer as a protein aggregation disease. In this
review, we highlight the recent efforts in targeting p53 aggregation in cancer
and propose the use of small stress molecules as potential p53-antiaggregation
drugs.
PMID- 29789499
TI - Human Mercury Exposure in Yanomami Indigenous Villages from the Brazilian Amazon.
AB - In the Brazilian Amazon, where the majority of Yanomami villages are settled,
mercury (Hg) exposure due to artisanal small-scale gold mining (ASGM) has been
reported since the 1980s. This study assessed mercury exposure in the Yanomami
reserve and whether the level of contamination was related to the ASGM
geographical location. It was conducted using a cross-sectional study of 19
villages. Direct interviews were performed and hair samples were used as a
bioindicator of Hg exposure. The Prevalence-Ratio (PR) was estimated as an
indicator of association between ASGM geographical locations and human exposure
to mercury. Mercury levels (239 hair samples) ranged between 0.4 and 22.1 MUg.g-1
and presented substantial differences amongst the villages. In the Waikas-Aracaca
region, where current ASGM was reported, we observed the highest Hg
concentrations (median = 15.5 MUg.g-1). Almost all participants presented with
hair-Hg levels >6 MUg.g-1 (prevalence = 92.3%). In the Paapiu region, we observed
the lowest concentrations (median = 3.2 MUg.g-1; prevalence = 6.7%). Our findings
showed that the Waikas Ye'kuana and Waikas Aracaca villages presented with 4.4
(PR = 4.4; Confidence Interval (CI) 95% = 2.2-9.0) and 14.0 (PR = 14.0; CI 95% =
7.9-24.9) times higher prevalence of hair-Hg concentration, respectively,
compared with Paapiu. Considering seasonal variation of Hg-exposure, the lowest
concentrations were observed during the wet season (June-September) and the
highest in the dry season (December-April). Our study suggests that there is an
association between mercury exposure and ASGM geographical locations.
PMID- 29789498
TI - Tools for Detection of Schistosomiasis in Resource Limited Settings.
AB - Schistosomiasis is a debilitating disease affecting over 200 million people, with
the highest burden of morbidity and mortality in African countries. Despite its
huge impact on the health and socio-economic burden of the society, it remains a
neglected tropical disease, with limited attention from governments and
stakeholders in healthcare. One of the critical areas that is hugely under
developed is the development of accurate diagnostics for both intestinal and
urogenital schistosomiasis. Diagnosis of schistosomiasis is important for the
detection and treatment of disease in endemic and non-endemic settings. A
conclusive detection method is also an indispensable part of treatment, both in
the clinic and during mass drug administration (MDA), for the monitoring efficacy
of treatment. Here, we review the available diagnostic methods and discuss the
challenges encountered in diagnosis in resource limited settings. We also present
the available diagnostics and cost implications for deployment in resource
limited settings. Lastly, we emphasize the need for more funding directed towards
the development of affordable diagnostic tools that is affordable for endemic
countries as we work towards the elimination of the disease.
PMID- 29789500
TI - Human Metapneumovirus Small Hydrophobic Protein Inhibits Interferon Induction in
Plasmacytoid Dendritic Cells.
AB - Human metapneumovirus (hMPV), a leading cause of respiratory tract infections in
infants, encodes a small hydrophobic (SH) protein of unknown function. Here we
show that infection of plasmacytoid dendritic cells (pDCs) with a recombinant
virus lacking SH expression (rhMPV-DeltaSH) enhanced the secretion of type I
interferons (IFNs), which required TLR7 and MyD88 expression. HMPV SH protein
inhibited TLR7/MyD88/TRAF6 signaling leading to IFN gene transcription,
identifying a novel mechanism by which paramyxovirus SH proteins modulate innate
immune responses.
PMID- 29789501
TI - Differentiation Between Organic and Non-Organic Apples Using Diffraction Grating
and Image Processing-A Cost-Effective Approach.
AB - As the expectation for higher quality of life increases, consumers have higher
demands for quality food. Food authentication is the technical means of ensuring
food is what it says it is. A popular approach to food authentication is based on
spectroscopy, which has been widely used for identifying and quantifying the
chemical components of an object. This approach is non-destructive and effective
but expensive. This paper presents a computer vision-based sensor system for food
authentication, i.e., differentiating organic from non-organic apples. This
sensor system consists of low-cost hardware and pattern recognition software. We
use a flashlight to illuminate apples and capture their images through a
diffraction grating. These diffraction images are then converted into a data
matrix for classification by pattern recognition algorithms, including k-nearest
neighbors (k-NN), support vector machine (SVM) and three partial least squares
discriminant analysis (PLS-DA)- based methods. We carry out experiments on a
reasonable collection of apple samples and employ a proper pre-processing,
resulting in a highest classification accuracy of 94%. Our studies conclude that
this sensor system has the potential to provide a viable solution to empower
consumers in food authentication.
PMID- 29789503
TI - Fully Ab-Initio Determination of the Thermoelectric Properties of Half-Heusler
NiTiSn: Crucial Role of Interstitial Ni Defects.
AB - For thermoelectric applications, ab initio methods generally fail to predict the
transport properties of the materials because of their inability to predict
properly the carrier concentrations that control the electronic properties. In
this work, a methodology to fill in this gap is applied on the NiTiSn half
Heusler phase. For that, we show that the main defects act as donor of electrons
and are responsible of the electronic properties of the material. Indeed, the
presence of Nii interstitial defects explains the experimental valence band
spectrum and its associated band gap reported in the literature. Moreover,
combining the DOS of the solid solutions with the determination of the energy of
formation of charged defects, we show that Nii defects are also responsible of
the measured carrier concentration in experimentally supposed "pure" NiTiSn
compounds. Subsequently the thermoelectric properties of NiTiSn can be calculated
using a fully ab initio description and an overall correct agreement with
experiments is obtained. This methodology can be extended to predict the result
of extrinsic doping and thus to select the most efficient dopant for specific
thermoelectric applications.
PMID- 29789504
TI - The Sound of Words Evokes Affective Brain Responses.
AB - The long history of poetry and the arts, as well as recent empirical results
suggest that the way a word sounds (e.g., soft vs. harsh) can convey affective
information related to emotional responses (e.g., pleasantness vs. harshness).
However, the neural correlates of the affective potential of the sound of words
remain unknown. In an fMRI study involving passive listening, we focused on the
affective dimension of arousal and presented words organized in two discrete
groups of sublexical (i.e., sound) arousal (high vs. low), while controlling for
lexical (i.e., semantic) arousal. Words sounding high arousing, compared to their
low arousing counterparts, resulted in an enhanced BOLD signal in bilateral
posterior insula, the right auditory and premotor cortex, and the right
supramarginal gyrus. This finding provides first evidence on the neural
correlates of affectivity in the sound of words. Given the similarity of this
neural network to that of nonverbal emotional expressions and affective prosody,
our results support a unifying view that suggests a core neural network
underlying any type of affective sound processing.
PMID- 29789505
TI - Multilayer Black Phosphorus Near-Infrared Photodetectors.
AB - Black phosphorus (BP), owing to its distinguished properties, has become one of
the most competitive candidates for photodetectors. However, there has been
little attention paid on photo-response performance of multilayer BP nanoflakes
with large layer thickness. In fact, multilayer BP nanoflakes with large layer
thickness have greater potential from the fabrication viewpoint as well as due to
the physical properties than single or few layer ones. In this report, the
thickness-dependence of the intrinsic property of BP photodetectors in the dark
was initially investigated. Then the photo-response performance (including
responsivity, photo-gain, photo-switching time, noise equivalent power, and
specific detectivity) of BP photodetectors with relative thicker thickness was
explored under a near-infrared laser beam (lambdaIR = 830 nm). Our experimental
results reveal the impact of BP's thickness on the current intensity of the
channel and show degenerated p-type BP is beneficial for larger current
intensity. More importantly, the photo-response of our thicker BP photodetectors
exhibited a larger responsivity up to 2.42 A/W than the few-layer ones and a fast
response photo-switching speed (response time is ~2.5 ms) comparable to thinner
BP nanoflakes was obtained, indicating BP nanoflakes with larger layer thickness
are also promising for application for ultra-fast and ultra-high near-infrared
photodetectors.
PMID- 29789502
TI - Detection of Dystrophin Dp71 in Human Skeletal Muscle Using an Automated
Capillary Western Assay System.
AB - BACKGROUND: Dystrophin Dp71 is one of the isoforms produced by the DMD gene which
is mutated in patients with Duchenne muscular dystrophy (DMD). Although Dp71 is
expressed ubiquitously, it has not been detected in normal skeletal muscle. This
study was performed to assess the expression of Dp71 in human skeletal muscle.
METHODS: Human skeletal muscle RNA and tissues were obtained commercially. Mouse
skeletal muscle was obtained from normal and DMDmdx mice. Dp71 mRNA and protein
were determined by reverse-transcription PCR and an automated capillary Western
assay system, the Simple Western, respectively. Dp71 was over-expressed or
suppressed using a plasmid expressing Dp71 or antisense oligonucleotide,
respectively. RESULTS: Full-length Dp71 cDNA was PCR amplified as a single
product from human skeletal muscle RNA. A ca. 70 kDa protein peak detected by the
Simple Western was determined as Dp71 by over-expressing Dp71 in HEK293 cells, or
suppressing Dp71 expression with antisense oligonucleotide in rhabdomyosarcoma
cells. The Simple Western assay detected Dp71 in the skeletal muscles of both
normal and DMD mice. In human skeletal muscle, Dp71 was also detected. The ratio
of Dp71 to vinculin of human skeletal muscle samples varied widely, indicating
various levels of Dp71 expression. CONCLUSIONS: Dp71 protein was detected in
human skeletal muscle using a highly sensitive capillary Western blotting system.
PMID- 29789506
TI - Design and Efficacy of Nanogels Formulations for Intranasal Administration.
AB - Nanogels are drug delivery systems that can bypass the blood-brain barrier and
deliver drugs to the desired site when administered intranasally. They have been
used as a drug delivery platform for the management of brain diseases such as
Alzheimer disease, migraine, schizophrenia and depression. nanogels have also
been developed as vaccine carriers for the protection of bacterial infections
such as influenza, meningitis, pneumonia and as veterinary vaccine carriers for
the protection of animals from encephalomyelitis and mouth to foot disease. It
has been developed as vaccine carriers for the prevention of lifestyle disease
such as obesity. Intranasal administration of therapeutics using nanogels for the
management of brain diseases revealed that the drug transportation was via the
olfactory nerve pathway resulting in rapid drug delivery to the brain with
excellent neuroprotective effect. The application of nanogels as vaccine carriers
also induced significant responses associated with protective immunity against
selected bacterial and viral infections. This review provides a detailed
information on the enhanced therapeutic effects, mechanisms and biological
efficacy of nanogels for intranasal administration.
PMID- 29789509
TI - Wave Propagation in Aluminum Honeycomb Plate and Debonding Detection Using
Scanning Laser Vibrometer.
AB - Both the aerospace and marine industry have widely relied on a honeycomb sandwich
structure (HSS) because of its high strength-to-weight ratio. However, the
intrinsic nature of an adhesively bonded multi-layer structure increases the risk
of debonding when the structure is under strain or exposed to varying
temperatures. Such defects are normally concealed under the surface but can
significantly compromise the strength and stiffness of a structure. In this
paper, the guided wave method is used to detect debondings which are located
between the skin and the honeycomb in sandwich plates. The propagation of guided
waves in honeycomb plates is investigated via numerical techniques, with emphasis
placed on demonstrating the behavior of structure-based wave interactions (SWIs).
The SWI technique is effective to distinguish heterogeneous structures from
homogeneous structures. The excitation frequency is necessary to generate obvious
SWIs in HSSs; accordingly, a novel strategy is proposed to select the optimal
excitation frequencies. A series of experiments are conducted, the results of
which show that the presented procedure can be used to effectively detect the
locations and the sizes of single- and multi-damage zones in HSSs.
PMID- 29789507
TI - Acute Caffeinated Coffee Consumption Does not Improve Time Trial Performance in
an 800-m Run: A Randomized, Double-Blind, Crossover, Placebo-Controlled Study.
AB - INTRODUCTION: Studies evaluating caffeinated coffee (CAF) can reveal ergogenic
effects; however, studies on the effects of caffeinated coffee on running are
scarce and controversial. AIM: To investigate the effects of CAF consumption
compared to decaffeinated coffee (DEC) consumption on time trial performances in
an 800-m run in overnight-fasting runners. METHODS: A randomly counterbalanced,
double-blind, crossover, placebo-controlled study was conducted with 12 healthy
adult males with experience in amateur endurance running. Participants conducted
two trials on two different occasions, one day with either CAF or DEC, with a one
week washout. After arriving at the data collection site, participants consumed
the soluble CAF (5.5 mg/kg of caffeine) or DEC and after 60 min the run was
started. Before and after the 800-m race, blood pressure and lactate and glucose
concentrations were measured. At the end of the run, the ratings of perceived
exertion (RPE) scale was applied. RESULTS: The runners were light consumers of
habitual caffeine, with an average ingestion of 91.3 mg (range 6-420 mg/day).
Time trial performances did not change between trials (DEF: 2.38 + 0.10 vs. CAF:
2.39 + 0.09 min, p = 0.336), nor did the RPE (DEC: 16.5 + 2.68 vs. CAF: 17.0 +
2.66, p = 0.326). No difference between the trials was observed for glucose and
lactate concentrations, or for systolic and diastolic blood pressure levels.
CONCLUSION: CAF consumption failed to enhance the time trial performance of an
800-m run in overnight-fasting runners, when compared with DEC ingestion. In
addition, no change was found in RPE, blood pressure levels, or blood glucose and
lactate concentrations between the two trials.
PMID- 29789510
TI - Functioning Mediastinal Paraganglioma Associated with a Germline Mutation of von
Hippel-Lindau Gene.
AB - We report the case of a 21-year old woman presenting with high blood pressure and
raised normetanephrine levels. Indium-111-pentetreotide single photon-emission
computed tomography with computed tomography (SPECT/CT) and 2-deoxy-2-[fluorine
18]fluoro-d-glucose (FDG) positron emission tomography/computed tomography
(PET/CT) imaging showing isolated tracer-uptake by a 2 cm tumor close to the
costovertebral angle of the third thoracic vertebra. Thoracic surgery led to
normalization of normetanephrine levels. Histological findings were consistent
with the presence of a paraganglioma. Mutations in SDHA, SDHB, SDHC, SDHD, RET,
SDHAF2, TMEM127, MAX, NF1, FH, MDH2, and EPAS1 were absent, but a heterozygous
missense mutation, c.311G > T, was found in exon 1 of the von Hippel-Lindau gene,
VHL, resulting in a glycine to valine substitution in the VHL protein at position
104, p.Gly104Val. This same mutation was found in both the mother and the 17-year
old sister in whom a small retinal hemangioblastoma was also found. We diagnose
an unusual functional mediastinal paraganglioma in this young patient with a
germline VHL gene mutation, a mutation previously described as inducing
polycythemia and/or pheochromocytoma but not paraganglioma or retinal
hemangioblastoma.
PMID- 29789508
TI - Dual Effects of Metformin on Adipogenic Differentiation of 3T3-L1 Preadipocyte in
AMPK-Dependent and Independent Manners.
AB - Metformin has been reported to have body weight lowering effects while treating
type 2 diabetes. However, limited studies examined the effects of metformin on
adipogenesis in vitro, and available data are inconclusive and contradictory. In
this study, we examined the effects of a variety of concentrations of metformin
on adipocyte differentiation of 3T3-L1 preadipocytes and found metformin exhibits
a dual effect on adipogenesis. Metformin at lower concentrations (1.25-2.5 mM)
significantly induced adipogenesis while at higher concentrations (5-10 mM)
metformin significantly inhibited adipogenesis in 3T3-L1 cells. The biphasic
effect of different doses of metformin on adipogenesis was accompanied by
increasing or decreasing the expression of adipogenic and lipogenic genes
including peroxisome proliferator-activated receptor (PPARgamma), CCAAT/enhancer
binding protein alpha (C/EBPalpha), and fatty acid synthase (FASN) at both
messenger RNA (mRNA) and protein levels. Furthermore, only the higher
concentrations of metformin induced the phosphorylation of adenosine 5'
monophosphate (AMP)-activated protein kinase (AMPK), p38, and c-Jun N-terminal
kinase (JNK) and reduced the phosphorylation of extracellular regulated protein
kinases (ERK) and Akt. Pretreatment with compound C, a specific AMPK inhibitor,
significantly countered high concentration of metformin-induced inhibition of
adipogenesis. Taken together, these findings demonstrate that the effect of
metformin on adipocyte differentiation is biphasic and dose-dependent. Lower
concentrations of metformin induce adipogenesis, which could be mediated in an
AMPK-independent manner, while higher concentrations of metformin inhibit
adipogenesis via AMPK activation.
PMID- 29789511
TI - The Place of Social Recovery in Mental Health and Related Services.
AB - This article looks at the place of social recovery in mental health and social
care services, alongside personal recovery. Despite its conceptual and practice
centrality to the new meaning of recovery, social recovery has remained a
relatively neglected dimension. This article attempts to provide an updated
critical commentary based on findings from fifty nine studies, including a
variety of research methodologies and methods. Definitions of social recovery
within the new meaning of recovery are looked at. This is followed by outlining
the development and significance of this dimension as reflected in the key areas
of shared decision making, co-production and active citizenship, re-entering
employment after experiencing mental ill health, being in employment, poverty and
coping with poverty, the economic and the scientific cases for social recovery.
The article highlights the connections between service users' experiencing mental
health and social care systems, and the implications of ideologies and policies
reflecting positions on social recovery. The complexity of social recovery is
indicated in each of these areas; the related conceptual and methodological
frameworks developed to research this dimension, and key achievements and
barriers concerning everyday practice application of social recovery. The summary
indicates potential future development perspectives of this dimension.
PMID- 29789512
TI - Extreme Ultraviolet to Visible Dispersed Single Photon Detection for Highly
Sensitive Sensing of Fundamental Processes in Diverse Samples.
AB - The detection of a single photon is the most sensitive method for sensing of
photon emission. A common technique for single photon detection uses microchannel
plate arrays combined with photocathodes and position sensitive anodes. Here, we
report on the combination of such detectors with grating diffraction
spectrometers, constituting a low-noise wavelength resolving photon spectroscopy
apparatus with versatile applicability. We recapitulate the operation principle
of such detectors and present the details of the experimental set-up, which we
use to investigate fundamental mechanisms in atomic and molecular systems after
excitation with tuneable synchrotron radiation. Extensions for time and
polarization resolved measurements are described and examples of recent
applications in current research are given.
PMID- 29789513
TI - Substructural Connectivity Fingerprint and Extreme Entropy Machines-A New Method
of Compound Representation and Analysis.
AB - Key-based substructural fingerprints are an important element of computer-aided
drug design techniques. The usefulness of the fingerprints in filtering compound
databases is invaluable, as they allow for the quick rejection of molecules with
a low probability of being active. However, this method is flawed, as it does not
consider the connections between substructures. After changing the connections
between particular chemical moieties, the fingerprint representation of the
compound remains the same, which leads to difficulties in distinguishing between
active and inactive compounds. In this study, we present a new method of compound
representation-substructural connectivity fingerprints (SCFP), providing
information not only about the presence of particular substructures in the
molecule but also additional data on substructure connections. Such
representation was analyzed by the recently developed methodology-extreme entropy
machines (EEM). The SCFP can be a valuable addition to virtual screening tools,
as it represents compound structure with greater detail and more specificity,
allowing for more accurate classification.
PMID- 29789514
TI - Electron-Beam-Lithographed Nanostructures as Reference Materials for Label-Free
Scattered-Light Biosensing of Single Filoviruses.
AB - Optical biosensors based on scattered-light measurements are being developed for
rapid and label-free detection of single virions captured from body fluids.
Highly controlled, stable, and non-biohazardous reference materials producing
virus-like signals are valuable tools to calibrate, evaluate, and refine the
performance of these new optical biosensing methods. To date, spherical polymer
nanoparticles have been the only non-biological reference materials employed with
scattered-light biosensing techniques. However, pathogens like filoviruses,
including the Ebola virus, are far from spherical and their shape strongly
affects scattered-light signals. Using electron beam lithography, we fabricated
nanostructures resembling individual filamentous virions attached to a biosensing
substrate (silicon wafer overlaid with silicon oxide film) and characterized
their dimensions with scanning electron and atomic force microscopes. To assess
the relevance of these nanostructures, we compared their signals across the
visible spectrum to signals recorded from Ebola virus-like particles which
exhibit characteristic filamentous morphology. We demonstrate the highly stable
nature of our nanostructures and use them to obtain new insights into the
relationship between virion dimensions and scattered-light signal.
PMID- 29789515
TI - A General Cross-Layer Cloud Scheduling Framework for Multiple IoT Computer Tasks.
AB - The diversity of IoT services and applications brings enormous challenges to
improving the performance of multiple computer tasks' scheduling in cross-layer
cloud computing systems. Unfortunately, the commonly-employed frameworks fail to
adapt to the new patterns on the cross-layer cloud. To solve this issue, we
design a new computer task scheduling framework for multiple IoT services in
cross-layer cloud computing systems. Specifically, we first analyze the features
of the cross-layer cloud and computer tasks. Then, we design the scheduling
framework based on the analysis and present detailed models to illustrate the
procedures of using the framework. With the proposed framework, the IoT services
deployed in cross-layer cloud computing systems can dynamically select suitable
algorithms and use resources more effectively to finish computer tasks with
different objectives. Finally, the algorithms are given based on the framework,
and extensive experiments are also given to validate its effectiveness, as well
as its superiority.
PMID- 29789516
TI - Phytochemical Profile of Brown Rice and Its Nutrigenomic Implications.
AB - Whole grain foods have been promoted to be included as one of the important
components of a healthy diet because of the relationship between the regular
consumption of whole-grain foods and reduced risk of chronic diseases. Rice is a
staple food, which has been widely consumed for centuries by many Asian
countries. Studies have suggested that brown rice is associated with a wide
spectrum of nutrigenomic implications such as anti-diabetic, anti-cholesterol,
cardioprotective and antioxidant. This is because of the presence of various
phytochemicals that are mainly located in bran layers of brown rice. Therefore,
this paper is a review of publications that focuses on the bioactive compounds
and nutrigenomic implications of brown rice. Although current evidence supports
the fact that the consumption of brown rice is beneficial for health, these
studies are heterogeneous in terms of their brown rice samples used and
population groups, which cause the evaluation to be difficult. Future clinical
studies should focus on the screening of individual bioactive compounds in brown
rice with reference to their nutrigenomic implications.
PMID- 29789517
TI - Fluorescent Proteins for Investigating Biological Events in Acidic Environments.
AB - The interior lumen of acidic organelles (e.g., endosomes, secretory granules,
lysosomes and plant vacuoles) is an important platform for modification,
transport and degradation of biomolecules as well as signal transduction, which
remains challenging to investigate using conventional fluorescent proteins (FPs).
Due to the highly acidic luminal environment (pH ~ 4.5-6.0), most FPs and related
sensors are apt to lose their fluorescence. To address the need to image in
acidic environments, several research groups have developed acid-tolerant FPs in
a wide color range. Furthermore, the engineering of pH insensitive sensors, and
their concomitant use with pH sensitive sensors for the purpose of pH-calibration
has enabled characterization of the role of luminal ions. In this short review,
we summarize the recent development of acid-tolerant FPs and related functional
sensors and discuss the future prospects for this field.
PMID- 29789518
TI - Olive Oil and Soybean Oil Based Intravenous Lipid Emulsions, Liver Biochemistry
and Clinical Outcomes.
AB - Intravenous lipid emulsions are an essential component of parenteral nutrition
(PN). Omega-6 reducing strategies may improve outcomes, including reduced PN
associated liver disease, however evidence to support this recommendation is
insufficient. The primary objective was to compare serum alkaline phosphatase
(ALP), among patients provided with either soybean oil (Intralipid) or
predominantly olive oil (Clinoleic) lipid emulsions. In this quasi-experimental
study, we reviewed the medical records of surgical and medical adult patients who
received lipid emulsions for at least seven consecutive days. Among the 206
patients (110-Intralipid, 96-Clinoleic) there was no significant difference in
ALP and remaining liver function tests within 2 weeks of PN therapy initiation
between groups, even after control for lipid doses. Macronutrient dosing was
similar. Triglyceride level was higher by 0.7 mmol/L in the Clinoleic group;
confidence interval 0.21 to 1.1; p = 0.004. The 30-day mortality, length of
hospital stay, and proportion of patients admitted to intensive care were not
significantly different. The Clinoleic group had a higher infection rate (36% vs.
22%, p = 0.031) and longer intensive care stays (p = 0.045). Well-designed
randomized clinical trials comparing these lipid emulsions are necessary to
confirm Intralipid superiority over Clinoleic in relation to infections and serum
triglycerides.
PMID- 29789519
TI - A Macaca Fascicularis Knee Osteoarthritis Model Developed by Modified Hulth
Combined with Joint Scratches.
AB - BACKGROUND Osteoarthritis is a common degenerative disease of joints, and animal
models have important significance in the investigation of this disease. The aim
of this study was to develop a better method for developing osteoarthritis models
in primates by comparing the modified Hulth score combined with joint scratches
modeling method with others. MATERIAL AND METHODS We randomly divided 15 young
male Macaca fascicularis and 3 old male Macaca fascicularis into 6 groups (n=3).
Knee osteoarthritis (KOA) models were developed with different methods: modified
Hulth combined with joint scratches (Group A), modified Hulth (Group B), Hulth
(Group C), spontaneous models (Group D); sham-operated (Group E), and blank
control (Group F). Morphology and pathology of knee joints were observed at the
8th week after surgery. The levels of WBC, IL-1b, and TGF-b1 in synovial fluid
were detected by ELISA. The levels of COL-II, ACAN, and MMP-13 in articular
cartilage were examined by RT-qPCR and Western blot. RESULTS In Brittberg and
modified Mankin score, Group A was higher than B (P<0.05) and lower than C
(P<0.05), and there was no statistically significant difference between Group A
and D (P>0.05). Except for Group E and F, the differences were statistically
significant among others in WBC, IL-1beta, and TGF-beta1 (P<0.05). COL-II and
ACAN decreased and MMP-13 increased, and there was no significant difference
between Groups A and D (P>0.05) or between Groups E and F (P>0.05). There were
statistically significant differences among other groups (P<0.05). CONCLUSIONS
The models developed by modified Hulth combined with joint scratches were the
closet to spontaneous models at the 8th week after surgery.
PMID- 29789520
TI - T1-Weighted Dynamic Contrast-Enhanced Magnetic Resonance Imaging (DCE-MRI) to
Distinguish Between Concurrent Cholesterol Granuloma and Invasive Ductal
Carcinoma of the Breast: A Case Report.
AB - BACKGROUND Cholesterol granuloma is a benign condition that can be misdiagnosed
as breast cancer on mammographic and ultrasound imaging. A case of concomitant
cholesterol granuloma with invasive ductal carcinoma of the left breast was
imaged with ultrasound elastography and magnetic resonance imaging (MRI) methods,
including T1-weighted dynamic contrast-enhanced MRI (DCE-MRI), before biopsy and
histopathology. CASE REPORT A 52-year-old woman, with a previous history of
intraduct papillomas in both breasts, underwent six-monthly follow-up breast
imaging. The most recent breast mammogram showed a progressively enlarging oval
mass in the upper inner quadrant (UIQ) of the left breast, and an adjacent
irregular mass with microcalcifications. Virtual Touch IQ (VTIQ) shear wave
elastography was used with ultrasound of the breast lesions. T1-weighted fat
saturation (T1WFS) MRI, T2-weighted short-tau inversion recovery (STIR) MRI, and
T1-weighted DCE-MRI were used to image the left breast. T1-weighted DCE-MRI
showed that the oval lesion had a high T1-weighted signal and mild progressive
enhancement, with a Type I (benign) time-signal intensity curve; the second,
irregular, mass showed rapid, intense enhancement with a washout pattern or Type
III (malignant) time-signal intensity curve. Histopathology confirmed that the
oval mass was a cholesterol granuloma, and the irregular mass was an invasive
ductal carcinoma. CONCLUSIONS A case of concomitant cholesterol granuloma with
invasive ductal carcinoma of the left breast, showed that ultrasound with shear
wave elastography and T1-weighted DCE-MRI could distinguish between cholesterol
granuloma and invasive ductal carcinoma.
PMID- 29789521
TI - Triad3a induces the degradation of early necrosome to limit RipK1-dependent
cytokine production and necroptosis.
AB - Understanding the molecular signaling in programmed cell death is vital to a
practical understanding of inflammation and immune cell function. Here we
identify a previously unrecognized mechanism that functions to downregulate the
necrosome, a central signaling complex involved in inflammation and necroptosis.
We show that RipK1 associates with RipK3 in an early necrosome, independent of
RipK3 phosphorylation and MLKL-induced necroptotic death. We find that formation
of the early necrosome activates K48-ubiquitin-dependent proteasomal degradation
of RipK1, Caspase-8, and other necrosomal proteins. Our results reveal that the
E3-ubiquitin ligase Triad3a promotes this negative feedback loop independently of
typical RipK1 ubiquitin editing enzymes, cIAPs, A20, or CYLD. Finally, we show
that Triad3a-dependent necrosomal degradation limits necroptosis and production
of inflammatory cytokines. These results reveal a new mechanism of shutting off
necrosome signaling and may pave the way to new strategies for therapeutic
manipulation of inflammatory responses.
PMID- 29789523
TI - Focal brain lesions induced with ultraviolet irradiation.
AB - Lesion and inactivation methods have played important roles in neuroscience
studies. However, traditional techniques for creating a brain lesion are highly
invasive, and control of lesion size and shape using these techniques is not
easy. Here, we developed a novel method for creating a lesion on the cortical
surface via 365 nm ultraviolet (UV) irradiation without breaking the dura mater.
We demonstrated that 2.0 mWh UV irradiation, but not the same amount of non-UV
light irradiation, induced an inverted bell-shaped lesion with neuronal loss and
accumulation of glial cells. Moreover, the volume of the UV irradiation-induced
lesion depended on the UV light exposure amount. We further succeeded in
visualizing the lesioned site in a living animal using magnetic resonance imaging
(MRI). Importantly, we also observed using an optical imaging technique that the
spread of neural activation evoked by adjacent cortical stimulation disappeared
only at the UV-irradiated site. In summary, UV irradiation can induce a focal
brain lesion with a stable shape and size in a less invasive manner than
traditional lesioning methods. This method is applicable to not only
neuroscientific lesion experiments but also studies of the focal brain injury
recovery process.
PMID- 29789524
TI - Hirsutine induces mPTP-dependent apoptosis through ROCK1/PTEN/PI3K/GSK3beta
pathway in human lung cancer cells.
AB - Hirsutine extracted from Uncaria rhynchophylla has been shown to exhibit anti
cancer activity. However, the molecular mechanism by which hirsutine exhibits
anti-lung cancer activity remains unclear. In the present study, we showed that
hirsutine induces apoptosis in human lung cancer cells via loss of mitochondrial
membrane potential (?psim), adenosine triphosphate (ATP) depletion, ROS
production, as well as cytochrome c release. Dephosphorylation of GSK3beta is
involved in hirsutine-mediated mitochondrial permeability transition pore (mPTP)
opening through ANT1/CypD interaction. Mechanistic study revealed that
interruption of ROCK1/PTEN/PI3K/Akt signaling pathway plays a critical role in
hirsutine-mediated GSK3beta dephosphorylation and mitochondrial apoptosis. Our in
vivo study also showed that hirsutine effectively inhibits tumor growth in a A549
xenograft mouse model through ROCK1/PTEN/PI3K/Akt signaling-mediated GSK3beta
dephosphorylation and apoptosis. Collectively, these findings suggest a
hierarchical model in which induction of apoptosis by hirsutine stems primarily
from activation of ROCK1 and PTEN, inactivation of PI3K/Akt, leading in turn to
GSK3beta dephosphorylation and mPTP opening, and culminating in caspase-3
activation and apoptosis. These findings could provide a novel mechanistic basis
for the application of hirsutine in the treatment of human lung cancer.
PMID- 29789522
TI - A20 critically controls microglia activation and inhibits inflammasome-dependent
neuroinflammation.
AB - Microglia, the mononuclear phagocytes of the central nervous system (CNS), are
important for the maintenance of CNS homeostasis, but also critically contribute
to CNS pathology. Here we demonstrate that the nuclear factor kappa B (NF-kappaB)
regulatory protein A20 is crucial in regulating microglia activation during CNS
homeostasis and pathology. In mice, deletion of A20 in microglia increases
microglial cell number and affects microglial regulation of neuronal synaptic
function. Administration of a sublethal dose of lipopolysaccharide induces
massive microglia activation, neuroinflammation, and lethality in mice with
microglia-confined A20 deficiency. Microglia A20 deficiency also exacerbates
multiple sclerosis (MS)-like disease, due to hyperactivation of the Nlrp3
inflammasome leading to enhanced interleukin-1beta secretion and CNS
inflammation. Finally, we confirm a Nlrp3 inflammasome signature and IL-1beta
expression in brain and cerebrospinal fluid from MS patients. Collectively, these
data reveal a critical role for A20 in the control of microglia activation and
neuroinflammation.
PMID- 29789525
TI - Fertilization shapes a well-organized community of bacterial decomposers for
accelerated paddy straw degradation.
AB - Straw, mainly dry stalks of crops, is an agricultural byproduct. Its
incorporation to soils via microbial redistribution is an environment-friendly
way to increase fertility. Fertilization influences soil microorganisms and straw
degradation. However, our up to date knowledge on the responses of the straw
decomposers to fertilization remains elusive. To this end, inoculated with paddy
soils with 26-year applications of chemical fertilizers, organic amendments or
controls without fertilization, microcosms were anoxically incubated with 13C
labelled rice straw amendment. DNA-based stable isotope probing and molecular
ecological network analysis were conducted to unravel how straw degrading
bacterial species shift in responses to fertilizations, as well as evaluate what
their roles/links in the microbiome are. It was found that only a small
percentage of the community ecotypes was participating into straw degradation
under both fertilizations. Fertilization, especially with organic amendments
decreased the predominance of Firmicutes- and Acidobacteria-like straw
decomposers but increased those of the copiotrophs, such as beta-Proteobacteria
and Bacteroidetes due to increased soil fertility. For the same reason,
fertilization shifted the hub species towards those of high degrading potential
and created a more stable and efficient microbial consortium. These findings
indicate that fertilization shapes a well-organized community of decomposers for
accelerated straw degradation.
PMID- 29789526
TI - Hox and Wnt pattern the primary body axis of an anthozoan cnidarian before
gastrulation.
AB - Hox gene transcription factors are important regulators of positional identity
along the anterior-posterior axis in bilaterian animals. Cnidarians (e.g., sea
anemones, corals, and hydroids) are the sister group to the Bilateria and possess
genes related to both anterior and central/posterior class Hox genes. Here we
report a previously unrecognized domain of Hox expression in the starlet sea
anemone, Nematostella vectensis, beginning at early blastula stages. We explore
the relationship of two opposing Hox genes (NvAx6/NvAx1) expressed on each side
of the blastula during early development. Functional perturbation reveals that
NvAx6 and NvAx1 not only regulate their respective expression domains, but also
interact with Wnt genes to pattern the entire oral-aboral axis. These findings
suggest an ancient link between Hox/Wnt patterning during axis formation and
indicate that oral-aboral domains are likely established during blastula
formation in anthozoan cnidarians.
PMID- 29789527
TI - Regulator of chromatin condensation 1 abrogates the G1 cell cycle checkpoint via
Cdk1 in human papillomavirus E7-expressing epithelium and cervical cancer cells.
AB - Regulator of chromatin condensation 1 (RCC1) is a major guanine-nucleotide
exchange factor for Ran GTPase and plays key roles in nucleo-cytoplasmic
transport, mitosis, and nuclear envelope assembly. RCC1 is known to be a critical
cell cycle regulator whose loss causes G1 phase arrest, but the molecular basis
for this regulation is poorly understood. Furthermore, little is known about the
relationship between RCC1 and carcinomas. Human papillomavirus (HPV) infection is
highly associated with the development of cervical cancer. The expression and
function of RCC1 in HPV-related cervical cancer and cell cycle regulation have
not yet been explored. In this study, we first observed that RCC1 immunostaining
was mildly increased in cervical cancer tissues and significantly upregulated in
HPV E7-expressing cells; this localization was primarily nuclear. We showed that
the transcription factor c-Jun transcriptionally upregulates RCC1 via a direct
interaction with the RCC1 promoter. Moreover, siRNA-mediated knockdown of RCC1
inhibited G1/S cell cycle progression and DNA synthesis, while overexpression of
RCC1 abrogated the G1 checkpoint. RCC1 knockdown downregulated the protein levels
of the transcription factor E2F1, especially nuclear E2F1, by promoting its
degradation in HPV E7-expressing cells. Overexpression of E2F1 rescued RCC1
knockdown-mediated inhibition of G1/S progression. Additionally, we showed that
cyclin-dependent kinase 1 (Cdk1), a known target of E2F1, is involved in G1
checkpoint regulation, as Cdk1 knockdown hindered G1/S progression, while Cdk1
overexpression rescued RCC1 knockdown-mediated effect on G1 cell cycle
progression. Furthermore, RCC1 knockdown reduced HPV E7 protein levels, which may
in turn downregulate E2F1. Our study explores the function of RCC1 in G1/S cell
cycle progression and suggests that RCC1 may be involved in HPV E7-mediated
genomic instability.
PMID- 29789528
TI - YAP-dependent ubiquitination and degradation of beta-catenin mediates inhibition
of Wnt signalling induced by Physalin F in colorectal cancer.
AB - Aberrant activation of Wnt/beta-catenin signalling is critical in the progression
of human cancers, especially colorectal cancer (CRC). Therefore, inhibition of
Wnt/beta-catenin signalling is a significant potential target for CRC therapy.
Here, we identified for the first time that Physalin F (PF), a steroid derivative
isolated from Physalis angulate, acts as an antagonist of Wnt/beta-catenin
signalling. In vitro, PF decreased Wnt3a-induced TOPFlash reporter activity in
HEK293T cells and promoted the formation of the beta-catenin destruction complex.
Importantly, PF also inhibited Wnt/beta-catenin signalling and accelerated the
degradation of beta-catenin in CRC cells. However, PF did not affect the
stabilization of Axin or the interaction of beta-catenin with E-cadherin.
Interestingly, we further found that PF promoted YAP binding to the beta-catenin
destruction complex, which facilitated the ubiquitination and degradation of beta
catenin. Silencing and pharmacological inhibition of YAP reversed the formation
of the beta-catenin destruction complex induced by PF, implying that YAP binding
to the beta-catenin destruction complex was responsible for PF-mediated
inhibition of Wnt/beta-catenin signalling. Furthermore, PF observably inhibited
tumour growth by down-regulating beta-catenin in tumour-bearing mice.
Collectively, our findings indicated that PF inhibited Wnt/beta-catenin
signalling by accelerating the ubiquitination and degradation of beta-catenin in
a YAP-dependent manner and therefore PF could be a novel potential candidate for
CRC therapy.
PMID- 29789529
TI - Inhibiting p38 MAPK alpha rescues axonal retrograde transport defects in a mouse
model of ALS.
AB - Amyotrophic lateral sclerosis (ALS) is a fatal neurodegenerative disease caused
by the degeneration of upper and lower motor neurons. Defects in axonal transport
have been observed pre-symptomatically in the SOD1G93A mouse model of ALS, and
have been proposed to play a role in motor neuron degeneration as well as in
other pathologies of the nervous system, such as Alzheimer's disease and
hereditary neuropathies. In this study, we screen a library of small-molecule
kinase inhibitors towards the identification of pharmacological enhancers of the
axonal retrograde transport of signalling endosomes, which might be used to
normalise the rate of this process in diseased neurons. Inhibitors of p38 mitogen
activated protein kinases (p38 MAPK) were identified in this screen and were
found to correct deficits in axonal retrograde transport of signalling endosomes
in cultured primary SOD1G93A motor neurons. In vitro knockdown experiments
revealed that the alpha isoform of p38 MAPK (p38 MAPKalpha) was the sole isoform
responsible for SOD1G93A-induced transport deficits. Furthermore, we found that
acute treatment with p38 MAPKalpha inhibitors restored the physiological rate of
axonal retrograde transport in vivo in early symptomatic SOD1G93A mice. Our
findings demonstrate the pathogenic effect of p38 MAPKalpha on axonal retrograde
transport and identify a potential therapeutic strategy for ALS.
PMID- 29789531
TI - Mitochondrial OXPHOS influences immune cell fate: lessons from hematopoietic AIF
deficient and NDUFS4-deficient mouse models.
PMID- 29789530
TI - Efficient Blood-Brain Barrier Opening in Primates with Neuronavigation-Guided
Ultrasound and Real-Time Acoustic Mapping.
AB - Brain diseases including neurological disorders and tumors remain under treated
due to the challenge to access the brain, and blood-brain barrier (BBB)
restricting drug delivery which, also profoundly limits the development of
pharmacological treatment. Focused ultrasound (FUS) with microbubbles is the sole
method to open the BBB noninvasively, locally, and transiently and facilitate
drug delivery, while translation to the clinic is challenging due to long
procedure, targeting limitations, or invasiveness of current systems. In order to
provide rapid, flexible yet precise applications, we have designed a noninvasive
FUS and monitoring system with the protocol tested in monkeys (from in silico
preplanning and simulation, real-time targeting and acoustic mapping, to post
treatment assessment). With a short procedure (30 min) similar to current
clinical imaging duration or radiation therapy, the achieved targeting (both
cerebral cortex and subcortical structures) and monitoring accuracy was close to
the predicted 2-mm lower limit. This system would enable rapid clinical
transcranial FUS applications outside of the MRI system without a stereotactic
frame, thereby benefiting patients especially in the elderly population.
PMID- 29789532
TI - Ferroptosis-inducing agents compromise in vitro human islet viability and
function.
AB - Human islet transplantation has been hampered by donor cell death associated with
the islet preparation procedure before transplantation. Regulated necrosis
pathways are biochemically and morphologically distinct from apoptosis. Recently,
ferroptosis was identified as a non-apoptotic form of iron-dependent regulated
necrosis implicated in various pathological conditions. Mediators of islet
oxidative stress, including glutathione peroxidase-4 (GPX4), have been identified
as inhibitors of ferroptosis, and mechanisms that affect GPX4 function can impact
islet function and viability. Ferroptosis has not been investigated directly in
human islets, and its relevance in islet transplantation remains unknown. Herein,
we sought to determine whether in vitro human islet viability and function is
compromised in the presence of two distinct ferroptosis-inducing agents (FIA),
erastin or RSL3, and whether these effects could be rescued with ferroptosis
inhibitors, ferrostatin-1 (Fer-1), or desferrioxamine (DFO). Viability, as
assessed by lactate dehydrogenase (LDH) release, revealed significant death in
erastin- and RSL3-treated islets, 20.3% +/- 3.8 and 24.4% +/- 2.5, 24 h post
culture, respectively. These effects were ameliorated in islets pre-treated with
Fer-1 or the iron chelator, desferrioxamine (DFO). Stimulation index, a marker of
islet function revealed a significant reduction in function in erastin-treated
islets (control 1.97 +/- 0.13 vs. 50 MUM erastin 1.32 +/- 0.1) (p < 0.05). Fer-1
and DFO pre-treatment alone did not augment islet viability or function. Pre
treatment of islets with erastin or Fer-1 did not impact in vivo engraftment in
an immunodeficient mouse transplant model. Our data reveal that islets are indeed
susceptible to ferroptosis in vitro, and induction of this novel cell death
modality leads to compromised islet function, which can be recoverable in the
presence of the ferroptosis inhibitors. The in vivo impact of this pathway in
islet transplantation remains elusive given the constraints of our study, but
warrants continued investigation.
PMID- 29789533
TI - SCRaMbLEing to understand and exploit structural variation in genomes.
PMID- 29789535
TI - Coupling bimolecular PARylation biosensors with genetic screens to identify
PARylation targets.
AB - Poly (ADP-ribose)ylation is a dynamic protein modification that regulates
multiple cellular processes. Here, we describe a system for identifying and
characterizing PARylation events that exploits the ability of a PBZ (PAR-binding
zinc finger) protein domain to bind PAR with high-affinity. By linking PBZ
domains to bimolecular fluorescent complementation biosensors, we developed
fluorescent PAR biosensors that allow the detection of temporal and spatial
PARylation events in live cells. Exploiting transposon-mediated recombination, we
integrate the PAR biosensor en masse into thousands of protein coding genes in
living cells. Using these PAR-biosensor "tagged" cells in a genetic screen we
carry out a large-scale identification of PARylation targets. This identifies
CTIF (CBP80/CBP20-dependent translation initiation factor) as a novel PARylation
target of the tankyrase enzymes in the centrosomal region of cells, which plays a
role in the distribution of the centrosomal satellites.
PMID- 29789534
TI - The novel KLF4/PLAC8 signaling pathway regulates lung cancer growth.
AB - Accumulating evidence suggests that placenta-specific 8 (PLAC8) plays an
important role in normal cellular process and human diseases, including multiple
types of human tumors, and its role is highly relied upon in cellular and
physiologic contexts. However, there are no reports on its expression profile and
biological roles during lung cancer development. In the current study, both the
clinical implications and biological effects of PLAC8 in lung cancer (LC)
progression were investigated, and we identified and described the novel Kruppel
like factor 4 (KLF4)/PLAC8 regulatory pathway in cancer progression. Elevated
PLAC8 levels were positively correlated with tumor size, histological grade, and
tumor node metasis (TNM) stage, and LC patients with high PLAC8 expression
suffered poor outcomes. In vitro and in vivo assays further revealed that
endogenous PLAC8 promoted cell proliferation and tumor formation. We also found
downregulated PLAC8 protein in several LC cell lines following the induction of
KLF4, and immunohistochemistry analysis of LC tissues by microarray indicated a
potential inverse correlation between PLAC8 and KLF4 expression. Luciferase
reporter analysis and chromatin immunoprecipitation assays determined that KLF4
negatively regulated PLAC8 promoter activity via directly binding to the promoter
region. Furthermore, the growth inhibition resulting from KLF4 overexpression was
partially rescued by ectopic PLAC8 expression. Together, our data uncovered a
previously unidentified role of PLAC8 as a central mediator in LC progression.
PLAC8 was transcriptionally repressed by KLF4, and the novel KLF4/PLAC8 axis may
act as a promising candidate target for LC diagnosis and therapy.
PMID- 29789536
TI - Long noncoding RNA gastric cancer-related lncRNA1 mediates gastric malignancy
through miRNA-885-3p and cyclin-dependent kinase 4.
AB - Gastric cancer (GC) is one of the most common malignancy and the third leading
cancer-related death in China. Long noncoding RNAs (lncRNAs) have been implicated
in numerous tumors, including GC, however, the mechanism of many functional
lncRNAs is still unclear. In this study, we identified the abundantly expressed
lncRNA, RP11-290F20.3, in GC cells and patient tumor tissues. We named this
lncRNA as GC-related lncRNA1 (GCRL1), which could regulate gastric cell
proliferation and metastasis, both in vitro and in vivo. Mechanistically, miRNA
885-3p (miR-885-3p) could inhibit the cell proliferation and metastasis in GC by
negatively regulating the expression of cyclin-dependent kinase 4 (CDK4) at the
post-transcriptional level. Further, GCRL1 promoted the cell proliferation and
metastasis by sponging miR-885-3p and hence, positively regulating CDK4 in GC
cells. Taken together, our results demonstrate a novel regulatory axis of
malignant cell proliferation and invasion in GC, comprising GCRL1, miR-885-3p,
and CDK4, which may serve as a potential therapeutic target in GC.
PMID- 29789538
TI - Salvianolic acid B inhibits glycolysis in oral squamous cell carcinoma via
targeting PI3K/AKT/HIF-1alpha signaling pathway.
AB - Our previous study demonstrated a progressive glycolytic perturbation during the
course of DMBA-induced hamster oral carcinogenesis, which was attenuated by
salvianolic acid B (Sal-B) treatment along with decreased incidences of oral
squamous cell carcinoma (OSCC) formation. It was proposed that metabolic
modulation should be an additional mode of action attributable to Sal-B's anti
carcinogenic activity. However, the molecular mechanisms underlying Sal-B-induced
metabolic modulation function remained elusive. In the present study, we
performed next-generation sequencing (NGS) profiling in the same animal model and
found Sal-B treatment evoked a general downregulation of the phosphatidylinositol
4,5-bisphosphate 3-kinase (PI3K) and hypoxia inducible factor 1alpha subunit (HIF
1alpha) signaling pathways, which might contribute to Sal-B's metabolic
modulation activity. The inhibitory effects of Sal-B on aerobic glycolysis, as
well as PI3K/AKT and HIF-1alpha signaling pathways, were validated in two well
characterized OSCC cell lines (Cal27 and HN4), and premalignant oral Leuk1 cells
and Sal-B treatment led to elevation of the loss of mitochondrial membrane
potential (MMP), increased cell apoptosis, and reduced abilities of colony
formation. Rescue assays suggested that compared with Sal-B treatment group, Akt
or hif-1a overexpression attenuated the inhibitory effect of Sal-B on glucose
uptake and intracellular lactate level. Taken together, our results suggested
that Sal-B modulated aberrant glucose metabolism via the PI3K/AKT/HIF-1alpha
signaling pathways, which might contribute to the anti-carcinogenic activity of
Sal-B.
PMID- 29789537
TI - Detection of Japanese Encephalitis Virus RNA in Human Throat Samples in Laos - A
Pilot study.
AB - Japanese encephalitis virus (JEV) is the most commonly identified cause of acute
encephalitis syndrome (AES) in Asia. The WHO recommended test is anti-JEV IgM
antibody-capture-enzyme-linked-immunosorbent-assay (JEV MAC-ELISA). However, data
suggest this has low positive predictive value, with false positives related to
other Flavivirus infections and vaccination. JEV RT-PCR in cerebrospinal fluid
(CSF) and/or serum is highly specific, but is rarely positive; 0-25% of patients
that fulfil the WHO definition of JE (clinical Acute Encephalitis Syndrome (AES)
and JEV MAC-ELISA positive). Testing other body fluids by JEV RT-qPCR may improve
the diagnosis. As a pilot study thirty patients admitted to Mahosot Hospital 2014
2017, recruited to the South-East-Asia-Encephalitis study, were tested by JEV MAC
ELISA and two JEV real-time RT-PCR (RT-qPCR) assays (NS2A and NS3). Eleven
(36.7%) were JEV MAC-ELISA positive. Available CSF and serum samples of these
patients were JEV RT-qPCR negative but 2 (7%) had JEV RNA detected in their
throat swabs. JEV RNA was confirmed by re-testing, and sequencing of RT-qPCR
products. As the first apparent report of JEV RNA detection in human throat
samples, the provides new perspectives on human JEV infection, potentially
informing improving JEV detection. We suggest that testing patients' throat swabs
for JEV RNA is performed, in combination with molecular and serological CSF and
serum investigations, on a larger scale to investigate the epidemiology of the
presence of JEV in human throats. Throat swabs are an easy and non-invasive tool
that could be rolled out to a wider population to improve knowledge of JEV
molecular epidemiology.
PMID- 29789539
TI - Proteasomal degradation of the histone acetyl transferase p300 contributes to
beta-cell injury in a diabetes environment.
AB - In type 2 diabetes, amyloid oligomers, chronic hyperglycemia, lipotoxicity, and
pro-inflammatory cytokines are detrimental to beta-cells, causing apoptosis and
impaired insulin secretion. The histone acetyl transferase p300, involved in
remodeling of chromatin structure by epigenetic mechanisms, is a key ubiquitous
activator of the transcriptional machinery. In this study, we report that loss of
p300 acetyl transferase activity and expression leads to beta-cell apoptosis, and
most importantly, that stress situations known to be associated with diabetes
alter p300 levels and functional integrity. We found that proteasomal degradation
is the mechanism subserving p300 loss in beta-cells exposed to hyperglycemia or
pro-inflammatory cytokines. We also report that melatonin, a hormone produced in
the pineal gland and known to play key roles in beta-cell health, preserves p300
levels altered by these toxic conditions. Collectively, these data imply an
important role for p300 in the pathophysiology of diabetes.
PMID- 29789540
TI - Rapid host strain improvement by in vivo rearrangement of a synthetic yeast
chromosome.
AB - Synthetic biology tools, such as modular parts and combinatorial DNA assembly,
are routinely used to optimise the productivity of heterologous metabolic
pathways for biosynthesis or substrate utilisation, yet it is well established
that host strain background is just as important for determining productivity.
Here we report that in vivo combinatorial genomic rearrangement of Saccharomyces
cerevisiae yeast with a synthetic chromosome V can rapidly generate new, improved
host strains with genetic backgrounds favourable to diverse heterologous
pathways, including those for violacein and penicillin biosynthesis and for
xylose utilisation. We show how the modular rearrangement of synthetic
chromosomes by SCRaMbLE can be easily determined using long-read nanopore
sequencing and we explore experimental conditions that optimise diversification
and screening. This synthetic genome approach to metabolic engineering provides
productivity improvements in a fast, simple and accessible way, making it a
valuable addition to existing strain improvement techniques.
PMID- 29789541
TI - Identifying and characterizing SCRaMbLEd synthetic yeast using ReSCuES.
AB - SCRaMbLE is a novel system implemented in the synthetic yeast genome, enabling
massive chromosome rearrangements to produce strains with a large genotypic
diversity upon induction. Here we describe a reporter of SCRaMbLEd cells using
efficient selection, termed ReSCuES, based on a loxP-mediated switch of two
auxotrophic markers. We show that all randomly isolated clones contained
rearrangements within the synthetic chromosome, demonstrating high efficiency of
selection. Using ReSCuES, we illustrate the ability of SCRaMbLE to generate
strains with increased tolerance to several stress factors, such as ethanol, heat
and acetic acid. Furthermore, by analyzing the tolerant strains, we are able to
identify ACE2, a transcription factor required for septum destruction after
cytokinesis, as a negative regulator of ethanol tolerance. Collectively, this
work not only establishes a generic platform to rapidly identify strains of
interest by SCRaMbLE, but also provides methods to dissect the underlying
mechanisms of resistance.
PMID- 29789543
TI - Rapid pathway prototyping and engineering using in vitro and in vivo synthetic
genome SCRaMbLE-in methods.
AB - Exogenous pathway optimization and chassis engineering are two crucial methods
for heterologous pathway expression. The two methods are normally carried out
step-wise and in a trial-and-error manner. Here we report a recombinase-based
combinatorial method (termed "SCRaMbLE-in") to tackle both challenges
simultaneously. SCRaMbLE-in includes an in vitro recombinase toolkit to rapidly
prototype and diversify gene expression at the pathway level and an in vivo
genome reshuffling system to integrate assembled pathways into the synthetic
yeast genome while combinatorially causing massive genome rearrangements in the
host chassis. A set of loxP mutant pairs was identified to maximize the
efficiency of the in vitro diversification. Exemplar pathways of beta-carotene
and violacein were successfully assembled, diversified, and integrated using this
SCRaMbLE-in method. High-throughput sequencing was performed on selected
engineered strains to reveal the resulting genotype-to-phenotype relationships.
The SCRaMbLE-in method proves to be a rapid, efficient, and universal method to
fast track the cycle of engineering biology.
PMID- 29789542
TI - RNF25 promotes gefitinib resistance in EGFR-mutant NSCLC cells by inducing NF
kappaB-mediated ERK reactivation.
AB - Non-small cell lung cancer (NSCLC) patients with EGFR mutations initially respond
well to EGFR tyrosine kinase inhibitors (TKIs) but eventually exhibit acquired or
innate resistance to the therapies typically due to gene mutations, such as EGFR
T790M mutation or a second mutation in the downstream pathways of EGFR.
Importantly, a significant portion of NSCLC patients shows TKI resistance without
any known mechanisms, calling more comprehensive studies to reveal the underlying
mechanisms. Here, we investigated a synthetic lethality with gefitinib using a
genome-wide RNAi screen in TKI-resistant EGFR-mutant NSCLC cells, and identified
RNF25 as a novel factor related to gefitinib resistance. Depletion of RNF25
expression substantially sensitized NSCLC cells to gefitinib treatment, while
forced expression of RNF25 augmented gefitinib resistance in sensitive cells. We
demonstrated that RNF25 mediates NF-kappaB activation in gefitinib-treated cells,
which, in turn, induces reactivation of ERK signal to cause the drug resistance.
We identified that the ERK reactivation occurs via the function of cytokines,
such as IL-6, whose expression is transcriptionally induced in a gefitinib
dependent manner by RNF25-mediated NF-kappaB signals. These results suggest that
RNF25 plays an essential role in gefitinib resistance of NSCLC by mediating cross
talk between NF-kappaB and ERK pathways, and provide a novel target for the
combination therapy to overcome TKI resistance of NSCLC.
PMID- 29789544
TI - Development of muscular dystrophy in a CRISPR-engineered mutant rabbit model with
frame-disrupting ANO5 mutations.
AB - Limb girdle muscular dystrophy type 2L (LGMD2L) and Miyoshi myopathy type 3
(MMD3) are autosomal recessive muscular dystrophy caused by mutations in the gene
encoding anoctamin-5 (ANO5), which belongs to the anoctamin protein family. Two
independent lines of mice with complete disruption of ANO5 transcripts did not
exhibit overt muscular dystrophy phenotypes; instead, one of these mice was
observed to present with some abnormality in sperm motility. In contrast, a third
line of ANO5-knockout (KO) mice with residual expression of truncated ANO5
expression was reported to display defective membrane repair and very mild muscle
pathology. Many of the ANO5-related patients carry point mutations or small
insertions/deletions (indels) in the ANO5 gene. To more closely mimic the human
ANO5 mutations, we engineered mutant ANO5 rabbits via co-injection of Cas9 mRNA
and sgRNA into the zygotes. CRISPR-mediated small indels in the exon 12 and/or 13
in the mutant rabbits lead to the development of typical signs of muscular
dystrophy with increased serum creatine kinase (CK), muscle necrosis,
regeneration, fatty replacement and fibrosis. This novel ANO5 mutant rabbit model
would be useful in studying the disease pathogenesis and therapeutic treatments
for ANO5-deficient muscular dystrophy.
PMID- 29789546
TI - A checklist for our community.
PMID- 29789547
TI - Empowering peer reviewers with a checklist to improve transparency.
AB - Peer review is widely considered fundamental to maintaining the rigour of
science, but it often fails to ensure transparency and reduce bias in published
papers, and this systematically weakens the quality of published inferences. In
part, this is because many reviewers are unaware of important questions to ask
with respect to the soundness of the design and analyses, and the presentation of
the methods and results; also some reviewers may expect others to be responsible
for these tasks. We therefore present a reviewers' checklist of ten questions
that address these critical components. Checklists are commonly used by
practitioners of other complex tasks, and we see great potential for the wider
adoption of checklists for peer review, especially to reduce bias and facilitate
transparency in published papers. We expect that such checklists will be well
received by many reviewers.
PMID- 29789549
TI - Building better yeast.
PMID- 29789545
TI - Dynamic transcriptomic m6A decoration: writers, erasers, readers and functions in
RNA metabolism.
AB - N6-methyladenosine (m6A) is a chemical modification present in multiple RNA
species, being most abundant in mRNAs. Studies on enzymes or factors that
catalyze, recognize, and remove m6A have revealed its comprehensive roles in
almost every aspect of mRNA metabolism, as well as in a variety of physiological
processes. This review describes the current understanding of the m6A
modification, particularly the functions of its writers, erasers, readers in RNA
metabolism, with an emphasis on its role in regulating the isoform dosage of
mRNAs.
PMID- 29789548
TI - Deep 2-photon imaging and artifact-free optogenetics through transparent graphene
microelectrode arrays.
AB - Recent advances in optical technologies such as multi-photon microscopy and
optogenetics have revolutionized our ability to record and manipulate neuronal
activity. Combining optical techniques with electrical recordings is of critical
importance to connect the large body of neuroscience knowledge obtained from
animal models to human studies mainly relying on electrophysiological recordings
of brain-scale activity. However, integration of optical modalities with
electrical recordings is challenging due to generation of light-induced
artifacts. Here we report a transparent graphene microelectrode technology that
eliminates light-induced artifacts to enable crosstalk-free integration of 2
photon microscopy, optogenetic stimulation, and cortical recordings in the same
in vivo experiment. We achieve fabrication of crack- and residue-free graphene
electrode surfaces yielding high optical transmittance for 2-photon imaging down
to ~ 1 mm below the cortical surface. Transparent graphene microelectrode
technology offers a practical pathway to investigate neuronal activity over
multiple spatial scales extending from single neurons to large neuronal
populations.
PMID- 29789550
TI - Neutrophil extracellular traps promote macrophage pyroptosis in sepsis.
AB - In response to infection, polymorphonuclear neutrophils (PMN) are recruited in
the infectious sites, and employ three major strategies to fight against the
microbes including phagocytosis, degranulation, and neutrophil extracellular
traps (NETs). NETs are a meshwork of chromatin fibers mixed with granule-derived
antimicrobial peptides and enzymes, which trap and kill the bacteria
extracellularly. In this study, by using a mouse sepsis model, we identified a
novel mechanism by which NETs induce macrophage (Mphi) pyroptosis, a caspase-1
dependent regulated cell death. We show that NET-derived HMGB1, acting through
RAGE and dynamin-dependent signaling, triggers an intra-Mphi cascade of molecular
events including cathepsin B (CatB) release from the ruptured lysosomes, followed
by pyroptosome formation and caspase-1 activation, and subsequent Mphi
pyroptosis. The study further demonstrates that Mphi pyroptosis augments
inflammatory responses following sepsis. These findings shed light on the
proinflammatory role of NETs in mediating PMN-Mphi interaction, which therefore
influences the progress of inflammation following infection.
PMID- 29789551
TI - Epidermal glucocorticoid and mineralocorticoid receptors act cooperatively to
regulate epidermal development and counteract skin inflammation.
AB - Endogenous and synthetic glucocorticoids (GCs) regulate epidermal development and
combat skin inflammatory diseases. GC actions can be mediated through the GC
receptor (GR) and/or the mineralocorticoid receptor (MR), highly homologous
ligand-activated transcription factors. While the role of GR as a potent anti
inflammatory mediator is well known, that of MR is not as clear, nor is whether
these receptors cooperate or antagonize each other in the epidermis. To address
this, we generated mice with epidermal-specific loss of both receptors (double
knockout, DKO), and analyzed the phenotypical and functional consequences
relative to single KOs or controls (CO). At birth, DKO epidermis displayed a
phenotype of defective differentiation and inflammation, which was more severe
than in either single KO, featuring neutrophil-containing infiltrates, and gene
dysregulation characteristic of human psoriatic lesions. This phenotype resolved
spontaneously. However, in adulthood, single or combined loss of GC receptors
increased susceptibility to inflammation and hyperproliferation triggered by
phorbol ester which, different to CO, was not effectively counteracted by GC
treatment. Also, DKOs were more susceptible to imiquimod-induced psoriasis than
CO showing severe defective epidermal differentiation and microabcesses while
single KOs showed an intermediate response. Immortalized DKO keratinocytes
featured increased proliferation kinetics and reduced cell size, a unique
phenotype relative to single KO cells. The lack of GR and MR in keratinocytes,
individual or combined, caused constitutive increases in p38 and ERK activities,
which were partially reversed upon reinsertion of receptors into DKO cells. DKO
keratinocytes also displayed significant increases in AP-1 and NF-kappaB
transcriptional activities, which were partially rescued by ERK and p38
inhibition, respectively. Reinsertion of GR and MR in DKO keratinocytes resulted
in physical and cooperative functional interactions that restored the
transcriptional response to GCs. In conclusion, our data have revealed that
epidermal GR and MR act cooperatively to regulate epidermal development and
counteract skin inflammation.
PMID- 29789552
TI - Similar temperature scale for valence changes in Kondo lattices with different
Kondo temperatures.
AB - The Kondo model predicts that both the valence at low temperatures and its
temperature dependence scale with the characteristic energy TK of the Kondo
interaction. Here, we study the evolution of the 4f occupancy with temperature in
a series of Yb Kondo lattices using resonant X-ray emission spectroscopy. In
agreement with simple theoretical models, we observe a scaling between the
valence at low temperature and TK obtained from thermodynamic measurements. In
contrast, the temperature scale Tv at which the valence increases with
temperature is almost the same in all investigated materials while the Kondo
temperatures differ by almost four orders of magnitude. This observation is in
remarkable contradiction to both naive expectation and precise theoretical
predictions of the Kondo model, asking for further theoretical work in order to
explain our findings. Our data exclude the presence of a quantum critical valence
transition in YbRh2Si2.
PMID- 29789553
TI - Controlling the coherence of a diamond spin qubit through its strain environment.
AB - The uncontrolled interaction of a quantum system with its environment is
detrimental for quantum coherence. For quantum bits in the solid state,
decoherence from thermal vibrations of the surrounding lattice can typically only
be suppressed by lowering the temperature of operation. Here, we use a nano
electro-mechanical system to mitigate the effect of thermal phonons on a spin
qubit - the silicon-vacancy colour centre in diamond - without changing the
system temperature. By controlling the strain environment of the colour centre,
we tune its electronic levels to probe, control, and eventually suppress the
interaction of its spin with the thermal bath. Strain control provides both large
tunability of the optical transitions and significantly improved spin coherence.
Finally, our findings indicate the possibility to achieve strong coupling between
the silicon-vacancy spin and single phonons, which can lead to the realisation of
phonon-mediated quantum gates and nonlinear quantum phononics.
PMID- 29789554
TI - Synthetic cytokine receptors transmit biological signals using artificial
ligands.
AB - Cytokine-induced signal transduction is executed by natural biological switches,
which among many others control immune-related processes. Here, we show that
synthetic cytokine receptors (SyCyRs) can induce cytokine signaling using non
physiological ligands. High-affinity GFP- and mCherry-nanobodies were fused to
transmembrane and intracellular domains of the IL-6/IL-11 and IL-23 cytokine
receptors gp130 and IL-12Rbeta1/IL-23R, respectively. Homo- and heterodimeric
GFP:mCherry fusion proteins as synthetic cytokine-like ligands were able to
induce canonical signaling in vitro and in vivo. Using SyCyR ligands, we show
that IL-23 receptor homodimerization results in its activation and IL-23-like
signal transduction. Moreover, trimeric receptor assembly induces trans
phosphorylation among cytokine receptors with associated Janus kinases. The SyCyR
technology allows biochemical analyses of transmembrane receptor signaling in
vitro and in vivo, cell-specific activation through SyCyR ligands using
transgenic animals and possible therapeutic regimes involving non-physiological
targets during immunotherapy.
PMID- 29789555
TI - Revealing missing charges with generalised quantum fluctuation relations.
AB - The non-equilibrium dynamics of quantum many-body systems is one of the most
fascinating problems in physics. Open questions range from how they relax to
equilibrium to how to extract useful work from them. A critical point lies in
assessing whether a system has conserved quantities (or 'charges'), as these can
drastically influence its dynamics. Here we propose a general protocol to reveal
the existence of charges based on a set of exact relations between out-of
equilibrium fluctuations and equilibrium properties of a quantum system. We apply
these generalised quantum fluctuation relations to a driven quantum simulator,
demonstrating their relevance to obtain unbiased temperature estimates from non
equilibrium measurements. Our findings will help guide research on the interplay
of quantum and thermal fluctuations in quantum simulation, in studying the
transition from integrability to chaos and in the design of new quantum devices.
PMID- 29789556
TI - FoxM1-dependent RAD51 and BRCA2 signaling protects idiopathic pulmonary fibrosis
fibroblasts from radiation-induced cell death.
AB - Radiation therapy is critical for the control of many tumors and lung is an
important dose-limiting organ that impacts radiation dose prescribed to avoid
irreversible pulmonary fibrosis in cancer survivors. Idiopathic pulmonary
fibrosis (IPF) is a chronic, irreversible lung disease caused by aberrantly
activated lung (myo)fibroblasts. The presence of pro-fibrotic, apoptosis
resistant fibroblasts in IPF promotes progressive fibrosis and may have a role in
other diseases, if these resistant cells are selected for as a consequence of
treatment. However, the pathological response of IPF fibroblasts to radiation
compared to non-IPF lung fibroblasts is not known. To address this, we examined
fibroblast viability following radiation in lung fibroblasts from IPF and non-IPF
patients and the underlying mechanism that protects IPF fibroblasts from
radiation-induced death. IPF fibroblasts are significantly more resistant to
apoptosis compared to non-IPF lung fibroblasts, suggesting that resistance to
radiation-induced cell death is a predominant mechanism leading to lung fibrosis.
Analysis of gammaH2AX induction demonstrated that radiation-induced DNA damage is
reduced in IPF fibroblasts and correlates to the activation of the transcription
factor forkhead box M1 (FoxM1) and subsequent upregulation of DNA repair proteins
RAD51 and BRCA2. FoxM1 activation occurs secondary to FoxO3a suppression in IPF
fibroblasts while restoration of FoxO3a function sensitizes IPF fibroblasts to
radiation-induced cell death and downregulates FoxM1, RAD51, and BRCA2. Our
findings support that increased FoxO3a/FoxM1-dependent DNA repair may be integral
to the preservation of death-resistant fibrotic fibroblasts after radiation and
that selective targeting of radioresistant fibroblasts may mitigate fibrosis.
PMID- 29789557
TI - Measuring coverage and accuracy of whole-exome sequencing in clinical context.
AB - PurposeTo evaluate the coverage and accuracy of whole-exome sequencing (WES)
across vendors.MethodsBlood samples from three trios underwent WES at three
vendors. Relative performance of the three WES services was measured for breadth
and depth of coverage. The false-negative rates (FNRs) were estimated using the
segregation pattern within each trio.ResultsMean depth of coverage for all genes
was 189.0, 124.9, and 38.3 for the three vendor services. Fifty-five of the
American College of Medical Genetics and Genomics 56 genes, but only 56 of 63
pharmacogenes, were 100% covered at 10 * in at least one of the nine individuals
for all vendors; however, there was substantial interindividual variability. For
the two vendors with mean depth of coverage >120 *, analytic positive predictive
values (aPPVs) exceeded 99.1% for single-nucleotide variants and homozygous
indels, and sensitivities were 98.9-99.9%; however, heterozygous indels showed
lower accuracy and sensitivity. Among the trios, FNRs in the offspring were 0.07
0.62% at well-covered variants concordantly called in both parents.ConclusionThe
current standard of 120 * coverage for clinical WES may be insufficient for
consistent breadth of coverage across the exome. Ordering clinicians and
researchers would benefit from vendors' reports that estimate sensitivity and
aPPV, including depth of coverage across the exome.Genetics in Medicine advance
online publication, 12 April 2018; doi:10.1038/gim.2018.51.
PMID- 29789558
TI - Celastrol alleviates renal fibrosis by upregulating cannabinoid receptor 2
expression.
AB - Renal fibrosis is the final manifestation of various chronic kidney diseases, and
no effective therapy is available to prevent or reverse it. Celastrol, a
triterpene that derived from traditional Chinese medicine, is a known potent anti
fibrotic agent. However, the underlying mechanisms of action of celastrol on
renal fibrosis remain unknown. In this study, we found that celastrol treatment
remarkably attenuated unilateral ureteral obstruction (UUO)-induced mouse renal
fibrosis. This was evidenced by the significant reduction in tubular injury;
collagen deposition; accumulation of fibronectin, collagen I, and alpha-smooth
muscle actin; and the expression levels of pro-fibrotic factors Vim, Cola1, and
TGF-beta1 mRNA, as well as inflammatory responses. Celastrol showed similar
effects in a folic acid-induced mouse renal fibrosis model. Furthermore,
celastrol potentiated the expression of the anti-fibrotic factor cannabinoid
receptor 2 (CB2R) in established mouse fibrotic kidney tissues and transforming
growth factor beta1 (TGF-beta1)-stimulated human kidney 2 (HK-2) cells. In
addition, the CB2R antagonist (SR144528) abolished celastrol-mediated beneficial
effects on renal fibrosis. Moreover, UUO- or TGF-beta1-induced activation of the
pro-fibrotic factor SMAD family member 3 (Smad3) was markedly inhibited by
celastrol. Inhibition of Smad3 activation by an inhibitor (SIS3) markedly reduced
TGF-beta1-induced downregulation of CB2R expression. In conclusion, our study
provides the first direct evidence that celastrol significantly alleviated renal
fibrosis, by contributing to the upregulation of CB2R expression through
inhibiting Smad3 signaling pathway activation. Therefore, celastrol could be a
potential drug for treating patients with renal fibrosis.
PMID- 29789559
TI - Transcriptional and epigenetic modulation of autophagy promotes EBV oncoprotein
EBNA3C induced B-cell survival.
AB - Epstein-Barr virus (EBV) oncoprotein EBNA3C is indispensable for primary B-cell
transformation and maintenance of lymphoblastoid cells outgrowth. EBNA3C usurps
two putative cellular pathways-cell-cycle and apoptosis, essentially through
modulating ubiquitin-mediated protein-degradation or gene transcription. In
cancer cells, these two pathways are interconnected with autophagy,-a survival
promoting catabolic network in which cytoplasmic material including mis/un-folded
protein aggregates and damaged organelles along with intracellular pathogens are
degraded and recycled in lysosomal compartments. Studies have shown that tumor
viruses including EBV can manipulate autophagy as a survival strategy. Here, we
demonstrate that EBNA3C elevates autophagy, which serves as a prerequisite for
apoptotic inhibition and maintenance of cell growth. Using PCR based micro-array
we show that EBNA3C globally accelerates autophagy gene transcription under
growth limiting conditions. Reanalyzing the ENCODE ChIP-sequencing data (GSE52632
and GSE26386) followed by ChIP-PCR demonstrate that EBNA3C recruits several
histone activation epigenetic marks (H3K4me1, H3K4me3, H3K9ac, and H3K27ac) for
transcriptional activation of autophagy genes, notably ATG3, ATG5, and ATG7
responsible for autophagosome formation. Moreover, under growth limiting
conditions EBNA3C further stimulates the autophagic response through upregulation
of a number of tumor suppressor genes, notably cyclin-dependent kinase inhibitors
CDKN1B (p27Kip1) and CDKN2A (p16INK4a) and autophagy mediated cell-death
modulators-DRAM1 and DAPK1. Together our data highlight a new role of an
essential EBV oncoprotein in regulating autophagy cascade as a survival mechanism
and offer novel-targets for potential therapeutic expansion against EBV induced B
cell lymphomas.
PMID- 29789560
TI - Kin discrimination allows plants to modify investment towards pollinator
attraction.
AB - Pollinators tend to be preferentially attracted to large floral displays that may
comprise more than one plant in a patch. Attracting pollinators thus not only
benefits individuals investing in advertising, but also other plants in a patch
through a 'magnet' effect. Accordingly, there could be an indirect fitness
advantage to greater investment in costly floral displays by plants in kin
structured groups than when in groups of unrelated individuals. Here, we seek
evidence for this strategy by manipulating relatedness in groups of the plant
Moricandia moricandioides, an insect-pollinated herb that typically grows in
patches. As predicted, individuals growing with kin, particularly at high
density, produced larger floral displays than those growing with non-kin.
Investment in attracting pollinators was thus moulded by the presence and
relatedness of neighbours, exemplifying the importance of kin recognition in the
evolution of plant reproductive strategies.
PMID- 29789562
TI - Author Correction: A RAB35-p85/PI3K axis controls oscillatory apical protrusions
required for efficient chemotactic migration.
AB - The originally published version of this Article contained an error in the name
of the author Salvatore Corallino, which was incorrectly given as Corallino
Salvatore. This has now been corrected in both the PDF and HTML versions of the
Article.
PMID- 29789561
TI - L-SCRaMbLE as a tool for light-controlled Cre-mediated recombination in yeast.
AB - The synthetic yeast genome constructed by the International Synthetic Yeast Sc2.0
consortium adds thousands of loxPsym recombination sites to all 16 redesigned
chromosomes, allowing the shuffling of Sc2.0 chromosome parts by the Cre-loxP
recombination system thereby enabling genome evolution experiments. Here, we
present L-SCRaMbLE, a light-controlled Cre recombinase for use in the yeast
Saccharomyces cerevisiae. L-SCRaMbLE allows tight regulation of recombinase
activity with up to 179-fold induction upon exposure to red light. The extent of
recombination depends on induction time and concentration of the chromophore
phycocyanobilin (PCB), which can be easily adjusted. The tool presented here
provides improved recombination control over the previously reported estradiol
dependent SCRaMbLE induction system, mediating a larger variety of possible
recombination events in SCRaMbLE-ing a reporter plasmid. Thereby, L-SCRaMbLE
boosts the potential for further customization and provides a facile application
for use in the S. cerevisiae genome re-engineering project Sc2.0 or in other
recombination-based systems.
PMID- 29789563
TI - Analysis of Moisture Content in Beetroot using Fourier Transform Infrared
Spectroscopy and by Principal Component Analysis.
AB - The moisture content of beetroot varies during long-term cold storage. In this
work, we propose a strategy to identify the moisture content and age of beetroot
using principal component analysis coupled Fourier transform infrared
spectroscopy (FTIR). Frequent FTIR measurements were recorded directly from the
beetroot sample surface over a period of 34 days for analysing its moisture
content employing attenuated total reflectance in the spectral ranges of 2614
4000 and 1465-1853 cm-1 with a spectral resolution of 8 cm-1. In order to
estimate the transmittance peak height (T p ) and area under the transmittance
curve [Formula: see text] over the spectral ranges of 2614-4000 and 1465-1853 cm
1, Gaussian curve fitting algorithm was performed on FTIR data. Principal
component and nonlinear regression analyses were utilized for FTIR data analysis.
Score plot over the ranges of 2614-4000 and 1465-1853 cm-1 allowed beetroot
quality discrimination. Beetroot quality predictive models were developed by
employing biphasic dose response function. Validation experiment results
confirmed that the accuracy of the beetroot quality predictive model reached
97.5%. This research work proves that FTIR spectroscopy in combination with
principal component analysis and beetroot quality predictive models could serve
as an effective tool for discriminating moisture content in fresh, half and
completely spoiled stages of beetroot samples and for providing status alerts.
PMID- 29789564
TI - Establishing quasi-steady state operations of microphysiological systems (MPS)
using tissue-specific metabolic dependencies.
AB - Microphysiological systems (MPS), consisting of tissue constructs, biomaterials,
and culture media, aim to recapitulate relevant organ functions in vitro. MPS
components are housed in fluidic hardware with operational protocols, such as
periodic complete media replacement. Such batch-like operations provide relevant
nutrients and remove waste products but also reset cell-secreted mediators (e.g.
cytokines, hormones) and potentially limit exposure to drugs (and metabolites).
While each component plays an essential role for tissue functionality, MPS
specific nutrient needs are not yet well-characterized nor utilized to operate
MPSs at more physiologically-relevant conditions. MPS-specific nutrient needs for
gut (immortalized cancer cells), liver (human primary hepatocytes) and cardiac
(iPSC-derived cardiomyocytes) MPSs were experimentally quantified. In a long-term
study of the gut MPS (10 days), this knowledge was used to design operational
protocols to maintain glucose and lactate at desired levels. This quasi-steady
state operation was experimentally validated by monitoring glucose and lactate as
well as MPS functionality. In a theoretical study, nutrient needs of an
integrated multi-MPS platform (gut, liver, cardiac MPSs) were computationally
simulated to identify long-term quasi-steady state operations. This integrative
experimental and computational approach demonstrates the utilization of
quantitative multi-scale characterization of MPSs and incorporating MPS-specific
information to establish more physiologically-relevant experimental operations.
PMID- 29789566
TI - Transcriptome analysis of the adult human Klinefelter testis and cellularity
matched controls reveals disturbed differentiation of Sertoli- and Leydig cells.
AB - The most common human sex chromosomal disorder is Klinefelter syndrome (KS;
47,XXY). Adult patients with KS display a diverse phenotype but are nearly always
infertile, due to testicular degeneration at puberty. To identify mechanisms
causing the selective destruction of the seminiferous epithelium, we performed
RNA-sequencing of 24 fixed paraffin-embedded testicular tissue samples. Analysis
of informative transcriptomes revealed 235 differentially expressed transcripts
(DETs) in the adult KS testis showing enrichment of long non-coding RNAs, but
surprisingly not of X-chromosomal transcripts. Comparison to 46,XY samples with
complete spermatogenesis and Sertoli cell-only-syndrome allowed prediction of the
cellular origin of 71 of the DETs. DACH2 and FAM9A were validated by
immunohistochemistry and found to mark apparently undifferentiated somatic cell
populations in the KS testes. Moreover, transcriptomes from fetal, pre-pubertal,
and adult KS testes showed a limited overlap, indicating that different
mechanisms are likely to operate at each developmental stage. Based on our data,
we propose that testicular degeneration in men with KS is a consequence of germ
cells loss initiated during early development in combination with disturbed
maturation of Sertoli- and Leydig cells.
PMID- 29789569
TI - Value added transformation of ubiquitous substrates into highly efficient and
flexible electrodes for water splitting.
AB - Herein, we present an innovative approach for transforming commonly available
cellulose paper into a flexible and catalytic current collector for overall water
splitting. A solution processed soak-and-coat method of electroless plating was
used to render a piece of paper conducting by conformably depositing metallic
nickel nanoparticles, while still retaining the open macroporous framework. Proof
of-concept paper-electrodes are realized by modifying nickel-paper current
collector with model electrocatalysts nickel-iron oxyhydroxide and nickel
molybdenum bimetallic alloy through electrodeposition route. The paper-electrodes
demonstrate exceptional activities towards oxygen evolution reaction and hydrogen
evolution reaction, requiring overpotentials of 240 and 32 mV at 50 and -10 mA cm
2, respectively, even as they endure extreme mechanical stress. The generality of
this approach is demonstrated by fabricating similar electrodes on cotton fabric,
which also show high activity. Finally, a two-electrode paper-electrolyzer is
constructed which can split water with an efficiency of 98.01%, and exhibits
robust stability for more than 200 h.
PMID- 29789565
TI - The wide utility of rabbits as models of human diseases.
AB - Studies using the European rabbit Oryctolagus cuniculus contributed to
elucidating numerous fundamental aspects of antibody structure and
diversification mechanisms and continue to be valuable for the development and
testing of therapeutic humanized polyclonal and monoclonal antibodies.
Additionally, during the last two decades, the use of the European rabbit as an
animal model has been increasingly extended to many human diseases. This review
documents the continuing wide utility of the rabbit as a reliable disease model
for development of therapeutics and vaccines and studies of the cellular and
molecular mechanisms underlying many human diseases. Examples include syphilis,
tuberculosis, HIV-AIDS, acute hepatic failure and diseases caused by noroviruses,
ocular herpes, and papillomaviruses. The use of rabbits for vaccine development
studies, which began with Louis Pasteur's rabies vaccine in 1881, continues today
with targets that include the potentially blinding HSV-1 virus infection and HIV
AIDS. Additionally, two highly fatal viral diseases, rabbit hemorrhagic disease
and myxomatosis, affect the European rabbit and provide unique models to
understand co-evolution between a vertebrate host and viral pathogens.
PMID- 29789567
TI - Precise control of SCRaMbLE in synthetic haploid and diploid yeast.
AB - Compatibility between host cells and heterologous pathways is a challenge for
constructing organisms with high productivity or gain of function. Designer yeast
cells incorporating the Synthetic Chromosome Rearrangement and Modification by
LoxP-mediated Evolution (SCRaMbLE) system provide a platform for generating
genotype diversity. Here we construct a genetic AND gate to enable precise
control of the SCRaMbLE method to generate synthetic haploid and diploid yeast
with desired phenotypes. The yield of carotenoids is increased to 1.5-fold by
SCRaMbLEing haploid strains and we determine that the deletion of YEL013W is
responsible for the increase. Based on the SCRaMbLEing in diploid strains, we
develop a strategy called Multiplex SCRaMbLE Iterative Cycling (MuSIC) to
increase the production of carotenoids up to 38.8-fold through 5 iterative cycles
of SCRaMbLE. This strategy is potentially a powerful tool for increasing the
production of bio-based chemicals and for mining deep knowledge.
PMID- 29789571
TI - A novel extracellular vesicle-associated endodeoxyribonuclease helps
Streptococcus pneumoniae evade neutrophil extracellular traps and is required for
full virulence.
AB - Streptococcus pneumoniae (pneumococcus) is a major bacterial pathogen that causes
pneumonia and septicemia in humans. Pneumococci are cleared from the host
primarily by antibody dependent opsonophagocytosis by phagocytes like
neutrophils. Neutrophils release neutrophil extracellular traps (NETs) on
contacting pneumococci. NETs immobilize pneumococci and restrict its
dissemination in the host. One of the strategies utilized by pneumococci to evade
the host immune response involves use of DNase(s) to degrade NETs. We screened
the secretome of autolysin deficient S. pneumoniae to identify novel DNase(s).
Zymogram analysis revealed 3 bands indicative of DNase activity. Mass
spectrometric analysis led to the identification of TatD as a potential
extracellular DNase. Recombinant TatD showed nucleotide sequence-independent
endodeoxyribonuclease activity. TatD was associated with extracellular vesicles.
Pneumococcal secretome degraded NETs from human neutrophils. Extracellular
vesicle fraction from tatD deficient strain showed little NET degrading activity.
Recombinant TatD efficiently degraded NETs. tatD deficient pneumococci showed
lower bacterial load in lungs, blood and spleen in a murine sepsis model compared
to wildtype strain, and showed less severe lung pathology and compromised
virulence. This study provides insights into the role of a novel extracellular
DNase in evasion of the innate immune system.
PMID- 29789570
TI - Structural resolution of inorganic nanotubes with complex stoichiometry.
AB - Determination of the atomic structure of inorganic single-walled nanotubes with
complex stoichiometry remains elusive due to the too many atomic coordinates to
be fitted with respect to X-ray diffractograms inherently exhibiting rather broad
features. Here we introduce a methodology to reduce the number of fitted
variables and enable resolution of the atomic structure for inorganic nanotubes
with complex stoichiometry. We apply it to recently synthesized methylated
aluminosilicate and aluminogermanate imogolite nanotubes of nominal composition
(OH)3Al2O3Si(Ge)CH3. Fitting of X-ray scattering diagrams, supported by Density
Functional Theory simulations, reveals an unexpected rolling mode for these
systems. The transferability of the approach opens up for improved understanding
of structure-property relationships of inorganic nanotubes to the benefit of
fundamental and applicative research in these systems.
PMID- 29789568
TI - Deficiency of PRKD2 triggers hyperinsulinemia and metabolic disorders.
AB - Hyperinsulinemia is the earliest symptom of insulin resistance (IR), but a causal
relationship between the two remains to be established. Here we show that a
protein kinase D2 (PRKD2) nonsense mutation (K410X) in two rhesus monkeys with
extreme hyperinsulinemia along with IR and metabolic defects by using extreme
phenotype sampling and deep sequencing analyses. This mutation reduces PRKD2 at
both the mRNA and the protein levels. Taking advantage of a PRKD2-KO mouse model,
we demonstrate that PRKD2 deletion triggers hyperinsulinemia which precedes to IR
and metabolic disorders in the PRKD2 ablation mice. PRKD2 deficiency promotes
beta-cell insulin secretion by increasing the expression and activity of L-type
Ca2+ channels and subsequently augmenting high glucose- and membrane
depolarization-induced Ca2+ influx. Altogether, these results indicate that down
regulation of PRKD2 is involved in the pathogenesis of hyperinsulinemia which, in
turn, results in IR and metabolic disorders.
PMID- 29789572
TI - Glioblastoma single-cell microRaman analysis under stress treatments.
AB - Glioblastoma multiforme (GBM) is the most frequent malignant brain tumor
characterized by highly heterogeneous subpopulations. In order to reveal the
heterogeneous cell response, single cell analysis is an essential requirement. In
this study, optical microscopy and Raman microspectroscopy were used to follow
the stress response of U251 single cells adherent on a silicon substrate.
Cultured cells on silicon substrate were treated with hydrogen peroxide to
promote apoptosis. Under these conditions expected changes occurred after a few
hours and were revealed by the reduction of cytochrome c, lipid, nucleic acid and
protein Raman signals: this ensured the possibility to analyse U251 cell line as
grown on Si substrate, and to monitor the response of single cells to stress
conditions. As a consequence, we used microRaman to monitor the effects induced
by nutrient depletion: a fast change of Raman spectra showed two different sub
populations of sensible and resistant U251 cells. Furthermore, spectral
variations after DMSO addition were associated to volume changes and confirmed by
morphological analysis. Thus, our results highlight the sensitivity of Raman
microspectroscopy to detect rapid variations of macromolecule concentration due
to oxidative stress and/or cell volume changes at the single cell level.
PMID- 29789574
TI - Helicobacter pylori-infected C57BL/6 mice with different gastrointestinal
microbiota have contrasting gastric pathology, microbial and host immune
responses.
AB - C57BL/6 (B6) mice from Taconic Sciences (Tac) and the Jackson Laboratory (Jax)
were infected with H. pylori PMSS1 (Hp) for 16 week; there was no significant
difference in the gastric histologic activity index between Hp infected Tac and
Jax B6. However, the degree of gastric mucous metaplasia and Th1-associated IgG2c
levels in response to Hp infection were increased in Tac mice over Jax mice,
whereas the colonization levels of gastric Hp were higher by 8-fold in Jax B6
compared with Tac B6. Additionally, mRNA expression of gastric Il-1beta, Il-17A
and RegIIIgamma were significantly lower in the infected Tac compared to the
infected Jax mice. There were significant differences in the microbial community
structures in stomach, colon, and feces between Jax and Tac B6 females.
Differences in gastric microbial communities between Jax and Tac B6 females are
predicted to affect the metagenome. Moreover, Hp infection perturbed the
microbial community structures in the stomach, colon and feces of Jax mice, but
only altered the colonic microbial composition of Tac mice. Our data indicate
that the GI microbiome of Tac B6 mice is compositionally distinct from Jax B6
mice, which likely resulted in different pathological, immunological, and
microbial responses to Hp infection.
PMID- 29789576
TI - Ventral striatal response during decision making involving risk and reward is
associated with future binge drinking in adolescents.
AB - Beginning to engage in heavy alcohol use during adolescence, as opposed to later
in life, is associated with elevated risk for a variety of negative consequences,
including the development of an alcohol use disorder. Behavioral studies suggest
that poor decision making predicts alcohol use during adolescence; however, more
research is needed to determine the neurobiological risk factors that underlie
this association. Using functional magnetic resonance imaging, brain activation
during decision making involving risk and reward was assessed in 47 adolescents
(14-15 years old) with no significant history or alcohol or drug use. After
baseline assessment, participants completed follow-up interviews every 3 months
to assess the duration to onset of binge drinking. Adolescents who made a greater
number of risky selections and had greater activation in the nucleus accumbens,
precuneus, and occipital cortex during decision making involving greater
potential for risk and reward began binge drinking sooner. Findings suggest that
heightened activation of reward circuitry during decision making under risk is a
neurobiological risk factor for earlier onset of binge drinking. Furthermore,
brain activation was a significant predictor of onset to binge drinking, even
after controlling for decision-making behavior, suggesting that neurobiological
markers may provide additional predictive validity over behavioral assessments.
Interventions designed to modify these behavioral and neurobiological risk
factors may be useful for curbing heavy alcohol use during adolescence.
PMID- 29789573
TI - High-throughput screening of prostate cancer risk loci by single nucleotide
polymorphisms sequencing.
AB - Functional characterization of disease-causing variants at risk loci has been a
significant challenge. Here we report a high-throughput single-nucleotide
polymorphisms sequencing (SNPs-seq) technology to simultaneously screen hundreds
to thousands of SNPs for their allele-dependent protein-binding differences. This
technology takes advantage of higher retention rate of protein-bound DNA oligos
in protein purification column to quantitatively sequence these SNP-containing
oligos. We apply this technology to test prostate cancer-risk loci and observe
differential allelic protein binding in a significant number of selected SNPs. We
also test a unique application of self-transcribing active regulatory region
sequencing (STARR-seq) in characterizing allele-dependent transcriptional
regulation and provide detailed functional analysis at two risk loci (RGS17 and
ASCL2). Together, we introduce a powerful high-throughput pipeline for large
scale screening of functional SNPs at disease risk loci.
PMID- 29789575
TI - Tamoxifen-induced knockdown of the mitochondrial calcium uniporter in Thy1
expressing neurons protects mice from hypoxic/ischemic brain injury.
AB - The mitochondrial calcium uniporter (MCU) mediates high-capacity mitochondrial
calcium uptake that stimulates energy production. However, excessive MCU activity
can cause ischemic heart injury. To examine if the MCU is also involved in
hypoxic/ischemic (HI) brain injury, we have generated conditional MCU knockout
mice by tamoxifen (TMX) administration to adult MCU-floxed (MCUfl/fl) mice
expressing a construct encoding Thy1-cre/ERT2-eYFP. Relative to TMX/Thy1-cre/ERT2
eYFP controls, HI-induced sensorimotor deficits, forebrain neuron loss and
mitochondrial damage were decreased for conditional MCU knockout mice. MCU
knockdown by siRNA-induced silencing in cortical neuron cultures also reduced
cell death and mitochondrial respiratory deficits following oxygen-glucose
deprivation. Furthermore, MCU silencing did not produce metabolic abnormalities
in cortical neurons observed previously for global MCU nulls that increased
reliance on glycolysis for energy production. Based on these findings, we propose
that brain-penetrant MCU inhibitors have strong potential to be well-tolerated
and highly-efficacious neuroprotectants for the acute management of ischemic
stroke.
PMID- 29789577
TI - Long non-coding RNA Gm2199 rescues liver injury and promotes hepatocyte
proliferation through the upregulation of ERK1/2.
AB - Long non-coding RNAs (lncRNAs) are a new class of regulators of various human
diseases. This study was designed to explore the potential role of lncRNAs in
experimental hepatic damage. In vivo hepatic damage in mice and in vitro
hepatocyte damage in AML12 and NCTC1469 cells were induced by carbon
tetrachloride (CCl4) treatments. Expression profiles of lncRNAs and mRNAs were
analyzed by microarray. Bioinformatics analyses were conducted to predict the
potential functions of differentially expressed lncRNAs with respect to hepatic
damage. Overexpression of lncRNA Gm2199 was achieved by transfection of the pEGFP
N1-Gm2199 plasmid in vitro and adeno-associated virus-Gm2199 in vivo. Cell
proliferation and viability was detected by cell counting kit-8 and 5-ethynyl-2'
deoxyuridine assay. Protein and mRNA expressions of extracellular signal
regulated kinase-1/2 (ERK1/2) were detected by western blot and quantitative real
time reverse-transcription PCR (qRT-PCR). Microarray analysis identified 190 and
148 significantly differentially expressed lncRNAs and mRNAs, respectively. The
analyses of lncRNA-mRNA co-expression and lncRNA-biological process networks
unraveled potential roles of the differentially expressed lncRNAs including
Gm2199 in the pathophysiological processes leading to hepatic damage. Gm2199 was
downregulated in both damaged livers and hepatocyte lines. Overexpression of
Gm2199 restored the reduced proliferation of damaged hepatocyte lines and
increased the expression of ERK1/2. Overexpression of Gm2199 also promoted the
proliferation and viability of normal hepatocyte lines and increased the level of
p-ERK1/2. Overexpression of Gm2199 in vivo also protected mouse liver injury
induced by CCl4, evidenced by more proliferating hepatocytes, less serum alanine
aminotransferase, less serum aspartate aminotransferase, and decreased hepatic
hydroxyproline. The ability of Gm2199 to maintain hepatic proliferation capacity
indicates it as a novel anti-liver damage lncRNA.
PMID- 29789578
TI - SK channel activation is neuroprotective in conditions of enhanced ER
mitochondrial coupling.
AB - Alterations in the strength and interface area of contact sites between the
endoplasmic reticulum (ER) and mitochondria contribute to calcium (Ca2+)
dysregulation and neuronal cell death, and have been implicated in the pathology
of several neurodegenerative diseases. Weakening this physical linkage may reduce
Ca2+ uptake into mitochondria, while fortifying these organelle contact sites may
promote mitochondrial Ca2+ overload and cell death. Small conductance Ca2+
activated K+ (SK) channels regulate mitochondrial respiration, and their
activation attenuates mitochondrial damage in paradigms of oxidative stress. In
the present study, we enhanced ER-mitochondrial coupling and investigated the
impact of SK channels on survival of neuronal HT22 cells in conditions of
oxidative stress. Using genetically encoded linkers, we show that mitochondrial
respiration and the vulnerability of neuronal cells to oxidative stress was
inversely linked to the strength of ER-mitochondrial contact points and the
increase in mitochondrial Ca2+ uptake. Pharmacological activation of SK channels
provided protection against glutamate-induced cell death and also in conditions
of increased ER-mitochondrial coupling. Together, this study revealed that SK
channel activation provided persistent neuroprotection in the paradigm of
glutamate-induced oxytosis even in conditions where an increase in ER
mitochondrial coupling potentiated mitochondrial Ca2+ influx and impaired
mitochondrial bioenergetics.
PMID- 29789579
TI - Endogenous authentic OCT4A proteins directly regulate FOS/AP-1 transcription in
somatic cancer cells.
AB - OCT4A is well established as a master transcription factor for pluripotent stem
cell (PSC) self-renewal and a pioneer factor for initiating somatic cell
reprogramming, yet its presence and functionality in somatic cancer cells remain
controversial and obscure. By combining the CRISPR-Cas9-based gene editing with
highly specific PCR assays, highly sensitive immunoassays, and mass spectrometry,
we provide unequivocal evidence here that full-length authentic OCT4A transcripts
and proteins were both present in somatic cancer cells, and OCT4A proteins were
heterogeneously expressed in the whole cell population and when expressed, they
are predominantly localized in cell nucleus. Despite their extremely low
abundance (approximately three orders of magnitude lower than in PSCs), OCT4A
proteins bound to the promoter/enhancer regions of the AP-1 transcription factor
subunit c-FOS gene and critically regulated its transcription. Knocking out OCT4A
in somatic cancer cells led to dramatic reduction of the c-FOS protein level,
aberrant AP-1 signaling, dampened self-renewal capacity, deficient cell migration
that were associated with cell growth retardation in vitro and in vivo, and their
enhanced sensitivity to anticancer drugs. Taken together, we resolve the long
standing controversy and uncertainty in the field, and reveal a fundamental role
of OCT4A protein in regulating FOS/AP-1 signaling-centered genes that mediate the
adhesion, migration, and propagation of somatic cancer cells.
PMID- 29789580
TI - The Effects of Anti-LAP Monoclonal Antibody Down-regulation of CD4+LAP+ T Cells
on Allogeneic Corneal Transplantation in Mice.
AB - CD4+latency-associated peptide (LAP)+ T cells are a newly discovered T cell
subset with suppressive function on immune responses. In this study, we
investigate the role of CD4+LAP+ T cells on mice corneal allograft survival by
down-regulating their expression using anti-LAP mAb. We show that a blockage of
LAP leads to a decrease in the percentage of T cells expressing CD4+Foxp3+,
CD4+GARP+, CD4+LAP+ and CD4+IL-10+ in the lymph nodes and spleens of mice
undergoing orthotopic penetrating transplantation of corneal allograft, without
affecting corneal graft survival. In addition, higher percentages of CD4+IFN
gamma+ and CD4+IL-17A+ T cells in the lymph nodes and spleens, as well as TNF,
IFN-gamma, IL-17A and IL-6 levels in the aqueous humor, significantly increase in
mice with rejected corneal grafts. The expression of TGF-beta1 decreases in
corneal grafts during corneal rejection period. It is therefore possible that
anti-LAP mAb can down-regulate the regulatory T cell subsets with its
immunosuppressive effects. The rejection of corneal grafts seems to mainly be
associated with the up-regulation of Th1 and Th17 cell subsets in peripheral
lymph nodes.
PMID- 29789581
TI - Intron retention and nuclear loss of SFPQ are molecular hallmarks of ALS.
AB - Mutations causing amyotrophic lateral sclerosis (ALS) strongly implicate
ubiquitously expressed regulators of RNA processing. To understand the molecular
impact of ALS-causing mutations on neuronal development and disease, we analysed
transcriptomes during in vitro differentiation of motor neurons (MNs) from human
control and patient-specific VCP mutant induced-pluripotent stem cells (iPSCs).
We identify increased intron retention (IR) as a dominant feature of the splicing
programme during early neural differentiation. Importantly, IR occurs prematurely
in VCP mutant cultures compared with control counterparts. These aberrant IR
events are also seen in independent RNAseq data sets from SOD1- and FUS-mutant
MNs. The most significant IR is seen in the SFPQ transcript. The SFPQ protein
binds extensively to its retained intron, exhibits lower nuclear abundance in VCP
mutant cultures and is lost from nuclei of MNs in mouse models and human sporadic
ALS. Collectively, we demonstrate SFPQ IR and nuclear loss as molecular hallmarks
of familial and sporadic ALS.
PMID- 29789583
TI - TRIM50 suppressed hepatocarcinoma progression through directly targeting SNAIL
for ubiquitous degradation.
AB - Tripartite motif-containing 50 (TRIM50) belongs to the tripartite motif (TRIM)
protein family, which has been implicated in the pathogenesis of multiple
cancers. However, the role of TRIM50 in hepatocellular carcinoma (HCC) remains to
be clarified. Here we showed that TRIM50 expression was significantly decreased
in liver cancer tissues compared with corresponding non-cancerous liver tissues,
and its decreased expression was significantly correlated with advanced disease
progression. Gain-of-function assay by exogenous overexpression of TRIM50 in HCC
cells showed that proliferation, colony formation, migration and invasion of HCC
cells were significantly inhibited, whereas loss-of-function assay by TRIM50
knockdown showed that these malignant behaviors of HCC cells were significantly
increased. Further investigation showed that TRIM50 could directly bind with
SNAIL and induced K-48 linked poly-ubiquitous degradation of SNAIL protein, which
further reversed SNAIL-mediated epithelial-to-mesenchymal transition (EMT)
process of HCC cells. In vivo assay by xenograft tumor model verified the
antitumor effect of TRIM50 on HCC. Taken together, these results showed that
TRIM50 acted as a tumor suppressor in HCC cells by directly targeting SNAIL and
reversing EMT, which further indicated that positive modulation of TRIM50 might
be a novel therapeutic strategy for SNAIL overexpressed HCC cells.
PMID- 29789582
TI - The concordance between upper and lower respiratory microbiota in children with
Mycoplasma pneumoniae pneumonia.
AB - In recent years, the morbidity of Mycoplasma pneumoniae pneumonia (MPP) has
dramatically increased in China. An increasing number of studies indicate that an
imbalance in the respiratory microbiota is associated with respiratory infection.
We selected 28 hospitalized patients infected with M. pneumoniae and 32 healthy
children. Nasopharyngeal (NP) and oropharyngeal (OP) swabs were collected from
healthy children, whereas NP, OP and bronchoalveolar lavage (BAL) specimens were
collected from patients. Microbiota analysis was performed on all microbial
samples using 16 S ribosomal RNA (16 S rRNA) sequencing. The NP microbial samples
in healthy children were divided into two groups, which were dominated by either
Staphylococcus or mixed microbial components. The respiratory microbiota in
pneumonia patients harbored a lower microbial diversity compared to healthy
children, and both the NP and OP microbiota of patients differed significantly
from that of healthy children. Hospitalized MPP children with a higher abundance
of Mycoplasma in the BAL fluid (BALF) microbiota tended to suffer longer
hospitalization lengths and higher peak fevers and serum C-reactive protein
levels. Concordance analysis explained the succession of imbalanced NP microbiota
to the OP and lung in diseased children. However, the association of the
abundance of Mycoplasma in BALF microbiota with that in NP or OP microbiota
varied among individuals, which suggested the sensitivity of BALF in MPP
diagnostics, mirroring MPP severity.
PMID- 29789584
TI - RTK-RAS pathway mutation is enriched in myeloid sarcoma.
PMID- 29789586
TI - Paddy-upland rotation for sustainable agriculture with regards to diverse soil
microbial community.
AB - Diverse soil microbial community is determinant for sustainable agriculture. Rich
microbial diversity has presumably improved soil health for economic crops to
grow. In this work, the benefits of paddy-upland rotation on soil microbial
diversity and specific microbes are thus intensively explored. The microbiome
from multiple factor experiment (three fertilizations coupled with two rotation
systems) were investigated by novel enrichment and co-occurrence analysis in a
field well maintained for 25 years. Using next-generation sequencing technique,
we firstly present explicit evidence that different rotation systems rather than
fertilizations mightily governed the soil microbiome. Paddy-upland rotation (R1)
obviously increase more microbial diversity than upland rotation (R2) whether
organic (OF), chemical (CF) or integrated fertilizers (IF) were concomitantly
applied. Besides, the specific bacterial composition dominated in OF soil is more
similar to that of R1 than to CF, suggesting that paddy-upland rotation might be
the best option for sustainable agriculture if chemical fertilizer is still
required. Interestingly, the pot bioassay verified clearly the novel analysis
prediction, illustrating that greater microbial diversity and specific microbial
composition correlated significantly with disease resistance. This finding
highlights the eminence of paddy-upland rotation in promoting microbial diversity
and specific microbial compositions, preserving soil health for sustainable
agriculture.
PMID- 29789587
TI - More than an information service: are counselling skills needed by genetics
professionals in the genomic era?
PMID- 29789585
TI - Hypoxia induces senescence of bone marrow mesenchymal stem cells via altered gut
microbiota.
AB - Systemic chronic hypoxia is a feature of many diseases and may influence the
communication between bone marrow (BM) and gut microbiota. Here we analyse
patients with cyanotic congenital heart disease (CCHD) who are experiencing
chronic hypoxia and characterize the association between bone marrow mesenchymal
stem cells (BMSCs) and gut microbiome under systemic hypoxia. We observe
premature senescence of BMSCs and abnormal D-galactose accumulation in patients
with CCHD. The hypoxia that these patients experience results in an altered
diversity of gut microbial communities, with a remarkable decrease in the number
of Lactobacilli and a noticeable reduction in the amount of enzyme-degraded D
galactose. Replenishing chronic hypoxic rats with Lactobacillus reduced the
accumulation of D-galactose and restored the deficient BMSCs. Together, our
findings show that chronic hypoxia predisposes BMSCs to premature senescence,
which may be due to gut dysbiosis and thus induced D-galactose accumulation.
PMID- 29789588
TI - Renormalization group theory for percolation in time-varying networks.
AB - Motivated by multi-hop communication in unreliable wireless networks, we present
a percolation theory for time-varying networks. We develop a renormalization
group theory for a prototypical network on a regular grid, where individual links
switch stochastically between active and inactive states. The question whether a
given source node can communicate with a destination node along paths of active
links is equivalent to a percolation problem. Our theory maps the temporal
existence of multi-hop paths on an effective two-state Markov process. We show
analytically how this Markov process converges towards a memoryless Bernoulli
process as the hop distance between source and destination node increases. Our
work extends classical percolation theory to the dynamic case and elucidates
temporal correlations of message losses. Quantification of temporal correlations
has implications for the design of wireless communication and control protocols,
e.g. in cyber-physical systems such as self-organized swarms of drones or smart
traffic networks.
PMID- 29789589
TI - Characterization of different bubble formulations for blood-brain barrier opening
using a focused ultrasound system with acoustic feedback control.
AB - Focused ultrasound combined with bubble-based agents serves as a non-invasive way
to open the blood-brain barrier (BBB). Passive acoustic detection was well
studied recently to monitor the acoustic emissions induced by the bubbles under
ultrasound energy, but the ability to perform reliable BBB opening with a real
time feedback control algorithm has not been fully evaluated. This study focuses
on characterizing the acoustic emissions of different types of bubbles: Optison,
Definity, and a custom-made nanobubble. Their performance on reliable BBB opening
under real-time feedback control based on acoustic detection was evaluated both
in-vitro and in-vivo. The experiments were conducted using a 0.5 MHz focused
ultrasound transducer with in-vivo focal pressure ranges from 0.1-0.7 MPa.
Successful feedback control was achieved with all three agents when combining
with infusion injection. Localized opening was confirmed with Evans blue dye
leakage. Microscopic images were acquired to review the opening effects. Under
similar total gas volume, nanobubble showed a more reliable opening effect
compared to Optison and Definity (p < 0.05). The conclusions obtained from this
study confirm the possibilities of performing stable opening using a feedback
control algorithm combined with infusion injection. It also opens another
potential research area of BBB opening using sub-micron bubbles.
PMID- 29789590
TI - Heterozygous diploid and interspecies SCRaMbLEing.
AB - SCRaMbLE (Synthetic Chromosome Rearrangement and Modification by LoxP-mediated
Evolution) is a genome restructuring technique that can be used in synthetic
genomes such as that of Sc2.0, the synthetic yeast genome, which contains
hundreds to thousands of strategically positioned loxPsym sites. SCRaMbLE has
been used to induce rearrangements in yeast strains harboring one or more
synthetic chromosomes, as well as plasmid DNA in vitro and in vivo. Here we
describe a collection of heterozygous diploid strains produced by mating haploid
semisynthetic Sc2.0 strains to haploid native parental strains. We subsequently
demonstrate that such heterozygous diploid strains are more robust to the effects
of SCRaMbLE than haploid semisynthetic strains, rapidly improve rationally
selected phenotypes in SCRaMbLEd heterozygous diploids, and establish that
multiple sets of independent genomic rearrangements are able to lead to similar
phenotype enhancements. Finally, we show that heterozygous diploid SCRaMbLE can
also be carried out in interspecies hybrid strains.
PMID- 29789593
TI - [177Lu]PSMA-617 radionuclide therapy shows promise.
PMID- 29789591
TI - A study of wound repair in Dictyostelium cells by using novel laserporation.
AB - We examined the mechanism of cell membrane repair in Dictyostelium cells by using
a novel laser-based cell poration method. The dynamics of wound pores opening and
closing were characterized by live imaging of fluorescent cell membrane proteins,
influx of fluorescent dye, and Ca2+ imaging. The wound closed within 2-4 sec,
depending on the wound size. Cells could tolerate a wound size of less than 2.0
um. In the absence of Ca2+ in the external medium, the wound pore did not close
and cells ruptured. The release of Ca2+ from intracellular stores also
contributed to the elevation of cytoplasmic Ca2+ but not to wound repair. Annexin
C1 immediately accumulated at the wound site depending on the external Ca2+
concentration, and annexin C1 knockout cells had a defect in wound repair, but it
was not essential. Dictyostelium cells were able to respond to multiple repeated
wounds with the same time courses, in contrast to previous reports showing that
the first wound accelerates the second wound repair in fibroblasts.
PMID- 29789592
TI - Allogenic and Autogenic Signals in the Stratigraphic Record of the Deep-Sea
Bengal Fan.
AB - The Himalayan-sourced Ganges-Brahmaputra river system and the deep-sea Bengal Fan
represent Earth's largest sediment-dispersal system. Here we present detrital
zircon U-Pb provenance data from Miocene to middle Pleistocene Bengal Fan
turbidites, and evaluate the influence of allogenic forcing vs. autogenic
processes on signal propagation from the Himalaya to the deep sea. Our data
record the strong tectonic and climatic forcing characteristic of the Himalayan
system: after up to 2500 km of river transport, and >1400 km of transport by
turbidity currents, the U-Pb record faithfully represents Himalayan sources.
Moreover, specific U-Pb populations record Miocene integration of the Brahmaputra
drainage with the Asian plate, as well as the rapid Plio-Pleistocene incision
through, and exhumation of, the eastern Himalayan syntaxis. The record is,
however, biased towards glacial periods when rivers were extended across the
shelf in response to climate-forced sea-level fall, and discharged directly to
slope canyons. Finally, only part of the record represents a Ganges or
Brahmaputra provenance end-member, and most samples represent mixing from the two
systems. Mixing or the lack thereof likely represents the fingerprint of
autogenic delta-plain avulsions, which result in the two rivers delivering
sediment separately to a shelf-margin canyon or merging together as they do
today.
PMID- 29789595
TI - Endocide-Induced Abnormal Growth Forms of Invasive Giant Salvinia (Salvinia
molesta).
AB - Giant salvinia (Salvinia molesta) is one of the most noxious invasive species in
the world. The fern is known to have primary, secondary, and tertiary growth
forms, which are also commonly hypothesized as growth stages. The identification
of these forms is primarily based on the size and folding status of the floating
leaves. However, we identified 12 forms in the greenhouse and the field. Our
experiments showed that the folding of floating leaves is a reversible trait
dependent on water access. The floating leaves quickly fold in response to water
shortage, reducing water loss and needs, decreasing growth, and avoiding trichome
damage. The leaves re-open to allow trichomes repel water and enhance growth when
having adequate water supply. Larger secondary or tertiary forms do not produce
small-leaf primary forms without high intensity stress. These results do not
support the hypothesis that three growth forms represent sequential growth
stages. The abnormal small-leaf forms are the result of endocide-induced
autotoxicity and some of them never grow into other forms. The development of
abnormal forms and reversible leaf folding strategy in response to high stress
along with rapid asexual reproduction are major adaptive traits contributing to
the invasiveness of S. molesta.
PMID- 29789594
TI - In vitro DNA SCRaMbLE.
AB - The power of synthetic biology has enabled the expression of heterologous
pathways in cells, as well as genome-scale synthesis projects. The complexity of
biological networks makes rational de novo design a grand challenge. Introducing
features that confer genetic flexibility is a powerful strategy for downstream
engineering. Here we develop an in vitro method of DNA library construction based
on structural variation to accomplish this goal. The "in vitro SCRaMbLE system"
uses Cre recombinase mixed in a test tube with purified DNA encoding multiple
loxPsym sites. Using a beta-carotene pathway designed for expression in yeast as
an example, we demonstrate top-down and bottom-up in vitro SCRaMbLE, enabling
optimization of biosynthetic pathway flux via the rearrangement of relevant
transcription units. We show that our system provides a straightforward way to
correlate phenotype and genotype and is potentially amenable to biochemical
optimization in ways that the in vivo system cannot achieve.
PMID- 29789596
TI - Cervical vagus nerve morphometry and vascularity in the context of nerve
stimulation - A cadaveric study.
AB - Vagus nerve stimulation (VNS) has become a well-established therapy for epilepsy
and depression, and is emerging to treat inflammatory disease, with the cervical
vagus nerve (CVN) as major stimulation site. CVN morphometries are missing for
VNS, considering its variability. Morphometric data were obtained from CVNs in 27
cadavers, including branching patterns and histology. Cross-sectional area,
greater and lesser diameters averaged 7.2 +/- 3.1 mm2, 5.1 +/- 1.5 and 4.1 +/-
1.3 mm, and were <=11.0 mm2, <=7.0 and <=5.8 mm in 90% of the specimens,
respectively. Midline distance (position lateral to the laryngeal eminence) and
skin distance (anterior-posterior from skin) averaged 34.5 +/- 6.2 and 36.2 +/-
9.4 mm, <=49.0 and <=41.0 mm in 90%, respectively. Nerve dimensions and surface
topography correlated closely, but without gender-, side- or branching-dependent
differences. The nerve fascicle number averaged 5.2 +/- 3.5. Vagal arteries were
observed in 49% of the cases. Negative correlations were found for age and cross
sectional area, as well as subperineural vessel count. Detailed anatomical data
on the CVN and its vascularity are given, forming the morphometric basis for VNS
refinement, filling an evident gap in light of the CVN being a structure with
variable positions and branching. A 35 * 35-mm rule may apply for the CVN
position, irrespective of branching or positional variation.
PMID- 29789597
TI - Enhancer of zeste homolog 2-catalysed H3K27 trimethylation plays a key role in
acute-on-chronic liver failure via TNF-mediated pathway.
AB - Acute-on-chronic liver failure is mainly due to host immunity self-destruction.
The histone H3 lysine 27 (H3K27) trimethylating enzyme, enhancer of zeste homolog
2 (EZH2) mediates epigenetic silencing of gene expression and regulates immunity,
also involves pathogenesis of several liver diseases. The current study was to
determine the role of methyltransferase EZH2 and its catalysed H3K27
trimethylation (H3K27me3) in liver failure, and to further investigate the
potential target for liver failure treatment. EZH2 and its catalysed H3K27me3
were determined in peripheral blood mononuclear cells (PBMC) from liver failure
patients and Kupffer cells from experimental mice. Furthermore, GSK126 (an
inhibitor for EZH2 trimethylation function) was applied in liver failure mice in
vivo, and lipopolysaccharide-stimulated mononuclear cells in vitro. EZH2 and
H3K27me3 were significantly upregulated in human PBMC from liver failure patients
or murine Kupffer cells from the liver failure animals, respectively. GSK126
ameliorated disease severity in liver failure mice, which maybe attribute to down
regulate circulating and hepatic proinflammatory cytokines, especially TNF via
reducing H3K27me3. In-depth chromatin immunoprecipitation analysis unravelled
that decreased enrichment of H3K27me3 on Tnf promotor, resulting in TNF elevation
in Kupffer cells from liver failure mice. Nuclear factor kappa B (NF-kappaB) and
protein kinase B (Akt) signalling pathways were activated upon lipopolysaccharide
stimulation, but attenuated by using GSK126, accompanied with decreased TNF in
vitro. In conclusion, EZH2 and H3K27me3 contributed to the pathogenesis of liver
failure via triggering TNF and other indispensable proinflammatory cytokines.
EZH2 was to modify H3K27me3 enrichment, as well as, activation of the downstream
NF-kappaB and Akt signalling pathways.
PMID- 29789598
TI - Autophagy promotes the survival of dormant breast cancer cells and metastatic
tumour recurrence.
AB - Cancer recurrence after initial diagnosis and treatment is a major cause of
breast cancer (BC) mortality, which results from the metastatic outbreak of
dormant tumour cells. Alterations in the tumour microenvironment can trigger
signalling pathways in dormant cells leading to their proliferation. However,
processes involved in the initial and the long-term survival of disseminated
dormant BC cells remain largely unknown. Here we show that autophagy is a
critical mechanism for the survival of disseminated dormant BC cells.
Pharmacologic or genetic inhibition of autophagy in dormant BC cells results in
significantly decreased cell survival and metastatic burden in mouse and human 3D
in vitro and in vivo preclinical models of dormancy. In vivo experiments identify
autophagy gene autophagy-related 7 (ATG7) to be essential for autophagy
activation. Mechanistically, inhibition of the autophagic flux in dormant BC
cells leads to the accumulation of damaged mitochondria and reactive oxygen
species (ROS), resulting in cell apoptosis.
PMID- 29789599
TI - Exercise activates the PI3K-AKT signal pathway by decreasing the expression of
5alpha-reductase type 1 in PCOS rats.
AB - Hyperandrogenism and hyperinsulinemia are main clinical endocrine features of
PCOS. Exercise can adjust the androgen level, as well as increase the sensitivity
of insulin by activating PI3K-Akt insulin signaling pathways. 5alphaR1 has
certain effects on insulin resistance and can synthesize dihydrotestosterone by
metabolizing testosterone. So 5alphaR1 may be the target of androgen and insulin
for exercise-induced regulation. To investigate the role of 5alphaR1 in the PI3K
Akt signaling pathway in skeletal muscle of PCOS rats activated by exercise,
fifty-four female rats were randomly divided into the PCOS group (n = 42) and the
control group(n = 12). After injection of testosterone propionate for 28 days,
the remaining 36 rats in the PCOS group were randomly assigned to six groups: the
sedentary group (PS, n = 6), sedentary and 5alphaRI (5alpha-reductase inhibitor)
group (PS + RI, n = 6), sedentary and 5alphaR2I (5alpha-reductase type 2
selective inhibitor) group (PS + R2I, n = 6), exercise group (PE, n = 6),
exercise and 5alphaRI group (PE + RI, n = 6), and exercise and 5alphaR2I group
(PE + R2I, n = 6). The rats undergoing exercise were trained to swim for 14 days.
Finasteride (5alpha-reductase type 2 selective inhibitor) and dutasteride (5alpha
reductase inhibitor) were administered once daily and were dosed based on weight.
At the end, the expression of 5alphaR1 proteins, the phosphorylation level of
PI3K and AKT, were determined by Western blot. The PCOS non-exercise group and
the PE + RI group displayed significantly lower phosphorylation of Akt, PI3K p85
and GLUT4 expression, while in the PE + R2I group, the level of Akt
phosphorylation and PI3K p85 expression was significantly higher than that of the
PCOS non-exercise group and the PE + RI group. In summary, our study demonstrated
that exercise can activate the PI3K/AKT signal pathway of PCOS rats by decreasing
the expression of 5alphaR1.
PMID- 29789600
TI - Hamiltonian path analysis of viral genomes.
PMID- 29789601
TI - HMGA1 exacerbates tumor growth through regulating the cell cycle and accelerates
migration/invasion via targeting miR-221/222 in cervical cancer.
AB - High-mobility group AT-hook1 (HMGA1, formerly HMG-I/Y), an architectural
transcription factor, participates in a number of tumor biological processes.
However, its effect on cervical cancer remains largely indistinct. In this study,
we found that HMGA1 was generally overexpressed in cervical cancer tissues and
was positively correlated with lymph node metastasis and advanced clinical stage.
Via exogenously increasing or decreasing the expression of HMGA1, we showed that
HMGA1 affected the proliferation, colony formation, migration and invasion of
cervical cancer cells in vitro. Rescue experiments suggested that miR-221/222
could partly reverse HMGA1-mediated migration and invasion processes.
Mechanistically, we discovered that HMGA1 accelerated the G1/S phase transition
by regulating the expression of cyclin D1 and cyclin E1, which was consistent
with the results of the in vivo experiment. Furthermore, we found that HMGA1
regulated the expression of the miR-221/222 cluster at the transcriptional level
and that miR-221/222 targeted the 3'UTR of tissue inhibitor of metalloproteinases
3(TIMP3). We propose a fresh perspective that HMGA1 participates in the migration
and invasion process via the miR-221/222-TIMP3-MMP2/MMP9 axis in cervical cancer.
In summary, our study identified a critical role played by HMGA1 in the
progression of cervical cancer and the potential mechanisms by which exerts its
effects, suggesting that targeting HMGA1-related pathways could be conducive to
the therapies for cervical cancer.
PMID- 29789603
TI - Vorinostat and quinacrine have synergistic effects in T-cell acute lymphoblastic
leukemia through reactive oxygen species increase and mitophagy inhibition.
AB - Despite recent progress in the treatment, the outcome of adult acute T-cell
lymphoblastic leukemia (T-ALL) is poor. Development of novel approach to combat
this disease is urgently required. Vorinostat, a pan-histone deacetylase (HDAC)
inhibitor, exerts promising anticancer activity in a variety of solid and
hematologic malignancies. However, the efficacy of vorinostat monotherapy is
unsatisfactory. Here, we show that quinacrine (QC), an anti-malaria drug with
potent autophagy inhibitory activity, could synergistically enhance vorinostat
induced cell death at a non-toxic concentration. Compared to the single
treatment, QC plus vorinostat significantly induced apoptosis, disrupted the
mitochondrial transmembrane potential, and decreased Mcl-1 and Bcl-2/Bax ratio.
Interestingly, the application of QC plus vorinostat resulted in mitophagy
blockade, as reflected by the increase in the K63-linked ubiquitination of
mitochondria protein and the formation of mitochondrial aggresomes. QC plus
vorinostat markedly increased the reactive oxygen species (ROS) level in cells.
Moreover, the ROS scavenger N-acetylcysteine (NAC) abrogated QC plus vorinostat
induced ROS, decreased the ubiquitination of mitochondria proteins, and cell
death. Finally, using a xenograft mouse model, we demonstrated that QC plus
vorinostat significantly reduced cell proliferation and induced cell death in
vivo. Taken together, our results showed that the combination of QC with
vorinostat may represent a novel regimen for the treatment of T-cell acute
lymphoblastic leukemia, which deserves clinical evaluation in the future.
PMID- 29789602
TI - Hygroscopic compounds in spider aggregate glue remove interfacial water to
maintain adhesion in humid conditions.
AB - Adhesion in humid environments is fundamentally challenging because of the
presence of interfacial bound water. Spiders often hunt in wet habitats and
overcome this challenge using sticky aggregate glue droplets whose adhesion is
resistant to interfacial failure under humid conditions. The mechanism by which
spider aggregate glue avoids interfacial failure in humid environments is still
unknown. Here, we investigate the mechanism of aggregate glue adhesion by using
interface-sensitive spectroscopy in conjunction with infrared spectroscopy. We
demonstrate that glycoproteins act as primary binding agents at the interface. As
humidity increases, we observe reversible changes in the interfacial secondary
structure of glycoproteins. Surprisingly, we do not observe liquid-like water at
the interface, even though liquid-like water increases inside the bulk with
increasing humidity. We hypothesize that the hygroscopic compounds in aggregate
glue sequester interfacial water. Using hygroscopic compounds to sequester
interfacial water provides a novel design principle for developing water
resistant synthetic adhesives.
PMID- 29789604
TI - Plasma membrane LAT activation precedes vesicular recruitment defining two phases
of early T-cell activation.
AB - The relative importance of plasma membrane-localized LAT versus vesicular LAT for
microcluster formation and T-cell receptor (TCR) activation is unclear. Here, we
show the sequence of events in LAT microcluster formation and vesicle delivery,
using lattice light sheet microscopy to image a T cell from the earliest point of
activation. A kinetic lag occurs between LAT microcluster formation and vesicular
pool recruitment to the synapse. Correlative 3D light and electron microscopy
show an absence of vesicles at microclusters at early times, but an abundance of
vesicles as activation proceeds. Using TIRF-SIM to look at the activated T-cell
surface with high resolution, we capture directed vesicle movement between
microclusters on microtubules. We propose a model in which cell surface LAT is
recruited rapidly and phosphorylated at sites of T-cell activation, while the
vesicular pool is subsequently recruited and dynamically interacts with
microclusters.
PMID- 29789606
TI - The prognostic value of systemic inflammation in patients undergoing surgery for
colon cancer: comparison of composite ratios and cumulative scores.
AB - INTRODUCTION: The systemic inflammatory response has been proven to have a
prognostic value. There are two methods of assessing the systemic inflammatory
response composite ratios (R) and cumulative scores (S). The aim of this study
was to compare the prognostic value of ratios and scores in patients undergoing
surgery for colon cancer. METHODS: Patients were identified prospectively in a
single surgical unit. Preoperative neutrophil (N), lymphocyte (L), monocyte (M)
and platelet (P) counts, CRP (C) and albumin (A) levels were recorded. The
relationship between composite ratios neutrophil-lymphocyte ratio (NLR), platelet
lymphocyte ratio (PLR), lymphocyte-monocyte ratio (LMR), C-reactive protein
albumin ratio (CAR) and the cumulative scores neutrophil- lymphocyte score (NLS),
platelet-lymphocyte score (PLS), lymphocyte-monocyte score (LMS), neutrophil-
platelet score (NPS), modified Glasgow prognostic score (mGPS) and
clinicopathological characteristics, cancer-specific survival (CSS) and overall
survival (OS), were examined. RESULTS: A total of 801 patients were examined.
When adjusted for tumour node metastasis (TNM) stage, NLR >5 (p < 0.001), NLS (p
< 0.01), PLS (p < 0.001), LMR <2.4 (p < 0.001), LMS (p < 0.001), NPS (p < 0.001),
CAR >0.22 (p < 0.001) and mGPS (p < 0.001) were significantly associated with
CSS. In patients undergoing elective surgery (n = 689), the majority of the
composite ratios/scores correlated with age (p < 0.01), BMI (p < 0.01), T stage
(p < 0.01), venous invasion (p < 0.01) and peritoneal involvement (p < 0.01).
When NPS (myeloid) and mGPS (liver) were directly compared, their relationship
with CSS and OS was similar. CONCLUSIONS: Both composite ratios and cumulative
scores had prognostic value, independent of TNM stage, in patients with colon
cancer. However, cumulative scores, based on normal reference ranges, are simpler
and more consistent for clinical use.
PMID- 29789605
TI - Neuromodulation of sensory networks in monkey brain by focused ultrasound with
MRI guidance and detection.
AB - Focused ultrasound (FUS) has gained recognition as a technique for non-invasive
neuromodulation with high spatial precision and the ability to both excite and
inhibit neural activity. Here we demonstrate that MRI-guided FUS is capable of
exciting precise targets within areas 3a/3b in the monkey brain, causing
downstream activations in off-target somatosensory and associated brain regions
which are simultaneously detected by functional MRI. The similarity between
natural tactile stimulation-and FUS- evoked fMRI activation patterns suggests
that FUS likely can excite populations of neurons and produce associated spiking
activities that may be subsequently transmitted to other functionally related
touch regions. The across-region differences in fMRI signal changes relative to
area 3a/3b between tactile and FUS conditions also indicate that FUS modulated
the tactile network differently. The significantly faster rising (>1 sec) fMRI
signals elicited by direct FUS stimulation at the targeted cortical region
suggest that a different neural hemodynamic coupling mechanism may be involved in
generating fMRI signals. This is the first demonstration of imaging neural
excitation effects of FUS with BOLD fMRI on a specific functional circuit in non
human primates.
PMID- 29789607
TI - Point of care microspirometry to facilitate the COPD diagnostic process in
primary care: a clustered randomised trial.
AB - We studied if pre-bronchodilator FEV1/FEV6 determinations with microspirometers
by GPs improve the diagnostic process for COPD in a 6-8 month clustered
randomised controlled trial in Dutch general practices (
http://www.trialregister.nl : NTR4041). GPs allocated to microspirometry (MI)
used COPD-6(r) microspirometers in patients >=50 years old with a smoking history
and respiratory complaints that could indicate undiagnosed COPD and ask to refer
patients for full spirometry if MI was positive (FEV1/FEV6 <0.73). Introduction
of the COPD-6(r) was postponed in the usual care (UC) group. GPs of both study
arms were asked to list all patients that fulfilled study criteria and at the end
of the study we screened the electronic medical record system for number of
patients that fulfilled study criteria and visited their GP within the study
period. Main end point was a documented diagnostic conclusion of COPD within 3
months after the patient's visit. We used multilevel logistic regression with
correction for relevant covariates. Next, we described the process of care. 21
practices (88 GPs) participated and 416 possible undiagnosed COPD patient visited
these practices in the study period. 78 (of 192 visiting) subjects were listed by
MI GPs and diagnostic conclusions were documented in 77%, compared to 61 listed
(of 224 visiting) subjects and 44% with documented diagnostic conclusions by UC
GPs (Odds Ratio: OR: 4.3, 95%CI: 1.6-11.5). Microspirometry improved the
diagnostic process for possible underlying COPD in patients who consulted their
GP with respiratory symptoms, but the majority of possible undiagnosed COPD
patients remained unrecognised by GPs.
PMID- 29789609
TI - Author Correction: A B-ARR-mediated cytokinin transcriptional network directs
hormone cross-regulation and shoot development.
AB - The original version of this Article contained an error in Fig. 3. Panel b was
inadvertently duplicated and the correct panel c was originally omitted. This
error has been corrected in both the PDF and HTML versions of the Article.
PMID- 29789608
TI - Downregulation of Endothelin Receptor B Contributes to Defective B Cell
Lymphopoiesis in Trisomy 21 Pluripotent Stem Cells.
AB - Individuals with Trisomy 21 (T21) exhibit numerous hematological abnormalities,
including reductions in numbers of circulating B and T lymphocytes. To elucidate
molecular mechanisms underlying these phenotypes, we differentiated human
isogenic disomic and trisomic pluripotent cells, and observed that trisomic cells
showed defects in B cell, but not T cell differentiation. Global gene expression
of differentiated, trisomic B cells revealed reduced expression of genes encoding
endothelin signaling components, namely the Endothelin Receptor B (EDNRB), and
its ligand Endothelin1 (EDN1). Depletion of EDNRB mRNA in cord blood-derived
CD34+ cells led to defective B cell differentiation, supporting a hypothesis that
low EDNRB expression in T21 contributes to intrinsic lymphoid defects. Further
evidence for the role of the EDNRB pathway in B cell differentiation was obtained
through CRISPR/Cas9 gene targeting in disomic and trisomic iPS cells. Knockout of
EDNRB in both cell backgrounds reduced the capacity for B cell differentiation.
Collectively, this work identifies downregulation of EDNRB as a causative factor
for impaired B lymphocyte generation in trisomic cells, which may contribute to
defects in immune function associated with T21. Furthermore, a novel role for
endothelin signaling in regulation of B cell development has been identified.
PMID- 29789610
TI - On the scattering directionality of a dielectric particle dimer of High
Refractive Index.
AB - Low-losses and directionality effects exhibited by High Refractive Index
Dielectric particles make them attractive for applications where radiation
direction control is relevant. For instance, isolated metallo-dielectric core
shell particles or aggregates (dimers) of High Refractive Index Dielectric
particles have been proposed for building operational switching devices. Also,
the possibility of using isolated High Refractive Index Dielectric particles for
optimizing solar cells performance has been explored. Here, we present
experimental evidence in the microwave range, that a High Refractive Index
Dielectric dimer of spherical particles is more efficient for redirecting the
incident radiation in the forward direction than the isolated case. In fact, we
report two spectral regions in the dipolar spectral range where the incident
intensity is mostly scattered in the forward direction. They correspond to the
Zero-Backward condition (also observed for isolated particles) and to a new
condition, denoted as "near Zero-Backward" condition, which comes from the
interaction effects between the particles. The proposed configuration has
implications in solar energy harvesting devices and in radiation guiding.
PMID- 29789612
TI - Synthesis and insecticidal efficacy of pyripyropene derivatives focusing on the C
1, C-7, and C-11 positions' substituent groups.
AB - The C-1, C-7, and C-11 positions of pyripyropene A were chemically modified to
improve the insecticidal activity. Some derivatives showed higher insecticidal
activities against aphids than pyripyropene A. In particular, the derivative 5c,
which possesses three cyclopropyl carbonyl groups at the C-1, C-7, and C-11
positions, had excellent insecticidal activity levels in field and laboratory
trials.
PMID- 29789613
TI - Author Correction: Biochemical phosphates observed using hyperpolarized 31P in
physiological aqueous solutions.
AB - The original version of the Supplementary Information associated with this
Article contained an error in Supplementary Figure 2 and Supplementary Figure 5
in which the 31P NMR spectral lines were missing. The HTML has been updated to
include a corrected version of the Supplementary Information.
PMID- 29789611
TI - An Intrinsic Role of Beta Oscillations in Memory for Time Estimation.
AB - The neural mechanisms underlying time perception are of vital importance to a
comprehensive understanding of behavior and cognition. Recent work has suggested
a supramodal role for beta oscillations in measuring temporal intervals. However,
the precise function of beta oscillations and whether their manipulation alters
timing has yet to be determined. To accomplish this, we first re-analyzed two,
separate EEG datasets and demonstrate that beta oscillations are associated with
the retention and comparison of a memory standard for duration. We next conducted
a study of 20 human participants using transcranial alternating current
stimulation (tACS), over frontocentral cortex, at alpha and beta frequencies,
during a visual temporal bisection task, finding that beta stimulation
exclusively shifts the perception of time such that stimuli are reported as
longer in duration. Finally, we decomposed trialwise choice data with a drift
diffusion model of timing, revealing that the shift in timing is caused by a
change in the starting point of accumulation, rather than the drift rate or
threshold. Our results provide evidence for the intrinsic involvement of beta
oscillations in the perception of time, and point to a specific role for beta
oscillations in the encoding and retention of memory for temporal intervals.
PMID- 29789614
TI - Augmenting the Calvin-Benson-Bassham cycle by a synthetic malyl-CoA-glycerate
carbon fixation pathway.
AB - The Calvin-Benson-Bassham (CBB) cycle is presumably evolved for optimal synthesis
of C3 sugars, but not for the production of C2 metabolite acetyl-CoA. The carbon
loss in producing acetyl-CoA from decarboxylation of C3 sugar limits the maximum
carbon yield of photosynthesis. Here we design a synthetic malyl-CoA-glycerate
(MCG) pathway to augment the CBB cycle for efficient acetyl-CoA synthesis. This
pathway converts a C3 metabolite to two acetyl-CoA by fixation of one additional
CO2 equivalent, or assimilates glyoxylate, a photorespiration intermediate, to
produce acetyl-CoA without net carbon loss. We first functionally demonstrate the
design of the MCG pathway in vitro and in Escherichia coli. We then implement the
pathway in a photosynthetic organism Synechococcus elongates PCC7942, and show
that it increases the intracellular acetyl-CoA pool and enhances bicarbonate
assimilation by roughly 2-fold. This work provides a strategy to improve carbon
fixation efficiency in photosynthetic organisms.
PMID- 29789615
TI - IL-37 isoform D downregulates pro-inflammatory cytokines expression in a Smad3
dependent manner.
AB - IL-37 is a new member of IL-1 family and possesses five different isoforms (named
as IL-37 a-e). IL-37b has been demonstrated as a physiological suppressor of
immune responses. However, the function of other isoforms remains unknown. Here,
we show that IL-37d possesses anti-inflammatory roles both in vitro and in vivo.
Firstly, IL-37d is expressed in peripheral blood mononuclear cells (PBMCs) and
umbilical cords-derived mesenchymal stem cells (UCMSCs). Secondly, IL-37d
overexpression markedly inhibits IL-1beta-induced IL-6 production in A549 cells.
Consistently, bone marrow-derived macrophages (BMDMs) from IL-37d transgenic mice
express low levels of pro-inflammatory cytokines (such as IL-6 and TNF-alpha)
following LPS stimulation, compared with those from wild-type mice. Furthermore,
IL-37d transgenic mice produce less pro-inflammatory cytokines, and show much
less degree of LPS-induced endotoxemia in vivo. Mechanistically, IL-37d interacts
with Smad3 and promotes nuclear translocation of pSmad3. SIS3 (a specific Smad3
inhibitor) treatment completely blocks the inhibitory effects of IL-37d. Thus,
our data indicate that IL-37d is a functional cytokine that negatively regulates
pro-inflammatory cytokines expression in a Smad3-dependent manner.
PMID- 29789617
TI - Functional analysis of eliciting plant response protein Epl1-Tas from Trichoderma
asperellum ACCC30536.
AB - Eliciting plant response protein (Epl) is a small Trichoderma secreted protein
that acts as an elicitor to induce plant defense responses against pathogens. In
the present study, the differential expression, promoter analysis, and
phylogenetic tree analysis of Epl1-Tas (GenBank JN966996) from T. asperellum
ACCC30536 were performed. The results showed Epl1-Tas could play an important
role in the interaction between T. asperellum ACCC30536 and woody plant or woody
plant pathogen. Furthermore, the effect of the Escherichia coli recombinant
protein rEpl1-e and the Pichia pastoris recombinant protein rEpl1-p on Populus
davidiana * P. alba var. pyramidalis (PdPap) was studied. In PdPap seedlings,
rEpl1-e or rEpl1-p induction altered the expression levels of 11 genes in the
salicylic acid (SA, three genes), jasmonic acid (JA, four genes) and auxin (four
genes) signal transduction pathways, and five kinds of enzymes activities The
induction level of rEpl1-p was significantly higher than that of rEpl1-e,
indicating that rEpl1-p could be used for further induction experiment. Under 3
mg/mL rEpl1-p induction, the mean height of the PdPap seedlings increased by
57.65% and the mean lesion area on the PdPap seedlings leaves challenged with
Alternaria alternata decreased by 91.22% compared with those of the control.
Thus, elicitor Epl1-Tas could induce the woody plant resistance to pathogen.
PMID- 29789618
TI - The Diversity of Chemoprotective Glucosinolates in Moringaceae (Moringa spp.).
AB - Glucosinolates (GS) are metabolized to isothiocyanates that may enhance human
healthspan by protecting against a variety of chronic diseases. Moringa oleifera,
the drumstick tree, produces unique GS but little is known about GS variation
within M. oleifera, and even less in the 12 other Moringa species, some of which
are very rare. We assess leaf, seed, stem, and leaf gland exudate GS content of
12 of the 13 known Moringa species. We describe 2 previously unidentified GS as
major components of 6 species, reporting on the presence of simple alkyl GS in 4
species, which are dominant in M. longituba. We document potent chemoprotective
potential in 11 of 12 species, and measure the cytoprotective activity of 6
purified GS in several cell lines. Some of the unique GS rank with the most
powerful known inducers of the phase 2 cytoprotective response. Although extracts
of most species induced a robust phase 2 cytoprotective response in cultured
cells, one was very low (M. longituba), and by far the highest was M. arborea, a
very rare and poorly known species. Our results underscore the importance of
Moringa as a chemoprotective resource and the need to survey and conserve its
interspecific diversity.
PMID- 29789616
TI - rbFOX1/MBNL1 competition for CCUG RNA repeats binding contributes to myotonic
dystrophy type 1/type 2 differences.
AB - Myotonic dystrophy type 1 and type 2 (DM1, DM2) are caused by expansions of CTG
and CCTG repeats, respectively. RNAs containing expanded CUG or CCUG repeats
interfere with the metabolism of other RNAs through titration of the Muscleblind
like (MBNL) RNA binding proteins. DM2 follows a more favorable clinical course
than DM1, suggesting that specific modifiers may modulate DM severity. Here, we
report that the rbFOX1 RNA binding protein binds to expanded CCUG RNA repeats,
but not to expanded CUG RNA repeats. Interestingly, rbFOX1 competes with MBNL1
for binding to CCUG expanded repeats and overexpression of rbFOX1 partly releases
MBNL1 from sequestration within CCUG RNA foci in DM2 muscle cells. Furthermore,
expression of rbFOX1 corrects alternative splicing alterations and rescues muscle
atrophy, climbing and flying defects caused by expression of expanded CCUG
repeats in a Drosophila model of DM2.
PMID- 29789619
TI - A Predictive Spatial Distribution Framework for Filovirus-Infected Bats.
AB - Tools with predictive capabilities in regards of filovirus outbreaks are mainly
anthropocentric and have disregarded the ecological dimension of the problem.
Here we contribute to shift the current paradigm by studying the dynamics of the
putative main zoonotic niche of filoviruses, bats, and its link to environmental
drivers. We propose a framework that combines data analysis, modeling, and the
evaluation of sources of variability. We implement a regression analysis using
factual data to correlate environmental parameters and the presence of bats to
find the distribution of resources. The information inferred by the regression is
fed into a compartmental model that describes the infection state. We also
account for the lack of knowledge of some parameters using a sampling/averaging
technique. As a result we estimate the spatio-temporal densities of bats.
Importantly, we show that our approach is able to predict where and when an
outbreak is likely to appear when tested against recent epidemic data in the
context of Ebola. Our framework highlights the importance of considering the
feedback between the ecology and the environment in zoonotic models and sheds
light on the mechanisms to propagate filoviruses geographically. We expect that
our methodology can help to design prevention policies and be used as a
predictive tool in the context of zoonotic diseases associated to filoviruses.
PMID- 29789620
TI - Super-resolution architecture of mammalian centriole distal appendages reveals
distinct blade and matrix functional components.
AB - Distal appendages (DAPs) are nanoscale, pinwheel-like structures protruding from
the distal end of the centriole that mediate membrane docking during
ciliogenesis, marking the cilia base around the ciliary gate. Here we determine a
super-resolved multiplex of 16 centriole-distal-end components. Surprisingly,
rather than pinwheels, intact DAPs exhibit a cone-shaped architecture with
components filling the space between each pinwheel blade, a new structural
element we term the distal appendage matrix (DAM). Specifically, CEP83, CEP89,
SCLT1, and CEP164 form the backbone of pinwheel blades, with CEP83 confined at
the root and CEP164 extending to the tip near the membrane-docking site. By
contrast, FBF1 marks the distal end of the DAM near the ciliary membrane.
Strikingly, unlike CEP164, which is essential for ciliogenesis, FBF1 is required
for ciliary gating of transmembrane proteins, revealing DAPs as an essential
component of the ciliary gate. Our findings redefine both the structure and
function of DAPs.
PMID- 29789621
TI - Taxon-specific aerosolization of bacteria and viruses in an experimental ocean
atmosphere mesocosm.
AB - Ocean-derived, airborne microbes play important roles in Earth's climate system
and human health, yet little is known about factors controlling their transfer
from the ocean to the atmosphere. Here, we study microbiomes of isolated sea
spray aerosol (SSA) collected in a unique ocean-atmosphere facility and
demonstrate taxon-specific aerosolization of bacteria and viruses. These trends
are conserved within taxonomic orders and classes, and temporal variation in
aerosolization is similarly shared by related taxa. We observe enhanced transfer
into SSA of Actinobacteria, certain Gammaproteobacteria, and lipid-enveloped
viruses; conversely, Flavobacteriia, some Alphaproteobacteria, and Caudovirales
are generally under-represented in SSA. Viruses do not transfer to SSA as
efficiently as bacteria. The enrichment of mycolic acid-coated Corynebacteriales
and lipid-enveloped viruses (inferred from genomic comparisons) suggests that
hydrophobic properties increase transport to the sea surface and SSA. Our results
identify taxa relevant to atmospheric processes and a framework to further
elucidate aerosolization mechanisms influencing microbial and viral transport
pathways.
PMID- 29789622
TI - Molecule-based microelectromechanical sensors.
AB - Incorporating functional molecules into sensor devices is an emerging area in
molecular electronics that aims at exploiting the sensitivity of different
molecules to their environment and turning it into an electrical signal. Among
the emergent and integrated sensors, microelectromechanical systems (MEMS) are
promising for their extreme sensitivity to mechanical events. However, to bring
new functions to these devices, the functionalization of their surface with
molecules is required. Herein, we present original electronic devices made of an
organic microelectromechanical resonator functionalized with switchable magnetic
molecules. The change of their mechanical properties and geometry induced by the
switching of their magnetic state at a molecular level alters the device's
dynamical behavior, resulting in a change of the resonance frequency. We
demonstrate that these devices can be operated to sense light or thermal
excitation. Moreover, thanks to the collective interaction of the switchable
molecules, the device behaves as a non-volatile memory. Our results open up broad
prospects of new flexible photo- and thermo-active hybrid devices for molecule
based data storage and sensors.
PMID- 29789625
TI - Clinical diagnosis of veno-occlusive disease using contrast enhanced ultrasound.
PMID- 29789623
TI - MiR-29c reduces the cisplatin resistance of non-small cell lung cancer cells by
negatively regulating the PI3K/Akt pathway.
AB - In previous studies, miR-29s showed tumor suppressor properties against lung
cancer, which improved the survival of patients upon the administration of
chemotherapy via an unknown mechanism. Here, we investigated the regulatory
effects of miR-29s on the cisplatin resistance of NSCLC cells. The expression of
miR-29s was assessed in 130 clinical patients and in cisplatin-treated NSCLS cell
lines. MiR-29c expression was decreased in 77% of NSCLC patients. Cisplatin
treatment increased the expression of miR-29c and decreased the expression of its
oncogenic target AKT2 in NSCLC cell lines. A Kaplan-Meier survival analysis
indicated that higher miR-29c levels led to a longer disease-free survival. In
particular, patients who experienced cancer recurrences after cisplatin
chemotherapy exhibited a lower level of miR-29c expression, suggesting that miR
29c activation may contribute to the chemotherapeutic efficiency of cisplatin.
The enforced expression of miR-29c enhanced the cisplatin sensitivity of NSCLC
cells, while the knocking down of miR-29c led to cisplatin resistance. MiR-29c
amplified the therapeutic effects of cisplatin in vivo. Rescue experiments
suggested that miR-29c regulates the cisplatin resistance of NSCLS cells by
negatively regulating the PI3K/Akt pathway. Overall, our results demonstrated
that miR-29c enhances the sensitivity of NSCLC cells to cisplatin by targeting
the PI3K/Akt pathway.
PMID- 29789626
TI - Efficacy of cardiac contractility modulation confirmed.
PMID- 29789624
TI - Interplay between gut microbiota metabolism and inflammation in HIV infection.
AB - HIV infection causes a disruption of gut-associated lymphoid tissue, driving a
shift in the composition of gut microbiota. A deeper understanding of the
metabolic changes and how they affect the interplay with the host is needed.
Here, we assessed functional modifications of HIV-associated microbiota by
combining metagenomic and metatranscriptomic analyses. The transcriptionally
active microbiota was well-adapted to the inflamed environment, overexpressing
pathways related to resistance to oxidative stress. Furthermore, gut inflammation
was maintained by the Gram-negative nature of the HIV-associated microbiota and
underexpression of anti-inflammatory processes, such as short chain fatty acid
biosynthesis or indole production. We performed co-occurrence and metabolic
network analyses that showed relevance in the microbiota structure of both
taxonomic and metabolic HIV-associated biomarkers. The Bayesian network revealed
the most determinant pathways for maintaining the structure stability of the
bacterial community. In addition, we identified the taxa's contribution to
metabolic activities and their interactions with host health.
PMID- 29789627
TI - Publisher Correction: Exosome-based therapy to repair the injured heart.
AB - The article originally published online contained an error in the figure in which
the scale bar was incorrectly associated with a value of 100 um. This error has
been corrected in the HTML and PDF versions of the article to associate the scale
bar with the correct value of 1 mm.
PMID- 29789629
TI - Non-coding RNAs in Various Stages of Liver Disease Leading to Hepatocellular
Carcinoma: Differential Expression of miRNAs, piRNAs, lncRNAs, circRNAs, and
sno/mt-RNAs.
AB - Hepatocellular carcinoma (HCC) was the fifth leading cause of cancer death in men
and eighth leading cause of death in women in the United States in 2017. In our
study, we sought to identify sncRNAs in various stages of development of HCC. We
obtained publicly available small RNA-seq data derived from patients with
cirrhosis (n = 14), low-grade dysplastic nodules (LGDN, n = 9), high grade
dysplastic nodules (HGDN, n = 6), early hepatocellular carcinoma (eHCC, n = 6),
and advanced hepatocellular carcinoma (HCC, n = 20), along with healthy liver
tissue samples (n = 9). All samples were analyzed for various types of non-coding
RNAs using PartekFlow software. We remapped small RNA-seq to miRBase to obtain
differential expressions of miRNAs and found 87 in cirrhosis, 106 in LGDN, 59 in
HGDN, 80 in eHCC, and 133 in HCC. Pathway analysis of miRNAs obtained from
diseased samples compared to normal samples showed signaling pathways in the
microRNA dependent EMT, CD44, and others. Additionally, we analyzed the data sets
for piRNAs, lncRNAs, circRNAs, and sno/mt-RNAs. We validated the in silico data
using human HCC samples with NanoString miRNA global expression. Our results
suggest that publically available data is a valuable resource for sncRNA
identification in HCC progression (FDR set to <0.05 for all samples) and that a
data mining approach is useful for biomarker development.
PMID- 29789630
TI - Preclinical evaluation of ribociclib and its synergistic effect in combination
with alpelisib in non-keratinizing nasopharyngeal carcinoma.
AB - Ribociclib is a specific cyclin dependent kinase (Cdk) 4/6 inhibitor that induces
G1 arrest by blocking the formation of cyclin D1-Cdk4/6 complex and inhibiting
retinoblastoma (RB) phosphorylation. Cyclin D1 is overexpressed in over 90% of
nasopharyngeal carcinoma (NPC) and CCND1 gene activation plays a critical role in
NPC pathogenesis. This study evaluated the preclinical activities of ribociclib
in NPC cell lines and patient derived xenograft (PDX) models. Over 95% cell
growth inhibition was observed at 96 hours after ribociclib treatment. (IC50
concentrations: HK1 = 1.42 +/- 0.23 uM; HK1-LMP1 = 2.18 +/- 0.70 uM and C666-1 =
8.26 +/- 0.92 uM). HK1 and C666-1 cells were chosen for analysis of ribociclib on
kinase signaling, apoptosis and cell cycle. Treatment with ribociclib for 48
hours consistently showed a dose-dependent reduction in phosphorylated and total
RB expression and G1 cycle arrest was only observed. Combining ribociclib with
the alpha-specific PI3K inhibitor alpelisib showed a synergistic effect in two
NPC PDX models in nude mice. The co-treatment induced a significant reduction in
tumor volume in both xeno-666 and xeno-2117 compared with ribociclib treatment
alone and control (p < 0.01). In summary, ribociclib is active in NPC models and
the effect on growth inhibition was augmented when combined with alpelisib. This
study supports the clinical evaluation of ribociclib in NPC.
PMID- 29789631
TI - Systematic evaluation of a 171Yb optical clock by synchronous comparison between
two lattice systems.
AB - Optical clocks are the most precise measurement devices. Here we experimentally
characterize one such clock based on the 1S0-3P0 transition of neutral 171Yb
atoms confined in an optical lattice. Given that the systematic evaluation using
an interleaved stabilization scheme is unable to avoid noise from the clock
laser, synchronous comparisons against a second 171Yb lattice system were
implemented to accelerate the evaluation. The fractional instability of one clock
falls below 4 * 10-17 after an averaging over a time of 5,000 seconds. The
systematic frequency shifts were corrected with a total uncertainty of 1.7 * 10
16. The lattice polarizability shift currently contributes the largest source.
This work paves the way to measuring the absolute clock transition frequency
relative to the primary Cs standard or against the International System of Units
(SI) second.
PMID- 29789628
TI - Targetable vulnerabilities in T- and NK-cell lymphomas identified through
preclinical models.
AB - T- and NK-cell lymphomas (TCL) are a heterogenous group of lymphoid malignancies
with poor prognosis. In contrast to B-cell and myeloid malignancies, there are
few preclinical models of TCLs, which has hampered the development of effective
therapeutics. Here we establish and characterize preclinical models of TCL. We
identify multiple vulnerabilities that are targetable with currently available
agents (e.g., inhibitors of JAK2 or IKZF1) and demonstrate proof-of-principle for
biomarker-driven therapies using patient-derived xenografts (PDXs). We show that
MDM2 and MDMX are targetable vulnerabilities within TP53-wild-type TCLs. ALRN
6924, a stapled peptide that blocks interactions between p53 and both MDM2 and
MDMX has potent in vitro activity and superior in vivo activity across 8
different PDX models compared to the standard-of-care agent romidepsin. ALRN-6924
induced a complete remission in a patient with TP53-wild-type angioimmunoblastic
T-cell lymphoma, demonstrating the potential for rapid translation of discoveries
from subtype-specific preclinical models.
PMID- 29789633
TI - 3D Interconnected Binder-Free Electrospun MnO@C Nanofibers for Supercapacitor
Devices.
AB - Rational design of binder-free materials with high cyclic stability and high
conductivity is a great need for high performance supercapacitors. We demonstrate
a facile one-step synthesis method of binder-free MnO@C nanofibers as electrodes
for supercapacitor applications. The topology of the fabricated nanofibers was
investigated using FESEM and HRTEM. The X-ray photoelectron spectroscopy (XPS)
and the X-ray diffraction (XRD) analyses confirm the formation of the MnO
structure. The electrospun MnO@C electrodes achieve high specific capacitance of
578 F/g at 1 A/g with an outstanding cycling performance. The electrodes also
show 127% capacity increasing after 3000 cycles. An asymmetric supercapacitor
composed of activated carbon as the negative electrode and MnO@C as the positive
electrode shows an ultrahigh energy density of 35.5 Wh/kg with a power density of
1000 W/kg. The device shows a superior columbic efficiency, cycle life, and
capacity retention.
PMID- 29789632
TI - The Developmental Process of the Growing Motile Ciliary Tip Region.
AB - Eukaryotic motile cilia/flagella play vital roles in various physiological
processes in mammals and some protists. Defects in cilia formation underlie
multiple human disorders, known as ciliopathies. The detailed processes of cilia
growth and development are still far from clear despite extensive studies. In
this study, we characterized the process of cilium formation (ciliogenesis) by
investigating the newly developed motile cilia of deciliated protists using
complementary techniques in electron microscopy and image analysis. Our results
demonstrated that the distal tip region of motile cilia exhibit progressive
morphological changes as cilia develop. This developmental process is time
dependent and continues after growing cilia reach their full lengths. The
structural analysis of growing ciliary tips revealed that B-tubules of axonemal
microtubule doublets terminate far away from the tip end, which is led by the
flagellar tip complex (FTC), demonstrating that the FTC might not directly
mediate the fast turnover of intraflagellar transport (IFT).
PMID- 29789634
TI - Giant photovoltaic response in band engineered ferroelectric perovskite.
AB - Recently the solar energy, an inevitable part of green energy source, has become
a mandatory topics in frontier research areas. In this respect, non
centrosymmetric ferroelectric perovskites with open circuit voltage (VOC) higher
than the bandgap, gain tremendous importance as next generation photovoltaic
materials. Here a non-toxic co-doped Ba1-x(Bi0.5Li0.5) x TiO3 ferroelectric
system is designed where the dopants influence the band topology in order to
enhance the photovoltaic effect. In particular, at the optimal doping
concentration (x opt ~ 0.125) the sample reveals a remarkably high
photogenerated field EOC = 320 V/cm (VOC = 16 V), highest ever reported in any
bulk polycrystalline non-centrosymmetric systems. The band structure, examined
through DFT calculations, suggests that the shift current mechanism is key to
explain the large enhancement in photovoltaic effect in this family.
PMID- 29789635
TI - Publisher Correction: Quantum engineering of transistors based on 2D materials
heterostructures.
AB - In the version of this Perspective originally published, in the email address for
the author Giuseppe Iannaccone, the surname was incorrectly given as "innaconne";
this has now been corrected in all versions of the Perspective. Also, an error in
the production process led to Figs. 1, 2 and 3 being of low resolution; these
have now been replaced with higher-quality versions.
PMID- 29789636
TI - Cypripedin diminishes an epithelial-to-mesenchymal transition in non-small cell
lung cancer cells through suppression of Akt/GSK-3beta signalling.
AB - Lung cancer appears to have the highest rate of mortality among cancers due to
its metastasis capability. To achieve metastasis, cancer cells acquire the
ability to undergo a switch from epithelial to mesenchymal behaviour, termed the
epithelial-to-mesenchymal transition (EMT), which is associated with poor
clinical outcomes. Drug discovery attempts have been made to find potent
compounds that will suppress EMT. Cypripedin, a phenanthrenequinone isolated from
Thai orchid, Dendrobium densiflorum, exhibits diverse pharmacological activities.
In this study, we found that cypripedin attenuated typical mesenchymal
phenotypes, including migratory behaviour, of non-small cell lung cancer H460
cells, with a significant reduction of actin stress fibres and focal adhesion and
with weakened anchorage-independent growth. Western blot analysis revealed that
the negative activity of this compound on EMT was a result of the down-regulation
of the EMT markers Slug, N-Cadherin and Vimentin, which was due to ATP-dependent
tyrosine kinase (Akt) inactivation. As a consequence, the increase in the Slug
degradation rate via a ubiquitin-proteasomal mechanism was encouraged. The
observation in another lung cancer H23 cell line also supported this finding,
indicating that cypripedin exhibits a promising pharmacological action on lung
cancer metastasis that could provide scientific evidence for the further
development of this compound.
PMID- 29789639
TI - Fludarabine and neurotoxicity in engineered T-cell therapy.
AB - Adoptive T-cell therapy, incorporating engineered T cell receptors (TCRs) or
chimeric antigen receptors (CARs), target tumor antigens with high affinity and
specificity. To increase the potency of adoptively transferred T cells, patients
are conditioned with lymphodepleting chemotherapy regimens prior to adoptive T
cell transfer (ACT), and data suggest that fludarabine is an important component
of an effective regimen. In a recent clinical trial using CAR-T cells engineered
to target the CD19 B-cell antigen to treat acute lymphoblastic leukemia, JCAR-015
(NCT02535364), two patient deaths due to cerebral edema led to trial suspension.
The lymphodepleting agent fludarabine was suggested as the causative agent, in
part due to its known association with neurotoxicity and its ability to induce
greater potency. In a similar CAR-T study also incorporating fludarabine in the
preconditioning regimen, ZUMA-1 (NCT02348216), one patient died of cerebral
edema. However, subsequent deaths in the JCAR-015 study after removal of
fludarabine and improved understanding behind the mechanisms of CAR-T-related
encephalopathy syndrome (CRES) indicate that fludarabine is not the primary
causative agent of cerebral edema and that it can be safely incorporated into the
preconditioning regimen for ACT. Since entering clinical use in the late 1980s as
a chemotherapy agent, fludarabine and similar analogs have been associated with
lethal neurological toxicity, yet the manifestation and timing of symptoms are
distinct to those observed recently in ACT. Herein, we review the history of
fludarabine development as a chemotherapeutic agent, and discuss the safety of
its continued use in preconditioning regimens for ACT.
PMID- 29789637
TI - The proton pump inhibitor pantoprazole disrupts protein degradation systems and
sensitizes cancer cells to death under various stresses.
AB - Proton pump inhibitors (PPIs) play a role in antitumor activity, with studies
showing specialized impacts of PPIs on cancer cell apoptosis, metastasis, and
autophagy. In this study, we demonstrated that pantoprazole (PPI) increased
autophagosomes formation and affected autophagic flux depending on the pH
conditions. PPI specifically elevated SQSTM1 protein levels by increasing SQSTM1
transcription via NFE2L2 activation independent of the specific effect of PPI on
autophagic flux. Via decreasing proteasome subunits expression, PPI significantly
impaired the function of the proteasome, accompanied by the accumulation of
undegraded poly-ubiquitinated proteins. Notably, PPI-induced autophagy functioned
as a downstream response of proteasome inhibition by PPI, while suppressing
protein synthesis abrogated autophagy. Blocking autophagic flux in neutral pH
condition or further impairing proteasome function with proteasome inhibitors,
significantly aggravated PPI cytotoxicity by worsening protein degradation
ability. Interestingly, under conditions of mitochondrial stress, PPI showed
significant synergism when combined with Bcl-2 inhibitors. Taken together, these
findings provide a new understanding of the impact of PPIs on cancer cells'
biological processes and highlight the potential to develop more efficient and
effective combination therapies.
PMID- 29789641
TI - Diurnal blood pressure changes.
AB - The definition of diurnal blood pressure changes varies widely, which can be
confusing. Short-term blood pressure variability during a 24-h period and the
dipping status of diurnal blood pressure can be captured by ambulatory blood
pressure monitoring, and these metrics are reported to have prognostic
significance for cardiovascular complications. Morning blood pressure surge also
indicates this risk, but its effect may be limited to populations with specific
conditions. Meanwhile, the combined use of conventional office blood pressure and
out-of-office blood pressure allows us to identify people with white-coat and
masked hypertension. Current home devices can measure nocturnal blood pressure
during sleep more conveniently than ambulatory monitoring; however, we should pay
attention to blood pressure measurement conditions regardless of whether they are
in a home, ambulatory, or office setting. The relatively poor reproducibility of
diurnal blood pressure changes, including the nocturnal fall of blood pressure,
is another underestimated issue to be addressed. Although information on diurnal
blood pressure changes is expected to be used more effectively in the future, we
should also keep in mind that blood pressure levels have remained central to the
primary and secondary prevention of blood pressure-related cardiovascular
diseases in clinical practice.
PMID- 29789638
TI - Human carbonic anhydrase-8 AAV8 gene therapy inhibits nerve growth factor
signaling producing prolonged analgesia and anti-hyperalgesia in mice.
AB - Carbonic anhydrase-8 (Car8; murine gene symbol) is an allosteric inhibitor of
inositol trisphosphate receptor-1 (ITPR1), which regulates neuronal intracellular
calcium release. We previously reported that wild-type Car8 overexpression
corrects the baseline allodynia and hyperalgesia associated with calcium
dysregulation in the waddle (wdl) mouse due to a 19 bp deletion in exon 8 of the
Car8 gene. In this report, we provide preliminary evidence that overexpression of
the human wild-type ortholog of Car8 (CA8WT), but not the reported CA8 S100P loss
of-function mutation (CA8MT), inhibits nerve growth factor (NGF)-induced
phosphorylation of ITPR1, TrkA (NGF high-affinity receptor), and ITPR1-mediated
cytoplasmic free calcium release in vitro. In addition, we show that gene
transfer using AAV8-V5-CA8WT viral particles via sciatic nerve injection
demonstrates retrograde transport to dorsal root ganglia (DRG) producing
prolonged V5-CA8WT expression, pITPR1 and pTrkA inhibition, and profound
analgesia and anti-hyperalgesia in male C57BL/6J mice. AAV8-V5-CA8WT-mediated
overexpression prevented and treated allodynia and hyperalgesia associated with
chronic neuropathic pain produced by the spinal nerve ligation (SNL) model. These
AAV8-V5-CA8 data provide a proof-of-concept for precision medicine through
targeted gene therapy of NGF-responsive somatosensory neurons as a long-acting
local analgesic able to prevent and treat chronic neuropathic pain through
regulating TrkA signaling, ITPR1 activation, and intracellular free calcium
release by ITPR1.
PMID- 29789642
TI - Spatial and temporal variations of particulate organic carbon in the Yellow-Bohai
Sea over 2002-2016.
AB - The Yellow-Bohai Sea (YBS) is a typical marginal sea in the Northwest Pacific
Ocean; however, little is known about the dynamics of particulate organic carbon
(POC) and underlying mechanisms. Here, we analyze the spatial and temporal
variations of surface POC derived from MODIS-Aqua during 2002-2016. Overall, POC
is higher in the Bohai Sea (315-588 mg m-3) than in the Yellow Sea (181-492 mg m
3), and higher in the nearshore than in the offshore. Surface POC is highest in
spring in the YBS, and lowest in winter (summer) in the Bohai Sea (the Yellow
Sea). The spatial and seasonal patterns of POC are due to combined influences of
primary productivity, water exchange, sediment resuspension and terrestrial
inputs. Surface POC shows an overall decreasing trend prior to 2012 followed by
an upward trend until 2015 in the YBS, which is almost opposite to chlorophyll;
the decrease (increase) may result from strengthened (weakened) water exchange
with the East China Sea through the Yellow Sea Warm Current. Declined terrestrial
runoff is also partly responsible for the decrease prior to 2012. Our study
suggests that water exchange and sediment resuspension are dominant factors
regulating the spatial and temporal variability of POC in the YBS.
PMID- 29789643
TI - Changes in pituitary gene expression may underlie multiple domesticated traits in
chickens.
AB - Domesticated animals share a unique set of morphological and behavioral traits,
jointly referred to as the domesticated phenotype. Striking similarities amongst
a range of unrelated domesticated species suggest that similar regulatory
mechanisms may underlie the domesticated phenotype. These include color pattern,
growth, reproduction, development and stress response. Although previous studies
have focused on the brain to find mechanisms underlying domestication, the
potential role of the pituitary gland as a target of domestication is highly
overlooked. Here, we study gene expression in the pituitary gland of the
domesticated White Leghorn chicken and its wild ancestor, the Red Junglefowl. By
overlapping differentially expressed genes with a previously published list of
functionally important genes in the pituitary gland, we narrowed down to 34
genes. Amongst them, expression levels of genes with inhibitory function on
pigmentation (ASIP), main stimulators of metabolism and sexual maturity (TSHB and
DIO2), and a potential inhibitor of broodiness (PRLR), were higher in the
domesticated breed. Additionally, expression of 2 key inhibitors of the stress
response (NR3C1, CRHR2) was higher in the domesticated breed. We suggest that
changes in the transcription of important modulatory genes in the pituitary gland
can account not only for domestication of the stress response in domestic
chickens, but also for changes in pigmentation, development, and reproduction.
Given the pivotal role of the pituitary gland in the regulation of multiple
shared domesticated traits, we suggest that similar changes in pituitary
transcriptome may contribute to the domesticated phenotype in other species as
well.
PMID- 29789640
TI - Alterations in serum kynurenine pathway metabolites in individuals with high
neocortical amyloid-beta load: A pilot study.
AB - The kynurenine pathway (KP) is dysregulated in neuroinflammatory diseases
including Alzheimer's disease (AD), however has not been investigated in
preclinical AD characterized by high neocortical amyloid-beta load (NAL), prior
to cognitive impairment. Serum KP metabolites were measured in the cognitively
normal KARVIAH cohort. Participants, aged 65-90 y, were categorised into NAL+ (n
= 35) and NAL- (n = 65) using a standard uptake value ratio cut-off = 1.35.
Employing linear models adjusting for age and APOEepsilon4, higher kynurenine and
anthranilic acid (AA) in NAL+ versus NAL- participants were observed in females
(kynurenine, p = 0.004; AA, p = 0.001) but not males (NALxGender, p = 0.001,
0.038, respectively). To evaluate the predictive potential of kynurenine or/and
AA for NAL+ in females, logistic regressions with NAL+/- as outcome were carried
out. After age and APOEepsilon4 adjustment, kynurenine and AA were individually
and jointly significant predictors (p = 0.007, 0.005, 0.0004, respectively).
Areas under the receiver operating characteristic curves were 0.794 using age and
APOEepsilon4 as predictors, and 0.844, 0.866 and 0.871 when kynurenine, AA and
both were added. Findings from the current study exhibit increased KP activation
in NAL+ females and highlight the predictive potential of KP metabolites, AA and
kynurenine, for NAL+. Additionally, the current study also provides insight into
he influence of gender in AD pathogenesis.
PMID- 29789644
TI - Heritability of climate-relevant traits in a rainforest skink.
AB - There is justified concern about the impact of global warming on the persistence
of tropical ectotherms. There is also growing evidence for strong selection on
climate-relevant physiological traits. Understanding the evolutionary potential
of populations is especially important for low dispersal organisms in isolated
populations, because these populations have little choice but to adapt. Despite
this, direct estimates of heritability and genetic correlations for physiological
traits in ectotherms-which will determine their evolutionary responses to
selection-are sparse, especially for reptiles. Here we examine the heritabilities
and genetic correlations for a set of four morphological and six climate-relevant
physiological traits in an isolated population of an Australian rainforest
lizard, Lampropholis coggeri. These traits show considerable variation across
populations in this species, suggesting local adaptation. From laboratory
crosses, we estimated very low to moderate heritability of temperature-related
physiological traits (h2 < 0.31), but significant and higher heritability of
desiccation resistance (h2~0.42). These values contrasted with uniformly higher
heritabilities (h2 > 0.51) for morphological traits. At the phenotypic level,
there were positive associations among the morphological traits and between
thermal limits. Growth rate was positively correlated with thermal limits, but
there was no indication that morphology and physiology were linked in any other
way. We found some support for a specialist-generalist trade-off in the thermal
performance curve, but otherwise there was no evidence for evolutionary
constraints, suggesting broadly labile multivariate trait structure. Our results
indicate little potential to respond to selection on thermal traits in this
population and provide new insights into the capacity of tropical ectotherms to
adapt in situ to rapid climate change.
PMID- 29789645
TI - Author Correction: Balance between Estrogens and Proinflammatory Cytokines
Regulates Chemokine Production Involved in Thymic Germinal Center Formation.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29789646
TI - Age-related differences in limb fat-free mass and fat mass in healthy Chinese
Adults.
AB - Fat mass (FM) and fat-free mass (FFM) are important elements to evaluate
nutritional status. The aims of this study were to establish reference values for
FM and FFM of limbs, develop percentile distributions and assess age-related
regional differences in body composition by multifrequency bioelectrical
impedance analyzer (BIA) in healthy adults. A cross-sectional study was conducted
on 3419 healthy subjects, 1595 men and 1824 women. Regional FM and FFM were
measured by BIA. FM in men remained stable in both upper and lower limbs, with
reference values (25-75th percentile) of 1-1.5 kg and 4.9-7.2 kg, respectively.
Women's leg FM remained stable with aging (reference values 6.2-7.9 kg),
increasing in their arms (0.9-1.5 kg for youngest, 1.3-2.3 kg oldest). The
reference values of upper limbs FFM were 5.3-6.2 kg in men and 3.3-3.9 kg in
women. Lower limbs FFM decreased with age in both gender: the reference values
were 19.5-23.3 kg (men) and 13.8-15.4 kg (women) for 18-30 age group, and 17.3-20
kg and 11.2-13.1 kg, respectively, for 60+ age group. These data provided
reference values of FM and FFM in both limbs, enabling the identification of age
and gender-related changes in limb composition in healthy Chinese subjects.
PMID- 29789648
TI - DALM, rest in peace: a pathologist's perspective on dysplasia in inflammatory
bowel disease in the post-DALM era.
AB - There are few abbreviations in surgical pathology that are associated with as
much immediate recognition, frustration, and confusion as DALM (dysplasia
associated lesion or mass). DALM is used to describe endoscopically visible
dysplastic lesions in the surveillance of patients with inflammatory bowel
disease. However, the diagnosis of DALM has been complicated by the inconsistent
criteria and use of terminology for describing dysplasia in inflammatory bowel
disease, and a tendency to relate DALM with the need for colectomy. Fortunately,
advancements in both endoscopic visualization and local excision capability have
allowed for a more defined management of dysplasia in inflammatory bowel disease.
In 2015, the Surveillance for Colorectal Endoscopic Neoplasia Detection and
Management in Inflammatory Bowel Disease Patients International Consensus
Recommendations (SCENIC) Development Panel, a panel of predominantly expert
gastroenterologists and endoscopists in surveillance of inflammatory bowel
disease, published a consensus statement. One recommendation was to abandon DALM
related terminology in favor of endoscopic descriptors modified from the Paris
endoscopic classification. Recommendations on surveillance and management of
dysplastic lesions were also provided. Nevertheless, interval carcinomas and
metachronous neoplasia remain persistent issues. This review aims to provide an
update on the post-DALM terminology and management recommendations for
inflammatory bowel disease-associated dysplasia necessary for a meaningful
communication between pathologists and clinicians.
PMID- 29789647
TI - Microbial production of novel sulphated alkaloids for drug discovery.
AB - Natural products from plants are useful as lead compounds in drug discovery.
Plant benzylisoquinoline alkaloids (BIAs) exhibit various pharmaceutical
activities. Although unidentified BIAs are expected to be of medicinal value,
sufficient quantities of such BIAs, for biological assays, are sometimes
difficult to obtain due to their low content in natural sources. Here, we showed
that high productivity of BIAs in engineered Escherichia coli could be exploited
for drug discovery. First, we improved upon the previous microbial production
system producing (S)-reticuline, an important BIA intermediate, to obtain yields
of around 160 mg/L, which was 4-fold higher than those of the previously reported
highest production system. Subsequently, we synthesised non-natural BIAs (O
sulphated (S)-reticulines) by introducing human sulphotransferases into the
improved (S)-reticuline production system. Analysis of human primary cells
treated with these BIAs demonstrated that they affected a biomarker expression in
a manner different from that by the parent compound (S)-reticuline, suggesting
that simple side-chain modification altered the characteristic traits of BIA.
These results indicated that highly productive microbial systems might facilitate
the production of scarce or novel BIAs and enable subsequent evaluation of their
biological activities. The system developed here could be applied to other rare
natural products and might contribute to the drug-discovery process as a next
generation strategy.
PMID- 29789649
TI - Superficially serrated adenoma: a proposal for a novel subtype of colorectal
serrated lesion.
AB - We describe a series of colorectal polyps characterized by mixed adenomatous and
serrated features, herein referred to as superficially serrated adenomas. Twenty
superficially serrated adenomas were obtained from 11 female and 9 male patients
aged 62-87 years. Most lesions endoscopically appeared as small sessile polyps,
but larger lesions were plaque-like (2-20 mm; median, 5 mm). Eighteen lesions
(90%) were located in the sigmoid colon or rectum. They consisted primarily of
straight, adenomatous glands but showed serration confined to the superficial
layer. Immunohistochemistry revealed CK20 expression in the upper layer.
Proliferating cells, determined by their expression of Ki-67, were localized to
the middle to bottom layers. Genetic analyses identified KRAS mutations in 19
lesions and a BRAF mutation in one lesion. Furthermore, RSPO fusions and/or
overexpression were observed in 18 lesions and truncating APC mutations were
observed in the two remaining lesions. Consistent with the presence of WNT
pathway gene alterations, all superficially serrated adenomas showed focal or
diffuse nuclear beta-catenin accumulation. Since concurrent KRAS mutations and
RSPO fusions are reportedly common in traditional serrated adenomas, we reviewed
129 traditional serrated adenomas and found 15 lesions (12%) that were associated
with superficially serrated adenoma components. Remarkably, all but one
superficially serrated adenoma-associated traditional serrated adenoma exhibited
concurrent KRAS mutations and RSPO fusions/overexpression. The present study
suggests that superficially serrated adenoma is a morphologically and molecularly
distinct type of colorectal serrated polyp that is histogenetically related to
traditional serrated adenoma.
PMID- 29789650
TI - Use of DNA flow cytometry in the diagnosis, risk stratification, and management
of gastric epithelial dysplasia.
AB - The natural history of gastric epithelial dysplasia and the consequential
surveillance strategies are not well defined in the West. To date, the diagnosis
relies on morphology, and no reliable adjunct methods, either immunohistochemical
or molecular, have reproducibly been able to confirm the diagnosis and/or risk
stratify gastric epithelial dysplasia. Yet, such a tool would be useful in
confirming the diagnosis, and developing objective and rational surveillance
guidelines. DNA flow cytometry was performed using formalin-fixed paraffin
embedded gastric tissue from 23 cases of high-grade dysplasia and 38 cases of low
grade dysplasia. Twenty-four benign background mucosal samples from the same
cohort (20 biopsies and 4 surgical resections from 16 low- and 8 high-grade
dysplasia cases) were utilized as controls. The presence of DNA content
abnormality (aneuploidy or elevated 4N fraction) correlated with increasing
levels of dysplasia, as DNA content abnormality was detected in 18 (78%) of 23
high-grade dysplasia, 5 (13%) of 38 low-grade dysplasia, and none of 24 non
dysplastic samples. 1 and 4-year detection rates of high-grade dysplasia or
gastric adenocarcinoma in low-grade dysplasia patients with DNA content
abnormality were 80% (p = 0.003) and 100% (p = 0.005), respectively, whereas
patients with low-grade dysplasia but with normal DNA content had 1, 4, and 12
year detection rates of 23, 32, and 54%, respectively. The univariate hazard
ratio (HR) for subsequent detection of high-grade dysplasia or gastric
adenocarcinoma in low-grade dysplasia patients with DNA content abnormality was
6.9 (p = 0.001). Older patients (HR = 1.1, p = 0.005) and those with familial
adenomatous polyposis (HR = 9.7, p = 0.029) also had an increased risk for
developing high-grade dysplasia or gastric adenocarcinoma in the univariate
analysis, but only DNA content abnormality demonstrated a significantly elevated
HR of 5.9 in the multivariate analysis (p = 0.005). While older age showed a
minimally elevated risk (HR = 1.1, p = 0.013), no other potential risk factors,
including male gender, ethnicity, polypoid endoscopic appearance, Helicobacter
pylori infection, and intestinal metaplasia, were significantly associated with
subsequent detection of high-grade dysplasia or gastric adenocarcinoma in the
multivariate analysis. Among the 18 high-grade dysplasia cases with DNA content
abnormality, 13 cases (72%) developed gastric adenocarcinoma within a mean follow
up time of 9 months, conferring a HR of 2.5; however, this did not reach
statistical significance. In conclusion, the presence of DNA content abnormality
can identify a subset of low-grade dysplasia patients who are at increased risk
for subsequent detection of high-grade dysplasia or gastric adenocarcinoma. It
can also provide confirmatory evidence to a morphologic impression or suspicion
of high-grade dysplasia. The majority of gastric epithelial dysplasia patients
with DNA content abnormality developed high-grade dysplasia or gastric
adenocarcinoma within a year and thus may benefit from more thorough and rigorous
endoscopic surveillance.
PMID- 29789651
TI - Analysis of the genomic landscape of multiple myeloma highlights novel prognostic
markers and disease subgroups.
AB - In multiple myeloma, next-generation sequencing (NGS) has expanded our knowledge
of genomic lesions, and highlighted a dynamic and heterogeneous composition of
the tumor. Here we used NGS to characterize the genomic landscape of 418 multiple
myeloma cases at diagnosis and correlate this with prognosis and classification.
Translocations and copy number abnormalities (CNAs) had a preponderant
contribution over gene mutations in defining the genotype and prognosis of each
case. Known and novel independent prognostic markers were identified in our
cohort of proteasome inhibitor and immunomodulatory drug-treated patients with
long follow-up, including events with context-specific prognostic value, such as
deletions of the PRDM1 gene. Taking advantage of the comprehensive genomic
annotation of each case, we used innovative statistical approaches to identify
potential novel myeloma subgroups. We observed clusters of patients stratified
based on the overall number of mutations and number/type of CNAs, with distinct
effects on survival, suggesting that extended genotype of multiple myeloma at
diagnosis may lead to improved disease classification and prognostication.
PMID- 29789652
TI - Small hypoxia-primed mesenchymal stem cells attenuate graft-versus-host disease.
AB - Mesenchymal stem cells (MSCs) are of particular interest for the treatment of
immune-related diseases due to their immunosuppressive capacity. Here, we show
that Small MSCs primed with Hypoxia and Calcium ions (SHC-MSCs) exhibit enhanced
stemness and immunomodulatory functions for treating allogeneic conflicts.
Compared with naive cultured human umbilical cord blood-derived MSCs, SHC-MSCs
were resistant to passage-dependent senescence mediated via the monocyte
chemoattractant protein-1 and p53/p21 cascade and secreted large amounts of pro
angiogenic and immunomodulatory factors, resulting in suppression of T-cell
proliferation. SHC-MSCs showed DNA demethylation in pluripotency, germline, and
imprinted genes similarly to very small embryonic-like stem cells, suggesting a
potential mutual relationship. Genome-wide DNA methylome and transcriptome
analyses indicated that genes related to immune modulation, cell adhesion, and
the cell cycle were up-regulated in SHC-MSCs. Particularly, polo-like kinase-1
(PLK1), zinc-finger protein-143, dehydrogenase/reductase-3, and friend-of-GATA2
play a key role in the beneficial effects of SHC-MSCs. Administration of SHC-MSCs
or PLK1-overexpressing MSCs significantly ameliorated symptoms of graft-versus
host disease (GVHD) in a humanized mouse model, resulting in significantly
improved survival, less weight loss, and reduced histopathologic injuries in GVHD
target organs compared with naive MSC-infused mice. Collectively, our findings
suggest that SHC-MSCs can improve the clinical treatment of allogeneic conflicts,
including GVHD.
PMID- 29789653
TI - Ring quantum cascade lasers with twisted wavefronts.
AB - We demonstrate the on-chip generation of twisted light beams from ring quantum
cascade lasers. A monolithic gradient index metamaterial is fabricated directly
into the substrate side of the semiconductor chip and induces a twist of the
light's wavefront. This significantly influences the obtained beam pattern, which
changes from a central intensity minimum to a maximum depending on the
discontinuity count of the metamaterial. Our design principle provides an
interesting alternative to recent implementations of microlasers operating at an
exceptional point.
PMID- 29789654
TI - Direct observation of pitting corrosion evolutions on carbon steel surfaces at
the nano-to-micro- scales.
AB - The Cl--induced corrosion of metals and alloys is of relevance to a wide range of
engineered materials, structures, and systems. Because of the challenges in
studying pitting corrosion in a quantitative and statistically significant
manner, its kinetics remain poorly understood. Herein, by direct, nano- to micro
scale observations using vertical scanning interferometry (VSI), we examine the
temporal evolution of pitting corrosion on AISI 1045 carbon steel over large
surface areas in Cl--free, and Cl--enriched solutions. Special focus is paid to
examine the nucleation and growth of pits, and the associated formation of
roughened regions on steel surfaces. By statistical analysis of hundreds of
individual pits, three stages of pitting corrosion, namely, induction,
propagation, and saturation, are quantitatively distinguished. By quantifying the
kinetics of these processes, we contextualize our current understanding of
electrochemical corrosion within a framework that considers spatial dynamics and
morphology evolutions. In the presence of Cl- ions, corrosion is highly
accelerated due to multiple autocatalytic factors including destabilization of
protective surface oxide films and preservation of aggressive microenvironments
within the pits, both of which promote continued pit nucleation and growth. These
findings offer new insights into predicting and modeling steel corrosion
processes in mid-pH aqueous environments.
PMID- 29789655
TI - Cigarette smoke promotes HIV infection of primary bronchial epithelium and
additively suppresses CFTR function.
AB - Recurrent lung infections are a common cause of morbidity and mortality in people
living with HIV and this is exacerbated in smokers even when administered
combination antiretroviral therapy (cART). The incidence of pneumonia is
increased with smoking and treatment interruption and is directly dependent on
viral load in patients when adjusted for CD4 counts. CFTR dysfunction plays an
important role in aberrant airway innate immunity as it is pivotal in regulating
mucociliary clearance (MCC) rates and other antibacterial mechanisms of the
airway. In our earlier work, we have demonstrated that bronchial epithelium
expresses canonical HIV receptors CD4, CCR5 and CXCR4 and can be infected with
HIV. HIV Tat suppresses CFTR mRNA and function via TGF-beta signaling. In the
present study, we demonstrate that cigarette smoke (CS) potentiates HIV infection
of bronchial epithelial cells by upregulating CD4 and CCR5 expression. HIV and CS
individually and additively suppress CFTR biogenesis and function, possibly
explaining the increased incidence of lung infections in HIV patients and its
exacerbation in HIV smokers.
PMID- 29789656
TI - Distribution of different surface modified carbon dots in pumpkin seedlings.
AB - The distribution of surface modified carbon dots (CDs) in the pumpkin seedlings
was studied by visualization techniques and their potential phytotoxicity was
investigated at both the physiological and biochemical levels. The average size
of carbon dots was approximately 4 nm. The fluorescent peaks of bared CDs, CD-PEI
and CD-PAA were between 420 nm and 500 nm, indicating CDs could emit blue and
green fluorescence. Fluorescent images showed that all three types of CDs could
accumulate in the pumpkin roots and translocate to the shoots, although the
distribution pattern of each CDs was obviously different. At the biochemical
level, the elevated antioxidant enzymes in pumpkin roots suggest that all the CDs
could potentially trigger the antioxidant defense systems in pumpkin seedlings.
Additionally, such alteration was greater in the roots than in the shoots. Our
study represents a new perspective on CD visualization in plant tissues and
provide useful information for the potential toxicity of different types of CDs
to terrestrial plants, which is of importance to agricultural application.
PMID- 29789658
TI - Comment on 'Overprescribing of antibiotics by UK ophthalmologists'.
PMID- 29789657
TI - The COOH-terminal domain of huntingtin interacts with RhoGEF kalirin and
modulates cell survival.
AB - Human huntingtin (Htt) contains 3144 amino acids and has an expanded
polyglutamine region near the NH2-terminus in patients with Huntington's disease.
While numerous binding partners have been identified to NH2-terminal Htt, fewer
proteins are known to interact with C-terminal domains of Htt. Here we report
that kalirin, a Rac1 activator, is a binding partner to C-terminal Htt. Kalirin
and Htt co-precipitated from mouse brain endosomes and co-localized at puncta in
NRK and immortalized striatal cells and primary cortical neurons. We mapped the
interaction domains to kalirin674-1272 and Htt2568-3144 and determined that the
interaction between kalirin and Htt was independent of HAP1, a known interactor
for Htt and kalirin. Kalirin precipitated with mutant Htt was more abundant than
with wild-type Htt and had a reduced capacity to activate Rac1 when mutant Htt
was present. Expression of Htt2568-3144 caused cytotoxicity, partially rescued by
co-expressing kalirin674-1272 but not other regions of kalirin. Our study
suggests that the interaction of kalirin with the C-terminal region of Htt
influences the function of kalirin and modulates the cytotoxicity induced by C
terminal Htt.
PMID- 29789659
TI - Comparison of glaucoma-diagnostic ability between wide-field swept-source OCT
retinal nerve fiber layer maps and spectral-domain OCT.
AB - PURPOSE: To compare the diagnostic ability of wide-field swept-source optical
coherence tomography (SS-OCT) retinal nerve fiber layer (RNFL) maps with spectral
domain OCT (SD-OCT) maps for detection of preperimetric (PPG) and early glaucoma
(EG). PATIENTS AND METHODS: One hundred and forty-six eyes, including 37 healthy
eyes, 38 eyes with PPG, and 71 eyes with EG, were analyzed. The patients
underwent both SD-OCT (Cirrus HD-OCT; Carl Zeiss Meditec, Dublin, CA, USA) and
wide-field SS-OCT scanning (DRI-OCT-1 Atlantis; Topcon, Tokyo, Japan). By SD-OCT,
circumpapillary RNFL and macular ganglion cell analyses were performed. SS-OCT
provides a wide-field RNFL thickness map and a SuperPixel map, which are composed
of an RNFL deviation map of the peripapillary area and a deviation map of the
composition of the ganglion cell layer with the inner plexiform layer and RNFL
[GC-IPL+RNFL] in the macular area. The ability to discriminate PPG and EG from
healthy eyes was assessed according to sensitivity, specificity and area under
the receiver operating characteristic curve for parameters and criteria provided
by SD-OCT and wide-field SS-OCT scanning. RESULTS: The wide-field RNFL thickness
map obtained by SS-OCT showed the highest sensitivity to PPG and EG (92.1 and
97.2%, respectively) as compared with the other, SD-OCT criteria. The wide-field
RNFL thickness map showed PPG-diagnostic performance comparable to the SD-OCT
RNFL thickness and GC-IPL deviation maps (p = 0.453 and 0.180), and PPG
diagnostic performance superior to the SD-OCT RNFL deviation and GC-IPL thickness
maps (p = 0.003 and 0.039). In EG, the wide-field RNFL thickness and SuperPixel
maps showed diagnostic performance comparable to the SD-OCT thickness and
deviation maps (p = 0.065 to 0.100), except for the GC-IPL thickness map (p =
0.004). CONCLUSIONS: The wide-field SS-OCT RNFL thickness maps showed a
diagnostic ability for distinguishing PPG and EG from healthy eyes that was
similar to that of SD-OCT. In the clinical setting, these maps can be effective
for detection of early-glaucomatous changes.
PMID- 29789660
TI - MultiColorTM imaging in combined hamartoma of the retina and retinal pigment
epithelium.
AB - PURPOSE: To describe the principle characteristics of combined hamartoma of the
retina and retinal pigment epithelium ('combined harmatoma') on MultiColorTM
imaging and evaluate its role as a diagnostic and management tool. METHODS:
Retrospective, observational case series comprising three patients with
unilateral, juxtapapillary combined harmatoma. Complete ophthalmic examination
was performed. MultiColorTM, including green reflectance (GR) and near infra-red
reflectance (NIRR), optical coherence tomography (OCT) and autofluorescence (AF)
imaging were obtained (Heidelberg Spectralis). RESULTS: On MultiColorTM, 'red
shifting', indicated partial pigmentation. GR clearly defined epiretinal gliosis,
retinal dragging and striations. On NIRR, all lesions were hypo-reflectant with
hyper-reflectance at their edges. OCT showed full-thickness retinal thickening
and disorganisation, intra-retinal fluid in two cases, sectoral RPE atrophy with
photoreceptor loss in one case, RPE thickening and foveal pigment migration in
one case; epiretinal membrane associated with 'mini peaks' of the inner retina in
all cases and vitreous traction causing retinoschisis in one case. All lesions
were hypo-autofluorescent. CONCLUSIONS: MultiColorTM enables combined harmatomas
to be further characterised and may represent a valuable diagnostic and
management tool: MultiColorTM and NIR define tumour boundaries and macular
involvement, which may be useful for assessing visual impact; GR highlights inner
retinal distortion, which may aid surgical management decisions.
PMID- 29789661
TI - A correlative and quantitative imaging approach enabling characterization of
primary cell-cell communication: Case of human CD4+ T cell-macrophage
immunological synapses.
AB - Cell-to-cell communication engages signaling and spatiotemporal reorganization
events driven by highly context-dependent and dynamic intercellular interactions,
which are difficult to capture within heterogeneous primary cell cultures. Here,
we present a straightforward correlative imaging approach utilizing commonly
available instrumentation to sample large numbers of cell-cell interaction
events, allowing qualitative and quantitative characterization of rare
functioning cell-conjugates based on calcium signals. We applied this approach to
examine a previously uncharacterized immunological synapse, investigating
autologous human blood CD4+ T cells and monocyte-derived macrophages (MDMs)
forming functional conjugates in vitro. Populations of signaling conjugates were
visualized, tracked and analyzed by combining live imaging, calcium recording and
multivariate statistical analysis. Correlative immunofluorescence was added to
quantify endogenous molecular recruitments at the cell-cell junction. By
analyzing a large number of rare conjugates, we were able to define calcium
signatures associated with different states of CD4+ T cell-MDM interactions.
Quantitative image analysis of immunostained conjugates detected the propensity
of endogenous T cell surface markers and intracellular organelles to polarize
towards cell-cell junctions with high and sustained calcium signaling profiles,
hence defining immunological synapses. Overall, we developed a broadly applicable
approach enabling detailed single cell- and population-based investigations of
rare cell-cell communication events with primary cells.
PMID- 29789662
TI - Spraying dynamics in continuous wave laser printing of conductive inks.
AB - Laser-induced forward transfer (LIFT), though usually associated with pulsed
lasers, has been recently shown to be feasible for printing liquid inks with
continuous wave (CW) lasers. This is remarkable not only because of the
advantages that the new approach presents in terms of cost, but also because of
the surprising transfer dynamics associated with it. In this work we carry out a
study of CW-LIFT aimed at understanding the new transfer dynamics and its
correlation with the printing outcomes. The CW-LIFT of lines of Ag ink at
different laser powers and scan speeds revealed a range of conditions that
allowed printing conductive lines with good electrical properties. A fast-imaging
study showed that liquid ejection corresponds to a spraying behavior completely
different from the jetting characteristic of pulsed LIFT. We attribute the spray
to pool-boiling in the donor film, in which bursting bubbles are responsible for
liquid ejection in the form of projected droplets. The droplet motion is then
modeled as the free fall of rigid spheres in a viscous medium, in good agreement
with experimental observations. Finally, thermo-capillary flow in the donor film
allows understanding the evolution of the morphology of the printed lines with
laser power and scan speed.
PMID- 29789664
TI - The BET bromodomain inhibitor apabetalone induces apoptosis of latent HIV-1
reservoir cells following viral reactivation.
AB - The persistence of latent HIV-1 reservoirs throughout combination antiretroviral
therapy (cART) is a major barrier on the path to achieving a cure for AIDS. It
has been shown that bromodomain and extra-terminal (BET) inhibitors could
reactivate HIV-1 latency, but restrained from clinical application due to their
toxicity and side effects. Thus, identifying a new type of BET inhibitor with
high degrees of selectivity and safety is urgently needed. Apabetalone is a small
molecule selective BET inhibitor specific for second bromodomains, and has been
evaluated in phase III clinical trials that enrolled patients with high-risk
cardiovascular disorders, dyslipidemia, and low HDL cholesterol. In the current
study, we examined the impact of apabetalone on HIV-1 latency. We showed that
apabetalone (10-50 MUmol/L) dose-dependently reactivated latent HIV-1 in 4 types
of HIV-1 latency cells in vitro and in primary human CD4+ T cells ex vivo. In
ACH2 cells, we further demonstrated that apabetalone activated latent HIV-1
through Tat-dependent P-TEFB pathway, i.e., dissociating bromodomain 4 (BDR4)
from the HIV-1 promoter and recruiting Tat for stimulating HIV-1 elongation.
Furthermore, we showed that apabetalone (10-30 MUmol/L) caused dose-dependent
cell cycle arrest at the G1/G0 phase in ACH2 cells, and thereby induced the
preferential apoptosis of HIV-1 latent cells to promote the death of reactivated
reservoir cells. Notably, cardiovascular diseases and low HDL cholesterol are
known as the major side effects of cART, which should be prevented by
apabetalone. In conclusion, apabetalone should be an ideal bifunctional latency
reversing agent for advancing HIV-1 eradication and reducing the side effects of
BET inhibitors.
PMID- 29789665
TI - Existing and novel biomarkers for precision medicine in systemic sclerosis.
AB - The discovery and validation of biomarkers resulting from technological advances
in the analysis of genomic, transcriptomic, lipidomic and metabolomic pathways
involved in the pathogenesis of complex human diseases have led to the
development of personalized and rationally designed approaches for the clinical
management of such disorders. Although some of these approaches have been applied
to systemic sclerosis (SSc), an unmet need remains for validated, non-invasive
biomarkers to aid in the diagnosis of SSc, as well as in the assessment of
disease progression and response to therapeutic interventions. Advances in global
transcriptomic technology over the past 15 years have enabled the assessment of
microRNAs that circulate in the blood of patients and the analysis of the
macromolecular content of a diverse group of lipid bilayer membrane-enclosed
extracellular vesicles, such as exosomes and other microvesicles, which are
released by all cells into the extracellular space and circulation. Such advances
have provided new opportunities for the discovery of biomarkers in SSc that could
potentially be used to improve the design and evaluation of clinical trials and
that will undoubtedly enable the development of personalized and individualized
medicine for patients with SSc.
PMID- 29789663
TI - Publisher Correction: A DHODH inhibitor increases p53 synthesis and enhances
tumor cell killing by p53 degradation blockage.
AB - The original PDF version of this Article listed the authors as "Marcus J.G.W.
Ladds," where it should have read "Marcus J. G. W. Ladds, Ingeborg M. M. van
Leeuwen, Catherine J. Drummond et al.#".Also in the PDF version, it was
incorrectly stated that "Correspondence and requests for materials should be
addressed to S. Lin.", instead of the correct "Correspondence and requests for
materials should be addressed to S. Lain."This has been corrected in the PDF
version of the Article. The HTML version was correct from the time of
publication.
PMID- 29789667
TI - Imputation of missing values in a large job exposure matrix using hierarchical
information.
AB - Job exposure matrices (JEMs) represent a useful and efficient approach for
estimating occupational exposures. This study uses a large dataset of full-shift
measurements and employs imputation strategies to develop noise exposure
estimates for almost all broad level standard occupational classification (SOC)
groups in the US. The JEM was constructed using 753,702 measurements from the
government, private industry, and the published literature. Parametric Bayes
imputation was used to take advantage of the hierarchical structure of the SOCs
and the mean occupational noise exposures were estimated for all broad level
SOCs, except those in major group 23-0000, for which no data were available. The
estimated posterior mean for all broad SOCs was found to be 82.1 dBA with within-
and between-major SOC variabilities of 22.1 and 13.8, respectively. Of the 443
broad SOCs, 85 were found to have an estimated mean exposure >85 dBA while 10
were >90 dBA. By taking advantage of the size and structure of the dataset, we
were able to employ imputation techniques to estimate mean levels of noise
exposure for nearly all SOCs in the US. Possible sources of errors in the
estimates include misclassification of job titles due to limited data, temporal
variations that were not accounted for, and variation in exposures within the
same SOC. Our efforts have resulted in an almost completely populated noise JEM
that provides a valuable tool for the assessment of occupational exposures to
noise. Imputation techniques can lead to maximal use of available information
that may be incomplete.
PMID- 29789669
TI - Consumer behaviour survey for assessing exposure from consumer products: a
feasibility study.
AB - Evaluating chemical exposures from consumer products is an essential part of
chemical safety assessments under REACH and may also be important to demonstrate
compliance with consumer product legislation. Modelling of consumer exposure
needs input information on the substance (e.g. vapour pressure), the product(s)
containing the substance (e.g. concentration) and on consumer behaviour (e.g. use
frequency and amount of product used). This feasibility study in Germany
investigated methods for conducting a consumer survey in order to identify and
retrieve information on frequency, duration, use amounts and use conditions for
six example product types (four mixtures, two articles): hand dishwashing liquid,
cockpit spray, fillers, paints and lacquers, shoes made of rubber or plastic, and
ball-pens/pencils. Retrospective questionnaire methods (Consumer Product
Questionnaire (CPQ), and Recall-Foresight Questionnaire (RFQ)) as well as
protocol methods (written reporting by participants and video documentation) were
used. A combination of retrospective questionnaire and written protocol methods
was identified to provide valid information in a resource-efficient way. Relevant
information, which can readily be used in exposure modelling, was obtained for
all parameters and product types investigated. Based on the observations in this
feasibility study, recommendations are given for designing a large consumer
survey.
PMID- 29789666
TI - Cross-plane coherent acoustic phonons in two-dimensional organic-inorganic hybrid
perovskites.
AB - Two-dimensional Ruddlesden-Popper organic-inorganic hybrid layered perovskites
(2D RPs) are solution-grown semiconductors with prospective applications in next
generation optoelectronics. The heat-carrying, low-energy acoustic phonons, which
are important for heat management of 2D RP-based devices, have remained
unexplored. Here we report on the generation and propagation of coherent
longitudinal acoustic phonons along the cross-plane direction of 2D RPs,
following separate characterizations of below-bandgap refractive indices. Through
experiments on single crystals of systematically varied perovskite layer
thickness, we demonstrate significant reduction in both group velocity and
propagation length of acoustic phonons in 2D RPs as compared to the three
dimensional methylammonium lead iodide counterpart. As borne out by a minimal
coarse-grained model, these vibrational properties arise from a large acoustic
impedance mismatch between the alternating layers of perovskite sheets and bulky
organic cations. Our results inform on thermal transport in highly impedance
mismatched crystal sub-lattices and provide insights towards design of materials
that exhibit highly anisotropic thermal dissipation properties.
PMID- 29789668
TI - Sources of household air pollution and their association with fine particulate
matter in low-income urban homes in India.
AB - INTRODUCTION: Household air pollution (HAP) is poorly characterized in low-income
urban Indian communities. MATERIALS AND METHODS: A questionnaire assessing
sources of HAP and 24 h household concentrations of particulate matter less than
2.5 microns in diameter (PM2.5) were collected in a sample of low-income homes in
Pune, India. RESULTS: In 166 homes, the median 24 h average concentration of
PM2.5 was 167 MUg/m3 (IQR: 106-294). Although kerosene and wood use were highly
prevalent (22% and 25% of homes, respectively), primarily as secondary fuel
sources, high PM2.5 concentrations were also found in 95 (57%) homes reporting
LPG use alone (mean 141 MUg/m3; IQR: 92-209). In adjusted linear regression, log
PM2.5 concentration was positively associated with wood cooking fuel (GMR 1.5,
95% CI: 1.1-2.0), mosquito coils (GMR 1.5, 95% CI: 1.1-2.1), and winter season
(GMR 1.7, 95% CI: 1.4-2.2). Households in the highest quartile of exposure were
positively associated with wood cooking fuel (OR 1.3, 95% CI: 1.1-1.5), incense
(OR 1.1, 95% CI: 1.0-1.3), mosquito coils (OR 1.3, 95% CI: 1.1-1.6), and winter
season (OR 1.2, 95% CI: 1.1-1.4). DISCUSSION: We observed high concentrations of
PM2.5 and identified associated determinants in urban Indian homes.
PMID- 29789670
TI - Noise estimation model development using high-resolution transportation and land
use regression.
AB - Noise pollution is a common phenomenon of the 21st century. Noise prediction
models tend to estimate noise levels mainly from road traffic sources (such as
cars, public transportation etc.). This paper describes the adoption of land use
regression (LUR) modeling methodology to assess noise pollution in two periods of
the day (rush hour and off-peak), in two major cities in Israel (Tel Aviv and
Beer Sheva). For both rush hour and off-peak times, 20 min short term
measurements were used to develop a LUR noise estimation model. We used GIS-based
predictors alongside commonly used traffic predictors. The findings show good
fits for our model, with rush hour "out of sample" ten folds cross-validated R2
of 0.79 (Tel Aviv) and 0.52 (Beer Sheva). The Tel Aviv model performance was also
tested with independent monitoring data in an adjacent city (Bat Yam), presenting
a good performance as well (R2 of 0.93). The findings demonstrate the viability
of using a LUR approach for applying high-resolution spatial data to estimate and
map noise pollution for environmental noise assessment.
PMID- 29789671
TI - Wild and domesticated Moringa oleifera differ in taste, glucosinolate
composition, and antioxidant potential, but not myrosinase activity or protein
content.
AB - Taste drives consumption of foods. The tropical tree Moringa oleifera is grown
worldwide as a protein-rich leafy vegetable and for the medicinal value of its
phytochemicals, in particular its glucosinolates, which can lead to a pronounced
harsh taste. All studies to date have examined only cultivated, domestic
variants, meaning that potentially useful variation in wild type plants has been
overlooked. We examine whether domesticated and wild type M. oleifera differ in
myrosinase or glucosinolate levels, and whether these different levels impact
taste in ways that could affect consumption. We assessed taste and measured
levels of protein, glucosinolate, myrosinase content, and direct antioxidant
activity of the leaves of 36 M. oleifera accessions grown in a common garden.
Taste tests readily highlighted differences between wild type and domesticated M.
oleifera. There were differences in direct antioxidant potential, but not in
myrosinase activity or protein quantity. However, these two populations were
readily separated based solely upon their proportions of the two predominant
glucosinolates (glucomoringin and glucosoonjnain). This study demonstrates
substantial variation in glucosinolate composition within M. oleifera. The
domestication of M. oleifera appears to have involved increases in levels of
glucomoringin and substantial reduction of glucosoonjnain, with marked changes in
taste.
PMID- 29789673
TI - Peatland vegetation composition and phenology drive the seasonal trajectory of
maximum gross primary production.
AB - Gross primary production (GPP) is a key driver of the peatland carbon cycle.
Although many studies have explored the apparent GPP under natural light
conditions, knowledge of the maximum GPP at light-saturation (GPPmax) and its
spatio-temporal variation is limited. This information, however, is crucial since
GPPmax essentially constrains the upper boundary for apparent GPP. Using chamber
measurements combined with an external light source across experimental plots
where vegetation composition was altered through long-term (20-year) nitrogen
addition and artificial warming, we could quantify GPPmax in-situ and disentangle
its biotic and abiotic controls in a boreal peatland. We found large spatial and
temporal variations in the magnitudes of GPPmax which were related to vegetation
species composition and phenology rather than abiotic factors. Specifically, we
identified vegetation phenology as the main driver of the seasonal GPPmax
trajectory. Abiotic anomalies (i.e. in air temperature and water table level),
however, caused species-specific divergence between the trajectories of GPPmax
and plant development. Our study demonstrates that photosynthetically active
biomass constrains the potential peatland photosynthesis while abiotic factors
act as secondary modifiers. This further calls for a better representation of
species-specific vegetation phenology in process-based peatland models to improve
predictions of global change impacts on the peatland carbon cycle.
PMID- 29789672
TI - Comparison of Fast-Track Versus Conventional Surgery Protocol for Patients
Undergoing Robot-Assisted Laparoscopic Radical Prostatectomy: A Chinese
Experience.
AB - Fast-track surgery (FTS), which includes a series of evidence-based adjustments,
is expected to reduce complications, relieve surgical stress reaction, accelerate
recovery, and shorten hospitalization, as well as improve safety. The aim of this
study was to critically evaluate the safety and effectiveness of FTS in Chinese
prostate cancer (Pca) patients who underwent robot-assisted laparoscopic
prostatectomy (RALP). A retrospective analysis was performed on 73 consecutive
Chinese Pca patients who underwent RALP and who were divided into two groups:
conventional surgery (CS) and FTS. Preoperative clinical data, intraoperative
characteristics, postoperative outcomes and incidence of complications were
compared between the two groups. No significant differences in preoperative
parameters were observed between the two groups. Compared with the CS group, the
FTS group showed a significantly shorter time to first flatus, time to regular
diet, postoperative hospitalization time, lower incidence of complications, and
lower reactions of postoperative stress and pain. Our study demonstrates that FTS
is feasible and safe for Chinese Pca patients undergoing RALP and that it
accelerates recovery, attenuates surgical stress response, and reduces morbidity
compared to CS.
PMID- 29789674
TI - Tuning Alginate-Gelatin Bioink Properties by Varying Solvent and Their Impact on
Stem Cell Behavior.
AB - Bioink optimization is considered as one of main challenges in cell-laden 3D
bioprinting. Alginate-Gelatin (Alg-Gel) hydrogel have been extensively used as
bioink. However, its properties could be influenced by various parameters, and
little is known about the evidence featuring the impact of solvent. Here we
investigated four Alg-Gel bioink by varying solvent ionic strength (named B-1, B
2, B-3 and B-4). Mechanical properties and printability of bioink samples and
their impacts on behaviors of encapsulated epidermal stem cells (ESCs) were
tested. Bioink with increased ionic strength of solvent showed decreased
stiffness and viscosity, and increased swelling and degradation by printability
and mechanical property tests. Due to the increased swelling and degradation was
associated with shape-maintenance of post-printing constructs, B-3 and B-4 were
hardly observable after 14 days. Cellular behaviors were assessed through
viability, proliferation, aggregation and differentiation tests. B-2 with optimal
properties resulted in higher viability and proliferation of ESCs, and further
facilitated cellular aggregation and lineage differentiation. We demonstrated
that the solvent can be tuned by ionic strength to control the properties of Alg
Gel bioink and post-printing constructs, which represented a promising avenue for
promotion of therapeutic stem cell behaviors in 3D bioprinting.
PMID- 29789675
TI - Publisher Correction: Structural Implications of Mutations Conferring Rifampin
Resistance in Mycobacterium leprae.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29789676
TI - The angiotensin-I-converting enzyme insertion/deletion in polymorphic element
codes for an AluYa5 RNA that downregulates gene expression.
AB - Angiotensin-I-converting enzyme (ACE) is involved in the synthesis and
degradation of important bioactive peptides. The ACE gene has a 287-bp
insertion/deletion polymorphism that controls ACE expression through a mechanism
that remains elusive. In this study, we found that the 287-bp polymorphic element
of the ACE gene, a member of the AluYa5 sub-family of Alu elements, codes for an
RNA molecule that controls the levels of ACE mRNA. Transient transfection of a
plasmid containing a CMV promoter upstream of the ACE polymorphic element
resulted in significant expression of an AluYa5 RNA and reduced ACE mRNA
expression as well as ACE enzymatic activity in AD 293 cells. The AluYa5 element
also independently reduced the expression of other genes, regardless of whether
these genes harbored Alu elements within their genomic context. Interestingly,
the CMV promoter was not required for the expression of the AluYa5 element in AD
293 cells. The 287-bp sequence was sufficient to produce AluYa5 RNA and led to a
significant reduction in ACE gene expression. Moreover, the removal of an 11-bp
fragment of the 3' end of the ACE polymorphic sequence, which is specific to this
particular AluYa5 element, did not prevent this element from being expressed but
did affect its ability to target ACE expression. Thus, the expression of the
AluYa5 polymorphic element within the ACE gene could explain why patients
carrying the ACE insertion polymorphism have reduced risk of developing several
chronic diseases.
PMID- 29789677
TI - Taking on the intractable.
PMID- 29789678
TI - Dealing with the pain.
PMID- 29789679
TI - Live cables over long distances.
PMID- 29789680
TI - Keystone taxa as drivers of microbiome structure and functioning.
AB - Microorganisms have a pivotal role in the functioning of ecosystems. Recent
studies have shown that microbial communities harbour keystone taxa, which drive
community composition and function irrespective of their abundance. In this
Opinion article, we propose a definition of keystone taxa in microbial ecology
and summarize over 200 microbial keystone taxa that have been identified in soil,
plant and marine ecosystems, as well as in the human microbiome. We explore the
importance of keystone taxa and keystone guilds for microbiome structure and
functioning and discuss the factors that determine their distribution and
activities.
PMID- 29789681
TI - Genomic and ecological study of two distinctive freshwater bacteriophages
infecting a Comamonadaceae bacterium.
AB - Bacteriophages of freshwater environments have not been well studied despite
their numerical dominance and ecological importance. Currently, very few phages
have been isolated for many abundant freshwater bacterial groups, especially for
the family Comamonadaceae that is found ubiquitously in freshwater habitats. In
this study, we report two novel phages, P26059A and P26059B, that were isolated
from Lake Soyang in South Korea, and lytically infected bacterial strain
IMCC26059, a member of the family Comamonadaceae. Morphological observations
revealed that phages P26059A and P26059B belonged to the family Siphoviridae and
Podoviridae, respectively. Of 12 bacterial strains tested, the two phages
infected strain IMCC26059 only, showing a very narrow host range. The genomes of
the two phages were different in length and highly distinct from each other with
little sequence similarity. A comparison of the phage genome sequences and
freshwater viral metagenomes showed that the phage populations represented by
P26059A and P26059B exist in the environment with different distribution
patterns. Presence of the phages in Lake Soyang and Lake Michigan also indicated
a consistent lytic infection of the Comamonadaceae bacterium, which might control
the population size of this bacterial group. Taken together, although the two
phages shared a host strain, they showed completely distinctive characteristics
from each other in morphological, genomic, and ecological analyses. Considering
the abundance of the family Comamonadaceae in freshwater habitats and the rarity
of phage isolates infecting this family, the two phages and their genomes in this
study would be valuable resources for freshwater virus research.
PMID- 29789682
TI - The role of diet in the aetiopathogenesis of inflammatory bowel disease.
AB - Crohn's disease and ulcerative colitis, collectively known as IBD, are chronic
inflammatory disorders of the gastrointestinal tract. Although the
aetiopathogenesis of IBD is largely unknown, it is widely thought that diet has a
crucial role in the development and progression of IBD. Indeed, epidemiological
and genetic association studies have identified a number of promising dietary and
genetic risk factors for IBD. These preliminary studies have led to major
interest in investigating the complex interaction between diet, host genetics,
the gut microbiota and immune function in the pathogenesis of IBD. In this
Review, we discuss the recent epidemiological, gene-environment interaction,
microbiome and animal studies that have explored the relationship between diet
and the risk of IBD. In addition, we highlight the limitations of these prior
studies, in part by explaining their contradictory findings, and review future
directions.
PMID- 29789683
TI - BMP-2 restoration aids in recovery from liver fibrosis by attenuating TGF-beta1
signaling.
AB - Transforming growth factor-beta (TGF-beta) plays a central role in hepatic
fibrogenesis. This study investigated the function and mechanism of bone
morphogenetic protein-2 (BMP-2) in regulation of hepatic fibrogenesis. BMP-2
expression in fibrotic liver was measured in human tissue microarray and mouse
models of liver fibrosis induced by bile duct ligation surgery or carbon
tetrachloride administration. Adenovirus-mediated BMP-2 gene delivery was used to
test the prophylactic effect on liver fibrosis. Primary hepatic stellate cells
(HSC), HSC-T6 and clone-9 cell lines were used to study the interplay between BMP
2 and TGF-beta1. Hepatic BMP-2 was localized in parenchymal hepatocytes and
activated HSCs and significantly decreased in human and mouse fibrotic livers,
showing an opposite pattern of hepatic TGF-beta1 contents. BMP-2 gene delivery
alleviated the elevations of serum hepatic enzymes, cholangiocyte marker CK19,
HSC activation markers, and liver fibrosis in both models. Mechanistically,
exogenous TGF-beta1 dose dependently reduced BMP-2 expression, whereas BMP-2
significantly suppressed expression of TGF-beta and its cognate type I and II
receptor peptides, as well as the induced Smad3 phosphorylation levels in primary
mouse HSCs. Aside from its suppressive effects on cell proliferation and
migration, BMP-2 treatment prominently attenuated the TGF-beta1-stimulated alpha
SMA and fibronectin expression, and reversed the TGF-beta1-modulated epithelial
to-mesenchymal transition marker expression in mouse HSCs. The mutual regulation
between BMP-2 and TGF-beta1 signaling axes may constitute the anti-fibrogenic
mechanism of BMP-2 in the pathogenesis of liver fibrosis. BMP-2 may potentially
serve as a novel therapeutic target for treatment of liver fibrosis.
PMID- 29789684
TI - Dipeptidyl peptidase IV (DPP-4) inhibition alleviates pulmonary arterial
remodeling in experimental pulmonary hypertension.
AB - Dipeptidyl peptidase IV (DPP-4) is well known for its role in glucose
homeostasis, and DPP-4 inhibitor (DPP-4i) exhibits multiple actions in
cardiovascular diseases. However, the effect of DPP-4i on pulmonary hypertension
(PH) remains unclear. Therefore, this study aims to investigate the effect of DPP
4i on pulmonary arterial remodeling in rats with PH and the potential underlying
mechanisms. Our results show that DPP-4 was expressed in epithelial cells,
endothelial cells, smooth muscle cells, and inflammatory cells in lung. DPP-4i
(Sitagliptin) attenuated right ventricular systolic pressure (RVSP), right
ventricle remodeling, hypertrophy of pulmonary arterial medial layer,
inflammatory cell infiltration, and endothelial-mesenchymal transition (EndMT) in
monocrotaline (MCT)-induced PH rats. Similarly, DPP-4i also alleviated bleomycin-
and chronic hypoxia-induced PH in rats. In cultured human pulmonary arterial
smooth muscle cells (PASMCs), DPP-4i inhibited platelet derived growth factor
(PDGF)-BB-induced proliferation and migration, which was abolished by phosphatase
and tensin homolog deleted on chromosome ten (PTEN) knockout. These results
demonstrate that DPP-4 inhibition alleviates pulmonary arterial remodeling in
experimental PH by inhibiting proliferation and migration of PASMCs.
PMID- 29789685
TI - S100A4 protects mice from high-fat diet-induced obesity and inflammation.
AB - As a member from S100 calcium-binding protein family, S100A4 is ubiquitous and
elevated in tumor progression and metastasis, but its role in regulating obesity
has not been well characterized. In this study, we showed that S100A4 was mainly
expressed by stromal cells in adipose tissue and the S100A4 level in adipose
tissue was decreased after high-fat diet (HFD). S100A4 deficient mice exhibited
aggravated symptoms of obesity and suppressed insulin signaling after 12 weeks of
HFD. Aggravated obesity in S100A4 deficient mice were found to be positively
correlated with higher inflammatory status of the liver. Then, we found that
extracellular S100A4 or overexpressed S100A4 inhibited adipogenesis and decreased
mRNA levels of inflammation gene in 3T3-L1 adipocytes in vitro; whereas small
interfering RNA (siRNA)-mediated suppression of S100A4 displayed the opposite
results. Additionally, the protective effect induced by S100A4 during HFD-induced
obesity was tightly related with activation of Akt signaling in adipose tissues,
as well as livers and muscles. Taken together, we demonstrate that S100A4 is an
inhibitory factor for obesity and attenuates the inflammatory reaction, while
activating the Akt signaling, which suggest that S100A4 is a potential candidate
for the treatment of diet-induced obesity and its complications.
PMID- 29789686
TI - The personal and clinical utility of polygenic risk scores.
AB - Initial expectations for genome-wide association studies were high, as such
studies promised to rapidly transform personalized medicine with individualized
disease risk predictions, prevention strategies and treatments. Early findings,
however, revealed a more complex genetic architecture than was anticipated for
most common diseases - complexity that seemed to limit the immediate utility of
these findings. As a result, the practice of utilizing the DNA of an individual
to predict disease has been judged to provide little to no useful information.
Nevertheless, recent efforts have begun to demonstrate the utility of polygenic
risk profiling to identify groups of individuals who could benefit from the
knowledge of their probabilistic susceptibility to disease. In this context, we
review the evidence supporting the personal and clinical utility of polygenic
risk profiling.
PMID- 29789687
TI - First-line genomic diagnosis of mitochondrial disorders.
PMID- 29789688
TI - Rapid Authentication of Ginkgo biloba Herbal Products Using the Recombinase
Polymerase Amplification Assay.
AB - Species adulteration in herbal products (HPs) exposes consumers to health risks.
Chemical and morphological methods have their own deficiencies when dealing with
the detection of species containing the same active compounds in HPs. In this
study, we developed a rapid identification method using the recombinase
polymerase amplification (RPA) assay to detect two species, Ginkgo biloba and
Sophora japonica (as adulteration), in Ginkgo biloba HPs. Among 36 Ginkgo biloba
HP samples, 34 were found to have Ginkgo biloba sequences, and 9 were found to
have Sophora japonica sequences. During the authentication process, the RPA-LFS
assay showed a higher specificity, sensitivity and efficiency than PCR-based
methods. We initially applied the RPA-LSF technique to detect plant species in
HPs, demonstrating that this assay can be developed into an efficient tool for
the rapid on-site authentication of plant species in Ginkgo biloba HPs.
PMID- 29789689
TI - Postmenopausal osteoporosis is associated with elevated aldosterone/renin ratio.
AB - Plasma aldosterone/renin ratio (ARR) is a useful method for primary aldosteronism
(PA) screening. However some confounders, such as medications and dietary, affect
plasma renin and aldosterone levels, resulting in false-negative or -positive
plasma ARR. This study investigated the association between postmenopausal
osteoporosis (PMO) and plasma ARR. Bone mineral density (BMD) was measured by
dual-energy X-ray-absorptiometry (DXA) in 324 normotensive postmenopausal women.
Based on clinical characteristics and BMD, 186 and 96 subjects were diagnosed as
PMO and osteopenia respectively, and the remaining 42 subjects were grouped as
normal BMD. Plasma aldosterone concentration (PAC), plasma renin concentration
(PRC), parathyroid hormone (PTH), bone alkaline phosphatase (BALP) and 25
Hydroxyvitamin D(25-(OH)D) were determined. Subjects with PMO showed
significantly higher levels of PAC (121.0 +/- 78.8 vs. 81.8 +/- 71.5 pg/ml, p <
0.01 and 121.0 +/- 78.8 vs. 91.7 +/- 56.2 pg/ml, p < 0.01) and ARR (32.0 +/- 53.6
vs. 9.0 +/- 9.3 pg/MUU, p < 0.01 and 32.0 +/- 53.6 vs. 16.3 +/- 32.1 pg/MUU, p <
0.01) compared to women with normal BMD and osteopenia, respectively. Using ARR
>= 37.0 pg/MUU as the cutoff for positive screening, more false-positive was
found in the PMO group when compared to the normal BMD group (24 vs. 2%) and
osteopenia group (24 vs. 7%), respectively. PAC was negatively associated with
lumbar spine BMD T-score (r = -0.239, p < 0.001), femur neck BMD T-score (r =
0.234, p < 0.001) and total hip BMD T-score (r = -0.228, p < 0.001). PTH was
positively associated with PAC (r = 0.119, p < 0.05) and ARR (r = 0.136, p <
0.05). PAC and ARR are elevated in women with PMO, which might increase the risk
of false-positive for case detection of PA.
PMID- 29789690
TI - Health-promoting behaviors and quality of life in older adults with hypertension
as compared to a community control group.
AB - Hypertension (HTN) related to health-promoting behaviors (HPB) and quality of
life (QOL) in older Chinese has not been clearly identified. We sought to compare
the HPB and QOL of elderly adults (aged >=60) living with HTN in China to a
community normotensive control group. Using multistage stratified cluster
sampling, a sample of 543 elderly people with HTN and 550 with normotension were
randomly selected and asked to complete questionnaires. The Chinese version of
the Health Promoting Lifestyle Profile (HPLP-IICR) and The World Health
Organization Quality of life-BREF instrument (WHOQOL-BREF) were used to evaluate
the HPB and QOL of elderly adults. As compared to the normotensive elderly, the
hypertensive elderly showed significantly lower scores in their spiritual growth
and health management (both P < 0.05), but not in the other three domains. Also,
the participants with HTN showed significantly lower scores in the QOL overall
and physical health (both P < 0.05), but not in other factors, as compared to the
participants without HTN. Each HPLP-IICR domain score among the elderly with or
without HTN were significantly correlated with their QOL scores (all P < 0.01).
It is suggested that more attention should be paid to improving the spiritual
growth and health management of HBP, and thus the overall quality of life among
hypertensive patients.
PMID- 29789691
TI - Performance of NoSAS score versus Berlin questionnaire for screening obstructive
sleep apnoea in patients with resistant hypertension.
AB - Obstructive sleep apnoea (OSA) is the main secondary form associated with
resistant hypertension (RH), but it is largely underdiagnosed and consequently
undertreated in clinical practice. The Berlin questionnaire (BQ) is a useful tool
among general population, but seems to not perform well among patients with RH.
Recently, NoSAS score was validated in a large population, however, has not been
tested in the cardiovascular scenario. Thus, we aimed to compare BQ versus the
NoSAS score as screening tools for OSA in RH. In the present study, patients with
confirmed diagnosis of RH were invited to perform polysomnography. OSA was
diagnosed by an apnoea-hypopnoea index (AHI) >=15 events/h. BQ and NoSAS were
applied in a blinded way. We calculated the sensitivity, specificity, positive
predictive value (PPV), negative predictive value (NPV) and area under the curve
(AUC) of the two sleep questionnaires to detect OSA in RH. The frequency of OSA
was 64%. The BQ presented a better sensitivity (91 vs. 72%) and higher values of
NPV (67 vs. 54%) than NoSAS score. In contrast, the NoSAS score had higher
specificity for excluding OSA (58 vs. 33%) and higher PPV (75 vs. 70%). Compared
to the BQ, NoSAS score had a better AUC (0.55 vs. 0.64) but these values are in
the fail to poor accuracy range. In conclusion, both BQ and NoSAS score had low
accuracy for detecting OSA in RH. Considering the high frequency of OSA,
objective sleep study may be considered in these patients.
PMID- 29789694
TI - Watch and learn.
PMID- 29789693
TI - Keeping track of time.
PMID- 29789695
TI - Getting in on the action (potential).
PMID- 29789692
TI - Pulse pressure amplification and cardiac autonomic dysfunction in patients with
type 2 diabetes mellitus.
AB - The main aim of this cross-sectional study was to investigate the association
between pulse pressure amplification (PPA) and cardiac autonomic activity
(baroreflex sensitivity (BRS) and heart rate variability (HRV)) in patients with
type 2 diabetes mellitus (T2DM). In addition, we examined the association between
cardiac autonomic activity and central hemodynamic parameters that may affect PPA
such as augmentation index (AIx), aortic stiffness (pulse wave velocity (PWV)),
and common carotid artery stiffness distensibility coefficient (DC). A total of
142 patients with T2DM were included in the study. In multivariate linear
regression analysis-after controlling for age, diabetes duration, height, waist
circumference, aortic PWV, use of beta-blockers, and BRS-PPA was associated
significantly and independently with male gender (standardized regression
coefficient (beta) = 0.156, p = 0.007), aortic systolic blood pressure (beta =
0.221, p < 0.001), heart rate (beta = 0.521, p < 0.001), AIotax (beta = -0.443, p
< 0.001), and parameters of HRV, such as total power of HRV (beta = -0.157, p =
0.005). No significant associations were found between BRS or parameters of HRV
with aortic PWV, AIx, or DC. In patients with T2DM, cardiac autonomic dysfunction
was associated with enhanced PPA. This association was independent from the well
described effect of resting heart rate, as well as from traditional
cardiovascular risk factors or diabetes-related factors. Moreover, it was not
mediated by effects of the autonomic dysfunction on arterial stiffness or on
pressure wave reflections. These findings suggest that cardiac autonomic
dysfunction affects PPA by mechanisms other than resting tachycardia and arterial
properties.
PMID- 29789697
TI - Setting the pace.
PMID- 29789696
TI - Lifting spirits.
PMID- 29789698
TI - Secondary findings in exome slices, virtual panels, and anticipatory sequencing.
PMID- 29789699
TI - Genomics reveals distinct gastric cancer subtypes.
PMID- 29789700
TI - Novel molecular classifications of DLBCL.
PMID- 29789701
TI - Neoadjuvant FOLFIRINOX improves outcomes.
PMID- 29789703
TI - Renal IL-17 activity in candidiasis.
PMID- 29789704
TI - Single-cell RNA sequencing for the study of development, physiology and disease.
AB - An ongoing technological revolution is continually improving our ability to carry
out very high-resolution studies of gene expression patterns. Current technology
enables the global gene expression profiles of single cells to be defined,
facilitating dissection of heterogeneity in cell populations that was previously
hidden. In contrast to gene expression studies that use bulk RNA samples and
provide only a virtual average of the diverse constituent cells, single-cell
studies enable the molecular distinction of all cell types within a complex
population mix, such as a tumour or developing organ. For instance, single-cell
gene expression profiling has contributed to improved understanding of how
histologically identical, adjacent cells make different differentiation decisions
during development. Beyond development, single-cell gene expression studies have
enabled the characteristics of previously known cell types to be more fully
defined and facilitated the identification of novel categories of cells,
contributing to improvements in our understanding of both normal and disease
related physiological processes and leading to the identification of new
treatment approaches. Although limitations remain to be overcome, technology for
the analysis of single-cell gene expression patterns is improving rapidly and
beginning to provide a detailed atlas of the gene expression patterns of all cell
types in the human body.
PMID- 29789705
TI - The short-term effect of ultrasound and peripheral nerve stimulator-guided
femoral nerve block with phenol on the outcomes of patients with traumatic spinal
cord injury.
AB - STUDY DESIGN: A pre-post descriptive study. OBJECTIVES: To examine the immediate
effects of ultrasound-guided femoral nerve block with phenol (UGFNBwP) in
managing the lower limb spasticity of individuals with traumatic spinal cord
injury (SCI). SETTING: Ankara, Turkey. METHODS: Nineteen patients with traumatic
SCI presenting with lower extremity spasticity were treated with UGFNBwP.
Modified Ashworth Scale of hip flexion and knee extension, functional
independence measure motor subscale, difficulty of catheterization, hygiene
score, spasm frequency, sleep quality, and patient satisfaction (PS) were
measured in all patients prior to treatment, in the first week and second month.
RESULTS: There was a statistically significant decrease in the Modified Ashworth
Scale scores in the first week and second month compared to baseline (p < 0.017).
Significant improvements were detected in functional independence measure motor
subscale, DoC, HS, SF and PS at follow-up examinations compared to baseline (p <
0.017). No statistically significant difference in the SQ score was found.
Patients reported no complications during the intervention and follow-up period.
CONCLUSIONS: Ultrasound-guided femoral nerve block with phenol is an option worth
considering to reduce spasticity and improve function in people with SCI.
PMID- 29789702
TI - Parallel descending dopaminergic connectivity of A13 cells to the brainstem
locomotor centers.
AB - The mesencephalic locomotor region (MLR) is an important integrative area for the
initiation and modulation of locomotion. Recently it has been realized that
dopamine (DA) projections from the substantia nigra pars compacta project to the
MLR. Here we explore DA projections from an area of the medial zona incerta (ZI)
known for its role in motor control onto the MLR. We provide evidence that
dopaminergic (DAergic) A13 neurons have connectivity to the cuneiform nucleus
(CnF) and pedunculopontine tegmental nucleus (PPTg) of the MLR. No ascending
connectivity to the dorsolateral striatum was observed. On the other hand,
DAergic A13 projections to the medullary reticular formation (MRF) and the lumbar
spinal cord were sparse. A small number of non-DAergic neurons within the medial
ZI projected to the lumbar spinal cord. We then characterized the DA A13 cells
and report that these cells differ from canonical DA neurons since they lack the
Dopamine Transporter (DAT). The lack of DAT expression, and possibly the lack of
a dopamine reuptake mechanism, points to a longer time of action compared to
typical dopamine neurons. Collectively our data suggest a parallel descending
DAergic pathway from the A13 neurons of the medial ZI to the MLR, which we expect
is important for modulating movement.
PMID- 29789706
TI - Short-segment transverse myelitis lesions in a cohort of Latin American patients
with neuromyelitis optica spectrum disorders.
AB - STUDY DESIGN: Multicenter retrospective study. OBJECTIVES: The aim was to
determine the frequency and magnetic resonance imaging (MRI) features of short
segment transverse myelitis (STM) in patients with neuromyelitis optica spectrum
disorders (NMOSD) during a myelitis attack. SETTING: Latin American diagnostic
centres (Neuroimmunology Unit). A multicenter study from Argentina, Brazil and
Venezuela was performed. METHODS: Seventy-six patients with NMOSD were included.
We analyzed 346 attacks and reviewed spinal cord MRIs performed within 30 days
from spinal attack onset. Sagittal and axial characteristics on cervical and
thoracic MRI (1.5 tesla) were observed. Demographics, clinical, serological, and
disability data were collected. RESULTS: Among the 76 patients with NMOSD,
isolated STM was observed in 8% (n = 6), multisegmental lesions (longitudinally
extensive transverse myelitis (LETM) + STM) in 28% (n = 21; 13 had at least one
STM), LETM in 42% (n = 32), and normal spinal MRI in 22% (n = 17). However,
isolated STM was increased by 10% in patients with NMOSD with spinal lesions (6
out of 59) with mean attacks of 2.5 (+/-0.83) and last follow-up expanded
disability status scale (EDSS) of 3.1 (+/-2.63). Positive aquaporin 4 antibodies
(AQP4-ab) were found in 50%. Upper-cervical lesion was most frequently observed
(5 out of 6). Myelitis was preceded by ON in all isolated patients with STM. Only
one had a positive gadolinium lesion and none of these had asymptomatic spinal
cord lesion. CONCLUSION: Isolated STM does not exclude NMOSD diagnosis.
Therefore, APQ4-ab testing could be useful during a myelitis attack with STM.
PMID- 29789707
TI - The natural course of passive tenodesis grip in individuals with spinal cord
injury with preserved wrist extension power but paralyzed fingers and thumbs.
AB - STUDY DESIGN: Cross-sectional. OBJECTIVES: To investigate the natural course of
passive tenodesis grip in individuals with spinal cord injury (SCI) with no
experience of tenodesis splint application and the related factors for success of
the grip. SETTING: Community-dwelling persons with chronic SCI in South Korea.
METHODS: Individuals with cervical SCI with preserved wrist extensor power, but
completely paralyzed fingers and thumbs, were recruited. For each hand, success
or failure of passive tenodesis lateral grip was assessed both in the opening and
closing phase. The key task in the Graded and Redefined Assessment of Strength,
Sensibility, and Prehension (GRASSP) test was also assessed. RESULTS: Fifty-eight
hands of 37 individuals with SCI were analyzed. In 35 of the 58 hands, both
opening and closing phases of the grip were achieved. During the closing phase of
the passive tenodesis lateral grip, the mean (s.d.) value of the second MP joint
flexion angle ( degrees ) in the success group was 55.1 (13.6), compared to 38.6
(17.8) in the failure group. The key task in GRASSP was completed in only 14 out
of 58 hands. Hands with a wrist extensor power of grade 4 or 5 on a manual muscle
test showed higher GRASSP scores than those with a grade 3 wrist extensor power.
CONCLUSIONS: The passive tenodesis lateral grip can be achieved in a large number
of hands without splint application after SCI, but its use in the key task of the
GRASSP is limited.
PMID- 29789709
TI - Consumption of green tea but not coffee is associated with the oral health
related quality of life among an older Japanese population: Kyoto-Kameoka cross
sectional study.
AB - BACKGROUND/OBJECTIVES: The consumption of both green tea and coffee is known to
induce positive health effects; however, it remains unclear whether there is an
association between the consumption of these beverages and oral health-related
quality of life (OHRQoL). Thus, the present study investigated the relationship
between the consumption of green tea and coffee and OHRQoL. SUBJECTS/METHODS: We
analyzed cross-sectional baseline data in 2012. The subjects were 7514 Japanese
participants (3563 men, 3951 women; >=65 years of age). Each subject completed a
validated self-administered questionnaire that included items on the frequency of
the consumption of green tea and coffee. OHRQoL was evaluated using the self
reported General Oral Health Assessment Index (GOHAI), which assesses oral health
problems in older adults. A GOHAI score <50 points was defined as a poor OHRQoL.
RESULTS: Following adjustment for age, body mass index, total energy intake,
alcohol, smoking, medication use, coffee, and fruit and vegetable consumption,
increased consumption of green tea showed a strong positive association with the
GOHAI score in both men and women (Ptrend < 0.001 in both). In contrast, after
adjusting for all factors, no statistically significant association was observed
between coffee consumption and the GOHAI score in men (Ptrend = 0.538) or women
(Ptrend = 0.607). The respective multivariate-odds ratios (95% confidence
intervals) for a poor OHRQoL associated with green tea consumption frequencies of
none, <1 cup/day, 1-2 cups/day, and >=3 cups/day were 1.00, 1.01 (0.80-1.27),
0.95 (0.74-1.21), and 0.78 (0.61-0.99) (Ptrend = 0.024) in men, and 1.00, 1.19
(0.90-1.57), 0.98 (0.74-1.29), and 0.86 (0.67-1.12) (Ptrend = 0.014) in women.
CONCLUSIONS: Regardless of sex, green tea consumption was positively associated
with the GOHAI score. Therefore, >=3 cups/day of green tea may reduce the risk of
a poor OHRQoL, especially in men.
PMID- 29789708
TI - Combined genotyping, microbial diversity and metabolite profiling studies on
farmed Mytilus spp. from Kiel Fjord.
AB - The blue mussel Mytilus is a popular food source with high economical value.
Species of the M. edulis complex (M. edulis, M. galloprovincialis and M.
trossulus) hybridise whenever their geographic ranges overlap posing difficulties
to species discrimination, which is important for blue mussel aquaculture. The
aim of this study was to determine the genetic structure of farmed blue mussels
in Kiel Fjord. Microbial and metabolic profile patterns were studied to
investigate a possible dependency on the genotype of the bivalves. Genotyping
confirmed the complex genetic structure of the Baltic Sea hybrid zone and
revealed an unexpected dominance of M. trossulus alleles being in contrast to the
predominance of M. edulis alleles described for wild Baltic blue mussels. Culture
dependent and -independent microbial community analyses indicated the presence of
a diverse Mytilus-associated microbiota, while an LC-MS/MS-based metabolome study
identified 76 major compounds dominated by pigments, alkaloids and polyketides in
the whole tissue extracts. Analysis of mussel microbiota and metabolome did not
indicate genotypic dependence, but demonstrated high intraspecific variability of
farmed mussel individuals. We hypothesise that individual differences in
microbial and metabolite patterns may be caused by high individual plasticity and
might be enhanced by e.g. nutritional condition, age and gender.
PMID- 29789710
TI - Is misreporting of dietary intake by weighed food records or 24-hour recalls food
specific?
AB - BACKGROUND/OBJECTIVES: Healthy eating advice is informed, in part, by dietary
surveys that rely on self-reported data. Misreporting of food intake may distort
relationships between diet and health outcomes. This study directly quantified
the food groups that were under-reported or over-reported in common dietary
assessment techniques. SUBJECTS/METHODS: Food and drink consumption of 59 adults,
with ad libitum access to a range of familiar foods, was objectively and covertly
measured by investigators, and validated against independent measures of energy
balance, while participants were resident in the Human Nutrition Unit of the
Rowett Institute. Participants self-reported their diets using weighed dietary
records (WDR) and multiple-pass 24-hr recalls over two periods of 3 days using a
cross-over design. Foods and drinks were aggregated into 41 food groups. RESULTS:
The mean daily weight of food and drinks reported was significantly lower than
actually consumed; 3.3 kg (p = 0.004, 95% confidence interval (CI) = 3.07-3.55
kg) and 3.0 kg (p < 0.001, CI = 2.80-3.15 kg) for the WDR and 24-hr recall
respectively, compared with 3.6 kg for the objective measure. Reported intakes
were significantly lower than the objective measure for four and eight food
groups (WDR and 24 h recall, respectively), and not significantly different for
the remaining food groups. CONCLUSIONS: Although under-reporting was greater for
some food groups than for others, 'healthy' foods were not over-reported and
'unhealthy' foods were not consistently under-reported. A better understanding of
which foods tend to be misreported could lead to improvements in the methods of
self-reported dietary intakes.
PMID- 29789711
TI - Physical activity but not sedentary time is associated with vitamin D status in
adolescents: study of cardiovascular risk in adolescents (ERICA).
AB - BACKGROUND/OBJECTIVES: The association between active lifestyle components and
vitamin D status in adolescents remains relatively unexplored. We aimed to
investigate independent and joint associations of moderate-to-vigorous physical
activity (MVPA) and screen time with serum 25-hydroxyvitamin D [25(OH)D]
concentrations in adolescents. METHODS: This multicenter cross-sectional study
involved 1152 Brazilian adolescents (age 12-17 years). Serum 25(OH)D was measured
in a single laboratory and categorized as <=20, 21-29, or >=30 ng/mL. Demographic
and lifestyle characteristics were assessed by self-reports. Ordered logistic
regression was used to investigate potential associations of being physically
active (MVPA >= 300 min/week) and excessive screen time (>2 h/day) with serum
25(OH)D concentrations. RESULTS: The prevalence of higher serum 25(OH)D
concentrations (>=30 ng/mL) was 36.4%. In adjusted models, being physically
active was associated with higher serum 25(OH)D concentrations only in boys
[proportional odds ratio (POR) = 2.04, 95% CI 1.42-2.93], while excessive screen
time was not associated with serum 25(OH)D. Adolescents who were physically
active and limited their screen time had higher odds of a higher serum 25(OH)D
concentration, but the association was significant only for boys (POR = 2.11, 95%
CI 1.19-3.74). CONCLUSIONS: MVPA may play an important role in increasing serum
25(OH)D concentrations in adolescence, especially for boys, regardless of screen
time.
PMID- 29789712
TI - Distribution and determinants of retinol in Norwegian adolescents, and its
relation to bone mineral density: the Tromso Study: Fit Futures.
AB - BACKGROUND/OBJECTIVES: Sufficient vitamin A levels are important for many
functions-and both too little and too much may have detrimental health effects.
The aim of the study was to describe the distribution of retinol levels in
Norwegian adolescents, the relation between lifestyle factors and retinol levels,
and the relation between retinol levels and bone mineral density (BMD).
SUBJECTS/METHODS: Serum retinol was measured in 414 girls and 474 boys aged 15-19
years, participating in the Tromso Study: Fit Futures. Questionnaires regarding
health and lifestyle factors were filled in, and physical examinations, body
composition, and bone mineral density measurements (DEXA) performed. Multiple
regression analyses were used to discover associations between retinol and
exposure variables. RESULTS: Retinol levels ranged from 0.26 to 6.46 MUmol/L with
a median (2.5-97.5 percentile) of 2.35 (1.01-4.67) MUmol/L. There was no gender
difference. In the multivariate models, fat mass, albumin level, physical
activity, and lunch habits were positively associated with retinol levels in
boys. In girls, fat mass and height were negatively associated with retinol
levels, and lean mass, vitamin D, calcium, total cholesterol, and the use of
contraceptives were positively associated with retinol levels (p < 0.05). The
models explained 18.3% and 14.6% of the variation (R2) in girls and boys,
respectively. Retinol levels were not independently associated with BMD.
CONCLUSION: Retinol levels in Norwegian adolescents are higher than reported
elsewhere, and are to a low degree explained by lifestyle and physical
measurements. No independent association with BMD was found.
PMID- 29789713
TI - Upregulation of the long noncoding RNA FOXD2-AS1 promotes carcinogenesis by
epigenetically silencing EphB3 through EZH2 and LSD1, and predicts poor prognosis
in gastric cancer.
AB - Accumulating data indicate that long noncoding RNAs (lncRNAs) serve as important
modulators in biological processes and are dysregulated in diverse tumors. The
function of FOXD2-AS1 in gastric cancer (GC) progression and related biological
mechanisms remain undefined. A comprehensive analysis identified that FOXD2-AS1
enrichment was upregulated markedly in GC and positively correlated with a large
tumor size, a later pathologic stage, and a poor prognosis. Gene-set enrichment
analysis (GSEA) in GEO datasets uncovered that cell cycle and DNA replication
associated genes were enriched in patients with high FOXD2-AS1 expression. Loss
of FOXD2-AS1 function inhibited cell growth via inhibiting the cell cycle in GC,
whereas upregulation of FOXD2-AS1 expression promoted cancer progression. The
enhancer of zeste homolog 2 (EZH2) and lysine (K)-specific demethylase 1A (LSD1)
proteins were found to serve as binding partners of FOXD2-AS1 and mediators of
FOXD2-AS1 function. Mechanically, FOXD2-AS1 promoted GC tumorigenesis partly
through EZH2 and LSD1 mediated EphB3 downregulation. The present results revealed
that FOXD2-AS1 acted as a tumor inducer in GC partly through EphB3 inhibition by
direct interaction with EZH2 and LSD1, and may prove to be a potential biomarker
of carcinogenesis.
PMID- 29789714
TI - Estrogen receptor beta promotes renal cell carcinoma progression via regulating
LncRNA HOTAIR-miR-138/200c/204/217 associated CeRNA network.
AB - Recent studies indicated that the estrogen receptor beta (ERbeta) could affect
the progression of prostate and bladder tumors, however, its roles in the renal
cell carcinoma (RCC), remain to be elucidated. Here, we provide clinical evidence
that ERbeta expression is correlated in a negative manner with the overall
survival/disease-free survival in RCC patients. Mechanism dissection revealed
that targeting ERbeta with ERbeta-shRNA and stimulating the transactivation of
ERbeta with 17beta-estradiol or environmental endocrine disrupting chemicals, all
resulted in altering the lncRNA HOTAIR expression. The ERbeta-modulated HOTAIR is
able to function via antagonizing several microRNAs, including miR-138, miR-200c,
miR-204, or miR-217 to impact various oncogenes, including ADAM9, CCND2, EZH2,
VEGFA, VIM, ZEB1, and ZEB2, to promote RCC proliferation and invasion. Together,
the identification of the ERbeta-HOTAIR axis may provide us new biomarkers and/or
therapeutic targets to better suppress RCC progression in the future.
PMID- 29789715
TI - Shared and independent functions of aPKClambda and Par3 in skin tumorigenesis.
AB - The polarity proteins Par3 and aPKC are key regulators of processes altered in
cancer. Par3/aPKC are thought to dynamically interact with Par6 but increasing
evidence suggests that aPKC and Par3 also exert complex-independent functions.
Whereas aPKClambda serves as tumor promotor, Par3 can either promote or suppress
tumorigenesis. Here we asked whether and how Par3 and aPKClambda genetically
interact to control two-stage skin carcinogenesis. Epidermal loss of Par3,
aPKClambda, or both, strongly reduced tumor multiplicity and increased latency
but inhibited invasion to similar extents, indicating that Par3 and aPKClambda
function as a complex to promote tumorigenesis. Molecularly, Par3/aPKClambda
cooperate to promote Akt, ERK and NF-kappaB signaling during tumor initiation to
sustain growth, whereas aPKClambda dominates in promoting survival. In the
inflammatory tumorigenesis phase Par3/aPKClambda cooperate to drive Stat3
activation and hyperproliferation. Unexpectedly, the reduced inflammatory
signaling did not alter carcinogen-induced immune cell numbers but reduced IL-4
Receptor-positive stromal macrophage numbers in all mutant mice, suggesting that
epidermal aPKClambda and Par3 promote a tumor-permissive environment.
Importantly, aPKClambda also serves a distinct, carcinogen-independent role in
controlling skin immune cell homeostasis. Collectively, our data demonstrates
that Par3 and aPKClambda cooperate to promote skin tumor initiation and
progression, likely through sustaining growth, survival, and inflammatory
signaling.
PMID- 29789716
TI - xCT (SLC7A11)-mediated metabolic reprogramming promotes non-small cell lung
cancer progression.
AB - Many tumors increase uptake and dependence on glucose, cystine or glutamine.
These basic observations on cancer cell metabolism have opened multiple new
diagnostic and therapeutic avenues in cancer research. Recent studies
demonstrated that smoking could induce the expression of xCT (SLC7A11) in oral
cancer cells, suggesting that overexpression of xCT may support lung tumor
progression. We hypothesized that overexpression of xCT occurs in lung cancer
cells to satisfy the metabolic requirements for growth and survival. Our results
demonstrated that 1) xCT was highly expressed at the cytoplasmic membrane in non
small cell lung cancer (NSCLC), 2) the expression of xCT was correlated with
advanced stage and predicted a worse 5-year survival, 3) targeting xCT transport
activity in xCT overexpressing NSCLC cells with sulfasalazine decreased cell
proliferation and invasion in vitro and in vivo and 4) increased dependence on
glutamine was observed in xCT overexpressed normal airway epithelial cells. These
results suggested that xCT regulate metabolic requirements during lung cancer
progression and be a potential therapeutic target in NSCLC.
PMID- 29789717
TI - Multicellular detachment generates metastatic spheroids during intra-abdominal
dissemination in epithelial ovarian cancer.
AB - Ovarian cancer is the most lethal gynecological cancer, where survival rates have
had modest improvement over the last 30 years. Metastasis of cancer cells is a
major clinical problem, and patient mortality occurs when ovarian cancer cells
spread beyond the confinement of ovaries. Disseminated ovarian cancer cells
typically spread within the abdomen, where ascites accumulation aids in their
transit. Metastatic ascites contain multicellular spheroids, which promote chemo
resistance and recurrence. However, little is known about the origin and
mechanisms through which spheroids arise. Using live-imaging of 3D culture models
and animal models, we report that epithelial ovarian cancer (EOC) cells, the most
common type of ovarian cancer, can spontaneously detach as either single cells or
clusters. We report that clusters are more resistant to anoikis and have a potent
survival advantage over single cells. Using in vivo lineage tracing, we found
that multicellular spheroids arise preferentially from collective detachment,
rather than aggregation in the abdomen. Finally, we report that multicellular
spheroids from collective detachment are capable of seeding intra-abdominal
metastases that retain intra-tumoral heterogeneity from the primary tumor.
PMID- 29789719
TI - Selective vulnerability of the primitive meningeal layer to prenatal Smo
activation for skull base meningothelial meningioma formation.
AB - Somatic activating mutations of smoothened (SMO), a component of the embryonic
sonic hedgehog (SHH) signaling pathway, are found in 3-5% of grade I meningiomas,
most of them corresponding to meningothelial meningiomas located at the anterior
skull base. By generating different developmental stage-specific conditional
activations in mice, we define a restricted developmental window during which
conditional activation of Smo in Prostaglandin D2-synthase-positive mesoderm
derived meningeal layer of the skull base results in meningothelial meningioma
formation. We show a selective vulnerability of the arachnoid from the skull base
to Smo activation to initiate tumor development. This prenatal period and
specific topography are correlated to the timing and location of SHH signaling
involvement in the formation of craniofacial and meninges patterning, strongly
corroborating the hypothesis of a developmental origin for Smo-activated
meningiomas. Finally, we provide preclinical in vitro evidence of the efficacy of
the SMO-inhibitor Sonidegib, supporting further preclinical and clinical
evaluation of targeted treatment for refractory SMO-mutant meningiomas.
PMID- 29789718
TI - PDLIM7 and CDH18 regulate the turnover of MDM2 during CDK4/6 inhibitor therapy
induced senescence.
AB - CDK4/6 inhibitors are being used to treat a variety of human malignancies. In
well-differentiated and dedifferentiated liposarcoma their clinical promise is
associated with their ability to downregulate the MDM2 protein. The
downregulation of MDM2 following treatment with CDK4/6 inhibitors also induces
many cultured tumor cell lines derived from different types of malignancies to
progress from quiescence into senescence. Here we used cultured human cell lines
and defined a role for PDLIM7 and CDH18, regulating MDM2 protein in CDK4/6
inhibitor-treated cells. Materials from our previous phase II trials with
palbociclib were then used to demonstrate that expression of CDH18 protein was
associated with response, measured as both progression-free survival and overall
survival. This supports the hypothesis that the biologic transition from
quiescence to senescence has clinical relevance for this class of drugs.
PMID- 29789720
TI - Change in weight status from childhood to early adulthood and late adulthood risk
of colon cancer in men: a population-based cohort study.
AB - BACKGROUND: Although weight gain in mid- to late adult life is associated with an
increased risk of colon cancer, it is unclear if increases or losses in weight
from childhood to early adulthood are differentially associated with risks of
adult colon cancer. METHODS: Weight and height were measured at 7 or 13 years and
in early adulthood (17-26 years) in 64,675 boys in the Copenhagen School Health
Records Register and the Danish Conscription Database. Cases of colon cancer (n =
751) were identified in the Danish Cancer Registry. Boys and young men were
categorized as normal weight or overweight. Associations between changes in
weight and colon cancer were examined using Cox proportional hazards regression
to estimate hazard ratios (HRs) and 95% confidence intervals (CIs). RESULTS:
Compared with men with a normal weight at 7 years and in early adulthood, men
with overweight at both ages had an increased risk of adult colon cancer (HR:
2.73, 95% CI 1.80-4.15). In contrast, men with overweight at 7 years, but not in
early adulthood did not have an increased risk of colon cancer (HR: 0.73, 95% CI
0.35-1.54), nor did men with a normal weight at 7 years and overweight in early
adulthood (HR: 1.28, 95% CI 0.96-1.70). Similar results were observed for weight
status at age 13 years combined with early adulthood. CONCLUSIONS: Childhood
overweight that persists into early adulthood is associated with an increased
risk of colon cancer, whereas overweight that disappears before early adulthood
or developed after childhood is not.
PMID- 29789721
TI - Is leptin resistance the cause or the consequence of diet-induced obesity?
AB - BACKGROUND/OBJECTIVES: Obesity is strongly associated with leptin resistance. It
is unclear whether leptin resistance results from the (over)consumption of energy
dense diets or if reduced leptin sensitivity is also a pre-existing factor in
rodent models of diet-induced obesity (DIO). We here tested whether leptin
sensitivity on a chow diet predicts subsequent weight gain and leptin sensitivity
on a free choice high-fat high-sucrose (fcHFHS) diet. METHODS: Based upon
individual leptin sensitivity on chow diet, rats were grouped in leptin sensitive
(LS, n = 22) and leptin resistant (LR, n = 19) rats (P = 0.000), and the
development of DIO on a fcHFHS diet was compared. The time-course of leptin
sensitivity was measured over weeks in individual rats. RESULTS: Both on a chow
and a fcHFHS diet, high variability in leptin sensitivity was observed between
rats, but not over time per individual rat. Exposure to the fcHFHS diet revealed
that LR rats were more prone to develop DIO (P = 0.013), which was independent of
caloric intake (p >= 0.320) and the development of diet-induced leptin resistance
(P = 0.769). Reduced leptin sensitivity in LR compared with LS rats before fcHFHS
diet exposure, was associated with reduced leptin-induced phosphorylated signal
transducer and activator of transcription 3 (pSTAT3) levels in the dorsomedial
and ventromedial hypothalamus (P <= 0.049), but not the arcuate nucleus (P =
0.558). CONCLUSIONS: A pre-existing reduction in leptin sensitivity determines
the susceptibility to develop excessive DIO after fcHFHS diet exposure. Rats with
a pre-existing reduction in leptin sensitivity develop excessive DIO without
eating more calories or altering their leptin sensitivity.
PMID- 29789722
TI - How to tell how much wasp stings will hurt.
PMID- 29789723
TI - A potential cure for the common cold.
PMID- 29789724
TI - A geyser spurts from one of Jupiter's icy moons.
PMID- 29789725
TI - Stink bugs leave DNA footprints on produce.
PMID- 29789726
TI - Worm-eating mountain mice showcase evolution in action.
PMID- 29789727
TI - Soaring overdose death rate fuels rise in organ transplantation.
PMID- 29789728
TI - Why dinosaurs arranged their eggs in a doughnut shape.
PMID- 29789730
TI - A double-pronged attack on colon tumours succeeds where one doesn't.
PMID- 29789729
TI - Europe's open-access drive escalates as university stand-offs spread.
PMID- 29789731
TI - Hawaii volcano eruption holds clues to predicting similar events elsewhere.
PMID- 29789733
TI - Why your feet slip and slide on ice.
PMID- 29789734
TI - Customers put off electric cars ... by electric-car sales staff.
PMID- 29789732
TI - Experimental drugs poised for use in Ebola outbreak.
PMID- 29789736
TI - Recruit young scientists and local talent to safeguard coral reefs.
PMID- 29789737
TI - Chilean Atacama site imperilled by lithium mining.
PMID- 29789738
TI - Risks from technology-critical metals after extraction.
PMID- 29789739
TI - Publish translations of the best Chinese papers.
PMID- 29789741
TI - Pulsars seen through a new lens.
PMID- 29789742
TI - Plasmon propagation pushed to the limit.
PMID- 29789743
TI - Sizing up human brain evolution
PMID- 29789744
TI - How to fit in when you join a lab abroad.
PMID- 29789745
TI - The cost of a warming climate.
PMID- 29789746
TI - Chinese satellite launch kicks off ambitious mission to Moon's far side.
PMID- 29789747
TI - An ultralight way to manipulate brain signals.
PMID- 29789748
TI - S ingle-cell approaches to immune profiling.
PMID- 29789749
TI - The global south is rich in sustainability lessons that students deserve to hear.
PMID- 29789750
TI - Indonesian plan to clamp down on foreign scientists draws protest.
PMID- 29789751
TI - Beware: transparency rule is a Trojan Horse.
PMID- 29789752
TI - Science needs clarity on Europe's data-protection law.
PMID- 29789754
TI - AHR ensures cells rest in peace.
PMID- 29789755
TI - Regulatory mechanisms in T cell receptor signalling.
AB - The remarkable T cell receptor (TCR) performs essential functions in the
initiation of intracellular signals required for T cell development, repertoire
selection and effector responses to foreign antigens. How TCR signals elicit such
diverse cellular responses and outcomes remains a major question for
investigation. Recent years have witnessed important advances in our
understanding of the regulatory processes that control and modulate the TCR
signalling response. Here, we review newly identified mechanisms for the
regulation of TCR signalling and then discuss how the TCR signalling response is
regulated to control two critical cellular processes - namely, positive selection
and T cell homeostasis.
PMID- 29789756
TI - IRF8: identity-keeper for suppressive Th1-like Treg cells.
PMID- 29789753
TI - Hydrogen protects lung from hypoxia/re-oxygenation injury by reducing hydroxyl
radical production and inhibiting inflammatory responses.
AB - Here we investigated whether hydrogen can protect the lung from chronic injury
induced by hypoxia/re-oxygenation (H/R). We developed a mouse model in which H/R
exposure triggered clinically typical lung injury, involving increased alveolar
wall thickening, infiltration by neutrophils, consolidation, alveolar hemorrhage,
increased levels of inflammatory factors and recruitment of M1 macrophages. All
these processes were attenuated in the presence of H2. We found that H/R-induced
injury in our mouse model was associated with production of hydroxyl radicals as
well as increased levels of colony-stimulating factors and circulating
leukocytes. H2 attenuated H/R-induced production of hydroxyl radicals, up
regulation of colony-stimulating factors, and recruitment of neutrophils and M1
macrophages to lung tissues. However, H2 did not substantially affect the H/R
induced increase in erythropoietin or pulmonary artery remodeling. Our results
suggest that H2 ameliorates H/R-induced lung injury by inhibiting hydroxyl
radical production and inflammation in lungs. It may also prevent colony
stimulating factors from mobilizing progenitors in response to H/R-induced
injury.
PMID- 29789757
TI - Locally produced lactic acid bacteria for pathogen inactivation and odor control
in fecal sludge.
AB - Providing safe fecal sludge (FS) sanitation has remained an important goal of
global communities because of the high risks imposed on human health of the
exposure to un-sanitized FS. This study used lactic acid fermentation as a pre
treatment technology to evaluate the sanitization effect of lactic acid bacteria
(LAB) on FS. A combination of fermented rice flour and brown sugar was used as
the medium to prepare LAB, and fecal coliforms were used as the indicator
organisms. The addition of a LAB suspension grown in fermented rice flour and
brown sugar to FS was studied to evaluate the survival of fecal coliforms. The pH
decreased during ongoing lactic acid fermentation after the addition of the LAB
suspension. The results revealed that fecal coliforms in reactors containing 1:1
and 2:1 w/w of FS and LAB suspension decreased to half of the initial
concentration within seven days of the treatment process in comparison with that
of the control reactor. Viable plate counts of 0.6 * 108, 0.9 * 108, and 2.4 *
108 CFU/100 mL were recorded from reactors 1:1, 2:1, and the control,
respectively. The total elimination of the fecal coliforms below the detection
limit (<3 log 10 CFU/100 mL) was observed in both reactors after 15-17 days,
whereas the number of fecal coliforms remained at 2.3 * 108 CFU/100 mL in the
control reactor. The fecal coliforms were eliminated because of the acidification
caused by the LAB during the incubation time. The final pH in the treatment
reactors 1:1 and 2:1 was 3.7 and 3.9. While the final pH in the control reactor
was 7.91. The results revealed that the bacterial pathogens in FS can be
completely eliminated through a low-cost technique and a simple lactic acid
fermentation process.
PMID- 29789758
TI - Advantages of intraoperative implant for interstitial brachytherapy for
accelerated partial breast irradiation either frail patients with early-stage
disease or in locally recurrent breast cancer.
AB - Purpose: To describe the intraoperative multicatheter implantation technique for
accelerated partial breast irradiation (APBI) delivered with high-dose-rate
brachytherapy (HDR-BT). Secondarily, to evaluate outcomes and toxicity in a
series of 83 patients treated with this technique at our institution. Material
and methods: Retrospective analysis of a series of patients treated with HDR-BT
APBI after intraoperative multicatheter interstitial implant between November
2006 and June 2017 at our institution. We assessed cosmesis, toxicity, overall
survival (OS), and disease-free survival (DFS). Results: Eighty-three patients
were included: 59 patients (71.1%) with primary early-stage breast cancer and 24
(28.9%) with locally recurrent breast cancer. Tumorectomy was performed in all
cases, with intraoperative tumor margin assessment and sentinel node biopsy.
Median age was 82 years (range, 44-92). The total prescribed dose was 32 Gy (8
treatment fractions) in 60 patients (72.3%), and 34 Gy (10 fractions) in 23
patients (27.7%). Median follow-up was 40 months (range, 1-136 months). Three
year OS and DFS in the recurrent and primary cancer groups were 87% vs. 89%, and
96 % vs. 97.8%, respectively. Five patients died from non-cancer related causes.
No local relapses were observed. Rates of acute and late toxicity were low in
both groups. The cosmesis was good or excellent in most of patients treated for
primary disease; in patients who underwent salvage brachytherapy for local
recurrence, cosmesis was good in 49 patients and fair in 6. Conclusions: This
technique, although time-consuming, achieves good local disease control with a
satisfactory toxicity profile in both early-stage and local recurrent breast
cancer patients. It may be especially suitable for frail patients.
PMID- 29789759
TI - Combined external beam radiotherapy and vaginal brachytherapy versus vaginal
brachytherapy in stage I, intermediate- and high-risk cases of endometrium
carcinoma.
AB - Purpose: Randomized trials on the effect of external beam radiotherapy (EBRT)
with or without vaginal brachytherapy (VBT) for endometrial carcinoma are very
few. In view of this, the current study was conducted with the hypothesizes:
whether the escalated dose of 26 Gy (VBT alone) in comparison with various major
international trials (PORTEC-2) has any difference in rates of disease-free and
overall survival with fewer adverse effects in low resource setting like India.
Material and methods: An open-labeled, non-inferiority, randomized control trial
was undertaken at a regional cancer center among patients with stage IA or IB
high-intermediate risk endometrial carcinoma. A total of 50 patients were divided
equally among two arms of combined EBRT with VBT (arm I) and VBT alone (arm II).
A dose of 50-50.4 Gy in 25-28 fractions of EBRT with 2 fractions of VBT 6.5 Gy
each were delivered to patients in arm I and 4 fractions of VBT 6.5 Gy each to
patients in arm II, and were followed up for 60 months. Results: During the
median follow-up of 36.5 months, two patients developed loco-regional recurrence
in arm II, three (arm II), and one (arm I) developed distant metastasis. The 5
year survival rates for arms I and II were 96.0% vs. 92.0% overall, and 88.0% vs.
84.0% disease-free, respectively, and were not found to be statistically
significantly different. Dermatological, gastro-intestinal toxicities, and
cystitis were lower in the VBT group compared to combined group. Conclusions: VBT
alone is as effective as EBRT+VBT in ensuring loco-regional control and achieving
comparable survival rates, with fewer toxic effects for patients with stage I
intermediate- and high-risk endometrial carcinoma. The dose escalation did not
make a difference in the survival rates and was like in the other major trials
(PORTEC-2).
PMID- 29789760
TI - High-dose-rate brachytherapy in treatment of non-melanoma skin cancer of head and
neck region: preliminary results of a prospective single institution study.
AB - Purpose: Skin cancers are the most common human malignancy with increasing
incidence. Currently, surgery is standard of care treatment for non-melanoma skin
cancers. However, brachytherapy is a growing modality in the management of skin
cancers. Therefore, we aimed to assess the outcome of patients with non-melanoma
skin cancers treated by high-dose-rate (HDR) brachytherapy with surface mold
technique. Material and methods: In this prospective study, we recruited patients
with basal cell carcinoma (BCC) and squamous cell carcinoma (SCC) of the skin who
were candidates for definitive or adjuvant brachytherapy during 2013-2014.
Alginate was used for making the individualized surface molds for each patient.
Patients were treated with afterloading radionuclide HDR brachytherapy machine,
with a total dose of 30-52 Gy in 10-13 fractions. Participants were followed for
2 years for radiation toxicity, cosmetic results, and local failures. Results: A
total of 60 patients (66.7% male; median age, 71 years) were included, of which
42 (70.0%) underwent definitive radiotherapy. Seventy-five percent of lesions
were BCC. The mean total dose was 39.6 +/- 5.4 Gy. Of patients in definitive
group, 40/42 (95.2%) experienced complete clinical response after 3 months. The
recurrence rate was 2/18 (11.11%) and 1/42 (2.38%) in adjuvant and definitive
groups, respectively. The percentage of grade 3-4 acute (3-month post-treatment)
and late toxicities (2 years post-treatment) was 6.7% and 0%, respectively. The
cosmetic results were good/excellent in 96.2% of patients after 2 years of follow
up. Conclusions: With appropriate patient selection and choosing as lowest dose
per fraction as possible, HDR brachytherapy with customized surface molds yields
good oncological and cosmetic results for the treatment of localized skin BCC and
SCC.
PMID- 29789761
TI - Visual outcome after posterior uveal melanoma episcleral brachytherapy including
radiobiological doses.
AB - Purpose: To assess the long-term influence of radiobiological doses in the
evolution of visual acuity (VA) in patients with uveal melanoma treated by
episcleral brachytherapy. Material and methods: Visual acuity was evaluated
prospectively from a case series of 243 patients in 2016 treated with 125I. Data
analysis was applied to trend VA outcome and find the accurate best-fit line.
Biologically effective dose (BED) was included in survival analysis with the use
of Kaplan-Meier and Cox regressions. Hazard ratio (HR) and confidence interval at
95% (CI) were determined. Variables statistically significant were analyzed and
compared by log-rank tests. Results: The median follow-up was 74.2 months (range,
3-223). Exponential regression shows a 25% reduction and 50% in visual acuity
score (VAS) scale for 5 and 27.8 months, respectively. Cumulative probabilities
of survival analysis were 57%, 42%, 27%, and 23% at 3, 5, 10, and 15 years,
respectively. Multivariable analysis found tumor height (HR = 1.18, 95% CI: 1.07
1.29), applicator size (HR = 1.22, 95% CI: 1.08-1.36), juxtapapillary
localization (HR = 1.70, 95% CI: 1.01-2.84), and dose to foveola (HR = 1.01, 95%
CI: 1.00-1.01) significantly associated with VA loss. Log-rank tests were
significant for all those variables. BED has a strong influence in univariate
model, but not statistically significant in the multivariate one. Conclusions:
Visual acuity changes can be modeled by an exponential function for the first 5
years after treatment. No relation between VA loss and BED has been found;
nevertheless, apical height, plaque size, juxtapapillary localization, and dose
to fovea were found as statistical significant variables.
PMID- 29789762
TI - Percutaneous computed tomography-guided permanent 125I implantation as therapy
for pulmonary metastasis.
AB - Purpose: To evaluate intermediate-term outcomes after computed tomography (CT)
guided radioactive 125I seed implantation (CTRISI), and to determine prognostic
variables associated with outcomes in patients with pulmonary metastases.
Material and methods: Thoracic surgeons evaluated and performed implantation of
125I radioactive seeds under CT guidance or combined with surgical resection.
Patients were monitored in the thoracic surgery clinic for recurrence and
survival. Results: Fifty patients (31 men, 19 women; median age, 59 years; range,
16-85) underwent CTRISI. The primary cancer was colorectal in 10 (20%), malignant
fibrous histiocytoma in 8 (16%), sarcoma in 5 (10%), renal in 4 (8%), and other
in 22 (44%) patients. CTRISI was the sole treatment in 45 patients (90%) and was
combined with surgical resection in 5 patients (10%). The actuarial D90 of
implanted 125I seeds ranged from 90 to 160 Gy (median, 120 Gy). No procedurally
related deaths occurred. At a median follow-up of 41.5 months (range, 7-74
months), 6 patients were alive. The median survival time was 42.1 months (95%
confidence interval: 26.5-53.4), and the estimated 1-, 3-, and 5-year overall
survival rates were 88.0%, 58.0%, and 26.7%, respectively. Lesion size was an
important prognostic variable associated with overall and progression-free
survival (p < 0.05). Conclusions: CTRISI is safe in this group of patients with
pulmonary metastases and provides reasonable results. Surgical resection remains
the standard for resectable cases, but CTRISI offers an alternative for selected
patients or may be used as a feasible approach in combination with surgical
resection for selected patients.
PMID- 29789763
TI - Verification of high-dose-rate brachytherapy treatment planning dose distribution
using liquid-filled ionization chamber array.
AB - Purpose: This study aims to investigate the dosimetric performance of a liquid
filled ionization chamber array in high-dose-rate (HDR) brachytherapy dosimetry.
A comparative study was carried out with air-filled ionization chamber array and
EBT3 Gafchromic films to demonstrate its suitability in brachytherapy. Material
and methods: The PTW OCTAVIUS detector 1000 SRS (IA 2.5-5 mm) is a liquid-filled
ionization chamber array of area 11 x 11 cm2 and chamber spacing of 2.5-5 mm,
whereas the PTW OCTAVIUS detector 729 (IA 10 mm) is an air vented ionization
chamber array of area 27 x 27 cm2 and chamber spacing of 10 mm. EBT3 films were
exposed to doses up to a maximum of 6 Gy and evaluated using multi-channel
analysis. The detectors were evaluated using test plans to mimic a HDR
intracavitary gynecological treatment. The plan was calculated and delivered with
the applicator plane placed 20 mm from the detector plane. The acquired
measurements were compared to the treatment plan. In addition to point dose
measurement, profile/isodose, gamma analysis, and uncertainty analysis were
performed. Detector sensitivity was evaluated by introducing simulated errors to
the test plans. Results: The mean point dose differences between measured and
calculated plans were 0.2% +/- 1.6%, 1.8% +/- 1.0%, and 1.5% +/- 0.81% for film,
IA 10 mm, and IA 2.5-5 mm, respectively. The average percentage of passed gamma
(global/local) values using 3%/3 mm criteria was above 99.8% for all three
detectors on the original plan. For IA 2.5-5 mm, local gamma criteria of 2%/1 mm
with a passing rate of at least 95% was found to be sensitive when simulated
positional errors of 1 mm was introduced. Conclusion: The dosimetric properties
of IA 2.5-5 mm showed the applicability of liquid-filled ionization chamber array
as a potential QA device for HDR brachytherapy treatment planning systems.
PMID- 29789764
TI - A single institution analysis of low-dose-rate brachytherapy: 5-year reported
survival and late toxicity outcomes.
AB - Purpose: To report the 5-year biochemical relapse-free survival (BRFS), overall
survival (OS), and long-term toxicity outcomes of patients treated with low-dose
rate (LDR) brachytherapy as monotherapy for low- to intermediate-risk prostate
cancer. Material and methods: Between 2004 and 2011, 371 patients were treated
with LDR brachytherapy as monotherapy. Of these, 102 patients (27%) underwent
transurethral resection of the prostate (TURP) prior to implantation. Follow-up
was performed every 3 months for 12 months, then every 6 months over 4 years and
included prostate specific antigen evaluation. The biochemical relapse-free
survival (BRFS) was defined according to the Phoenix criteria. Acute and late
toxicities were documented using the Common Terminology Criteria for Adverse
Events version 4.0. The BRFS and OS estimates were calculated using Kaplan-Meier
plots. Univariate and multivariate analyses were performed to evaluate outcomes
by pre-treatment clinical prognostic factors and radiation dosimetry. Results:
The median follow-up of all patients was 5.45 years. The 5-year BRFS and OS rates
were 95% and 96%, respectively. The BRFS rates for patients with Gleason score
(GS) > 7 and GS <= 6 were 96% and 91% respectively (p = 0.06). On univariate
analysis, T1 and T2 staging, risk-group classification, and prostate volumes had
no impact on survival at 5 years (p > 0.1). Late grade 2 and 3 genitourinary (GU)
toxicities were observed in 10% and 5% of patients respectively. Additionally,
patients with prior TURP had a greater incidence of late grade 2 or 3 urinary
retention (p = 0.001). There were 14 deaths in total; however, none were
attributed to prostate cancer. Conclusions: LDR brachytherapy is an effective
treatment option in low- to intermediate-risk prostate cancer patients. We
observed low biochemical relapse rates and minimal GU toxicities several years
after treatment in patients with or without TURP. However, a small risk of
urinary retention was observed in some patients.
PMID- 29789765
TI - Successful salvage treatment of refractory recurrence of maxillary sinus
carcinoma using image-guided high-dose-rate interstitial brachytherapy.
AB - This case report illustrates a treatment effect of image-guided high-dose-rate
(HDR) interstitial brachytherapy for refractory recurrence of maxillary sinus
carcinoma. A 61-year-old male was previously admitted to another hospital and
received surgery because of left maxillary sinus squamous cell carcinoma (SCC) 6
years ago. Tumor regrowth was noted 2 years after the initial radical surgery.
The patient accepted local excision again for the recurrence, followed by
external beam radiotherapy. Despite salvage treatment with surgery and external
irradiation, the lesion expanded as 4.8 * 4.4 * 4.0 cm3. Because the patient
refused palliative resection, we recommended technique of image-guided HDR
interstitial brachytherapy. The total doses of 42 Gy in 12 fractions were
delivered to the whole recurrent tumor. Removal of the recurrent tumor was
securely achieved by HDR interstitial brachytherapy, guided with ultrasound. The
refractory tumor in the patient healed uneventfully after HDR interstitial
brachytherapy without recurrence during 8 months of follow-up. This case is
remarkable because the patient experienced complete remission by a safe and
practicable method with image-guided HDR interstitial brachytherapy.
PMID- 29789767
TI - Don't forget the bladder!
PMID- 29789766
TI - Salvage high-dose-rate brachytherapy for prostate cancer persistence after
brachytherapy: repeated use of a polyethylene glycol hydrogel spacer.
AB - Purpose: The aim of this study is to determine if a repeated hydrogel injection
in a previously irradiated patient prior to salvage high-dose-rate brachytherapy
(HDR-BT) is feasible. Material and methods: A 61-year-old man with an organ
confined (cT1c cN0 cM0, Gleason score 3 + 3 = 6, initial prostate-specific
antigen [PSA] 7.9 ng/ml) prostate cancer was previously treated with HDR-BT (3
fractions of 11.5 Gy every 2nd week) after hydrogel injection to reduce the
rectal dose. Ten months after, an isolated local persistence was seen on a PSMA
PET-CT. Nadir PSA was 2.0 ng/ml, 3 months after treatment and was 3.95 ng/ml by
the re-treatment. Salvage therapy consisted of HDR-BT (3 fractions of 9 Gy every
2nd week) with a simultaneous integrated boost to the residual region. Again, a
hydrogel injection (10 ml) was applied to reduce the rectal dose prior to the
treatment. Results: Both hydrogel injection and salvage HDR-BT could be applied
without any significant complications or toxicity. A good PSA response was
observed with a nadir of 0.42 ng/ml, twelve months after salvage therapy. Acute
toxicity (max grade II) resolved within 2 days after treatment. Conclusions: The
use of a hydrogel prior to salvage HDR-BT in a patient previously treated with
HDR-BT is feasible and could help reduce the rectal exposure in the salvage
setting.
PMID- 29789768
TI - Radiological and clinical findings following rectal contact X-ray brachytherapy
(Papillon technique) - how to assess response.
AB - Purpose: Rectal contact X-ray brachytherapy (Papillon radiotherapy) has recently
received approval from the National Institute for Health and Care Excellence. In
particular, it is suitable for elderly patients who are high-risk for a major
operation, but it may also be undertaken for patients who wish to avoid a stoma.
It is imperative to be able to identify clinical response or tumor regrowth on
surveillance magnetic resonance imaging (MRI) and sigmoidoscopy. This article
aims to help clinicians to interpret MRIs and endoscopic appearances following
Papillon radiotherapy. Material and methods: MRI and sigmoidoscopy images are
presented from a case series of seven non-consecutive, heterogeneously treated
patients with T2 to 3C N0 rectal adenocarcinoma. Treatments included transanal
excision, adjuvant or neoadjuvant chemo/radiotherapy, and Papillon radiotherapy.
These patients wished to avoid a stoma or were high-risk for a major operation.
These cases have been chosen to demonstrate response assessment alone. Results:
The "black spider" sign of maturing, low signal fibrosis on MRI was found to be
reassuring, as was the presence of a flat scar on endoscopy. Residual tumor mass
or intermediate signal suggest equivocal response, which may necessitate
transanal excision. Loss of low signal fibrosis, or the development of soft
tissue nodularity or mass should prompt biopsy. Conclusions: MR scans should be
used in combination with endoluminal mucosal assessment (and digital rectal
examination) to determine response following Papillon radiotherapy. This is the
first paper to describe both the endoscopic and imaging findings following
Papillon radiotherapy.
PMID- 29789771
TI - Clinical study to monitor dentinal hypersensitivity with episodic use of a
desensitising dentifrice.
AB - Objectives/Aims: To evaluate continuous and episodic twice-daily usage regimens
of a desensitising dentifrice containing 5% calcium sodium phosphosilicate
(CSPS). Materials and Methods: In this exploratory, single-centre, randomised,
examiner-blind study, subjects with dentinal hypersensitivity were randomised to
continuous (24 weeks) use of a 5% CSPS-containing dentifrice or episodic use of
the dentifrice comprising two 8-week treatment periods separated by 8 weeks' use
of a standard fluoride dentifrice. Sensitivity was assessed by tactile threshold
(Yeaple probe) and evaporative (air) sensitivity (Schiff sensitivity score).
Other measures included labelled magnitude scales to assess subjects' responses
to the evaporative stimulus, the Dentine Hypersensitivity Experience
Questionnaire and a tooth sensitivity question. Results: Seventy-six subjects
were randomised to continuous (n=38) or episodic (n=38) use. Small but
statistically significant improvements from baseline in Schiff sensitivity scores
were observed at weeks 8, 16 and 24 with both regimens (all P<0.05). Increases
from baseline in tactile threshold were not statistically significant. No
significant between-regimen difference was observed for any endpoint. No
treatment-related adverse events were reported. Discussion: Dentifrice containing
5% CSPS improved dentinal hypersensitivity with both episodic and continuous
twice-daily usage regimens over 24 weeks and was well tolerated. Conclusion: No
performance differences were observed between the two usage regimens.
PMID- 29789772
TI - Relevance of investigating light transmittance through red protective shields in
dentistry.
PMID- 29789773
TI - Reply to: Relevance of investigating light transmittance through red protective
shields in dentistry.
PMID- 29789770
TI - What do expectant mothers need to know about oral health? A cohort study from a
London maternity unit.
AB - Objective: To determine the oral health knowledge of pregnant women and to report
their future plans to provide dental care for their expected child. Design and
setting: Prospective cohort study; Ultrasound maternity services at St Thomas'
Hospital, London, 2014. Pregnant women attending for a routine ultrasound scan
completed a questionnaire. Results: Women did not know that milk, dried fruit or
fruit juices can cause caries. Most women knew about the benefit of fluoridated
toothpaste, dental floss and sugar-free chewing gum, but only a minority knew
about fluoride varnish. Most pregnant women planned to read or seek advice before
purchasing their child's first toothpaste. There was no difference regarding
knowledge of prevention tools (diet and fluoride supplements) for dental caries
(P>0.05) between first-time mothers and those who had children already. Though
the latter knew more about toothpaste dose and timing of starting toothbrushing
(P<0.05). Discussion: Oral health knowledge among pregnant women was deficient
with respect to the cariogenicity of prolonged night-time milk feeding, dried
fruits and fruit juice consumption. There was also limited knowledge of the
benefit of fluoride varnish and timing of starting toothbrushing. Conclusions:
Oral health knowledge amongst pregnant women is still deficient in many aspects.
In this study population the need to improve maternal knowledge was shown.
PMID- 29780582
TI - The convergent epidemiology of tuberculosis and human cytomegalovirus infection.
AB - Although several factors are known to increase the risk of tuberculosis, the
occurrence of tuberculosis disease in an infected individual is difficult to
predict. We hypothesize that active human cytomegalovirus infection due to recent
infection, reinfection or reactivation plays an epidemiologically relevant role
in the aetiology of tuberculosis by precipitating the progression from latent
tuberculosis infection to disease. The most compelling support for this
hypothesis comes from the striking similarity in age-sex distribution between the
two infections, important because the age-sex pattern of tuberculosis disease
progression has not been convincingly explained. Cytomegalovirus infection and
tuberculosis have other overlapping risk factors, including poor socio-economic
status, solid organ transplantation and, possibly, sexual contact and whole blood
transfusion. Although each of these overlaps could be explained by shared
underlying risk factors, none of the epidemiological observations refute the
hypothesis. If this interaction would play an epidemiologically important role,
important opportunities would arise for novel approaches to controlling
tuberculosis.
PMID- 29789774
TI - Pilot Study of Dose-Response Effects of Exercise on Change in C-Reactive Protein,
Cortisol, and Health-Related Quality of Life Among Cancer Survivors.
AB - Fatigue, stress, and depression contribute to poor health-related quality of life
(HRQoL) among cancer survivors. This study examined the effects of combined
aerobic and resistance training (CART) on HRQoL and biomarkers of stress. Cancer
survivors (n = 76, 91% female, 39% breast cancer, 32% gynecologic cancer) were
enrolled in CART for three 60-min sessions, weekly, for 26 weeks. Participants
completed the National Institutes of Health's Patient Reported Outcomes
Measurement Information System (NIH PROMIS) fatigue assessment and the SF-36.
Cortisol and c-reactive protein (CRP) were assessed using volunteered blood
specimens. Baseline fatigue scores were worse for participants completing
treatment within the last year, compared to long-term survivors [F = (2, 59) =
3.470, p = 0.038]. After 26 weeks, fatigue scores improved by a noteworthy two
points [M = 52.72, standard deviation, SD = 10.10 vs. M = 50.67, SD = 10.14;
t(48) = 1.7145, p = 0.092]. Pre- to postintervention improvements in bodily pain
[M = 50.54, SD = 9.51 vs. M = 48.20, SD = 10.07; t(33) = 2.913, p = 0.006] and
limitations in social functioning [M = 50.60, SD = 9.17 vs. M = 47.75, SD =
11.66; t(33) = 2.206, p = 0.034], as well as a mean decrease of 1.64 +/- 10.11
mg/L in CRP levels [t(107) = 1.261, p = 5.965], were observed. Participants
within 1 year of treatment completion experienced greater improvements in post
CRP levels compared to those who had treatment 1-4 years (p = 0.030) and 5 or
more years ago (p = 0.023). Physical functioning, fatigue, fear/anxiety, social
role satisfaction, and CRP levels improved following participation in this
exercise intervention. Oncologists should consider recommending CART as soon as
medically feasible following the cessation of cancer treatment.
PMID- 29789775
TI - Pathological Internet Use-An Important Comorbidity in Child and Adolescent
Psychiatry: Prevalence and Correlation Patterns in a Naturalistic Sample of
Adolescent Inpatients.
AB - Background: Few studies have examined the prevalence of problematic internet use
(PIU) in young people undergoing inpatient treatment in child and adolescent
psychiatry centers. The aims of our study were thus (a) to assess the frequency
of comorbid PIU in a sample of adolescent psychiatric inpatients and compare it
with a control group of nonreferred adolescents and (b) to gain insights into
correlations between PIU and psychiatric comorbidities. Methods: 111 child and
adolescent psychiatry inpatients (CAP-IP, mean age 15.1 +/- 1.4 years; female :
male 72.4% : 27.6%) undergoing routine psychodiagnostics were screened for the
presence of PIU. The widely used Compulsive Internet Use Scale (CIUS) was chosen
for this purpose. Prevalence rates of PIU were then compared to matched
nonreferred control subjects from a school sample. Additionally, comorbidities of
inpatients with PIU were compared to inpatients without PIU. Results: Our
inpatient sample showed a much higher prevalence of PIU than that found in
previous populational samples of young people. Compared with a matched school
sample, addictive internet use was 7.8 times higher and problematic internet use
3.3 times higher among our adolescent sample. PIU was significantly associated
with characteristic patterns of psychopathology, that is, suicidality,
difficulties in establishing stable and consolidated identity, and peer
victimization. Conclusion: PIU among adolescents undergoing inpatient psychiatric
treatment is much more frequent than among their peers in the general population
and is associated with specific patterns of psychopathology.
PMID- 29789776
TI - Effect of Electromagnetic Waves from Mobile Phones on Spermatogenesis in the Era
of 4G-LTE.
AB - Objective: To investigate the effect of long duration exposure to electromagnetic
field from mobile phones on spermatogenesis in rats using 4G-LTE. Methods: Twenty
Sprague-Dawley male rats were placed into 4 groups according to the intensity and
exposure duration: Group 1 (sham procedure), Group 2 (3 cm distance + 6 h
exposure daily), Group 3 (10 cm distance + 18 h exposure daily), and Group 4 (3
cm distance + 18 h exposure daily). After 1 month, we compared sperm parameters
and histopathological findings of the testis. Results: The mean spermatid count
(*106/ml) was 398.6 in Group 1, 365.40 in Group 2, 354.60 in Group 3, and 298.60
in Group 4 (p = 0.041). In the second review, the mean count of spermatogonia in
Group 4 (43.00) was significantly lower than in Group 1 (57.00) and Group 2
(53.40) (p < 0.001 and p = 0.010, resp.). The sum of the germ cell counts was
decreased in Group 4 compared to Groups 1, 2, and 3 (p = 0.032). The mean Leydig
cell count was significantly decreased in Group 4 (p < 0.001). Conclusions: The
longer exposure duration of electromagnetic field decreased the spermatogenesis.
Our findings warrant further investigations on the potential effects of EMF from
mobile phones on male fertility.
PMID- 29789777
TI - A Normalized Shear Deformation Indicator for Ultrasound Strain Elastography in
Breast Tissues: An In Vivo Feasibility Study.
AB - The shear deformation under loads contains useful information for distinguishing
benign breast lesions from malignant ones. In this study, we proposed a
normalized shear deformation indicator (NSDI) that was derived from the concept
of principal strains. Since the NSDI requires both high-quality axial and lateral
(parallel and perpendicular to the beam, resp.) displacement estimates, a
strategy combining high-quality speckle tracking with signal "denoising" was
employed. Both techniques were previously published by our group. Finite element
(FE) models were used to identify possible causes for elevated NSDI values in and
around breast lesions, followed by an analysis of ultrasound data acquired from
26 biopsy-confirmed in vivo breast lesions. We found that, theoretically, the
elevated NSDI values could be attributed to two factors: significantly hardened
tissue stiffness and increasing heterogeneity. The analysis of in vivo data
showed that the proposed NSDI values were higher (p < 0.05) among malignant
cancers as compared to those measured from benign ones. In conclusion, our
preliminary results demonstrated that the calculation of NSDI value is feasible
and NSDI could add value to breast lesion differentiation with current clinical
equipment as a postprocessing tool.
PMID- 29789778
TI - Conscious Sedation versus General Anesthesia for Patients with Acute Ischemic
Stroke Undergoing Endovascular Therapy: A Systematic Review and Meta-Analysis.
AB - The aim of this study is to compare the effect of conscious sedation (CS) with
general anesthesia (GA) on clinical outcomes in patients with acute ischemic
stroke (AIS) undergoing endovascular therapy (EVT). MEDLINE, EMBASE, and Cochrane
Central Registers of Controlled Trials (from inception to July 2017) were
searched for reports on CS and GA of AIS undergoing EVT. Two reviewers assessed
the eligibility of the identified studies and extracted data. Data were analyzed
using the fixed-effects model, and the sources of heterogeneity were explored by
sensitive analysis. Trial sequential analysis was conducted to monitor boundaries
for the limitation of global type I error, and GRADE system was demonstrated to
evaluate the quality of evidence. A total of thirteen studies were finally
identified. Pooled analysis of the incidence of mRS score ? 2 after hospital
discharge and one or three months in the CS group was higher than that in the GA
group. The all-causing mortality of AIS patients in the CS group was lower than
that in the GA group. There were no differences in the proportion of IA rtPA and
thrombolysis between the two groups. Compared with AIS patients receiving GA, the
all-causing mortality in the AIS patients receiving CS was decreased, while
incidence of mRS score ? 2 at hospital discharge and one or three months was
increased.
PMID- 29789780
TI - Quantitative Motion Analysis of Tai Chi Chuan: The Upper Extremity Movement.
AB - The quantitative and reproducible analysis of the standard body movement in Tai
Chi Chuan (TCC) was performed in this study. We aimed to provide a reference of
the upper extremities for standardizing TCC practice. Microsoft Kinect was used
to record the motion during the practice of TCC. The preparation form and eight
essential forms of TCC performed by an instructor and 101 practitioners were
analyzed in this study. The instructor completed an entire TCC practice cycle and
performed the cycle 12 times. An entire cycle of TCC was performed by
practitioners and images were recorded for statistics analysis. The performance
of the instructor showed high similarity (Pearson correlation coefficient (r) =
0.71 ~ 0.84) to the first practice cycle. Among the 9 forms, lay form had the
highest similarity (rmean = 0.90) and push form had the lowest similarity (rmean
= 0.52). For the practitioners, ward off form (rmean = 0.51) and roll back form
(rmean = 0.45) had the highest similarity with moderate correlation. We used
Microsoft Kinect to record the spatial coordinates of the upper extremity joints
during the practice of TCC and the data to perform quantitative and qualitative
analysis of the joint positions and elbow joint angle.
PMID- 29789779
TI - Outcomes in Cardiogenic Shock Patients with Extracorporeal Membrane Oxygenation
Use: A Matched Cohort Study in Hospitals across the United States.
AB - Background: ECMO is increasingly used for patients with critical illnesses. This
study examines ECMO use in patients with cardiogenic shock in US hospitals and
associated outcomes (mortality, hospital length of stay, and total hospital
charges). Methods: A matched cohort retrospective study was conducted using the
2013 Nationwide Emergency Department Sample. Cardiogenic shock visits were
matched (1 : 1) and compared based on ECMO use. Results: Patients with ECMO (N =
802) were compared to patients without ECMO (N = 805). Mortality was higher in
the ECMO group (48.9% versus 4.0%, p < 0.001). Visits with ECMO use also had
higher average hospital charges ($580,065.8 versus $156,436.5, p < 0.001) and
average hospital LOS (21.3 versus 11.6 days, p < 0.001). After adjusting for
confounders, mortality (OR = 8.52 (95% CI: 2.84-25.58)) and charges (OR = 1.03
(95% CI: 1.02-1.05)) remained higher in the ECMO group, while LOS was similar (OR
= 1.01 (95% CI: 0.99-1.02)). Conclusions: Patients with cardiogenic shock who
underwent ECMO had increased mortality and higher cost of care without
significant increase in LOS when compared to patients with cardiogenic shock
without ECMO use. Prospective evaluation of this observed association is needed
to improve outcomes and resources' utilization further.
PMID- 29789781
TI - Serum Cystatin C Level Is Not a Promising Biomarker for Predicting
Clinicopathological Characteristics of Bladder Urothelial Tumors.
AB - The role of cystatin C (Cys-C) in tumorigenesis and progression of bladder
urothelial tumors (BUT) is still indefinite. We retrospectively collected the
clinical information from the records of 425 BUT patients. Pretreatment serum Cys
C levels were compared across the various groups. Then we subgroup the patients
with GFR >= 90 mg/min/1.73 m2, to exclude the effects of lower renal function on
cystatin C. No statistically significant differences in the levels of serum Cys-C
were found among the tumor characteristics (all P > 0.05). In conclusion,
circulating Cys-C was not a reliable predictor for clinicopathological
characteristics of BUT patients.
PMID- 29789782
TI - Natural History of Postoperative Adding-On in Adolescent Idiopathic Scoliosis:
What Are the Risk Factors for Progressive Adding-On?
AB - Purpose: To investigate the natural history of distal adding-on in adolescent
idiopathic scoliosis (AIS) and to identify risk factors for its progression.
Methods: Sixty-one AIS patients with distal adding-on occurrence were included.
We further classify distal adding-on into progressive and nonprogressive group
according to its natural evolution. The first radiograph indicating initiation of
adding-on (primary adding-on) and the last follow-up radiograph were compared in
terms of the deviation of the first vertebra below instrumentation from the CSVL
and the angulation of the first disc below instrumentation. Compared to primary
adding-on, progressive adding-on was defined as a further increase of deviation >
5 mm or a further increase of angulation > 5 degrees . Risk factors associated
with the progression of adding-on were analyzed. Results: Among 61 patients
diagnosed with distal adding-on, 24 (39.3%) were progressive and 37 (60.7%) were
nonprogressive. Lower Risser grade, open triradiate cartilage, and lowest
instrumented vertebra (LIV) proximal to Substantially Stable Vertebra (SSV) were
found to be significantly associated with the progressive adding-on. Besides, the
distal adding-on was more likely to progress for patients with higher left
shoulders than right ones after surgery. Conclusions: The risk factors for the
progression of adding-on included skeletal immaturity, LIV proximal to SSV, and
higher left shoulders after surgery.
PMID- 29789783
TI - miR-142-5p in Bone Marrow-Derived Mesenchymal Stem Cells Promotes Osteoporosis
Involving Targeting Adhesion Molecule VCAM-1 and Inhibiting Cell Migration.
AB - Osteoporosis is a systemic bone metabolic disease that is highly prevalent in the
elderly population, particularly in postmenopausal women, which results in
enhanced bone fragility and an increased susceptibility to fractures. However,
the underlying molecular pathogenesis mechanisms still remain to be further
elucidated. In this study, in a rat ovariectomy- (OVX-) induced postmenopausal
osteoporosis model, aberrant expression of a microRNA miR-142-5p and vascular
cell adhesion molecule 1 (VCAM-1) was found by RNA sequencing analysis and qRT
PCR. Using a dual-luciferase reporter assay, we found that miR-142-5p can bind to
and decrease expression of VCAM-1 mRNA. Such reduction was prohibited when the
miR-142-5p binding site in VCAM-1 3'UTR was deleted, and Western blotting
analyses validated the fact that miR-142-5p inhibited the expression of VCAM-1
protein. Bone marrow-derived mesenchymal stem cells (BMMSCs) transfected with miR
142-5p showed a significantly decreased migration ability in a Transwell
migration assay. Collectively, these data indicated the important role of miR-142
5p in osteoporosis development involving targeting VCAM-1 and inhibiting BMMSC
migration.
PMID- 29789786
TI - Isolation and Characterization of Two New Antimicrobial Acids from Quercus incana
(Bluejack Oak).
AB - Two new compounds [1-2] were purified from ethyl acetate fraction of Quercus
incana. The structure of these compounds is mainly established by using advanced
spectroscopic technique such as UV, IR, one-dimensional (ID) and two-dimensional
(2D) NMR techniques, and EI mass. The structural formula was deduced to be 4
hydroxydecanoic acid [1] and 4-hydroxy-3-(hydroxymethyl) pentanoic acid [2]. Both
isolated compounds were tested for their antimicrobial potential and showed
promising antifungal activity against Aspergillus niger and Aspergillus flavus.
PMID- 29789784
TI - Canine Leishmaniasis: An Overview of the Current Status and Strategies for
Control.
AB - Canine leishmaniasis (CanL) is a vector-borne disease caused by Leishmania
infantum and is transmitted by female phlebotomine sand flies primarily between
animals and secondarily to humans. The course of infection may be different from
one individual dog to another, ranging from spontaneous cure to acute evolution
that leads to death, if proper management and therapy are not adopted. A
parasitological cure is rarely achieved and clinical recurrences in CanL are
frequent. Vaccination associated with the use of topical insecticides is
undoubtedly the most effective form of prevention and control of the disease. In
order to integrate the most important scientific knowledge of the literature in
one objective publication, this review proposes a short overview of the main
points of CanL.
PMID- 29789785
TI - Chronic Intake of Commercial Sweeteners Induces Changes in Feeding Behavior and
Signaling Pathways Related to the Control of Appetite in BALB/c Mice.
AB - Nonnutritive sweetener use is a common practice worldwide. Although considered
safe for human consumption, accumulating evidence suggests these compounds may
affect metabolic homeostasis; however, there is no consensus on the role of
frequent sweetener intake in appetite and weight loss. We sought to determine
whether frequent intake of commercial sweeteners induces changes in the
JAK2/STAT3 signaling pathway in the brain of mice, as it is involved in the
regulation of appetite and body composition. We supplemented adult BALB/c mice
with sucrose, steviol glycosides (SG), or sucralose, daily, for 6 weeks. After
supplementation, we evaluated body composition and expression of total and
phosphorylated JAK2, STAT3, and Akt, as well as SOCS3 and ObRb, in brain tissue.
Our results show that frequent intake of commercial SG decreases energy intake,
adiposity, and weight gain in male animals, while increasing the expression of
pJAK2 and pSTAT3 in the brain, whereas sucralose increases weight gain and pJAK2
expression in females. Our results suggest that chronic intake of commercial
sweeteners elicits changes in signaling pathways that have been related to the
control of appetite and energy balance in vivo, which may have relevant
consequences for the nutritional state and long term health of the organism.
PMID- 29789788
TI - Development of Two Analytical Methods Based on Reverse Phase Chromatographic and
SDS-PAGE Gel for Assessment of Deglycosylation Yield in N-Glycan Mapping.
AB - N-lined glycosylation is one of the critical quality attributes (CQA) for
biotherapeutics impacting the safety and activity of drug product. Changes in
pattern and level of glycosylation can significantly alter the intrinsic
properties of the product and, therefore, have to be monitored throughout its
lifecycle. Therefore fast, precise, and unbiased N-glycan mapping assay is
desired. To ensure these qualities, using analytical methods that evaluate
completeness of deglycosylation is necessary. For quantification of
deglycosylation yield, methods such as reduced liquid chromatography-mass
spectrometry (LC-MS) and reduced capillary gel electrophoresis (CGE) have been
commonly used. Here we present development of two additional methods to evaluate
deglycosylation yield: one based on LC using reverse phase (RP) column and one
based on reduced sodium dodecyl sulphate-polyacrylamide gel electrophoresis (SDS
PAGE gel) with offline software (GelAnalyzer). With the advent of rapid
deglycosylation workflows in the market for N-glycan profiling replacing
overnight incubation, we have aimed to quantify the level of deglycosylation in a
selected rapid deglycosylation workflow. Our results have shown well resolved
peaks of glycosylated and deglycosylated protein species with RP-LC method
allowing simple quantification of deglycosylation yield of protein with high
confidence. Additionally a good correlation, >=0.94, was found between
deglycosylation yields estimated by RP-LC method and that of reduced SDS-PAGE gel
method with offline software. Evaluation of rapid deglycosylation protocol from
GlycanAssureTM HyPerformance assay kit performed on fetuin and RNase B has shown
complete deglycosylation within the recommended protocol time when evaluated with
these techniques. Using this kit, N-glycans from NIST mAb were prepared in 1.4 hr
and analyzed by hydrophilic interaction chromatography (HILIC) ultrahigh
performance LC (UHPLC) equipped with a fluorescence detector (FLD). 37 peaks were
resolved with good resolution. Excellent sample preparation repeatability was
found with relative standard deviation (RSD) of <5% for peaks with >0.5% relative
area.
PMID- 29789789
TI - Incidental Thoracic and Abdominal Findings in Diagnostic Imaging.
PMID- 29789787
TI - Physiological and Pathological Function of Serine/Arginine-Rich Splicing Factor 4
and Related Diseases.
AB - Serine/arginine-rich splicing factors (SRSFs) have one or two RNA recognition
motifs in the N terminal and a serine/arginine-enriched domain in the C terminal.
SRSFs are essential components of spliceosomes and are involved in alternative
splicing, spliceosome assembly, mRNA export, and nonsense-mediated mRNA decay.
The maintenance of cellular and tissue homeostasis relies on accurate alternative
splicing, and various patterns of abnormal alternative splicing can cause
different diseases. SRSF4 is associated with many physiological and pathological
processes and has applications in the diagnosis and prognosis of specific
diseases. In this review, we discuss knowledge of SRSF4 in physiological and
pathological processes and highlight the applications of SRSF4 in the regulation
of gene expression and associated diseases.
PMID- 29789790
TI - Distinct Epitopes on CD13 Mediate Opposite Consequences for Cell Adhesion.
AB - CD13 is a membrane glycoprotein with aminopeptidase activity, expressed on
several cell types, including myeloid cells (dendritic cells, monocytes,
macrophages, neutrophils, etc.). CD13 participates in several functions such as
proteolytic regulation of bioactive peptides, viral receptor, angiogenesis, and
tumor metastasis. CD13 has also been proposed to participate in cell adhesion, as
crosslinking of CD13 by certain CD13-specific antibodies induces homotypic
aggregation of monocytes and heterotypic adhesion of monocytes to endothelial
cells. We generated two monoclonal antibodies (mAbs C and E) that block homotypic
aggregation of U-937 monocytic cells induced by CD13-specific mAb 452. Moreover,
the mAbs cause detachment of cells whose aggregation was induced by CD13
crosslinking. Both mAbs also inhibit heterotypic adhesion of U-937 monocytes to
endothelial cells. mAbs C and E recognize membrane CD13 but bind to epitopes
different from that recognized by mAb 452. Crosslinking of CD13 by mAb C or E is
required to inhibit adhesion, as monovalent Fab fragments are not sufficient.
Thus, C and E antibodies recognize a distinct epitope on CD13, and binding to
this epitope interferes with both CD13-mediated cell adhesion and enzymatic
activity. These antibodies may represent important tools to study cell-cell
interactions mediated by CD13 in physiological and pathological conditions.
PMID- 29789791
TI - Association between Insulin-Like Growth Factor-1 and Uric Acid in Chinese
Children and Adolescents with Idiopathic Short Stature: A Cross-Sectional Study.
AB - Objective: The aim of this study was to examine the relationship between insulin
like growth factor-1 (IGF-1) and serum uric acid (UA) in Chinese children and
adolescents with idiopathic short stature (ISS). Methods: A cross-sectional study
of 91 Chinese children and adolescents with ISS was performed. Anthropometric
measurements and biochemical parameters were tested. The standard deviation score
of IGF-1 (IGF-1 SDS) was calculated. Results: A univariate analysis displayed a
significant positive correlation between IGF-1 SDS and UA (P = 0.004). In
multivariate piecewise linear regression, the levels of IGF-1 SDS increased with
the elevation of UA when UA was between 168 MUmol/L and 301 MUmol/L (beta 0.010,
95% CI 0.004-0.017; P = 0.002). The levels of IGF-1 SDS decreased with the
elevation of UA when UA was either less than 168 MUmol/L (beta -0.055, 95% CI
0.081--0.028; P < 0.001) or more than 301 MUmol/L (beta -0.005, 95% CI -0.013
0.002; P = 0.174). Conclusions: This study demonstrated a nonlinear relationship
between IGF-1 and UA levels in Chinese children and adolescents with ISS. This
finding suggests that either high or low levels of UA may have an adverse effect
on IGF-1, whereas appropriate UA levels have a beneficial effect.
PMID- 29789792
TI - Remote Ischemic Postconditioning Protects against Myocardial Ischemia-Reperfusion
Injury by Inhibition of the RAGE-HMGB1 Pathway.
AB - Background: The aim of the present study was to observe the effect of RAGE-HMGB1
signal pathway on remote ischemic postconditioning in mice with myocardial
ischemia reperfusion injury. Methods: Mice model of MIRI was established and
randomly divided into three groups: control group, ischemia reperfusion group,
and remote ischemic postconditioning group. Infarction size was detected by Evans
blue and TTC staining. Cardiac function was detected by echocardiography
measurement. The protein levels of RAGE, HMGB1, P-AKT, and ERK1/2 were detected
by Western blot 120 min following reperfusion. Results: RIPostC could decrease
the infarct size and increase LVEF and FS compared with I/R group. Two hours
after myocardial ischemia reperfusion, the levels of RAGE and HMGB1 were
significantly decreased in RIPostC group compared with those in I/R group. The
level of p-AKT was significantly higher in the RIPostC group than in the I/R
group. LY294002 significantly attenuated RIPostC-increased levels of Akt
phosphorylation. Conclusion: RIPostC may inhibit the expression of RAGE and HMGB1
and activate PI3K/Akt signaling pathway to extenuate ischemic reperfusion injury
in mice. It could further suppress the oxidative stress, have antiapoptosis
effect, and reduce inflammatory reaction, but this effect has certain timeliness.
PMID- 29789793
TI - Modern Myoma Treatment in the Last 20 Years: A Review of the Literature.
AB - Myomas, also known as fibroids, are a specific characteristic of the human
species. No other primates develop fibroids. At a cellular level, myomas are
benign hyperplastic lesions of uterine smooth muscle cells. There are interesting
theoretical concepts that link the development of myomas in humans with the
highly specific process of childbirth from an upright position and the resulting
need for greatly increased "expulsive" forces during labor. Myomas might be the
price our species pays for our bipedal and highly intelligent existence. Myomas
affect, with some variability, all ethnic groups and approximately 50% of all
women during their lifetime. While some remain asymptomatic, myomas can cause
significant and sometimes life-threatening uterine bleeding, pain, infertility,
and, in extreme cases, ureteral obstruction and death. Traditionally, over 50% of
all hysterectomies were performed for fibroids, leading to a significant
healthcare burden. In this article, we review the developments of the past 20
years with regard to multiple new treatment strategies that have evolved during
this time.
PMID- 29789794
TI - Biodegradable Alginate-Chitosan Hollow Nanospheres for Codelivery of Doxorubicin
and Paclitaxel for the Effect of Human Lung Cancer A549 Cells.
AB - A biodegradable alginate coated chitosan hollow nanosphere (ACHN) was prepared by
a hard template method and used for codelivery of doxorubicin (DOX) and
paclitaxel (PTX) to investigate the effect on human lung cancer A549 cells. PTX
was loaded into the nanometer hollow structure of ACHN through adsorption method.
DOX was coated on surface of ACHN through electrostatic interaction. Drug release
studies exhibited a sustained-release effect. According to X-ray diffraction
patterns (XRD), differential scanning calorimetry (DSC), and Fourier transform
infrared spectroscopy (FT-IR) analysis, DOX structure in the loading samples (DOX
PTX-ACHN) was of amorphous state while PTX was microcrystalline. Cytotoxicity
experiments showed ACHN was nontoxic as carrier material and the combination of
DOX and PTX in DOX-PTX-ACHN exhibited a good inhibiting effect on cell
proliferation. Cell uptake experiments demonstrated that DOX-PTX-ACHN accumulated
in the cytoplasm. Degradation experiments illustrated that ACHN was a
biodegradable material. In summary, these results clearly indicate that ACHN can
be utilized as a potential biomaterial to transport multiple drugs to be used in
combination therapy.
PMID- 29789796
TI - Removal of Nitrate in Simulated Water at Low Temperature by a Novel
Psychrotrophic and Aerobic Bacterium, Pseudomonas taiwanensis Strain J.
AB - Low temperatures and high pH generally inhibit the biodenitrification. Thus, it
is important to explore the psychrotrophic and alkali-resisting microorganism for
degradation of nitrogen. This research was mainly focused on the identification
of a psychrotrophic strain and preliminary explored its denitrification
characteristics. The new strain J was isolated using the bromothymol blue solid
medium and identified as Pseudomonas taiwanensis on the basis of morphology and
phospholipid fatty acid as well as 16S rRNA gene sequence analyses, which is
further testified to work efficiently for removing nitrate from wastewater at low
temperature circumstances. This is the first report that Pseudomonas taiwanensis
possessed excellent tolerance to low temperature, with 15 degrees C as its
optimum and 5 degrees C as viable. The Pseudomonas taiwanensis showed unusual
ability of aerobic denitrification with the nitrate removal efficiencies of 100%
at 15 degrees C and 51.61% at 5 degrees C. Single factor experiments showed that
the optimal conditions for denitrification were glucose as carbon source, 15
degrees C, shaking speed 150 r/min, C/N 15, pH >= 7, and incubation quantity 2.0
* 106 CFU/mL. The nitrate and total nitrogen removal efficiencies were up to 100%
and 93.79% at 15 degrees C when glucose is served as carbon source. These results
suggested that strain J had aerobic denitrification ability, as well as the
notable ability to tolerate the low temperature and high pH.
PMID- 29789795
TI - Clinical and Experimental Evidences of Hydrogen Sulfide Involvement in Lead
Induced Hypertension.
AB - Lead- (Pb-) induced hypertension has been shown in humans and experimental
animals and cardiovascular effects of hydrogen sulfide (H2S) have been reported
previously. However, no studies examined involvement of H2S in Pb-induced
hypertension. We found increases in diastolic blood pressure and mean blood
pressure in Pb-intoxicated humans followed by diminished H2S plasmatic levels. In
order to expand our findings, male Wistar rats were divided into four groups:
Saline, Pb, NaHS, and Pb + NaHS. Pb-intoxicated animals received
intraperitoneally (i.p.) 1st dose of 8 MUg/100 g of Pb acetate and subsequent
doses of 0.1 MUg/100 g for seven days and sodium hydrosulfide- (NaHS-) treated
animals received i.p. NaHS injections (50 MUmol/kg/twice daily) for seven days.
NaHS treatment blunted increases in systolic blood pressure, increased H2S
plasmatic levels, and diminished whole-blood lead levels. Treatment with NaHS in
Pb-induced hypertension seems to induce a protective role in rat aorta which is
dependent on endothelium and seems to promote non-NO-mediated relaxation. Pb
intoxication increased oxidative stress in rats, while treatment with NaHS
blunted increases in plasmatic MDA levels and increased antioxidant status of
plasma. Therefore, H2S pathway may be involved in Pb-induced hypertension and
treatment with NaHS exerts antihypertensive effect, promotes non-NO-mediated
relaxation, and decreases oxidative stress in rats with Pb-induced hypertension.
PMID- 29789797
TI - Impact of Static Magnetic Field on the Antioxidant Defence System of Mice
Fibroblasts.
AB - Results of research assessing the biological impact of static magnetic fields are
controversial. So far, they have not provided a clear answer to their influence
on cell functioning. Since the use of permanent magnets both in everyday life and
in industry becomes more and more widespread, the investigations are continued in
order to explain these controversies and to evaluate positive applications. The
goal of current work was to assess the impact of static magnetic field of
different intensities on redox homeostasis in cultures of fibroblasts. The use of
permanent magnets allowed avoiding the thermal effects which are present in
electromagnets. During the research we used 6 chambers, designed exclusively by
us, with different values of field flux density (varying from 0.1 to 0.7 T). We
have noted the decrease in the activity of superoxide dismutase (SOD) and
glutathione peroxidase (GPx). The static magnetic fields did not modify the
energy state of fibroblasts- adenosine triphosphate (ATP) concentration was
stable, as well as the generation of malondialdehyde (MDA)-which is a marker of
oxidative stress. Results of research suggest that static magnetic fields
generated by permanent magnets do not cause oxidative stress in investigated
fibroblasts and that they may show slight antioxidizing activity.
PMID- 29789799
TI - The Impact of a Clinical Asthma Pathway on Resident Education.
AB - Clinical pathways for asthma management decrease hospital cost and length of
stay; however little is known about the educational impact of pathways on
residents. Pediatric residents at a children's hospital (N = 114) were invited to
complete a 22-item computerized, anonymous survey 6 months before and 6 months
after asthma pathway implementation. The survey assessed pathway use and
residents (1) pathway knowledge, (2) attitudes and experiences with managing
asthma, and (3) perceived educational benefits. Mean pathway knowledge score
increased from the case before to the case after implementation [1.5 +/- 1.0
versus 2.6 +/- 1.3, p < 0.001], as did high preparedness to manage asthma [61%
versus 91%, p < 0.001] and electronic order set use [28% versus 80%, p < 0.001].
The top three educational benefits of the pathway endorsed by residents were
application of evidence-based medicine (57%), ability to assess exacerbations
(52%), and skill at communicating respiratory status (47%). After implementation,
residents' knowledge and preparedness to manage asthma improved as well as many
endorsed educational benefits.
PMID- 29789798
TI - The Relation between Sarcopenia and Mortality in Patients at Intensive Care Unit.
AB - Background and Aim: Psoas muscle area (PMA) can reflect the status of skeletal
muscle in the whole body. It has been also reported that decreased PMA was
associated with postoperative mortality or morbidity after several surgical
procedures. In this study, we aimed to investigate the relation between PMA and
mortality in all age groups in intensive care unit (UNIT). Materials and Method:
The study consists of 362 consecutive patients. The demographic characteristics
of patients, indications for ICU hospitalization, laboratory parameters, and
clinical parameters consist of mortality and length of stay, and surgery history
was obtained from intensive care archive records. Results: The mean age was 61.2
+/- 18.2 years, and the percentage of female was 33.3%. The mean duration of stay
was 10.3 +/- 24.4 days. Exitus ratio, partial healing, and healing were 25%, 70%,
and 5%, respectively. The mean right, left, and total PMA were 8.7 +/- 3.6, 8.9
+/- 3.4, and 17.6 +/- 6.9, respectively. The left and total PMA averages of the
nonoperation patients were statistically significantly lower (p = 0.021 p =
0.043). The mean PMA between the ex and recovered patients were statistically
significantly lower (p = 0.001, p = 0.001, p < 0.001). Dyspnoea, renal
insufficiency, COPD, transfusion rate, operation rate, ventilator needy, and mean
duration of hospitalization were statistically significant higher in patients
with exitus. There is a significant difference in operation types, anesthesia
type, and clinic rates. Conclusion: Our data suggest that sarcopenia can be used
to risk stratification in ICU patients. Future studies may use this technique to
individualize postoperative interventions that may reduce the risk for an adverse
discharge disposition related to critical illness, such as early mobilization,
optimized nutritional support, and reduction of sedation and opioid dose.
PMID- 29789802
TI - Successful Formulation and Application of Plant Growth-Promoting Kosakonia
radicincitans in Maize Cultivation.
AB - The global market for biosupplements is expected to grow by 14 percent between
2014 and 2019 as a consequence of the proven benefits of biosupplements on crop
yields, soil fertility, and fertilizer efficiency. One important segment of
biosupplements is plant growth-promoting bacteria (PGPB). Although many potential
PGPB have been discovered, suitable biotechnological processing and shelf-life
stability of the bacteria are challenges to overcome for their successful use as
biosupplements. Here, the plant growth-promoting Gram-negative strain Kosakonia
radicincitans DSM 16656T (family Enterobacteriaceae) was biotechnologically
processed and applied in the field. Solid or liquid formulations of K.
radicincitans were diluted in water and sprayed on young maize plants (Zea mays
L.). Shelf-life stability tests of formulated bacteria were performed under 4
degrees C and -20 degrees C storage conditions. In parallel, the bacterial
formulations were tested at three different farm level field plots characterized
by different soil properties. Maize yield was recorded at harvest time, and both
formulations increased maize yields in silage as well as grain maize, underlining
their positive impact on different agricultural systems. Our results demonstrate
that bacteria of the family Enterobacteriaceae, although incapable of forming
spores, can be processed to successful biosupplements.
PMID- 29789800
TI - LraI from Lactococcus raffinolactis BGTRK10-1, an Isoschizomer of EcoRI, Exhibits
Ion Concentration-Dependent Specific Star Activity.
AB - Restriction enzymes are the main defence system against foreign DNA, in charge of
preserving genome integrity. Lactococcus raffinolactis BGTRK10-1 expresses LraI
Type II restriction-modification enzyme, whose activity is similar to that shown
for EcoRI; LraI methyltransferase protects DNA from EcoRI cleavage. The gene
encoding LraI endonuclease was cloned and overexpressed in E. coli. Purified
enzyme showed the highest specific activity at lower temperatures (between 13
degrees C and 37 degrees C) and was stable after storage at -20 degrees C in 50%
glycerol. The concentration of monovalent ions in the reaction buffer required
for optimal activity of LraI restriction enzyme was 100 mM or higher. The
recognition and cleavage sequence for LraI restriction enzyme was determined as
5'-G/AATTC-3', indicating that LraI restriction enzyme is an isoschizomer of
EcoRI. In the reaction buffer with a lower salt concentration, LraI exhibits star
activity and specifically recognizes and cuts another alternative sequence 5'
A/AATTC-3', leaving the same sticky ends on fragments as EcoRI, which makes them
clonable into a linearized vector. Phylogenetic analysis based on sequence
alignment pointed out the common origin of LraI restriction-modification system
with previously described EcoRI-like restriction-modification systems.
PMID- 29789803
TI - The Productivity Dynamics of China's Environmentally Friendly Production
Technologies in terms of Wastewater Treatment Techniques.
AB - Low economic profit usually reduces the incentive of producers to operate their
wastewater treatment technologies effectively. It is necessary to investigate the
performance of environmentally friendly production technologies that reduce
wastewater discharges and generate economic outputs simultaneously (EPTWs) in
China over the past decade. In this paper, we apply the Malmquist-Luenberger
productivity index widely used in the field of economics to evaluate the
productivity change of EPTWs for 30 administrative provinces in China during 2003
2015. The pathways of the productivity change are further identified by
decomposing the productivity index into two components: technological change and
technical efficiency change. The results show that China's environmental
productivity index associated with wastewater reduction had undergone a downward
trend, and evident spatial disparities are observed among the 30 provincial
regions. Moreover, the changes of China's environmental productivity over the
whole studied period can mainly be attributed to technological progress, while
the technical efficiency component has contributed little, although its annual
contributing rate is in an increasing trend.
PMID- 29789801
TI - Exercise Prevents Diaphragm Wasting Induced by Cigarette Smoke through Modulation
of Antioxidant Genes and Metalloproteinases.
AB - Background: The present study aimed to analyze the effects of physical training
on an antioxidant canonical pathway and metalloproteinases activity in diaphragm
muscle in a model of cigarette smoke-induced chronic obstructive pulmonary
disease (COPD). Methods: Male mice were randomized into control, smoke, exercise,
and exercise + smoke groups, which were maintained in trial period of 24 weeks.
Gene expression of kelch-like ECH-associated protein 1; nuclear factor erythroid
2 like 2; and heme-oxygenase1 by polymerase chain reaction was performed.
Metalloproteinases 2 and 9 activities were analyzed by zymography. Exercise
capacity was evaluated by treadmill exercise test before and after the protocol.
Results: Aerobic training inhibited diaphragm muscle wasting induced by cigarette
smoke exposure. This inhibition was associated with improved aerobic capacity in
those animals that were submitted to 24 weeks of aerobic training, when compared
to the control and smoke groups, which were not submitted to training. The
aerobic training also downregulated the increase of matrix metalloproteinases
(MMP-2 and MMP-9) and upregulated antioxidant genes, such as nuclear factor
erythroid-2 like 2 (NRF2) and heme-oxygenase1 (HMOX1), in exercise + smoke group
compared to smoke group. Conclusions: Treadmill aerobic training protects
diaphragm muscle wasting induced by cigarette smoke exposure involving
upregulation of antioxidant genes and downregulation of matrix
metalloproteinases.
PMID- 29789805
TI - In Silico Knockout Screening of Plasmodium falciparum Reactions and Prediction of
Novel Essential Reactions by Analysing the Metabolic Network.
AB - Malaria is an infectious disease that affects close to half a million individuals
every year and Plasmodium falciparum is a major cause of malaria. The treatment
of this disease could be done effectively if the essential enzymes of this
parasite are specifically targeted. Nevertheless, the development of the parasite
in resisting existing drugs now makes discovering new drugs a core
responsibility. In this study, a novel computational model that makes the
prediction of new and validated antimalarial drug target cheaper, easier, and
faster has been developed. We have identified new essential reactions as
potential targets for drugs in the metabolic network of the parasite. Among the
top seven (7) predicted essential reactions, four (4) have been previously
identified in earlier studies with biological evidence and one (1) has been with
computational evidence. The results from our study were compared with an
extensive list of seventy-seven (77) essential reactions with biological evidence
from a previous study. We present a list of thirty-one (31) potential candidates
for drug targets in Plasmodium falciparum which includes twenty-four (24) new
potential candidates for drug targets.
PMID- 29789806
TI - Different Membrane Pathways Mediate Ca2+ Influx in Adrenal Chromaffin Cells
Exposed to 150-400 ns Electric Pulses.
AB - Exposing adrenal chromaffin cells to 5 ns electric pulses (nsPEF) causes a rapid
rise in intracellular Ca2+ ([Ca2+]i) that is solely the result of Ca2+ influx
through voltage-gated Ca2+ channels (VGCCs). This study explored the effect of
longer duration nsPEF on [Ca2+]i. Single 150, 200, or 400 ns pulses at 3.1 kV/cm
evoked rapid increases in [Ca2+]i, the magnitude of which increased linearly with
pulse width and electric field amplitude. Recovery of [Ca2+]i to prestimulus
levels was faster for 150 ns exposures. Regardless of pulse width, no rise in
[Ca2+]i occurred in the absence of extracellular Ca2+, indicating that the source
of Ca2+ was from outside the cell. Ca2+ responses evoked by a 150 ns pulse were
inhibited to varying degrees by omega-agatoxin IVA, omega-conotoxin GVIA,
nitrendipine or nimodipine, antagonists of P/Q-, N-, and L-type VGCCs,
respectively, and by 67% when all four types of VGCCs were blocked
simultaneously. The remaining Ca2+ influx insensitive to VGCC inhibitors was
attributed to plasma membrane nanoporation, which comprised the E-field sensitive
component of the response. Both pathways of Ca2+ entry were inhibited by 200 MUM
Cd2+. These results demonstrate that, in excitable chromaffin cells, single 150
400 ns pulses increased the permeability of the plasma membrane to Ca2+ in
addition to causing Ca2+ influx via VGCCs.
PMID- 29789807
TI - Real-Time Measurement of Ocular Wavefront Aberrations in Symptomatic Subjects.
AB - The purpose of this work was to study the real-time changes of the optical
properties of the eye with accommodation in subjects with symptoms of
accommodative disorders. From ocular aberrations, it is possible to compute
several parameters like the response and lag of accommodation. The ocular
aberrations were measured in 4 subjects, with different accommodative disorders,
during several cycles of accommodation/disaccommodation and for different
accommodative stimuli. The measurement was done continuously and in real time
during different accommodative stimuli. It was possible to see the changes in
accommodative response during the several stimuli of accommodation. Subjects with
accommodative disorders showed different accommodative responses. The use of
wavefront ocular aberrations can be a tool to diagnose accommodative disorders.
In some subjects with complaints, this method showed irregularities even when the
results of the usual clinical exams were normal.
PMID- 29789804
TI - Health Risk Behaviour among Adolescents Living with HIV in Sub-Saharan Africa: A
Systematic Review and Meta-Analysis.
AB - The burden of health risk behaviour (HRB) among adolescents living with HIV
(ALWHIV) in sub-Saharan Africa (SSA) is currently unknown. A systematic search
for publications on HRB among ALWHIV in SSA was conducted in PubMed, Embase,
PsycINFO, and Applied Social Sciences Index and Abstracts databases. Results were
summarized following PRISMA guidelines for systematic reviews and meta-analyses.
Heterogeneity was assessed by the DerSimonian and Laird method and the pooled
estimates were computed. Prevalence of current condom nonuse behaviour was at
59.8% (95% CI: 47.9-71.3%), risky sexual partnerships at 32.9% (95% CI: 15.4
53.2%), transactional sex at 20.1% (95% CI: 9.2-33.8%), and the experience of
sexual violence at 21.4% (95% CI: 16.3-27.0%) among ALWHIV. From this meta
analysis, we did not find statistically significant differences in pooled
estimates of HRB prevalence between ALWHIV and HIV uninfected adolescents.
However, there was mixed evidence on the occurrence of alcohol and drug use
behaviour. Overall, we found that research on HRB among ALWHIV tends to focus on
behaviour specific to sexual risk. With such a high burden of HRB for the
individuals as well as society, these findings highlight an unmet need for age
appropriate interventions to address the behavioural needs of these adolescents.
PMID- 29789808
TI - The Value of 18F-FDG PET/CT Mathematical Prediction Model in Diagnosis of
Solitary Pulmonary Nodules.
AB - Purpose: To establish an 18F-fluorodeoxyglucose (18F-FDG) positron emission
tomography/computed tomography (PET/CT) mathematical prediction model to improve
the diagnosis of solitary pulmonary nodules (SPNs). Materials and Methods: We
retrospectively reviewed 177 consecutive patients who underwent 18F-FDG PET/CT
for evaluation of SPNs. The mathematical model was established by logistic
regression analysis. The diagnostic capabilities of the model were calculated,
and the areas under the receiver operating characteristic curve (AUC) were
compared with Mayo and VA model. Results: The mathematical model was y =
exp?(x)/[1 + exp?(x)], x = -7.363 + 0.079 * age + 1.900 * lobulation + 1.024 *
vascular convergence + 1.530 * pleural retraction + 0.359 * the maximum of
standardized uptake value (SUVmax). When the cut-off value was set at 0.56, the
sensitivity, specificity, and accuracy of our model were 86.55%, 74.14%, and
81.4%, respectively. The area under the receiver operating characteristic curve
(AUC) of our model was 0.903 (95% confidence interval (CI): 0.860 to 0.946). The
AUC of our model was greater than that of the Mayo model, the VA model, and PET
(P < 0.05) and has no difference with that of PET/CT (P > 0.05). Conclusion: The
mathematical predictive model has high accuracy in estimating the malignant
probability of patients with SPNs.
PMID- 29789810
TI - RNA from Trained Aplysia Can Induce an Epigenetic Engram for Long-Term
Sensitization in Untrained Aplysia.
AB - The precise nature of the engram, the physical substrate of memory, remains
uncertain. Here, it is reported that RNA extracted from the central nervous
system of Aplysia given long-term sensitization (LTS) training induced
sensitization when injected into untrained animals; furthermore, the RNA-induced
sensitization, like training-induced sensitization, required DNA methylation. In
cellular experiments, treatment with RNA extracted from trained animals was found
to increase excitability in sensory neurons, but not in motor neurons,
dissociated from naive animals. Thus, the behavioral, and a subset of the
cellular, modifications characteristic of a form of nonassociative long-term
memory (LTM) in Aplysia can be transferred by RNA. These results indicate that
RNA is sufficient to generate an engram for LTS in Aplysia and are consistent
with the hypothesis that RNA-induced epigenetic changes underlie memory storage
in Aplysia.
PMID- 29789809
TI - Development of Step-Count Cut Points for School-Day Vigorous Physical Activity.
AB - Background: No study has established step-count cut points for varying amounts of
accelerometer-assessed vigorous physical activity (VPA) accrued during the school
day in children. The purpose of this study was to establish step-count cut points
for discriminating children meeting VPA in 5 minutes, 10 minutes, 15 minutes, and
20 minutes per 7-hour school day. Methods: Participants were a convenience sample
of 1,053 children (mean age = 8.4 (1.8) years) recruited from 5 schools from the
Mountain West region of the USA. Data within students were observed across
multiple semesters totaling 2,119 separate observations. Step counts and time in
VPA were assessed using ActiGraph wGT3X-BT triaxial accelerometers that were worn
during the entirety of a 7-hour school day for one school week. Average censored
step counts and minutes in VPA were calculated across 3 to 5 days. Receiver
operating characteristic (ROC) curves were employed to derive step counts via
calculation of the maximum Youden J statistic. Results: Area-under-the-curve
(AUC) scores ranged from AUC = 0.81 (95% CI: 0.78-0.83; p < 0.001) for meeting at
least 5 minutes of VPA to AUC = 0.94 (95% CI: 0.88-1.00, p < 0.001) for meeting
at least 20 minutes of VPA. Approximately 3,460 steps best discriminated children
meeting at least 5 minutes of VPA (sensitivity = 74.0%, specificity = 74.0%, and
accuracy = 74.1%) and approximately 5,628 steps best discriminated children
meeting at least 20 minutes per day of VPA (sensitivity = 85.7%, specificity =
95.1%, and accuracy = 95.1%). Conclusion: Step counts can discriminate with
reasonable accuracy children that meet at least 5 minutes of school-day VPA and
with strong accuracy children that meet 20 minutes of school-day VPA.
PMID- 29789812
TI - Cannabis and the Opioid Crisis.
PMID- 29789811
TI - Uncovering Neuronal Networks Defined by Consistent Between-Neuron Spike Timing
from Neuronal Spike Recordings.
AB - It is widely assumed that distributed neuronal networks are fundamental to the
functioning of the brain. Consistent spike timing between neurons is thought to
be one of the key principles for the formation of these networks. This can
involve synchronous spiking or spiking with time delays, forming spike sequences
when the order of spiking is consistent. Finding networks defined by their
sequence of time-shifted spikes, denoted here as spike timing networks, is a
tremendous challenge. As neurons can participate in multiple spike sequences at
multiple between-spike time delays, the possible complexity of networks is
prohibitively large. We present a novel approach that is capable of (1)
extracting spike timing networks regardless of their sequence complexity, and (2)
that describes their spiking sequences with high temporal precision. We achieve
this by decomposing frequency-transformed neuronal spiking into separate
networks, characterizing each network's spike sequence by a time delay per
neuron, forming a spike sequence timeline. These networks provide a detailed
template for an investigation of the experimental relevance of their spike
sequences. Using simulated spike timing networks, we show network extraction is
robust to spiking noise, spike timing jitter, and partial occurrences of the
involved spike sequences. Using rat multineuron recordings, we demonstrate the
approach is capable of revealing real spike timing networks with sub-millisecond
temporal precision. By uncovering spike timing networks, the prevalence,
structure, and function of complex spike sequences can be investigated in greater
detail, allowing us to gain a better understanding of their role in neuronal
functioning.
PMID- 29789813
TI - Cannabis for the Management of Cancer Symptoms: THC Version 2.0?
PMID- 29789814
TI - Endolymphatic Ethiodized Oil Intranodal Lymphangiography and Cyanoacrylate Glue
Embolization for the Treatment of Postoperative Lymphatic Leak After Robot
Assisted Laparoscopic Pelvic Resection.
AB - Purpose: To report the approach, technical success, clinical outcomes,
complications, and follow-up of ethiodized oil intranodal lymphangiography with
cyanoacrylate glue embolization for the treatment of lymphatic leak after robot
assisted laparoscopic pelvic resection. Materials and Methods: Four men with mean
age 68.7 +/- 14.3 years were treated with ethiodized oil intranodal
lymphangiography with cyanoacrylate embolization for postoperative lymphatic
leak. Patients underwent either (1) cystoprostatectomy with ileal conduit and
bilateral extensive pelvic lymph node dissection for muscle-invasive urothelial
carcinoma and presented with postoperative lymphatic ascites (n = 2) or (2)
prostatectomy with bilateral standard pelvic lymph node dissection for prostate
carcinoma and presented with postoperative pelvic lymphoceles (n = 2). Intranodal
lymphangiography and embolization procedural details, technical success, clinical
outcomes, and follow-up were recorded. Results: In four patients, a total of six
ethiodized oil intranodal lymphangiograms were performed, two procedures being
repeated interventions. Inguinal lymph node catheterization and ethiodized oil
lymphangiography was technically effective in all procedures. A mean of 5.2 +/-
2.0 mL of ethiodized oil was used for lymphatic opacification. Cyanoacrylate was
diluted to 24.2% with ethiodized oil and 0.44 mL of cyanoacrylate was instilled
during first time interventions. On repeat procedures, cyanoacrylate was diluted
to 51.7%, and 0.52 mL was instilled. The primary clinical success rate was 50% (n
= 2/4). Clinical success was achieved in all patients after two interventions (n
= 4; 100%). No complications were reported at mean follow-up of 134.7 +/- 79.2
days (range: 59-248 days). Conclusion: Ethiodized oil intranodal lymphangiography
with direct cyanoacrylate glue embolization is a minimally invasive treatment
option for lymphatic leak after pelvic resection.
PMID- 29789815
TI - Challenging Case: Robot-Assisted Laparoscopic Prostatectomy After Prior
Suprapubic Open Prostatectomy.
AB - Introduction: Given the ubiquity of robot-assisted laparoscopic prostatectomy
(RALP) for treatment of localized prostate cancer, more surgeons are encountering
challenging cases, either secondary to difficult anatomy, prior abdominal
surgery, or prior radiation therapy. Our case is of RALP in a patient after prior
suprapubic prostatectomy. Case Presentation: A 61-year-old otherwise healthy
Hispanic gentleman presented for consultation after being found to have Gleason 4
+ 4 = 8 prostate cancer on transrectal ultrasound-guided biopsy by an outside
provider in July 2017. He had previously undergone suprapubic simple
prostatectomy for benign prostatic hyperplasia (BPH) in Nicaragua more than a
decade prior. The patient underwent RALP with bilateral nerve sparing in
September 2017. The surgery was challenging in that extensive lysis of adhesions
had to be performed and typical dissecting planes at the bladder neck and apex
were distorted, insofar as meticulous care was taken to judiciously use thermal
energy and rely on blunt dissection at these critical junctures. That being said,
there were no operative or postoperative complications, the patient was
discharged on postoperative day 1, and at 3-month follow-up, the patient was
fully continent, maintained erections adequate for sexual intercourse, and had a
prostate specific antigen <0.1. Pathology report returned Gleason 3 + 3 = 6
disease with negative surgical margins. Discussion: There is only one other
example in the literature of RALP being performed after prior suprapubic
prostatectomy. Our large RALP case volume (>5000 patients for a single surgeon
and counting) provided us with the necessary experience required for encountering
atypical anatomy, and thereby contributed to our patient's effective surgical
outcome, both oncologic and functional. Conclusion: RALP for treatment of
prostate cancer is a safe and appropriate option in men who have previously
undergone suprapubic open prostatectomy for BPH, especially in the hands of an
experienced surgeon.
PMID- 29789816
TI - An anionic sod-type terbium-MOF with extra-large cavities for effective
anthocyanin extraction and methyl viologen detection.
AB - An anionic sod-type zeolitic metal-organic framework [(CH3)2NH2]9{Tb6(eta6
TATAT)4(H2O)12}.3Cl.DMA.7H2O [1, H6TATAT = 5,5',5''-(1,3,5-triazine-2,4,6
triyltriimino)tri-1,3-benzenedicarboxylic acid] has been synthesized by metal
ligand directed assembly of hexacarboxylic acid units and Tb3+ ions. Compound 1
with extra-large cavities can efficiently extract natural product anthocyanins
from blackberries and release them rapidly into NaCl aqueous solution. And, the
nature of the anionic framework makes it very sensitive to detect cationic methyl
viologen (MV2+) with a detection limit as low as 1 * 10-8 M.
PMID- 29789817
TI - SO2 absorption in EmimCl-TEG deep eutectic solvents.
AB - Deep eutectic solvents (DESs) based on 1-ethyl-3-methylimidazolium chloride
(EmimCl) and triethylene glycol (TEG) with different molar ratios (from 6 : 1 to
1 : 1) were prepared. FTIR and theoretical calculation indicated that the C2-H on
the imidazolium ring form hydrogen bonds with the hydroxyl group rather than the
ether O atom of the TEG. The EmimCl-TEG DESs can efficiently capture SO2; in
particular, EmimCl-TEG (6 : 1) can capture 0.54 g SO2 per gram of solvent at 0.10
atm and 20 degrees C, the highest absorption amount for DESs under the same
conditions. Theoretical calculation showed that the high SO2 absorption capacity
was mainly due to the strong charge-transfer interaction between SO2 and the
anion Cl-. Moreover, SO2 desorption in the DESs can be controlled by tuning the
interaction between EmimCl and TEG, and the DESs can be cycled many times.
PMID- 29789818
TI - The effect of hydration on the electronic structure and stability of the
superalkali cation Li3.
AB - The interaction of the superalkali cation Li3+ with water molecules, as well as
the structures and stability of the resulting water complexes are theoretically
studied at the MP2/6-311++G(d,p) level. A great number of geometrical
configurations were obtained for the Li3+(H2O)n (n = 1-5) complexes and Li3+ is
found to have a maximum coordination number of four. Natural population analysis
shows that the charge distribution of Li3+ becomes seriously uneven upon
interaction with five water molecules, so it loses ring conjugation and splits in
the lowest-energy isomer of Li3+(H2O)5. Localized molecular orbital energy
decomposition analysis indicates a dominant contribution of electrostatic
interactions to the binding of water molecules to Li3+, which is similar to the
case of lithium ion hydrates. However, as the number of water ligands reaches
five, the contribution of the exchange-repulsion energy exhibits a sharp increase
and even exceeds that of the electrostatic term.
PMID- 29789819
TI - Exploring the cellular uptake and localisation of phosphorescent rhenium fac
tricarbonyl metallosurfactants as a function of lipophilicity.
AB - A systematic study of the cellular uptake of emissive complexes as a function of
their lipophilicity is presented. Here a series of amphiphilic rhenium fac
tricarbonyl bisimine complexes bearing axial substituted imidazole or thiazole
ligands, [Re(bpy)(CO)3(ImCnHm)]+ {n = 1 m = 3 (1+), n = 4 m = 9 (2+), n = 8 m =
17 (3+), n = 12 m = 25 (4+), n = 16 m = 33 (5+), n = 2 m = 3 (6+); bpy = 2,2'
bipyridine, Im = imidazole} and [Re(bpy)(CO)3(L)]+ {L = 1-mesitylimidazole, ImMes
(7+), 4,5-dimethylthiazole, dmt (8+) and 4-methyl-5-thiazole-ethanol, mte (9+)}
is reported. The X-ray crystal structures of 2+, 8+ and 9+ confirm the geometry
and expected distribution of ligands and indicated that the plane of the
imidazole/thiazole ring is approximately parallel to the long axis of the bipy
ligand. Luminescence studies revealed excellent properties for their use in cell
imaging with visible excitation and broad emission profiles. Their uptake in two
distinct species has been examined by fluorescence imaging of the diplomonad fish
parasite Spironucleus vortens (S. vortens) and rod-shaped yeast
Schizosaccharomyces pombe (Schiz. pombe) as a function of their lipophilicity.
The uptake of the complexes was highest for the more lipophilic 2+-5+ in both S.
vortens and Schiz. pombe in which the long alkyl chain aids in crossing bilipid
membranes. However, the increased lipophilicity of longer chains also resulted in
greater toxicity. Localisation over the whole cell varied with differing alkyl
chain lengths with complex 2+ preferentially locating to the nucleus of S.
vortens, 3+ showing enhanced nuclear partitioning in Schiz. pombe, and 4+ for the
remaining cell wall bound in the case of S. vortens. Interestingly, complexes of
intermediate lipophilicity such as 7+ and 8+ showed reasonable uptake, proved to
be non-toxic, and were capable of crossing exterior cell walls and localising in
the organelles of the cells.
PMID- 29789820
TI - Structural mechanisms of oligomer and amyloid fibril formation by the prion
protein.
AB - Misfolding and aggregation of the prion protein is responsible for multiple
neurodegenerative diseases. Works from several laboratories on folding of both
the WT and multiple pathogenic mutant variants of the prion protein have
identified several structurally dissimilar intermediates, which might be
potential precursors to misfolding and aggregation. The misfolded aggregates
themselves are morphologically distinct, critically dependent on the solution
conditions under which they are prepared, but always beta-sheet rich. Despite the
lack of an atomic resolution structure of the infectious pathogenic agent in
prion diseases, several low resolution models have identified the beta-sheet rich
core of the aggregates formed in vitro, to lie in the alpha2-alpha3 subdomain of
the prion protein, albeit with local stabilities that vary with the type of
aggregate. This feature article describes recent advances in the investigation of
in vitro prion protein aggregation using multiple spectroscopic probes, with
particular focus on (1) identifying aggregation-prone conformations of the
monomeric protein, (2) conditions which trigger misfolding and oligomerization,
(3) the mechanism of misfolding and aggregation, and (4) the structure of the
misfolded intermediates and final aggregates.
PMID- 29789821
TI - A supramolecular photosensitizer system based on the host-guest complexation
between water-soluble pillar[6]arene and methylene blue for durable photodynamic
therapy.
AB - A supramolecular photosensitizer system WP6-MB was synthesized based on water
soluble pillar[6]arene and the photosensitizer methylene blue (MB) via host-guest
interaction. MB can complex with WP6 directly with a high complex constant
without further modification. In particular, WP6-MB can reduce the dark toxicity
of MB remarkably. Furthermore, it can efficiently overcome photobleaching and
extend the time for singlet oxygen production of MB upon light irradiation, which
is significant for durable photodynamic therapy.
PMID- 29789822
TI - Inhibitory effects of catechins on beta-carbolines in tea leaves and chemical
model systems.
AB - The profile of 18 heterocyclic amines from seven categories (including beta
carbolines) in tea leaves during green and black tea processing procedures, as
well as commercial tea products was screened by ultrahigh-performance liquid
chromatography with tandem mass spectrometry. 2-Amino-1-methyl-6
phenylimidazo[4,5-b]pyridine (PhIP), 2-amino-3,4-dimethylimidazo[4,5-f]quinoline
(MeIQ), and 2-amino-3,8-dimethyl-imidazo[4,5-f]quinoxaline (MeIQx) were detected
in samples; however, the levels were too low for quantification. The beta
carboline compounds harman and norharman were quantified in all the samples.
During processing, both harman and norharman levels increased significantly (p <
0.01) with the highest levels present in tea leaves during the rolling stage of
green tea processing and the drying stage of black tea processing. In commercial
products, the highest levels of harman and norharman were found in black tea as
31.49 +/- 3.21 and 59.68 +/- 4.71 ng g-1 sample, respectively. In combination
with the catechin levels of tea leaves and the results of chemical model systems,
it was demonstrated that ECG, EGC, and EGCG could significantly (p < 0.01)
inhibit the formation of harman and norharman by up to 45% and 52%, respectively.
PMID- 29789823
TI - Influenza A M2 transmembrane domain tunes its conformational heterogeneity and
structural plasticity in the lipid bilayer by forming loop structures.
AB - We discovered for the first time that the influenza A virus M2TM tunes its
conformational heterogeneity and structural plasticity to respond to
environmental cues by undergoing a helix-to-loop transition, resolving
controversies regarding the mechanism of proton conduction and plasticity of the
M2TM in lipid bilayers.
PMID- 29789825
TI - Highly active aluminium catalysts for room temperature ring-opening
polymerisation of rac-lactide.
AB - A new series of aluminium complexes bearing 'catam' ligands has been synthesised
and fully characterised. They were found to exhibit high activity at room
temperature for rac-lactide ring-opening polymerisation, a rather rare feature
for aluminium-based catalysts.
PMID- 29789824
TI - Layered double hydroxide/poly-dopamine composite coating with surface
heparinization on Mg alloys: improved anticorrosion, endothelialization and
hemocompatibility.
AB - Magnesium (Mg) and its alloys are promising cardiovascular stent materials due to
their favourable physical properties and complete degradation in vivo. However,
rapid degradation and poor cytocompatibility hinder their clinical applications.
To enhance the corrosion resistance and endothelialization of the AZ31 alloy, a
layered double hydroxide (LDH)/poly-dopamine (PDA) composite coating (LDH/PDA)
was successfully fabricated. Polarization curves and the electrochemical
impedance spectroscopy Nyquist spectrum test proved that the corrosion resistance
of the LDH/PDA sample was significantly improved in vitro. The LDH/PDA sample
greatly improved the adherence process and the proliferation rate of human
umbilical vein endothelial cells (HUVECs). After culturing for 10 days, the
number of living HUVECs on the LDH/PDA sample was comparable to that on the Ti
sample whereas the cells barely survived on the AZ31 or LDH coating. Furthermore,
heparin was immobilized on LDH/PDA via a covalent bond (LDH/PDA/HEP). The
corrosion resistance and long-term proliferation of HUVECs after the introduction
of heparin were mildly decreased compared with the L/P sample, but were still
greatly improved compared with AZ31, the LDH coating and the PDA coating.
Furthermore, the LDH/PDA/HEP sample greatly improved the HUVEC migration rate
compared with the LDH/PDA sample, and inhibited platelet adhesion which was
intense on the LDH/PDA sample. Both LDH/PDA and LDH/PDA/HEP samples had a low
hemolysis rate (2.52% and 0.65%, respectively) in vitro and eliminated the
adverse biocompatible effects of the direct PDA coating on the AZ31 substrate in
vivo. Our results suggest that the LDH/PDA composite coating with further
heparinization is a promising method to modify the surface of Mg alloys by
significantly improving corrosion resistance, endothelialization and
hemocompatibility.
PMID- 29789826
TI - Structural, optoelectronic and charge transport properties of the complexes of
indigo encapsulated in carbon nanotubes.
AB - Using the dispersion-corrected density functional B97D and 6-31g(d,p) basis set,
the structural, stability, electronic, optical and charge transport properties of
the complexes formed by encapsulating indigo inside carbon nanotubes (CNTs) of
varying diameters are investigated. Based on the stabilization energy of the
complexes indigo@(n,n)CNT (where n = 6, 7 and 8), indigo@(7,7)CNT is shown to be
the most stable owing to the ideal diameter of (7,7)CNT for encapsulating indigo.
The nature of the interaction between the guest and the host is investigated by
means of energy decomposition analysis employing the symmetry adapted
perturbation theory. Electronic properties such as the ionization energy, the
electron affinity and the energy gap between the highest occupied and lowest
unoccupied molecular orbitals (DeltaEH-L) of the complexes are determined. The
low values of DeltaEH-L (<1 eV) for the complexes suggest that they can act as
narrow energy gap semiconductors. All the complexes exhibit high hole and
electron mobilities which vary inversely with respect to the diameter of the CNT.
Using the time-dependent density functional theoretical method, the absorption
properties are predicted for the most stable complex indigo@(7,7)CNT. The
presence of charge transfer peaks in the visible and near-infrared regions of the
electromagnetic spectrum suggests that the complexes are suitable for
optoelectronic devices such as solar cells.
PMID- 29789827
TI - Effect of the monostearate/monopalmitate ratio on the oral release of active
agents from monoacylglycerol organogels.
AB - The delivery of active agents from organogels is becoming an important topic
owing to the possibility of releasing, in a controlled way, lipophilic agents.
Controlled release from foods is a topic with increasing relevance owing to the
growing industrial interest towards functional or medical foods, i.e. foods
containing nutraceutical agents or drugs. Anyway, release properties are related
to the rheological properties of organogels, and, therefore, a deep knowledge of
their microstructure and physical characteristics is necessary to design carriers
with expected release properties. In this work, two low molecular weight gelators
(i.e. glycerol monopalmitate, GMP, and glycerol monostearate, GMS) have been
investigated using rheology, microscopy and infrared spectroscopy, IR, aiming at
understanding the effects of different gelator ratios on organogel properties. It
was observed that GMP, within the range of investigated compositions, seems to be
more effective in yielding consistent organogels and this effect was related to
differences in microstructure with respect to GMS. Their ability to control the
oral release of active agents was investigated, in vitro, using a
chemotherapeutic drug for adenocarcinoma of the gastrointestinal tract, 5
fluorouracil (5-FU). A physical model based on carrier erosion was used to
describe the release data, evidencing a good agreement with experimental values.
Among the tested samples it seems that the use of 90% of GMS (over total
organogelator content) yields promising results allowing a good partition of the
released drug between the gastric and intestinal tracts with the largest value
(although lower than 40% of loaded amount) of the total released drug.
PMID- 29789828
TI - Unravelling the spin-state of solvated [Fe(bpp)2]2+ spin-crossover complexes:
structure-function relationship.
AB - This paper reports firstly the syntheses, crystal structures, and thermal and
magnetic properties of spin crossover salts of formulae
[Fe(bpp)2]3[Cr(CN)6]2.13H2O (1) and [Fe(bpp)2][N(CN)2]2.H2O (2) (bpp = 2,6
bis(pyrazol-3-yl)pyridine) exhibiting hydrogen-bonded networks of low-spin
[Fe(bpp)2]2+ complexes and [Cr(CN)6]3- or [N(CN)2]- anions, with solvent
molecules located in the voids. Desolvation of 1 is accompanied by a complete low
spin (LS) to a high-spin (HS) transformation that becomes reversible after
rehydration by exposing the sample to the humidity of air. The influence of the
lattice water on the magnetic properties of spin-crossover [Fe(bpp)2]X2 complex
salts has been documented. In most cases, it stabilises the LS state over the HS
one. In other cases, it is rather the contrary. The second part of this paper is
devoted to unravelling the reasons why the lattice solvent stabilises one form
over the other through magneto-structural correlations of [Fe(bpp)2]2+ salts
bearing anions with different charge/size ratios (Xn-). The [Fe(bpp)2]2+ stacking
explaining these two different behaviours is correlated here with the composition
of the second coordination sphere of the Fe centers and the ability of these
anions to form hydrogen bonds and/or pi-pi stacking interactions between them or
the bpp ligand.
PMID- 29789829
TI - Amplified spontaneous emission in phenylethylammonium methylammonium lead iodide
quasi-2D perovskites.
AB - Organo-metal-halide perovskites are a promising set of materials for
optoelectronic applications such as solar cells, light emitting diodes and
lasers. Perovskite thin films have demonstrated amplified spontaneous emission
thresholds as low as 1.6 MUJ cm-2 and lasing thresholds as low as 0.2 MUJ cm-2.
Recently the performance of perovskite light emitting diodes has rapidly risen
due to the formation of quasi 2D films using bulky ligands such as
phenylethylammonium. Despite the high photoluminescent yield and external quantum
efficiency of quasi 2D perovskites, few reports exist on amplified spontaneous
emission. We show within this report that the threshold for amplified spontaneous
emission of quasi 2D perovskite films increases with the concentration of
phenylethylammonium. We attribute this increasing threshold to a charge transfer
state at the PEA interface that competes for excitons with the ASE process.
Additionally, the comparatively slow inter-grain charge transfer process cannot
significantly contribute to the fast radiative recombination in amplified
spontaneous emission. These results suggest that relatively low order PEA based
perovskite films that are suitable for LED applications are not well suited for
lasing applications. However high order films were able to maintain their low
threshold values and may still benefit from improved stability.
PMID- 29789830
TI - Anomalous strength characteristics of Stone-Thrower-Wales defects in graphene
sheets - a molecular dynamics study.
AB - Graphene, viz., the one-atom-thick sheet of carbon, exhibits outstanding
mechanical properties, but defects, which are inevitable at the time of
synthesis, may strongly affect these properties. In this study, the effects of
two types of Stone-Thrower-Wales (namely, STW-1 and STW-2) defects on the
mechanical properties of graphene sheets at different temperatures and strain
rates were investigated on the basis of molecular dynamics simulations. The
authors also investigated the effect of the strain rate and defect concentration
on the failure morphology of STW-1 and STW-2 defected graphene sheets. It was
observed that, irrespective of the strain rate, the fracture strengths of STW-1
and STW-2 defected graphene sheets are identical in the zigzag and armchair
directions, respectively, at low temperatures. It was also observed that the
fracture strengths of graphene sheets with STW-1 defects in the armchair
direction and STW-2 defects in the zigzag direction decrease drastically at
higher temperatures and also at lower strain rates. On the other hand, it was
noticed that the fracture strengths of graphene sheets with STW-1 defects in the
zigzag direction and STW-2 defects in the armchair direction decrease gradually
with an increase in the temperature and a decrease in the strain rate. It was
also predicted that the failure morphology of graphene sheets with STW-1 defects
in the zigzag direction and STW-2 defects in the armchair direction depends on
the defect concentration and the strain rate.
PMID- 29789831
TI - A new structure family of oxide-ion conductors Ca0.8Y2.4Sn0.8O6 discovered by a
combined technique of the bond-valence method and experiments.
AB - Mg3TeO6-type Ca0.8Y2.4Sn0.8O6 has been found as a new structure family of oxide
ion conductors. From bond-valence-based energy (BVE) calculations for 147
compositions, which contain tin (Sn) as an essential element, Mg3TeO6-type
Ca0.8Y2.4Sn0.8O6 was found to have a low energy barrier for oxide-ion migration.
Ca0.8Y2.4Sn0.8O6 was synthesized by the solid-state reaction, and its electrical
conductivity and crystal structure were investigated. The total electrical
conductivity at various partial oxygen pressures and band gap estimated from the
UV-vis spectrum suggested that Ca0.8Y2.4Sn0.8O6 is a pure oxide-ion conductor.
The activation energy for the oxide-ion conductivity of Ca0.8Y2.4Sn0.8O6 was
1.39(4) eV. Synchrotron X-ray powder diffraction data of Ca0.8Y2.4Sn0.8O6 at 300
and 1273 K were successfully analyzed with the Mg3TeO6-type structure. The BVE
calculation using the refined crystal structure of Ca0.8Y2.4Sn0.8O6 at 1273 K
strongly suggested three dimensional oxide-ion diffusion.
PMID- 29789832
TI - Photocatalytic chemoselective cleavage of C-O bonds under hydrogen gas- and acid
free conditions.
AB - In the presence of a palladium-loaded TiO2 photocatalyst, the cleavage of benzyl
phenyl ether in low-molecular-weight alcohol solvents under de-aerated conditions
afforded toluene and phenol simultaneously in a 1 : 1 molar ratio.
PMID- 29789833
TI - Zinc-catalyzed reaction of isoxazoles with thioynol ethers involving an
unprecedented 1,2-sulfur migration.
AB - A novel zinc-catalyzed reaction of isoxazoles with thioynol ethers involving an
unprecedented 1,2-sulfur migration has been developed, which represents the first
example of a non-noble metal-catalyzed reaction between isoxazoles and alkynes.
This method allows the facile and atom-economical synthesis of a range of
valuable beta-keto enamides. Moreover, the computational study provides further
evidence for the feasibility of the proposed reaction mechanism.
PMID- 29789834
TI - Time programmable hydrogels: regulating the onset time of network dissociation by
a reaction relay.
AB - Biological networks are capable of programming temporal evolution of their
crosslinking and dissociation reactions. However, replicating this feature in
synthetic self-assemblies is challenging. Herein we report the design of dynamic
polymeric hydrogels that undergo delayed dissociation with an onset time
precisely tuned from minutes to hours by a reaction relay.
PMID- 29789835
TI - Insights into the complex interaction between hydrophilic nanoparticles and ionic
surfactants at the liquid/air interface.
AB - Combinations of nanoparticles and surfactants have been widely employed in many
industrial processes, i.e., boiling and condensation in heat transfer and
hydraulic fracturing in shale oil and gas production, etc. However, the
underlying mechanism for various phenomena resulting from the addition of
nanoparticles into the surfactant solutions is still unclear. For instance, there
are contradictory conclusions from the literature regarding the variations of
surface tension upon the addition of nanoparticles into surfactant solutions. In
this work, the dominating factors determining if the surface activity of the
surfactant solution will increase or conversely decrease when adding certain
kinds of nanoparticles have been investigated. Two typical hydrophilic
nanoparticles, SiO2 and TiO2 with anionic or cationic surfactants, respectively,
have been considered. The surface tension has been measured in a wide range of
nanoparticle and surfactant concentrations. It was found that the surface tension
of the ionic surfactant solution can be further reduced only if nanoparticles of
the same charge were added. For instance, a system containing 0.25 CMC SDS and 1
wt% SiO2 behaves similar to a 0.34 CMC SDS-only solution. Interestingly, the
observed synergistic effect is found to be more significant if the surfactant
concentration is much lower than its CMC for a given nanoparticle content.
Moreover, the effect is perfectly reversible. When the nanoparticles were
separated from the system, the surface tension values recovered fully to that of
the pure surfactants. If nanoparticles of opposite charge were added, however,
the surface tension of the surfactant solution increased. Zeta potential
measurement and centrifugal treatment have been employed to reveal the interplay
between nanoparticles and surfactants and the adsorption behavior of their
assemblies at the liquid/air interface. Based on the experimental outcomes, a
possible physical mechanism was proposed. It was concluded that the electrostatic
repulsion between surfactant molecules and nanoparticles should be the dominant
factor responsible for the observed reversible synergistic effect. Our study is
expected to contribute to a better understanding of the interfacial phenomenon in
nanoparticle-surfactant complex systems.
PMID- 29789836
TI - Lithium effect on the electronic properties of porous silicon for energy storage
applications: a DFT study.
AB - Theoretical studies on the effect of Li on the electronic properties of porous
silicon are still scarce; these studies could help us in the development of Li
ion batteries of this material which overcomes some limitations that bulk silicon
has. In this work, the effect of interstitial and surface Li on the electronic
properties of porous Si is studied using the first-principles density functional
theory approach and the generalised gradient approximation. The pores are modeled
by removing columns of atoms of an otherwise perfect Si crystal, dangling bonds
of all surfaces are passivated with H atoms, and then Li is inserted on
interstitial positions on the pore wall and compared with the replacement of H
atoms with Li. The results show that the interstitial Li creates effects similar
to n-type doping where the Fermi level is shifted towards the conduction band
with band crossings of the said level thus acquiring metallic characteristics.
The surface Li introduces trap-like states in the electronic band structures
which increase as the number of Li atom increases with a tendency to become
metallic. These results could be important for the application of porous Si
nanostructures in Li-ion batteries technology.
PMID- 29789837
TI - Size effects on rhodium nanoparticles related to hydrogen-storage capability.
AB - To unveil the origin of the hydrogen-storage properties of rhodium nanoparticles
(Rh NPs), we investigated the electronic and crystal structures of the Rh NPs
using various synchrotron based X-ray techniques. Electronic structure studies
revealed that the hydrogen-storage capability of Rh NPs could be attributed to
their more unoccupied d-DOSs than that of the bulk near the Fermi level. Crystal
structure studies indicated that lattice distortion and mean-square displacement
increase while coordination number decreases with decreasing particle size and
the hydrogen-absorption capability of Rh NPs improves to a greater extent with
increased structural disorder in the local structure than with that in the mean
structure. The smallest Rh NPs, having the largest structural disorder/increased
vacancy spaces and the smallest coordination number, exhibited excellent hydrogen
storage capacity. Finally, from the bond-orientational order analysis, we
confirmed that the localized disordering is distributed more over the surface
part than the core part and hydrogen can be trapped on the surface part of Rh NPs
which increases with a decrease in NP diameter.
PMID- 29789838
TI - Elastomeric microvalve geometry affects haemocompatibility.
AB - This paper reports on the parameters that determine the haemocompatibility of
elastomeric microvalves for blood handling in microfluidic systems. Using a
comprehensive investigation of blood function, we describe a hierarchy of
haemocompatibility as a function of microvalve geometry and identify a "normally
closed" v-gate pneumatic microvalve design that minimally affects blood plasma
fibrinogen and von Willebrand factor composition, minimises effects on
erythrocyte structure and function, and limits effects on platelet activation and
aggregation, while facilitating rapid switching control for blood sample
delivery. We propose that the haemodynamic profile of valve gate geometries is a
significant determinant of platelet-dependent biofouling and haemocompatibility.
Overall our findings suggest that modification of microvalve gate geometry and
consequently haemodynamic profile can improve haemocompatibility, while
minimising the requirement for chemical or protein modification of microfluidic
surfaces. This biological insight and approach may be harnessed to inform future
haemocompatible microfluidic valve and component design, and is an advance
towards lab-on-chip automation for blood based diagnostic systems.
PMID- 29789839
TI - A cocktail of 165Er(iii) and Gd(iii) complexes for quantitative detection of zinc
using SPECT and MRI.
AB - We propose quantitative assessment of zinc by combining nuclear and MR imaging.
We use a cocktail of a Gd3+-complex providing a Zn2+-dependent MRI response and
its 165Er3+ analogue allowing for concentration assessment. 165Er is readily
obtained in a cyclotron and purified, which is indispensable for successful
quantification of metal ions.
PMID- 29789840
TI - Prominent hydrogenation catalysis of a PVP-stabilized Au34 superatom provided by
doping a single Rh atom.
AB - A single rhodium atom was precisely doped into a gold cluster Au34 stabilized by
poly(N-vinyl-2-pyrrolidone) (Au:PVP) as revealed by mass spectrometry. The Rh
atom-doped Au:PVP exhibited remarkable catalytic activity for hydrogenation
reactions of olefins, which was much higher than that of recently reported Pd
atom-doped Au:PVP.
PMID- 29789841
TI - F-Doping effects on carbon-coated Li3V2(PO4)3 as a cathode for high performance
lithium rechargeable batteries: combined experimental and DFT studies.
AB - F-Doping effects on polyaniline-derived carbon coated Li3V2(PO4)3 (Li3V2(PO4)3
xFx@C) as a cathode for high performance Li rechargeable batteries are
systematically investigated with a combined experimental and DFT theoretical
calculation approach. The results clearly indicate that the doping amount has a
significant impact on the rate capability and long cycle life. The optimal
material (Li3V2(PO4)2.88F0.12@C) delivers 123.16 mA h g-1@2C, which is close to
the theoretical value (133 mA h g-1), while showing a greatly improved cycle
stability. Rietveld refinements show that the F- doping does not obey Vegard's
Law, which may be attributed to the generated lower valence of V ions. AC
impedance spectroscopy shows that the F-doping can achieve faster interfacial
charge transfer for higher reaction reversibility. DFT calculations confirm that
the lower V2+ (t2g?)3 does exist in Li3V2(PO4)2.88F0.12, and the mean nearest
neighbor Li-O bond length also increases for faster electrochemical kinetics, and
further reveal that there is a tendency for a transition from the insulator to
the n-type semiconductor due to the F dopant. The combined experimental and
calculated results suggest that F-doping indeed greatly facilitates the charge
transfer rate of the Li+ insertion/de-insertion process for better reversibility
and enhances the Li+ diffusion rate to access the reaction sites, thus resulting
in high rate capacity and cycling stability. This work not only offers a facile
and effective approach to synthesize high performance Li-ion battery material for
very promising practical applications, but also discloses scientific insights on
element coating and doping to guide the electrode material design for fast
electrode kinetics in energy storage devices.
PMID- 29789843
TI - Efficient alkaline hydrogen evolution electrocatalysis enabled by an amorphous Co
Mo-B film.
AB - An amorphous Co-Mo-B film on a Ti mesh (Co-Mo-B/Ti) is fabricated via one-step
electrodeposition exhibiting a dramatically enhanced hydrogen evolution reaction
(HER) performance in alkaline media. To attain a current density of 20 mA cm-2,
such Co-Mo-B/Ti demands only an overpotential of 110 mV, 190 mV lower than that
of the counterpart Co-B/Ti, with strong electrochemical durability to maintain
its catalytic activity for at least 32 h.
PMID- 29789842
TI - Synthesis of alpha-CF3 and alpha-CF2H amines via the aminofluorination of
fluorinated alkenes.
AB - A novel synthesis of alpha-CF3 and alpha-CF2H amines via the aminofluorination of
gem-difluoroalkenes and mono-fluoroalkenes, respectively, is reported. The method
employs Selectfluor as an electrophilic fluorine source and acetonitrile as a
nitrogen source. Mechanistic studies revealed a single-electron
oxidation/fluorine-abstraction/Ritter-type amination pathway. The protocol
allowed the synthesis of a broad range of fluorinated amines including those
bearing quaternary carbon centers with good efficiency and functional group
tolerance.
PMID- 29789844
TI - Fluorescence/phosphorescence-conversion in self-assembled organic microcrystals.
AB - Organic molecules of DIDB can inherently assemble into fluorescent green-emissive
microwires with a low photoluminescence quantum efficiency (PLQY) of 1.0%.
Impressively, by doping DIDB into the nonluminous 4-iodobenzonitrile crystal
matrix with a molar ratio of 1 : 100, phosphorescent yellow-emissive microwires
with a much higher PLQY of 50.5% are obtained.
PMID- 29789845
TI - Photoswitchable transition metal complexes with azobenzene-functionalized imine
based ligands: structural and kinetic analysis.
AB - We report on the characterization of two imine type ligands containing
photoresponsive azobenzene units as side groups and their transition metal ions
complexes. The ligands, both free and in their complexes undergo trans- > cis
photoisomerization after irradiation with UV light, but binding of metal ions
reduces both the photoisomerisation reaction rates and cis isomer concentrations
in the photostationary states. The greatest diminution in the photoisomerisation
rate was observed for the complex containing Cd(ii), the heaviest among the
various transition metal ions tested in this study.
PMID- 29789846
TI - Macroscopic and local approaches of phase transition in sol-gel synthesized
(Bi0.5Na0.5)TiO3-SrTiO3 thin films.
AB - High-quality (1 - x)(Bi0.5Na0.5)TiO3-xSrTiO3 lead-free piezoelectric thin films
(x = 0, 0.1, and 0.25) on Pt(111)/Ti/SiO2/Si(100) substrates were prepared by a
sol-gel method. The microstructures of the thin films as a function of SrTiO3
doping level and temperature were investigated by X-ray diffraction and Raman
spectroscopy. Their temperature- and frequency-dependent piezoelectric properties
were studied on the nanoscale using switching spectroscopy piezoresponse force
microscopy (SS-PFM). A rhombohedral ferroelectric to pseudocubic relaxor phase
transition was observed when either ST content or temperature increased. The
significant frequency dependence of both ferroelectric and piezoelectric
properties was also disclosed by analyzing polarization hysteresis loops on the
macroscopic scale and local switching dynamics at various frequencies. It was
determined that the short-range order clusters came out through the long-range
ferroelectric order, thus the nanoscale approaches are consistent with
macroscopic data at elevated temperatures and various frequency ranges.
PMID- 29789848
TI - Enhanced field-emission properties of buckled alpha-borophene by means of Li
decoration: a first-principles investigation.
AB - In this study, the structures and field-emission properties of Li-decorated
buckled alpha-borophene (BBP) were investigated by first-principles density
functional theory at the PW91 level. Using the computed binding energies,
Hirshfeld- and electrostatic potential-derived charges, induced dipole moments,
densities of states, and ionization potentials, we evaluated the influence of an
applied electric field on the structural stability, work function, and field
emission current of the Li-decorated BBP nanostructures. Furthermore, we also
explored the quantitative dependence of the emission current on the electric
field, Li concentration, and molecular orbitals. The computed results indicated
that increasing the electric field and Li concentration has a considerably
positive effect on the field-emission performance of the Li-decorated BBPs.
Besides advantages including small work functions and low ionization potentials,
most remarkably, the field-emission current can be as high as 48.81 MUA in
Li4/BBP (supercell with 36 atoms only) under a rather small applied electric
field of 0.05 V A-1, which rivals the highest value of the graphene-BN
nanocomposite among all the theoretical nanostructures presented to date. Our
results highly support the fact that Li-decorated BBPs can be appealing field
emission cathode materials with an extremely high emission current.
PMID- 29789847
TI - Streamlined chemoenzymatic total synthesis of prioritized ganglioside cancer
antigens.
AB - A highly efficient streamlined chemoenzymatic strategy for total synthesis of
four prioritized ganglioside cancer antigens GD2, GD3, fucosyl GM1, and GM3 from
commercially available lactose and phytosphingosine is demonstrated. Lactosyl
sphingosine (LacbetaSph) was chemically synthesized (on a 13 g scale), subjected
to sequential one-pot multienzyme (OPME) glycosylation reactions with facile C18
cartridge purification, followed by improved acylation conditions to form target
gangliosides, including fucosyl GM1 which has never been synthesized before.
PMID- 29789849
TI - Synthesis, characterization and properties of aryl-fused bis-BN dihydropyrenes.
AB - A series of aryl-fused bis-BN dihydropyrenes were synthesized via amino-directed
borylation reaction. The aryl-fused bis-BN dihydropyrenes showed blue emission,
and their physical properties could be finely tuned through varying the fused
aryl rings. In particular, their response towards fluoride anions was greatly
dependent on the nature of the fused aryl rings.
PMID- 29789850
TI - A Ag synchronously deposited and doped TiO2 hybrid as an ultrasensitive SERS
substrate: a multifunctional platform for SERS detection and photocatalytic
degradation.
AB - Ag simultaneously deposited and doped TiO2 (Ag-TiO2) hybrid nanoparticles (NPs)
were prepared via a sol-hydrothermal method, as both a sensitive surface-enhanced
Raman scattering (SERS) substrate and a superior photocatalyst for the first
time. Ag-TiO2 hybrid NPs exhibit excellent SERS performance for several probe
molecules and the enhancement factor is calculated to be 1.86 * 105. The
detection limit of the 4-mercaptobenzoic acid (4-MBA) probe on the Ag-TiO2
substrate is 1 * 10-9 mol L-1, which is four orders of magnitude lower than that
on pure TiO2 as a consequence of the synergistic effects of TiO2 and Ag. This is
the highest SERS sensitivity among the reported semiconductor substrates and even
comparable to noble metal substrates, and a SERS enhancement mechanism from the
synergistic contribution of the semiconductor and noble metal was proposed. And
importantly, the Ag-TiO2 hybrid shows excellent photocatalytic degradation
activity for the detected species under UV light irradiation at lower
concentration conditions, even for the hard to degrade 4-MBA molecule. This makes
the Ag-TiO2 hybrid promising as a dual-function platform for both highly
sensitive SERS detection and photocatalytic degradation of a pollutant system.
Moreover, it also proves that the Ag-TiO2 hybrid can serve as a promising
recyclable SERS-active substrate by virtue of its photocatalytic self-cleaning
properties for some specific applications, for instance comparative studies of
different species on the same SERS platform, in addition to the economic benefit.
PMID- 29789851
TI - Atomic-scale understanding of high thermal stability of the Mo/CoFeB/MgO spin
injector for spin-injection in remanence.
AB - Remanent spin injection into a spin light emitting diode (spin-LED) at zero
magnetic field is a prerequisite for future application of spin optoelectronics.
Here, we demonstrate the remanent spin injection into GaAs based LEDs with a
thermally stable Mo/CoFeB/MgO spin injector. A systematic study of magnetic
properties, polarization-resolved electroluminescence (EL) and atomic-scale
interfacial structures has been performed in comparison with the Ta/CoFeB/MgO
spin injector. The perpendicular magnetic anisotropy (PMA) of the Mo/CoFeB/MgO
injector shows more advanced thermal stability than that of the Ta/CoFeB/MgO
injector and robust PMA can be maintained up to 400 degrees C annealing. The
remanent circular polarization (PC) of EL from the Mo capped spin-LED reaches a
maximum value of 10% after 300 degrees C annealing, and even remains at 4% after
400 degrees C annealing. In contrast, the Ta capped spin-LED almost completely
loses the remanent PC under 400 degrees C annealing. Combined advanced electron
microscopy and spectroscopy studies reveal that a large amount of Ta diffuses
into the MgO tunneling barrier through the CoFeB layer after 400 degrees C
annealing. However, the diffusion of Mo into CoFeB is limited and never reaches
the MgO barrier. These findings afford a comprehensive perspective to use the
highly thermally stable Mo/CoFeB/MgO spin injector for efficient electrical spin
injection in remanence.
PMID- 29789852
TI - Mild rhodium(iii)-catalyzed intramolecular annulation of benzamides with allylic
alcohols to access azepinone derivatives.
AB - Azepinone derivatives are important frameworks of several natural products and
bioactive compounds. They are synthetized using a Rh(iii)-catalyzed
intramolecular annulation of benzamide-tethered allylic alcohols. The reaction
requires mild conditions at room temperature and affords diversely substituted
azepinones bearing a quaternary carbon.
PMID- 29789853
TI - Effect of oscillation dynamics on long-range electron transfer in a helical
peptide monolayer.
AB - Electron transfer (ET) reactions via helical peptides composed of -(Aib-Pro)n-
were studied in self-assembled monolayers and compared with -(Ala-Aib)n-
peptides. Short Aib-Pro peptides showed slightly higher ET rates due to the
better electronic coupling of the Pro residue. But, the 24mer Aib-Pro peptide
showed a smaller ET rate than the corresponding Ala-Aib peptide. On the basis of
DFT calculations, the deceleration of the ET rate of the longer Aib-Pro peptide
is considered to be due to the smaller number of active modes of accordion-like
oscillations than the Ala-Aib peptide, which has a strong influence on a long
range ET reaction.
PMID- 29789854
TI - Theoretical study on the optical and electronic properties of graphene quantum
dots doped with heteroatoms.
AB - The effects of four heteroatoms (B, N, P, and S) with three doping patterns on
graphene quantum dots (GQDs) are systematically investigated using time-dependent
density functional theory (TD-DFT). The absorption spectra and HOMO-LUMO gaps are
quantitatively analyzed to study the correlations between the optical properties
and heteroatom doping of doped GQDs. Heteroatom doping can endow GQDs with
various new optical and structural properties, depending on the dopants and
doping configurations. Compared with the absorption spectra of pristine GQD, both
N and S surface doping demonstrate a slight blue shift, whereas B and P doping
lead to a blue shift for edge-doped GQDs with heteroatoms in a pentatomic ring.
The absorption process is investigated along with excited state analysis, which
includes the density of state, natural transition orbital, and charge difference
density. The results indicate that large radius atoms assist charge transfer in
the excited state and play an important role in recombining the electron density
distribution in the doped GQDs.
PMID- 29789855
TI - How Does SCORTEN Score?
AB - The Toxic Epidermal Necrolysis-specific severity of illness score (SCORTEN) was
developed to predict mortality in patients with Stevens Johnson syndrome/toxic
epidermal necrolysis (SJS/TEN). Several studies have attempted to assess the
accuracy of SCORTEN with mixed results. The objective of this study is to compare
the predicted and actual mortality for patients with SJS/TEN admitted to a single
high-volume burn center.This retrospective study included adult and pediatric
patients admitted to our burn center with biopsy-confirmed SJS/TEN between
February 2008 and February 2016. SCORTEN scores were calculated for each patient
on days 1 and 3 of admission. The primary endpoint was predicted vs actual in
hospital mortality. Secondary endpoints included the association of SCORTEN, as
well as individual components of SCORTEN, with hospital length of stay, length of
stay in the intensive care unit, and in-hospital complications.Of 128 patients
included, the mean age was 44.5 years, 40.6% (n = 52) were males, and 50.0% (n =
64) were Caucasians. The median TBSA was 12.25% on day 1 and 25% on day 3. The
median SCORTEN at admission was 2 (interquartile range: 1-3.5). There were a
total of 20 deaths (17.2%). SCORTEN exhibited good discrimination (c-statistic =
0.83, 95% CI: 0.75-0.91) and performed directionally as expected, but a low but
nonsignificant standardized mortality ratio (75.3%, P = .164) and a Hosmer
Lemeshow test of borderline significance (P = .088) make the model's fit
unclear.The accuracy of the SCORTEN model in predicting mortality for SJS/TEN
patients treated in a burn center remains unclear. This study may encourage
future multicenter studies to further clarify its predictive ability and may also
enhance future investigation into the use of a reformulated or reweighted
SCORTEN.
PMID- 29789856
TI - Impact of a Laser Service Line for Burn Scar on a Dedicated Burn OR's Flow and
Productivity.
AB - Our group began performing erbium-YAG 2940 wavelength fractional resurfacing of
burn scar in our burn center's dedicated burn operating room (OR) in January
2016. The impact of these procedures on the performance of a mature, dedicated
burn OR is unknown. All burn OR cases performed between January 1, 2015 and
December 31, 2015 served as a pre-laser (PRE-LSR) historical control. A
postintervention cohort of laser-only cases (LSR) performed between January 1,
2016 and August 17, 2016 was then identified. PRE-LSR and LSR cases were
retrospectively reviewed for OR component times, and work relative value units
(wRVU) billed. A total of 628 burn OR cases were done in 2015 (PRE-LSR), while
488 burn OR cases were done between January 1 and August 17, 2016. Of these 488,
59 cases were LSR (12.1%). Calculated on a monthly basis, significantly more
cases were done per day in the LSR era (2.2 +/- 0.4 cases/d) than PRE-LSR (1.6 +/
2.0 cases/d; P < .0001). The LSR group was significantly shorter than the PRE
LSR group for all OR component times (induction, prep, and procedure all P <
.0001; transport out, P = .01; room turnover, P = .004). Aggregate OR component
time was 79.2 +/- 33.4 minutes for LSR and 157.5 +/- 65.0 minutes for PRE-LSR (P
< .0001). LSR yielded 6.9 +/- 3.2 wRVU/h, while PRE-LSR generated 12.2 +/- 8.9
wRVU/h (P < .0001). Despite significantly shorter OR component times and more
cases being done per day, laser treatment of burn scar using a single 17108
Current Procedural Terminology code cuts wRVUs generated per hour in a mature
burn OR roughly in half.
PMID- 29789857
TI - Ongoing Development and Evaluation of a Method of Telemedicine: Burn Care
Management With a Smartphone.
AB - In cases involving small burns in patients without a comorbidity or associated
pathology, a referral from a surgeon is necessary and sometimes it cannot be
ascertained when the patient is not brought in by a specialized team. The purpose
of this retrospective study was to evaluate the quality of our method for
performing telemedicine. This retrospective study included the 323 patients who
were initially treated using the telemedicine system implemented between 2011 and
2016. This procedure only involved patients burned over a small portion of their
body's surface area (ie, <=15%) who were between 15 and 75 years of age and who
did not have a major comorbidity. The purpose of the procedure was to evaluate
the need for surgery. The initial diagnosis regarding the need for a surgical
procedure was accurate in 94.4% (305/323) of the cases. Eleven patients (3.4%)
were transferred unnecessarily as they ultimately did not require surgery, and
seven patients (2.2%) were ultimately transferred even though the need for
surgery was not initially established at the time that the pictures were viewed.
No initial errors in the photographic evaluation caused a worsening in the life
threatening, functional, or aesthetic prognoses. We have recently opted to use
telemedicine for initial patient management. In 94.4% of cases, the opinion that
was provided was accurate. Only 3.2% of the patients for whom outpatient
treatment was recommended ultimately underwent surgery without subsequently
experiencing the slightest injury due to any delay in therapy. Although it will
never replace clinical examination, these results have encouraged us to develop
telemedicine based on digital photography.
PMID- 29789858
TI - Correction to: Vacuum-Assisted Wound Closure with Mesh-Mediated Fascial Traction
Achieves Better Outcomes than Vacuum-Assisted Wound Closure Alone: A Comparative
Study.
AB - In the original article the credit line for the reuse of Fig. 1 from an article
published in the open access journal, World Journal of Emergency Surgery is
missing.
PMID- 29789859
TI - Fibrous dysplasia: an unusual case of a very aggressive form with costo-vertebral
joint destruction and invasion of the contralateral D7 vertebral body.
AB - Fibrous dysplasia (FD) is a benign fibro-osseous disease of the bone that may be
solitary or multicentric. It is important to distinguish this type of lesion from
low-grade osteosarcomas (LGOS) and from secondary sarcomas, because malignant
transformation has rarely been reported. It is classically described as having a
ground-glass appearance, endosteal scalloping, and thinning of the cortex.
Cortical disruption is considered evidence of malignancy, but it can also be
present in benign FD with aggressive behavior. We present an unusual case of
aggressive FD of the 7th left rib, already diagnosed more than 22 years ago,
where cortical and costo-vertebral joint disruption and 7th thoracic vertebral
body involvement were not evidence of malignant behavior. From a histological
perspective, FD and LGOS are similar; even if histology is of fundamental
importance, the diagnosis has to be made based on the clinical and radiological
aspects as well, although at imaging, differentiation between FD and LGOS can be
difficult. In the present case, even though the histological examination
suggested a benign lesion, the radiological examination instead consistently
suggests malignancy. It is for this reason that there should be a high index of
suspicion during follow-up and a new biopsy should be scheduled in case any
changes occur during follow-up.
PMID- 29789860
TI - Gastric perforation following cardiopulmonary resuscitation.
PMID- 29789861
TI - The use of echocardiographic indices in defining and assessing right ventricular
systolic function in critical care research.
AB - PURPOSE: Many echocardiographic indices (or methods) for assessing right
ventricular (RV) function are available, but each has its strengths and
limitations. In some cases, there might be discordance between the indices. We
conducted a systematic review to audit the echocardiographic RV assessments in
critical care research to see if a consistent pattern existed. We specifically
looked into the kind and number of RV indices used, and how RV dysfunction was
defined in each study. METHODS: Studies conducted in critical care settings and
reported echocardiographic RV function indices from 1997 to 2017 were searched
systematically from three databases. Non-adult studies, case reports, reviews and
secondary studies were excluded. These studies' characteristics and RV indices
reported were summarized. RESULTS: Out of 495 non-duplicated publications found,
81 studies were included in our systematic review. There has been an increasing
trend of studying RV function by echocardiography since 2001, and most were
conducted in ICU. Thirty-one studies use a single index, mostly TAPSE, to define
RV dysfunction; 33 used composite indices and the combinations varied between
studies. Seventeen studies did not define RV dysfunction. For those using
composite indices, many did not explain their choices. CONCLUSIONS: TAPSE seemed
to be the most popular index in the last 2-3 years. Many studies used
combinations of indices but, apart from cor pulmonale, we could not find a
consistent pattern of RV assessment and definition of RV dysfunction amongst
these studies.
PMID- 29789864
TI - [Vascularized tumor of the retroauricular skin].
AB - An 84-year-old female patient with loss of hearing and otorrhoea went to an
otolaryngologist. On examination, a polypoid mass in the auditory canal and a
retroauricular skin lesion, possibly seborrheic keratosis, were found. Only the
skin was submitted for histological examination and showed a cutaneous highly
vascular lesion with an associated "zellballen" of clear cells without atypia.
The initial diagnosis was that of a cutaneous glomangioma. Immunohistochemical
findings favored diagnosis of a paraganglioma. Additional clinical information
revealed a large mastoid tumor mass. Therefore, the findings were compatible with
an jugulotympanic paraganglioma with infiltration of the overlying skin. This
possibility was initially not considered in differential diagnosis, as cutaneous
glomangiomas are relatively common (typically located on the hands). Cutaneous
paragangliomas, however, are not reported.
PMID- 29789862
TI - Activating and inhibitory receptors expressed on innate lymphoid cells.
AB - Innate lymphoid cells (ILCs) are innate immune cells located in lymphoid and non
lymphoid tissues. They are particularly abundant at mucosal and barrier surfaces.
Three major ILC subsets are present in humans and mice: group 1 ILCs (comprising
natural killer (NK) cells and ILC1s), ILC2s, and ILC3s. ILCs are involved in the
maintenance of homeostasis and the regulation of immunity. This review focuses on
the extensive array of activating and inhibitory receptors expressed by ILCs for
communication with other cell types and their environment in health and disease.
PMID- 29789865
TI - The roles of early surgery and comorbid conditions on outcomes of severe
necrotizing soft-tissue infections.
AB - PURPOSE: Severe necrotizing soft-tissue infections (NSTIs) require immediate
early surgical treatment to avoid adverse outcomes. This study aims to determine
the impact of early surgery and comorbid conditions on the outcomes of NSTIs.
METHODS: A retrospective cohort study was performed on all subjects presenting
with NSTI at an academic medical center between 2005 and 2016. Patients were
identified based on ICD codes. Those under the age of 18 or with intraoperative
findings not consistent with NSTI diagnosis were excluded. RESULTS: There were
115 patients with a confirmed diagnosis of NSTI with a mean age of 55 +/- 18
years; 41% were females and 55% were diabetics. Thirty percent of patients
underwent early surgery (< 6 h). There were no significant differences between
groups in baseline characteristics. The late group (>= 6 h) had prolonged
hospital stay (38 vs. 23 days, p < 0.008) in comparison to the early group (< 6
h). With every 1 h delay in time to surgery, there is a 0.268 day increase in
length of stay, adjusted for these other variables: alcohol abuse, number of
debridements, peripheral vascular disease, previous infection and clinical
necrosis. Mortality was 16.5%. Multivariable analysis revealed that alcohol
abuse, peripheral vascular disease, diabetes, obesity, hypothyroidism, and
presence of COPD were associated with an increase in mortality. CONCLUSIONS:
Early surgical intervention in patients with severe necrotizing soft-tissue
infections reduces length of hospital stay. Presence of comorbid conditions such
as alcohol abuse, peripheral vascular disease, diabetes, obesity and
hypothyroidism were associated with increased mortality.
PMID- 29789863
TI - Intricate relationships between naked viruses and extracellular vesicles in the
crosstalk between pathogen and host.
AB - It is a long-standing paradigm in the field of virology that naked viruses cause
lysis of infected cells to release progeny virus. However, recent data indicate
that naked virus types of the Picornaviridae and Hepeviridae families can also
leave cells via an alternative route involving enclosure in fully host-derived
lipid bilayers. The resulting particles resemble extracellular vesicles (EV),
which are 50 nm-1 MUm vesicles released by all cells. These EV contain lipids,
proteins, and RNA, and generally serve as vehicles for intercellular
communication in various (patho)physiological processes. EV can act as carriers
of naked viruses and as invisibility cloaks to evade immune attacks. However, the
exact combination of virions and host-derived molecules determines how these
virus-containing EV affect spread of infection and/or triggering of antiviral
immune responses. An underexposed aspect in this research area is that infected
cells likely release multiple types of virus-induced and constitutively released
EV with unique molecular composition and function. In this review, we identify
virus-, cell-, and environment-specific factors that shape the EV population
released by naked virus-infected cells. In addition, current findings on the
formation and molecular composition of EV induced by different virus types will
be compared and placed in the context of the widely proven heterogeneity of EV
populations and biases caused by different EV isolation methodologies. Close
interactions between the fields of EV biology and virology will help to further
delineate the intricate relationship between EV and naked viruses and its
relevance for viral life cycles and outcomes of viral infections.
PMID- 29789868
TI - Three-Dimensional Imaging in Rhinoplasty: A Comparison of the Simulated versus
Actual Result.
AB - PURPOSE: Computer imaging has become increasingly popular for rhinoplasty. Three
dimensional (3D) analysis permits a more comprehensive view from multiple vantage
points. However, the predictability and concordance between the simulated and
actual result have not been morphometrically studied. The purpose of this study
was to aesthetically and quantitatively compare the simulated to actual
rhinoplasty result. METHODS: A retrospective review of 3D images (VECTRA,
Canfield) for rhinoplasty patients was performed. Images (preop, simulated, and
actual) were randomized. A blinded panel of physicians rated the images (1 =
poor, 5 = excellent). The image series considered "best" was also recorded. A
quantitative assessment of nasolabial angle and tip projection was compared.
Paired and two-sample t tests were performed for statistical analysis (P < 0.05
as significant). RESULTS: Forty patients were included. 67.5% of preoperative
images were rated as poor (mean = 1.7). The simulation received a mean score of
2.9 (good in 60% of cases). 82.5% of actual cases were rated good to excellent
(mean 3.4) (P < 0.001). Overall, the panel significantly preferred the actual
postoperative result in 77.5% of cases compared to the simulation in 22.5% of
cases (P < 0.001). The actual nasal tip was more projected compared to the
simulations for both males and females. There was no significant difference in
nasal tip rotation between simulated and postoperative groups. CONCLUSION: 3D
simulation is a powerful communication and planning tool in rhinoplasty. In this
study, the actual result was deemed more aesthetic than the simulated image.
Surgeon experience is important to translate the plan and achieve favorable
postoperative results. LEVEL OF EVIDENCE IV: This journal requires that authors
assign a level of evidence to each article. For a full description of these
Evidence-Based Medicine ratings, please refer to the Table of Contents or the
online Instructions to Authors www.springer.com/00266 .
PMID- 29789869
TI - The race for drug approvals: hasten slowly?
PMID- 29789870
TI - Acute kidney injury, agranulocytosis, drug-induced liver injury, and posterior
reversible encephalopathy syndrome caused by high-dose methotrexate-possible role
of low activity ABC and SLC drug transporters.
PMID- 29789867
TI - MAP kinase signalling: interplays between plant PAMP- and effector-triggered
immunity.
AB - In plants, mitogen-activated protein kinase (MAPK) cascades are involved in
regulating many biological processes including immunity. They relay signals from
membrane-residing immune receptors to downstream components for defense
activation. Arabidopsis MPK3/6 and MPK4 are activated in two parallel MAPK
cascades during PAMP-triggered immunity. MPK3/6 have been implicated in the
activation of various immune responses and their inactivation leads to
compromised defense against pathogens. On the other hand, the MEKK1-MKK1/2-MPK4
cascade plays critical roles in basal resistance. Disruption of this MAPK cascade
results in constitutive defense responses mediated by the NB-LRR protein SUMM2.
Interestingly, SUMM2 guards the MEKK1-MKK1/2-MPK4 cascade activity indirectly
through monitoring the phosphorylation status of CRCK3, which is a substrate of
MPK4. From the pathogens' side, a number of effectors are shown to target various
components of MAPK cascades in plants. Inactivation of MPK4 by the Pseudomonas
effector HopAI1 triggers SUMM2-mediated immunity. Together, these findings
suggest intricate interplays between PAMP-triggered immunity and effector
triggered immunity via MAPK signaling.
PMID- 29789871
TI - Emergency admissions for complicated colonic diverticulitis are increasing: a
nationwide register-based cohort study.
AB - BACKGROUND: Acute colonic diverticulitis is common in the Western world
representing a growing burden on health care. We aimed to report the factual
epidemiological and demographic characteristics in patients with acute
diverticulitis in a large nationwide population. METHOD: We conducted a
population-based cohort study from 2000 to 2012 on the complete Danish
population, which included all patients with acute colonic diverticulitis. Data
were composed through two national longitudinal registries. The study main
outcomes were demographic development regarding hospital admission, age, gender,
geographical residency, and seasonal information. RESULTS: A total of 101,963
acute hospital contacts were identified from 2000 to 2012, of these 44,160 were
due to acute diverticulitis. From 2000 to 2012, overall admission rates for
complicated diverticulitis increased significantly with 42.7%. There was a small
increase in hospital admissions due to acute diverticulitis, and uncomplicated
diverticulitis accounted for 83-88% of all admissions. No significant development
was seen in cases of uncomplicated diverticulitis. The majority of patients were
older than 50 years (85%) and 60% were women. The male gender dominated in
patients younger than 50 years (58%), whereas women dominated above 50 years
(63%). Mean age and dominating age group decreased significantly from 2000 to
2012 for both genders. A significantly larger proportion of male patients had
complicated diverticulitis than uncomplicated diverticulitis. Most admissions
were seen during autumn. CONCLUSION: We found that acute colonic diverticulitis
has been progressing over the last decade with more severe cases of disease. Our
findings underline the need for further research to identify the relevant risk
factors and causal circumstances.
PMID- 29789866
TI - Role of peroxisome proliferator-activated receptors in non-alcoholic fatty liver
disease inflammation.
AB - Overweight and obesity have been identified as the most important risk factors
for many diseases, including cardiovascular disease, type 2 diabetes and lipid
disorders, such as non-alcoholic fatty liver disease (NAFLD). The metabolic
changes associated with obesity are grouped to define metabolic syndrome, which
is one of the main causes of morbidity and mortality in industrialized countries.
NAFLD is considered to be the hepatic manifestation of metabolic syndrome and is
one of the most prevalent liver diseases worldwide. Inflammation plays an
important role in the development of numerous liver diseases, contributing to the
progression to more severe stages, such as non-alcoholic steatohepatitis and
hepatocellular carcinoma. Peroxisome proliferator-activated receptors (PPARs) are
binder-activated nuclear receptors that are involved in the transcriptional
regulation of lipid metabolism, energy balance, inflammation and atherosclerosis.
Three isotypes are known: PPAR-alpha, PPARdelta/beta and PPAR-gamma. These
isotypes play different roles in diverse tissues and cells, including the
inflammatory process. In this review, we discuss current knowledge on the role
PPARs in the hepatic inflammatory process involved in NAFLD as well as new
pharmacological strategies that target PPARs.
PMID- 29789872
TI - Endovascular Thrombectomy for Large-Vessel Occlusion Strokes with Preexisting
Intracranial Aneurysms.
AB - OBJECTIVE: This study aimed to investigate the safety of endovascular
thrombectomy in acute ischemic stroke with preexisting intracranial aneurysms.
METHODS: Patients with acute ischemic stroke due to large-artery occlusion
combined with intracranial aneurysms, who received endovascular treatment, were
analyzed retrospectively, and the procedure-related data were recorded. RESULTS:
Among the 124 patients who suffered acute large-artery occlusion and received
endovascular recanalization therapy, intracranial aneurysms were found in seven
patients (5.6%). All the seven patients achieved successful recanalization,
except one patient who suffered subarachnoid hemorrhage due to the rupture of
aneurysm during the procedure. CONCLUSIONS: The prevalence of preexisting
cerebral aneurysms in patients with acute ischemic stroke is high, leading to a
procedure-related rupture risk. Endovascular treatment devices must be used
carefully in these patients, especially when the aneurysms are located within the
area where the procedure is performed.
PMID- 29789873
TI - New Implant-Based Technologies in the Spine.
AB - Vertebral compression fractures (VCFs) may result in a kyphotic deformity which
can cause potential systemic complications secondary to respiratory and
gastrointestinal dysfunction. The use of implants in the spine for VCF treatment
represents a paradigm shift away from cement injection on its own, aiming to
combine the analgesic and stabilizing effect of injecting cement into the
vertebral body with vertebral height restoration and kyphotic angle correction.
Spine implants which can be used for VCF treatment include stents, jacks, PEEK
cages and fracture reduction systems. Lumbar spinal stenosis (LSS) with
neurogenic intermittent claudication is one of the most commonly occurring spinal
conditions, usually affecting people older than 50, which can cause disability
and a reducted quality of life. Percutaneous interspinous spacers for the relief
of symptoms caused by spinal stenosis can be used in patients who are not
surgical candidates. The purpose of this article is to describe the basic
concepts of spinal implantation in patients with VCF or spinal stenosis. The role
of biomechanics and the different types of implants will be described.
Controversies concerning techniques and products will be addressed. Finally, the
necessity for an individually tailored approach for the use of different implants
in different cases and anatomic locations will be emphasized.
PMID- 29789874
TI - The Interventional Radiology (IR) Gender Gap: A Prospective Online Survey by the
Cardiovascular and Interventional Radiological Society of Europe (CIRSE).
AB - AIM: A prospective online survey was conducted by the Cardiovascular
Interventional Radiological Society of Europe (CIRSE) to evaluate the gender gap
within interventional radiology (IR) and the barriers facing women in IR.
MATERIALS AND METHODS: A questionnaire ("Appendix") was devised by the authors
and the CIRSE communication and publication team and sent electronically to 750
identifiable female members of CIRSE. Responses were collected from 7 August to
24 August 2017. RESULTS: The response rate was 19.9% (n = 149) with highest
responses from UK (18%), Italy (11%), Germany (11%), Spain (7%), Netherlands
(5%), France (5%), Sweden (4%), USA (4%). 91% of the respondents were between 31
and 46 years, 83% work full time, 62% spend > 50% of their working time in IR,
and 67% practice in a university or tertiary referral institution. 85% were in
the minority in their department. 52% had no leadership role in their department,
but 67% expressed willingness to consider a leadership position. Their main
concerns were work/family life balance, the risks of radiation exposure, the
effect of pregnancy on training and practice and the male-dominated work
environment. CONCLUSION: This survey highlights issues experienced by women in
IR. Clear guidance on concerns regarding radiation exposure particularly during
pregnancy is needed. Structured and supportive training is required for female
IRs who may wish to train or work flexibly. The male-dominated environment is
discouraging, and a scheme to promote female IRs would encourage women to take on
senior leadership positions and attract more women into the specialty.
PMID- 29789876
TI - [New treatment option-apalutamide for nonmetastatic, castration-resistant
prostate cancer].
PMID- 29789877
TI - [Meta-analyses on measurement precision of non-invasive hemodynamic monitoring
technologies in adults].
AB - An ideal non-invasive monitoring system should provide accurate and reproducible
measurements of clinically relevant variables that enables clinicians to guide
therapy accordingly. The monitor should be rapid, easy to use, readily available
at the bedside, operator-independent, cost-effective and should have a minimal
risk and side effect profile for patients. An example is the introduction of
pulse oximetry, which has become established for non-invasive monitoring of
oxygenation worldwide. A corresponding non-invasive monitoring of hemodynamics
and perfusion could optimize the anesthesiological treatment to the needs in
individual cases. In recent years several non-invasive technologies to monitor
hemodynamics in the perioperative setting have been introduced: suprasternal
Doppler ultrasound, modified windkessel function, pulse wave transit time, radial
artery tonometry, thoracic bioimpedance, endotracheal bioimpedance, bioreactance,
and partial CO2 rebreathing have been tested for monitoring cardiac output or
stroke volume. The photoelectric finger blood volume clamp technique and
respiratory variation of the plethysmography curve have been assessed for
monitoring fluid responsiveness. In this manuscript meta-analyses of non-invasive
monitoring technologies were performed when non-invasive monitoring technology
and reference technology were comparable. The primary evaluation criterion for
all studies screened was a Bland-Altman analysis. Experimental and pediatric
studies were excluded, as were all studies without a non-invasive monitoring
technique or studies without evaluation of cardiac output/stroke volume or fluid
responsiveness. Most studies found an acceptable bias with wide limits of
agreement. Thus, most non-invasive hemodynamic monitoring technologies cannot be
considered to be equivalent to the respective reference method. Studies testing
the impact of non-invasive hemodynamic monitoring technologies as a trend
evaluation on outcome, as well as studies evaluating alternatives to the finger
for capturing the raw signals for hemodynamic assessment, and, finally, studies
evaluating technologies based on a flow time measurement are current topics of
clinical research.
PMID- 29789875
TI - CT Angiography in the Lower Extremity Peripheral Artery Disease Feasibility of an
Ultra-Low Volume Contrast Media Protocol.
AB - PURPOSE: The ALARA principle is not only relevant for effective dose (ED)
reduction, but also applicable for contrast media (CM) management. Therefore, the
aim was to evaluate the feasibility of an ultra-low CM protocol in the assessment
of peripheral artery disease (PAD). MATERIALS AND METHODS: Fifty PAD patients
were scanned on third-generation dual-source computed tomography, from diaphragm
to the forefoot, as follows: tube voltage: 70 kV, reference effective tube
current: 90 mAs, collimation: 192 * 2 * 0.6 mm, with individualized acquisition
timing. The protocol ED (mSv) was quantified with dedicated software. CM protocol
consisted of 15 ml test bolus and 30 ml main bolus (300 mgI/ml) injected at 5
ml/s, followed by a 40 ml saline chaser at the same flow rate. Aorto-popliteal
bolus transit time was used to calculate the overall acquisition time and delay.
Objective (hounsfield units-HU; contrast-to-noise ratio-CNR) and subjective image
quality (four-point Likert score) were assessed at different anatomical regions
from the aorta down to the forefoot. RESULTS: Mean attenuation values were
exceeding 250 HU from aorta down to the anterior tibial artery with CNR < 13.
However, decline in attenuation was observed in more distal region with mean
values of 165 and 199 HU, in left and right dorsalis pedis artery, respectively.
Mode subjective image quality from the level of aorta down to the popliteal
segment was excellent; below the knee mode score was good. The mean ED per
protocol was 1.1 +/- 0.5 mSv. CONCLUSION: Use of an ultra-low CM volume protocol
at 70 kV is feasible in the evaluation of PAD, resulting in good to excellent
image quality with mean ED of 1.1 +/- 0.5 mSv. LEVEL OF EVIDENCE: Level 3, Local
non-random sample.
PMID- 29789879
TI - Vegf-A mRNA transfection as a novel approach to improve mouse and human islet
graft revascularisation.
AB - AIMS/HYPOTHESIS: The initial avascular period following islet transplantation
seriously compromises graft function and survival. Enhancing graft
revascularisation to improve engraftment has been attempted through virus-based
delivery of angiogenic triggers, but risks associated with viral vectors have
hampered clinical translation. In vitro transcribed mRNA transfection circumvents
these risks and may be used for improving islet engraftment. METHODS: Mouse and
human pancreatic islet cells were transfected with mRNA encoding the angiogenic
growth factor vascular endothelial growth factor A (VEGF-A) before
transplantation under the kidney capsule in mice. RESULTS: At day 7 post
transplantation, revascularisation of grafts transfected with Vegf-A (also known
as Vegfa) mRNA was significantly higher compared with non-transfected or Gfp mRNA
transfected controls in mouse islet grafts (2.11- and 1.87-fold, respectively)
(vessel area/graft area, mean +/- SEM: 0.118 +/- 0.01 [n = 3] in Vegf-A mRNA
transfected group (VEGF) vs 0.056 +/- 0.01 [n = 3] in no RNA [p < 0.05] vs 0.063
+/- 0.02 [n = 4] in Gfp mRNA transfected group (GFP) [p < 0.05]); EndoC-bH3
grafts (2.85- and 2.48-fold. respectively) (0.085 +/- 0.02 [n = 4] in VEGF vs
0.030 +/- 0.004 [n = 4] in no RNA [p < 0.05] vs 0.034 +/- 0.01 [n = 5] in GFP [p
< 0.05]); and human islet grafts (3.17- and 3.80-fold, respectively) (0.048 +/-
0.013 [n = 3] in VEGF vs 0.015 +/- 0.0051 [n = 4] in no RNA [p < 0.01] vs 0.013
+/- 0.0046 [n = 4] in GFP [p < 0.01]). At day 30 post transplantation, human
islet grafts maintained a vascularisation benefit (1.70- and 1.82-fold,
respectively) (0.049 +/- 0.0042 [n = 8] in VEGF vs 0.029 +/- 0.0052 [n = 5] in no
RNA [p < 0.05] vs 0.027 +/- 0.0056 [n = 4] in GFP [p < 0.05]) and a higher beta
cell volume (1.64- and 2.26-fold, respectively) (0.0292 +/- 0.0032 MUl [n = 7] in
VEGF vs 0.0178 +/- 0.0021 MUl [n = 5] in no RNA [p < 0.01] vs 0.0129 +/- 0.0012
MUl [n = 4] in GFP [p < 0.001]). CONCLUSIONS/INTERPRETATION: Vegf-A mRNA
transfection before transplantation provides a promising and safe strategy to
improve engraftment of islets and other cell-based implants.
PMID- 29789878
TI - Nuts as a replacement for carbohydrates in the diabetic diet: a reanalysis of a
randomised controlled trial.
AB - AIMS/HYPOTHESIS: In line with current advice, we assessed the effect of replacing
carbohydrate consumption with mixed nut consumption, as a source of unsaturated
fat, on cardiovascular risk factors and HbA1c in type 2 diabetes. The data
presented here are from a paper that was retracted at the authors' request (
https://doi.org/10.2337/dc16-rt02 ) owing to lack of adjustment for repeated
measures in the same individual. Our aim, therefore, was to fix the error and add
new complementary data of interest, including information on clotting factors and
LDL particle size. METHODS: A total of 117 men and postmenopausal women with type
2 diabetes who were taking oral glucose-lowering agents and with HbA1c between
47.5 and 63.9 mmol/mol (6.5-8.0%) were randomised after stratification by sex and
baseline HbA1c in a parallel design to one of three diets for 3 months: (1) 'full
dose nut diet' (n = 40): a diet with 2.0 MJ (477 kcal) per 8.4 MJ (2000 kcal)
energy provided as mixed nuts (75 g/day); (2) 'full-dose muffin diet' (n = 39): a
diet with 1.97 MJ (471 kcal) per 8.4 MJ (2000 kcal) energy provided as three
whole-wheat muffins (188 g/day), with a similar protein content to the nuts, and
the same carbohydrate-derived energy content as the monounsaturated fatty acid
derived energy content in the nuts; or (3) 'half-dose nut diet' (n = 38): a diet
with 1.98 MJ (474 kcal) per 8.4 MJ (2000 kcal) energy provided as half portions
of both the nuts and muffins. The primary outcome was change in HbA1c. The study
was carried out in a hospital clinical research centre and concluded in 2008.
Only the statistician, study physicians and analytical technicians could be
blinded to the group assessment. RESULTS: A total of 108 participants had post
intervention data available for analysis (full-dose nut group, n = 40; full-dose
muffin group, n = 35; half-dose nut group, n = 33). Compared with the full-dose
muffin diet, the full-dose nut diet provided 9.2% (95% CI 7.1, 11.3) greater
total energy intake from monounsaturated fat. The full-dose nut diet (median
intake, 75 g/day) also reduced HbA1c compared with the full-dose muffin diet by
2.0 mmol/mol (95% CI -3.8, -0.3 mmol/mol) (-0.19% [95% CI -0.35%, -0.02%]), (p =
0.026). Estimated cholesterol levels in LDL particles with a diameter <255
angstrom [LDL-c<255A]) and apolipoprotein B were also significantly decreased
after the full-dose nut diet compared with the full-dose muffin diet. According
to the dose response, the full-dose nut diet is predicted to reduce HbA1c (-2.0
mmol/mol [-0.18%]; p = 0.044), cholesterol (-0.25 mmol/l; p = 0.022), LDL
cholesterol (-0.23 mmol/l; p = 0.019), non-HDL-cholesterol (-0.26 mmol/l; p =
0.020), apolipoprotein B (-0.06 g/l, p = 0.013) and LDL-c<255A (-0.42 mmol/l; p <
0.001). No serious study-related adverse events occurred, but one participant on
the half-dose nut diet was hospitalised for atrial fibrillation after shovelling
snow. CONCLUSIONS/INTERPRETATION: Nut intake as a replacement for carbohydrate
consumption improves glycaemic control and lipid risk factors in individuals with
type 2 diabetes. TRIAL REGISTRATION: ClinicalTrials.gov NCT00410722 FUNDING: The
study was funded by the International Tree Nut Council Nutrition Research and
Education Foundation, the Peanut Institute, Loblaw Companies and the Canada
Research Chairs Program of the Government of Canada.
PMID- 29789880
TI - Distinctive CD8+ T cell and MHC class I signatures in polycythemia vera patients.
AB - Polycythemia vera (PV) is a myeloproliferative neoplasm characterized by
overproduction of red blood cells. We have performed a comprehensive
characterization of blood immune cells for expression of naive and memory
receptors as well as beta2m-associated and beta2m-free MHC class I heavy chains,
also known as closed and open conformers, respectively, in PV patients and age
matched controls (CTR). We show that the peripheral CD3+CD8+ T cell pool in PV
patients is clearly divided into two discrete populations, a more granular
CD3+CD8high T cell population enriched in effector-memory CD45RA+ T cells (CD8+
TEMRA) when compared to CTR (P < 0.001), and a less granular CD3+CD8int T cell
population that is completely absent in the CTR group (78 vs. 0%, P < 0.001) and
is a mixture of naive (CD8+ TN) and CD8+ TEMRA cells expressing intermediate
levels of CD28, i.e., CD3+CD8intCD28int. While the percentage of CD3+CD8int TN
cells correlated positively with the number of erythrocytes, the percentage of
CD3+CD8int TEMRA correlated negatively with the number of platelets. Finally, we
report that PV patients' lymphocytes and monocytes display lower levels of closed
(W6/32+) MHC-I conformers at the cell surface while exhibiting increased amounts
of open (HC-10+) MHC-I conformers. The implications of this distinctive immune
signature are discussed.
PMID- 29789881
TI - Characterization of recombinant E. coli expressing arsR from Rhodopseudomonas
palustris CGA009 that displays highly selective arsenic adsorption.
AB - Innovative methods to lower arsenic (As) exposure are sought. The As regulatory
protein (ArsR) is reported of having high affinity and specificity to arsenite
[As(III)]. Rhodopseudomonas palustris CGA009 is a good model organism for
studying As detoxification due to at least three ars operons and four diverse
arsRRP1-4 on the genome. In this study, four Escherichia coli harboring arsRRP1-4
derived from CGA009 were engineered and tested regarding their As resistance. The
results showed that E. coli (arsRRP2) displayed robust As(III) resistance, and
its growth inhibition rate was only 2.9% when exposed to 3.0 mmol/L As(III). At
pH 7.0, E. coli (arsRRP2) showed an enhanced As adsorption capacity. As(III)
(2.32 mg/g (dry weight, dw)) and 1.47 mg/g arsenate [As(V)] was adsorbed
representing a 4.2-fold and 1.3-fold increase respectively compared to the
control strain. The adsorption process was well fitted to Langmuir isothermal
mode. E. coli (arsRRP2) (1.0~12.0 g/L) could remove 30.3~82.2% of As (III) when
exposed to 10 MUg/L As(III). No increase in absorption to copper(II), zinc(II),
chromium(III), and lead(II) could be detected. Our studies revealed that arsRRP1
4 from CGA009 could confer As(III) resistance; E. coli (arsRRP2) displayed the
highest As resistance, selectivity, and adsorption capacity within a wider pH
(5.0~9.0) and salinity (0~15.0 g/L NaCl) range, especially important as it could
remove As(III) from low concentration As-containing water.
PMID- 29789882
TI - Rapid development of stable transgene CHO cell lines by CRISPR/Cas9-mediated site
specific integration into C12orf35.
AB - Chinese hamster ovary (CHO) cells are the most widely used mammalian hosts for
recombinant protein production. However, by conventional random integration
strategy, development of a high-expressing and stable recombinant CHO cell line
has always been a difficult task due to the heterogenic insertion and its caused
requirement of multiple rounds of selection. Site-specific integration of
transgenes into CHO hot spots is an ideal strategy to overcome these challenges
since it can generate isogenic cell lines with consistent productivity and
stability. In this study, we investigated three sites with potential high
transcriptional activities: C12orf35, HPRT, and GRIK1, to determine the possible
transcriptional hot spots in CHO cells, and further construct a reliable site
specific integration strategy to develop recombinant cell lines efficiently.
Genes encoding representative proteins mCherry and anti-PD1 monoclonal antibody
were targeted into these three loci respectively through CRISPR/Cas9 technology.
Stable cell lines were generated successfully after a single round of selection.
In comparison with a random integration control, all the targeted integration
cell lines showed higher productivity, among which C12orf35 locus was the most
advantageous in both productivity and cell line stability. Binding affinity and N
glycan analysis of the antibody revealed that all batches of product were of
similar quality independent on integrated sites. Deep sequencing demonstrated
that there was low level of off-target mutations caused by CRISPR/Cas9, but none
of them contributed to the development process of transgene cell lines. Our
results demonstrated the feasibility of C12orf35 as the target site for exogenous
gene integration, and strongly suggested that C12orf35 targeted integration
mediated by CRISPR/Cas9 is a reliable strategy for the rapid development of
recombinant CHO cell lines.
PMID- 29789883
TI - Functional characterization of a thermostable endoglucanase belonging to
glycoside hydrolase family 45 from Fomitopsis palustris.
AB - A gene encoding an endoglucanase belonging to subfamily C of glycoside hydrolase
family 45 (GH45) was identified in the brown rot fungus Fomitopsis palustris and
functionally expressed in Pichia pastoris. The recombinant protein displayed
hydrolytic activities toward various substrates such as carboxymethyl cellulose,
phosphoric acid swollen cellulose, glucomannan, lichenan, and beta-glucan. In
particular, the enzyme had a unique catalytic efficiency on beta-1,4-glucans
rather than mixed beta-1,3/1,4-glucans as compared to other GH45 endoglucanases.
The fungal enzyme was relatively thermostable, retaining more than 91.4% activity
at 80 degrees C for 1 h. Site-directed mutagenesis studies revealed that the
mutants N95D and D117N had significantly reduced enzymatic activities, indicating
that both residues are essential for the catalytic reaction. Our study expands
knowledge and understanding of the catalytic mechanism of GH45 subfamily C
enzymes and also suggests that this thermostable endoglucanase from F. palustris
has great potential in industrial applications.
PMID- 29789884
TI - Biosphere Reserve for All: Potentials for Involving Underrepresented Age Groups
in the Development of a Biosphere Reserve through Intergenerational Practice.
AB - Stakeholder participation is of high importance in UNESCO biosphere reserves as
model regions for sustainable development; however, certain groups remain
underrepresented. The paper proposes Intergenerational Practice (IP) as a means
of involving youth and elderly women and explores its options and barriers, using
the example of the Salzburger Lungau and Karntner Nockberge Biosphere Reserve in
Austria. Case study analysis is used involving mixed methods. The results reveal
obstacles and motivations to participating in biosphere reserve implementation
and intergenerational activities for the youth and the elderly women and imply
that much potential for IP exists in the biosphere reserve region. The authors
propose suitable solutions from the intergenerational field to overcome
identified participation obstacles and suggest benefits of incorporating IP as a
management tool into biosphere reserve activities. Suggestions for future
research include evaluating applications of IP in the context of protected areas,
testing of methods used in other contexts, and contribution to theory
development.
PMID- 29789885
TI - Instability of endosperm development in amphiploids and their parental species in
the genus Avena L.
AB - KEY MESSAGE: The development of oat endosperm is modified by chromatin and nuclei
elimination, intrusive growth of cell walls, and polyploidisation of cell clones.
The last event is correlated with somatic crossing-over. Grass endosperm is a
variable tissue in terms of its cytogenetics and development. Free-nuclear
syncytium and starchy and aleurone endosperm were the main focus of the research.
These were studied in oat amphiploids (4x, 6x, and 8x) and parental species (2x,
4x, and 6x). What the levels of cytogenetic disorders and developmental anomalies
in species versus hybrids are, and, what the factors are determining phenotypes
of both tissue components, are open questions for oats. Chromosome bridges and
micronuclei are the main cytogenetic disorders showing the elimination of parts
of genomes. Bridges are formed by the AT-heterochromatin-rich and -free ends of
chromosomes. In the starchy tissue, various sectors are separated structurally
due to the elongation or intrusive growth of aleurone cells. The development of
the aleurone layer is highly disturbed locally due to the amplification of
aleurone cell divisions. Changes related to their structure and metabolism occur
in the aleurone cells, for example, clones of small versus large aleurone cells.
Somatic crossing-over (SCO) is expressed in clones of large polyploidised cells
(r = 0.80***), giving rise to new aleurone phenotypes. The multivariate
description of the endosperm instability showed that endospermal disorders were
more frequent in amphiploids than in the oat species. Avena strigosa and the
amphiploid A. fatua * A. sterilis appeared to be extreme units in an ordination
space. Nuclear DNA elimination, periclinal and multidirectional cytokineses,
polyploidisation, intrusive growth, and SCO appeared to be important factors
determining oat endospermal variations.
PMID- 29789887
TI - Proven accuracy for a new dynamic gap measurement in navigated TKA.
AB - PURPOSE: Clinical outcome of TKA remains unsatisfactory in 20% of the cases.
Navigation has added accuracy in terms of alignment, but has improved clinical
outcome only in small series with gap-balanced techniques. Reason for that could
be that conventional gap balanced TKA determines gaps in extension and 90 degrees
of flexion only. Furthermore, measurement is only static. Therefore, the
accuracy of a new dynamic navigation software which allows gap assessment
throughout the entire range of motion was tested. The purpose of this study was
to investigate the accuracy and reliability of dynamic gap testing during gap
balanced TKA. METHODS: In two different centres, a total of 65 TKA procedures
were performed in a tibia-first, gap-balanced technique using a new CAS software.
At the same and at different time points of surgery, two different surgeons
performed gap measurement to provide inter-observer reliability data and repeated
gap measurement to provide intra-observer reliability data. These gap
measurements were performed throughout the entire ROM under dynamic stress
testing to detect maximum gap values. RESULTS: CAS surgery was able to produce
correct coronal alignment in 96.4% of the cases (within 3 degrees mechanical
alignment). Both inter-observer and intra-observer reliabilities were excellent
for gap values throughout the entire ROM. Inter-observer bias of deviation 0.05;
95% limits of agreement of - 2.1 to + 2.21 mm. Intra-observer bias of deviation
0.09; 95% limits of agreement of - 2.27 to + 2.44 mm. CONCLUSIONS: This new CAS
software in combination with the presented dynamic gap measurement provides
accurate gap values and therefore facilitates balancing TKA. This technique works
reproducibly for different surgeons and has proven robustness also for repeated
measurements of any surgeon in this study.
PMID- 29789888
TI - Diffusion tensor imaging in acute pyelonephritis in children.
AB - BACKGROUND: Diffusion-weighted imaging plays a key role in the imaging of acute
pyelonephritis by MRI. However the use of respiratory triggering is challenging
and time-consuming in children. Diffusion tensor imaging without respiratory
triggering might provide satisfying images of the moving kidneys. OBJECTIVE: To
compare mean diffusivity diffusion tensor images obtained with free breathing
with diffusion-weighted images obtained with respiratory triggering. MATERIALS
AND METHODS: Thirty-one children with suspected acute pyelonephritis underwent
axial diffusion tensor imaging acquisition with free breathing and axial and
coronal diffusion-weighted imaging acquisitions with respiratory triggering. We
compared image quality and detection of nephritis between the two sequences.
RESULTS: Diffusion tensor imaging demonstrated agreement with diffusion-weighted
imaging in all cases, with no difference in the detection of nephritis areas. The
image quality was significantly better with diffusion tensor imaging (P<0.01).
CONCLUSION: Diffusion tensor imaging could replace diffusion-weighted imaging for
diagnosis of acute pyelonephritis.
PMID- 29789886
TI - GCTTCA as a novel motif for regulating mesocarp-specific expression of the oil
palm (Elaeis guineensis Jacq.) stearoyl-ACP desaturase gene.
AB - KEY MESSAGE: TAAAAT and a novel motif, GCTTCA found in the oil palm stearoyl-ACP
desaturase (SAD1) promoter are involved in regulating mesocarp-specific
expression. Two key fatty acid biosynthetic genes, stearoyl-ACP desaturase
(SAD1), and acyl-carrier protein (ACP3) in Elaeis guineensis (oil palm) showed
high level of expression during the period of oil synthesis in the mesocarp [12
19 weeks after anthesis (w.a.a.)] and kernel (12-15 w.a.a.). Both genes are
expressed in spear leaves at much lower levels and the expression increased by
1.5-fold to 2.5-fold following treatments with ethylene and abscisic acid (ABA).
Both SAD1 and ACP3 promoters contain phytohormone-responsive, light-responsive,
abiotic factors/wounding-responsive, endosperm specificity and fruit
maturation/ripening regulatory motifs. The activities of the full length and six
5' deletion fragments of the SAD1 promoter were analyzed in transiently
transformed oil palm tissues by quantitative beta-glucuronidase (GUS)
fluorometric assay. The highest SAD1 promoter activity was observed in the
mesocarp followed by kernel and the least in the leaves. GUS activity in the D3
deletion construct (- 486 to + 108) was the highest, while the D2 (- 535 to +
108) gave the lowest suggesting the presence of negative cis-acting regulatory
element(s) in the deleted - 535 to - 486 (49 bp). It was found that the 49-bp
region binds to the nuclear protein extract from mesocarp but not from leaves in
electrophoretic mobility shift assay (EMSA). Further fine-tuned analysis of this
49-bp region using truncated DNA led to the identification of GCTTCA as a novel
motif in the SAD1 promoter. Interestingly, another known fruit ripening-related
motif, LECPLEACS2 (TAAAAT) was found to be required for effective binding of the
novel motif to the mesocarp nuclear protein extract.
PMID- 29789889
TI - Developing a reference MRI database for temporomandibular joints in healthy
children and adolescents.
AB - BACKGROUND: Recognition of normal temporomandibular joints (TMJs) is essential to
assess arthropathic changes. Few, if any, prior studies have evaluated the
morphological appearance of growing TMJs by magnetic resonance (MR) examinations
in the pediatric population. OBJECTIVE: This study aimed to determine normative
osseous appearance of growing TMJs according to age and gender, both
qualitatively and quantitatively, concerning structural and bone marrow changes.
MATERIALS AND METHODS: From 1,036 MR scans screened, one joint was included from
each of 157 patients (76% female; 2-18 years) presenting with at least one normal
appearing TMJ was included. Quantitatively, mandibular condyle was characterized
by measuring the following: (i) head-neck angle, (ii) anteversion angle, (iii)
condylar dimensions (mediolateral, craniocaudal and anteroposterior [AP]) and
(iv) condylar volume. Furthermore, qualitative categorization of condylar shape,
into one of three types, and condylar bone marrow type was performed. RESULTS:
The head-neck angle significantly correlated with age (bivariable regression beta
=0.60, P<0.001), indicating an increase of 1.6 degrees per year. Except for AP
diameter of condyles, all other mandibular dimensions and condylar volume
increased with age (beta =0.20-0.59, P<=0.001-0.004). Significant age difference
was observed among the different condylar shapes (P<0.001), indicating a change
from rounded head without anterior tilt to rectangular head with anterior tilt.
Lastly, mandibular condylar size, measured by volume and by AP and mediolateral
dimensions, appeared larger in males. CONCLUSION: The morphology of the
mandibular condyles changes with age. During development, the shape of the
condyles changes from round to rectangular in contour with the development of the
anterior condylar tilt, as measured by the head-neck angle.
PMID- 29789891
TI - [Overview of indicators in the context of environment and health].
AB - BACKGROUND: Evidence-based political measures need reliable information about the
health status of a population and the determinants affecting health. Here,
environment and health indicators can provide helpful additional insights. AIM:
This article provides an overview of existing indicators in the field of
environment and health. MATERIALS: There are single indicators and indicator sets
describing solely the environment or health as well as some indicators
integrating both aspects. RESULTS: The indicator sets cover classical
epidemiological indicators but also summary measures of population health, which
combine mortality and morbidity as well as simple descriptions of the exposure
towards environmental risks. The indicator sets mostly cover water and air
quality related aspects. For some of the indicators their influence on health is
also presented. Furthermore, environment related health indicators are part of
sustainability indicator sets. There are indicators on the international,
European, national, and municipal level. DISCUSSION: All indicator sets aim to
support policy-making by advising on measures and setting priorities in the area
of environment and health protection. However not all indicators reflect the
effect of the environment on health adequately. Therefore, further development of
the existing indicators is necessary to reflect current progress (e. g. political
needs) and to include new scientific evidence in the field of environment and
health. A continuous provision, review, and interpretation of meaningful
indicators is required to identify trends and to react to these in order to
protect the environment and health. This is necessary to adequately pursue the
precautionary principle.
PMID- 29789892
TI - [The significance of climate and environment protection for health under special
consideration of skin barrier damages and allergic sequelae].
AB - The skin, together with gut and respiratory tract, harbor a central epithelial
barrier function in regards to the interaction of an individual with the
environment. Continuing exposure to environmental influences can cause epithelial
barrier damages and thus pave the way for atopy development. The latter describes
the tendency for allergies, i. e. hypersensitivity of the skin, intestine, and
respiratory tract towards per se unharmful environmental substances.Allergies are
classified as non-communicable diseases (NCDs). According to the World Health
Organization (WHO), they are presently the most demanding medical challenge.
Allergies are the most frequent NCDs and are characterized by a high and multi
facetted level of suffering. An enormous socio-economic burden and the urgent
need for effective prevention follows as consequence. Prevention options have by
no means been sufficiently used. Within the skin barrier's key function in
regards to the defense of atopic diseases are so far inadequately used prevention
possibilities. They are based on ambitious environmental and climatic policy that
pointedly addresses the barrier disrupting environmental factors.On the basis of
this proposition, the present article assigns appropriate environmental and
climatic policy measures. The two main arguments for such measures are a
disburdening of the healthcare system as well as a far better life quality for
the affected people. They are the legitimization towards an ambitious
environmental and climatic policy. For its realization an integrated approach of
(allergy) prevention and environmental research is necessary. Now, campaigning
for its acceptance in politics and society is an urgent matter.
PMID- 29789890
TI - Surveillance magnetic resonance imaging for isolated optic pathway gliomas: is
gadolinium necessary?
AB - BACKGROUND: Pediatric optic pathway gliomas are typically indolent but have a
variable clinical course. Treatment is dictated by symptoms and changes on
contrast-enhanced MRI examinations. Gadolinium retention in children has
motivated parsimonious use of gadolinium-based contrast agents. OBJECTIVES: To
determine surveillance MR factors that motivate changes in tumor-directed
therapies and extrapolate cost-efficacy of a non-contrast follow-up protocol.
MATERIALS AND METHODS: Using an imaging database search we identified children
with isolated optic pathway gliomas and >=3 follow-up contrast-enhanced MRIs. We
reviewed medical records and imaging for: (1) coincident changes on contrast
enhanced MRI and tumor-directed therapy, (2) demographics and duration of follow
up, (3) motivations for intervention, (4) assessment of gadolinium-based contrast
agents' utility and (5) health care utilization data. We assessed cost impact in
terms of relative value unit (RVU) burden. RESULTS: We included 17
neurofibromatosis type 1 (NF1) and 21 non-NF1 patients who underwent a median
16.9 and 24.3 cumulative contrast-enhanced MR exams over 7.7 years and 8.1 years
of follow-up, respectively. Eight children (one with NF1) had intervention based
on contrast-enhanced MR findings alone. For these eight, increased tumor size was
the only common feature, and it was apparent on non-contrast T2 sequences. For
the median patient, a non-contrast follow-up protocol could result in 15.9 (NF1)
and 23.3 (non-NF1) fewer gadolinium-based contrast agent administrations, and a
39% lower yearly RVU burden. CONCLUSION: Pediatric patients with isolated optic
pathway gliomas undergo a large number of routine contrast-enhanced MR follow-up
exams. Gadolinium might not be needed for these exams to inform management
decisions. Secondary benefits of a non-contrast follow-up protocol include
decreased cost and risk to the patient.
PMID- 29789893
TI - [Integration of sex/gender into environmental health research. Results of the
interdisciplinary research network Sex/Gender-Environment-Health (GeUmGe-NET)].
AB - The comprehensive consideration of sex/gender in health research is essential to
increase relevance and validity of research results. Contrary to other areas of
health research, there is no systematic summary of the current state of research
on the significance of sex/gender in environmental health. Within the
interdisciplinary research network Sex/Gender-Environment-Health (GeUmGe-NET) the
current state of integration of sex/gender aspects or, respectively, gender
theoretical concepts into research was systematically assessed within selected
topics of the research areas environmental toxicology, environmental medicine,
environmental epidemiology and public health research on environment and health.
Knowledge gaps and research needs were identified in all research areas.
Furthermore, the potential for methodological advancements by using gender
theoretical concepts was depicted. A dialogue between biomedical research, public
health research, and gender studies was started with the research network GeUmGe
NET. This dialogue has to be continued particularly regarding a common testing of
methodological innovations in data collection and data analysis. Insights of this
interdisciplinary research are relevant for practice areas such as environmental
health protection, health promotion, environmental justice, and environmental
health monitoring.
PMID- 29789894
TI - [Conditio sine qua non: environment and health].
PMID- 29789897
TI - [Pilot study to investigate sleep disorders in the blind and persons with
relevant visual impairment].
AB - BACKGROUND: Sleep disorders are associated with serious health problems in blind
and visually impaired persons. Loss of light perception may result in a shift of
sleep-wake pattern, which may lead to significant impairments in daily life--the
so-called non-24-hour sleep-wake disorder. To date, epidemiologic data on non-24
only exist for the USA. This pilot study was conducted to provide first
epidemiologic data for the prevalence of non-24 and other sleep disorders among
blind and visually impaired persons in Germany. METHODS: Recruited were 111 blind
and visually impaired subjects (36 subjects without light perception; male [m] =
56, 27-85 years, average [Mx] = 59.53, standard deviation [SD] = 14.69) and 111
sighted controls (m = 41, 27-88 years, Mx = 58.32, SD = 14.21), who answered a
set of validated questionnaires referring to general health status (SF-36), sleep
characteristics (PSQI), and daytime sleepiness (ESS). In addition, a
questionnaire to predict non-24-hour sleep-wake disorder, which is not yet
validated in German, was provided. RESULTS: The prevalence of 72.2% for the non
24-hour sleep-wake disorder in blind people is in accordance with results from
the USA. In contrast, our results indicated non-24 in only 21.3% of the subjects
with residual light perception. Furthermore, other sleep disorders like problems
falling asleep (100% vs. 79.9%), maintaining sleep (90% vs. 88.1%), sleep
disordered breathing (19.4% vs. 32%), or sleep-related movement disorders (28.1%
vs. 32.9%) were also common in the group of blind or visually impaired persons.
DISCUSSION: The non-24-hour sleep-wake disorder is a frequent problem among
people with no light perception, associated with problems falling asleep,
maintaining sleep, and daytime sleepiness. The perception of light as an external
cue for our circadian rhythm plays a key role. However, sleep disruption is not
fully explained by non-24, making a detailed sleep history essential.
PMID- 29789898
TI - [Clinical parameters of patients with neovascular age-related macular
degeneration : Longterm treatment results of an outpatient clinic].
AB - BACKGROUND: The clinical outcome of neovascular age-related macular degeneration
(nAMD) depends on constant follow-up and consistent treatment. Data about the
long-term course of intensive anti-vascular endothelial growth factor (VEGF)
therapy from outpatient clinics are rare. OBJECTIVE: The aim of the study was to
characterize a population of nAMD patients with long-term follow-up and intensive
anti-VEGF therapy. PATIENTS AND METHODS: In a supra-regional outpatient clinic,
we retrospectively identified patients who had received at least 30 intravitreal
anti-VEGF injections and were followed for at least 4 years. All patients
received an optical coherence tomography(OCT)-controlled Pro-Re-Nata (PRN)
therapy regimen according to German guidelines. RESULTS: We identified 43
patients. Visual acuity at baseline was 0.44 +/- 0.24 (1.0-0.1) logMAR. At the
end of the follow-up period, visual acuity was 0.63 +/- 3.6 (1.3-0.1) logMAR.
Patients received a mean of 36.3 +/- 8.0 (30-62) injections and were followed for
a mean of 6.1 +/- 1.8 (4-12) years. They received 6.12 +/- 1.5 (3.1-9.9)
injections per year. The number of injections in treatment-year one was with 3.67
+/- 1.9 (1-8) significantly lower than the mean (p < 0.0001). CONCLUSION: Despite
intensive PRN therapy, visual acuity slowly decreased over time. The mean number
of injections was comparable to that of prospective studies. The low number of
injections in treatment-year 1 may have been due to a lack of experience with the
new treatment agents. The slow decrease in visual acuity in clinical routine as
opposed to clinical studies may be attributed to a delay between occurrence of
disease activity and treatment.
PMID- 29789899
TI - [Review of clinical trials in retinopathy of prematurity : Current state and
future perspectives].
AB - BACKGROUND: The treatment of retinopathy of prematurity (ROP) has gained a new
dynamic since the introduction of anti-vascular endothelial growth factor (VEGF)
therapy. This review summarizes clinical trial data in order to aid informed
decision-making. METHODS: In this article, pivotal clinical trials are summarized
and discussed with regard to their implications for ROP therapy. RESULTS: The
longest follow-up phase exists for children treated in the CRYO-ROP study, which
used retinal cryocoagulation to treat ROP. Based on results of the ETROP study
and others, retinal laser therapy has replaced cryotherapy as standard of care.
For anti-VEGF treatment, three controlled clinical trials exist to date: BEAT
ROP, CARE-ROP, and the PEDIG study. Combined, these studies demonstrate efficacy
of anti-VEGF in treating acute ROP. However, they also emphasize the risk of
(late) recurrences and the largely unsolved questions regarding choice of drug
and dose as well as long-term safety. CONCLUSION: Treatment of ROP remains a
highly individual decision in which many variables need to be considered. The
data discussed in this article can help in decision-making and emphasize the
unique characteristics of the available therapeutic approaches, in particular
regarding postoperative follow-up.
PMID- 29789895
TI - Diffusion-weighted imaging determinants for acute ischemic stroke diagnosis in
the emergency room.
AB - PURPOSE: The aim of this study was to investigate the clinical-radiological
determinants of diffusion-weighted image (DWI) abnormalities in patients with
suspected acute ischemic stroke (AIS) seen at the emergency room (ER). METHODS:
During the study period, 882 consecutive patients were screened at Clinica
Alemana de Santiago, Chile; 786 had AIS and 711 (90.4%) were included. RESULTS:
DWI demonstrated 87.3% sensitivity and 99.0% specificity, with a positive
likelihood ratio of 79 and a negative likelihood ratio of 0.13 for the detection
of AIS. In the univariate analysis, a positive DWI in AIS was associated with
admission National Institute of Health Stroke Scale (NIHSS) score (OR 1.09, 95%
CI 1.04-1.1%), time from symptom onset to DWI (OR 1.03, 95% CI 1.01-1.05),
presence of a relevant intracranial artery occlusion (OR 3.18, 95% CI 1.75-5.76),
posterior circulation ischemia (OR 0.44, 95% CI 0.28-0.7), brainstem location of
the AIS (OR 0.16, 95% CI 0.093-0.27), infratentorial location of AIS (OR 0.44,
95% CI 0.28-0.70), and lacunar (OR 0.27, 95% CI 0.11-0.68) or undetermined stroke
etiology (OR 0.12, 95% CI 0.3-0.31). In multivariate analysis, only admission
NIHSS score (OR 1.07, 95% CI 1.01-1.13), time from symptom onset to DWI (OR 1.04,
95% CI 1.01-1.13), brainstem location (OR 0.13, 95% CI 0.051-0.37), and lacunar
(OR: 0.4, 95% CI 0.21-0.78) or undetermined etiology (OR: 0.4, 95% CI 0.22-0.78)
remained independently associated. CONCLUSION: DWI detects AIS accurately; the
positivity of these evaluations in the ER is associated only with NIHSS on
admission, time to DWI, brainstem location, and AIS etiology.
PMID- 29789896
TI - An offline technique to evaluate residual motion of the diaphragm during deep
inspiratory breath-hold from cone-beam CT datasets.
AB - PURPOSE: In radiation therapy, the computer-assisted deep inspiration breath-hold
(DIBH) technique is one approach to deal with respiratory motion of tumors in the
lung, liver, or upper abdomen. However, inter- and intra-breath-hold deviations
from an optimal static tumor position might occur. A novel method is presented to
noninvasively measure the diaphragm position and thus estimate its residual
deviation (as surrogate for the tumor position) based on cone-beam computed
tomography (CBCT) projection data using active breathing control during
acquisition. METHODS: The diaphragm dome (DD) position relative to the isocenter
of a linear accelerator is known from the static (DIBH) planning CT. A ball
bearing phantom (BB) is placed at this position, a CBCT dataset is acquired, and
in each projection the position of the projected BB is determined automatically
based on thresholding. The position of the DD is determined manually in CBCT
projections of a patient. The distance between DD and BB (ideal static setting)
in craniocaudal direction is calculated for a given angle based on the distance
in the projection plane and the relative position of the BB referring to the
source and the detector. An angle-dependent correction factor is introduced which
takes this geometrical setting into account. The accuracy of the method is
assessed. RESULTS: The method allows a CBCT projection-based estimation of the
deviation between the DD and its optimal position as defined in the planning CT,
i.e., the residual motion of the DD can be assessed. The error of this estimation
is 2.2 mm in craniocaudal direction. CONCLUSIONS: The developed method allows an
offline estimation of the inspiration depth (inter- and intra-breath-hold) over
time. It will be useful as a reference for comparison to other methods of
residual motion estimation, e.g., surface scanning.
PMID- 29789900
TI - Associations of sensitive cardiac troponin-I with left ventricular morphology,
function and prognosis in end-stage renal disease patients with preserved
ejection fraction.
AB - Sensitive cardiac troponin I (cTnI) predicts all-cause and cardiovascular
mortality in various clinical settings. However, its clinical significance in
hemodialysis (HD) patients with preserved left ventricular ejection fraction
(LVEF) has not been fully elucidated. This study investigated the association of
cTnI with LV morphology and function, and its long-term outcome in HD patients
with preserved LVEF. This prospective study consists of 96 HD patients with
preserved LVEF (69 +/- 8 years and 63% male) who underwent two-dimensional
echocardiographic examination and biomarker tests including cTnI, brain
natriuretic peptide, and high-sensitive C-reactive protein. The primary endpoint
was all-cause death and secondary endpoint was cardiovascular death. Factors
independently associated with cTnI were systolic blood pressure (beta = - 0.239,
p = 0.011), heart rate (beta = 0.216, p = 0.021), LV mass index (beta = 0.231, p
= 0.020), and E to e' ratio (beta = 0.237, p = 0.016). During a mean follow-up of
3.6 years, primary and secondary endpoints were observed in 23 (24%) and 18 (19%)
patients, respectively. In the multivariate Cox proportional hazard analysis, the
upper cTnI tertile has significantly increased risk of all-cause mortality
[hazard ratio (HR), 2.69; 95% confidence interval (CI), 1.139-6.386; p = 0.024]
and that of cardiovascular death (HR, 4.56; 95% CI 2.021-16.968; p = 0.006)
independent of echocardiographic measures and other serum biomarkers. In HD
patients with preserved LVEF, serum cTnI levels were significantly associated
with diastolic function and risk of mortality independent of echocardiographic
variables and other biomarkers.
PMID- 29789901
TI - Cell differentiation in cardiac myxomas: confocal microscopy and gene expression
analysis after laser capture microdissection.
AB - Cardiac myxomas are rare tumors with a heterogeneous cell population including
properly neoplastic (lepidic), endothelial and smooth muscle cells. The
assessment of neoplastic (lepidic) cell differentiation pattern is rather
difficult using conventional light microscopy immunohistochemistry and/or whole
tissue extracts for mRNA analyses. In a preliminary study, we investigated 20
formalin-fixed and paraffin-embedded cardiac myxomas by means of conventional
immunohistochemistry; in 10/20 cases, cell differentiation was also analyzed by
real-time RT-PCR after laser capture microdissection of the neoplastic cells,
whereas calretinin and endothelial antigen CD31 immunoreactivity was localized in
4/10 cases by double immunofluorescence confocal microscopy. Gene expression
analyses of alpha-smooth muscle actin, endothelial CD31 antigen, alpha-cardiac
actin, matrix metalloprotease-2 (MMP2) and tissue inhibitor of matrix
metalloprotease-1 (TIMP1) was performed on cDNA obtained from either
microdissected neoplastic cells or whole tumor sections. We found very little or
absent CD31 and alpha-Smooth Muscle Actin expression in the microdissected cells
as compared to the whole tumors, whereas TIMP1 and MMP2 genes were highly
expressed in both ones, greater levels being found in patients with embolic
phenomena. alpha-Cardiac Actin was not detected. Confocal microscopy disclosed
two different signals corresponding to calretinin-positive myxoma cells and to
endothelial CD31-positive cells, respectively. In conclusion, the neoplastic
(lepidic) cells showed a distinct gene expression pattern and no consistent
overlapping with endothelial and smooth muscle cells or cardiac myocytes; the
expression of TIMP1 and MMP2 might be related to clinical presentation; larger
series studies using also systematic transcriptome analysis might be useful to
confirm the present results.
PMID- 29789902
TI - Association of plasma pentraxin-3 levels with coronary risk factors and the lipid
profile: a cross-sectional study in Japanese patients with stable angina
pectoris.
AB - The aim of this study was to evaluate the relationships among the traditional
risk factors, lipid profile, and pentraxin-3 in stable angina (SAP). Plasma
pentraxin-3 and serum LDL, HDL, and high-sensitivity CRP levels were measured in
163 SAP and 28 non-coronary artery disease (CAD) patients. Their relationships
with five risk factors, hypertension (HT), dyslipidemia (DL), diabetes mellitus
(DM), obesity (body mass index: BMI > 25 kg/m2), and high age (> 75 years), were
evaluated. No significant difference was observed in the pentraxin-3 level
between patients in SAP and in non-CAD [2.1 (1.4-3.5) ng/ml versus off 2.6 (1.6
3.8) ng/ml, P = 0.56). In SAP patients, pentraxin-3 levels decreased with more
risk factors, according to the number of 3 traditional risk factors (HT, DL, and
DM) and the number of 5 expanded risk factors (HT, DL, DM, obesity, and high age)
(P for trend = 0.01 and 0.05, respectively). Pentraxin-3 showed a positive
association with HDL (rs = 0.229; P = 0.050) and an inverse association with LDL
(rs = - 0.224; P = 0.045). On multiple logistic regression, the number of 3
traditional risk factors was a significant predictor of pentraxin-3 levels (odds
ratio = 0.444; 95% confidence interval 0.205-0.963, P = 0.040) in SAP patients.
In SAP patients, the cardiovascular risk factor burden remained a negative impact
on pentraxin-3 levels after multivariate analysis, suggesting that they have
distinct roles in atherosclerosis.Trial registration: UMIN000023837.
PMID- 29789904
TI - Revision knee arthroplasty with rotating hinge systems in patients with gross
ligament instability.
AB - PURPOSE: The clinical and radiographic outcomes after revision total knee
arthroplasty (TKA) for instability with two rotating hinge knee prostheses were
compared. METHODS: Fifty-one patients revised for TKA instability were
prospectively randomized to either the Link Endo-Model (N = 26) or the EnduRo (N
= 25). Clinical and radiographic outcome scores were compared pre-operatively and
at 12 months' follow-up. Failure mechanisms were recorded. RESULTS: Age, BMI,
operation, and tourniquet-time did not differ significantly between groups.
Radiographic evaluation demonstrated correct implant alignment. The Endo-Model
was implanted with a higher slope (p = 0.0001) and the mechanical lower extremity
axis was straighter (p = 0.0323). Except for the patient function Knee Society
Score and the Physical Health Component Summary Score in the EnduRo group, all
clinical scores (range of motion/knee function Knee Society Score/Oxford Knee
Score/Visual Analog Scale/Mental Health Component Summary Score) improved
significantly for both prosthesis designs during the follow-up period. The Visual
Analog Scale and Mental Health Component Summary score were significantly better
(p = 0.045 and p = 0.0148) in the Endo-Model group at the 12 months' follow-up.
In the EnduRo group 2 patients (8%) and in the Endo-Model group 1 patient (3.8%)
had to be revised for infection. CONCLUSION: Both prosthetic designs provide
significant improvement in pain and function scores after TKA revision for gross
instability. We found slight advantages in favor of the Endo-Model; however, no
design yielded superior results throughout the study.
PMID- 29789903
TI - Stabilization of symptomatic carotid atherosclerotic plaques by statins: a
clinico-pathological analysis.
AB - Human and animal studies have revealed a stabilization of atherosclerotic plaques
by statins. However, the stabilization of human carotid plaques has not been
thoroughly described pathologically. This analysis explored the relationship
between statin therapy and plaque stability in carotid endarterectomy (CEA)
specimens. We analyzed specimens harvested between May 2015 and February 2017,
from 79 consecutive patients presenting with > 70% carotid artery stenoses, of
whom 66 were untreated (group 1) and 13 treated (group 2) with a statin.
Immunohistochemistry was performed, using an endothelial specific antibody to
CD31, CD34 and platelet derived growth factor receptor-beta. The prevalence of
plaque ruptures (P = 0.009), lumen thrombi (P = 0.009), inflammatory cells (P =
0.008), intraplaque hemorrhages (P = 0.030) and intraplaque microvessels (P <
0.001) was significantly lower in group 2 than in group 1. Among 66 patients
presenting with strokes and infarct sizes > 1.0 cm3 on magnetic resonance
imaging, the mean infarct volume was significantly smaller (P = 0.031) in group 2
(4.2 +/- 2.5 cm3) than in group 1 (8.2 +/- 7.1 cm3). The difference in mean
concentration of low-density lipoprotein cholesterol between group 1 (121 +/- 32
mg/dl) and group 2 (105 +/- 37 mg/dl) was non-significant (P = 0.118). This
analysis of plaques harvested from patients undergoing CEA suggests that statin
therapy mitigates the plaque instability, which, in patients presenting with
strokes, might decrease infarct volume.
PMID- 29789905
TI - Pre-operative MRI staging of endometrial cancer in a multicentre cancer network:
can we match single centre study results?
AB - OBJECTIVES: To evaluate the staging accuracy of magnetic resonance imaging (MRI)
for endometrial cancer in daily practice over a 3-year period at a tertiary
referral centre receiving scans from a large number of hospitals with varying
protocols. To compare these daily practice results to published data from single
centre studies. METHODS: After ethical approval, MRI staging records for 270
studies from nine network and three centre hospitals were retrospectively
collected and compared with final operative histopathology. The International
Federation of Gynaecology and Obstetrics (FIGO) stage, depth of invasion
assessment and cervical stromal invasion were analysed and reasons for
discrepancies reviewed. RESULTS: MRI-based complete FIGO stage was fully
concordant with histopathology in 65.6%. MRI accuracy for depth of myometrial
invasion and cervical stromal invasion was 73.3% and 89.3% respectively. Our
results did not match the high accuracy previously reported in studies based on
single centres. CONCLUSIONS: Published MRI staging accuracy from small single
centre studies were not replicated in a tertiary referral centre receiving scans
with heterogeneous protocols over a 3-year period. These results highlight the
challenges faced in daily practice and may reflect achievable and realistic MRI
staging accuracies in large rapid throughput referral networks. Adherence to
standardised high-quality protocols may help to improve future results. KEY
POINTS: * Three-year MRI-staging accuracy for endometrial cancer in a multicentre
cancer network * Daily practice MRI-staging accuracy did not meet results of
single-centre studies * Large scale cancer network MRI-staging accuracies should
be further evaluated * Treatment recommendations should be based on achievable
MRI-staging accuracies.
PMID- 29789906
TI - Percutaneous intentional intra-luminal-assisted recanalization (PILAR technique)
of challenging chronic total occlusions using a high-frequency vibration device.
AB - OBJECTIVES: Recanalization of peripheral chronic total occlusions (CTO) is
technically challenging especially in cases of in-stent and/or pre-stent and
heavily calcified lesions. A high-frequency vibrational device (HFVD) was first
used as a secondary-intention device in CTO recanalizations when they were
refractory to a guidewire. The aim of this study was to assess the safety and
efficacy of the HFVD as a first-line treatment for challenging CTOs and thus to
define the percutaneous intentional intraluminal-assisted recanalization (PILAR)
technique. METHODS: Fifty-two patients were treated with the HFVD. Only
challenging CTOs were included: 7 pre-stent, 7 in-stent, and 38 highly calcified
CTOs. Technical success was defined as the ability to cross the CTO using the
HFVD. Secondary outcome was defined as successful intraluminal crossing. Safety
endpoints were procedure-related thromboembolism or perforation. Patients were
followed up at 3 months and 1 year. RESULTS: The technical success rate for
recanalization was 90%, of which 83% were intraluminal. The mean recanalized
length was 91 +/- 44 mm. One thromboembolic complication occurred, which was
subsequently treated with thromboaspiration. Three-month and 1-year primary
patency rates were 92% and 79%, respectively. CONCLUSIONS: HFVD-based PILAR is a
safe and effective technique for in-stent or pre-stent CTO recanalization of long
and calcified lesions. KEY POINTS: * Intraluminal recanalization is the preferred
procedure in heavily calcified or pre-/in-stent CTO. * First-line use of assisted
intraluminal recanalization for CTO defines the PILAR technique. * HFVD-based
PILAR is safe and provides a high success rate for challenging CTO
recanalization.
PMID- 29789907
TI - Can quantitative iodine parameters on DECT replace perfusion CT parameters in
colorectal cancers?
AB - OBJECTIVES: To determine the correlation between iodine concentrations derived
from dual-energy CT (DECT) and perfusion CT (PCT) parameters in patients with
pathologically proven colorectal cancers (CRC) and to evaluate their
reproducibility and respective radiation exposures. METHODS: Institutional review
board approval and written informed consents were obtained for this study. Forty
one patients with CRCs who underwent same-day DECT and PCT were prospectively
enrolled. Three radiologists independently analyzed the iodine concentration of
the tumors and iodine ratios [ratio of lesion to aorta (IRa) or to infrarenal IVC
(IRv)] from DECT as well as blood flow (BF), blood volume (BV), permeability
(PMB), and mean transit time (MTT) from PCT. Pearson R and linear correlation,
paired t-test, and intraclass correlation coefficients (ICCs) were used. RESULTS:
Significant correlations were found between iodine parameters from DECT and PCT
parameters: iodine concentration of tumors and BV (r = 0.32, p = 0.04), PMB (r =
0.34, p = 0.03), and MTT (r = -0.38, p = 0.02); iodine ratio (IRa) and MTT (r =
0.32, p = 0.04); iodine ratio (IRv) and BF (r = 0.32, p = 0.04) and PMB (r =
0.44, p = <0.01). DECT showed better intra- and interobserver agreements (ICC =
0.98, 0.90 in iodine concentration; 0.98, 0.91 in IRa; and 0.91, 0.93 in IRv,
respectively) than PCT (ICC = 0.90, 0.78 in BF; 0.82, 0.76 in BV; 0.75, 0.75 in
PMB; 0.64, 0.79 in MTT, respectively). As for radiation dosage, CTDIvol and DLP
in DECT (10.48 +/- 1.84 mGy and 519.7 +/- 116.7 mGy.cm) were significantly lower
than those of PCT (75.76 mGy and 911 mGy.cm) (p < 0.01). CONCLUSION: Iodine
parameters from DECT are significantly correlated with PCT parameters, but have
higher intra- and interobserver agreements and lower radiation exposure. KEY
POINTS: * Quantitative iodine concentrations from DECT are significantly
correlated with perfusion CT parameters. * Intra- and interobserver agreements of
DECT are better than those of perfusion CT. * Effective radiation doses of DECT
are significantly lower than those of perfusion CT. * DECT can be used as an
alternative to perfusion CT with lower radiation doses.
PMID- 29789908
TI - Triple-rule-out CT angiography using two axial scans with 16 cm wide-detector for
radiation dose reduction.
AB - OBJECTIVE: To explore the use of two consecutive axial scans in triple-rule-out
(TRO) examination on a 16 cm wide-detector CT for radiation dose reduction.
MATERIALS AND METHODS: Sixty TRO patients were assigned to either study group
(Group A, n = 30) or control group (Group B, n = 30). Group A used a two-phasic
contrast injection: 25mgI/kg/s for 12 s in 1st and at 3.0 ml/s injection rate for
7 s in 2nd phase. The pulmonary artery, coronary artery and aorta were scanned in
succession with two axial scans using smart-coverage technique. Group B used the
conventional protocol of scanning pulmonary arteries first in helical, followed
by coronary arteries in axial and aorta in helical mode with contrast injection
of 25mgI/kg/s for 14 s. All images were reconstructed with 80% ASIR-V. The
qualitative and quantitative image assessment and effective dose of the two
groups were statistically compared. RESULTS: The demographic data and
quantitative measurements and qualitative image scores between the two groups
were statistically the same (p > 0.05). However, Group A reduced radiation dose
by 52% (2.67 +/- 0.98 mSv vs. 5.65 +/- 1.37 mSv) (p < 0.001). CONCLUSION: Using
two consecutive axial scans in triple-rule-out on a 16 cm wide-detector CT
reduces radiation dose while maintaining image quality compared with the
conventional TRO protocol. KEY POINTS: * Triple-rule-out can be performed with
two-axial scans on a wide-detector CT system. * TRO with two-axial scans maintain
image quality compared with conventional protocol. * TRO with two-axial scans
reduces 52% radiation dose over conventional protocol.
PMID- 29789909
TI - Fast 3-T MR-guided transrectal prostate biopsy using an in-room tablet device for
needle guide alignment: a feasibility study.
AB - OBJECTIVES: To assess the feasibility of adding a tablet device inside the
scanner room to assist needle-guide alignment during magnetic resonance (MR)
guided transrectal prostate biopsy. METHODS: Twenty patients with one cancer
suspicious region (CSR) with PI-RADS score >= 4 on diagnostic multiparametric MRI
were prospectively enrolled. Two orthogonal scan planes of an MR fluoroscopy
sequence (~3 images/s) were aligned to the CSR and needle-guide pivoting point.
Targeting was achieved by manipulating the needle-guide under MR fluoroscopy
feedback on the in-room tablet device. Technical feasibility and targeting
success were assessed. Complications and biopsy procedure times were also
recorded. RESULTS: Needle-guide alignment with the in-room tablet device was
technically successful in all patients and allowed sampling after a single
alignment step in 19/20 (95%) CSRs (median size 14 mm, range: 4-45). Biopsy cores
contained cancer in 18/20 patients. There were no per-procedural or post-biopsy
complications. Using the tablet device, the mean time to first biopsy was 5.8 +/-
1.0 min and the mean total procedure time was 23.7 +/- 4.1 min. CONCLUSIONS: Use
of an in-room tablet device to assist needle-guide alignment was feasible and
safe during MR-guided transrectal prostate biopsy. Initial experience indicates
potential for procedure time reduction. KEY POINTS: * Performing MR-guided
prostate biopsy using an in-room tablet device is feasible. * CSRs could be
sampled after a single alignment step in 19/20 patients. * The mean procedure
time for biopsy with the tablet device was 23.7 min.
PMID- 29789910
TI - A radiopaque 3D printed, anthropomorphic phantom for simulation of CT-guided
procedures.
AB - OBJECTIVES: To develop an anthropomorphic phantom closely mimicking patient
anatomy and to evaluate the phantom for the simulation of computed tomography
(CT)-guided procedures. METHODS: Patient CT images were printed with aqueous
potassium iodide solution (1 g/mL) on paper. The printed paper sheets were
stacked in alternation with 1-mm thick polyethylene foam layers, cut to the
patient shape and glued together to create an anthropomorphic abdomen phantom.
Ten interventional radiologists performed periradicular infiltration on the
phantom and rated the phantom procedure regarding different aspects of
suitability for simulating CT-guided procedures. RESULTS: Radiopaque printing in
combination with polyethylene foam layers achieved a phantom with detailed
patient anatomy that allowed needle placement. CT-guided periradicular
infiltration on the phantom was rated highly realistic for simulation of anatomy,
needle navigation and overall course of the procedure. Haptics were rated as
intermediately realistic. Participants strongly agreed that the phantom was
suitable for training and learning purposes. CONCLUSIONS: A radiopaque 3D
printed, anthropomorphic phantom provides a realistic platform for the simulation
of CT-guided procedures. Future work will focus on application for training and
procedure optimisation. KEY POINTS: * Radiopaque 3D printing combined with
polyethylene foam achieves patient phantoms for CT-guided procedures. *
Radiopaque 3D printed, anthropomorphic phantoms allow realistic simulation of CT
guided procedures. * Realistic visual guidance is a key aspect in simulation of
CT-guided procedures. * Three-dimensional printed phantoms provide a platform for
training and optimisation of CT-guided procedures.
PMID- 29789911
TI - The role of core needle biopsy in the diagnosis of initially detected thyroid
nodules: a systematic review and meta-analysis.
AB - OBJECTIVES: To systematically review the published literature and evaluate the
efficacy and safety of core needle biopsy (CNB) for initially detected thyroid
nodules. METHODS: The Ovid-MEDLINE and Embase databases were searched for studies
evaluating CNB for the diagnosis of initially detected thyroid nodules. A meta
analysis was performed to evaluate non-diagnostic results, inconclusive results
and diagnostic accuracy for a diagnosis of malignancy with CNB. To overcome
heterogeneity, multiple subgroup analyses were performed. The complication rate
was also evaluated. RESULTS: Thirteen eligible studies, which included a total
sample size of 9,166 patients with 13,585 nodules, were included. The pooled
proportions were 3.5% (95% CI 2.4-5.1) for non-diagnostic results and 13.8% (95%
CI 9.1-20.3) for inconclusive results. Considerable heterogeneity was observed
among the studies in terms of the pooled proportions for CNB (I2=92.9%, 97%).
With regard to the diagnostic performance for malignancy, the sensitivity was 80%
(95% CI 75-85) and the specificity was 100% (95% CI 93-100). Only two major
complications of CNB were observed. CONCLUSIONS: CNB demonstrates a low non
diagnostic result rate and high diagnostic accuracy for initially detected
thyroid nodules and a low major complication rate. These findings indicate that
CNB may be a feasible diagnostic tool for patients with initially detected
thyroid nodules. KEY POINTS: CNB demonstrates high diagnostic accuracy for
initially detected thyroid nodules. CNB demonstrates a low major complication
rate. CNB may be a feasible diagnostic tool for patients with initially detected
thyroid nodules.
PMID- 29789912
TI - Meniscal pathologies on MRI correlate with increased bone tracer uptake in
SPECT/CT.
AB - OBJECTIVES: To assess the relationship of subchondral bone tracer uptake (BTU) on
SPECT/CT and meniscal pathologies on MRI in patients with painful knees. METHODS:
Twenty-five patients who had MRI and SPECT/CT within 3 months without knee
surgery or grade >=3 cartilage lesions were prospectively included. Maximum
values of each subchondral femorotibial area were quantified and a ratio was
calculated in relation to a femoral shaft reference region, which represented the
BTU background activity. Meniscal lesions were graded (intact/degeneration/tear)
and meniscal extrusion (no/yes) was assessed using MRI by two musculoskeletal
radiologists blinded to the SPECT/CT findings. One-tailed Spearman correlations
served for statistics (p < 0.05). RESULTS: Knees with meniscal degeneration or
tear showed a significantly higher BTU in the medial femorotibial compartment (p
= 0.045) when compared to intact menisci. Meniscal degeneration was associated
with an increased BTU in the lateral femorotibial compartment; however, this was
not statistically significant (p = 0.143). Patients with an extruded meniscus
showed significantly higher BTU compared to a non-extruded meniscus (p < 0.020).
CONCLUSIONS: Medial femorotibial BTU in SPECT/CT was associated with meniscal
pathologies. Highest BTU was found in patients with meniscal tears. SPECT/CT
appears to be a useful imaging modality to identify patients with overloading or
early osteoarthritis. KEY POINTS: * Meniscal degeneration and tears correlate
significantly with increased BTU using SPECT/CT. * Medial meniscus extrusion is
associated with an increased BTU in SPECT/CT. * SPECT/CT allows detection of
overloading and early osteoarthritis.
PMID- 29789913
TI - Ethylene vinyl alcohol copolymer for occlusion of specific portal branches during
preoperative portal vein embolisation with n-butyl-cyanoacrylate.
AB - OBJECTIVES: To evaluate the safety and efficacy of ethylene vinyl alcohol
copolymer (EVOH) injection for selective occlusion of portal branches considered
at risk for non-target embolisation during preoperative portal vein embolisation
(PVE). METHODS: Twenty-nine patients (mean age, 57 +/- 17 years) submitted to PVE
with n-butyl-cyanoacrylate (NBCA) and additional EVOH for selected portal
branches were retrospectively analysed. Indications for the use of EVOH and the
selected portal branches were evaluated. Degree of hypertrophy of the future
liver remnant (FLR) and kinetic growth were assessed by CT volumetry performed
before and 3-6 weeks after PVE. Clinical outcome and histopathological analysis
of portal veins occluded with EVOH were reviewed. RESULTS: EVOH was indicated
intraoperatively for embolisation of selected portal branches that the operator
reported at risk to provoke non-target embolisation with NBCA. Indications for
the use of EVOH were embolisation of segment IV (n = 21), embolisation of
segmental portal branches with early bifurcation (n = 7) and PVE in a 1-year-old
girl with cystic hamartomas. All targeted portal branches were successfully
embolised. There were no cases with non-target embolisation by EVOH. The degree
of hypertrophy of the FLR was 14.3 +/- 8.1% and the kinetic growth rate was 2.7
+/- 1.8% per week. CONCLUSION: EVOH is safe and effective for embolisation of
selected portal vein branches considered at risk for non-target embolisation. KEY
POINTS: * EVOH is another effective liquid embolic agent for preoperative PVE. *
EVOH is relatively simple to handle with a minimal risk of non-target
embolisation. * During PVE, some portal branches considered complicated to
occlude with NBCA may be efficiently embolised with EVOH.
PMID- 29789914
TI - Correlation-based perfusion mapping using time-resolved MR angiography: A
feasibility study for patients with suspicions of steno-occlusive craniocervical
arteries.
AB - PURPOSE: To explore the feasibility of using correlation-based time-delay (CTD)
maps produced from time-resolved MR angiography (TRMRA) to diagnose perfusion
abnormalities in patients suspected to have steno-occlusive lesions in the
craniocervical arteries. MATERIALS AND METHODS: Twenty-seven patients who were
suspected to have steno-occlusive lesions in the craniocervical arteries
underwent both TRMRA and brain single-photon emission computed tomography
(SPECT). TRMRA was performed on the supra-aortic area after intravenous injection
of a 0.03 mmol/kg gadolinium-based contrast agent. Time-to-peak (TTP) maps and
CTD maps of the brain were automatically generated from TRMRA data, and their
quality was assessed. Detection of perfusion abnormalities was compared between
CTD maps and the time-series maximal intensity projection (MIP) images from TRMRA
and TTP maps. Correlation coefficients between quantitative changes in SPECT and
parametric maps for the abnormal perfusion areas were calculated. RESULTS: The
CTD maps were of significantly superior quality than TTP maps (p < 0.01). For
perfusion abnormality detection, CTD maps (kappa 0.84, 95% confidence interval
[CI] 0.67-1.00) showed better agreement with SPECT than TTP maps (0.66, 0.46
0.85). For perfusion deficit detection, CTD maps showed higher accuracy (85.2%,
95% CI 66.3-95.8) than MIP images (66.7%, 46-83.5), with marginal significance (p
= 0.07). In abnormal perfusion areas, correlation coefficients between SPECT and
CTD (r = 0.74, 95% CI 0.34-0.91) were higher than those between SPECT and TTP (r
= 0.66, 0.20-0.88). CONCLUSION: CTD maps generated from TRMRA were of high
quality and offered good diagnostic performance for detecting perfusion
abnormalities associated with steno-occlusive arterial lesions in the
craniocervical area. KEY POINTS: * Generation of perfusion parametric maps from
time-resolved MR angiography is clinically useful. * Correlation-based delay maps
can be used to detect perfusion abnormalities associated with steno-occlusive
craniocervical arteries. * Estimation of correlation-based delay is robust for
low signal-to-noise 4D MR data.
PMID- 29789915
TI - A Potential Diagnostic Approach for Foetal Long-QT Syndrome, Developed and
Validated in Children.
AB - In patients with Long-QT Syndrome (LQTS), mechanical abnormalities have been
described. Recognition of these abnormalities could potentially be used in the
diagnosis of LQTS, especially in the foetus where an ECG is not available and DNA
analysis is invasive. We aimed to develop and validate a marker for these
mechanical abnormalities in children and to test its feasibility in foetuses as a
proof of principle. We measured the myocardial contraction duration using colour
Tissue Doppler Imaging (cTDI) in 41 LQTS children and age- and gender-matched
controls. Children were chosen to develop and validate the measurement of the
myocardial contraction duration, due to the availability of a simultaneously
recorded ECG. Feasibility of this measurement in foetuses was tested in an
additional pilot study among seven LQTS foetuses and eight controls. LQTS
children had a longer myocardial contraction duration compared to controls, while
there was no statistical difference in heart rate. Measuring the myocardial
contraction duration in children had a high inter- and intra-observer validity
and reliably correlated with the QT-interval. There was an area under the curve
(AUC) of 0.71, and the optimal cut-off value showed an especially high
specificity in diagnosing LQTS. Measuring the myocardial contraction duration was
possible in all foetuses and had a high inter- and intra-observer validity (ICC =
0.71 and ICC = 0.88, respectively). LQTS foetuses seemed to have a longer
myocardial contraction duration compared to controls. Therefore, a prolonged
contraction duration may be a potential marker for the prenatal diagnosis of LQTS
in the future. Further studies are required to support the measurement of the
myocardial contraction duration as a diagnostic approach for foetal LQTS.
PMID- 29789917
TI - Comparison of Clinical Profiles in Patients with Protein-Losing Enteropathy With
and Without Fontan Circulation.
AB - Protein-losing enteropathy (PLE) is a life-threatening complication in patients
following the Fontan operation. However, PLE also develops in some patients with
congenital heart disease (CHD) after biventricular repair (BVR). This study
compared clinical profiles of PLE patients following the Fontan operation with
those after BVR. We retrospectively reviewed clinical charts of postoperative CHD
patients with PLE. The study population comprised 42 PLE patients (14BVR,
28Fontan). Postoperative follow-up period until onset was significantly shorter
in the Fontan group than in the BVR group (14 +/- 2 vs. 8 +/- 1 years, p = 0.02),
while there was no difference in PLE onset age between groups. Furthermore, there
were no differences in prevalence of clinically relevant arrhythmias, cardiac
output, or central venous pressure between the two groups at PLE onset.
Percentage of structural lesions (valve regurgitation and/or stenotic lesions)
responsible for development of PLE and ventricular end-diastolic pressure were
higher in the BVR group than in the Fontan group (93 vs. 50%, p < 0.01), (13.4 +/
6.3 vs. 7.5 +/- 4.1, p < 0.0001). Catheter intervention was applied in 2Fontan
and 6BVR patients, while surgical intervention was required in 8BVR and 7Fontan
patients. Of these, catheter intervention was effective in 2 (25%, 1Fontan, 1BVR)
and surgical intervention was effective in 4 (26.7%, 1Fontan, 3BVR). Only one
patient (5.3%) improved without intervention. Complete PLE remission rate was
higher in the BVR group than in the Fontan group (38 vs. 7%, p = 0.02). During
follow-up, death of 2 BVR and 8 Fontan patients occurred. There were no group
differences in 5- to 10-year survival rates after PLE onset (81 vs. 81%, BVR, 81
vs. 66%, Fontan). Although BVR patients may have greater chance of PLE remission
when compared with those exhibiting Fontan pathophysiology, mortality in PLE-CHD
patients was significantly high regardless of postoperative hemodynamics.
PMID- 29789916
TI - Two-Dimensional Speckle Tracking Echocardiography-Derived Strain Measurements in
Survivors of Childhood Cancer on Angiotensin Converting Enzyme Inhibition or
Receptor Blockade.
AB - Speckle tracking echocardiography (STE)-derived strain indices are believed to
detect early cardiac dysfunction in survivors of childhood cancer and have
potential to identify patients who may benefit from early heart failure
treatment. However, effects of heart failure treatment on STE-derived strain
measurements in this population are unknown. The aim of this study was to assess
STE-derived strain measurements in survivors of childhood cancer treated with
angiotensin converting enzyme inhibition or receptor blockade (ACEi/ARB). Two
dimensional speckle tracking analysis was retrospectively performed on
echocardiograms from childhood cancer survivors before and during therapy with
ACEi/ARB. Global left ventricular longitudinal and circumferential strain (GLS
and GCS) and strain rates (LSR and CSR) were assessed and correlated with
conventional echocardiographic measures of function. In 22 childhood cancer
survivors (median age: 14.8, range 6.4-21.6 years), mean GLS (- 13.83 +/- 0.74%
to - 15.94 +/- 0.74%, p = 0.002), GCS (- 18.79 +/- 1.21% to - 20.74 +/- 0.84%, p
= 0.027), LSR (- 0.78 +/- 0.04 to - 0.88 +/- 0.04 s-1, p = 0.022), and CSR (-
1.08 +/- 0.07 to - 1.21 +/- 0.06 s-1, p = 0.027) improved on therapy. Improvement
in GLS was maintained for greater than 1 year on ACEi/ARB (p = 0.02). Measures of
strain and strain rate correlated with standard echocardiographic measures of
function and were reproducible. These findings support the use of ACEi/ARB to
treat post-chemotherapy-related cardiovascular changes in childhood cancer
survivors, provide proof-of-concept that STE-derived strain and strain rate may
be used to reliably monitor cardiac function during therapy, and support
continued investigation into the clinical benefit of strain measurements in this
population.
PMID- 29789918
TI - Recent Experience and Follow-Up After Surgical Closure of Secundum Atrial Septal
Defect in 120 Children.
AB - While percutaneous catheter closure proves an effective treatment for secundum
atrial septal defect (ASD2), some child patients require surgical closure. We
assessed the risks associated with isolated surgical ASD2 closure by reviewing
the outcomes of 120 children operated on between 1999 and 2011 (mean age 4.6 +/-
3.9 years, mean weight 17 +/- 12 kg). Direct sutures were performed in 4% and
patch closures in 96%. The mean cardiopulmonary bypass duration was 38 +/- 14
min, aortic cross-clamp time 19 +/- 9 min, intensive care unit length of stay 1.6
+/- 1.1 days, hospital stay 11.2 +/- 5.1 days. There were no complications in 60
patients (50%) and major complications in 8 (6.7%), with 1 patient (0.8%) dying
of pneumonia-induced sepsis, 2 (1.7%) requiring revision surgery, 3 (2.5%)
requiring invasive treatment (2 pericardial drainage, 1 successful
resuscitation), and 2 (1.7%) presenting thromboembolisms (1 cerebral stroke, 1
cardiac thrombus). In hospital minor complications occurred in 22 patients: 17
pericardial effusions (15%), 15 infections requiring treatment (12.5%), 1 sternal
instability (0.8%), 4 anemias requiring transfusion (3.3%), 7 pulmonary
atelectasis (6%), and 2 post-extubation glottis edema (1.7%). At early outpatient
follow-up, complications occurred in 21 patients: 16 (13.3%) pericardial
effusions, 4 (3.3%) infections requiring treatment, and 3 (2.5%) keloid scarring.
No complications occurred during long-term follow-up. In line with published
data, mortality was low (0.8%), yet major complications (6.7%) were more common
in these cases than those following percutaneous ASD2 closure. Minor
complications were frequent (43%) with no long-term sequelae.
PMID- 29789919
TI - Biomarkers of muscle damage increased in anterolateral compared to direct lateral
approach to the hip in hemiarthroplasty: no correlation with clinical outcome :
Short-term analysis of secondary outcomes from a randomized clinical trial in
patients with a displaced femoral neck fracture.
AB - : In this study, we found elevated levels of serum CK in the anterolateral
approach to the hip compared to the direct lateral approach in patients with a
displaced femoral neck fracture. No correlation was found between levels of CK
and functional outcomes. INTRODUCTION: To compare increase in serum creatine
kinase (CK) and its association with functional outcome between the muscle
sparing anterolateral approach and the direct lateral approach to the hip in
patients with displaced femoral neck fracture (FNF). METHODS: In this randomized
trial, we enrolled eligible patients between 70 and 90 years of age with FNF.
Patients were allocated to an uncemented hemiarthroplasty inserted through a
direct lateral or an anterolateral approach. The primary endpoints were pain and
patient satisfaction assessed by the Visual Analogue Scale (VAS). Among secondary
endpoints was increase in CK at 24 and 48 h compared to baseline and its
association with surgical parameters, Timed up and Go Test (TUG), Harris Hip
Score (HHS), and the presence of a Trendelenburg sign using correlation analysis.
This paper reports on increase in serum CK and its association with functional
outcome. RESULTS: At 24 h, there was a mean increase from baseline in total CK of
228 U/L (95% CI 187 to 269; P < 0.001). There was a difference between groups at
24 h in CK increase with higher levels in the anterolateral group (mean
difference 80 U/L; 95% CI - 0.5 to 162; P = 0.05). Likewise, at 48 h, there was a
mean difference of 117 U/L (95% CI 22 to 212; P = 0.01). No correlation was found
between CK values and functional assessments. CONCLUSIONS: Compared with the
direct lateral approach, the anterolateral approach yielded higher levels of
postoperative CK. However, there was no correlation between levels of CK and
functional outcome. TRIAL REGISTRATION: ClinicalTrials.gov Identifier:
NCT02028468.
PMID- 29789920
TI - Iatrogenic dural tear in endoscopic lumbar spinal surgery: full endoscopic dural
suture repair (Youn's technique).
AB - BACKGROUND: With the advancement of minimally invasive spinal surgery, endoscopic
lumbar decompression has been widely used for the treatment of degenerative
lumbar spinal diseases. Iatrogenic dural tear is a relatively common complication
in endoscopic lumbar spinal surgery. The golden standard of treatment for
iatrogenic dural tear is immediate open conversion and direct repair under
microscopic visualization. Recently, most of endoscopic spinal surgery is
performed under local anesthesia. So, conversion to open surgery is very
embarrassing situation because of the need of additional general anesthesia. But,
direct endoscopic dural repair is very difficult procedure due to the limitation
of manipulation. No report showed direct dural suture under full endoscopic
situation. PURPOSE: The purpose of this surgical technique is to provide a method
of full endoscopic dural suture repair without conversion to open surgery.
PMID- 29789922
TI - Temperature affects phenological synchrony in a tree-killing bark beetle.
AB - Phenological synchrony can promote population growth in species with positive
density dependence. Variation among life stages in the thermal thresholds for
development can foster phenological synchrony under thermal regimes that include
frequent occurrence of temperatures between developmental thresholds. The
southern pine beetle is an insect with positive density dependence that has
recently undergone important shifts in population abundance at the northern
extremes of their distribution. We evaluated the hypothesis that cooler winter
temperatures in their northern range cause a convergence of the population life
stage structure that leads to synchrony in spring flight phenology. We used a
combination of approaches. First, in situ laboratory experiments demonstrated a
threshold temperature for pupation that was greater than was required for larval
development; rearing larvae at lower temperatures increased the pooling of
individuals at the end stage of larval development and synchrony in adult
emergence. Second, a development rate model showed a similar convergence of the
majority of the population at the end stage of larval development when brood
experienced the cooler temperatures of the northern region, but not with
temperatures from the southern region, or as a null model. Finally, field
trapping of wild beetles showed greater synchrony in the pine forests of New
Jersey than in the warmer, historically occupied forests of Georgia and
Mississippi. Given these results, pine-dominated forests in the northern edge of
the southern pine beetle's range may experience more frequent occurrence of
outbreaks, due to the positive feedbacks associated with a synchronous spring
emergence of this insect.
PMID- 29789921
TI - Intervertebral disc damage models in organ culture: a comparison of annulus
fibrosus cross-incision versus punch model under complex loading.
AB - PURPOSE: Comparison of two annulus fibrosus injury models that mimic
intervertebral disc (IVD) herniation, enabling the study of IVD behaviour under
three loading regimes in a bovine organ culture model. METHODS: An injury was
induced by custom-designed cross-incision tool or a 2-mm biopsy punch in IVDs.
Discs were cultured for 14 days under (1) complex (compression and torsion), (2)
static, and (3) no load. Disc height, mitochondrial activity, DNA and
glycosaminoglycan (GAG) contents, and disc stiffness under complex load were
determined. Further, gene expression and histology analysis were performed.
RESULTS: While both injury models did not change the compressional stiffness of
IVDs, cross-incision decreased disc height under complex load. Moreover, under
complex load, the biopsy punch injury induced down-regulation of several
anabolic, catabol ic, and inflammatory genes, whereas cross-incision did not
significantly differ from control discs. However, DNA and GAG contents were in
the range of the healthy control discs for both injury models but did show lower
contents under no load and static load. Injury side and contralateral side of the
IVD showed a similar behaviour on the biochemical assays tested. CONCLUSION:
Compressional stiffness, GAG and DNA contents, did not differ between injury
models under complex load. This behaviour was partially attributed to the
positive influence of complex loading on matrix regeneration and cell viability.
However, disc height was reduced for the cross-incision. Relative gene expression
changes of the inflammatory and anabolic genes for the biopsy punch approach
might indicate that induced damage was too intense to trigger any inflammatory or
repair response. These slides can be retrieved under Electronic Supplementary
Material.
PMID- 29789923
TI - The HAF2 protein shapes histone acetylation levels of PRR5 and LUX loci in
Arabidopsis.
AB - MAIN CONCLUSION: The histone acetyltransferase HAF2 facilitates H3 acetylation
deposition at the PRR5 and LUX promoters to contribute to robust circadian
oscillation. The circadian clock ensures synchronization of endogenous rhythmic
processes with environmental cycles. Multi-layered regulation underlies precise
circadian oscillation, and epigenetic regulation is emerging as a crucial scheme
for robust circadian maintenance. Here, we report that HISTONE ACETYLTRANSFERASE
OF THE TAFII250 FAMILY 2 (HAF2) is involved in circadian homeostasis. The HAF2
gene is activated at midday, and its temporal expression is shaped by CIRCADIAN
CLOCK-ASSOCIATED 1. The midday-activated HAF2 protein stimulates H3 acetylation
(H3ac) deposition at the PRR5 and LUX loci, contributing to establishment of the
raising phase. These results indicate that epigenetic waves in circadian networks
underlie temporal compartmentalization of circadian components and stable
maintenance of circadian oscillation.
PMID- 29789924
TI - Correction to: The European Society of Gynaecological Oncology/European Society
for Radiotherapy and Oncology/European Society of Pathology Guidelines for the
Management of Patients with Cervical Cancer.
AB - Two corrections were made to the above publication following its original online
publication on 4th May 2018.
PMID- 29789925
TI - Associations of polymorphisms of CYP2D6 and CYP2C9 with early onset severe pre
eclampsia and response to labetalol therapy.
AB - PURPOSE: Early onset preeclampsia (PPE) contributes to life-threatening maternal
complications and fetal demise. Pharmacogenomics is a precision medicine, and
metabolizing enzymes responsive to antihypertensive remains understudied. The aim
of this study was to evaluate the associations of polymorphisms of cytochrome
P450, family 2, subfamily D, polypeptide 6 (CYP2D6) and cytochrome P450, family
2, subfamily C, polypeptide 9 (CYP2C9) with PPE and the relationship among
CYP2D6, CYP2C9 polymorphisms and response to labetalol therapy. METHODS: Totally
105 gravidas diagnosed with PPE (case) and 103 healthy gravidas (control) were
recruited between August 2013 and July 2016. Labetalol was given to control blood
pressures (BP) with PPE. If labetalol administration alone did not exceed the
mean dose and effectively controlled the BP, it would be considered to be valid
(n = 75). Genotype and allele frequencies of CYP2C9 gene (rs1057910 and
rs4918758) and CYP2D6 gene (rs1065852, rs28371725, rs35742686, and rs3892097)
were analyzed by TaqMan PCR. Differences in the genotype and allele frequencies
were compared between case-control groups, and the responsive and nonresponsive
to labetalol in PPE. RESULTS: Out of six variants, only CC and CT genotypes of
the CYP2D6 variants (rs28371725) in PPE were significantly higher than those in
the control group [18.1% (19/105) vs 14.6% (15/103); 56.2% (59/105) vs 42.7%
(44/103); chi2 = 6.707]. However, there were no differences in maternal age,
diastolic pressure, BMI, BW, serum triglyceride, and creatinine were observed
among women with CC, CT, or TT genotype of CYP2D6 gene rs28371725 in the
experimental group (all P > 0.05). Compared with the gravidas with CT or TT
genotype of CYP2D6 gene rs28371725, those with CC genotype had longer gestational
age [(32.5 +/- 2.1) vs (29.5 +/- 1.8) and (29.8 +/- 2.2) weeks] and higher plasma
albumin [(27.2 +/- 9.3) vs (20.3 +/- 10.4) and (22.5 +/- 7.4) g/L], but lower
systolic pressure and 24 h urine protein (LSD test, all P < 0.05). The G allele
frequency in CYP2D6 gene rs1065852 nonresponsive to labetalol group was higher
than that in responsive labetalol group [93.3% (56/60) vs 76.0% (114/150), chi2 =
8.351, P = 0.004]. CONCLUSIONS: The polymorphism of CYP2D6 gene rs28371725 may be
associated with PPE, and the allele of G in CYP2D6 gene rs1065852 may be
associated with the efficacy of labetalol in treatment of PPE.
PMID- 29789927
TI - Cellular components and circuitry of the presubiculum and its functional role in
the head direction system.
AB - Orientation in space is a fundamental cognitive process relying on brain-wide
neuronal circuits. Many neurons in the presubiculum in the parahippocampal region
encode head direction and each head direction cell selectively discharges when
the animal faces a specific direction. Here, we attempt to link the current
knowledge of afferent and efferent connectivity of the presubiculum to the
processing of the head direction signal. We describe the cytoarchitecture of the
presubicular six-layered cortex and the morphological and electrophysiological
intrinsic properties of principal neurons and interneurons. While the
presubicular head direction signal depends on synaptic input from thalamus, the
intra- and interlaminar information flow in the microcircuit of the presubiculum
may contribute to refine directional tuning. The interaction of a specific
interneuron type, the Martinotti cells, with the excitatory pyramidal cells may
maintain the head direction signal in the presubiculum with attractor-like
properties.
PMID- 29789926
TI - Patterns of platinum drug use in an acute care setting: a retrospective study.
AB - PURPOSE: Platinum drugs have been in use in cancer treatment for more than 40
years, but little is known about the pattern of their use. The aim of this study
was to examine the patterns of platinum drug use, with a secondary aim to
describe the occurrence of dose reductions. METHODS: A retrospective analysis was
conducted of oncology pharmacy dispensing records from a single hospital in
Australia. Data related to drug choice, regimen and dose reductions were included
in this study if the patient had received their last round of chemotherapy
between November 2014 and July 2015. RESULTS: Of the 156 patients included in the
study, 46% were dispensed a platinum drug during their treatment. The most
commonly dispensed drugs were cisplatin (40%), carboplatin (40%) and oxaliplatin
(15%), while some patients (5%) received more than one platinum drug. Dose
reductions were more common in patients who were treated with a platinum drug
(73%) compared with patients treated with non-platinum drugs (55%). The most
common reason for a dose reduction was cytopenia. CONCLUSIONS: The findings
suggest that platinum drugs remain one of the most commonly dispensed drugs to
treat cancer patients and most patients receive a dose reduction during
treatment.
PMID- 29789928
TI - Effects of fundamental nutrient stresses on the lipid accumulation profiles in
two diatom species Thalassiosira weissflogii and Chaetoceros muelleri.
AB - Microalgae are considered as attractive feedstocks for biofuel production
nowadays because of their high lipid contents and easy cultivation. In the
present study, two diatoms, Thalassiosira weissflogii and Chaetoceros muelleri,
were cultured under various nutrient-limitation conditions to explore their
comprehensive lipid accumulation profiles for further commercialization. In T.
weissflogii, the highest neutral lipid accumulation and highest lipid
productivity (14.28 mg L-1 day-1) were both recorded under P-limitation. In C.
muelleri, the highest lipid content (35.03% of dry cell weight), highest neutral
lipid accumulation, and highest lipid productivity (29.07 mg L-1 day-1) were all
recorded under N-limitation. Besides, the predominant fatty acids of T.
weissflogii and C. muelleri were myristic acid (C14:0), palmitic acid (C16:0),
and palmitoleic acid (C16:1), with the amounts of 58.4-74.4 and 74.1-87.7% of the
total fatty acids, respectively. Moreover, nutrient limitations led to a lower
proportion of polyunsaturated fatty acids (PUFA) than that of saturated fatty
acid (SFA) and monounsaturated fatty acid (MUFA) in both species. The ratios of
(SFA + MUFA) to PUFA were from 1.65 to 3.01 in T. weissflogii, and up to 3.61 to
8.59 in C. muelleri. Our results suggested the feasibility of C. muelleri as
biodiesel feedstock due to its more suitable fatty acid composition and higher
lipid productivity compared to T. weissflogii.
PMID- 29789929
TI - Mechanistic simulation of batch acetone-butanol-ethanol (ABE) fermentation with
in situ gas stripping using Aspen PlusTM.
AB - Process simulations of batch fermentations with in situ product separation
traditionally decouple these interdependent steps by simulating a separate
"steady state" continuous fermentation and separation units. In this study, an
integrated batch fermentation and separation process was simulated for a model
system of acetone-butanol-ethanol (ABE) fermentation with in situ gas stripping,
such that the fermentation kinetics are linked in real-time to the gas stripping
process. A time-dependent cell growth, substrate utilization, and product
production is translated to an Aspen Plus batch reactor. This approach
capitalizes on the phase equilibria calculations of Aspen Plus to predict the
effect of stripping on the ABE fermentation kinetics. The product profiles of the
integrated fermentation and separation are shown to be sensitive to gas flow
rate, unlike separate steady state fermentation and separation simulations. This
study demonstrates the importance of coupled fermentation and separation
simulation approaches for the systematic analyses of unsteady state processes.
PMID- 29789930
TI - Introduction of a modified double-lumen tube.
PMID- 29789931
TI - Relationship between fresh frozen plasma to packed red blood cell transfusion
ratio and mortality in cardiovascular surgery.
AB - PURPOSE: The aim of this study was to examine the relationship between FFP (fresh
frozen plasma)/pRBC (packed red blood cell) transfusion ratio and outcomes in
patients undergoing cardiovascular surgery. METHODS: This is a single center
retrospective cohort study performed in a cardiovascular center. Patients
undergoing cardiovascular surgery between January 2012 and October 2016 with or
without massive transfusion (n = 1453). Patients' outcomes were compared based on
FFP/pRBC transfusion ratio (FFP/pRBC > 1 or FFP/pRBC <= 1). RESULTS: In hospital
mortality and rate of stroke and myocardial infarction was significantly higher
in patients with less than 1 of FFP/pRBC transfusion ratio only in patients with
massive transfusion (3.0 vs 8.8%, p = 0.001; 0.7 vs 6.4%, p < 0.001; 1.0 vs 3.2%,
p = 0.047, respectively). CONCLUSIONS: Higher FFP/RBC ratio was associated with
reduced risk of death, stroke and myocardial infarction only in patients with
cardiovascular surgery receiving massive transfusion. Clinicians should be aware
that judicious FFP replacement plays a critical role in the successful management
of massive transfusion in cardiac surgery.
PMID- 29789933
TI - Adequacy of usual macronutrient intake and macronutrient distribution in children
and adolescents in Spain: A National Dietary Survey on the Child and Adolescent
Population, ENALIA 2013-2014.
AB - OBJECTIVES: To describe the nutritional profile and assess the National Dietary
Survey on the Child and Adolescent Population project in Spain (ENALIA) regarding
usual total energy and macronutrient intake. METHODS: A cross-sectional
nationally representative sample of 1862 children and adolescents (age 6 months
to 17) was surveyed between 2013 and 2014 following European methodology
recommendations. Dietary information was collected using two methods, dietary
records (for children from age 6 months to 9 years) and 24-h dietary recall
(participants age 10 and older). Usual intake was estimated by correcting for
within-person intake variance using the Iowa State University (ISU) method. A
probability analysis was used to assess compliance with dietary reference intakes
in the target population. RESULTS: Protein consumption in the age 1-3 group as a
percentage of total energy exceeded the upper limit of the Acceptable
Macronutrient Distribution Range (AMDR) by 4.7% for boys and 12.1% for girls.
42.9% of girls age 4-8 were under the lower limit of the AMDR for carbohydrates.
43.4% of boys and 46.9% of girls between 4 and 17 exceeded the AMDR in total fat
intake, saturated fatty acids (SFAs) accounting for 12.3% of total energy.
CONCLUSIONS: The results suggest that Spanish children and adolescents could
improve macronutrient distribution by reducing fat and increasing carbohydrate
intake across all age groups, and decreasing protein intake, especially in young
children.
PMID- 29789932
TI - The cognitive nuances of surprising events: exposure to unexpected stimuli
elicits firing variations in neurons of the dorsal CA1 hippocampus.
AB - The ability to recognize novel situations is among the most fascinating and vital
of the brain functions. A hypothesis posits that encoding of novelty is prompted
by failures in expectancy, according to computation matching incoming information
with stored events. Thus, unexpected changes in context are detected within the
hippocampus and transferred to downstream structures, eliciting the arousal of
the dopamine system. Nevertheless, the precise locus of detection is a matter of
debate. The dorsal CA1 hippocampus (dCA1) appears as an ideal candidate for
operating a mismatch computation and discriminating the occurrence of diverse
stimuli within the same environment. In this study, we sought to determine dCA1
neuronal firing during the experience of novel stimuli embedded in familiar
contexts. We performed population recordings while head-fixed mice navigated
virtual environments. Three stimuli were employed, namely a novel pattern of
visual cues, an odor, and a reward with enhanced valence. The encounter of
unexpected events elicited profound variations in dCA1 that were assessed both as
opposite rate directions and altered network connectivity. When experienced in
sequence, novel stimuli elicited specific responses that often exhibited cross
sensitization. Short-latency, event-triggered responses were in accordance with
the detection of novelty being computed within dCA1. We postulate that firing
variations trigger neuronal disinhibition, and constitute a fundamental mechanism
in the processing of unexpected events and in learning. Elucidating the
mechanisms underlying detection and computation of novelty might help in
understanding hippocampal-dependent cognitive dysfunctions associated with
neuropathologies and psychiatric conditions.
PMID- 29789934
TI - Induction prednisone dosing for childhood nephrotic syndrome: how low should we
go?
AB - BACKGROUND: Historically, children with nephrotic syndrome (NS) across British
Columbia (BC), Canada have been cared for without formal standardization of
induction prednisone dosing. We hypothesized that local historical practice
variation in induction dosing was wide and that children treated with lower doses
had worse relapsing outcomes. METHODS: This retrospective cohort study included
92 NS patients from BC Children's Hospital (1990-2010). We excluded secondary
causes of NS, age < 1 year at diagnosis, steroid resistance, and incomplete
induction due to early relapse. We explored cumulative induction dose and defined
dosing quartiles. Relapsing outcomes above and below each quartile threshold were
compared including total relapses in 2 years, time to first relapse, and
proportions developing frequently relapsing NS (FRNS) or starting a steroid
sparing agent (SSA). RESULTS: Cumulative prednisone was widely distributed with
approximated median, 1st, and 3rd quartile doses of 2500, 2000, and 3000 mg/m2
respectively. Doses <= 2000 mg/m2 showed significantly higher relapses (4.2 vs
2.7), shorter time to first relapse (61 vs 175 days), and higher SSA use (36 vs
14%) compared to higher doses. Doses <= 2500 mg/m2 also showed significantly more
relapses (3.9 vs 2.2), quicker first relapse (79 vs 208 days), and higher FRNS
(37 vs 17%) and SSA use (28 vs 11%). Relapsing outcomes lacked statistical
difference in <= 3000 vs > 3000 mg/m2 doses. CONCLUSIONS: Results strongly
justify our development of a standardized, province-wide NS clinical pathway to
reduce practice variation and minimize under-treatment. The lowest induction
prednisone dosing threshold to minimize future relapsing risks is likely between
2000 and 2500 mg/m2. Further prospective studies are warranted.
PMID- 29789936
TI - Proposal of a form for the collection of videolaryngostroboscopy basic findings.
AB - Videolaryngostroboscopy is a useful investigation required for a correct
diagnosis of laryngeal diseases and voice disorders. We present a form for the
collection of basic laryngostroboscopic findings, which provides for the
evaluation of the classical six parameters codified by Hirano (symmetry and
periodicity of glottic vibration, glottic closure, profile of vocal fold edge,
amplitude of vocal fold vibration, mucosal wave) and six other parameters which
we have included in the form for an essential and complete laryngostroboscopic
evaluation (supraglottic framework behaviour, seat of phonatory vibration, vocal
fold morphology and motility, level of the vocal fold, stops of vocal fold mucosa
vibration). This form was created in 2002 during the elaboration of the protocol
for the assessment of dysphonia of the Italian Society of Phoniatrics and
Logopedics, which follows the guidelines of the European Laryngological Society
published in 2001. We used this form for 15 years in our daily laryngological
practice with great satisfaction. We propose a more detailed version of this
form, which provides for drawings which show the various videolaryngostroboscopic
findings, helping the laryngologist in the collection of videolaryngostroboscopic
examination basic findings.
PMID- 29789937
TI - Visual and auditory steady-state responses in attention-deficit/hyperactivity
disorder.
AB - We designed a study to investigate the patterns of the steady-state visual evoked
potential (SSVEP) and auditory steady-state response (ASSR) in adolescents with
attention-deficit/hyperactivity disorder (ADHD) when performing a motor response
inhibition task. Thirty 12- to 18-year-old adolescents with ADHD and 30 healthy
control adolescents underwent an electroencephalogram (EEG) examination during
steady-state stimuli when performing a stop-signal task. Then, we calculated the
amplitude and phase of the steady-state responses in both visual and auditory
modalities. Results showed that adolescents with ADHD had a significantly poorer
performance in the stop-signal task during both visual and auditory stimuli. The
SSVEP amplitude of the ADHD group was larger than that of the healthy control
group in most regions of the brain, whereas the ASSR amplitude of the ADHD group
was smaller than that of the healthy control group in some brain regions (e.g.,
right hemisphere). In conclusion, poorer task performance (especially
inattention) and neurophysiological results in ADHD demonstrate a possible
impairment in the interconnection of the association cortices in the parietal and
temporal lobes and the prefrontal cortex. Also, the motor control problems in
ADHD may arise from neural deficits in the frontoparietal and occipitoparietal
systems and other brain structures such as cerebellum.
PMID- 29789935
TI - Potential use of stem cells as a therapy for cystinosis.
AB - Cystinosis is an autosomal recessive metabolic disease that belongs to the family
of lysosomal storage disorders (LSDs). Initial symptoms of cystinosis correspond
to the renal Fanconi syndrome. Patients then develop chronic kidney disease and
multi-organ failure due to accumulation of cystine in all tissue compartments.
LSDs are commonly characterized by a defective activity of lysosomal enzymes.
Hematopoietic stem and progenitor cell (HSPC) transplantation is a treatment
option for several LSDs based on the premise that their progeny will integrate in
the affected tissues and secrete the functional enzyme, which will be recaptured
by the surrounding deficient cells and restore physiological activity. However,
in the case of cystinosis, the defective protein is a transmembrane lysosomal
protein, cystinosin. Thus, cystinosin cannot be secreted, and yet, we showed that
HSPC transplantation can rescue disease phenotype in the mouse model of
cystinosis. In this review, we are describing a different mechanism by which HSPC
derived cells provide cystinosin to diseased cells within tissues, and how HSPC
transplantation could be an effective one-time treatment to treat cystinosis but
also other LSDs associated with a lysosomal transmembrane protein dysfunction.
PMID- 29789938
TI - Meta-analysis of physical activity and effects of social function and quality of
life on the physical activity in patients with schizophrenia.
AB - Schizophrenia patients have increased mortality and morbidity, mainly due to
premature cardiovascular disease resulting from decreased physical activity (PA).
However, which PA intensity is impaired in the patients and how factors such as
social function and quality of life (QoL) are related to decreased PA is unknown.
To assess PA, social function and QoL, the International Physical Activity
Questionnaire (IPAQ), Social Functioning Scale (SFS) and Schizophrenia Quality of
Life Scale (SQLS), respectively, were used in 109 schizophrenia patients and 69
healthy subjects. A meta-analysis comparing PA intensities (vigorous, moderate
and light) assessed by the single PA measurement between schizophrenia patients
and healthy subjects after including our case-control sample was performed.
Furthermore, the effects of social function and QoL on each level of PA intensity
were investigated in patients and controls. The meta-analysis in 212
schizophrenia patients and 132 healthy subjects revealed that patients showed
lower total PA, particularly vigorous PA, than controls (I2 = 0, Hedges' g = -
0.41, P = 2.80 * 10-4). The decreased total PA was correlated with impaired total
SFS scores (beta = 0.24, P = 2.86 * 10-3), withdrawal (beta = 0.23, P = 3.74 * 10
3) and recreation (beta = 0.23, P = 3.49 * 10-3) without significant
heterogeneity between patients and controls. In contrast, the decreased total PA
was affected by low independence-performance (beta = 0.22, P = 0.034),
employment/occupation (beta = 0.27, P = 8.74 * 10-3), psychosocial (beta = -
0.24, P = 0.021) and motivation/energy (beta = - 0.26, P = 0.013), but only in
patients. Similar findings were obtained for vigorous PA but not moderate or
light PA. Our findings suggest that the impaired vigorous PA in schizophrenia
patients may be mediated by schizophrenia-specific factors of social functioning
and QoL. Understanding these factors has important implications for increasing PA
participation in schizophrenia patients.
PMID- 29789939
TI - Effects of heat stress in the leaf mitotic cell cycle and chromosomes of four
wine-producing grapevine varieties.
AB - Grapevine varieties respond differentially to heat stress (HS). HS ultimately
reduces the photosynthesis and respiratory performance. However, the HS effects
in the leaf nuclei and mitotic cells of grapevine are barely known. This work
intends to evaluate the HS effects in the leaf mitotic cell cycle and chromosomes
of four wine-producing varieties: Touriga Franca (TF), Touriga Nacional (TN),
Rabigato, and Viosinho. In vitro plants with 11 months were used in a stepwise
acclimation and recovery (SAR) experimental setup comprising different phases:
heat acclimation period (3 h-32 degrees C), extreme HS (1 h-42 degrees C), and
two recovery periods (3 h-32 degrees C and 24 h-25 degrees C), and compared to
control plants (maintained in vitro at 25 degrees C). At the end of each SAR
phase, leaves were collected, fixed, and used for cell suspensions and chromosome
preparations. Normal and abnormal interphase and mitotic cells were observed,
scored, and statistically analyzed in all varieties and treatments (control and
SAR phases). Different types of chromosomal anomalies in all mitotic phases,
treatments, and varieties were found. In all varieties, the percentage of
dividing cells with anomalies (%DCA) after extreme HS increased relative to
control. TF and Viosinho were considered the most tolerant to HS. TF showed a
gradual MI reduction from heat acclimation to HS and the lowest %DCA after HS and
24 h of recovery. Only Viosinho reached the control values after the long
recovery period. Extrapolating these data to the field, we hypothesize that
during consecutive hot summer days, the grapevine plants will not have time or
capacity to recover from the mitotic anomalies caused by high temperatures.
PMID- 29789940
TI - Postembryonic development of Arostrilepis microtis Gulyaev et Chechulin, 1997
(Eucestoda: Hymenolepididae) in springtails (Collembola: Entomobryidae).
AB - The development of the metacestode stages of Arostrilepis microtis (Eucestoda:
Hymenolepididae) studied on the basis of an experimental infection of springtails
(Collembola: Entomobryidae) is described for the first time. A complete
description of the ontogeny from oncosphere to fully a developed metacestode is
given. The postembryonic development within the intermediate host includes one
invagination. Cysts are without an anterior invagination pore, and the cercomer
does not separate after the full maturation of larva. The comparison of
morphology and maturation rate of larval cestodes of A. microtis with A.
beringiensis and A. tenuicirrosa is presented. Cysticercoids of A. microtis are
significantly larger and require a longer period of development than those of A.
beringiensis and A. tenuicirrosa.
PMID- 29789941
TI - New variants of porcine epidemic diarrhea virus with large deletions in the spike
protein, identified in the United States, 2016-2017.
AB - Four types of porcine epidemic diarrhea virus (PEDV) variants with a large
deletion in the spike protein were detected, together with the original US PEDV,
from pig fecal and oral fluid samples collected during 2016-2017 in the US. Two
of the variants are similar to those identified in Japan: one contains a 194-aa
deletion, the same as PEDV variant TTR-2/JPN/2014, while the other contains a 204
aa deletion, the same as PEDV variant JKa-292/CS1de204. Two new S1 NTD-del PEDV
variants were found: one contains a 201-aa deletion located at residues 30-230
and the other contains a 202-aa deletion located at residues 24-225 of the S
protein. This is the first report on coinfection of S1 NTD-del PEDV variants and
the original US PEDV strain in US pigs, indicating that PEDV continues to evolve
in pigs and might be responsible for disease pattern changes.
PMID- 29789942
TI - Analysis of the complete genome sequence of a potyvirus from passion fruit
suggests its taxonomic classification as a member of a new species.
AB - The complete genomic sequence of a telosma mosaic virus (TeMV) isolate (named
PasFru), identified in passion fruit in China, was determined. The entire RNA
genome of PasFru comprises 10,049 nucleotides (nt) excluding the poly(A) tail and
encodes a polyprotein of 3,173 amino acids (aa), flanked by 5' and 3'
untranslated regions (UTR) of 276 and 251 nt, respectively. Compared with the
previous TeMV isolate Hanoi from Telosma cordata, the only documented isolate
with the entire genome sequence annotated, PasFru had an extra 87 nt and 89 aa
residues at the 3'-end of 5'UTR and the N-terminus of the P1 protein,
respectively, which contributed to the genome size difference between PasFru and
Hanoi (10,049 nt versus 9,689 nt). Pairwise sequence comparisons showed that
PasFru shares 73.6% nt and 80.9% aa sequence identity with the Hanoi isolate at
the whole-genome and polyprotein level, respectively, and these values are below
the corresponding threshold values for species demarcation in the family
Potyviridae. These data suggest that TeMV-PasFru should be classified as a new
member of the genus Potyvirus.
PMID- 29789943
TI - Outcomes of viscocanalostomy and phaco-viscocanalostomy in patients with advanced
glaucoma.
AB - PURPOSE: To determine the medium-term outcomes for patients with advanced
glaucoma undergoing viscocanalostomy. METHODS: All patients with advanced
glaucoma (mean deviation (MD) - 12.00 dB or above) and patients with poor visual
acuity secondary to advanced glaucoma which precluded formal visual field
assessment undergoing viscocanalostomy (VC) and phaco-viscocanalostomy between
2010 and 2014 under the care of a single surgical team were included. Intraocular
pressure (IOP), visual acuity (VA) and visual field outcomes were assessed from
data prospectively collected into a surgical outcome database. Success was
defined at two IOP cut-off points: IOP <= 21 and <= 16 mmHg with (qualified) or
without (complete) medications. RESULTS: One hundred thirty-five patients were
included. Mean IOP changed from 23.6 +/- 6.4 mmHg pre-operatively to 15.3, 15.8
and 14.8 mmHg at 1, 2 and 3 years, a change of 35, 33.5 and 39% respectively.
Qualified success for an IOP <= 21 mmHg was achieved in 95.66, 90.6 and 80% and
complete success in 52.5, 48.6 and 30.6% at year 1, 2 and 3. Qualified success
for an IOP <= 16 mmHg was achieved in 66.6, 66.05 and 60% and complete success in
44.8, 37.6 and 30.6% at year 1, 2 and 3. The cumulative probability for achieving
an IOP <= 21 mmHg with or without drops was 86.1, 81.4 and 81.4% at 12, 24 and 36
months. Eleven patients (8.1%) failed to achieve adequate IOP control and needed
further surgical intervention. Eleven (8.1%) patients needed an intervention (Yag
goniopuncture) following VC. Four patients (2.9%) had some post-operative
complications, which resolved within 2 weeks following surgery. Nine patients
(6.7%) lost more than 2 Snellen lines. There was no significant change in the MD
across time points. CONCLUSION: Viscocanalostomy and viscocanalostomy combined
with phacoemulsification is a safe and effective method of controlling IOP in the
medium term in patients with advanced glaucoma.
PMID- 29789944
TI - The association between age at menarche and later risk of gestational diabetes is
mediated by insulin resistance.
AB - AIMS: Associations have been reported between age at menarche and the later risk
of gestational diabetes. However, it is not known whether these associations
reflect differences in insulin sensitivity and/or pancreatic beta-cell function
in pregnancy. METHODS: We examined this question in women enrolled in the
prospective Cambridge Baby Growth Study who recalled their age at menarche in
questionnaires during pregnancy. Polynomial logistic and linear regression models
were used to relate menarche timing to the risk of gestational diabetes, both
unadjusted and adjusted for the Homeostasis Model Assessments of insulin
resistance (HOMA IR) and pancreatic beta-cell function (HOMA B) at week 28 of
pregnancy. RESULTS: Age at menarche showed a U-shaped association with
gestational diabetes risk (linear term: p = 9.5 * 10-4; quadratic term: p = 1.0 *
10-3; n = 889; overall model p = 8.1 * 10-3). Age at menarche showed a negative
linear association with insulin resistance (HOMA IR: beta = -0.13, p = 5.2 * 10
4, n = 771), which explained the relationship between age at menarche and
gestational diabetes risk (adjusted linear term going from p = 0.03-0.08;
adjusted quadratic term going from p = 0.04-0.08; n = 771). Age at menarche also
showed a negative linear association with beta-cell function (HOMA B: beta =
0.11, p = 2.8 * 10-3, n = 771) but this did not attenuate the relationship
between age at menarche and gestational diabetes (adjusted linear term p = 0.02;
adjusted quadratic term p = 0.03, n = 771). CONCLUSIONS: These results suggest
that the associations between age at menarche and risk of gestational diabetes
and raised pregnancy glucose concentrations may be mediated by insulin
resistance.
PMID- 29789945
TI - Remote monitoring of vibrational information in spider webs.
AB - Spiders are fascinating model species to study information-acquisition
strategies, with the web acting as an extension of the animal's body. Here, we
compare the strategies of two orb-weaving spiders that acquire information
through vibrations transmitted and filtered in the web. Whereas Araneus
diadematus monitors web vibration directly on the web, Zygiella x-notata uses a
signal thread to remotely monitor web vibration from a retreat, which gives added
protection. We assess the implications of these two information-acquisition
strategies on the quality of vibration information transfer, using laser Doppler
vibrometry to measure vibrations of real webs and finite element analysis in
computer models of webs. We observed that the signal thread imposed no
biologically relevant time penalty for vibration propagation. However, loss of
energy (attenuation) was a cost associated with remote monitoring via a signal
thread. The findings have implications for the biological use of vibrations by
spiders, including the mechanisms to locate and discriminate between vibration
sources. We show that orb-weaver spiders are fascinating examples of organisms
that modify their physical environment to shape their information-acquisition
strategy.
PMID- 29789946
TI - Outcome of arthroscopic SLAP repair using knot-tying-suture anchors compared with
knotless-suture anchors in athletes.
AB - INTRODUCTION: Arthroscopic repair is one option for the surgical treatment of
type II superior labrum tears from anterior to posterior (SLAP) lesions in
athletes' shoulders. MATERIALS AND METHODS: Sixty-one of 78 (78.2%) athletes were
retrospectively examined after isolated arthroscopic SLAP repair (group 1/G1: 28x
knot-tying anchors; group 2/G2: 33 knotless anchors; follow-up 24 months) and
compared to two specific, separate matched volunteer athlete control groups
(group 3/G3: 28 athletes matched to G1; group 4/G4: 33 athletes matched to G2).
The assessment of G1-4 included numerical analogue scales (NASs: 1-15 scales) and
the Athletic Shoulder Outcome Scoring System (ASOSS) score, and the Shoulder
Sport Activity Score (SSAS). The preinjury status (FU-1), the status before
surgery (FU0), and at follow-up (FU1) were assessed. RESULTS: High external
rotation at abduction (hER) was significantly worse in G1 than G2 (FU1: G1, 86.6
degrees +/- 7.7 degrees versus = vs G2, 91.1 degrees +/- 10.7 degrees ; p =
0.03). The ASOSS and SSAS revealed significant impairment in G1-2 compared to G3
4 (ASOSS FU1: 83.9 +/- 19.9 G1 vs 94.6 +/- 7.7 G3; p = 0.002 and 80.3 +/- 17.7 G2
vs 91.8 +/- 9.1 G4; p = 0.002; SSAS 5.9 +/- 2.7 G1 vs 6.9 +/- 1.8 G3; p = 0.02
and 6.3 +/- 2.5 G2 vs 7.4 +/- 1.4 G4; p = 0.06), with 17-20% loss on ASOSS and 23
25% deficits on SSAS. The NAS analysis detected for pain (4 +/- 3.5 vs 3.2 +/-
2.6), satisfaction (2 +/- 0.8 vs 1.8 +/- 0.9), reduction of function (4.6 +/- 3.9
vs 3.9 +/- 3.8) and proficiency (9.6 +/- 4.7 vs 10.9 +/- 3.9) similar impairments
in G1-2 (p > 0.05) and better results in G3 and G4 (all p < 0.001). CONCLUSIONS:
After SLAP repair, athletes showed underestimated impairment of shoulder sport
resumption and proficiency with high rates of shoulder sports cessation. The
present data favor the knotless fixation technique, because this fixation
technique allowed bilaterally equivalent ranges of motions. The uninjured
shoulder athletes also showed functional deficits with significant shoulder sport
impairments, which must be considered in outcome analysis and for the
rehabilitation program. LEVEL OF EVIDENCE: III, retrospective cohort study.
PMID- 29789948
TI - The application of neuromuscular electrical stimulation (NMES) in cancer
rehabilitation: current prescription, pitfalls, and future directions.
AB - The plethora of treatment complications associated with cancer can be offset by
regular exercise participation; however, adherence to current guidelines is poor,
in particular in those unable or not allowed to participate in voluntary exercise
due to their underlying disease. Alternative therapies such as neuromuscular
electrical stimulation (NMES) are promising although previous results in cancer
survivors have been equivocal. This is likely in response to methodological
issues such as inappropriate NMES prescription. Therefore, the aim of this
commentary is to propose three key areas which should be addressed to increase
NMES effectiveness in cancer rehabilitation; (1) NMES exercise should target both
the neuromuscular and cardiovascular systems through low- and high-frequency
modalities, (2) technological advancements such as mobile app-based systems
should be leveraged to improve at-home monitoring of home-based NMES exercise,
and (3) prescription and progression should follow the fundamental principles of
exercise to overcome the heterogeneity in daily physiological, functional, and
psychological factors faced by survivors. Addressing these three key areas in
future studies may help improve NMES exercise effectiveness and accelerate
patient rehabilitation.
PMID- 29789949
TI - Photobiomodulation therapy in the management of oral mucositis: search for the
optimal clinical treatment parameters.
AB - This commentary attempts to clarify the setting of photobiomodulation (BPM)
therapy in the management of oral mucositis. The suggested dose range balances
efficacy data with our current understanding about PBM safety. The literature
about the molecular basis of photobiomodulation and its controversial
relationship to malignant transformation is briefly presented.
PMID- 29789947
TI - Relationship between brain function (aEEG) and brain structure (MRI) and their
predictive value for neurodevelopmental outcome of preterm infants.
AB - : To improve the prediction of neurodevelopmental outcome in very preterm
infants, this study used the combination of amplitude-integrated
electroencephalography (aEEG) within the first 72 h of life and cranial magnetic
resonance imaging (MRI) at term equivalent age. A single-center cohort of 38
infants born before 32 weeks of gestation was subjected to both investigations.
Structural measurements were performed on MRI. Multiple regression analysis was
used to identify independent factors including functional and structural brain
measurements associated with outcome at a corrected age of 24 months. aEEG
parameters significantly correlated with MRI measurements. Reduced deep gray
matter volume was associated with low Burdjalov Score on day 3 (p < 0.0001) and
day 1-3 (p = 0.0012). The biparietal width and the transcerebellar diameter were
related to Burdjalov Score on day 1 (p = 0.0111; p = 0.0002). The final multiple
regression analysis revealed independent predictors of neurodevelopmental
outcome: intraventricular hemorrhage (p = 0.0060) and interhemispheric distance
(p = 0.0052) for mental developmental index; Burdjalov Score day 1 (p = 0.0201)
and interhemispheric distance (p = 0.0142) for psychomotor developmental index.
CONCLUSION: Functional aEEG parameters were associated with altered brain
maturation on MRI. The combination of aEEG and MRI contributes to the prediction
of outcome at 24 months. What is Known: * Prematurity remains a risk factor for
impaired neurodevelopment. * aEEG is used to measure brain activity in preterm
infants and cranial MRI is performed to identify structural gray and white matter
abnormalities with impact on neurodevelopmental outcome. What is New: * aEEG
parameters observed within the first 72 h of life were associated with altered
deep gray matter volumes, biparietal width, and transcerebellar diameter at term
equivalent age. * The combination of aEEG and MRI contributes to the prediction
of neurodevelopmental outcome at 2 years of corrected age in very preterm
infants.
PMID- 29789950
TI - 3D vision and maintenance of stable pneumoperitoneum: a new step in the
development of laparoscopic right hepatectomy.
AB - BACKGROUND: Although laparoscopic liver resection is widely performed, many
technical difficulties remain, such as accurate isolation/division of hepatic
vessels in laparoscopic right hepatectomy (LRH). Innovative surgical devices,
such as three-dimensional (3D) laparoscopy and optimized carbon dioxide (CO2)
insufflation system, may help to overcome technical difficulties in LRH. The
purpose of this study was to analyze the efficacy of 3D vision associated with
active pneumoperitoneum maintenance in LRH. METHODS: In our prospectively
maintained database from 2006, 75 consecutive LRH from May 2011 to June 2017 were
included in this study. All LRH were performed with 2D vision and standard CO2
insufflator (2D-LRH group, 45 cases) or 3D vision with optimized CO2 insufflator
(3D-LRH group, 30 cases). Preoperative clinical characteristics, surgical data
including operation time of separate steps within the procedure, and
postoperative complications were compared between the two groups. RESULTS:
Clinical and pathological factors were comparable between two groups. Total
operative time was significantly shorter in 3D-LRH group than in 2D-LRH (360 vs
390 min, P = 0.029). Right hepatic pedicle dissection time was significantly
shorter in 3D-LRH group (101 vs 123 min, P = 0.003). Liver parenchyma transection
time was also shorter in 3D-LRH group (138 vs 151 min, P = 0.089), although not
significant. There was no significant difference in liver mobilization time,
intraoperative bleeding/transfusion, and postoperative complications.
CONCLUSIONS: 3D vision with maintenance of pneumoperitoneum facilitates hepatic
vascular isolation/division, and may contribute to the development of LRH.
PMID- 29789952
TI - Carotid Body Ablation: a New Target to Address Central Autonomic Dysfunction.
AB - PURPOSE OF REVIEW: An abnormal heightened carotid body (CB) chemoreflex, which
produces autonomic dysfunction and sympathetic overactivation, is the common
hallmark of obstructive sleep apnea (OSA), resistant hypertension, systolic heart
failure (HF), and cardiometabolic diseases. Accordingly, it has been proposed
that the elimination of the CB chemosensory input to the brainstem may reduce the
autonomic and cardiorespiratory alterations in sympathetic-associated diseases in
humans. RECENT FINDINGS: A growing body of evidence obtained in preclinical
animal models support that an enhanced CB discharge produces sympathetic
hyperactivity, baroreflex sensitivity and heart rate variability impairment,
breathing instability, hypertension, and insulin resistance. The elimination CB
chemosensory input reduces the sympathetic hyperactivity, the elevated arterial
blood pressure in OSA and hypertensive models, abolishes breathing instability
and improves animal survival in HF models, and restores insulin tolerance in
metabolic models. These results highlight the role played by the enhanced CB
drive in the progression of sympathetic-related diseases and support the proposal
that the surgical ablation of the CB is useful to restore the autonomic balance
and normal cardiorespiratory function in humans. Accordingly, the CB ablation has
been used in pilot human studies as a therapeutic treatment for resistant
hypertension and HF-induced sympathetic hyperactivity. In this review, I will
discuss the supporting evidence for a crucial contribution of the CB in the
central autonomic dysfunction and the pros and cons of the CB ablation as a
therapy to revert autonomic overactivation. The CB ablation could be a useful
method to reverse the enhanced chemoreflex in HF and severe hypertension, but
caution is required before extensive use of bilateral CB ablation, which
abolished ventilatory responses to hypoxia and may impair baroreceptor function.
PMID- 29789951
TI - Sleep in Children with Congenital Malformations of the Central Nervous System.
AB - PURPOSE OF REVIEW: Congenital malformations of the central nervous system may be
seen in isolation or in association with syndromes that have multiorgan
involvement. Among the potential health challenges these children may face, sleep
concerns are frequent and may include chronic insomnia, sleep-related breathing
disorders, and circadian rhythm disorders. RECENT FINDINGS: In this review, we
describe recent research into sleep disorders affecting children with congenital
malformations of the CNS including visual impairment, septo-optic dysplasia,
agenesis of the corpus callosum, Aicardi syndrome, Chiari malformation, spina
bifida, achondroplasia, Joubert syndrome, fetal alcohol spectrum disorders, and
congenital Zika syndrome. In many cases, the sleep disturbance can be directly
related to observed anatomical differences in the brain (such as in apnea due to
Chiari malformation), but in most syndromes, a complete understanding of the
underlying pathophysiology connecting the malformation with sleep problem is
still being elucidated. Our review provides a synthesis of available evidence for
clinicians who treat this patient population, in whom appropriate diagnosis and
management of sleep problems may improve the quality of life for both patient and
caregiver.
PMID- 29789953
TI - Update on Tick-Borne Bacterial Diseases in Travelers.
AB - PURPOSE OF REVIEW: Ticks are the second most important vectors of infectious
diseases after mosquitoes worldwide. The growth of international tourism
including in rural and remote places increasingly exposes travelers to tick bite.
Our aim was to review the main tick-borne infectious diseases reported in
travelers in the past 5 years. RECENT FINDINGS: In recent years, tick-borne
bacterial diseases have emerged in travelers including spotted fever group (SFG)
rickettsioses, borrelioses, and diseases caused by bacteria of the
Anaplasmataceae family. African tick-bite fever, due to Rickettsia africae, is
the most frequent agent reported in travelers returned from Sub-Saharan areas.
Other SFG agents are increasingly reported in travelers, and clinicians should be
aware of them. Lyme disease can be misdiagnosed in Southern countries. Organisms
causing tick-borne relapsing fever are neglected pathogens worldwide, and reports
in travelers have allowed the description of new species. Infections due to
Anaplasmataceae bacteria are more rarely described in travelers, but a new
species of Neoehrlichia has recently been detected in a traveler. The treatment
of these infections relies on doxycycline, and travelers should be informed
before the trip about prevention measures against tick bites.
PMID- 29789954
TI - Genetics of Movement Disorders and the Practicing Clinician; Who and What to Test
for?
AB - PURPOSE OF REVIEW: This review aims to provide the basic knowledge on the
genetics of hypokinetic and hyperkinetic movement disorders to guide clinicians
in the decision of "who and what to test for?" RECENT FINDINGS: In recent years,
the identification of various genetic causes of hypokinetic and hyperkinetic
movement disorders has had a great impact on a better definition of different
clinical syndromes. Indeed, the advent of next-generation sequencing (NGS)
techniques has provided an impressive step forward in the easy identification of
genetic forms. However, this increased availability of genetic testing has
challenges, including the ethical issue of genetic testing in unaffected family
members, "commercially" available home testing kits and the increasing number and
relevance of "variants of unknown significance." The emergent role of genetic
factors has important implications on clinical practice and counseling. As a
consequence, it is fundamental that practicing neurologists have a proper
knowledge of the genetic background of the diseases and perform an accurate
selection of who has to be tested and for which gene mutations.
PMID- 29789955
TI - A gate-opening controlled metal-organic framework for selective solid-phase
microextraction of aldehydes from exhaled breath of lung cancer patients.
AB - A stainless steel fiber was coated with a gate-opening controlled metal-organic
framework ZIF-7 via a sol-gel method and applied to the solid-phase
microextraction of aldehydes (hexanal, heptanal, octanal, nonanal, decanal) from
exhaled breath by lung cancer patients. The effects of temperature and time on
the sorption and desorption were optimized. Under optimum condition, the modified
fiber displays enrichment factors (typically ranging from 300 to 10,000), low
limits of detection (0.61-0.84 MUg L-1), and wide linear ranges of hexanal,
heptanal (5-500 MUg L-1) and octanal, nonanal, decanal (10-1000 MUg L-1). The
high extraction capability for aldehydes is thought to result from (a) the
combined effects of the large surface area and the unique porous structure of the
ZIF-7, (b) the hydrophobicity and gate-opening effect of the sorbent, (c) the
high selectivity of the window, and (d) the presence of unsaturated metal
coordination sites. The coated fiber is thermally stable and can be re-used >150
times. The relative standard deviation (RSD) for six replicate extractions using
a single fiber ranged from 1.4-15.3% for intra-day and 2.4-16.1% for inter-day.
The fiber-to-fiber reproducibility for three fibers prepared in parallel was in
the range of 2.4-12.6% (RSD). The method was applied to the extraction of
aldehydes from real samples and to the quantitation by gas chromatography.
Recoveries from spiked samples ranged from 84 to 113%. Graphical abstract A metal
organic framework ZIF-7 coated stainless steel fiber was prepared via sol-gel
method. The self-made fiber was applied in the solid phase microextraction of
aldehydes from exhaled breath of lung cancer patients.
PMID- 29789957
TI - Thalamic Lesions and Aphasia or Neglect.
AB - PURPOSE OF REVIEW: When the thalamus is damaged, not only are there neurological
symptoms such as sensory impairment, hemianopia, or motor control disorders, but
there are also various neuropsychological symptoms. We discuss the basic anatomy
and function of the thalamus followed by a discussion of thalamic aphasia and
hemineglect. RECENT FINDINGS: Subcortical lesions in patients with hemineglect
involved the anatomical network directly linked to the superior temporal gyrus.
Furthermore, the pulvinar, part of the thalamus, may be associated with
hemineglect. General linguistic tasks activated the thalami, depending on the
difficulty, as well as the frontal and temporal lobes. The thalamus may play a
role in language and be involved in the activation of cortical language areas,
and in the linguistic integration function via verbal memory and semantic
mechanisms. Thalamic lesions are associated with aphasia and hemineglect. It is
possible the mechanisms and such neuropsychological may be different depending on
the size and site of the thalamic lesion.
PMID- 29789956
TI - Hepatitis C: Current Controversies and Future Potential in Solid Organ
Transplantation.
AB - PURPOSE OF REVIEW: To highlight the changing landscape of hepatitis C virus (HCV)
infection in the context of organ transplantation. This focuses on areas of
controversy and future potential in the era of highly effective direct-acting
antiviral (DAA) agents. RECENT FINDINGS: Since the advent of safe and highly
effective DAA therapy, HCV infection is now curable in virtually all cases,
including organ transplant recipients. Excellent drug tolerability and safety
combined with high cure rates across all organ groups means that HCV is no longer
a barrier to transplantation or its outcomes. Mounting data demonstrate the
safety of using organs from HCV-infected donors with subsequent treatment of HCV
in the recipient and a potential to expand the donor pool. Historical data
demonstrating inferior survival in transplant recipients with HCV is of limited
relevance in the DAA era. Virtually all transplant recipients with HCV infection
can be cured, while early data also suggest excellent outcomes in recipients of
organs from HCV viremic donors. The optimal timing of HCV therapy in relation to
transplantation and the optimal use of organs from HCV viremic donors remain
areas of controversy and ongoing research efforts.
PMID- 29789959
TI - Simulating the unimolecular decomposition pathways of cyclotrimethylnitramine
(RDX) : Decomposition pathways of RDX.
AB - Based on the three known proposed pathways for the uni-molecular decomposition of
RDX, we have formulated the rate equations. A kinetic Monte Carlo code has been
developed and used to simulate the uni-molecular decomposition of RDX based on
these equations. The KMC simulations allow one to explore each of the
decomposition pathways individually and also the three competing pathways at a
specified temperature and pressure. The pressure dependence is incorporated using
Lindemann's formalism. The code is validated by reproducing the species evolution
along each pathway. Amongst the three proposed pathways, the most likely path of
RDX decomposition and the time evolution of various molecular species at
different ambient temperatures and pressures are obtained. An analytical model
has been developed to reproduce the decomposition pathways, which matches the
simulation results.
PMID- 29789958
TI - Prosthetic Joint Infections: an Update.
AB - PURPOSE OF REVIEW: Prosthetic joint infection (PJI) is a rare but serious
complication that is frequently misdiagnosed. We aimed to highlight the nuances
of PJI diagnosis and antimicrobial therapies and provide clarity in key areas of
management. RECENT FINDINGS: Current research in PJI centers on a potential role
for diagnostic biomarkers, molecular techniques, and implant sonication to reduce
culture-negativity rates. The optimal duration of antimicrobial therapy remains
controversial. A high clinical index of suspicion for PJI combined with data from
multiple preoperative and intraoperative tests enables timely diagnosis and
treatment. Biomarkers, molecular methods, and implant sonication are currently
adjunctive to traditional diagnostic techniques. Shorter courses of antimicrobial
therapies as well as the role of chronic suppressive therapy need confirmation by
randomized controlled trials. Existing practices for preoperative dental
prophylaxis and treatment of asymptomatic bacteriuria warrant revision based on
evidence arguing against risk for PJI.
PMID- 29789960
TI - Cutaneous Manifestations of Infections in Solid Organ Transplant Recipients.
AB - PURPOSE OF REVIEW: Post-transplant infections present a formidable challenge to
the physician due to their varied presentation. Many of these infections begin by
inoculation following skin compromise or disseminate to the skin hematogenously,
making cutaneous manifestations of infection an important diagnostic clue in the
immunocompromised. Quality research in this field is lacking, and this articles
seeks to review the literature and present a guide to physicians in order for
them to suspect certain infections by their cutaneous presentation. RECENT
FINDINGS: The cutaneous presentation of opportunistic infections in transplant
patients is extremely varied. However, as more case reports are published,
certain patterns specific to individual organisms are emerging. In addition,
early recognition is improving outcomes and systemic antibiotic therapy success.
Early and correct recognition of disseminated infection in the immunocompromised
host can be aided by close attention to cutaneous findings. This allows early and
correct antibiotic therapy and improves outcomes.
PMID- 29789961
TI - Illnesses Associated with Freshwater Recreation During International Travel.
AB - PURPOSE OF REVIEW: International travel, adventure travel, and eco-tourism are
increasing over the past few decades. This review aims to summarize the spectrum
of infections associated with recreational freshwater activities and
international travel. RECENT FINDINGS: Recreational water activities can be
associated with a wide range of infections. Acute febrile illnesses due to
leptospirosis and schistosomiasis are not uncommon in travelers following
extensive freshwater exposure. Aeromonas and other water-associated pathogens are
important to consider in a traveler presenting with a skin and soft tissue
infection. Recreational water activities are often associated with diarrheal
illnesses, especially in children, and the range of enteric pathogens includes
bacterial pathogens such as Escherichia coli O157:H7 and Shigella species and the
protozoan parasites Cryptosporidium and Giardia duodenalis. Infections due to
free-living amebas though rare can lead to fulminant central nervous system
infections. A diverse range of infections may be associated with freshwater
exposure, and it is important that these entities are considered in a returning
traveler presenting with an acute illness.
PMID- 29789963
TI - Experimental arid land afforestation in Central Anatolia, Turkey.
AB - The afforestation of arid lands faces many challenges, and perhaps the most
important key for success is choosing one or more species that are adapted well
for local environmental conditions. We explored species that would be suitable
for the steppe region of Central Anatolia. Intensive site preparation included
ripping the subsoil (to 80 cm) and plowing the upper soil before planting
seedlings of Elaeagnus angustifolia, Robinia pseudoacacia, Fraxinus angustifolia,
and Pinus nigra were used as tree species. We also tested the success of several
shrub species: Amygdalus orientalis, Calligonum polygonoides, and Spartium
junceum. After five growing seasons, E. angustifolia showed the highest survival,
with 80% of planted seedlings remaining. For the shrubs, A. orientalis was the
most successful species with a 95% survival rate. Broad-leaved trees grew a
cumulative average of 34 cm in height in 5 years, whereas P. nigra seedings grew
only 9 cm. The greatest height growth occurred in the shrubs, with A. orientalis
gaining 40 cm in height in 5 years. Overall, E. angustifolia and A. orientalis
appeared best suited for afforestation in these areas. R. pseodoacacia and F.
angustifolia may also be used as alternative species.
PMID- 29789962
TI - Genome-based identification and analysis of ionotropic receptors in Spodoptera
litura.
AB - The ability to sense and recognize various classes of compounds is of particular
importance for survival and reproduction of insects. Ionotropic receptor (IR), a
sub-family of the ionotropic glutamate receptor family, has been identified as
one of crucial chemoreceptor super-families, which mediates the sensing of odors
and/or tastants, and serves as non-chemosensory functions. Yet, little is known
about IR characteristics, evolution, and functions in Lepidoptera. Here, we
identify the IR gene repertoire from a destructive polyphagous pest, Spodoptera
litura. The exhaustive analyses with genome and transcriptome data lead to the
identification of 45 IR genes, comprising 17 antennal IRs (A-IRs), 8 Lepidoptera
specific IRs (LS-IRs), and 20 divergent IRs (D-IRs). Phylogenetic analysis
reveals that S. litura A-IRs generally retain a strict single copy within each
orthologous group, and two lineage expansions are observed in the D-IR sub-family
including IR100d-h and 100i-o, likely attributed to gene duplications. Results of
gene structure analysis classify the SlitIRs into four types: I (intronless), II
(1-3 introns), III (5-9 introns), and IV (10-18 introns). Extensive expression
profiles demonstrate that the majority of SlitIRs (28/43) are enriched in adult
antennae, and some are detected in gustatory-associated tissues like proboscises
and legs as well as non-chemosensory organs like abdomens and reproductive
tissues of both sexes. These results indicate that SlitIRs have diverse
functional roles in olfaction, taste, and reproduction. Together, our study has
complemented the information on chemoreceptor genes in S. litura, and meanwhile
allows for target experiments to identify potential IR candidates for the control
of this pest.
PMID- 29789965
TI - An ultrasonically controlled switching system for power management in implantable
devices.
AB - In this paper, we present an ultrasonically controlled switching system that can
save the battery power for implantable devices by turning the system on and off,
on-demand. Ultrasonic control is employed to reduce the device size, increase the
penetration depth, and reduce misalignment sensitivity associated with
alternative techniques using permanent magnet and RF signal. As a proof-of
concept demonstration, a 665 kHz ultrasonic signal is used to activate a
piezoelectric receiver which in turn switches a battery-powered RF system on-and
off. In-vitro tests show a reliable switching functionality at distances of up to
8 cm while consuming 43.5 nW (14.5 nA current consumption with 3 V power supply)
when the system is in off-state, a factor of 10-100 times lower than the sleep
mode power consumption of typical RF SoC systems. The dimension of fabricated
prototype is 6.3 * 16.7 * 2? mm3 allowing it to be easily incorporated into many
existing implantable devices.
PMID- 29789967
TI - Amorphous titania modified with boric acid for selective capture of
glycoproteins.
AB - Amorphous titania was modified with boric acid, and the resulting material was
characterized by scanning electron microscopy, Fourier transform infrared
spectroscopy, X-ray powder diffraction and X-ray photoelectron spectrometry. The
new material, in contrast to conventional boronate affinity materials containing
boronic acid ligands, bears boric acid groups. It is shown to exhibit high
specificity for glycoproteins, and this was applied to design a method for solid
phase extraction of glycoproteins as shown for ribonuclease B, horse radish
peroxidase and ovalbumin. Glycoproteins were captured under slightly alkaline
environment and released in acidic solutions. The glycoproteins extracted were
detected by matrix-assisted laser desorption/ionization time-of-flight mass
spectrometry. The binding capacities for ribonuclease B, horse radish peroxidase
and ovalbumin typically are 9.3, 26.0 and 53.0 mg ? g-1, respectively. The method
was successfully applied to the selective enrichment of ovalbumin from egg white.
Graphical abstract Schematic presentation of the capture of glycoproteins by
amorphous titania modified with boric acid.
PMID- 29789966
TI - Behcet's Syndrome and Nervous System Involvement.
AB - PURPOSE OF REVIEW: Although Behcet's syndrome (BS) is classified as a rare
disease in European countries and the USA, its neurologic involvement "neuro
Behcet's syndrome (NBS)" is commonly included in the differential diagnosis of
many inflammatory and vascular central nervous system (CNS) disorders. Clinical
and neuroimaging findings support two major forms of NBS: parenchymal NBS (p-NBS)
and an extra-parenchymal form that presents with cerebral venous sinus thrombosis
(CVST). The present review summarizes recent findings on the etiopathogenesis and
clinico-radiological features of this disorder as well as its treatment options.
RECENT FINDINGS: Recent laboratory and clinical findings include the discovery of
antibodies to human and mouse neuro-fibrils showing cross-reactivity with
Streptococcus spp. and Mycobacterium tuberculosis heat shock proteins (HSP) in
NBS. Diagnostically, two distinct magnetic resonance imaging (MRI) patterns of
spinal cord involvement have been observed: (a) "Bagel sign" and (b) "motor
neuron" patterns. Therapeutic studies have shown that infliximab effectively
prevents further relapses and stabilizes the symptoms of patients experiencing
ongoing clinical relapses while being treated with single or multiple
immunosuppressant drugs. Primary neurological involvement referred to as NBS. The
majority of patients with NBS present with parenchymal involvement that commonly
affects the brain stem-diencephalic region. Headache, dysarthria, ataxia, and
hemiparesis are the main clinical features of NBS.
PMID- 29789964
TI - Caring for Women with Multiple Sclerosis Across the Lifespan.
AB - PURPOSE OF REVIEW: Caring for women with multiple sclerosis (MS), whose first
symptoms typically begin during the childbearing years, requires a comprehensive
approach to management across a range of reproductive exposures, and beyond
through menopause. RECENT FINDINGS: This article summarizes what is known about
the disease course in women with MS, how it differs from men, and the current
state of knowledge regarding effects of reproductive exposures (menarche,
childbearing, menopause) on MS-related inflammation and neurodegeneration. Recent
findings regarding pregnancy-associated relapses in the treatment era, protective
effects of breastfeeding, and care for women during the menopausal transition are
reviewed. Then, updated recommendations to guiding women during childbearing
including pre-conception counseling, discontinuation of MS therapies, and
management of postpartum relapses-are provided. Whenever possible, areas of
uncertainty and avenues for future research are highlighted. From childhood
through the postreproductive life stages, gender and hormonal exposures appear to
shape an individual's risk for MS, as well as the experience of living with MS.
PMID- 29789968
TI - Exploring the mechanistic insights of Cas scaffolding protein family member 4
with protein tyrosine kinase 2 in Alzheimer's disease by evaluating protein
interactions through molecular docking and dynamic simulations.
AB - Cas scaffolding protein family member 4 and protein tyrosine kinase 2 are
signaling proteins, which are involved in neuritic plaques burden,
neurofibrillary tangles, and disruption of synaptic connections in Alzheimer's
disease. In the current study, a computational approach was employed to explore
the active binding sites of Cas scaffolding protein family member 4 and protein
tyrosine kinase 2 proteins and their significant role in the activation of
downstream signaling pathways. Sequential and structural analyses were performed
on Cas scaffolding protein family member 4 and protein tyrosine kinase 2 to
identify their core active binding sites. Molecular docking servers were used to
predict the common interacting residues in both Cas scaffolding protein family
member 4 and protein tyrosine kinase 2 and their involvement in Alzheimer's
disease-mediated pathways. Furthermore, the results from molecular dynamic
simulation experiment show the stability of targeted proteins. In addition, the
generated root mean square deviations and fluctuations, solvent-accessible
surface area, and gyration graphs also depict their backbone stability and
compactness, respectively. A better understanding of CAS and their interconnected
protein signaling cascade may help provide a treatment for Alzheimer's disease.
Further, Cas scaffolding protein family member 4 could be used as a novel target
for the treatment of Alzheimer's disease by inhibiting the protein tyrosine
kinase 2 pathway.
PMID- 29789971
TI - Compliance with an Enhanced Recovery After a Surgery Program for Patients
Undergoing Gastrectomy for Gastric Carcinoma: A Phase 2 Study.
AB - BACKGROUND: Enhanced recovery after surgery (ERAS) programs have gained
widespread acceptance in different fields of major surgery. However, most
elements of perioperative care in ERAS are based on practices that originated
from colorectal surgery. This study investigated compliance with the main
elements of ERAS for patients undergoing gastrectomy for gastric carcinoma.
METHODS: This phase 2 study enrolled 168 patients undergoing elective gastrectomy
for gastric carcinoma. An ERAS program consisting of 18 main elements was
implemented, and compliance with each element was evaluated (ClinicalTrials.gov,
NCT01653496). RESULTS: Distal gastrectomy was performed for 142 patients (84.5%)
and total gastrectomy for 26 patients (10.1%). Laparoscopic surgery was performed
for 141 patients (86%). The postoperative morbidity rate was 9.5%, and the
mortality rate was 0%. The rates of compliance with the 18 main elements of ERAS
ranged from 88.1 to 100%. The lowest compliance rate was observed in the
restriction of intravenous fluid element (88.1%). Overall, all ERAS elements were
successfully applied for 122 patients (72.6%). In the multivariate analysis, the
significant factors that adversely affected compliance with ERAS were surgery
during the early study period [odds ratio (OR) 0.39; p = 0.038], open surgery (OR
0.15; p <0.001), and postoperative morbidity (OR 0.16; p = 0.003). CONCLUSIONS:
Most elements of ERAS can be successfully applied for patients undergoing
gastrectomy for gastric carcinoma. Multimodal collaboration between providers is
essential to achieve proper application of ERAS.
PMID- 29789969
TI - Identification of risk factors for toxicity in patients with hormone receptor
positive advanced breast cancer treated with bevacizumab plus letrozole: a CALGB
40503 (alliance) correlative study.
AB - BACKGROUND: In hormone receptor-positive advanced breast cancer, a progression
free survival benefit was reported with addition of bevacizumab to first-line
letrozole. However, increased toxicity was observed. We hypothesized that
functional age measures could be used to identify patients at risk for toxicity
while receiving letrozole plus bevacizumab for hormone receptor-positive advanced
breast cancer. METHODS: CALGB 40503 was a phase III trial that enrolled patients
with hormone receptor-positive advanced breast cancer randomized to letrozole
with or without bevacizumab. Patients randomized to bevacizumab were approached
to complete a validated assessment tool evaluating physical function,
comorbidity, cognition, psychological state, social support, and nutritional
status. The relationship between pretreatment assessment measures and the
incidence of grade >= 3 (National Cancer Institute Common Terminology Criteria
for Adverse Events Version 3.0) adverse events was determined. RESULTS: One
hundred thirteen (58%) of 195 patients treated with letrozole plus bevacizumab
completed the pretreatment assessment questionnaire. One patient was excluded due
to missing adverse event data. The median age of patients was 56. Frequently
reported grade >= 3 adverse events were hypertension (26%), pain (20%), and
proteinuria (7%). Two hemorrhagic events (one grade 5) and 1 thrombosis event
occurred. Age >= 65 years (p < 0.01), decreased vision (p = 0.04), and poorer
pretreatment physical function measures (p < 0.05) were found on univariate
analysis to be significantly associated with increased incidence of grade >= 3
adverse events. Upon multivariate analysis, age >= 65 years (p = 0.01) and
decreased vision (p = 0.04) remained significant. Univariable and multivariable
logistic regression models demonstrated associations between age, vision, the
ability to walk up flights of stairs, and grade >= 3 adverse events. CONCLUSIONS:
Age (>= 65 years), decreased vision, and impairments in physical function
correlated with increased incidence of toxicity in patients receiving first-line
letrozole plus bevacizumab. When evaluating therapy likely to increase toxicity,
functional assessment measures can identify patients at increased risk for side
effects who may benefit from closer monitoring.
PMID- 29789970
TI - Motile Dendritic Cells Sense and Respond to Substrate Geometry.
AB - Dendritic cell (DC) migration is required for efficient presentation of antigen
to T cells and the initiation of an adaptive immune response. In spite of its
importance, many aspects of DC migration have not been characterized. DCs
encounter a variety of environments with different stiffness and geometry, but
the effect of these parameters on DC migration has not yet been determined. We
addressed this question by comparing DC motility on standard migration surfaces
(polydimethylsiloxane (PDMS)-coated coverslips) and micropost array detectors
(mPADs). These two surfaces differ in both stiffness and geometry. We found that
DC migration was affected by substrate type, with significant increases in speed
and significant decreases in persistence time on mPADs made of PDMS as compared
to spin-coated PDMS coverslips. To determine whether the geometry or compliance
of the post arrays was responsible for these changes in DC migration, we
quantified DC motility on mPADs of identical geometry but different stiffness.
Migration was indistinguishable on these mPADs, suggesting that DCs are
responsive to geometry of ligand presentation and not stiffness. Further, by
micropatterning ligands on flat PDMS surfaces in similar geometries to the mPAD
arrays, we determined that DCs respond to the geometry of printed ligand.
Finally, we used a variety of small molecule inhibitors to identify pathways
involved in geometry sensing. We saw a significant role for myosin contractility
and alpha5beta1 integrin engagement. We also noted significant reorganization of
the actin cytoskeleton into dynamic actin rings when DCs were motile on posts.
From these experiments, we conclude that DCs are insensitive to substrate
compliance in the range tested but respond to changes in geometry via a mechanism
that involves integrin function, myosin contractility, and remodeling of the
actin cytoskeleton. As a possible explanation, we postulate a consistent role for
filopodial extension and contraction as the driver of DC motility.
PMID- 29789973
TI - Chromosomal distribution of soybean retrotransposon SORE-1 suggests its recent
preferential insertion into euchromatic regions.
AB - Retrotransposons constitute a large portion of plant genomes. The chromosomal
distribution of a wide variety of retrotransposons has been analyzed using genome
sequencing data in several plants, but the evolutionary profile of transposition
has been characterized for a limited number of retrotransposon families. Here, we
characterized 96 elements of the SORE-1 family of soybean retrotransposons using
genome sequencing data. Insertion time of each SORE-1 element into the genome was
estimated on the basis of sequence differences between the 5' and 3' long
terminal repeats (LTRs). Combining this estimation with information on the
chromosomal location of these elements, we found that the insertion of the
existing SORE-1 into gene-rich chromosome arms occurred on average more recently
than that into gene-poor pericentromeric regions. In addition, both the number of
insertions and the proportion of insertions into chromosome arms profoundly
increased after 1 million years ago. Solo LTRs were detected in these regions at
a similar frequency, suggesting that elimination of SORE-1 via unequal homologous
recombination was unbiased. Taken together, these results suggest the preference
of a recent insertion of SORE-1 into chromosome arms comprising euchromatic
regions. This notion is contrary to an earlier view deduced from an overall
profiling of soybean retrotransposons and suggests that the pattern of
chromosomal distribution can be more diverse than previously thought between
different families of retrotransposons.
PMID- 29789972
TI - Recurrence of Pancreatic Neuroendocrine Tumors and Survival Predicted by Ki67.
AB - BACKGROUND: Despite evidence of different malignant potentials, postoperative
follow-up assessment is similar for G1 and G2 pancreatic neuroendocrine tumors
(panNETs) and adjuvant treatment currently is not indicated. This study
investigated the role of Ki67 with regard to recurrence and survival after
curative resection of panNET. METHODS: Patients with resected non-functioning
panNET diagnosed between 1992 and 2016 from three institutions were
retrospectively analyzed. Patients who had G1 or G2 tumor without distant
metastases or hereditary syndromes were included in the study. The patients were
re-categorized into Ki67 0-5 and Ki67 6-20%. Cox regression analysis with log
rank testing for recurrence and survival was performed. RESULTS: The study
enrolled 241 patients (86%) with Ki67 0-5% and 39 patients (14%) with Ki67 6-20%.
Recurrence was seen in 34 patients (14%) with Ki67 0-5% after a median period of
34 months and in 16 patients (41%) with Ki67 6-20% after a median period of 16
months (p < 0.001). The 5-year recurrence-free and 10-year disease-specific
survival periods were respectively 90 and 91% for Ki67 0-5% and respectively 55
and 26% for Ki67 6-20% (p < 0.001). The overall survival period after recurrence
was 44.9 months, which was comparable between the two groups (p = 0.283). In
addition to a Ki67 rate higher than 5%, tumor larger than 4 cm and lymph node
metastases were independently associated with recurrence. CONCLUSIONS: Patients
at high risk for recurrence after curative resection of G1 or G2 panNET can be
identified by a Ki67 rate higher than 5%. These patients should be more closely
monitored postoperatively to detect recurrence early and might benefit from
adjuvant treatment. A clear postoperative follow-up regimen is proposed.
PMID- 29789976
TI - Scopulibacillus cellulosilyticus sp. nov., a cellulose-degrading bacterium
isolated from tea.
AB - A Gram-stain positive, aerobic, non-motile, endospore-forming and rod-shaped
strain (THG-NT9T) was isolated from a green tea sample. Growth occurred at 20-45
degrees C (optimum 28-35 degrees C), at pH 6.0-8.0 (optimum 7.0) and at 0-2.0%
NaCl (optimum 0%). Based on 16S rRNA gene sequence analysis, the near
phylogenetic neighbours of strain THG-NT9T were identified as Scopulibacillus
daqui DSM 28236T (98.6%), Scopulibacillus darangshiensis DSM 19377T (97.4%),
Pullulanibacillus pueri CGMCC 1.12777T (96.7%) and Pullulanibacillus camelliae
CGMCC 1.15371T (96.3%). The DNA G + C content of strain THG-NT9T was determined
to be 47.5 mol %. DNA-DNA hybridization values between strain THG-NT9T and S.
daqui DSM 28236T, S. darangshiensis DSM 19377T, P. pueri CGMCC 1.12777T, P.
camelliae CGMCC 1.15371T and Pullulanibacillus naganoensis DSM 10191T were 41.3
+/- 0.1 (39.4 +/- 0.4% reciprocal analysis), 39.1 +/- 0.1 (37.3 +/- 0.1%), 21.4
+/- 0.7 (20.1 +/- 0.3%), 20.7 +/- 0.1 (20.1 +/- 0.4%) and 12.1 +/- 0.2% (8.3 +/-
0.2%). The polar lipids were identified as diphosphatidylglycerol,
phosphatidylglycerol, phosphatidylethanolamine and three unidentified lipids. The
quinone was identified as MK-7. The major fatty acids were C18:3 omega7c, iso
C15:0, iso-C16:0, iso-C17:0 and anteiso-C17:0. The cell wall type was determined
to be A1gamma peptidoglycan with meso-diaminopimelic acid as the diagnostic
diamino acid plus alanine and glutamic acid and glucose as the cell wall sugar.
On the basis of the phylogenetic analysis, chemotaxonomic data, physiological
characteristics, and DNA-DNA hybridization data, strain THG-NT9T represents a
novel species of the genus Scopulibacillus, for which the name Scopulibacillus
cellulosilyticus sp. nov. is proposed. The type strain is THG-NT9T (= KCTC 33918T
= CGMCC 1.16305T).
PMID- 29789974
TI - A prospective feasibility study applying the ACOSOG Z0011 criteria to Japanese
patients with early breast cancer undergoing breast-conserving surgery.
AB - BACKGROUND: In patients undergoing breast-conserving surgery and having positive
sentinel lymph nodes (SLNs), the ACOSOG Z0011 trial showed equivalent loco
regional outcomes for patients receiving SLN dissection (SLND) alone and those
receiving axillary lymph node dissection (ALND). We conducted a prospective
single-arm study to confirm the applicability of the Z0011 criteria to Japanese
patients with breast cancer. METHODS: Patients meeting the Z0011 inclusion
criteria and providing consent to receive no additional ALND were prospectively
enrolled at the Osaka International Cancer Institute from April 2012 to December
2016. Cumulative incidence of loco-regional recurrence was estimated and compared
to that of the Z0011 study. RESULTS: Among a total of 881 patients who underwent
breast-conserving surgery, 189 fulfilling the Z0011 criteria were enrolled and
eligible for the subsequent analysis. Adjuvant chemotherapy was given to 113
(59.8%) patients, adjuvant hormone therapy to 170 (89.9%), and whole breast
irradiation to 183 (96.8%). The frequency of tumors with positive lymphovascular
invasion (p < 0.0001) and macrometastases in SLNs (p < 0.0001) were significantly
higher in our study than in the Z0011 study. At the median follow-up of 36 months
(range 10-64 months), only 2 of 189 patients (1.1%) experienced loco-regional
recurrence. The 5-year cumulative rate of loco-regional recurrences was 1.3% (95%
CI 0-3.1%), and the 3-year distant DFS rate was 96.8% (95% CI 94.0-99.6%).
CONCLUSIONS: Our prospective study showed that it is feasible to apply the Z0011
strategy to Japanese patients with clinically node-negative breast cancer
undergoing breast-conserving surgery with planned whole breast irradiation.
PMID- 29789979
TI - Model of dissolution in the framework of tissue engineering and drug delivery.
AB - Dissolution phenomena are ubiquitously present in biomaterials in many different
fields. Despite the advantages of simulation-based design of biomaterials in
medical applications, additional efforts are needed to derive reliable models
which describe the process of dissolution. A phenomenologically based model,
available for simulation of dissolution in biomaterials, is introduced in this
paper. The model turns into a set of reaction-diffusion equations implemented in
a finite element numerical framework. First, a parametric analysis is conducted
in order to explore the role of model parameters on the overall dissolution
process. Then, the model is calibrated and validated versus a straightforward but
rigorous experimental setup. Results show that the mathematical model
macroscopically reproduces the main physicochemical phenomena that take place in
the tests, corroborating its usefulness for design of biomaterials in the tissue
engineering and drug delivery research areas.
PMID- 29789977
TI - Effect of missing data on multitask prediction methods.
AB - There has been a growing interest in multitask prediction in chemoinformatics,
helped by the increasing use of deep neural networks in this field. This
technique is applied to multitarget data sets, where compounds have been tested
against different targets, with the aim of developing models to predict a profile
of biological activities for a given compound. However, multitarget data sets
tend to be sparse; i.e., not all compound-target combinations have experimental
values. There has been little research on the effect of missing data on the
performance of multitask methods. We have used two complete data sets to simulate
sparseness by removing data from the training set. Different models to remove the
data were compared. These sparse sets were used to train two different multitask
methods, deep neural networks and Macau, which is a Bayesian probabilistic matrix
factorization technique. Results from both methods were remarkably similar and
showed that the performance decrease because of missing data is at first small
before accelerating after large amounts of data are removed. This work provides a
first approximation to assess how much data is required to produce good
performance in multitask prediction exercises.
PMID- 29789975
TI - Exploring potential applications of a novel extracellular polymeric substance
synthesizing bacterium (Bacillus licheniformis) isolated from gut contents of
earthworm (Metaphire posthuma) in environmental remediation.
AB - The aim was to isolate, characterize, and explore potentials of gut bacteria from
the earthworm (Metaphire posthuma) and imply these bacteria for remediation of
Cu(II) and Zn(II). An extracellular polymeric substance (EPS) producing gut
bacteria (Bacillus licheniformis strain KX657843) was isolated and identified
based on 16S rRNA sequencing and phylogenetic analysis. The strain showed maximum
tolerance of 8 and 6 mM for Cu(II) and Zn(II) respectively. It removed 34.5% of
Cu(II) and 54.4% of Zn(II) at 25 mg L-1 after 72 and 96 h incubation
respectively. The bacteria possessed a great potential to produce indole acetic
acid (38.49 MUg mL-1) at 5 mg mL-1 L-tryptophan following 12 days incubation. The
sterilized seeds of mung beans (Vigna radiata) displayed greater germination and
growth under bacterium enriched condition. We observed that the bacterial strain
phosphate solubilization ability with a maximum of 204.2 mg L-1 in absence of
Cu(II) and Zn(II). Endowed with biosurfactant property the bacterium exhibited
24% emulsification index. The bacterium offered significant potential of plant
growth promotion, Cu(II) and Zn(II) removal, and as such this study is the first
report on EPS producing B. licheniformis KX657843 from earthworm which can be
applied as powerful tool in remediation programs of Cu(II) and Zn(II)
contaminated sites.
PMID- 29789980
TI - Metabolic remodeling of substrate utilization during heart failure progression.
AB - Heart failure (HF) is a clinical syndrome caused by a decline in cardiac systolic
or diastolic function, which leaves the heart unable to pump enough blood to meet
the normal physiological requirements of the human body. It is a serious disease
burden worldwide affecting nearly 23 million patients. The concept that heart
failure is "an engine out of fuel" has been generally accepted and metabolic
remodeling has been recognized as an important aspect of this condition; it is
characterized by defects in energy production and changes in metabolic pathways
involved in the regulation of essential cellular functions such as the process of
substrate utilization, the tricarboxylic acid cycle, oxidative phosphorylation,
and high-energy phosphate metabolism. Advances in second-generation sequencing,
proteomics, and metabolomics have made it possible to perform comprehensive tests
on genes and metabolites that are crucial in the process of HF, thereby providing
a clearer and comprehensive understanding of metabolic remodeling during HF. In
recent years, new metabolic changes such as ketone bodies and branched-chain
amino acids were demonstrated as alternative substrates in end-stage HF. This
systematic review focuses on changes in metabolic substrate utilization during
the progression of HF and the underlying regulatory mechanisms. Accordingly, the
conventional concepts of metabolic remodeling characteristics are reviewed, and
the latest developments, particularly multi-omics studies, are compiled.
PMID- 29789978
TI - Diauxic growth of Clostridium acetobutylicum ATCC 824 when grown on mixtures of
glucose and cellobiose.
AB - Clostridium acetobutylicum, a promising organism for biomass transformation, has
the capacity to utilize a wide variety of carbon sources. During pre-treatments
of (ligno) cellulose through thermic and/or enzymatic processes, complex mixtures
of oligo saccharides with beta 1,4-glycosidic bonds can be produced. In this
paper, the capability of C. acetobutylicum to ferment glucose and cellobiose,
alone and in mixtures was studied. Kinetic studies indicated that a diauxic
growth occurs when both glucose and cellobiose are present in the medium. In
mixtures, D-glucose is the preferred substrate even if cells were pre grown with
cellobiose as the substrate. After the complete consumption of glucose, the
growth kinetics exhibits an adaptation time, of few hours, before to be able to
use cellobiose. Because of this diauxic phenomenon, the nature of the carbon
source deriving from a cellulose hydrolysis pre-treatment could strongly
influence the kinetic performances of a fermentation process with C.
acetobutylicum.
PMID- 29789981
TI - Research Driven Policy: Is Financial Capacity Related to Dangerousness?
AB - Current Veterans administration policy directly links a Veteran's adjudged
capacity to manage personal financial resources with their ability to purchase or
possess a firearm, pursuant to the regulatory authority of the National Instant
Criminal Background Check System (NICS). Preventing Veterans' suicide is a highly
laudable public health objective. Effectively utilizing scientific research to
"inform" public policy is equally important. The authors should be congratulated
for their efforts. However, it is important in utilizing large set population
based data, especially social science data, to evaluate policy alternatives that
there be substantial face (i.e., clinical) validity. Correlation does not
necessarily represent causation.
PMID- 29789982
TI - Challenges Associated with the Use of Policy to Identify and Manage Risk for
Suicide and Interpersonal Violence Among Veterans and Other Americans.
PMID- 29789984
TI - The Role of HIV Stigma in ART Adherence and Quality of Life Among Rural Women
Living with HIV in India.
AB - HIV stigma continues to be a barrier to physical and mental health among people
living with HIV globally, especially in vulnerable populations. We examined how
stigma is associated with health outcomes and quality of life among rural women
living with HIV in South India (N = 600). Interviewer-administered measures
assessed multiple dimensions of stigma, as well as loneliness, social support,
ART adherence, time since diagnosis, and quality of life. Internalized stigma and
a lack of social support were associated with a lower quality of life, while the
association between internalized stigma and adherence was mediated by the use of
stigma-avoidant coping strategies, suggesting that keeping one's diagnosis a
secret may make it more difficult to take one's medications. These findings
suggest that these women constitute a vulnerable population who need additional
services to optimize their health and who might benefit from peer support
interventions and stigma-reduction programs for family and community members.
PMID- 29789983
TI - Principles of fluid management and stewardship in septic shock: it is time to
consider the four D's and the four phases of fluid therapy.
AB - In patients with septic shock, the administration of fluids during initial
hemodynamic resuscitation remains a major therapeutic challenge. We are faced
with many open questions regarding the type, dose and timing of intravenous fluid
administration. There are only four major indications for intravenous fluid
administration: aside from resuscitation, intravenous fluids have many other uses
including maintenance and replacement of total body water and electrolytes, as
carriers for medications and for parenteral nutrition. In this paradigm-shifting
review, we discuss different fluid management strategies including early adequate
goal-directed fluid management, late conservative fluid management and late goal
directed fluid removal. In addition, we expand on the concept of the "four D's"
of fluid therapy, namely drug, dosing, duration and de-escalation. During the
treatment of patients with septic shock, four phases of fluid therapy should be
considered in order to provide answers to four basic questions. These four phases
are the resuscitation phase, the optimization phase, the stabilization phase and
the evacuation phase. The four questions are "When to start intravenous fluids?",
"When to stop intravenous fluids?", "When to start de-resuscitation or active
fluid removal?" and finally "When to stop de-resuscitation?" In analogy to the
way we handle antibiotics in critically ill patients, it is time for fluid
stewardship.
PMID- 29789985
TI - Geographic and Individual Associations with PrEP Stigma: Results from the RADAR
Cohort of Diverse Young Men Who have Sex with Men and Transgender Women.
AB - Increasing the uptake of pre-exposure prophylaxis (PrEP) to prevent HIV
acquisition among at-risk populations, such as young men who have sex with men
(YMSM), is of vital importance to slowing the HIV epidemic. Stigma and negative
injunctive norms, such as the so called "Truvada Whore" phenomenon, hamper this
effort. We examined the prevalence and types of PrEP stigma and injunctive norm
beliefs among YMSM and transgender women and associated individual and geospatial
factors. A newly created measure of PrEP Stigma and Positive Attitudes was
administered to 620 participants in an ongoing longitudinal cohort study. Results
indicated lower stigma among White, compared to Black and Latino participants,
and among participants not identifying as male. Prior knowledge about PrEP was
associated with lower stigma and higher positive attitudes. PrEP stigma had
significant geospatial clustering and hotspots were identified in neighborhoods
with high HIV incidence and concentration of racial minorities, whereas coldspots
were identified in areas with high HIV incidence and low LGBT stigma. These
results provide important information about PrEP attitudes and how PrEP stigma
differs between individuals and across communities.
PMID- 29789987
TI - ISCA2 mutations manifest differentially from DARS2 mutations.
PMID- 29789986
TI - Optimal equation for estimation of glomerular filtration rate in autosomal
dominant polycystic kidney disease: influence of tolvaptan.
AB - BACKGROUND: The reliability of various equations for estimating the GFR in ADPKD
patients and the influence of tolvaptan on the resulting estimates have not been
examined when GFR is calculated on the basis of inulin clearance. METHODS: We
obtained baseline and on-tolvaptan measured GFRs (mGFRs), calculated on the basis
of inulin clearance, in 114 ADPKD, and these mGFRs were compared with eGFRs
calculated according to four basic equations: the MDRD, CKD-EPI, and JSN-CKDI
equations and the Cockcroft-Gault formula, as well as the influence of tolvaptan
and of inclusion of cystatin C on accuracy of the results. Accuracy of each of
the seven total equations was evaluated on the basis of the percentage of eGFR
values within mGFR +/- 30% (P30). RESULTS: mGFRs were distributed throughout CKD
stages 1-5. Regardless of the CKD stage, P30s of the MDRD, CKD-EPI, and JSN-CKDI
equations did not differ significantly between baseline values and on-tolvaptan
values. In CKD 1-2 patients, P30 of the CKD-EPI equation was 100.0%, whether or
not the patient was on-tolvaptan. In CKD 3-5 patients, P30s of the MDRD, CKD-EPI,
and JSN-CKDI equations were similar. For all four equations, regression
coefficients and intercepts did not differ significantly between baseline and on
tolvaptan values, but accuracy of the Cockcroft-Gault formula was inferior to
that of the other three equations. Incorporation of serum cystatin C reduced
accuracy. CONCLUSIONS: The CKD-EPI equation is most reliable, regardless of the
severity of CKD. Tolvaptain intake has minimal influence and cystatin C
incorporation does not improve accuracy.
PMID- 29789988
TI - Growth performance, blood parameters, carcass characteristics and meat quality
traits in Potchefstroom Koekoek chickens fed Lippia javanica leaf meal.
AB - Lippia javanica leaves may have nutraceutical bioactivity and thus could be a
viable alternative to antibiotic growth promoters in poultry diets. To test this
hypothesis, a feeding trial was carried out to determine the effects of dietary
inclusion of Lippia javanica leaf meal (LJ) on growth performance, blood
parameters, carcass characteristics and meat quality of indigenous Potchefstroom
Koekoek (PK) chickens. The leaf meal was used to dilute a commercial chicken
grower diet at a rate of 25 or 50 g/kg diet. Two additional experimental diets, a
positive control (commercial chicken grower diet with antibiotics, CON+) and a
negative control (commercial chicken grower diet without antibiotics, CON-), were
also formulated. Feed intake and growth performance were measured over a period
of 13 weeks before slaughtering for carcass and meat quality measurements. Blood
was collected in week 11 to determine haematological and serum biochemical
parameters. Diet had no effect on overall FCE but significantly (P < 0.05)
affected bilirubin, alanine aminotransferase (ALT), aspartate transaminase (AST),
sodium, potassium, cholesterol and magnesium levels in blood. When included at 50
g/kg, LJ increased carcass weight (P < 0.05). Meat from CON- (6.07) and LJ50
(6.08) chickens had a higher pH than from CON+ (5.9) and LJ25 (5.8) chickens.
Breast muscle in CON- chickens had higher (P < 0.05) lightness (L*) value (53.2)
compared to chickens fed on other diets. It was observed that meat from LJ25
chickens had the highest for redness value (2.3). It was concluded that L.
javanica leaf meal can be included up to 50 g/kg in Potchefstroom koekoek chicken
diets without causing negative effects on the birds' feed intake, utilisation and
weight gain. Diet-induced changes observed in blood parameters and meat quality
fell within the expected normal ranges for indigenous chickens.
PMID- 29789990
TI - Fatal Pulmonary and Cerebellar Zygomycosis due to Rhizomucor pusillus in a Ringed
Seal (Pusa hispida).
AB - A 4-year-old captive ringed seal (Pusa hispida) was treated with subcutaneous
antibacterial injections for pus exuding wounds in the skin and associated
blubber following a bite attack. Three months after the incident, the animal
presented nystagmus and died the following day. At necropsy, there was a 25 * 18
* 25 mm well-delineated, opaque nodular mass in the lung, besides the skin ulcers
and localized areas of discoloration in the blubber correlating with the bite
wound and injection sites. Histopathology of the pulmonary mass demonstrated
severe eosinophilic inflammatory infiltration among numerous intralesional fungal
hyphae. The hyphae were irregularly branched, broad and aseptate, consistent of
zygomycosis. Magnetic resonance imaging was conducted on the head, which was
initially frozen intact, revealing diffuse areas of hyperintensity in the
cerebellum. Restricted histopathologic examination of the cerebellum showed
severe granulomatous inflammation well spread within the neuroparenchyma,
associated with abundant intralesional fungal hyphae similar to those appreciated
in the pulmonary mass. Molecular analyses of the fungi in the pulmonary and
cerebellar tissue identified the etiologic agent in both sites as Rhizomucor
pusillus. The likely route of infection is through inhalation of R. pusillus
spores or fragmented hyphae from the environment that developed into an initial
pulmonary infection, becoming the source of hematogenous dissemination to the
cerebellum. The skin and blubber lesions likely contributed to immunosuppression.
Zygomycosis is uncommon in pinnipeds, and the present report emphasizes the
importance of considering zygomycete dissemination even when the primary focus is
highly confined.
PMID- 29789989
TI - Influence of tissue factor polymorphisms (603A>G and 5466A>G) on plasma tissue
factor levels and their impact on deep vein thrombosis risk in young Indian
population.
AB - Deep vein thrombosis (DVT) is multifactorial disorder and well known to cause
substantial morbidity and mortality. There is sparse data in the Asian
population, particularly India regarding association of tissue factor (TF) gene
single nucleotide polymorphisms (SNPs) with plasma TF levels in DVT. So, we
analyzed the distribution of SNPs (603A>G and 5466A>G) in India, to evaluate
their effect on TF levels in DVT patients. Plasma level and SNPs (603A>G and
5466A>G) of TF gene were screened in subjects (100 DVT patients and 100
controls). Patients had significantly higher TF levels than controls (patients:
84.95 +/- 17.16 pg/ml, controls: 70.55 +/- 15.87 pg/ml, p < 0.001). G allele of
603A>G polymorphism was significantly higher in patients than controls (patients:
40.5% controls: 27.5%, p = 0.004). Subjects with AG and GG genotype had
significantly higher TF levels than AA genotype (p = 0.001). After multiple
logistic regression analysis, risk of DVT was increased 1.398 fold (95% CI 0.738
2.651) and 4.41 fold (95% CI 1.404-13.884) with AG and GG genotype respectively.
Allelic and genotypic frequencies of 5466A>G polymorphism was neither associated
with TF levels nor with DVT. We found high TF level in patients with TF 603A>G
polymorphism, which is an important predisposing factor in increasing risk of DVT
in young Indians. Furthermore, GG genotype of 603A>G polymorphism augments the
risk of thrombosis by 4.4 fold, thus highlighting the significance of this
polymorphism in the development of DVT. So, we suggest that inclusion of 603A>G
polymorphism in prothrombotic work-up may be helpful in making the treatment
strategy in DVT patients.
PMID- 29789991
TI - Asymptomatic Histoplasma Pylephlebitis in an Orthotopic Liver Transplant
Recipient: A Case Report and Literature Review.
AB - Histoplasma capsulatum is one of the most common pathogenic dimorphic fungi in
Thailand. Its usual clinical syndrome is progressive disseminated histoplasmosis,
whereas isolated hepatic histoplasmosis is extremely rare. Here, we report the
world's first reported case of hepatic histoplasmosis with pylephlebitis in a 45
year-old Thai male who underwent orthotopic liver transplantation due to
hepatitis B cirrhosis. Histopathology of the recipient's liver showed
infiltration of fungal organisms in portal vein and hepatic granulomas. Serum H.
capsulatum antibody was positive, and molecular identification from the liver
revealed the DNA of H. capsulatum.
PMID- 29789992
TI - Precise and economic FIB/SEM for CLEM: with 2 nm voxels through mitosis.
AB - A portfolio is presented documenting economic, high-resolution correlative
focused ion beam scanning electron microscopy (FIB/SEM) in routine, comprising:
(i) the use of custom-labeled slides and coverslips, (ii) embedding of cells in
thin, or ultra-thin resin layers for correlative light and electron microscopy
(CLEM) and (iii) the claim to reach the highest resolution possible with FIB/SEM
in xyz. Regions of interest (ROIs) defined in light microscope (LM), can be
relocated quickly and precisely in SEM. As proof of principle, HeLa cells were
investigated in 3D context at all stages of the cell cycle, documenting
ultrastructural changes during mitosis: nuclear envelope breakdown and
reassembly, Golgi degradation and reconstitution and the formation of the midzone
and midbody.
PMID- 29789995
TI - You Can't Take Your Baby Home Yet: A Longitudinal Study of Psychological Symptoms
in Mothers of Infants Hospitalized in the NICU.
AB - Evidence suggests that mothers of infants hospitalized in the Neonatal Intensive
Care Unit (NICU) experience elevated rates of psychological symptoms. However,
previous studies of this population have been mainly cross-sectional and have
focused on very preterm infants. Although moderate- to late-preterm infants
generally thrive, the possible psychological toll on their mothers has not yet
been sufficiently examined. In the current study, we used a longitudinal design
to investigate whether mothers of moderate- to late-preterm infants experience
elevated rates of psychological symptoms during the infant's hospitalization in
the NICU and 6 months later. Results indicated that these mothers did show
elevated depression, anxiety, and PTSD symptoms, and that symptom levels were
similar in mothers of moderate- versus late-preterm infants. Mothers of moderate-
to late-preterm infants hospitalized in the NICU appeared to experience these
symptoms steadily over a 6-month period after giving birth. These findings
suggest a need for greater support for these mothers while in the NICU.
PMID- 29789994
TI - Performance Evaluation of a Semi-automated Method for [18F]FDG Uptake in
Abdominal Visceral Adipose Tissue.
AB - PURPOSE: Severity of abdominal obesity and possibly levels of metabolic activity
of abdominal visceral adipose tissue (VAT) are associated with an increased risk
for cardiovascular disease (CVD). In this context, the purpose of the current
study was to evaluate the reproducibility and repeatability of a semi-automated
method for assessment of the metabolic activity of VAT using 2-deoxy-2
[18F]fluoro-D-glucose ([18F]FDG) positron emission tomography (PET)/x-ray
computed tomography (CT). PROCEDURES: Ten patients with lung cancer who underwent
two baseline whole-body [18F]FDG PET/low-dose (LD) CT scans within 1 week were
included. Abdominal VAT was automatically segmented using CT between levels L1
L5. The initial CT-based segmentation was further optimized using PET data with a
standardized uptake value (SUV) threshold approach (range 1.0-2.5) and
morphological erosion (range 0-5 pixels). The [18F]FDG uptake in SUV that was
measured by the automated method was compared with manual analysis. The
reproducibility and repeatability were quantified using intraclass correlation
coefficients (ICCs). RESULTS: The metabolic assessment of VAT on [18F]FDG
PET/LDCT scans expressed as SUVmean, using an automated method showed high inter
and intra observer (all ICCs > 0.99) and overall repeatability (ICC = 0.98). The
manual method showed reproducible inter observer (all ICCs > 0.92), but less
intra observer (ICC = 0.57) and less overall repeatability (ICC = 0.78) compared
with the automated method. CONCLUSIONS: Our proposed semi-automated method
provided reproducible and repeatable quantitative analysis of [18F]FDG uptake in
VAT. We expect this method to aid future research regarding the role of VAT in
development of CVD.
PMID- 29789997
TI - Non-Gaussian Methods for Causal Structure Learning.
AB - Causal structure learning is one of the most exciting new topics in the fields of
machine learning and statistics. In many empirical sciences including prevention
science, the causal mechanisms underlying various phenomena need to be studied.
Nevertheless, in many cases, classical methods for causal structure learning are
not capable of estimating the causal structure of variables. This is because it
explicitly or implicitly assumes Gaussianity of data and typically utilizes only
the covariance structure. In many applications, however, non-Gaussian data are
often obtained, which means that more information may be contained in the data
distribution than the covariance matrix is capable of containing. Thus, many new
methods have recently been proposed for using the non-Gaussian structure of data
and inferring the causal structure of variables. This paper introduces prevention
scientists to such causal structure learning methods, particularly those based on
the linear, non-Gaussian, acyclic model known as LiNGAM. These non-Gaussian data
analysis tools can fully estimate the underlying causal structures of variables
under assumptions even in the presence of unobserved common causes. This feature
is in contrast to other approaches. A simulated example is also provided.
PMID- 29789996
TI - Prevalence and treatment of central hypogonadism and hypoandrogenism in women
with hypopituitarism.
AB - PURPOSE: Women with hypopituitarism have increased morbidity and mortality, and
hypogonadism has been suggested to be a contributing mechanism. The purpose of
this study was to investigate the prevalence of central hypogonadism and
hypoandrogenism in women with hypopituitarism at a single Swedish center.
METHODS: All consecutive women (n = 184) who commenced growth hormone (GH)
replacement therapy at Sahlgrenska University Hospital in Gothenburg between 1995
and 2015 were included. In accordance with the Endocrine Society Clinical
Practice Guidelines, strict criteria, based on menstrual history combined with
laboratory measurements, were used to define central hypogonadism.
Hypoandrogenism was defined as subnormal levels of dehydroepiandrosterone sulfate
and/or androstenedione. RESULTS: Central hypogonadism was present in 78% of the
women, in 75% of those <= 52 years and in 82% of those > 52 years of age.
Hypoandrogenism was found in 61% of all the women and in 92% of those with
adrenocorticotropic hormone (ACTH) deficiency. The estrogen substitution rate in
hypogonadal women <= 52 years was lower than the hormonal substitution rate in
the other pituitary hormone axes (74% versus 100%, P < 0.001). The use of
estrogen substitution tended to decrease between 2000 and 2016. Few women
received androgen treatment. CONCLUSIONS: In this first study of hypogonadism in
women with hypopituitarism, using stringent diagnostic criteria for hypogonadism,
the prevalence of central hypogonadism and low androgen levels was high and
estrogen substitution was insufficient. Further studies are needed to elucidate
the importance of hypogonadism and insufficient sex steroid replacement for the
increased morbidity in hypopituitary women.
PMID- 29789993
TI - The role of the epithelial-to-mesenchymal transition (EMT) in diseases of the
salivary glands.
AB - The link between inflammatory microenvironment and cancer emerged in the last
years as a decisive factor in the induction of the pathological epithelial
mesenchymal transition (EMT). The EMT induces changes of cell states converting
the epithelial cells to mesenchymal cells when this program is fully executed and
EMT has emerged as a central driver of tumor malignancy. Cellular pathways
activated by chronic inflammation brought about by chronic infections, by immune
mediated diseases, or by dysregulated wound healing at sites of repetitive tissue
injury, constitute risk factors or initial cell transformation and for cancer
progression. EMT and its intermediate states have recently been identified as
crucial inducers of organ fibrosis, inflammation and tumor progression. In this
review, we discuss the current state-of-the-art and latest findings regarding the
link between EMT, inflammation, fibrosis and cancer, highlighting the most recent
data on EMT-dependent tissue fibrosis during chronic inflammatory salivary glands
conditions and salivary glands tumors.
PMID- 29789999
TI - Assessing the correct inflation of the endotracheal tube cuff: a larger pilot
balloon increases the sensitivity of the 'finger-pressure' technique, but it
remains poorly reliable in clinical practice.
AB - The pilot balloon palpation (or 'finger-pressure') method is still widely used to
assess the endotracheal tube cuff inflation, despite consistent evidence of its
poor sensitivity in recognizing cuff overinflation. It was recently speculated
that this may be related to the lower wall tension (due to the smaller radius) of
the pilot balloon as compared with the cuff, according to Laplace's law. To
verify this hypothesis and, secondarily, to assess whether the use of a 'large'
pilot balloon (identical to the cuff) increases the reliability of this
technique, 62 anesthetists (41 experienced anesthesiologists and 21 residents)
were asked to estimate the pressure of a cuff inflated to 88 mmHg into a
simulated trachea by feeling both a usual and a modified 'large' pilot balloon. A
similar test was repeated at 40 mmHg. After palpation of the usual pilot balloon,
only 35% of participants (49% of experienced anesthesiologists and 10% of
residents) recognized considerable overinflation (88 mmHg), as compared with 87%
of participants (95% of experienced anesthesiologists and 71% of residents) after
palpation of the 'large' pilot balloon. Moreover, 89% of participants (85% of
experienced anesthesiologists and 95% of residents) believed that pressure was
higher in the 'large' balloon than in the normal one. However, only 32% of
participants (51% of experienced anesthesiologists and none of residents)
recognized slight overinflation (40 mmHg) after feeling the 'large' balloon. The
pilot balloon size affects the sensitivity of the 'finger-pressure' technique,
but it remains poorly reliable with a larger pilot balloon.
PMID- 29789998
TI - The expression and role of lncRNA AX800134 in hepatitis B virus-related
hepatocellular carcinoma.
AB - Chronic infection with hepatitis B virus (HBV) is one of most important risk
factors for the development of hepatocellular carcinoma (HCC). Several long non
coding RNAs (lncRNAs) have been shown to be involved in the etiology of HBV
related HCC. AX800134 is one recently identified lncRNA associated with HCC. In
this study, we validated the upregulated expression of AX800134 in HBV-positive
HCC compared with HBV-negative HCC. Furthermore, we found that HBV X protein
(HBx) directly triggered AX800134 expression in human hepatoma HepG2 cells. Pro
inflammatory cytokine TNFalpha also induced AX800134 upregulation in HBx
expressing HepG2 cells, which could be reversed by reactive oxygen species (ROS)
scavenger pyrrolidine dithiocarbamate (PDTC). Additionally, silencing AX800134
with siRNA interference remarkably inhibited the growth and invasion of HBx
expressing HepG2 cells. AX800134 antagonism also enhanced spontaneous apoptosis
of HepG2 cells under serum deprivation condition. Therefore, our results indicate
that highly expressed AX800134 acts as an oncogenic factor in HCC, and its
upregulation is related with the viral product HBx and chronic inflammation.
PMID- 29790001
TI - Enhanced Up-Conversion Emission in Al3+ Co-Doped ZnGa2O4:Yb3+,Tm3+ Powder
Phosphors.
AB - Yb3+-Tm3+ co-doped up-conversion powder phosphors using Zn(AlxGa1-x)2O4 (ZAGO) as
the host materials were synthesized via solid-state reaction successfully. In
addition, the morphology, structural characterization and up-conversion
luminescent properties were all investigated by scanning electron microscope
(SEM), x-ray diffraction (XRD) and fluorescence spectrophotometer (F-7000),
respectively. Under the excitation of a 980 nm laser, all as-prepared powders can
carry out blue emission at about 477 nm (corresponding to 1G4 -> 3H6 transition
of Tm3+ ions), and red emission at about 691 nm (attributed to 3F3 -> 3H6
transition of Tm3+ ions). Also, the influence of doping Al3+ ions were
investigated. In brief, the doping of Al3+ ions has no effect on the position of
emission peak. Howbeit the up-conversion efficiency and intensity of ZAGO:Yb,Tm
phosphors are stronger than ZGO:Yb,Tm and ZAO:Yb,Tm phosphors, while the
crystallinity is the opposite. More particularly, all as-prepared powder
phosphors emit strong luminescence, which is observable by the naked eye,
demonstrating the potential applications in luminous paint, luminescent dye, etc.
PMID- 29790003
TI - Rationalizing the path to a universal graft recipient.
AB - The goal of this essay is to take the reader through the logic that would predict
universal graft acceptance. The story begins with what we learned from an
experiment performed 65 years ago and develops that information in greater depth.
The pathway of the analysis leads to the conclusion that controlling the immune
system at the level of the T-helper would be the best way to approach a general
solution to the problem of graft acceptance.
PMID- 29790002
TI - Superior Visual Search and Crowding Abilities Are Not Characteristic of All
Individuals on the Autism Spectrum.
AB - Individuals with Autism Spectrum Disorder (ASD) often excel on visual search and
crowding tasks; however, inconsistent findings suggest that this 'islet of
ability' may not be characteristic of the entire spectrum. We examined whether
performance on these tasks changed as a function of motor proficiency in children
with varying levels of ASD symptomology. Children with high ASD symptomology
outperformed all others on complex visual search tasks, but only if their motor
skills were rated at, or above, age expectations. For the visual crowding task,
children with high ASD symptomology and superior motor skills exhibited enhanced
target discrimination, whereas those with high ASD symptomology but poor motor
skills experienced deficits. These findings may resolve some of the discrepancies
in the literature.
PMID- 29790000
TI - O-GlcNAc cycling in the developing, adult and geriatric brain.
AB - Hundreds of proteins in the nervous system are modified by the monosaccharide O
GlcNAc. A single protein is often O-GlcNAcylated on several amino acids and the
modification of a single site can play a crucial role for the function of the
protein. Despite its complexity, only two enzymes add and remove O-GlcNAc from
proteins, O-GlcNAc transferase (OGT) and O-GlcNAcase (OGA). Global and local
regulation of these enzymes make it possible for O-GlcNAc to coordinate multiple
cellular functions at the same time as regulating specific pathways independently
from each other. If O-GlcNAcylation is disrupted, metabolic disorder or
intellectual disability may ensue, depending on what neurons are affected. O
GlcNAc's promise as a clinical target for developing drugs against
neurodegenerative diseases has been recognized for many years. Recent literature
puts O-GlcNAc in the forefront among mechanisms that can help us better
understand how neuronal circuits integrate diverse incoming stimuli such as
fluctuations in nutrient supply, metabolic hormones, neuronal activity and
cellular stress. Here the functions of O-GlcNAc in the nervous system are
reviewed.
PMID- 29790005
TI - Howell-Jolly bodies in systemic amyloidosis.
PMID- 29790004
TI - Exploring sex-specific differences in the presentation and outcomes of ANCA
associated vasculitis: a nationwide registry-based cohort study.
AB - PURPOSE: Sex-specific differences in the risk of end-stage renal disease (ESRD)
in patients with anti-neutrophil cytoplasmic antibody-associated
glomerulonephritis (ANCA-GN) stratified by histological classification have not
been previously investigated. METHODS: Patients with biopsy-verified pauci-immune
necrotizing GN and positive ANCA serology in the Norwegian Kidney Biopsy Registry
between 1991 and 2012 were included. Patients with ESRD during follow-up were
identified from the Norwegian Renal Registry. ESRD-free survival stratified by
histological classifications was investigated. RESULTS: We analyzed 358 patients,
of whom 87 progressed to ESRD during follow-up. Overall ESRD-free survival at 1
and 5 years in the entire cohort was 81 and 71% in males versus 90 and 80% in
females, respectively; 94 and 84% in males versus 98 and 98% in females with
focal histology, respectively; 85 and 76% in males versus 89 and 77% in females
with mixed histology, respectively; 72 and 58% in males versus 90 and 78% in
females with crescentic histology, respectively; and 52 and 46% in males versus
60 and 38% in females with sclerotic histology, respectively. Males had an
increased risk of ESRD (adjusted hazard ratio, 2.44 [1.56-3.82]; p < 0.001).
CONCLUSION: Male sex is associated with increased risk of ESRD across all
histological classes of ANCA-GN.
PMID- 29790006
TI - Screening Urinalysis in Detection of Chronic Kidney Disease in Children.
PMID- 29790007
TI - Sublingual Immunotherapy Decreases Expression of Interleukin-33 in Children with
Allergic Rhinitis.
AB - OBJECTIVES: To identify the expression of IL-33 during SLIT (Sublingual
immunotherapy) in AR (Allergic rhinitis) children. METHODS: Thirty children
received house dust mite (HDM) allergen extract for SLIT and thirty children
received placebo in this study. Serum and nasal lavage samples of cases and
controls were collected at different time points during SLIT. Interleukin (IL)-33
and other cytokines were estimated in these samples by enzyme-linked immuno
sorbent assay (ELISA). Peripheral blood mononuclear cells (PBMC) were prepared
and stimulated with rhIL-33 (with or without other stimulators) at different time
points during SLIT. RESULTS: The present results showed that both serum and nasal
lavage of IL-33 levels decreased significantly after 12 mo treatment and this
trend maintained at least until 24 mo. The decreased nasal IL-33 level was
positively correlated to local Th2 cytokines and increased IL-10 expression at 2
y post SLIT treatment. In vitro experiments showed that IL-33 promotes IL-4 and
IL-5 and inhibits IL-10 expression by peripheral blood mononuclear cells (PBMCs)
in AR. CONCLUSIONS: Decreased IL-33 expression during SLIT may contribute to low
Th2 response and enhanced Regulatory T cell cytokines expression. Thus, IL-33
maybe an important predictor during SLIT.
PMID- 29790008
TI - Methylphenidate Induced Acute Dystonic Reaction.
PMID- 29790009
TI - A new model of the spinal locomotor networks of a salamander and its properties.
AB - A salamander is an ideal animal for studying the spinal locomotor network
mechanism of vertebrates from an evolutionary perspective since it represents the
transition from an aquatic to a terrestrial animal. However, little is known
about the spinal locomotor network of a salamander. A spinal locomotor network
model is a useful tool for exploring the working mechanism of the spinal networks
of salamanders. A new spinal locomotor network model for a salamander is built
for a three-dimensional (3D) biomechanical model of the salamander using a novel
locomotion-controlled neural network model. Based on recent experimental data on
the spinal circuitry and observational results of gaits of vertebrates, we assume
that different interneuron sets recruited for mediating the frequency of spinal
circuits are also related to the generation of different gaits. The spinal
locomotor networks of salamanders are divided into low-frequency networks for
walking and high-frequency networks for swimming. Additionally, a new topological
structure between the body networks and limb networks is built, which only uses
the body networks to coordinate the motion of limbs. There are no direct synaptic
connections among limb networks. These techniques differ from existing salamander
spinal locomotor network models. A simulation is performed and analyzed to
validate the properties of the new spinal locomotor networks of salamanders. The
simulation results show that the new spinal locomotor networks can generate a
forward walking gait, a backward walking gait, a swimming gait, and a turning
gait during swimming and walking. These gaits can be switched smoothly by
changing external inputs from the brainstem. These properties are consistent with
those of a real salamander. However, it is still difficult for the new spinal
locomotor networks to generate highly efficient turning during walking, 3D
swimming, nonrhythmic movements, and so on. New experimental data are required
for further validation.
PMID- 29790011
TI - Consecutive occurrence of benign epilepsy with centro-temporal spike and
childhood absence epilepsy: true coexistence or atypical evolution?
PMID- 29790010
TI - Pectin and Mucin Enhance the Bioadhesion of Drug Loaded Nanofibrillated Cellulose
Films.
AB - PURPOSE: Bioadhesion is an important property of biological membranes, that can
be utilized in pharmaceutical and biomedical applications. In this study, we have
fabricated mucoadhesive drug releasing films with bio-based, non-toxic and
biodegradable polymers that do not require chemical modifications. METHODS:
Nanofibrillar cellulose and anionic type nanofibrillar cellulose were used as
film forming materials with known mucoadhesive components mucin, pectin and
chitosan as functional bioadhesion enhancers. Different polymer combinations were
investigated to study the adhesiveness, solid state characteristics, film
morphology, swelling, mechanical properties, drug release with the model compound
metronidazole and in vitro cytotoxicity using TR146 cells to model buccal
epithelium. RESULTS: SEM revealed lamellar structures within the films, which had
a thickness ranging 40-240 MUm depending on the film polymer composition. All
bioadhesive components were non-toxic and showed high adhesiveness. Rapid drug
release was observed, as 60-80% of the total amount of metronidazole was released
in 30 min depending on the film formulation. CONCLUSIONS: The liquid molding used
was a straightforward and simple method to produce drug releasing highly
mucoadhesive films, which could be utilized in treating local oral diseases, such
as periodontitis. All materials used were natural biodegradable polymers from
renewable sources, which are generally regarded as safe.
PMID- 29790012
TI - Evaluation of a nanocomposite of PEG-curcumin-gold nanoparticles as a near
infrared photothermal agent: an in vitro and animal model investigation.
AB - Hyperthermia is a promising alternative modality for the conventional cancer
treatments. Nanoparticle-mediated photothermal therapy (PTT) has been widely
applied for hyperthermia cancer therapy by a near-infrared light irradiation.
Some special nanoparticles can convert light energy into heat and destroy the
tumor cells. Inspired from the photothermal efficacy of the gold nanoparticles,
here we synthesized, characterized, and applied novel photothermal polyethylene
glycol-curcumin-gold nanoparticles (PEG-Cur-Au NPs) in cancer PTT. The effect of
PEG-Cur-Au NPs upon irradiation by an 808-nm laser on C540 (B16/F10) cell line as
well as implanted (bearing) melanoma tumor in inbred C57 mice was investigated.
In vitro temperature increment, cell viability evaluation, and histological
analyses were performed. The results showed a dose-dependent cytotoxicity of PEG
Cur-Au NPs toward C540 (B16/F10) cell line at concentrations >= 25 MUg mL-1 with
an IC50 value of 42.7 MUg mL-1 in dark (and with no toxicity for 10 MUg mL-1). On
the other hand, 808-nm laser irradiation alone (without using PEG-Cur-Au NPs) for
10 min induced killing effect on the C540 (B16/F10) cell line in a laser power
dependent manner at power density > 0.5 W cm-2 (no toxicity for 0.5 W cm-2).
However, PPT using PEG-Cur-Au NPs was tremendously observed after laser
illumination. Even under laser irradiation at a power density of 0.5 W cm-2 of
PEG-Cur-Au NPs of concentrations < 10 MUg mL-1, PTT of the cells was substantial.
Histological analyses and volume measurements of the induced tumors in the mice
revealed an appropriate control of the tumors upon PTT by PEG-Cur-Au NPs.
Combination of PEG-Cur-Au NP administration and 808-nm diode laser irradiation
destroyed the melanoma cancer cells in the animal model.
PMID- 29790014
TI - Biochemical profile of non-enzymatic stress markers in the plant species "Urginea
maritima" in a Mediterranean natural reserve exposed to oxidative stress.
AB - Protected areas decrease degrading natural ecosystems due to pollution such as
air pollution. In 1981, the inhabitants founded Bentael natural reserve in
Byblos, Lebanon, to secure their region against urbanization projects, like the
recently constructed road that threatens the biodiversity of the reserve. This
study was conducted to determine the oxidative stress resulting from this
pollution and that menaces 360 floral species among them a rare species "Urginea
maritima." In this research, the biomonitoring approach was experienced to assess
the oxidative stress. Biomonitoring possesses has the advantage to be low cost
and a constructive method to generate valuable data for further examinations. The
studied parameters were air pollutants, ascorbic acid, photosynthetic pigments,
leave's pH, relative water content, proline, carbohydrates, and hydrogen
peroxide, in three chosen spots, near the pollution source (P1), opposite the
latter spot (P2), and in an area relatively far from the source of contamination
and which was chosen as the control site (Ctrl). The results showed in P1
detection of air pollutants higher of about 80% than in Ctrl, modifications in
stress markers: increased concentration of the reactive oxygen species "hydrogen
peroxide," rise in the concentration of the osmoregulator amino acid "proline,"
and depletion in chlorophyll content, in contrast to an increase in pheophytin.
All these findings can be exploited as early diagnosis of air pollution and
confirmed the ability to use such biomonitor ("Urginea maritima") as a way to
assess the environmental pollution levels and consequently affirm the danger of
such landscape activities on natural reserves.
PMID- 29790016
TI - Correction to: Abstracts of the 27th Annual Conference of APASL, March 14-18,
2018, New Delhi, India.
AB - The aim of this erratum is to correct the sequence of the authors which were
listed erroneously in the published version. The correct sequence is mentioned
below.
PMID- 29790013
TI - Effect of photobiomodulation (670 nm) associated with vitamin A on the
inflammatory phase of wound healing.
AB - Wound healing is a complex biological process with specific phases.
Photobiomodulation (PBM) decreases the inflammatory infiltrate, stimulating
fibroblast proliferation and angiogenesis, and therefore, is indicated for wound
healing. Vitamin A is used to reverse the inhibitory effects on wound healing and
accelerate the healthy granulation tissue. The study aimed to evaluate the effect
of topical vitamin A and PBM (GaAlAs) in inflammatory phase of cutaneous wounds.
Forty Wistar male rats were separated into four groups: (1) control (CG); (2)
laser group (LG) GaAlAs, 670 nm, 30 mW, energy per point of 0.9 J, radiating by 1
point in 30 s; (3) vitamin A group (VitAG); and (4) laser group plus vitamin A
(LG + VitAG). Wounds were surgically made by a punch biopsy with 10 mm of
diameter on the back of the animals and all treatments were started according to
the experiment. The treatments were administered for four consecutive days and
biopsy was performed on day 4. We performed both H&E and immunohistochemistry
analysis. The results were compared between groups by one-way analysis of
variance ANOVA test with post hoc Tukey (p < 0.05). Inflammatory infiltrate
increased significantly in LG compared to CG and VitAG (p < 0.05). Regarding
angiogenesis, VEGF expression was increased significantly in LG and LG + VitAG
groups, p < 0.01. The results indicate that proposed treatments were effective on
the healing process improved by LG and LG + VitAG. We show that laser plus
vitamin A enhances healing by reducing the wound area and may have potential
application for clinical management of cutaneous wounds.
PMID- 29790017
TI - Machine learning in the integration of simple variables for identifying patients
with myocardial ischemia.
AB - BACKGROUND: A significant number of variables are obtained when characterizing
patients suspected with myocardial ischemia or at risk of MACE. Guidelines
typically use a handful of them to support further workup or therapeutic
decisions. However, it is likely that the numerous available predictors maintain
intrinsic complex interrelations. Machine learning (ML) offers the possibility to
elucidate complex patterns within data to optimize individual patient
classification. We evaluated the feasibility and performance of ML in utilizing
simple accessible clinical and functional variables for the identification of
patients with ischemia or an elevated risk of MACE as determined through
quantitative PET myocardial perfusion reserve (MPR). METHODS: 1,234 patients
referred to Nitrogen-13 ammonia PET were analyzed. Demographic (4), clinical (8),
and functional variables (9) were retrieved and input into a cross-validated ML
workflow consisting of feature selection and modeling. Two PET-defined outcome
variables were operationalized: (1) any myocardial ischemia (regional MPR < 2.0)
and (2) an elevated risk of MACE (global MPR < 2.0). ROC curves were used to
evaluate ML performance. RESULTS: 16 features were included for boosted ensemble
ML. ML achieved an AUC of 0.72 and 0.71 in identifying patients with myocardial
ischemia and with an elevated risk of MACE, respectively. ML performance was
superior to logistic regression when the latter used the ESC guidelines risk
models variables for both PET-defined labels (P < .001 and P = .01,
respectively). CONCLUSIONS: ML is feasible and applicable in the evaluation and
utilization of simple and accessible predictors for the identification of
patients who will present myocardial ischemia and an elevated risk of MACE in
quantitative PET imaging.
PMID- 29790018
TI - Forget Evil: Autonomy, the Physician-Patient Relationship, and the Duty to Refer.
AB - Aulisio and Arora argue that the moral significance of value imposition explains
the moral distinction between traditional conscientious objection and non
traditional conscientious objection. The former objects to directly performing
actions, whereas the latter objects to indirectly assisting actions on the
grounds that indirectly assisting makes the actor morally complicit. Examples of
non-traditional conscientious objection include objections to the duty to refer.
Typically, we expect physicians who object to a practice to refer, but the non
traditional conscientious objector physician refuses to refer. Aulisio and Arora
argue that physicians have a duty to refer because refusing to do so violates the
patient's values. While we agree with Aulisio and Arora's conclusions, we argue
value imposition cannot adequately explain the moral difference between
traditional conscientious objection and non-traditional conscientious objection.
Treating autonomy as the freedom to live in accordance with one's values, as
Aulisio and Arora do, is a departure from traditional liberal conceptions of
autonomy and consequently fails to explain the moral difference between the two
kinds of objection. We outline how a traditional liberal understanding of
autonomy would help in this regard, and we make two additional arguments-one that
maintains that non-traditional conscientious objection undermines society's
autonomy, and another that maintains that it undermines the physician-patient
relationship-to establish why physicians have a duty to refer.
PMID- 29790019
TI - Development of a Topical 48-H Release Formulation as an Anti-scarring Treatment
for Deep Partial-Thickness Burns.
AB - The purpose of this study was to develop pirfenidone (PF) ointment formulations
for a dose finding study in the prophylactic treatment of deep partial-thickness
burns in a mouse model. A preformulation study was performed to evaluate the
solubility of PF in buffers and different solvents and its stability. Three
different formulations containing 1, 3.5, and 6.5% w/w PF were prepared and
optimized for their composition for testing in mice. Optimized formulations
showed promising in vitro release profiles, in which 20-45% of PF was released in
the first 7 h and 70-90% released within 48 h. The rheological properties of the
ointment remained stable throughout storage at 25 +/- 2 degrees C/60% RH. Animal
studies showed treatments of burn wounds during the inflammatory stage of wound
healing with PF ointments at different drug concentrations had no adverse effects
on reepithelization. Moreover, 6.5% PF ointment (F3) reduced the expression of
pro-inflammatory cytokines IL-12p70 and TNFalpha. This study suggests that
hydrocarbon base ointment could be a promising dosage form for topical delivery
of PF in treatment of deep partial-thickness burns.
PMID- 29790020
TI - Can We Accurately Predict Cost Effectiveness Without Access to Overall Survival
Data? The Case Study of Nivolumab in Combination with Ipilimumab for the
Treatment of Patients with Advanced Melanoma in England.
AB - BACKGROUND: Nivolumab with ipilimumab (the Regimen) is the first immuno-oncology
combination treatment to demonstrate long-term clinical benefit for advanced
melanoma patients. We evaluated the cost effectiveness of the Regimen in this
population, with and without the availability of overall survival (OS) data.
METHODS: A partitioned survival model and a Markov state-transition model were
developed to estimate the lifetime costs and benefits of the Regimen versus
ipilimumab. These models were built with and without the availability of OS data,
as only progression-free survival data were available from the head-to-head,
phase III trial against ipilimumab at the time of the National Institute for
Health and Care Excellence (NICE) submission. Patient utilities and resource use
data were sourced from trial data or the literature. RESULTS: Incremental cost
effectiveness ratios (ICERs) and absolute costs were similar between the models
with and without OS data, but the model with OS data generated more than 1
additional quality-adjusted life-year (QALY) across both treatment arms. In both
models, based on list prices, the Regimen was the most cost-effective treatment.
CONCLUSIONS: The analyses show that the Regimen is a cost-effective treatment for
advanced melanoma patients in England, and methods to overcome the lack of OS can
give reasonable estimates of QALYs gained and ICERs.
PMID- 29790021
TI - The effect of cholecystectomy on 25-hydroxyvitamin D levels and bone mineral
density in postmenopausal women.
AB - : Vitamin D deficiency has been reported in patients with gastrointestinal
disorders. Little is known on the potentially deleterious effect of
cholecystectomy on vitamin D levels and osteoporosis. We found that 25
hydroxyvitamin D levels and bone mineral density were lower in patients with
prior cholecystectomy. PURPOSE: The influence of bile salts on vitamin D
absorption is well-known, and increased incidence of vitamin D deficiency has
been reported in patients with gastrointestinal disorders. Little is known on the
potentially deleterious effect of cholecystectomy on vitamin D levels and
osteoporosis. Herein, we aimed to investigate the effects of cholecystectomy on
vitamin D levels and osteoporosis in postmenopausal women. METHODS: The study
group comprised 50 postmenopausal women who had previously undergone
cholecystectomy; the control group comprised 50 age-matched postmenopausal women.
Serum vitamin D, calcium, and phosphorus levels were determined. Bone mineral
density (BMD) was determined using dual-energy X-ray absorptiometry. RESULTS: The
study group had significantly higher parathyroid hormone levels (94.4 +/- 45.1
vs. 69.2 +/- 37.5, p < 0.001) but significantly lower 25-hydroxyvitamin D levels
(16.3 +/- 7.6 vs. 19.8 +/- 8.7, p = 0.03). Compared with the control group, the
BMDs of both the lumbar spine (- 1.5 +/- 1.0 vs. - 0.9 +/- 1.0, p = 0.004) and
femur (- 0.5 +/- 0.8 vs. 0.19 +/- 1.1, p = 0.001) were significantly lower in the
study group. Body mass index [B = 0.81 (CI 0.67-0.98), p = 0.03] and prior
cholecystectomy [B = 7.9 (CI 1.0-71.7), p = 0.04] were independent predictors of
osteoporosis. CONCLUSION: In postmenopausal women, prior cholecystectomy is
associated with lower serum 25-hydroxyvitamin D levels and BMD.
PMID- 29790022
TI - Compliance with Pregnancy Prevention Recommendations for Isotretinoin in Estonia
in 2012-2016.
AB - BACKGROUND: Isotretinoin is an effective treatment for severe acne; no
alternative treatment has an equal therapeutic effect. The teratogenic effects of
isotretinoin can be avoided, and numerous recommendations and regulations are in
force to minimize the risk of pregnancy during treatment. OBJECTIVES: To describe
isotretinoin prescription patterns for women aged 15-45 years, assess the
concomitancy of isotretinoin and contraceptive use, and determine the rate of
potential isotretinoin-exposed pregnancies in Estonia. METHODS: This
retrospective, nationwide, population-based, cohort study derived data from
national health insurance databases and included female patients aged 15-45 years
in Estonia for whom one or more prescriptions for isotretinoin were dispensed
between 2012 and 2016. The main outcome was the proportion of women who used
systemic isotretinoin and had a concomitant record of (hormonal or intrauterine)
contraception use covering the isotretinoin treatment period when pregnancy is
contraindicated. RESULTS: Of the 2792 women aged 15-45 years filling an
isotretinoin prescription, 15.7% (95% CI 14.4-17.1) had full and 13.9% (95% CI
12.7-15.3) partial (not covering the whole period during which pregnancy is
contraindicated) contraceptive coverage. The risk for potential isotretinoin
exposed pregnancy was 3.6 (95% CI 2.0-7.0) per 1000 treated women over the 5-year
observation period. The odds for full coverage with effective contraception
increased with the age of the patient, with the duration of isotretinoin
treatment and over the period of observation. CONCLUSION: Our study adds to the
existing literature documenting limited compliance with pregnancy prevention
programs for isotretinoin-containing products, and calls for program assessment
to identify whether new measures should be taken or whether weaknesses in policy
or implementation can be corrected.
PMID- 29790023
TI - Atria Depolarization in Rats with Alcoholic Cardiomyopathy.
AB - Chronotopography of atrial subepicardium depolarization has been studied in a rat
model of alcoholic cardiomyopathy. Formation of independent sources of initial
atrial activity has been detected in the right and left atria. These sources
induced the formation of several depolarization fronts that propagated
autonomously, and this can be regarded as the cause of atrial arrhythmia.
PMID- 29790024
TI - Mechanism of Activation of Enteric Nociceptive Neurons via Interaction of TLR4
and TRPV1 Receptors.
AB - Evidence obtained by immunohistochemical double labeling and confocal laser
scanning microscopy suggests that capsaicin, a ligand of the TRPV1 nociceptive
vanilloid receptor, increases the number of TLR4-positive neurons in the rat
colon myenteric plexus. In colitis caused by trinitrobenzene sulfonate, an
increase in TRPV1 expression was more significant in both plexuses. Specific
inhibitor of the TLR4 (C34) pattern-recognition receptor reduces TRPV1 expression
in enteric neurons of both intact rats and rats with induced acute colitis. Thus,
stimulation of nociceptive neurons by means of direct activation of their
receptors of innate immunity (TLR4) is one of the possible mechanisms underlying
the visceral pain in bacterial invasion and inflammatory bowel diseases.
PMID- 29790025
TI - AMPAR-mediated Interictal Discharges in Neurons of Entorhinal Cortex: Experiment
and Model.
AB - The mechanisms of interictal discharges (IID) were studied under the conditions
of the 4-aminopyridine model of spontaneous epileptiform activity in surviving
rat brain slice preparations. Addition of the agents blocking GABA and NMDA
receptors failed to inhibit IID generation in the entorhinal cortex. A
mathematical model of IID has been developed on the basis of the excitatory
neuron interaction mediated by the AMPA receptor. Short-term synaptic depression
and slow afterspike-hyperpolarization are the key factors required to terminate a
single IID. The IID shape-determining factors have been identified. The
experimental and model IID features correspond to each other.
PMID- 29790026
TI - Pathogenetic Role of the Stress-induced Release of Glucocorticoid Hormones in the
Development of Post-traumatic Stress Disorder: An Experimental Study.
AB - In the rat experimental model of posttraumatic stress disorder (PTSD), the level
of blood corticosterone was at least eight-fold increased (an overrelease). The
use of hypobaric hypoxic preconditioning or short-term inhibition of
glucocorticoid synthesis by metyrapone injection prevented development of the
experimental PTSD.
PMID- 29790027
TI - The Influence of Pre- and Postnatal Factors on Early Behavior Formation.
AB - The maternal impact on the early behavioral responses in pups was studied in
cross-fostering experiments with the newborn Wistar rats (the primiparous females
were fostering the pups born to the females parturiated for the second time and
vise versa). The maternal experience had a significant influence on the function
development in both pre- and postnatal periods. Specific features of prenatal
ontogeny of pups born by experienced females resulted in further, more stable
development of the early behavioral responses. In the postnatal period, the
maternal experience determined synchronization of the behavioral responses, which
promoted the formation of the functional systems important for the newborn
survival.
PMID- 29790028
TI - Stimulus for Glucagon-Like Peptide 1 Secretion in Rats.
AB - Blood concentration of glucagon-like peptide-1 (GLP-1) increased 5 min after per
os administration of water, sodium chloride solution, or glucose solution.
Changes in blood osmolality or blood glucose level did not stimulate GLP-1
release. A method of short-term increase in the gastric capacity in rats using an
inflating balloon attached to the Foley catheter was developed in order to test
the hypothesis that excitation of the upper gastrointestinal tract receptors is a
primary signal for the GLP-1 secretion during oral intake of the substances.
Mechanical gastric distension in rats caused elevation of the blood GLP-1
concentration which was comparable to the effects of oral administration of
water, sodium chloride, and glucose solutions.
PMID- 29790029
TI - A New Functional Role of Oxytocin: Participation in Osmoregulation.
AB - After a water load in rats hyperhydration occurs and the secretion of oxytocin
increases by the neurohypophysis. This increases the water diuresis, the water is
quickly excreted by the kidney, and the recovery of osmotic homeostasis is
accelerated.
PMID- 29790030
TI - Molecular Heterogeneity of Lectins in Wheat Seedlings under the Action of
Stevioside and Heavy Metals.
AB - The effect of the diterpene glycoside stevioside and high concentrations of heavy
metals on the molecular heterogeneity of lectins was studied in seedlings of
Kazanskaya 560 winter wheat cultivar. Stevioside induced the emergence of a new
45-kDa lectin. Cultivation of wheat seedlings in CdSO4 and ZnSO4 solutions
resulted in the emergence of the protein with Mr = 88 kDa. We detected the
presence of both lectins in seedlings during combined treatment with stevioside
and heavy metals.
PMID- 29790031
TI - Effect of Selenium-containing Biocomposites from Medicinal Mushrooms on the
Potato Ring Rot Causative Agent.
AB - The impact of selenium biocomposites obtained from the medicinal
macrobasidiomycetes Ganoderma lucidum, Grifola umbellata, Laetiporus sulphureus,
Lentinula edodes, and Pleurotus ostreatus on the viability and biofilm formation
capability of the phytopathogenic Gram-positive bacterium Clavibacter
michiganensis ssp. sepedonicus (Spieck. et Kotth.) (Cms) was studied. Impairment
of bacterial cell viability resulting from their incubation with biocomposites
was shown. The decisive role of the composites' selenium component on the
biological activity under question was established. The dependence of
antimicrobial effect of the selenium-containing specimen on the mushroom
systematic position was revealed. The maximal activity was found for the
biocomposites based on the extracellular metabolites of L. edodes and G. lucidum.
When the biopolymer specimen of fungal origin was added to bacterial suspension,
the Cms capability of forming biofilms was found to be distinctly dependent of
the biocomposite type, and it was substantially reduced in a number of cases.
PMID- 29790032
TI - Is the Center of Origin of Long-tailed Hamster Cricetulus longicaudatus Milne
Edwards 1867 (Rodentia, Cricetidae) Located in Tibet?
AB - First data on morphological and mitochondrial variation in the long-tailed
hamster Cricetulus longicaudatus is presented. In contrast to genetically
monomorphic populations of Mongolia and Tuva, the northeastern part of the
Qinghai-Tibetian Plateau was found to harbor several divergent mtDNA lineages.
This pattern suggests a recent expansion of the long-tailed hamster to the
northern part of its recent range, which started from Tibet, presumably, in the
late Middle Pleistocene. Several populations from the northern edge of the
species range were found to be morphologically but not genetically distinct. The
apparent disagreement between genetic and morphological data can be explained by
rapid morphological evolution in peripheral isolates.
PMID- 29790033
TI - Biomechanical properties of polymer-infiltrated ceramic crowns on one-piece
zirconia implants after long-term chewing simulation.
AB - BACKGROUND: Implant and superstructure provide a complex system, which has to
withstand oral conditions. Concerning the brittleness of many ceramics, fractures
are a greatly feared issue. Therefore, polymer-infiltrated ceramic networks
(PICNs) were developed. Because of its low Young's modulus and high elastic
modulus, the PICN crown on a one-piece zirconia implant might absorb forces to
prevent the system from fracturing in order to sustain oral forces.
Recommendations for the material of superstructure on zirconia implants are
lacking, and only one study investigates PICN crowns on these types of implants.
Accordingly, this study aimed to examine PICN crowns on one-piece zirconia
implants regarding bond strength and surface wear after long-term chewing
simulation (CS). METHODS: Twenty-five hybrid ceramic crowns (Vita Enamic, Vita
Zahnfabrik) were produced using computer-aided design/computer-aided
manufacturing (CAD/CAM) technology and adhesively bonded (RelyXTM Ultimate, 3M
ESPE) to zirconia implants. Twenty of the specimens underwent simultaneous
mechanical loading and thermocycling simulating a 5-year clinical situation (SD
Mechatronik GmbH). Wear depth and wear volume, based on X-ray micro-computed
tomography volume scans (Skyscan 1172-100-50, Bruker) before and after CS, were
evaluated. All crowns were removed from the implants using a universal testing
machine (Z010, Zwick GmbH&Co.KG). Subsequently, luting agent was light
microscopically localized (Stemi 2000-C, Zeiss). With a scanning electron
microscope (SEM, PhenomTM G2 pro, Phenom World), the area of abrasion was
assessed. RESULTS: 1. After CS, none of the tested crowns were fractured or
loosened. 2. The maximum vertical wear after CS was M = 0.31 +/- 0.04 mm (mean +/
standard deviation), and the surface wear was M = 0.74 +/- 0.23 mm3. 3. The pull
off tests revealed a 1.8 times higher bond strength of the control group compared
to the experimental group (t(23) = 8.69, p < 0.001). 4. Luting agent was mostly
located in the crowns, not on the implants. 5. The area of abrasion showed
avulsion and a rough surface. CONCLUSIONS: PICN on one-piece zirconia implants
showed high bond strength and high wear after CS.
PMID- 29790035
TI - Feasibility of intracardiac echocardiography imaging from the left superior
pulmonary vein for left atrial appendage occlusion.
AB - Intracardiac echocardiography (ICE) is considered an alternative imaging modality
for left atrium appendage occlusion (LAAO) to avoid general anesthesia. However,
the quality of ICE images obtained from right atrium can be suboptimal compared
with transesophageal echocardiography (TEE) imaging. Although placing an ICE
probe into left atrium can improve imaging quality, there are limited data
regarding procedure outcomes of ICE-guided LAAO versus TEE-guided LAAO. One
hundred forty four patients who underwent LAAO with Amplatzer Cardiac Plug,
Amulet, or Watchman device were enrolled from two referral institutes. TEE-guided
LAAO was performed under general anesthesia or deep sedation (n = 103), and ICE
guided LAAO was conducted under local anesthesia (n = 41). An ICE probe was
placed into left superior pulmonary vein (LSPV) via transseptal approach. The
procedure success and complication rates of the ICE-guided LAAO were comparable
with the TEE-guided LAAO (100 vs. 97.1%, p = 1.0; 2.4 vs. 6.8%, p = 0.734,
respectively). The procedure time and total radiation dose were significantly
lower in ICE-guided group compared with TEE-guided group (58.0 [55.0, 61.0] min
vs. 80.0 [58.0, 95.0] min, p < 0.001; 456.0 [359.0, 604.0] mGy vs. 625.0 [439.0,
1502.5] mGy, p < 0.001, respectively). In multivariate analysis, younger age, the
last time period of procedure, and local anesthesia were independent factors
affecting shorter procedure time. ICE imaging from the LSPV provided optimal
views for LAAO procedure with a significant reduction of total procedure time
through performing under local anesthesia. This approach can be very useful for
LAAO procedure especially in patients who are ineligible for general anesthesia.
PMID- 29790034
TI - Left atrial myocardial dysfunction after chronic abuse of anabolic androgenic
steroids: a speckle tracking echocardiography analysis.
AB - Anabolic-androgenic steroids (AAS) are used by power athletes to improve
performance. However, the real effects of the chronic consumption of AAS on
cardiovascular structures are subjects of intense debate. To detect by speckle
tracking echocardiography (STE) underlying left atrial (LA) dysfunction in
athletes abusing AAS and assess possible correlation between LA myocardial
function and exercise capacity during cardiopulmonary stress test. 65 top-level
competitive bodybuilders were selected (45 males), including 35 athletes misusing
AAS for at least 5 years (users), 30 anabolic-free bodybuilders (non-users),
compared to 40 age- and sex-matched healthy sedentary controls. Standard Doppler
echocardiography, STE analysis and bicycle ergometric test were performed to
assess LA myocardial function and exercise capacity. Athletes showed increased
left ventricular (LV) mass index, wall thickness and stroke volume compared with
controls, whereas LV ejection fraction, LV end-diastolic diameter and transmitral
Doppler indexes were comparable between the three groups. Conversely, LA volume
index, LV and LA strain and LV E/Em were significantly increased in AAS users. By
multivariate analyses, LV E/Em (beta = - 0.30, p < 0.01), LA volume index (-
0.42, p < 0.001) and number of weeks of AAS use per year (- 0.54, p < 0.001)
emerged as the only independent determinants of LA lateral wall peak STE. In
addition, a close association between LA myocardial function and VO2 peak during
cardiopulmonary exercise testing was evidenced (p < 0.001), showing a powerful
incremental value with respect to clinical and standard echocardiographic data.
STE represents a promising technique to assess LA myocardial function in athletes
abusing steroids. AAS users showed a more impaired LA deformation, associated
with reduced functional capacity during physical effort.
PMID- 29790038
TI - Development and Testing of an Addiction Treatment Level of Care Determination
Tool.
AB - Two studies examined inter-rater reliability and content-related validity of an
addiction treatment level of care determination tool currently in use in New
York, the LOCADTR 3.0. The studies occurred after tool implementation. In study
1, 139 providers used the LOCADTR 3.0 to determine level of care for four case
vignettes. Inter-rater reliability coefficients were calculated. In study 2,
387,338 state records from existing data were analyzed to determine how often
providers opted to override the LOCADTR 3.0 level of care determination by
choosing an alternative level of care. In study 1, an acceptable inter-rater
reliability (IRR = .57-.59) was found. Good indication of content-related
validity was also found; participants chose the same level of care the study team
chose for each vignette 80% of the time. In study 2, the override option was
selected only 10% of the time, further establishing the content validity of the
tool. These studies provide evidence for acceptable preliminary reliability and
validity of the LOCADTR 3.0.
PMID- 29790036
TI - Variability of native T1 values: implication for defining regional myocardial
changes using MRI.
AB - The aim of the present study was to establish T1 variation (T1v) thresholds for
duplicated measurements of regional T1 values in left ventricle (LV) using
magnetic resonance imaging (MRI). Eighteen healthy volunteers were recruited to
undergo two consecutive cardiac MRI scans using modified Look-Locker Inversion
recovery (MOLLI) with two spatial resolutions on different days to repeat T1
measurements on LV. The absolute differences (d) and standard deviations (SDs) of
regional T1 values were acquired with the two scans and two readers. T1v
threshold (mean difference + 2SD), intra-class correlation coefficient (ICC) and
coefficient of variation (CoV) were calculated. T1 mapping using the MOLLI
sequence (with multiple spatial resolutions) was successfully performed in all 18
volunteers twice. On a per-slice basis, ICCs for intra-observer, inter-observer,
inter-resolution and inter-study T1v were 0.988, 0.899, 0.763 and 0.6. CoVs were
0.72, 2.39, 3.90 and 4.28%. T1v thresholds were 22, 66, 118 and 120 ms. On a per
segment basis, ICCs for intra-observer, inter-observer, inter-resolution and
inter-study T1v were 0.974, 0.859, 0.711 and 0.594. CoVs were 1.09, 3.36, 4.69
and 5.01%. T1v thresholds were 33, 94, 140 and 144 ms. Those thresholds may be
useful for discriminating disease-initiated T1v from random errors of T1
measurements.
PMID- 29790039
TI - Intimate Partner Violence and Women with Severe Mental Illnesses: Needs and
Challenges from the Perspectives of Behavioral Health and Domestic Violence
Service Providers.
AB - Women with severe mental illnesses face high rates of violence victimization, yet
little is understood about the unique needs and challenges these women present to
the domestic violence and behavioral health agencies that serve them. To help
address this knowledge gap, focus groups were conducted with 28 staff members
from local behavioral health and domestic violence service agencies. Results from
this exploratory study suggest that women with severe mental illnesses who
experience intimate partner violence face additional challenges that exacerbate
behavioral health and domestic violence issues and put these women at greater
risk for continued victimization. DV and behavioral health agency staff
experience individual-, provider-, and system-level barriers to serving this high
risk, high-need population. Recommendations and implications for domestic
violence and behavioral health providers are discussed.
PMID- 29790037
TI - One-year optical coherence tomography findings in patients with late and very
late stent thrombosis treated with intravascular imaging guided percutaneous
coronary intervention.
AB - Patients with late/very-late stent thrombosis (ST) are at high risk of recurrent
ST. The mechanisms of recurrent-ST are largely unknown. The objective is to
describe the 1-year optical coherence tomography (OCT) findings of patients
suffering from late/very-late ST treated with intravascular imaging guided
percutaneous coronary intervention (PCI). All consecutive patients with late/very
late ST undergoing intravascular imaging guided PCI were screened to undergo
coronary angiography and OCT examination at 1 year. Patients were classified
according to the observation of stent malapposition as most contributing cause of
the ST. Thirty-four patients were included. Stent malapposition was observed in
17 (50%) and the remaining 17 cases were classified as: neoatherosclerosis (n =
9), underexpansion (n = 3) and unknown mechanism (n = 5). Patients with
malapposition had a remarkable reduction of the malapposition volume (from 6.4 to
1.3 mm3; p = 0.02) during the ST procedure, but this was not fully corrected in
13 (76.5%). At 12 months, two patients of the malapposition group presented with
uneventful target vessel re-occlusion. Persistent malapposition was observed in
nine patients (60.0%). Major coronary evaginations (46.7 vs. 0%; p = 0.001) and
uncovered struts (6.3 vs. 1.0%; p < 0.001) were also more frequent in patients
with malapposition than without malapposition. None of the patients had thin-cap
fibroatheroma neoatherosclerosis. Contributing causes of late/very-late ST are
diverse and have different healing patterns at 12 months. Patients with stent
malapposition treated with intravascular imaging guided PCI showed poor re
healing; but patients with other causes of the ST showed optimal stent healing as
assessed by OCT.
PMID- 29790040
TI - Building Behavioral Health Homes: Clinician and Staff Perspectives on Creating
Integrated Care Teams.
AB - Adults with serious mental illness and substance use disorders have elevated risk
of mortality and higher healthcare costs compared to the general population. As
these disparities have been linked to poor management of co-occurring chronic
conditions in primary care, the behavioral health setting may be a preferred
setting for routine medical screening and treatment. This qualitative study
describes early stages of integrating care teams in emerging medical homes based
in mental health and addiction treatment settings. Clinicians and staff from ten
agencies engaged in the Behavioral Health Home Learning Collaborative
participated in qualitative interviews exploring local definitions of "behavioral
health home" and initial barriers and facilitators to integration. Facilitators
included clear staff roles, flexible scheduling, and interdisciplinary huddles
and staff trainings. Challenges included workforce, limited use of electronic
health records, and differing professional cultures. Participants advocated for
new workflows and payment structures to accommodate scheduling demands and
holistic case management.
PMID- 29790041
TI - Volumetric bone mineral density (vBMD), bone structure, and structural geometry
among rural South Indian, US Caucasian, and Afro-Caribbean older men.
AB - : Peripheral quantitative computed tomography (pQCT) provides biomechanical
estimates of bone strength. Rural South Indian men have reduced biomechanical
indices of bone strength compared to US Caucasian and Afro-Caribbean men. This
suggests an underlying higher risk of osteoporotic fractures and greater future
fracture burden among the rural South Indian men. INTRODUCTION: Geographical and
racial comparisons of bone mineral density (BMD) have largely focused on DXA
measures of areal BMD. In contrast, peripheral quantitative computed tomography
(pQCT) measures volumetric BMD (vBMD), bone structural geometry and provides
estimates of biomechanical strength. To further understand potential geographical
and racial differences in skeletal health, we compared pQCT measures among US
Caucasian, Afro-Caribbean, and rural South Indian men. METHODS: We studied men
aged >= 60 years enrolled in the Mobility and Independent Living among Elders
Study (MILES) in rural south India (N = 245), Osteoporotic Fractures in Men Study
(MrOS) in the US (N = 1148), and the Tobago Bone Health Study (N = 828). RESULTS:
The BMI (kg/m2) of rural South Indian men (21.6) was significantly lower compared
to the US Caucasians (28) and Afro-Caribbean men (26.9). Adjusting for age,
height, body weight, and grip strength; rural South Indian men compared to US
Caucasians had significantly lower trabecular vBMD [- 1.3 to - 1.5 standard
deviation (SD)], cortical thickness [- 0.8 to - 1.2 SD]; significantly higher
endosteal circumference [0.5 to 0.8 SD]; but similar cortical vBMD. Afro
Caribbean men compared to US Caucasians had similar trabecular vBMD but
significantly higher cortical vBMD [0.9 to 1.2 SD], SSIp [0.2 to 1.4 SD], and
tibial endosteal circumference [1 SD], CONCLUSIONS: In comparison to US
Caucasians, rural South Indian men have reduced bone strength (lower trabecular
vBMD) and Afro-Caribbean men have greater bone strength (higher cortical vBMD).
These results suggest an underlying higher risk of osteoporotic fractures and
greater future fracture burden among rural South Indian men.
PMID- 29790043
TI - Antimycin A inhibits cytochrome b559-mediated cyclic electron flow within
photosystem II.
AB - The light reactions of photosynthesis are known to comprise both linear and
cyclic electron flow in order to convert light energy into chemical energy in the
form of NADPH and ATP. Antimycin A (AA) has been proposed as an inhibitor of
ferredoxin-dependent cyclic electron flow around photosystem I (CEF-PSI) in
photosynthesis research. However, its precise inhibitory mechanism and target
site had not been elucidated yet. Here we show that AA inhibits the cyclic
(alternative) electron flow via cytochrome b559 (Cyt b559) within photosystem II
(CEF-PSII). When AA was applied to thylakoid membranes isolated from spinach
leaves, the high potential form of Cyt b559, which was reduced in the dark, was
transformed into the lower potential forms and readily oxidized by molecular
oxygen. In the absence of AA, the reduced Cyt b559 was oxidized by P680+ upon
light illumination and re-reduced in the dark, mainly by the electron from the QB
site on the acceptor side of PSII. In contrast, AA suppressed the oxidation of
Cyt b559 and induced its reduction under the illumination. This inhibition of Cyt
b559 oxidation by AA enhanced photoinhibition of PSII. Based on the above
results, we propose caution regarding the use of AA for evaluating CEF-PSI per se
and concurrently propose that AA provides for new insights into, and
interpretations of, the physiological importance of Cyt b559, rather than that of
CEF-PSI in photosynthetic organisms.
PMID- 29790044
TI - Status epilepticus and white matter ischemia complicating dural arteriovenous
fistula.
PMID- 29790045
TI - Basic red 2 and methyl violet adsorption by date pits: adsorbent
characterization, optimization by RSM and CCD, equilibrium and kinetic studies.
AB - The potential of raw date pits as a natural, widely available and low-cost
agricultural waste has been studied in order to adsorb cationic dyes from an
aqueous solution. Date pits were characterized by FTIR, SEM, BET, and XRD
analysis. To optimize removal of two industrial dyes, basic red 2 (BR2) and
methyl violet (MV), from aqueous solution using date pits, response surface
methodology (RSM) is employed. Tests were carried out as per central composite
design (CCD) with four input parameters namely contact time, temperature, initial
concentration of adsorbate, and pH. Second-order polynomial model better fits
experimental data for BR2 and MV and optimum values were then determined. In the
optimum conditions, kinetic study was conducted and the pseudo-second-order model
was found the best fitted model compared to pseudo-first-order model. Moreover,
it was shown that intraparticle diffusion was not the sole controlling step and
could be associated with other transfer resistance. On other hand, equilibrium
isotherms were obtained for BR2 and MV and their maximum adsorption capacities
were 92 and 136 mg g-1 respectively. Two-parameter isotherm models like Langmuir,
Temkin, Freundlich, Dubinin-Radushkevich, and Halsay were investigated to fit
equilibrium data. Three error functions of residual root mean square error, chi
square statistic, and average relative error were used to comfort us in the
selected models, which were actually Dubinin-Radushkevich and Langmuir for BR2
and Frendlich, Temkin, and Halsay for MV.
PMID- 29790046
TI - Energy consumption habits and human health nexus in Sub-Saharan Africa.
AB - This study explores the impact of fossil fuels consumption, solid fuels
consumption for cooking purposes, economic growth, and carbon emissions on human
health, with a key emphasis on the occurrence of tuberculosis and the high
mortality rate in Sub-Saharan Africa. For its practical insights, the study
develops a system Generalized Method of Moment (GMM) for a panel of 34 middle-
and lower-middle-income countries from 1995 to 2015. The study adopts a flexible
methodology to tackle endogeneity in the variables. The robust results report
that the use of solid fuels (charcoal, peat, wood, wood pellets, crop residues)
for cooking purposes and the consumption of fossil fuels (oil, coal, gas) are
significantly increasing the occurrence of tuberculosis. In addition, the results
highlight that the consumption of both solid fuels and fossil fuels has adverse
affects on life expectancy by increasing the mortality rate in Sub-Saharan
African countries. Results report that renewable energy sources like sun, wind,
and water (all with potential to prevent households from direct exposure to
particulate matters and harmful gases) as well as a rise in economic growth serve
as helping factors to control the occurrence of tuberculosis and to decrease the
mortality rate. Moreover, the use of renewable energy sources is serving to
lessen emissions of carbon dioxide, nitrogen dioxides, and particulate matters,
which can ultimately decrease the mortality rate and extend the life expectancy
in Sub-Saharan Africa.
PMID- 29790042
TI - Orthopaedic regenerative tissue engineering en route to the holy grail:
disequilibrium between the demand and the supply in the operating room.
AB - Orthopaedic disorders are very frequent, globally found and often partially
unresolved despite the substantial advances in science and medicine. Their
surgical intervention is multifarious and the most favourable treatment is chosen
by the orthopaedic surgeon on a case-by-case basis depending on a number of
factors related with the patient and the lesion. Numerous regenerative tissue
engineering strategies have been developed and studied extensively in laboratory
through in vitro experiments and preclinical in vivo trials with various
established animal models, while a small proportion of them reached the operating
room. However, based on the available literature, the current strategies have not
yet achieved to fully solve the clinical problems. Thus, the gold standards, if
existing, remain unchanged in the clinics, notwithstanding the known limitations
and drawbacks. Herein, the involvement of regenerative tissue engineering in the
clinical orthopaedics is reviewed. The current challenges are indicated and
discussed in order to describe the current disequilibrium between the needs and
solutions made available in the operating room. Regenerative tissue engineering
is a very dynamic field that has a high growth rate and a great openness and
ability to incorporate new technologies with passion to edge towards the Holy
Grail that is functional tissue regeneration. Thus, the future of clinical
solutions making use of regenerative tissue engineering principles for the
management of orthopaedic disorders is firmly supported by the clinical need.
PMID- 29790049
TI - A simple approach to estimate daily loads of total, refractory, and labile
organic carbon from their seasonal loads in a watershed.
AB - Loads of naturally occurring total organic carbons (TOC), refractory organic
carbon (ROC), and labile organic carbon (LOC) in streams control the availability
of nutrients and the solubility and toxicity of contaminants and affect
biological activities through absorption of light and complex metals with
production of carcinogenic compounds. Although computer models have become
increasingly popular in understanding and management of TOC, ROC, and LOC loads
in streams, the usefulness of these models hinges on the availability of daily
data for model calibration and validation. Unfortunately, these daily data are
usually insufficient and/or unavailable for most watersheds due to a variety of
reasons, such as budget and time constraints. A simple approach was developed
here to calculate daily loads of TOC, ROC, and LOC in streams based on their
seasonal loads. We concluded that the predictions from our approach adequately
match field measurements based on statistical comparisons between model
calculations and field measurements. Our approach demonstrates that an increase
in stream discharge results in increased stream TOC, ROC, and LOC concentrations
and loads, although high peak discharge did not necessarily result in high peaks
of TOC, ROC, and LOC concentrations and loads. The approach developed herein is a
useful tool to convert seasonal loads of TOC, ROC, and LOC into daily loads in
the absence of measured daily load data.
PMID- 29790047
TI - Ascorbic acid inhibits cadmium-induced disruption of the blood-testis barrier by
regulating oxidative stress-mediated p38 MAPK pathways.
AB - Ascorbic acid (AA), one of the best-known reactive oxygen species (ROS)
scavengers, exhibits numerous functions such as antioxidant, anti-cancer, and
anti-inflammatory effects. Increasing evidence demonstrates that oxidative stress
plays an important role in testicular toxicity. In the present study, we
investigated the protective effect of AA against cadmium (Cd)-induced blood
testis barrier (BTB) disruption. Sprague-Dawley (SD) rats were divided into four
groups: the Cd-treated group received a single dose (s.c.) of 2 mg/kg BW cadmium
chloride; the AA antagonism group received an injection of AA at a dose of 400
mg/kg BW (200 mg 24 h prior to Cd treatment and 200 mg 24 h following Cd
treatment); and the control groups received an equal volume of saline or an equal
dose of AA. As expected, ROS expression was upregulated in the Cd-treated rats,
accompanied by an increase in malondialdehyde (MDA). Interestingly, AA suppressed
Cd-induced oxidative stress by decreasing the levels of ROS and MDA and
increasing the activity of superoxide dismutase (SOD) and catalase (CAT). In
addition, AA also reduced BTB disruption by inhibiting TGF-beta3 activation and
p38 MAPK phosphorylation. Significant decreases in occludin and claudin-11
expression were observed in the Cd-treated rats, whereas AA administration
attenuated this effect. Moreover, testicular histopathology and transmission
electron microscopy further demonstrated the protective effects of AA against Cd
induced BTB damage. In conclusion, the results of the present study suggest that
AA protects BTB destruction via the inhibition of oxidative stress and the TGF
beta3/p38 MAPK signalling pathway in the testis of Cd-exposed rats.
PMID- 29790048
TI - Analysis of mercury adsorption at the gibbsite-water interface using the CD-MUSIC
model.
AB - Mercury (Hg), one of the most toxic substances in nature, has long been released
during the anthropogenic activity. A correct description of the adsorptive
behavior of mercury is important to gain a better insight into its fate and
transport in natural mineral surfaces, which will be a prerequisite for the
development of surface complexation model for the adsorption processes. In the
present study, simulation experiments on macroscopic Hg(II) sorption by gibbsite
(alpha-Al(OH)3), a representative aluminum (hydr)oxide mineral, were performed
using the charge distribution and multi-site complexation (CD-MUSIC) approach
with 1-pK triple plane model (TPM). For this purpose, several data sets which had
already been reported in the literature were employed to analyze the effect of
pH, ionic strength, and co-exisiting ions (NO3- and Cl-) on the Hg(II) adsorption
onto gibbsite. Sequential optimization approach was used to determine the acidity
and asymmetric binding constants for electrolyte ions and the affinity constants
of the surface species through the model simulation using FITEQLC (a modified
code of FITEQL 4.0). The model successfully incorporated the presence of
inorganic ligands at the dominant edge (100) face of gibbsite with consistent
surface species, which was evidenced by molecular scale analysis. The model was
verified with an independent set of Hg(II) adsorption data incorporating
carbonate binding species in an open gibbsite-water system.
PMID- 29790050
TI - Formulation of oil-in-water emulsions for pesticide applications: impact of
surfactant type and concentration on physical stability.
AB - Oil-in-water (O/W) emulsions can be utilized as effective pesticide delivery
systems in the agricultural industry. In this study, the effects of hydrophile
lipophile balance (HLB), concentration, and location of surfactants on the
formation and physical stability of O/W emulsions suitable for pesticide
applications was investigated using dynamic light scattering and vertical laser
profiling. A non-polar pesticide (lambda-cyhalothrin) was used as a model. The
pesticide emulsion with the highest stability was obtained using a commercial non
ionic surfactant (polyoxyethylene castor oil ether, EL-20) with a required HLB
value of 10.5. Emulsion stability increased as the surfactant concentration was
increased from 2 to 6%, which was attributed to the formation of smaller oil
droplets during emulsification. Emulsions prepared with the surfactant initially
in the oil phase were more stable than those prepared with it initially in the
aqueous phase. The optimum formulation of the pesticide emulsion was determined
as follows: 5% lambda-cyhalothrin (active ingredient) and 6% EL-20 (surfactant)
dissolved in 5% S-200 (aromatic hydrocarbon, as oil phase), then deionized water
up to 100%, which met the quality indicators set by the FAO standards. The
present study is expected to provide useful information to improve the stability
of pesticide emulsions for commercial applications.
PMID- 29790051
TI - Probabilistic risk-based pollution prevention model for a foundry: a case study
of casting.
AB - Hazardous air pollutants from industrial activities have long been associated
with serious health effects. Traditional health risk assessment uses point
estimates of inhalation concentrations based on standard Gaussian diffusion
models with steady-state emission rate assumptions. This traditional approach was
criticized because it does not account for variability and thus leading to a
potential overestimate of the health risk from the batch processes. To overcome
this deficiency, a probabilistic risk assessment model is proposed. The foundry
industry with processes typically associated with several hazardous air
pollutants is identified and iron casting is chosen as a case study to compare
risk estimates. Existing data, representing historical proprietary information of
the case study, were used to deliver representative risk values and help identify
potential replacements or interventions in the manufacturing process. A
probability distribution function of emitted concentrations was simulated to
model the batch process emissions from mold and core resin binders, a major
source of pollution. The same method was applied to exposure factors to feed into
the risk model resulting in a probabilistic risk evaluation. Several alternative
resin binders in commercial use were examined to offer a risk-based substitute to
the resin binder in use. The risk results provided an opportunity to consider
newer and environmentally friendlier options. A comparison of the results from
this approach and those from the point estimate analysis reveals a gross over
estimation of risks. The point estimate risk values were about eight time larger
than the mean value and about twice the 95th percentile values of the
probabilistic risk approach. The wide range of variability among resin binders
associated risk results, close to two orders of magnitude in some cases,
presented opportunities to select from a variety of binders with lesser emissions
and lower risk. Optimal selection will depend on several pollutants emitted from
this process to help address cumulative impacts of multiple pollutants.
Investigations are underway for a multi-pollutant strategy including trade-offs,
and other quality controls vital to the decision-making.
PMID- 29790052
TI - Acid and alkaline solubilization (pH shift) process: a better approach for the
utilization of fish processing waste and by-products.
AB - Several technologies and methods have been developed over the years to address
the environmental pollution and nutritional losses associated with the dumping of
fish processing waste and low-cost fish and by-products. Despite the continuous
efforts put in this field, none of the developed technologies was successful in
addressing the issues due to various technical problems. To solve the problems
associated with the fish processing waste and low-value fish and by-products, a
process called pH shift/acid and alkaline solubilization process was developed.
In this process, proteins are first solubilized using acid and alkali followed by
precipitating them at their isoelectric pH to recover functional and stable
protein isolates from underutilized fish species and by-products. Many studies
were conducted using pH shift process to recover proteins from fish and fish by
products and found to be most successful in recovering proteins with increased
yields than conventional surimi (three cycle washing) process and with good
functional properties. In this paper, problems associated with conventional
processing, advantages and principle of pH shift processing, effect of pH shift
process on the quality and storage stability of recovered isolates, applications
protein isolates, etc. are discussed in detail for better understanding.
PMID- 29790053
TI - Innovative sludge pretreatment technology for impurity separation using
micromesh.
AB - In order to reduce the impacts on sludge treatment facilities caused by
impurities such as fibers, hairs, plastic debris, and coarse sand, an innovative
primary sludge pretreatment technology, sludge impurity separator (SIS), was
proposed in this study. Non-woven micromesh with pore size of 0.40 mm was used to
remove the impurities from primary sludge. Results of lab-scale tests showed that
impurity concentration, aeration intensity, and channel gap were the key
operation parameters, of which the optimized values were below 25 g/L, 0.8 m3/(m2
min), and 2.5 cm, respectively. In the full-scale SIS with treatment capacity of
300 m3/day, over 88% of impurities could be removed from influent and the
cleaning cycle of micromesh was more than 16 days. Economic analysis revealed
that the average energy consumption was 1.06 kWh/m3 treated sludge and operation
cost was 0.6 yuan/m3 treated sludge.
PMID- 29790054
TI - Removal of cobalt and lead ions from wastewater samples using an insoluble
nanosponge biopolymer composite: adsorption isotherm, kinetic, thermodynamic, and
regeneration studies.
AB - In this study, an insoluble nanosponge biopolymer composite was synthesized,
using a combined process of amidation reaction, cross-linking polymerization, and
sol-gel method to obtain a phosphorylated multiwalled carbon nanotube
cyclodextrin/silver-doped titania (pMWCNT-betaCD/TiO2-Ag). This work mainly
emphasized on the removal of lead (Pb2+) and cobalt (Co2+) metal ions from
synthetic and real wastewater samples using the synthesized pMWCNT-betaCD/TiO2-Ag
as a biosorbent. The new material was characterized by Fourier transform infrared
(FTIR) spectroscopy, zeta potential, Brunauer-Emmett-Teller (BET) method, and
scanning electron microscopy (SEM). Adsorption studies for the model pollutants
were performed in batch mode. The effect of the solution pH, adsorbent dosage and
the presence of competiting ions were investigated. The isotherm, kinetic,
thermodynamic, and regeneration studies were also undertaken. The ability of the
new material to effectively remove Pb2+ and Co2+ from synthetic wastewater and
mine effluent samples was tested. The maximum removal capacities achieved for the
removal of Pb2+ and Co2+ from mine effluent sample were 35.86 and 7.812 mg/g,
respectively.
PMID- 29790055
TI - Polybrominated diphenyl ethers (PBDEs) in core sediments from creek ecosystem:
occurrence, geochronology, and source contribution.
AB - The levels of 15 polybrominated diphenyl ether (PBDE) congeners in grab sediment
and sediment cores from the Thane creek were monitored for their spatial and
temporal distribution. Total PBDE (SigmaPBDE) concentrations in grab sediments
were ranging from 15.98 to 132.72 ng g-1 dry weight. BDE-209 was the most
abundant congener with percentage contribution in the range of 19-35% to total
PBDEs. Total PBDE show multimode concentration with depth in sediment, among
which mode at a depth of 10 cm is predominant. Results of sediment core also
indicates PBDEs were enormously used in last two decades in surrounding area.
Sedimentation rate at the creek was also evaluated using Pb210 dating technique.
Average percentage contribution of commercial penta-BDE (fP), octa-BDE (fO), and
deca-BDE (fD) to the profile found in sediments collected across Thane creek were
24 +/- 5, 5 +/- 1 and 69 +/- 7% (p < 0.001) respectively. Levels of all measured
PBDEs in sediment met with guideline values except for the penta-BDE (total, BDE
99 and BDE-100) at few locations.
PMID- 29790056
TI - A 10-Year Trend in Statin Use Among Older Adults in Australia: an Analysis Using
National Pharmacy Claims Data.
AB - BACKGROUND: Statins have become standard of care in the prevention and treatment
of atherosclerotic cardiovascular disease. The objective of this study was to
examine the trends in statin use among Australians aged >= 65 years for the
period 2007-2016. METHODS: Data from the Pharmaceutical Benefits Scheme covering
a 10% random sample of the Australian population were analysed. The 1-year
prevalence and incidence of statin use were determined for each year, as were the
percentage of statin dispensations according to statin type or intensity and the
percentage of new users prescribed each statin type or intensity. To describe
relative changes, age-sex adjusted rate ratios (RRs) and 95% confidence intervals
(CIs) were determined via Poisson regression modelling using 2007 as the
reference year. RESULTS: The 1-year prevalence of statin use increased
consistently each year from 34.2% in 2007 to 44.1% in 2016 (RR 1.29, 95% CI 1.28
1.31). The 1-year incidence was 68.5 per 1000 in 2007 and 59.0 per 1000 in 2016
(RR 0.87, 95% CI 0.84-0.90). Women were 18% (age-adjusted rate ratio [aRR] 0.82,
95% CI 0.79-0.83) less likely than men to initiate statins across all years. The
incidence of statin use was also highest among individuals aged 65-74 years, who
were about 15% (sex-adjusted rate ratio [sRR] 1.15, 95% CI 1.13-1.16) and 45%
(sRR 1.45, 95% CI 1.44-1.47) more likely to initiate statins than those aged 75
84 and >= 85 years, respectively. Atorvastatin was the most commonly dispensed
statin across all years. The proportion of new users dispensed high-intensity
statins increased year-on-year from 23.6% in 2007 to 30.5% in 2016 (RR 1.26, 95%
CI 1.21-1.31). CONCLUSION: The proportion of older adults in Australia using
statins has increased over the last decade, although the incidence has declined.
Atorvastatin is the most commonly dispensed statin and the use of high intensity
statin has increased.
PMID- 29790057
TI - Are youth sport talent identification and development systems necessary and
healthy?
AB - Talent identification and development systems (TIDS) are commonly used in
professional sport to convert youth athletes into sporting stars of the future.
Acknowledging that only a few athletes can "make it," the necessity and
healthiness of TIDS have recently been questioned based on their increased
professionalism, high training, and competition volumes, but limited
effectiveness. In this short communication, we suggest that the key issues
associated with TIDS are not due to their overall concept, but with how they are
designed and implemented. It is recommended that researchers and practitioners
determine the worth and value of TIDS by also evaluating the positive health of
the athlete rather than solely focusing on performance outcomes. To achieve this,
TIDS staff should shape and develop their values, expectations, and day-to-day
routines to achieve positive health outcomes focusing on personal development and
an athlete-centered culture. In business, this has been termed the concept of
"Deliberately Developmental Organisation." TIDS can deploy the factors (e.g.,
high-quality staff, expert support services, quality facilities, and learning
routines) characteristic of such organizations, to concurrently ensure positive
impacts and minimize predictable negative outcomes without losing focus on a
drive for sporting performance.
PMID- 29790058
TI - The synergistic effect of organic acids, phytochemicals and a permeabilizing
complex reduces Salmonella Typhimurium 1,4,[5],12:i-shedding in pigs.
AB - Salmonella Typhimurium (including S.Typhimurium 1,4,[5],12:i-) and other enteric
pathogens cause acute infection in pigs during the weaning stage, often evolving
into chronic infections responsible for the introduction of zoonotic bacteria
into the slaughterhouse and thus determining carcass contamination. In addition
to being zoonotic hazards, these pathogens are responsible for economic losses in
affected farms. Traditionally, antibiotic treatments have been largely
administered in order to reduce the infection burden but it favored, as a direct
consequence, an increase in the number of multi-drug resistance strains. In order
to overcome antibiotic-resistance concerns, new alternative control strategies
should be developed. In this context, a blend of organic acids, phytochemicals
and a permeabilizing complex, administered in feed (Group A - 459 piglets) or
water (Group B - 458 piglets), was tested in field conditions for its capability
of reducing Salmonella-infection in weaned piglets of an endemic farm. Data
recorded were compared to results of a control group (Group C - 456 piglets).
Zootechnical parameters were recorded in all animals, while microbiological,
serological and PCR analyses were conducted in 15 piglets for each group. Results
demonstrated that additive administered in feed improved animal weight gain
(better average daily gain [A.D.G.] and increment), and rapidly reduced
Salmonella-shedding in feces. Administration of additive in feed gave better
results than in water.
PMID- 29790059
TI - Characteristics of distribution of Mycobacterium tuberculosis lineages in China.
AB - The genotyping methods of Mycobacterium tuberculosis would dramatically improve
our understanding of the molecular epidemiology of tuberculosis. 3,929 isolates,
from a National Survey of Drug-Resistant Tuberculosis in 2007 in China, were
successfully genotyped by large sequence polymorphisms and 15 loci variable
number tandem repeats. We found that 2,905 (2,905/3,929, 73.9%) cases belonged to
Lineage 2, dominated in the east and central regions, 975 cases (975/3,929,
24.8%) were Lineage 4, highly prevailed in the west regions, and 36 and 13 cases
were Lineage 3 and Lineage 1, respectively. We also explored the associations
between lineages (Lineage 2 vs. Lineage 4) and clinical characteristics by
logistic regression. For Lineage 2, the risk factors were Han-ethnicity
population and fever. However, for Lineage 4, they were occupation (farmer), and
degree of education (non-literate). Fully understanding of the distribution of
Mycobacterium tuberculosis lineage and its risk factors would play a critical
role in tuberculosis prevention, control, and treatment.
PMID- 29790060
TI - Protect chromosomes from end-to-end fusion during meiotic bouquet.
PMID- 29790062
TI - Analysis of Pulse Signals Based on Array Pulse Volume.
AB - OBJECTIVE: To collect and analyze multi-dimensional pulse diagram features with
the array sensor of a pressure profile system (PPS) and study the characteristic
parameters of the new multi-dimensional pulse diagram by pulse diagram analysis
technology. METHODS: The pulse signals at the Guan position of left wrist were
acquired from 105 volunteers at the Shanghai University of Traditional Chinese
Medicine. We obtained the pulse data using an array sensor with 3*4 channels.
Three dimensional pulse diagrams were constructed for the validated pulse data,
and the array pulse volume (APV) parameter was computed by a linear interpolation
algorithm. The APV differences among normal pulse (NP), wiry pulse (WP) and
slippery pulse (SP) were analyzed using one-way analysis of variance. The
coefficients of variation (CV) were calculated for WP, SP and NP. RESULTS: The
APV difference between WP and NP in the 105 volunteers was statistically
significant (6.26+/-0.28 vs. 6.04+/-0.36, P=0.048), as well as the difference
between WP and SP (6.26+/-0.28 vs. 6.07+/-0.46, P=0.049). However, no
statistically significant difference was found between NP and SP (P=0.75). WP
showed a similar CV (4.47%) to those of NP (5.96%) and SP (7.58%). CONCLUSION:
The new parameter APV could differentiate between NP or SP and WP. Accordingly,
APV could be considered an useful parameter for the analysis of array pulse
diagrams in Chinese medicine.
PMID- 29790061
TI - Acute bleeding obstruction pancreatitis after Roux-en-Y anastomosis in total
gastrectomy: a single center experience.
AB - Anastomotic intraluminal bleeding is a well-known complication after total
gastrectomy. Nevertheless, few data are published on acute bleeding obstruction
pancreatitis (BOP) due to a bleeding from the jejunojejunostomy (JJ). In this
paper we describe our experience. A total of 140 gastrectomies for EGJ cancer
were performed in our Institute from January 2012 to January 2017. All
reconstructions were performed with a Roux-en-Y anastomosis: a mechanical end-to
side esophago-jejunostomy and a mechanical end-to-side JJ. Three patients
suffered from a bleeding at the JJ with a consequent BOP. We analyzed the time of
diagnosis, the treatment and the outcomes. The three patients presented anemia at
the laboratory findings on postoperative day (POD) 1. In patient I laboratory
findings of acute pancreatitis were found in POD 2. CT scan was performed and
showed signs of BOP. Endoscopic treatment was tried without success. Therefore,
patient underwent surgery: JJ take down, bleeding control and anastomosis rebuild
were performed. In spite of this the patient died of MOF in POD 4. Patient II had
a persistent anemia treated with blood transfusions until POD 3, when laboratory
tests showed increased lipase and bilirubin levels. Patient was successfully
treated with endoscopy but several blood transfusions and a prolonged recovery
were necessary. Patient III had laboratory findings of acute pancreatitis on POD
1. Immediate surgery was performed and patient was discharged on POD 9 without
sequelae. BOP is a rare but deadly complication after Roux-en-Y anastomosis. An
early diagnosis and an aggressive treatment seem to improve the outcome.
PMID- 29790063
TI - In Vitro and In Vivo Evaluation of Antitumor Activity of Ligustrum robustum, A
Chinese Herbal Tea.
AB - OBJECTIVE: To examine the effect of the aqueous extract of Ligustrum robustum on
tumor growth in vitro and in vivo and explore the possible molecular mechanisms.
METHODS: In in vitro study, cell viabilities of human cervical carcinoma cells
(HeLa), human breast cancer cells (MCF-7), human prostate cancer cells (PC-3),
human hepatoma cells (7721) and human colon carcinoma cells (SW480) were
evaluated with cell counting kit-8. For L. robustum-treated Hela cells, early or
late apoptosis were evaluated by annexin V/PI staining. Mitochondrial membrane
potential was measured by staining cells with JC-1. Apoptosis was monitored by
nuclear morphology based on chromatin condensation and fragmentation by 4',6
diamidino-2-phenylinole (DAPI) staining. Caspase-3 and -8 activity levels were
measured by a colorimetric assay. In vivo, to evaluate the possible mechanism of
L. robustum-mediated antitumor effect, nude mouse xenograft study was also
conducted. RESULTS: In in vitro study, L. robustum was found to be toxic to HeLa,
MCF-7, PC-3, 7721, SW480, with an half maximal inhibitory concentration value of
2-5 mg/mL (P<0.05). Moreover, externalization of phosphatidylserine, loss of
mitochondrial membrane potential, DNA fragmentation and activation of caspase-3
and -8 were detected in L. robustum-treated Hela cells. Using a nude mouse model
bearing Hela xenografts, we found that L. robustum reduced tumor volume and tumor
weight (P<0.05), but had no effect on body weight and histological damage of
important organs. Intraperitoneal injection of L. robustum caused a significant
reduction in serum aspartate transaminase and alanine transaminase levels
(P<0.05). Furthermore, cleaved caspase-3-positive and terminal nucleotidyl
transferase-mediated nick end labeling (TUNEL)-positive cells were observed in L.
robustum-treated tumor tissues. CONCLUSIONS: L. robustum inhibits tumor cell
growth both in vitro and in vivo by inducing apoptosis in a caspase-dependent way
without apparent hepatic toxicity and histological damage, which may offer
partial scientific support for the ethnopharmacological claims of L. robustum as
a herbal tea for its antitumor activity.
PMID- 29790065
TI - Extract of Fructus Schisandrae chinensis Inhibits Neuroinflammation Mediator
Production from Microglia via NF-kappa B and MAPK Pathways.
AB - OBJECTIVE: To investigate the anti-neuroinflammation effect of extract of Fructus
Schisandrae chinensis (EFSC) on lipopolysaccharide (LPS)-induced BV-2 cells and
the possible involved mechanisms. METHODS: Primary cortical neurons were isolated
from embryonic (E17-18) cortices of Institute of Cancer Research (ICR) mouse
fetuses. Primary microglia and astroglia were isolated from the frontal cortices
of newborn ICR mouse. Different cells were cultured in specific culture medium.
Cells were divided into 5 groups: control group, LPS group (treated with 1 MUg/mL
LPS only) and EFSC groups (treated with 1 MUg/mL LPS and 100, 200 or 400 mg/mL
EFSC, respectively). The effect of EFSC on cells viability was tested by
methylthiazolyldiphenyl-tetrazolium bromide (MTT) colorimetric assay. EFSC
mediated inhibition of LPS-induced production of pro-inflammatory mediators, such
as nitrite oxide (NO) and interleukin-6 (IL-6) were quantified and neuron
protection effect against microglia-mediated inflammation injury was tested by
hoechst 33258 apoptosis assay and crystal violet staining assay. The expression
of pro-inflammatory marker proteins was evaluated by Western blot analysis or
immunofluorescence. RESULTS: EFSC (200 and 400 mg/mL) reduced NO, IL-6, inducible
nitric oxide synthase (iNOS) and cyclooxygenase 2 (COX-2) expression in LPS
induced BV-2 cells (P<0.01 or P<0.05). EFSC (200 and 400 mg/mL) reduced the
expression of NO in LPS-induced primary microglia and astroglia (P<0.01). In
addition, EFSC alleviated cell apoptosis and inflammation injury in neurons
exposed to microglia-conditioned medium (P<0.01). The mechanistic studies
indicated EFSC could suppress nuclear factor (NF)-kappa B phosphorylation and its
nuclear translocation (P<0.01). The anti-inflammatory effect of EFSC occurred
through suppressed activation of mitogen-activated protein kinase (MAPK) pathway
(P<0.01 or P<0.05). CONCLUSION: EFSC acted as an anti-inflammatory agent in LPS
induced glia cells. These effects might be realized through blocking of NF-kappa
B activity and inhibition of MAPK signaling pathways.
PMID- 29790064
TI - Cohort Study on Prognosis of Patients with Metastatic Colorectal Cancer Treated
with Integrated Chinese and Western Medicine.
AB - OBJECTIVE: To investigate the efficacy of integrated Chinese and Western medicine
(IM) in the treatment of metastatic colorectal cancer (mCRC) in a cohort study.
METHODS: The survival outcome of patients receiving IM was compared with that of
patients receiving Western medicine alone. The study design was adopted with
"continuous administration of Chinese medicine for ? 3 months" as the exposure
factor. Patients who met this exposure factor were assigned to the IM cohort
(Group A, 110 patients). Patients who did not meet this exposure factor were
assigned to the Western medicine cohort (Group B, 225 patients). The overall
survival (OS), progression-free survival (PFS), and 1st year, 2nd year, and 3rd
year survival in the two cohorts were compared. RESULTS: The median OS in Group A
and B were 18 months [95% confidence interval (CI) 15-21] and 16 months (95% CI
14-18), respectively, and the median PFS in Group A and B were 6 months (95% CI 4
7) and 5 months (95% CI 4-6), respectively. No statistically significant
differences were observed between the groups (P=0.186, P=0.223). Group A
demonstrated significantly longer OS and PFS than Group B in the following
subgroups: female patients, patients with lesions in the right half of the colon,
and those who received first-line treatment (P<0.05). In the subgroup of elderly
patients (age>65 years), the OS in Group A was longer than that in Group B
(P<0.05). CONCLUSION: IM could prolong the survival of patients with mCRC.
(Registry No. ChiCTR-IOR-17010497).
PMID- 29790066
TI - Treatment and outcome of thrombosed aneurysms of the middle cerebral artery:
institutional experience and a systematic review.
AB - Thrombosed aneurysms of the middle cerebral artery (MCA) usually show large
dimension and complex morphology with neck sclerosis and perforating vessels
originating from the sac. Only limited experiences from case reports or small
mixed series including thrombosed aneurysms in different locations are available
in literature. To systematically review all the pertinent literature, a
comprehensive literature review with the search terms "MCA, aneurysm, and
thrombosis" and a pooled analysis including our institutional series were
performed. We evaluated demographics, ruptured status, aneurysm morphology,
topography and size, thrombosis extension, treatment, complications, final
occlusion rate, and clinical outcome at follow-up. Data were individually
extracted for each patient and included in a pool for the statistical analysis.
Forty-two articles published between 1992 and 2016 were selected, including a
total of 115 patients. Most of thrombosed aneurysms were saccular (67.6%), large
or giant (86.7%), and located at the MCA bifurcation (67.3%). The treatment of
choice was surgery in more than 80% of cases compared with the endovascular
techniques, though the overall percentage of complications reported in the two
groups was similar and around 20% of cases. Clinical outcome was favorable in
more than 85% of patients after treatment. This is the first systematic review
focusing on treatment and outcome of thrombosed MCA aneurysms. Our data depict
their main angioarchictectural and clinical characteristics, proving the
feasibility of their treatment with good prognosis in a high percentage of
patients. However, complication and mortality rates of about 20 and 3.5%,
respectively, are not negligible.
PMID- 29790068
TI - Neuritin Attenuates Neuronal Apoptosis Mediated by Endoplasmic Reticulum Stress
In Vitro.
AB - Neuritin is an extracellular glycophosphatidylinositol-linked protein that
promotes neuronal survival, differentiation, function, and repair, but the exact
mechanism of this neuroprotective effect remains unclear. Meanwhile, endoplasmic
reticulum stress (ERS) induced apoptosis is attracting increased attention. In
this work, we hypothesized that neuritin inhibited ERS to protect cortical
neurons. To check this hypothesis, we exposed primary cultured cortical neurons
to oxygen and glucose deprivation (OGD) for 45 min followed by reperfusion (R) to
activate ERS. We then performed resuscitation for 6, 12, 24, and 48 h. ERS
related factors such as glucose-regulated protein 78 (GRP78), caspase-12 and CHOP
were detected by Western blotting and quantitative real-time polymerase chain
reaction assay. Apoptosis was assessed by Annexin V binding and propidium iodide
staining. Ultrastructural changes of endoplasmic reticulum were observed under a
transmission electron microscope. Results showed that GRP78 expression
significantly increased at 12, 24, and 48 h and peaked at 24 h. Caspase-12 and
CHOP expression significantly increased in a time-dependent manner at 12, 24, and
48 h. GRP78, caspase-12 and CHOP expression as well as apoptosis rate of primary
cultured neurons and the ultrastructural changes of endoplasmic reticulum in the
OGD/R + neuritin group significantly improved compared with the OGD/R group. In
conclusion, the neuroprotection function of neuritin may be involved in ERS
pathways.
PMID- 29790069
TI - Antidepressant Effects of the Ginsenoside Metabolite Compound K, Assessed by
Behavioral Despair Test and Chronic Unpredictable Mild Stress Model.
AB - Depression is a major social and health problem worldwide. Compound K (CK), an
intestinal metabolite of panaxadiol ginsenosides, has been demonstrated to
possess significant pharmacological effects on the central nervous system (CNS).
Here, we set up this study to investigate the antidepressant effect of CK, and to
explore the potential mechanisms underlying this activity. The behavioral despair
model and chronic unpredictable mild stress (CUMS) model were established in mice
or rats, respectively. Forced swimming test (FST), tail suspension test (TST) and
locomotor activity were performed in mice, while the open-field test, food
consumption and sucrose preference were assessed in rats. To investigate the
underlying mechanism, the levels of endogenous noradrenaline, dopamine (DA), 5
hydroxytryptamine (5-HT) and their metabolites in the prefrontal cortex (PFC) and
hippocampus were detected by HPLC coupled with electron detector. The dopamine
degradation enzyme (COMT and MAO) expression was measured by western blot. The
BDNF and NGF expression were investigated by immunohistochemical staining
analysis. The results showed CK (10, 30 mg/kg) intragastric administration for 14
days significantly shorten the immobility time in FST and TST, which could be
partially reversed by a D1 receptor antagonist Sch23390. For CUMS rats, CK
alleviated the depressant-like behaviors, including decreased food consumption,
spontaneous locomotor activity and lower sucrose preference, while WAY-100635, a
5-HT1A receptor antagonist, could attenuate this effect. In addition, CK
increased the levels of 5-HT, DA and their metabolites in the PFC and hippocampus
of CUMS rats, and could reverse overexpression of MAOB in PFC and hippocampus. CK
also increased the GSH and GPx activity in the hippocampus and PFC. The IHC
results revealed the BDNF and NGF expression were increased in CK-treated rats.
The obtained results indicate that CK exhibits antidepressant effects in rodents,
which may be due to the regulation of monoamine neurotransmitter concentration,
enhancement of antioxidant capacity, as well as increase of neurotrophin
expression in the CNS.
PMID- 29790067
TI - Receptor for Advanced Glycation End-Products (RAGE) Blockade Do Damage to
Neuronal Survival via Disrupting Wnt/beta-Catenin Signaling in Spinal Cord
Injury.
AB - Wnt signaling are recognized key factors in neuronal development, cell
proliferation and axonal guidance. However, RAGE effect on wnt signaling after
spinal cord injury (SCI) are poorly understood. Our study aims to explore RAGE
blockade effect on wnt signaling after SCI. We constructed Allen SCI model and
micro-injected with RAGE neutralizing antibody or IgG after injury. We determined
beta-catenin, wnt3a and its receptor frizzled-5 via Western blot. We determined
beta-catenin/NeuN expression at 2 weeks after SCI via immunofluorescence (IF). We
found that beta-catenin, wnt3a and wnt receptor frizzled5 expression were
activated after SCI at 3 days after injury. However, RAGE blockade inhibit beta
catenin, wnt3a and frizzled5 expression. We found that beta-catenin accumulation
in NeuN cells were activated after SCI via IF, however, RAGE blockade reduced
beta-catenin and NeuN positive cells. RAGE blockade attenuated number of survived
neurons and decreased area of spared white matter around the epicenter. RAGE
signaling may involved in disrupting wnt signaling to aids neuronal recovery
after SCI.
PMID- 29790070
TI - Genotyping single-sperm cells by universal MARSALA enables the acquisition of
linkage information for combined pre-implantation genetic diagnosis and genome
screening.
AB - PURPOSE: This paper aims to investigate the feasibility of performing pre
implantation genetic diagnosis (PGD) and pre-implantation genetic screening (PGS)
simultaneously by a universal strategy without the requirement of genotyping
relevant affected family members or lengthy preliminary work on linkage analysis.
METHODS: By utilizing a universal Mutated Allele Revealed by Sequencing with
Aneuploidy and Linkage Analyses (MARSALA) strategy based on low depth whole
genome sequencing (~3x), not involving specific primers' design nor the
enrichment of SNP markers for haplotype construction. Single-sperm cells and
trephectoderm cells from in vitro fertilized embryos from a couple carrying HBB
mutations were genotyped. Haplotypes of paternal alleles were constructed and
investigated in embryos, and the chromosome copy number profiles were
simultaneously analyzed. RESULTS: The universal MARSALA strategy allows the
selection of a euploid embryo free of disease mutations for in uterus transfer
and successful pregnancy. A follow-up amniocentesis was performed at 17 weeks of
gestation to confirm the PGD/PGS results. CONCLUSION: We present the first
successful PGD procedure based on genotyping multiple single-sperm cells to
obtain SNP linkage information. Our improved PGD/PGS procedure does not require
genotyping the proband or relevant family members and therefore can be applicable
to a wider population of patients when conducting PGD for monogenic disorders.
PMID- 29790071
TI - Impact of polar body biopsy on embryo morphokinetics-back to the roots in
preimplantation genetic testing?
AB - PURPOSE: Polar body biopsy (PBB) is a common technique in preimplantation genetic
testing (PGT) to assess the chromosomal status of the oocyte. Numerous studies
have been implemented to investigate the impact of biopsies on embryo
development; however, information on embryo morphokinetics is still lacking.
Hence, we investigated the impact of PBB on morphokinetic parameters in early
embryo development. METHODS: Four hundred four embryos (202 PBB, 202 control)
were retrospectively analyzed. Patients were stimulated with a gonadotropin
releasing hormone antagonist ovarian hyperstimulation protocol. After
fertilization check, embryos were incubated in a time-lapse incubator. The groups
were matched for maternal age at time of oocyte retrieval. RESULTS: Mean group
times for reaching specific developmental time points showed no significant
difference comparing embryos with PBB conducted and without. Likewise, further
subdivision of the PBB group in euploid and aneuploid embryos revealed no
differences in the early embryo morphokinetic development compared to the control
group. Aneuploidy testing revealed a high prevalence of chromosomal aberrations
for chromosomes 21, 4, 16, and 19. CONCLUSIONS: In conclusion, PBB does not
impact the morphokinetic parameters of the embryo development. PBB can be safely
applied without the risk of impairing the reproductive potential of the embryo
and can be highly recommended as safe and practicable PGT approach, especially in
countries with prevailing restrictions regarding PGT analysis.
PMID- 29790074
TI - Attentional modulation of desensitization to odor.
AB - Subjective and behavioral responsiveness to odor diminishes during prolonged
exposure. The precise mechanisms underlying olfactory desensitization are not
fully understood, but previous studies indicate that the phenomenon may be
modulated by central-cognitive processes. The present study investigated the
effect of attention on perceived intensity during exposure to a pleasant odor. A
within-subjects design was utilized with 19 participants attending 2 sessions.
During each session, participants continuously rated their perceived intensity of
a 10-minute exposure to a pleasant fragrance administered using an olfactometer.
An auditory oddball task was implemented to manipulate the focus of attention in
each session. Participants were instructed to either direct their attention
toward the sounds, but still to rate odor, or to focus entirely on rating the
odor. Analysis revealed three 50-second time windows with significantly lower
mean intensity ratings during the distraction condition. Curve fitting of the
data disclosed a linear function of desensitization in the focused attention
condition compared with an exponential decay function during distraction
condition, indicating an increased rate of initial desensitization when attention
is distracted away from the odor. In the focused-attention condition, perceived
intensity demonstrated a regular pattern of odor sensitivity occurring at
approximately 1-2 minutes intervals following initial desensitization. Spectral
analysis of low-frequency oscillations confirmed the presence of augmented
spectral power in this frequency range during focused relative to distracted
conditions. The findings demonstrate for the first time modulation of odor
desensitization specifically by attentional factors, exemplifying the relevance
of top-down control for ongoing perception of odor.
PMID- 29790073
TI - Effect of Low Back Pain Risk-Stratification Strategy on Patient Outcomes and Care
Processes: the MATCH Randomized Trial in Primary Care.
AB - BACKGROUND: The STarT Back strategy for categorizing and treating patients with
low back pain (LBP) improved patients' function while reducing costs in England.
OBJECTIVE: This trial evaluated the effect of implementing an adaptation of this
approach in a US setting. DESIGN: The Matching Appropriate Treatments to Consumer
Healthcare needs (MATCH) trial was a pragmatic cluster randomized trial with a
pre-intervention baseline period. Six primary care clinics were pair randomized,
three to training in the STarT Back strategy and three to serve as controls.
PARTICIPANTS: Adults receiving primary care for non-specific LBP were invited to
provide data 2 weeks after their primary care visit and follow-up data 2 and 6
months (primary endpoint) later. INTERVENTIONS: The STarT Back risk
stratification strategy matches treatments for LBP to physical and psychosocial
obstacles to recovery using patient-reported data (the STarT Back Tool) to
categorize patients' risk of persistent disabling pain. Primary care clinicians
in the intervention clinics attended six didactic sessions to improve their
understanding LBP management and received in-person training in the use of the
tool that had been incorporated into the electronic health record (EHR). Physical
therapists received 5 days of intensive training. Control clinics received no
training. MAIN MEASURES: Primary outcomes were back-related physical function and
pain severity. Intervention effects were estimated by comparing mean changes in
patient outcomes after 2 and 6 months between intervention and control clinics.
Differences in change scores by trial arm and time period were estimated using
linear mixed effect models. Secondary outcomes included healthcare utilization.
KEY RESULTS: Although clinicians used the tool for about half of their patients,
they did not change the treatments they recommended. The intervention had no
significant effect on patient outcomes or healthcare use. CONCLUSIONS: A resource
intensive intervention to support stratified care for LBP in a US healthcare
setting had no effect on patient outcomes or healthcare use. TRIAL REGISTRATION:
National Clinical Trial Number NCT02286141.
PMID- 29790072
TI - Effect of Social Comparison Feedback on Laboratory Test Ordering for Hospitalized
Patients: A Randomized Controlled Trial.
AB - BACKGROUND: Social comparison feedback is an increasingly popular strategy that
uses performance report cards to modify physician behavior. Our objective was to
test the effect of such feedback on the ordering of routine laboratory tests for
hospitalized patients, a practice considered overused. METHODS: This was a single
blinded randomized controlled trial. Between January and June 2016, physicians on
six general medicine teams at the Hospital of the University of Pennsylvania were
cluster randomized with equal allocation to two arms: (1) those e-mailed a
summary of their routine laboratory test ordering vs. the service average for the
prior week, linked to a continuously updated personalized dashboard containing
patient-level details, and snapshot of the dashboard and (2) those who did not
receive the intervention. The primary outcome was the count of routine laboratory
test orders placed by a physician per patient-day. We modeled the count of orders
by each physician per patient-day after the intervention as a function of trial
arm and the physician's order count before the intervention. The count outcome
was modeled using negative binomial models with adjustment for clustering within
teams. RESULTS: One hundred and fourteen interns and residents participated. We
did not observe a statistically significant difference in adjusted reduction in
routine laboratory ordering between the intervention and control physicians
(physicians in the intervention group ordered 0.14 fewer tests per patient-day
than physicians in the control group, 95% CI - 0.56 to 0.27, p = 0.50).
Physicians whose absolute ordering rate deviated from the peer rate by more than
1.0 laboratory test per patient-day reduced their laboratory ordering by 0.80
orders per patient-day (95% CI - 1.58 to - 0.02, p = 0.04). CONCLUSIONS:
Personalized social comparison feedback on routine laboratory ordering did not
change targeted behavior among physicians, although there was a significant
decrease in orders among participants who deviated more from the peer rate. TRIAL
REGISTRATION: Clinicaltrials.gov registration: #NCT02330289.
PMID- 29790075
TI - Pathways from Resilient Coping to Safer Sex Communication Among African,
Caribbean, and Black Women in Toronto, Canada: Results from a Cross-sectional
Survey.
AB - PURPOSE: African, Caribbean, and Black (ACB) women in Canada are
disproportionately impacted by HIV and other sexually transmitted infections.
Although there is reported suboptimal consistent condom use with ACB women,
limited research has explored safer sex communication among this population.
Coping frameworks highlight the role that resilient coping and condom use self
efficacy may play in facilitating safer sex communication. Structural
perspectives stress the need to explore associations between HIV vulnerabilities
and food insecurity. We examined pathways from resilient coping to safer sex
communication through the mediator of condom use self-efficacy among ACB women in
Toronto. METHOD: We conducted a cross-sectional survey with a purposive sample of
ACB women aged 16 and older across Toronto, Canada. We conducted path analysis to
test the direct effects of resilient coping on safer sex communication, and
indirect pathways through the mediator (condom use self-efficacy) while
controlling for food insecurity. RESULTS: Participant (n = 80; mean age 27, SD
7.93) ethnicities included African (58.8%, n = 47), Caribbean (30%, n = 24), and
others (11.3%, n = 9). Participants with food security reported significantly
higher safer sex communication. We found no direct effect of resilient coping on
safer sex communication. Findings support the hypothesized mediation process;
resilient coping was associated with condom use self-efficacy, which in turn was
associated with safer sex communication. CONCLUSION: Findings that condom use
self-efficacy mediated the association between resilient coping and safer sex
communication align with theoretical assertions of the protective role of
adaptive coping strategies. Findings can inform tailored HIV and STI preventive
interventions with ACB women.
PMID- 29790076
TI - A case of Jackhammer esophagus caused by eosinophilic esophagitis in which per
oral endoscopic myotomy resulted in symptom improvement.
AB - A 73-year-old female with a 6-month history of progressive dysphagia and chest
pain was referred to our hospital. She underwent esophagogastroduodenoscopy,
which revealed abnormally strong contractions in the distal esophagus. Esophageal
biopsy specimens showed massive eosinophil infiltration into the epithelium, and
high-resolution manometry (HRM) also demonstrated abnormally strong contractions
in the distal esophagus. Based on these results, she was diagnosed with
Jackhammer esophagus (JHE) due to eosinophilic esophagitis (EoE). Treatment was
started with 5 mg/day of prednisolone (PSL), and the number of peripheral blood
eosinophils quickly decreased without any improvement in the patient's dysphagia.
Esophageal biopsy specimens obtained after the PSL treatment showed the
disappearance of eosinophils from the epithelium. However, abnormally strong
contractions were still detected on HRM. Per-oral endoscopic myotomy (POEM) was
performed to treat the JHE. Interestingly, the intraoperative esophageal muscle
biopsy sample demonstrated massive eosinophil infiltration into the muscle layer.
After the POEM, the patient's symptoms improved, and abnormal contractions were
no longer detected on HRM. The current case suggests that when EoE combined with
an esophageal motility disorder are refractory to steroid therapy, clinicians
should be aware that motility disorders can develop due to eosinophil
infiltration deep into the esophageal muscularis propria.
PMID- 29790077
TI - From ideas to long-term studies: 3D printing clinical trials review.
AB - PURPOSE: Although high costs are often cited as the main limitation of 3D
printing (3DP) in the medical field, current lack of clinical evidence is
asserting itself as an impost as the field begins to mature. The aim is to review
clinical trials in the field of 3DP, an area of research which has grown
dramatically in recent years. METHODS: We surveyed clinical trials registered in
15 primary registries worldwide, including ClinicalTrials.gov. All trials which
utilized 3DP in a clinical setting were included in this review. Our search was
performed on December 15, 2017. Data regarding the purpose of the study,
inclusion criteria, number of patients enrolled, primary outcomes, centers, start
and estimated completion dates were extracted. RESULTS: A total of 92 clinical
trials with [Formula: see text]252 patients matched the criteria and were
included in the study. A total of 42 (45.65%) studies cited China as their
location. Only 10 trials were multicenter and 2 were registered as international.
The discipline that most commonly utilized 3DP was Orthopedic Surgery, with 25
(27.17%) registered trials. At the time of data extraction, 17 (18.48%) clinical
trials were complete. CONCLUSIONS: After several years of case reports,
feasibility studies and technical reports in the field, larger-scale studies are
beginning to emerge. There are almost no international register entries. Although
there are new emerging areas of study in disciplines that may benefit from 3DP,
it is likely to remain limited to very specific applications.
PMID- 29790078
TI - A PRM approach for early prediction of breast cancer response to chemotherapy
based on registered MR images.
AB - PURPOSE: This study aims to provide and optimize a performing algorithm for
predicting the breast cancer response rate to the first round of chemotherapy
using Magnetic Resonance Imaging (MRI). This provides an early recognition of
breast tumor reaction to chemotherapy by using the Parametric Response Map (PRM)
method. METHODS: PRM may predict the breast cancer response to chemotherapy by
analyzing voxel-by-voxel temporal intra-tumor changes during one round of
chemotherapy. Indeed, the tumor recognizes intra-tumor changes concerning its
vascularity, which is an important criterion in the present study. This method is
mainly based on spatial image affine registration between the breast tumor MRI
volumes, acquired before and after the first cycle of chemotherapy, and region
growing segmentation of the tumor volume. To evaluate our method, we used a
retrospective study of 40 patients provided by a collaborating institute.
RESULTS: PRM allows a color map to be created with the percentages of positive,
negative and stable breast tumor response during the first round of chemotherapy,
identifying each region with its response rate. We assessed the accuracy of the
proposed method using technical and medical validation methods. The technical
validation was based on landmarks-based registration and fully manual
segmentation. The medical evaluation was based on the accuracy calculation of the
standard reference of anatomic pathology. The p-values and the Area Under the
Curve (AUC) of the Receiver Operating Characteristics were calculated to evaluate
the proposed PRM method. CONCLUSION: We performed and evaluated the proposed PRM
method to study and analyze the behavior of a tumor during the first round of
chemotherapy, based on the intra-tumor changes of MR breast tumor images. The AUC
obtained for the PRM method is considered as relevant in the early prediction of
breast tumor response.
PMID- 29790079
TI - Impact of Spirituality/Religiousness on Cyber Bullying and Victimization in
University Students: Mediating Effect of Emotional Intelligence.
AB - The aim of the study was to explore the relationship between
spirituality/religiousness with cyber bullying and victimization amongst Indian
University students and whether emotional intelligence mediates the relationship.
Data were collected from 490 University students studying in undergraduate and
postgraduate courses across India. IBM AMOS was used to find reliability and
validity of instruments and PROCESS macro for IBM SPSS by Preacher and Hayes
(Behav Res Methods 36(4): 717-731, 2004) was used for conducting mediation
analyses. Both spiritual and existential well-being were found negatively related
with cyber bullying and victimization. As far as mediation goes, the negative
relationships between spiritual and existential well-being with that of cyber
bullying and victimization were significantly mediated by Appraisal of Self
Emotions, Appraisal of Other's Emotions and Regulation and control of Emotions
dimensions of emotional intelligence. Implication and future directions are also
discussed.
PMID- 29790080
TI - Religion and Body Weight Among African-American Adults Attempting to Lose Weight:
An Exploratory Study.
AB - Religion and body weight was explored at two time points among overweight and
obese African-American adults. Baseline and follow-up data were collected from 26
adults participating in a weight loss intervention and analyzed using multiple
regression analyses of religious measures, body weight, and other variables.
Frequent church attendance was significantly associated with greater weight lost
from baseline to 16-week follow-up. In this exploratory study, religious
interactions and experiences may be involved in shaping body weight among African
Americans attempting to lose weight.
PMID- 29790081
TI - Transplant renal vein thrombosis in a recipient with aberrant venous anatomy.
AB - Renal vein thrombosis in a transplanted kidney is an uncommon but critical
complication that can result in graft loss if management is delayed. A 31-year
old male with known atresia of the inferior vena cava who received a deceased
donor renal transplant 7 years previously presented to hospital with severe graft
site pain and a week of nausea, vomiting, and chills. Serum creatinine was
markedly elevated from baseline. Sonographic examination revealed external iliac
vein thrombosis with extension of the thrombus into the transplant renal vein.
Urgent angiographic administration of tissue plasminogen activator and suction
thrombectomy was performed, then followed by heparin and clopidogrel post
procedure. Within 24 h, his serum creatinine improved, and within 2 weeks
returned to his baseline. He was started on lifelong warfarin anti-coagulation to
reduce the risk of rethrombosis secondary to his uncorrectable aberrant venous
anatomy. Due to the turbulent and sometimes reversed flow in the major veins,
lifelong anticoagulation should be strongly considered for such transplant
patients with recipient aberrancy of the large veins.
PMID- 29790082
TI - Chronic Administration of Pimozide Fails to Attenuate Motor and Pathological
Deficits in Two Mouse Models of Amyotrophic Lateral Sclerosis.
AB - Amyotrophic lateral sclerosis (ALS) is a fatal neurodegenerative disease which
presently does not have any efficient therapeutic approach. Pimozide, a Food and
Drug Administration (FDA)-approved neuroepileptic drug, has been recently
proposed as a promising treatment for ALS patients based on apparent
stabilization of right hand muscles after a short-time administration. A new
clinical trial started at the end of 2017 to recruit patients with a prolonged
drug delivery schedule. Here, our aim was to investigate the effects of chronic
administration of pimozide on disease progression and pathological events in two
mouse models of ALS. Pimozide was administered every 2 days to transgenic mice
bearing the ALS-linked A315T mutation on the human TAR DNA-binding protein 43
(TDP-43) gene and to mice carrying the human superoxide dismutase 1 (SOD1) gene
with the ALS-linked G93A mutation. Chronic administration of pimozide exacerbated
motor performances in both animal models and reduced survival in SOD1G93A mice.
In TDP-43A315T, it decreased the percentage of innervated neuromuscular junctions
(NMJs) and increased the accumulation of insoluble TDP-43. In SOD1G93A mice,
pimozide had no effects on NMJ innervation or motoneuron loss, but it increased
the levels of misfolded SOD1. We conclude that a chronic administration of
pimozide did not confer beneficial effects on disease progression in two mouse
models of ALS. In light of a new clinical trial on ALS patients with a chronic
regime of pimozide, these results with mouse models suggest prudence and careful
monitoring of ALS patients subjected to pimozide treatment.
PMID- 29790083
TI - Point quantification elastography in the evaluation of liver elasticity in
healthy volunteers: a reliability study based on operator expertise.
AB - PURPOSE: The assessment of liver fibrosis is essential in the management of
patients with chronic liver diseases. Liver biopsy is considered the gold
standard procedure for this purpose, though the recent development of new
elastosonographic techniques to measure liver stiffness (LS) noninvasively is
promising. Point quantification elastography (PQE) showed good results but less
is known about the level of skill needed to obtain reliable results. The aim of
the study was to evaluate the reproducibility of PQE in assessing LS in healthy
subjects comparing three operators with different expertise. METHODS: Between
December 2012 and April 2013, 50 consecutive healthy volunteers (18 males, 32
females), median age 30 years (range 25-66) and BMI 22.4 (range 16.7-33.6) were
submitted to PQE (iU22 Philips, Bothell, WA, USA) by three operators: two US and
elastography providers (one expert and one with intermediate skill) and a skilled
transient elastography (Fibroscan) operator with no expertise in US. Intra- and
inter-observer agreements were assessed by intraclass correlation coefficient
(ICC). RESULTS: PQE measurement was obtained in all subjects by all evaluators.
No significant differences of mean liver stiffness were found among operators (P
= 0.980). Intra-observer agreement was excellent 0.918 (0.941 for expert, 0.917
for intermediate and 0.888 for novice). The ICC of the inter-observer agreement
among the three ratters was excellent (0.882) and was higher in normal than
overweight patients (0.923 vs. 0.603; P = 0.011). CONCLUSION: PQE is a reliable
and reproducible non-invasive method for the assessment of LE, and can be
performed also by a non-experienced operator.
PMID- 29790084
TI - Cloning and expression of nlpA gene as DNA vaccine candidate against
Acinetobacter baumannii.
AB - Acinetobacter baumannii is one of the highly antibiotic-resistant bacteria that
cause infections with high rate of death. This bacterium is one the common causes
of infection worldwide leading to endemic and epidemic nosocomial infections.
Despite many efforts, there is no effective vaccine against A. baumannii. As NlpA
is one of the important antigenic factors in biogenesis of outer membrane
vesicles, and OMV-based reported vaccines in A. baumannii stimulated the immune
responses, this study was aimed to clone and express nlpA gene in eukaryotic HDF
cells and evaluate the induced immunization following the administration of
resulting construct as DNA vaccine in BALB/c mice. The nlpA gene of A. baumannii
was amplified using PCR. The PCR product was then cloned and subcloned into the
pTZ57R/T and pEGFP-C2 vectors respectively. The cloning was confirmed by PCR,
restriction enzyme digestion and DNA sequencing. The pEGFP-C2-nlpA recombinant
plasmid was transferred into the HDF cells using electroporation and the
expression of target gene was validated by RT-PCR. The recombinant construct was
injected to BALB/c mice through three IM injections and the levels of IgG, IgM,
INF-gamma, IL-2, IL-4, and IL-12 were determined using ELISA assay. The A.
baumannii nlpA gene was amplified during PCR as 867 bp band which was
successfully cloned in pEGFP-C2-nlpA vector. Obtained data from RT-PCR and
presence of the 867 bp fragment in transformed HDF cells confirmed the nlpA gene
expression. Following the injection of pEGFP-C2-nlpA showed the increased level
of IgG, IgM, INF-gamma, IL-2, IL-4, and IL-12 in serum of immunized mice.
Overall, through this study recombinant pEGFP-C2-nlpA was generated and
successfully expressed the A. baumannii nlpA gene in eukaryotic cells.
Additionally, our in vivo study confirmed that the recombinant construct capable
to induce the immune response in immunized mice. These findings suggest the pEGFP
C2-nlpA may be considered as DNA vaccine candidate against A. baumannii.
PMID- 29790085
TI - White Matter Microstructure in Bipolar Disorder Is Influenced by the Interaction
between a Glutamate Transporter EAAT1 Gene Variant and Early Stress.
AB - Glutamate is the principal excitatory neurotransmitter in the central nervous
system. In mature brains, it is critically involved in neuroplasticity and, at
high levels, neurotoxicity. The concentrations of glutamate in the extracellular
space are maintained at low physiological levels by molecular glutamate
transporters (excitatory amino acid transporters-EAATs). Adverse childhood
experiences (ACEs) are highly reported in bipolar disorder (BD) and interact with
the glutamatergic system in the brain. The aim of the study is to investigate the
effect of a glutamate transporter polymorphism EAAT2-181A > C (rs4354668) and
exposure to ACE on white matter microstructure in patients with BD. We assessed
175 bipolar subjects using diffusion tensor imaging, Risky Families
Questionnaire, and EEAT2 rs4354668 variants. We observed an interaction between
ACE and rs4354668: carriers of the G allele showed lower axial diffusivity
compared to T/T homozygotes when exposed to high stress and higher axial
diffusivity than T/T when exposed to low stress. Since the mutant G allele has
been associated with a reduced transcriptional activity and expression of the
transporter protein, and early stress is associated with a reduced expression of
the EAAT2, we could hypothesize that after exposure to high levels of ACE G/G
homozygotes are more vulnerable to stress reporting the highest damage as a
consequence of an excess of free glutamate.
PMID- 29790086
TI - Testosterone-mediated activation of androgenic signalling sustains in vitro the
transformed and radioresistant phenotype of rhabdomyosarcoma cell lines.
AB - PURPOSE: Rhabdomyosarcoma (RMS), the most common soft-tissue sarcoma in
childhood, rarely affects adults, preferring male. RMS expresses the receptor for
androgen (AR) and responds to androgen; however, the molecular action of
androgens on RMS is unknown. METHODS: Herein, testosterone (T) effects were
tested in embryonal (ERMS) and alveolar (ARMS) RMS cell lines, by performing
luciferase reporter assay, RT-PCR, and western blotting experiments. RNA
interference experiments or bicalutamide treatment was performed to assess the
specific role of AR. Radiation treatment was delivered to characterise the
effects of T treatment on RMS intrinsic radioresistance. RESULTS: Our study
showed that RMS cells respond to sub-physiological levels of T stimulation,
finally promoting AR-dependent genomic and non-genomic effects, such as the
transcriptional regulation of several oncogenes, the phosphorylation-mediated
post-transductional modifications of AR and the activation of ERK, p38 and AKT
signal transduction pathway mediators that, by physically complexing or not with
AR, participate in regulating its transcriptional activity and the expression of
T-targeted genes. T chronic daily treatment, performed as for the hormone
circadian rhythm, did not significantly affect RMS cell growth, but improved RMS
clonogenic and radioresistant potential and increased AR mRNA both in ERMS and
ARMS. AR protein accumulation was evident in ERMS, this further developing an
intrinsic T-independent AR activity. CONCLUSIONS: Our results suggest that
androgens sustain and improve RMS transformed and radioresistant phenotype, and
therefore, their therapeutic application should be avoided in RMS post puberal
patients.
PMID- 29790087
TI - Correlation Between Clinical and Pathologic Staging in Colon Cancer: Implications
for Neoadjuvant Treatment.
AB - BACKGROUND: Recent randomized trials suggest improved outcomes in patients with
locally advanced colon cancer (LACC) treated with neoadjuvant chemotherapy (NAC).
Optimal selection of patients for NAC depends on accurate clinical staging. The
purpose of this study was to examine the degree of correlation between clinical
and pathologic staging in patients with colon cancer (CC). METHODS: Adult
patients with non-metastatic CC who underwent surgery were identified from the
National Cancer Data Base between 2006 and 2014. Data on clinical and pathologic
staging was obtained. Kappa index was used to determine the correlation between
clinical and pathologic staging. RESULTS: One hundred five thousand five hundred
sixty-nine patients were identified. The overall correlation rate between
clinical and pathologic staging for T stage was 80% (kappa 0.7) and 83% for N
stage (kappa 0.6). The correlation rate was 54% for T1, 76% for T2, 95% for T3,
and 94% for T4 (P < 0.001). This compared with 81% for N0, 82% for N1, and 97%
for N2 (P < 0.001). The sensitivity and specificity of clinical staging for
identifying T3/T4 vs T1/T2 were 80 and 98%, respectively, compared to 60 and 98%
for N1/N2 vs N0 (P < 0.001). CONCLUSIONS: Our findings suggest that current
modalities used for clinical staging are accurate in predicting pathologic stage
for advanced but not early T and N disease. Further optimization of clinical
staging is essential for the accurate selection of patients who may benefit from
neoadjuvant therapy and to avoid overtreatment of low-risk patients.
PMID- 29790088
TI - Three New Heptelidic Acid Derivatives from the Culture of Mushroom Lentinellus
ursinus.
AB - Three new heptelidic acid derivatives (1-3) including two new dimeric esters and
two known heptelidic acid analogues (4 and 5) were isolated from the solid
culture of mushroom Lentinellus ursinus. The structures of new compounds were
confirmed by the analysis of NMR and HRESIMS spectroscopic data. The biosynthetic
origin of compounds 1-5 was postulated. Compounds 1-5 exhibited no antibacterial
activity against Staphylococcus aureus and Escherichia coli at the dose of 100
MUM.
PMID- 29790089
TI - Red drum Sciaenops ocellatus growth and expression of bile salt-dependent lipase
in response to increasing dietary lipid supplementation.
AB - Sciaenops ocellatus has a long history in aquaculture and many difficulties
associated with its commercial culture have been addressed and successfully
resolved; nevertheless, further research in lipid nutrition could address more
comprehensive questions on the way these nutrients are utilized. The purpose of
this study was to evaluate S. ocellatus growth and lipase gene expression in
response to increasing dietary lipid supplementation. Four experimental diets
were formulated to provide 3, 10, 16, or 23% lipid using menhaden fish oil.
Twenty juveniles (mean initial weight 2.3 +/- 0.1 g) were stocked per aquaria in
a recirculating system; each diet was assigned to three aquaria and fed to fish
for 6 weeks. At the end of the study, fish fed 3% of dietary lipid were
significantly (P < 0.0001) smaller and showed significantly lower feed
efficiency, condition factor, hepatosomatic index, and intraperitoneal fat than
fish fed the other diets, but no differences were observed among fish fed 10, 16,
or 23% lipid. A straight broken-line regression model for thermal growth
coefficient provided an estimated value of 9.4% of dietary lipid as the optimal
inclusion level. The bile salt-dependent lipase (BSDL) of red drum was 80.3 kDa.
Relative gene expression of BSDL was significantly higher (P = 0.0007) in fish
fed 10% lipid, with no differences among the other dietary treatments. Results
provided could help monitor the metabolic status of farmed fish and contribute to
optimize diet formulations based on maximum gene expression of BSDL for
supplementation of dietary lipid.
PMID- 29790090
TI - Enhancement of broodstock health and maternal immunity in gilthead seabream
(Sparus aurata L.) using ExcelMOS(r).
AB - The current study was conducted to investigate the effect of ExcelMOS(r) in
enhancing the immune system of Sparus aurata broodstock and their impact on
offspring health through displaying the maternal transfer of immunity. Broodstock
were divided into two groups: one was injected intraperitoneally with ExcelMOS(r)
1 month before spawning, while the other group was used as a control (without
injection). Comprehensive increase in survival rate was observed for larvae
hatched from ExcelMOS(r)-injected broodstock than those of the control (P <=
0.05). Hematological analysis showed increases in leukocyte count and hematocrit
percentage (P <= 0.05) and significant enhancement in immune assays as
phagocytic, respiratory burst, lysozyme activities in ExcelMOS(r)-injected
broodstock (P <= 0.05). Additionally, total immunoglobulin levels in the serum,
eggs, and larvae resulted from ExcelMOS(r)-injected broodstock were highly
significant (P <= 0.05) than those in the control ones. Transmission electron
microscopy and semi-thin sections in posterior intestine of ExcelMOS(r)-injected
broodstock revealed reinforcement of the epithelial barrier structure, intestinal
integrity, and functionality in combination with the stimulation of innate immune
system. In conclusion, immunostimulation of Sparus aurata broodstock using
ExcelMOS(r) has improved survival of larvae and enhanced both innate and adaptive
immune defense mechanisms. Further investigations are required to show the effect
of ExcelMOS(r) on fish cultured in intensive culture systems.
PMID- 29790091
TI - Effects of acute hyperglycemia stress on plasma glucose, glycogen content, and
expressions of glycogen synthase and phosphorylase in hybrid grouper (Epinephelus
fuscoguttatus ? * E. lanceolatus ?).
AB - In the present study, the hybrid grouper (Epinephelus fuscoguttatus ? * E.
lanceolatus ?), a typical carnivorous fish, was chosen as a model to investigate
the regulation of glycogen metabolism owning to its characteristic of glucose
intolerance. The variation of plasma glucose concentration, glycogen content, and
expressions of glycogen metabolism-related genes under acute hyperglycemia stress
were measured. Following glucose administration, plasma glucose concentration
increased immediately, and the glucose level remained elevated for at least 12 h.
The prolonged glucose clearance and hyperglycemia revealed glucose intolerance of
this fish species. Meanwhile, the glycogen content in both liver and muscle
changed significantly during the clearance of plasma glucose. However, the peak
value of hepatic glycogen (1 and 12 h post injection) appeared much earlier than
muscle (3 and 24 h post injection). To investigate the regulation of glycogen
metabolism from molecular aspect, the complete coding sequence (CDS) of glycogen
synthase (GS) and glycogen phosphorylase (GP) in both liver and muscle types were
obtained, encoding a polypeptide of 704, 711, 853, and 842 amino acid residues,
respectively. The results of gene expression analysis revealed that the
expression of liver type and muscle type GS was significantly higher than other
time points at 12 and 24 h post glucose injection, respectively. Meanwhile, the
highest expressions of GP in both liver and muscle types occurred at 24 h post
glucose injection. The response of GS and GP to glucose load may account for the
variation of glycogen content at the transcriptional level to some extent.
PMID- 29790093
TI - Erratum to: Decellularization Methods for Scaffold Fabrication.
AB - The publisher regrets that an author was not mentioned in the chapter by mistake.
The details of the author are provided below:Archna Dhasmana - Department of
Polymer and Process Engineering, Indian Institute of Technology, Roorkee, India.
PMID- 29790092
TI - Effects of olive leaf powder supplemented to fish feed on muscle protein of red
sea bream.
AB - Olive leaf is known to have the high polyphenol content of 6-9% in dry weight. We
investigated the effects of olive leaf powder (OLP) supplemented to fish feed on
muscle protein of red sea bream (Pagrus major). Fish reared with feed containing
8% OLP for 40 days had 1.4 times higher myofibril content and 2.2 times higher
acid-soluble collagen content than fish reared with control feed for the same
period. On the other hand, sarcoplasmic protein content and collagenase activity
of the muscle were almost the same between the control fish and OLP-diet fish.
Microstructure observation of fish muscle showed that OLP-diet fish has more
rigid endomysium structure than that of the control-diet fish. Since collagen
fiber in endomysium is responsible for the texture of the muscle, feeding OLP to
aquaculture fish will lead to a harder muscle texture. The present study suggests
that OLP is a useful feed additive to enhance the texture of aquaculture red sea
bream muscle through strengthening of the collagen structure in the muscle.
PMID- 29790094
TI - Isolation of Cancer Stem Cells from Squamous Cell Carcinoma.
AB - Different cancer stem cell (CSC) populations can be found in many types of
cancer, including squamous cell carcinoma (SSC). Diverse reports showed that CSC
play a crucial role in the relapse of different types of cancer. CSC sustains
tumor growth due to their capacity to self-renew and their potential to initiate
secondary tumors with metastatic cancer features. Therefore, the development of
methods for the isolation of CSC is a key step to explore the mechanisms
underlying CSC maintenance. In this chapter, we provide a method for isolating
CSC from cutaneous SSC using immunofluorescence labeling to allow the specific
purification of CSC by fluorescence-activated cell sorting (FACS). This method is
based on the use of CSC membrane markers, allowing as well the isolation CSC from
different mouse strains.
PMID- 29790095
TI - Full-Thickness Human Skin Equivalent Models of Atopic Dermatitis.
AB - Atopic dermatitis is a chronic inflammatory skin disease caused by complex
multifactorial etiology. In the recent years, there have been significant
advances in tissue engineering and the generation of in vitro skin models
representative of healthy and diseased states. This chapter describes the
methodology for the fabrication of in vitro human skin equivalent (HSE) from
human keratinocytes and fibroblasts using a fibrin-based dermal matrix and serum
free culture conditions. Modification of the culture conditions with the
supplementation of Th2 cytokines such as interleukin-4 induces the development of
atopic dermatitis-like skin model. The chapter also describes the histological
and immunohistochemical tools for characterization of the HSE model. The
reconstruction of tissue-engineered HSE models that recapitulate the essential
features of atopic dermatitis provides powerful tools for deeper understanding of
the underlying pathological mechanisms on epidermal level, identification and
testing of novel treatment options, and safety and toxicological evaluation in a
pathophysiologically relevant system.
PMID- 29790096
TI - Metabolomic and Proteomic Analyses of Mouse Primordial Germ Cells.
AB - Primordial germ cells (PGCs), the precursors of gametes, are the only cells
capable of acquiring totipotency upon fertilization, but the molecular mechanisms
regulating germ cell characteristics have not been fully elucidated. Although
intracellular metabolic status and regulation are responsible for the control of
cell function and differentiation, little is known about the metabolic features
of PGCs. Here, we describe use of an integrated metabolomic, proteomic, and
energy metabolic analysis method to comprehensively elucidate the metabolic
characteristics of PGCs using mass spectrometry.
PMID- 29790097
TI - Sex differences in navigation strategy and efficiency.
AB - Research on human navigation has indicated that males and females differ in self
reported navigation strategy as well as objective measures of navigation
efficiency. In two experiments, we investigated sex differences in navigation
strategy and efficiency using an objective measure of strategy, the dual-solution
paradigm (DSP; Marchette, Bakker, & Shelton, 2011). Although navigation by
shortcuts and learned routes were the primary strategies used in both
experiments, as in previous research on the DSP, individuals also utilized route
reversals and sometimes found the goal location as a result of wandering.
Importantly, sex differences were found in measures of both route selection and
navigation efficiency. In particular, males were more likely to take shortcuts
and reached their goal location faster than females, while females were more
likely to follow learned routes and wander. Self-report measures of strategy were
only weakly correlated with objective measures of strategy, casting doubt on
their usefulness. This research indicates that the sex difference in navigation
efficiency is large, and only partially related to an individual's navigation
strategy as measured by the dual-solution paradigm.
PMID- 29790098
TI - Three-step method for transesophageal echocardiography-guided implantation of the
frozen elephant trunk: how to prevent spinal cord injury.
AB - Total aortic arch replacement using the frozen elephant trunk (FET) procedure has
widely spread all over the world with more sophisticated devices. However, spinal
cord injury is one of the most important complications, which limits the use of
FET. In our hospital, 80 patients treated with FET had no spinal cord injury.
There are three key points to avoid spinal cord injury, (1) The distal end of
stent graft should be positioned up to the eighth thoracic vertebrae level; (2)
the time for selective left subclavian artery perfusion and distal body
circulatory arrest should be shortened within 60 min; and (3) mean blood pressure
should be maintained above 70 mmHg. Especially, Key point (1) is the most
important. The level of aortic valve, which locates around the seventh thoracic
vertebrae level, is an appropriate benchmark. We implanted the stent graft with
the use of transesophageal echocardiography guided three-step method.
PMID- 29790099
TI - The changes underwent by free fat pads used for pulmonary air leakage repair.
AB - The use of free fat pads is effective for repairing pulmonary air leakage
clinically. It has been reported that the free pericardial fat pad (FPFP) used to
repair the lung remained at the transplanted site in many cases according to
images. However, no information is available regarding what type of actual
changes these free fat pads with no blood supply undergo. We examined changes in
an FPFP that was used to repair pulmonary air leakage in a patient who underwent
a surgery for lung cancer 1 year ago. Although the FPFP transplanted to the
pleural defect remained without deforming morphologically in this patient 1 year
after the first surgery, pathological findings suggested fat necrosis surrounded
by fibrous tissue. Our findings suggest that while free fat pads used for
pulmonary air leakage repair presumably are not engrafted, they should remain on
the lung surface in a thoracolithiasis-like condition.
PMID- 29790101
TI - Medical Student Exposure to Integrated Behavioral Health.
AB - OBJECTIVE: Integrated behavioral health (IBH) allows for effective care delivery
for patients with mental health and behavioral health disorders in primary care
settings. This study assesses the state of exposure current medical students have
to the IBH model in family medicine clerkships, in order to augment the readiness
of students to participate in IBH as developing professionals. METHODS: Clerkship
directors at US and Canadian medical schools with a required family medicine run
course (n = 141) were asked to estimate the percentage of students exposed to IBH
in their clerkships, as part of the Council of Academic Family Medicine
Educational Research Alliance (CERA) 2016 survey. RESULTS: The response rate was
86% (n = 118). Forty-four percent of clerkship directors reported that 0-20% of
students are exposed to the IBH model in their clerkships. A comparison of
schools with low and high exposure showed no significant differences among
clerkship characteristics. CONCLUSIONS: A majority of medical students in the USA
and Canada are not exposed to IBH models during their primary care clerkship.
Larger systematic studies are needed to elucidate the steps necessary to prepare
graduating medical students to collaborate in IBH models.
PMID- 29790102
TI - Classification of malignant and benign lung nodules using taxonomic diversity
index and phylogenetic distance.
AB - Lung cancer presents the highest cause of death among patients around the world,
in addition of being one of the smallest survival rates after diagnosis.
Therefore, this study proposes a methodology for diagnosis of lung nodules in
benign and malignant tumors based on image processing and pattern recognition
techniques. Mean phylogenetic distance (MPD) and taxonomic diversity index
(Delta) were used as texture descriptors. Finally, the genetic algorithm in
conjunction with the support vector machine were applied to select the best
training model. The proposed methodology was tested on computed tomography (CT)
images from the Lung Image Database Consortium and Image Database Resource
Initiative (LIDC-IDRI), with the best sensitivity of 93.42%, specificity of
91.21%, accuracy of 91.81%, and area under the ROC curve of 0.94. The results
demonstrate the promising performance of texture extraction techniques using mean
phylogenetic distance and taxonomic diversity index combined with phylogenetic
trees. Graphical Abstract Stages of the proposed methodology.
PMID- 29790100
TI - The Neurogenesis Actuator and NR2B/NMDA Receptor Antagonist Ro25-6981
Consistently Improves Spatial Memory Retraining Via Brain Region-Specific Gene
Expression.
AB - NR2B-containing NMDA (NR2B/NMDA) receptors are important in controlling
neurogenesis and are involved in generating spatial memory. Ro25-6981 is a
selective antagonist at these receptors and actuates neurogenesis and spatial
memory. Inter-structural neuroanatomical profiles of gene expression regulating
adult neurogenesis and neuroapoptosis require examination in the context of
memory retrieval and reversal learning. The aim was to investigate spatial memory
retrieval and reversal learning in relation to gene expression-linked
neurogenetic processes following blockade of NR2B/NMDA receptors by Ro25-6981.
Rats were trained in Morris water maze (MWM) platform location for 5 days. Ro25
6981 was administered (protocol days 6-7) followed by retraining (days 15-18 or
29-32). Platform location was tested (on days 19 or 33) then post-mortem brain
tissue sampling (on days 20 or 34). The expression of three genes known to
regulate cell proliferation (S100a6), differentiation (Ascl1), and apoptosis
(Casp-3) were concomitantly evaluated in the hippocampus, prefrontal cortex, and
cerebellum in relation to the MWM performance protocol. Following initial
training, Ro25-6981 enhanced visuospatial memory retrieval performance during
further retraining (protocol days 29-32) but did not influence visuospatial
reversal learning (day 33). Hippocampal Ascl1 and Casp-3 expressions were
correspondingly increased and decreased while cerebellar S100a6 and Casp-3
activities were decreased and increased respectively 27 days after Ro25-6981
treatment. Chronological analysis indicated a possible involvement of new mature
neurons in the reconfiguration of memory processes. This was attended by
behavioral/gene correlations which revealed direct links between spatial memory
retrieval enhancement and modified gene activity induced by NR2B/NMDA receptor
blockade and upregulation.
PMID- 29790103
TI - Growth and Puberty in a 2-Year Open-Label Study of Lisdexamfetamine Dimesylate in
Children and Adolescents with Attention-Deficit/Hyperactivity Disorder.
AB - BACKGROUND: Stimulant medications for the treatment of attention
deficit/hyperactivity disorder have a history of safe and effective use; however,
concerns exist that they may adversely affect growth trajectories in children and
adolescents. OBJECTIVE: The objective of this study was to evaluate the longer
term effects of lisdexamfetamine dimesylate on weight, height, body mass index
and pubertal development in children and adolescents with attention
deficit/hyperactivity disorder. METHODS: Children and adolescents aged 6-17 years
with attention-deficit/hyperactivity disorder took open-label lisdexamfetamine
dimesylate (30, 50 or 70 mg/day) in this open-label 2-year safety and efficacy
study. Safety evaluations included treatment-emergent adverse events, measurement
of weight, height and body mass index, and self-reported pubertal status using
Tanner staging. RESULTS: The safety analysis population comprised all enrolled
participants (N = 314) and 191 (60.8%) completed the study. Weight decrease was
reported as a treatment-emergent adverse event in 63 participants (20.1%) and two
participants (0.6%) discontinued the study as a result of treatment-emergent
adverse events of weight decrease. Growth retardation of moderate intensity was
reported as a treatment-emergent adverse event for two participants. From
baseline to the last on-treatment assessment, there were increases in mean weight
of 2.1 kg (standard deviation 5.83) and height of 6.1 cm (standard deviation
4.90), and a body mass index decrease of 0.5 kg/m2 (standard deviation 1.72).
Mean weight, height and body mass index z-scores decreased over the first 36
weeks of the study and then stabilised. Changes from baseline to the last on
treatment assessment in mean z-scores for weight, height and body mass index were
significantly less than zero (- 0.51, - 0.24 and - 0.59, respectively; nominal p
< 0.0001). The proportion of participants with a z-score of < - 1 ranged from
5.1% (baseline) to 22.1% (week 84) for weight, 8.2% (baseline) to 12.6% (week 96)
for height, and 8.3% (baseline) to 28.8% (week 96) for body mass index. Thirteen
participants (4.1%) shifted to a weight below the fifth percentile at the last on
treatment assessment from a higher weight category at baseline. At the last on
treatment assessment, most participants remained at their baseline Tanner stage
or had shifted higher. CONCLUSIONS: Findings from this comprehensive examination
of growth outcomes associated with lisdexamfetamine dimesylate treatment over 2
years were consistent with previous studies of stimulant medications. Whilst mean
weight and height increased over the course of the study, there was a small but
transient reduction in mean weight, height and body mass index z-scores. A small
increase in the proportion of participants in the lowest weight and body mass
index categories highlights the importance of the regular monitoring of weight
and height. There was no evidence of delayed onset of puberty. CLINICALTRIALS.
GOV IDENTIFIER: NCT01328756.
PMID- 29790104
TI - Beneficial Effects of Antioxidant Furfuryl Palmitate in Non-pharmacologic
Treatments (Prescription Emollient Devices, PEDs) for Atopic Dermatitis and
Related Skin Disorders.
AB - INTRODUCTION: Atopic dermatitis (AD) is a common chronic inflammatory skin
disease; it requires long-term treatments focused on symptomatic relief. Current
first-line treatments include moisturizers and topical corticosteroids. Recently,
topical antioxidants have been added to moisturizer formulations to alleviate
mild-to-moderate AD. The aim of this review was to evaluate the efficacy and
tolerability of furfuryl palmitate, a new antioxidant molecule, and furfuryl
derivatives. METHODS: A PubMed/Google Scholar search was conducted using the term
"furfuryl palmitate" (and its derivatives, including AR-GG27(r)) combined with
"skin," "atopic dermatitis," and "atopic eczema." Existing trials including adult
and pediatric patients with AD and related skin disorders were evaluated. The
treatment indication(s), number of subjects, treatment protocols, results, and
side effects were recorded. RESULTS: Effective treatments with furfuryl palmitate
and furfuryl derivatives have been reported for the following conditions: atopic,
seborrheic, irritative, and allergic contact dermatitis, eczema, xerosis, and
cutaneous inflammatory pathologies. All the products tested showed a good
tolerability profile. CONCLUSION: Studies performed up to now showed that
furfuryl derivatives can efficaciously contrast signs and symptoms of mild-to
moderate AD, erythema, and widespread diffuse cutaneous pathologies in both adult
and pediatric patients, representing a real alternative to steroids and a valid
aid in the treatment of skin disorders, with no side effects and without
requiring precautions in use. FUNDING: Relife S.r.l. - Menarini Group. Plain
language summary available for this article.
PMID- 29790105
TI - Physostigmine Restores Impaired Autophagy in the Rat Hippocampus after Surgery
Stress and LPS Treatment.
AB - Tissue damage and pathogen invasion during surgical trauma have been identified
as contributing factors leading to neuroinflammation in the hippocampus, which
can be protected by stimulation of the cholinergic anti-inflammatory pathway
using the acetylcholinesterase inhibitor physostigmine. Macroautophagy, an
intracellular degradation pathway used to recycle and eliminate damaged proteins
and organelles by lysosomal digestion, seems to be important for cell survival
under stress conditions. This study aimed to examine the role of autophagy in
physostigmine-mediated hippocampal cell protection in a rat model of surgery
stress. In the presence or absence of physostigmine, adult Wistar rats underwent
surgery in combination with lipopolysaccharide (LPS). Activated microglia,
apoptosis-, autophagy-, and anti-inflammatory-related genes and -proteins in the
hippocampus were determined by Real-Time PCR, Western blot and fluorescence
microscopy after 1 h, 24 h and 3 d. Surgery combined with LPS-treatment led to
microglia activation after 1 h and 24 h which was accompanied by apoptotic cell
death after 24 h in the hippocampus. Furthermore, it led to a decreased
expression of ATG-3 after 24 h and an increased expression of p62/ SQSTM1 after 1
h and 24 h. Administration of physostigmine significantly increased autophagy
related markers and restored the autophagic flux after surgery stress, detected
by increased degradation of p62/ SQSTM1 in the hippocampus after 1 h and 24 h.
Furthermore, physostigmine reduced activated microglia and apoptosis relevant
proteins and elevated the increased expression of TGF-beta1 and MFG-E8 after
surgery stress. In conclusion, activation of autophagy may be essential in
physostigmine-induced neuroprotection against surgery stress.
PMID- 29790106
TI - Imaging Reporter Strategy to Monitor Gene Activation of Microglia Polarisation
States under Stimulation.
AB - Microglial cells as innate immune key players have a critical and unique role in
neurodegenerative disorders. They strongly interact with their microenvironment
in a complex manner and react to changes by switching their phenotype and
functional activation states. In order to understand the development of brain
diseases, it is imperative to elucidate up- or down-regulation of genes involved
in microglia polarisation in time-profile by a simple-to-use strategy. Here, we
present a new imaging strategy to follow promoter activity of genes involved in
microglia polarisation. We lentivirally transduced BV-2 microglia cells in
culture with constructs consisting of the induced nitric oxide synthase (iNOS),
Fc gamma receptor III (Fcgr3) (both resembling the pro-inflammatory M1-like
phenotype) or Chitinase-like 3 (Chil3/Ym1) (resembling the anti-inflammatory M2
like phenotype) promoters and stimulated transgenic cells with potent activators
for pro- or anti-inflammatory response, such as lipopolysaccharide (LPS) +
interferon gamma (IFN-gamma) or interleukin (IL)-4, respectively. Promoter
activities upon polarisation phases were quantitatively assessed by the two
imaging reporters Luc2 for bioluminescence and eGFP for fluorescence.
PMID- 29790108
TI - The impact of dietary habits on the pathogenesis of rheumatoid arthritis: a case
control study.
AB - It has not been clear what kinds and how much nutrients could be harmful,
preventive, or healthful for development of rheumatoid arthritis. This study
aimed to determine the impact of dietary habits on the pathogenesis of rheumatoid
arthritis. This case-control study was conducted on a total of 500 rheumatoid
arthritis patients and 500 healthy controls refereed to three clinics of Shiraz
University of Medical Sciences in 2015-2016. Convenience sampling was used for
data collection in both case and control groups. An approved valid and reliable
questionnaire including information about the intake of different kinds of
beverages, and nutritious and non-nutritious diet was used. Data were analyzed by
SPSS, version 20, using t test, chi-square and Multiple Logistic Regression
model. The associations between rheumatoid arthritis development and variables
including drinking 1-7 cups of coffee (OR = .44, CI .25-.76), >= 8 cups of coffee
(OR = .50, CI .28-.90), full-fat milk (OR = 1.01, CI 1.003-1.03) per month, and
intake of green tea (OR = .65, CI .45-.93) and solid oils (OR = 2.29, CI:1.57
3.34) were significant. Based on the findings, coffee consumption more than one
cup per month and green tea might have preventive effects on developing
rheumatoid arthritis. On the other hand, patients who consumed more full-fat milk
per month and solid oil might be at risk of development of rheumatoid arthritis.
Therefore, modification of diet based on these findings is suggested. Performing
a cohort study to determine the causality effect of dietary habits and
development and prevention of rheumatoid arthritis is recommended.
PMID- 29790107
TI - The Role of Pharmacogenomics in Bipolar Disorder: Moving Towards Precision
Medicine.
AB - Bipolar disorder (BD) is a common and disabling psychiatric condition with a
severe socioeconomic impact. BD is treated with mood stabilizers, among which
lithium represents the first-line treatment. Lithium alone or in combination is
effective in 60% of chronically treated patients, but response remains
heterogenous and a large number of patients require a change in therapy after
several weeks or months. Many studies have so far tried to identify molecular and
genetic markers that could help us to predict response to mood stabilizers or the
risk for adverse drug reactions. Pharmacogenetic studies in BD have been for the
most part focused on lithium, but the complexity and variability of the response
phenotype, together with the unclear mechanism of action of lithium, limited the
power of these studies to identify robust biomarkers. Recent pharmacogenomic
studies on lithium response have provided promising findings, suggesting that the
integration of genome-wide investigations with deep phenotyping, in silico
analyses and machine learning could lead us closer to personalized treatments for
BD. Nevertheless, to date none of the genes suggested by pharmacogenetic studies
on mood stabilizers have been included in any of the genetic tests approved by
the Food and Drug Administration (FDA) for drug efficacy. On the other hand,
genetic information has been included in drug labels to test for the safety of
carbamazepine and valproate. In this review, we will outline available studies
investigating the pharmacogenetics and pharmacogenomics of lithium and other mood
stabilizers, with a specific focus on the limitations of these studies and
potential strategies to overcome them. We will also discuss FDA-approved
pharmacogenetic tests for treatments commonly used in the management of BD.
PMID- 29790109
TI - Value of three-dimensional speckle tracking echocardiography to assess left
ventricular function in hyperuricemia patients.
AB - To assess the value of three-dimensional speckle tracking echocardiography (3D
STE) in evaluating the left ventricular (LV) function in hyperuricemia patients.
We enrolled 15 healthy controls and 40 hyperuricemia patients and collected and
analyzed full-volume 3D STE images of the left ventricle in the apical four
chamber heart view. Laboratory tests and 3D STE parameters, including left
ventricular ejection fraction, left ventricular end-diastolic volume, left
ventricular end-systolic volume, stroke volume (SV), global longitudinal strain
(GLS), and global circumferential strain (GCS), were compared between
hyperuricemia patients and healthy controls. Hyperuricemia patients exhibited
higher body mass index (24.70 +/- 2.9 vs. 21.83 +/- 2.4 kg/m2, p = 0.001), C
reactive protein (5.82 +/- 9.4 vs. 1.12 +/- 1.8 g/L, p = 0.012), alanine
transaminase (34.26 +/- 26.6 vs. 17.60 +/- 13.0 U/L, p = 0.011), aspartate
transaminase (24.90 +/- 11.3 vs. 17.70 +/- 4.1 U/L, p = 0.001), blood urea
nitrogen (5.11 +/- 1.6 vs. 4.18 +/- 0.6 mmol/L, p = 0.046), and serum creatinine
(90.25 +/- 14.6 vs. 77.93 +/- 10.8 MUmol/L, p = 0.006) levels, as well as a lower
estimated glomerular filtration rate (87.87 +/- 16.5 vs. 103.64 +/- 11.3
mL/min/1.73m2, p = 0.002). The 3D STE parameters reflecting LV function,
including SV (54.71 +/- 9.6 vs. 61.92 +/- 14.4 mL, p = 0.024), GLS (- 20.51 +/-
4.0 vs. - 23.20 +/- 4.0%, p = 0.019), and GCS (- 31.30 +/- 5.0 vs. - 35.65 +/-
2.5%, p = 0.000), were significantly decreased in hyperuricemia patients.
Furthermore, GCS was significantly correlated with the serum uric acid (sUA)
level even after adjustment of confounding variables like age, body mass index,
and serum creatinine. 3D STE is a novel technique for recognizing the early
decline in LV function, with GLS and GCS serving as reliable indicators, in
hyperuricemia patients. Moreover, the degree of decline in LV function may be
correlated with the sUA level in hyperuricemia patients.
PMID- 29790110
TI - Prevalence of hyperuricemia and its associated factors in the general Korean
population: an analysis of a population-based nationally representative sample.
AB - Hyperuricemia is not only a risk factor for gout but also an independent
determinant of hypertension, diabetes, and chronic kidney diseases. Although the
incidence of gout in Korean adults is increasing, epidemiologic studies on
hyperuricemia in the general Korean population are limited. Thus, this study
aimed at evaluating the prevalence of hyperuricemia and its associated factors
among non-institutionalized Korean adults. The present study included 5548
participants (2403 men and 3145 women) aged >= 19 years from The Korea National
Health and Nutrition Examination Survey. Based on the new 2016 census data, the
age-standardized prevalence and mean uric acid level were calculated using the
chi-square test and t test, respectively. A multivariate logistic regression
analysis was performed to evaluate the risk factors associated with
hyperuricemia. The age-standardized prevalence of hyperuricemia and mean uric
acid level in the general Korean population was 11.4% (17.0% in men and 5.9% in
women) and 5.1 mg/dL (5.83 mg/dL in men and 4.36 mg/dL in women), respectively.
The prevalence of hyperuricemia was high in young Korean adults, and a U-shaped
association was observed between hyperuricemia and age. While obesity, metabolic
syndrome, renal impairment, and low-grade inflammation were positively associated
with hyperuricemia in both sexes, alcohol consumption, education, and current
smoking status had a positive association with hyperuricemia only in women.
Hyperuricemia is prevalent in the young population in Korea, and special efforts
are necessary to reduce the potential harmful effects of hyperuricemia on the
health of adults, particularly the younger-generation adults, in Korea.
PMID- 29790111
TI - Potential of the dual mTOR kinase inhibitor AZD2014 to overcome paclitaxel
resistance in anaplastic thyroid carcinoma.
AB - PURPOSE: Anaplastic thyroid carcinoma (ATC) is an aggressive, chemo-resistant
malignancy. Chemo-resistance is often associated with changes in activity of the
RAS/MAPK/ERK and PI3K/AKT/mTOR pathways and/or a high expression of ATP binding
cassette (ABC) transporters, such as P-glycoprotein (P-gp) and breast cancer
resistance protein (BCRP). To assess the therapeutic efficacy in ATC of a
combination of the dual mTOR kinase inhibitor vistusertib (AZD2014) and
paclitaxel (PTX), we generated a new cell line (Rho-) via the selection of human
thyroid carcinoma 8505C cells that exhibit a low accumulation of rhodamine 123,
which serves as a P-gp and BCRP substrate. METHODS: Immunohistochemistry was used
for P-gp and BCRP expression analyses in primary ATC patient samples. Spheroid
formation and immunodeficient NSG mice were used for performing in vitro and in
vivo tumorigenicity assays, respectively. MTT, flow-cytometry, fluorescent
microscopy, cell death and proliferation assays, as well as migration, invasion
and gelatin degradation assays, were used to assess the potential of AZD2014 to
enhance the effects of PTX. ATC xenografts in SCID mice were used for evaluating
in vivo treatment efficacies. RESULTS: Rho- cells were found to be 10-fold more
resistant to PTX than 8505C cells and, in addition, to be more tumorigenic. We
also found that AZD2014 sensitized Rho- cells to PTX by inhibiting proliferation
and by inducing autophagy. The combined use of AZD2014 and PTX efficiently
inhibited in vitro ATC cell migration and invasion. Subsequent in vivo xenograft
studies indicated that the AZD2014 and PTX combination effectively suppressed ATC
tumor growth. CONCLUSIONS: Our data support results from recent phase I clinical
trials using combinations of AZD2014 and PTX for the treatment of solid tumors.
Such combinations may also be employed for the design of novel targeted ATC
treatment strategies.
PMID- 29790113
TI - Structural Studies of Fucosylated N-Glycans by Ion Mobility Mass Spectrometry and
Collision-Induced Fragmentation of Negative Ions.
AB - There is considerable potential for the use of ion mobility mass spectrometry in
structural glycobiology due in large part to the gas-phase separation attributes
not typically observed by orthogonal methods. Here, we evaluate the capability of
traveling wave ion mobility combined with negative ion collision-induced
dissociation to provide structural information on N-linked glycans containing
multiple fucose residues forming the Lewisx and Lewisy epitopes. These epitopes
are involved in processes such as cell-cell recognition and are important as
cancer biomarkers. Specific information that could be obtained from the intact N
glycans by negative ion CID included the general topology of the glycan such as
the presence or absence of a bisecting GlcNAc residue and the branching pattern
of the triantennary glycans. Information on the location of the fucose residues
was also readily obtainable from ions specific to each antenna. Some isobaric
fragment ions produced prior to ion mobility could subsequently be separated and,
in some cases, provided additional valuable structural information that was
missing from the CID spectra alone. Graphical abstract ?.
PMID- 29790114
TI - Ivabradine improved left ventricular function and pressure overload-induced
cardiomyocyte apoptosis in a transverse aortic constriction mouse model.
AB - This study aimed to investigate the effects and molecular mechanisms of
ivabradine in preventing cardiac hypertrophy in an established transverse aortic
constriction (TAC) mouse model. A total of 56 male C57BL/6 mice were randomly
assigned into the following seven groups (8 mice per group): sham, TAC model, Iva
10 (10 mg/kg/day ivabradine), Iva-20 (20 mg/kg/day ivabradine), Iva-40 (40
mg/kg/day ivabradine), Iva-80 (80 mg/kg/day ivabradine), and Rap (rapamycin, a
positive control). Echocardiography and left ventricular hemodynamics were
performed. Hematoxylin-eosin (H&E), Masson's trichome staining, and TUNEL assays
were conducted to evaluate cardiac hypertrophy, fibrosis, and apoptosis,
respectively. Western blotting was performed to detect the expression of proteins
related to the PI3K/Akt/mTOR/p70S6K pathway. Ivabradine could effectively improve
left ventricular dysfunction and hypertrophy induced by TAC in a dose-independent
manner. Moreover, no obvious change in heart rate (HR) was observed in the TAC
and Rap groups, whereas a significant decrease in HR was found after ivabradine
treatment (P < 0.05). Cardiac hypertrophy, fibrosis, and apoptosis induced by TAC
were notably suppressed after either rapamycin or ivabradine treatment (P <
0.05). Ivabradine and rapamycin also decreased the expression of PI3K/Akt and
mTOR induced by TAC. Ivabradine improved cardiac hypertrophy and fibrosis as well
as reduced cardiomyocyte apoptosis via the PI3K/Akt/mTOR/p70S6K pathway in TAC
model mice.
PMID- 29790112
TI - An Automated, High-Throughput Method for Interpreting the Tandem Mass Spectra of
Glycosaminoglycans.
AB - The biological interactions between glycosaminoglycans (GAGs) and other
biomolecules are heavily influenced by structural features of the glycan. The
structure of GAGs can be assigned using tandem mass spectrometry (MS2), but
analysis of these data, to date, requires manually interpretation, a slow process
that presents a bottleneck to the broader deployment of this approach to solving
biologically relevant problems. Automated interpretation remains a challenge, as
GAG biosynthesis is not template-driven, and therefore, one cannot predict
structures from genomic data, as is done with proteins. The lack of a structure
database, a consequence of the non-template biosynthesis, requires a de novo
approach to interpretation of the mass spectral data. We propose a model for
rapid, high-throughput GAG analysis by using an approach in which candidate
structures are scored for the likelihood that they would produce the features
observed in the mass spectrum. To make this approach tractable, a genetic
algorithm is used to greatly reduce the search-space of isomeric structures that
are considered. The time required for analysis is significantly reduced compared
to an approach in which every possible isomer is considered and scored. The model
is coded in a software package using the MATLAB environment. This approach was
tested on tandem mass spectrometry data for long-chain, moderately sulfated
chondroitin sulfate oligomers that were derived from the proteoglycan bikunin.
The bikunin data was previously interpreted manually. Our approach examines
glycosidic fragments to localize SO3 modifications to specific residues and
yields the same structures reported in literature, only much more quickly.
Graphical Abstract ?.
PMID- 29790115
TI - N-(2-hydroxyphenyl)acetamide and its gold nanoparticle conjugation prevent
glycerol-induced acute kidney injury by attenuating inflammation and oxidative
injury in mice.
AB - The protective activity of N-(2-hydroxyphenyl)acetamide (NA-2) and NA-2-coated
gold nanoparticles (NA-2-AuNPs) in glycerol-treated model of acute kidney injury
(AKI) in mice was investigated. NA-2 (50 mg/kg) and NA-2-AuNPs (30 mg/kg) were
given to the animals for four days followed by 24-h water deprivation and
injection of 50% glycerol (10 ml/kg im). The animals were sacrificed on the next
day. Blood and kidneys were collected for biochemical investigations (urea and
creatinine), histological studies (hematoxylin and eosin; and periodic acid
Schiff staining), immunohistochemistry (actin and cyclooxygenase-2, Cox-2), and
real-time RT-PCR (inducible nitric oxide synthase, iNOS; nuclear factor-kappaB
p50, NFkappaB; hemeoxygenase-1, HO-1; and kidney injury molecule-1, Kim-1). NA-2
protected renal tubular necrosis and inflammation, though the result of NA-2
AuNPs was better than compound alone and it also exhibited the activity at far
less dose. The test compound and its gold nano-formulation decreased the levels
of serum urea and creatinine level in the treated animals. Both NA-2 and NA-2
AuNPs also conserved actin cytoskeleton, and lowered COX-2 protein expression.
Moreover, the mRNA expressions of iNOS and NFkB p50 were down-regulated, and HO-1
and Kim-1 genes were up-regulated. We conclude that NA-2 and NA-2-AuNPs
ameliorates kidney inflammation and injury in glycerol-induced AKI animal model
via anti-oxidant and anti-inflammatory mechanisms which make it a suitable
candidate for further studies. We believe that these findings will contribute in
the understanding of the mechanism of action of paracetamol-like drugs and can be
considered for clinical research for the prevention of AKI.
PMID- 29790116
TI - Prediction of Shunt Dependency After Intracerebral Hemorrhage and
Intraventricular Hemorrhage.
AB - BACKGROUND: Spontaneous intracerebral hemorrhage is a disease with high morbidity
and mortality. Extension of the hemorrhage into the ventricles is associated with
the development of acute hydrocephalus and a poor outcome. Although it can be
managed by external ventricular drainage (EVD), a subset of these patients
require placement of permanent ventricular shunts. This study aimed to examine
the factors on admission that can predict shunt dependency after EVD management.
METHODS: Seventy-two patients who underwent EVD were included in this study.
Seventeen of these patients underwent placement of a ventriculoperitoneal shunt.
Variables analyzed included age, intraventricular hemorrhage (IVH) score,
bicaudate index, acute hydrocephalus, initial Glasgow Coma Scale scores, and
blood volume in each ventricle. RESULTS: In univariate analysis, IVH score (p =
0.020), bicaudate index (p < 0.001), blood volume in lateral ventricles (p =
0.025), blood volume in the fourth ventricle (p = 0.038), and the ratio of blood
volume in lateral ventricles to that in third and fourth ventricles (p = 0.003)
were significantly associated with persistent hydrocephalus. The best multiple
logistic regression model included blood volume parameters and bicaudate index as
predictors with the area under a receiver operating characteristic curve of
0.849. The variance inflation factor (VIF) showed that collinearity was not found
among predictors. Patients diagnosed with acute hydrocephalus had less blood
volume in the lateral ventricles (OR = 0.910) and had more blood volume in the
third ventricle (OR = 3.174) and fourth ventricle (OR = 2.126). CONCLUSIONS:
These findings may promote more aggressive monitoring and earlier interventions
for persistent hydrocephalus after intraventricular hemorrhage in patients at
risk.
PMID- 29790119
TI - Dr. Margaret McCallum-Johnston: Canada's first female anesthesiologist.
PMID- 29790117
TI - Regulatory roles of miR-155 and let-7b on the expression of inflammation-related
genes in THP-1 cells: effects of fatty acids.
AB - The main aim of this investigation was to study the regulatory roles of let-7b
and miR-155-3p on the expression of inflammation-associated genes in monocytes,
macrophages, and lipopolysaccharide (LPS)-activated macrophages (AcM). A second
goal was to analyze the potential modulatory roles of different fatty acids,
including oleic, palmitic, eicosapentaenoic (EPA), and docosahexaenoic (DHA), on
the expression of these miRNAs in the three cell types. This hypothesis was
tested in human acute monocytic leukemia cells (THP-1), which were differentiated
into macrophages with 2-O-tetradecanoylphorbol-13-acetate (TPA) and further
activated with LPS for 24 h. Monocytes, macrophages, and AcM were transfected
with a negative control, or mimics for miR-155-3p and miR-let-7b-5p. The
expression of both miRNAs and some proinflammatory genes was analyzed by qRT-PCR.
Interestingly, let-7b mimic reduced the expression of IL6 and TNF in monocytes,
and SERPINE1 expression in LPS-activated macrophages. However, IL6, TNF, and
SERPINE1 were upregulated in macrophages by let-7b mimic. IL6 expression was
higher in the three types of cells after transfecting with miR-155-3p mimic.
Similarly, expression of SERPINE1 was increased by miR-155-3p mimic in monocytes
and macrophages. However, TLR4 was downregulated by miR-155-3p in monocytes and
macrophages. Regarding the effects of the different fatty acids, oleic acid
increased the expression of let-7b in macrophages and AcM and also increased the
expression of miR-155 in monocytes when compared with DHA but not when compared
with non-treated cells. Overall, these results suggest anti- and proinflammatory
roles of let-7b and miR-155-3p in THP-1 cells, respectively, although these
outcomes are strongly dependent on the cell type. Noteworthy, oleic acid might
exert beneficial anti-inflammatory effects in immune cells (i.e., non-activated
and LPS-activated macrophages) by upregulating the expression of let-7b.
PMID- 29790118
TI - Screening of acromegaly in adults with obstructive sleep apnea: is it worthwhile?
PMID- 29790120
TI - Changes in the augmentation index and postoperative orthostatic intolerance in
orthopedic surgery: a prospective cohort study.
AB - BACKGROUND: Postoperative orthostatic intolerance (OI) can be a major obstacle to
early ambulation and its determinants are poorly understood. We aimed to study
postoperative changes in vascular tone and their potential association with OI in
various orthopedic surgical settings. METHODS: In this prospective cohort study,
350 patients undergoing total joint arthroplasty under neuraxial anesthesia or
spine surgery under general anesthesia were enrolled. We determined the
augmentation index (AI) as a measure of vascular tone and studied symptoms of OI
using a validated questionnaire at various postoperative time points. RESULTS:
The AI was significantly reduced postoperatively (at spinal resolution in
patients with neuraxial anesthesia or two hours postoperatively in general
anesthesia) compared with baseline values in all procedures and did not
subsequently return to baseline throughout the postoperative period in the
majority of patients [252/335 (75.2%); P < 0.001]. The majority [260/342 (76.0%);
P < 0.001] of patients had postoperative symptoms of OI. Nevertheless, no
association was found between postoperative change in AI from baseline and
postoperative symptoms of OI. CONCLUSIONS: A significantly prolonged decrease in
AI and symptoms of OI are common after orthopedic surgery. Nevertheless, an
association between the two measures was not observed. While compensatory
mechanisms may limit the influence of an AI decrease on symptoms of OI, more
research is needed to understand the contributing factors and aid in the
identification of patients at risk of OI.
PMID- 29790121
TI - When does reading dirty words impede picture processing? Taboo interference with
verbal and manual responses.
AB - Picture naming takes longer in the presence of socially inappropriate (taboo)
distractor words compared with neutral distractor words. Previous studies have
attributed this taboo interference effect to increased attentional capture by
taboo words or verbal self-monitoring-that is, control processes scrutinizing
verbal responses before articulation. In this study, we investigated the cause
and locus of the taboo interference effect by contrasting three tasks that used
the same target pictures, but systematically differed with respect to the
processing stages involved: picture naming (requiring conceptual processing,
lexical processing, and articulation), phoneme decision (requiring conceptual and
lexical processing), and natural size decision (requiring conceptual processing
only). We observed taboo interference in picture naming and phoneme decision. In
size decision, taboo interference was not reliably observed under the same task
conditions in which the effect arose in picture naming and phoneme decision, but
it emerged when the difficulty of the size decision task was increased by
visually degrading the target pictures. Overall, these results suggest that taboo
interference cannot be exclusively attributed to verbal self-monitoring operating
over articulatory responses. Instead, taboo interference appears to arise already
prior to articulatory preparation, during lexical processing and-at least with
sufficiently high task difficulty-during prelexical processing stages.
PMID- 29790122
TI - Talking points: A modulating circle reduces listening effort without improving
speech recognition.
AB - Speech recognition is improved when the acoustic input is accompanied by visual
cues provided by a talking face (Erber in Journal of Speech and Hearing Research,
12(2), 423-425 1969; Sumby & Pollack in The Journal of the Acoustical Society of
America, 26(2), 212-215, 1954). One way that the visual signal facilitates speech
recognition is by providing the listener with information about fine phonetic
detail that complements information from the auditory signal. However, given that
degraded face stimuli can still improve speech recognition accuracy (Munhall et
al. in Perception & Psychophysics, 66(4), 574-583, 2004), and static or moving
shapes can improve speech detection accuracy (Bernstein et al. in Speech
Communication, 44(1/4), 5-18, 2004), aspects of the visual signal other than fine
phonetic detail may also contribute to the perception of speech. In two
experiments, we show that a modulating circle providing information about the
onset, offset, and acoustic amplitude envelope of the speech does not improve
recognition of spoken sentences (Experiment 1) or words (Experiment 2), but does
reduce the effort necessary to recognize speech. These results suggest that
although fine phonetic detail may be required for the visual signal to benefit
speech recognition, low-level features of the visual signal may function to
reduce the cognitive effort associated with processing speech.
PMID- 29790123
TI - Language experience shapes relational knowledge of compound words.
AB - Prior studies of noun-noun compound word processing have provided insight into
the human capacity for conceptual combination (Gagne and Shoben Journal of
Experimental Psychology: Learning, Memory, and Cognition, 23(1), 71 1997;
Spalding, Gagne, Mullaly & Ji Linguistische Berichte Sonderheft, 17, 283-315
2010). These studies conclude that relational interpretations of compound words
are proposed and appraised by the language system during online word recognition.
However, little is known about how the capacity for creating new meanings from
existing conceptual units develops within an individual mind. Though current
theories imply that individual relational knowledge about the combinability of
concepts develops as language experience accumulates, this hypothesis has not
been previously tested experimentally. Here, we addressed this hypothesis in a
task that assesses individual relational knowledge of English compound words. We
report that greater experience with printed language shapes relational knowledge
of compound words in two ways. Firstly, individuals with more experience with
printed language were able to select a greater number of possible relational
meanings for individual compound words. Secondly, individuals with greater
experience with printed language were also more precise about which relational
meaning was the most semantically plausible out of all possible meanings. Our
results confirm that language experience affects an individual's ability to use
relational knowledge in order to combine conceptual units. Our findings offer
further support for the Lexical Quality Hypothesis (Perfetti, 2007), which states
that lexical representations of words become simultaneously more flexible and
precise as a result of repeated exposure to their orthographic forms in language
usage.
PMID- 29790124
TI - Colorectal Cancer: Why Does Side Matter?
AB - Colorectal cancer (CRC) is a heterogeneous disease, and the search for clinical
and molecular prognostic and predictive factors is thus necessary to better
tailor each individual patient's management. Primary tumor location (PTL) seems
to act as a master prognostic factor pooling different clinical, pathological,
and molecular poor prognostic factors. In fact, right-sided (RS) CRC patients are
more frequently female and elderly with microsatellite unstable, BRAF mutated,
CpG island methylator phenotype (CIMP)-high, poorly differentiated tumors,
compared to left-sided (LS) CRC patients. PTL does not seem to clearly influence
disease-free survival (DFS) in localised colon cancer even though the opposite
prognostic value of RS tumors on DFS depending on RAS/BRAF mutational status has
been recently suggested in these patients. In metastatic CRC (mCRC), the poor
prognosis associated with RS tumors is confirmed in the most recent publications
in the era of double and triple chemotherapeutic regimens and targeted agents.
Concerning the predictive value of PTL, in patients with RAS wild-type mCRC in
the first-line setting, anti-epidermal growth factor receptor (EGFR) therapy
combined with chemotherapy appears to be more effective than bevacizumab in LS
CRC, while patients with RS CRC benefit less from anti-EGFR therapy, and
intensive chemotherapy plus bevacizumab may be more appropriate but EGFR
antibodies remain an option if objective response is needed. Due to the
limitation of the current data (unplanned and retrospective analyses), these
conclusions must be interpreted with caution. Clinical trials in RS CRC may be of
interest to clarify what is the best treatment strategy in these patients.
PMID- 29790125
TI - Management of major bleeding and outcomes in patients treated with direct oral
anticoagulants: results from the START-Event registry.
AB - The management of major bleeding in patients treated with direct oral
anticoagulants (DOACs) is still not well established. START-Events, a branch of
the START registry (Survey on anTicoagulated pAtients RegisTer) (NCT02219984),
aims to describe the actual management of bleeding or recurrent thrombotic events
in routine clinical practice. We here present the results of the management of
bleeding patients. The START-Event registry is a prospective, observational,
multicenter, international study. Baseline characteristics (demographic,
clinical, risk factors) of patients, laboratory data at admission and during
follow-up, site of bleeding, therapeutic strategies, and outcomes at the time of
hospital discharge and after 6 months were recorded on a web-based case report
form. Between January 2015 and December 2016, 117 patients with major bleeding
events were enrolled. Non-valvular atrial fibrillation (NVAF) was the indication
for treatment in 84% (62% males); 53 patients had intracranial bleeding (13
fatal), 42 had gastrointestinal bleeding (1 fatal), and 22 had bleeding in other
sites. Therapeutic interventions for the management of bleeding were performed in
71% of patients. Therapeutic strategies with/without surgery or invasive
procedures included: fluid replacement or red blood cells transfusion,
prothrombin complex concentrates (3 or 4 factors), antifibrinolytic drugs, and
the administration of idarucizumab. Creatinine, blood cell count, and PT/aPTT
were the most frequent tests requested, while specific DOAC measurements were
performed in 23% of patients. Mortality during hospitalization was 11.9%, at 6
month follow-up 15.5%. Our data confirm a high heterogeneity in the management of
bleeding complications in patients treated with DOACs.
PMID- 29790127
TI - Noninvasive auto-titrating ventilation (AVAPS-AE) versus average volume-assured
pressure support (AVAPS) ventilation in hypercapnic respiratory failure patients:
comment.
PMID- 29790126
TI - Endocrinopathy-induced euvolemic hyponatremia.
AB - Euvolemic hyponatremia results from either the syndrome of inappropriate
antidiuretic hormone secretion (SIADH), hypothyroidism, or adrenal insufficiency.
Furthermore, the criteria for diagnosis of SIADH entail the exclusion of
hypothyroidism and hypoadrenalism. We aim to assess the yield of euvolemic
hyponatremia workup focusing on underlying endocrinopathies in a real-world
setting. A single-center retrospective study includes all patients diagnosed with
euvolemic hyponatremia in a tertiary hospital between 1.1.2007 and 1.1.2013.
Demographic, clinical, and laboratory data were collected from medical charts.
Euvolemic hyponatremia was detected in 564 patients. Thyroid function was tested
in 69% (391/564) and adrenal function was assessed in 29% (164/564) of cases.
Endocrinopathy-induced euvolemic hyponatremia was diagnosed in nine (1.6%)
patients: three patients were diagnosed with hypothyroidism-induced hyponatremia,
three with adrenal insufficiency as an underlying cause, and three with central
hypothyroidism and central hypoadrenalism. All nine had medical history and
symptoms suggestive of endocrine deficiencies other than the hyponatremia, which
resolved within 1-3 days after administration of hormone replacement therapy.
Yield of performed workup for hypothyroidism and hypoadrenalism in euvolemic
hyponatremia was low. However, in this real-world study, only a limited number of
patients underwent a full ascertainment of hypoadrenalism and hypothyroidism,
which was diagnosed only in patients with additional findings supportive of these
endocrinopathies; a higher rate of undiagnosed endocrinopathies cannot be ruled
out. As both hypoadrenalism and hypothyroidism are easily treatable, potentially
life-threatening conditions, there are insufficient data to change current
recommendation for their universal evaluation in patients with euvolemic
hyponatremia.
PMID- 29790128
TI - Evidence That the Length of Bile Loop Determines Serum Bile Acid Concentration
and Glycemic Control After Bariatric Surgery.
AB - BACKGROUND: Bariatric surgery contributes to the improvement in glucose
metabolism that may be related to a postoperative increase in serum bile acids
(BAs). Three commonly used types of bariatric procedures, laparoscopic sleeve
gastrectomy (LSG) (without creation of a bile loop), Roux-en-Y gastric bypass
(RYGB), and omega-loop gastric bypass (OLGB) (with creation of shorter 100-150 cm
and longer 200-280 cm bile loops, respectively), differ in their effects on
glycemic control. The aim of the study was to compare the effects of various
bariatric procedures on serum BA concentration and glucose homeostasis. METHODS:
Serum BAs in 26 obese patients were determined by liquid chromatography-mass
spectrometry prior to bariatric surgery, as well as 4 days and 3 months
thereafter. RESULTS: Four days after the surgery, serum concentrations of BAs in
LSG and OLGB groups were similar as prior to the procedure, and a slight decrease
in serum BAs was observed in the RYGB group. Serum BA level in the LSG group
remained unchanged also at 3 months after the surgery, whereas a significant 0.5-
and 3-fold increase in this parameter was noted in the RYGB and OLGB groups,
respectively. Serum concentration of BAs correlated positively with the length of
the bile loop (R = 0.47, p < 0.05). CONCLUSION: The evident improvement of
glycemic control observed 3 months after OLGB might be associated with a
postoperative increase in serum BAs, resulting from their better absorption from
the longer bile loop. However, the changes in serum BAs probably had little or no
impact on insulin sensitivity improvement at 4 days post-surgery.
PMID- 29790129
TI - Duodenum Exclusion Alone Is Sufficient to Improve Glucose Metabolism in STZ
Induced Diabetes Rats.
AB - BACKGROUND: Several studies have found that metabolic surgery can significantly
improve glucose homeostasis; however, the intrinsic mechanisms remain unclear.
Accumulating evidence suggests that duodenal bypass plays a crucial role in the
treatment of type 2 diabetes mellitus (T2DM). Here, we aimed to evaluate the
effect of duodenal reflux on glucose metabolism in T2DM. METHODS: A high-fat diet
and low-dose streptozotocin (STZ) administration were used to induce T2DM in male
rats, which were assigned to three experimental groups: sham operation (SO; n =
10), new duodenal-jejunal bypass (NDJB; n = 10), and new duodenal-jejunal bypass
with a tube (NDJBT; n = 10). Weight, food intake, oral glucose tolerance test
(OGTT) results, glucagon-like peptide 1 (GLP-1) levels, and histopathology were
assessed before or after surgery. Plain abdominal radiography was performed 1
week after the operation. RESULTS: Plain abdominal radiography indicated the
occurrence of contrast agent reflux into the duodenum. The body weight and food
intake in all three groups did not significantly differ before and after surgery.
The NDJB and particularly the NDJBT groups exhibited better glucose tolerance,
lower fasting blood glucose (FBG) levels, lower area under the curves for OGTT
(AUCOGTT) values, and higher GLP-1 levels, as compared with the sham group
postoperatively. The villus height and crypt depth were both shorter in the
biliopancreatic limb after NDJBT, as compared with those after SO and NDJB.
CONCLUSIONS: Thus, exclusion of the duodenum alone and tube placement can
effectively prevent duodenal reflux and improve glucose homeostasis, which
further suggests that the duodenum plays an important role in T2DM.
PMID- 29790130
TI - An Analysis of Mid-Term Complications, Weight Loss, and Type 2 Diabetes
Resolution of Stomach Intestinal Pylorus-Sparing Surgery (SIPS) Versus Roux-En-Y
Gastric Bypass (RYGB) with Three-Year Follow-Up.
AB - BACKGROUND: For many years, the Roux-en-Y Gastric Bypass (RYGB) was considered a
good balance of complications and weight loss. According to several short-term
studies, single anastomosis duodenal switch or stomach intestinal pylorus sparing
surgery (SIPS) offers similar weight loss to RYGB with fewer complications and
better diabetes resolution. No one has substantiated mid-term complication and
nutritional differences between these two procedures. This paper seeks to compare
complication and nutritional outcomes between RYGB and SIPS. METHODS: A
retrospective analysis of 798 patients who either had SIPS or RYGB from 2010 to
2016. Complications were gathered for each patient. Nutritional outcomes were
measured for each group at 1, 2, and 3 years. Regression analysis was applied to
interpolate each patient's weight at 3, 6, 9, 12, 18, 24, and 36 months. These
were then compared with t tests, Fisher's exact tests, and chi-squared tests.
RESULTS: RYGB and SIPS have statistically similar weight loss at 3, 6, 9, 12, and
36 months. They statistically differ at 18 and 24 months. At 36 months, there is
a trend for weight loss difference. There were only statistical differences in
nutritional outcomes between the two procedures with calcium at 1 and 3 years and
vitamin D at 1 year. There were statistically significantly more long-term class
IIIb-V complications, class I-IIIa complications, reoperations, ulcers, small
bowel obstructions, nausea, and vomiting with the RYGB than the SIPS. CONCLUSION:
With comparable weight loss and nutritional outcomes, SIPS has fewer short- and
long-term complications than RYGB and better type 2 diabetes resolution rates.
PMID- 29790131
TI - Efficacy and Safety Outcomes for Originator TNF Inhibitors and Biosimilars in
Rheumatoid Arthritis and Psoriasis Trials: A Systematic Literature Review.
AB - OBJECTIVE: Regulatory approval of biosimilar versions of originator
biotherapeutics requires that new biological products be highly similar to
originator products, with no clinically meaningful differences in safety, purity,
and potency. In some trials of biosimilars of tumor necrosis factor inhibitors
for the treatment of rheumatoid arthritis (RA) and plaque psoriasis (PsO), pre
specified margins for efficacy and safety have been met, but differences in
treatment responses between pivotal originator trials and biosimilar trials have
been noted. The objective of this systematic review was to examine these
differences. METHODS: Searches were conducted to identify comparative randomized
clinical trials of approved or proposed biosimilars of adalimumab, etanercept,
and infliximab. RESULTS: Of 83 publications identified, 16 publications were
included for analysis (RA: originators, n = 5; biosimilars, n = 6; PsO:
originators, n = 2; biosimilars, n = 3). American College of Rheumatology 20%
response rates were higher among patients with RA receiving originator biologics
and biosimilars in biosimilar trials than among patients receiving the originator
biologics in pivotal trials. In etanercept studies in PsO, a difference was
observed in Psoriasis Area and Severity Index 75% response rates between
biosimilar and pivotal trials. Insufficient efficacy data were available from
adalimumab and infliximab biosimilar studies in PsO to determine any differences
in treatment responses between pivotal and biosimilar studies. CONCLUSIONS:
Observed differences in treatment response rates between pivotal originator
trials and trials of originator biologics and their respective biosimilars may be
attributable to fundamental differences in study design and/or baseline patient
characteristics, which require further analysis.
PMID- 29790133
TI - [Spiritual Care for Patients and Their Families in the Intensive Care Unit].
AB - To date, most of the literature in Taiwan on clinical spiritual care has focused
either on improving the spiritual well-being of patients or on developing
assessment tools suitable for use with end-stage cancer patients. Few articles
have discussed spiritual care in the context of intensive care unit (ICU)
patients and their family members. When ICU patients face life-threatening and
complex physiological problems, spiritual care plays an important role in disease
recovery and adaptation and impacts the satisfaction and medical decision-making
of critical patients and their family members. This article describes the synergy
model and spiritual care guidelines for ICU patients and their families.
Furthermore, this article supplements two recent interventional studies that
targeted ICU patients in intensive care unit with direct and easily understood
instructions for self-implementation of the two dimensions, vertical and
horizontal, of spiritual well-being. The author hopes to provide a practical
reference for implementing spiritual care with ICU patients and their families in
clinical practice.
PMID- 29790132
TI - Updates on the research and development of absorbable metals for biomedical
applications.
AB - Absorbable metals, metals that corrode in physiological environment, constitute a
new class of biomaterials intended for temporary medical implant applications.
The introduction of these metals has shifted the established paradigm of metal
implants from preventing corrosion to its direct application. Interest toward
absorbable metals has been growing in the past decade. This is proved by the
rapid increase in scientific publication, progressive development of standards,
and launching the first commercial products. Iron, magnesium, zinc, and their
alloys are the current three absorbable metals families. Magnesium-based metals
are the most progressing family with a large data set obtained from both basic
and translational research. Iron-based metals are still facing a major challenge
of low in vivo corrosion rate despite the significant efforts that have been put
to overcome its weakness. Zinc-based metals are the new alternative absorbable
metals with moderate corrosion rates that fall between those of iron and
magnesium. This manuscript provides a brief review on the latest progress in the
research and development of absorbable metals, the most important findings, the
remaining challenges, and the perspective on the future direction.
PMID- 29790134
TI - [HIV Stigma and Spiritual Care in People Living With HIV].
AB - HIV infection has been a manageable and chronic illness in Taiwan since the
highly active antiretroviral therapy was introduced in 1997. HIV infection is a
stigmatized disease due to its perceived association with risky behaviors. HIV
often carries a negative image, and people living with HIV(PLWH) face
discrimination on multiple fronts. Internalized HIV stigma impacts the spiritual
health of people living with HIV in terms of increased levels of shame, self
blame, fear of disclosing HIV status, and isolation and decreased value and
connections with God, others, the environment, and the self. Nursing
professionals provide holistic care for all people living with HIV and value
their lives in order to achieve the harmony of body, mind, and spirit. This
article describes the stigma that is currently associated with HIV and how stigma
related discrimination affects the spiritual health of PLWH and then proposes how
to reduce discrimination and stigma in order to improve the spiritual health of
PLWH through appropriate spiritual care. Reducing HIV stigma and promoting
spiritual well-being will enable Taiwan to achieve the 'Three Zeros' of zero
discrimination, zero infection, and zero death advocated by the Joint United
Nations Programme on HIV/AIDS for ending the AIDS epidemic in 2030.
PMID- 29790135
TI - [Spiritual Care of Patients With Depression].
AB - Spiritual care is a component of holistic care. Patients with depression often
experience body-mind-spirit health problems and may suffer from spiritual crises,
particularly during the acute stage of a diseases, due to low self-esteem,
negative attitudes toward life goals, daily life issues, and beliefs caused by
physical, psychological, and occupational dysfunctions. Nonetheless, psychical
care is the main treatment for patients with depression. This paper focuses on
patients with depression and addresses the concepts of spiritual needs and
spiritual care, identifying the factors that influence spiritual needs, the
essentials of spiritual intervention, and the health effects of spiritual
intervention outcomes on patients with depression. Courses that teach practical
spiritual interventions are recommended for nurses. These courses should address
topics such as individual approaches, building trusting relationships, setting
diverse goals for spiritual interventions based on disease stage, and spiritual
interventions involving the body-mind-spiritual aspects for patients with
depression.
PMID- 29790136
TI - [Mutual Support Beyond Suffering and Mortality-Spiritual Care for Families of
Terminal Cancer Patients].
AB - With the progression of cancer and the approach of death in terminal cancer
patients, the suffering of the family members of patients increases drastically,
often leading into a difficult spiritual journey. The needs for spiritual care in
this population generally consist of: 1. Empathy: Bearing the psychological
stress due to the sharing of physical pain with the patients; 2. Powerlessness:
Regretting not having the power to turn the tide; 3. Loneliness: Becoming
exhausted due to facing heavy physical workloads alone; 4. Break down: Feeling
hopeless in the face of the myriad challenges of care; 5. Despair: Feeling
perplexed by the prospects of a desperate future; 6. Sorrow: Feeling bitter due
to the realization that the disease is incurable and to being reluctant to
acknowledge the parting. The spiritual needs of family members may be met by
evaluating the needs for and resistance to spiritual care, followed by the use of
religious and non-religious companions and the application of listening and
empathy approaches in order to elicit positive thoughts and the values of love,
forgiveness, and reconciliation. In strengthening their personal beliefs, family
members may find connectedness with god, humanity, and objects; may see hope in
life; and may find the meaning of suffering in order to further seek and find
inner peace, accomplish themselves, and eventually achieve spiritual sublimation.
PMID- 29790137
TI - [Diabetic Foot Neuropathy and Related Factors in Patients With Type 2 Diabetes
Mellitus].
AB - BACKGROUND: Patients with type 2 diabetes mellitus (T2DM) face a higher risk of
diabetic foot neuropathy, which increases the risk of death. The early detection
of factors that influence diabetic neuropathy reduces the risk of foot lesions,
including foot ulcerations, lower extremity amputation, and mortality. PURPOSE:
To explore the demographic, disease-characteristic, health-literacy, and foot
self-care-behavior factors that affect diabetic foot neuropathy in patients with
T2DM. METHODS: A case-control study design was employed in which cases (Michigan
Neuropathy Screening Instrument, MNSI) >= 2 were matched to controls based on age
and gender in a medical center. A total of 114 patients diagnosed with T2DM in a
medical center were recruited as participants. Data were collected using a
structured questionnaire. The collected data were analyzed using Fisher's exact
test, Mann-Whitney U test, and logistic regression. RESULTS: The results of
multiple logistic regression showed that glycated hemoglobin (B = 1.696, p =
.041) and communication and critical health literacy (B = -0.082, p = .034) were
significant factors of diabetic foot neuropathy. CONCLUSIONS / IMPLICATIONS FOR
PRACTICE: The findings of this study suggest that nurses should assess the health
literacy of patients with T2DM before providing health education and should
develop a specific foot-care intervention for individuals with poor glycemic
control.
PMID- 29790139
TI - [The Process of Healing Child Physical Abuse: Sprouting and Twining].
AB - BACKGROUND: Child physical abuse impacts the physical and psychological health of
survivors. Healing child abuse is an essential process that helps survivors
reorganize the meaning of the trauma and pursue a normal life. Considering the
trauma of child physical abuse within the social context allows the experiences
of individual survivors to be reflected in their process of healing. PURPOSE: To
explore the social interaction and construction process of healing experienced by
survivors of child physical abuse. METHODS: A qualitative research design using
grounded theory was applied. Purposive and theoretical sampling was used to
recruit survivors of childhood physical abuse who had experienced healing. Semi
structured, in-depth interviews were used and data were analyzed using open,
axial, and selective coding. RESULTS: The process of healing child physical abuse
in this study was a process of sprouting and twining. Three core categories
emerged: thriving, relationships, and ethics. The healing process was analogous
to a seed growing in poor soil, sprouting out from the ground, and striving to
live by seeking support. The survivors constantly established interactive
relationships with their selves and with others and struggled to keep family
bonds grounded and growing within the frame of ethics. CONCLUSIONS / IMPLICATIONS
FOR PRACTICE: The healing process of sprouting and twining for child physical
abuse survivors in Taiwan integrates thriving, relationships, and ethics.
Professionals working with child-physical-abuse survivors must recognize
conflicts in ethics. Strategies should be developed to assist survivors to cope
with the impact of childhood trauma in order to facilitate the healing process.
PMID- 29790138
TI - [The Relationship Between Fatigue and Uncertainty in Patients With Liver
Cirrhosis].
AB - BACKGROUND: Cirrhosis is a chronic, progressive, and currently incurable disease.
Cirrhotic patients often experience uncertainty due to poor control of symptoms
and disease recurrence. Although fatigue is a common symptom in patients with
liver cirrhosis, this symptom is often ignored by healthcare professionals due to
the lack of efficacious treatment options. Improper management of fatigue may
trigger greater uncertainty and affect adaptation success. PURPOSE: To explore
the relationship between fatigue and uncertainty and the factors that
respectively relate to these two concepts in liver cirrhosis patients. METHODS: A
cross-sectional, correlational design and convenience sampling were used to
recruit 76 liver cirrhotic inpatients from one hospital. Three structured
questionnaires, including the Personal Demographic Questionnaire, Brief Fatigue
Inventory-Taiwan Form, and Mishel's Uncertainty in Illness Scale, were used for
data collection. RESULTS: (1) The participants suffered from fatigue at a
moderate or higher level, with an average score of 5.39 +/- 2.34, which affected
their daily activities and greatly impacted their recreational activities.
Factors that were found to be associated with fatigue included disease severity,
albumin level, total bilirubin level, ascites, and the degree to which fatigue
affected the performance of daily activities. (2) Uncertainty was found to be at
a moderate or higher level, with an average score of 71.81 +/- 10.67. Level of
fatigue, the degree to which fatigue affected the performance of daily
activities, disease severity, and albumin level were found to relate
significantly to level of uncertainty. CONCLUSIONS / IMPLICATIONS FOR PRACTICE:
Fatigue and uncertainty are prevalent and distressing experiences for patients
with liver cirrhosis. A comprehensive assessment and broad-based approach to
nursing interventions are necessary in order to alleviate fatigue and uncertainty
effectively.
PMID- 29790140
TI - [The Relationship Between Quality of Life and Psychological and Behavioral
Factors in Patients With Heart Failure Following Cardiac Resynchronization
Therapy].
AB - BACKGROUND: While cardiac resynchronization therapy improves the quality of life
of patients with heart failure, some psychological and behavioral factors still
affect the quality of life of these patients. However, information on the factors
that affect the quality of life of these patients is limited. PURPOSE: To
describe the quality of life and investigate the relationship between quality of
life and behavioral and psychological factors such as depression, smoking,
drinking, water and sodium restrictions, exercise, and adherence in patients with
chronic heart failure following cardiac resynchronization therapy. METHODS: This
cross-sectional study was conducted using the Morisky Medication Adherence Scale,
Minnesota Living With Heart Failure Questionnaire, and Cardiac Depression Scale.
A convenience sample of 141 patients with heart failure following cardiac
resynchronization therapy were recruited from a tertiary academic hospital in
Chengdu. RESULTS: The mean overall score of the Minnesota Living With Heart
Failure Questionnaire was 30.89 (out of a total possible score of 105). Water
restrictions, sodium restrictions, depression, and exercise were all shown to
significantly predict quality of life among the participants. CONCLUSIONS /
IMPLICATIONS FOR PRACTICE: This paper describes the quality of life and defines
the behavioral factors that affect the quality of life of patients with heart
failure following cardiac resynchronization therapy. The findings suggest that
nurses should manage and conduct health education for patients in order to
improve their quality of life.
PMID- 29790141
TI - [Using TRM to Enhance the Accuracy of Ventilator-Associated Pneumonia Preventive
Measures Implemented by Neonatal Intensive Care Unit Medical Staffs].
AB - BACKGROUND & PROBLEMS: Ventilator-associated pneumonia (VAP) is a common
healthcare-associated infection in the neonatal intensive care unit (NICU). The
average VAP infection density was 4.70/00 in our unit between June and August
2015. The results of a status survey indicated that in-service education lacked
specialization, leading to inadequate awareness among staffs regarding the proper
care of newborns with VAP and a lack of related care guides. This, in turn,
resulted in inconsistencies in care measures for newborns with VAP. PURPOSE: To
improve the accuracy of implementation of preventive measures for VAP among
medical staffs and reduce the density of VAP infections in the NICU. RESOLUTIONS:
Conduct a literature search and adopt medical team resources management methods;
establish effective team communication; establish monitoring mechanisms and
incentives; establish mandatory in-service specialization education contents and
a VAP preventive care guide exclusively for newborns as a reference for medical
staffs during care execution; install additional equipment and aids and set
reminders to ensure the implementation of VAP preventive measures. RESULTS: The
accuracy rate of preventive measure execution by medical staffs improved from
70.1% to 97.9% and the VAP infection density in the NICU decreased from 4.70/00
to 0.520/00. CONCLUSIONS: Team integration effectively improved the accuracy of
implementation of VAP-prevention measures, reduced the density of VAP infections,
enhanced quality of care, and ensured that newborns received care that was more
in line with specialization needs.
PMID- 29790142
TI - [Application of Care Bundles to Reduce Medical-Device-Related Pressure Injury
(MDRPI) Incidence in a Coronary Care Unit].
AB - BACKGROUND & PROBLEMS: Pressure injuries increase the cost of medical care and
prolong hospitalization. The incidence of pressure injury at the target coronary
care unit (CCU) from January to August 2016 was 1.1%, which was significantly
higher than the 0.4% defined in the 2015 Taiwan Clinical Performance Indicators
(TCPI) system. Medical device related pressure injury (MDRPI) accounted for 73.1%
of the pressure injuries (incidence: 0.8%). The main causes of the high incidence
of MDRPI were: (1) inadequate decompression dressing and restraint equipment, (2)
incomplete implementation of prevention interventions in the CCU, (3) inadequate
knowledge of MDRPI prevention among nurses, (4) deficient standards for
preventing MDRPI, (5) ineffective MDRPI-related education, and (6) insufficient
auditing frequencies. PURPOSE: To reduce the incidence of MDRPI in the target CCU
to 0.4% or less. RESOLUTION: Promote a seed-teacher system and a care bundle that
"increased skin tolerance, decompression, medical dressing, change, and removal"
using education, the adoption of PE-foam binding gloves, and regular audits.
RESULTS: The incidence of MDRPI was reduced from 0.8% to 0.3% between October
2016 and April 2017 and further reduced to 0.1% during the maintenance phase
between May and July 2017. CONCLUSIONS: The results indicate that the improvement
program effectively reduced the incidence of MDRPI and thus increased the quality
of nursing care. Therefore, the use of a care bundles should be promoted in
intensive care units.
PMID- 29790143
TI - [Managing the Adverse Effects Related to Immune Checkpoint Inhibitors].
AB - Immune checkpoint inhibitors (ICIs) have become the new posterchild of cancer
treatment in recent years largely due to their impressive clinical efficacy.
Drugs targeting cytotoxic T- lymphocyte-associated antigen 4 (CTLA-4) and
programmed cell death-1 (PD-1) antibodies, e.g., ipilimumab (Yervoy(r)),
pembrolizumab (Keytruda(r)), and nivolumab (Opdivo(r)), reinvigorate cytotoxic T
cells to kill cancer cells in patients. Despite the impressive clinical benefits,
ICIs may induce immune-related adverse events (irAE) of the skin,
gastrointestinal tract, liver, endocrine, and lung with a wide spectrum of
severity. Rare but severe irAEs of critical organs such as the heart and central
nervous system have also been reported. Clinical practitioners must recognize the
early signs and symptoms of irAE as well as related management strategies.
PMID- 29790146
TI - RE: The tolerability of Potassium Citrate Tablet in patients with intolerance to
Potassium Citrate Powder form.
PMID- 29790144
TI - [Prone Position and Nursing Care].
AB - Acute respiratory distress syndrome (ARDS) is a life-threatening disease, as
acute inflammation in the lungs typically leads to hypoxia and symptoms of
dyspnea. The treatment modalities of ARDS include mechanical ventilation,
corticosteroid, extracorporeal membranous oxygenation, inhaled nitrogen oxide,
and the prone position. Among these, the prone position is supported by evidence
showing significantly reduced mortality in patients that adopt this modality.
Lying in the prone position reduces atelectatic lung volumes by recruitment of
dependent parts and facilitates normal regulation of alveolar ventilation, giving
better-matched ventilation perfusion and, thus, improved oxygenation. Lying in
the prone position should be initiated as early as possible and may be
implemented when there is limited improvement after 12-24 hours under mechanical
ventilation and when the PaO2/FiO2 ratio is less than 150 mmHg. However, the
prone position may not be appropriate for patients with increased intracranial
pressure, hemodynamic instability, an open abdominal wound, or pregnancy. Prior
to setting a patient in the prone position, fixation of tubings should be
affirmed, and all tubings should be reexamined after each adjustment in position
in order to ensure that they are free of twists and function well. In addition,
caution should be given to the skin at pressure points to avoid pressure sores
and foam dressings may be applied in advance for protection. This article
summarizes the pathophysiology of ARDS, the principle of applying the prone
position, and related indications, complications, and nursing care in order to
give nurses more confidence in caring for patients using the prone position.
PMID- 29790145
TI - [Nursing Experience of Using Mirror Visual Feedback for a Schizophrenia Patient
With Visual Hallucinations].
AB - The aim of this paper was to describe the nursing application of mirror visual
feedback in a patient suffering from long-term visual hallucinations. The
intervention period was from May 15th to October 19th, 2015. Using the five
facets of psychiatric nursing assessment, several health problems were observed,
including disturbed sensory perceptions (prominent visual hallucinations) and
poor self-care (e.g. limited abilities to self-bathe and put on clothing).
Furthermore, "caregiver role strain" due to the related intense care burden was
noted. After building up a therapeutic interpersonal relationship, the technique
of brain plasticity and mirror visual feedback were performed using multiple
nursing care methods in order to help the patient suppress her visual
hallucinations by enhancing a different visual stimulus. We also taught her how
to cope with visual hallucinations in a proper manner. The frequency and content
of visual hallucinations were recorded to evaluate the effects of management. The
therapeutic plan was formulated together with the patient in order to boost her
self-confidence, and a behavior contract was implemented in order to improve her
personal hygiene. In addition, psychoeducation on disease-related topics was
provided to the patient's family, and they were encouraged to attend relevant
therapeutic activities. As a result, her family became less passive and negative
and more engaged in and positive about her future. The crisis of "caregiver role
strain" was successfully resolved. The current experience is hoped to serve as a
model for enhancing communication and cooperation between family and staff in
similar medical settings.
PMID- 29790147
TI - Sensitivity and specificity of ex vivo dermatoscopy: a case series.
AB - BACKGROUND: Sensitivity and specificity of ex vivo dermatoscopy (EVD) for
malignancy detection of skin tumors is unknown. We sought to assess whether the
use of EVD could be a useful adjunct to histopathological diagnosis of pigmented
skin tumors, including cases where complete clinical information is inadequate or
missing. MATERIALS AND METHODS: EVD was performed on 195 excised, formalin-fixed
pigmented skin tumors. RESULTS: Of 183 eligible lesions, 104 (56.8%) were
melanocytic and 79 (43.2%) nonmelanocytic. Overall, 54 (29.5%) were malignant: 10
melanomas, 39 basal cell carcinomas, and five squamous cell carcinomas. Ex vivo
images were devoid of red color. The following colors were seen: light and dark
brown, grey, blue, black, and white. All structures typical for pigmented
melanocytic and nonmelanocytic lesions were observed. In malignant nonmelanocytic
lesions, diagnostic accuracy and sensitivity for malignant/benign decision was
not better when combining visual assessment and EVD but diagnostic specificity
improved by 3.0%. For melanoma, combined diagnostics improved diagnostic
accuracy, sensitivity, and specificity for 9.6, 30.0, and 7.5%, respectively.
CONCLUSION: For dermatopathologists, EVD offers increased specificity for all
categories of tumors and increased diagnostic accuracy, sensitivity, and
specificity for melanoma. With EVD view, the dermatopathologist can instantly
find areas of interest, thus minimizing the possibility for missing a malignant
lesion.
PMID- 29790148
TI - Prospective pilot study of cerebral near infrared spectroscopy monitoring during
pre-hospital anaesthesia.
AB - BACKROUND: Near-infrared spectroscopy (NIRS) provides a non-invasive measure of
cerebral tissue oxygenation. The literature on application of this method in pre
hospital setting is limited. The aims of this study were to determine the
feasibility of cerebral NIRS during pre-hospital anaesthesia and to quantify the
changes in front lobe regional oxygen saturation (rSO2 ) during the pre-hospital
phase. METHODS: NIRS monitoring (Nonin SenSmart X-100) of front lobe regional
oxygen saturation (rSO2) was initiated before induction of anaesthesia in 31
adult patients and continued until hospital arrival. The median age of the
patients was 55 years (IQR [range] 43-63 [20-84]), and 20 (65%) of the patients
were male. The indications for pre-hospital anaesthesia were neurological reasons
(29%), intoxication (23%), traumatic brain injury (23%) and successful
resuscitation from cardiac arrest (16%). RESULTS: The NIRS monitoring was
successful in 29 of 31 cases (94%; 95% CI: 78-99). One patient could not be
monitored due to poor probe-skin contact, and 1 patient had poor contact with 1
hemisphere. Monitoring was performed for a total of 1335 minutes and was
successful in both hemispheres 95% (95% CI: 94-96) of the time. The median lowest
rSO2 was 8% (IQR [range] 2-13 [0-30]) below baseline, and median peak rSO2 was 7%
(IQR [range] 2-11 [0-34]) above the baseline. Changes in rSO2 without
accompanying changes in vital signs were observed. CONCLUSION: NIRS is feasible
during pre-hospital anaesthesia and substantial changes were observed in some
patients. It provides data beyond the standard monitoring used in the pre
hospital setting.
PMID- 29790150
TI - The emerging role of awake videolaryngoscopy in airway management.
PMID- 29790149
TI - Survival after secondary cytoreductive surgery and chemotherapy compared with
chemotherapy alone for first recurrence in patients with platinum-sensitive
epithelial ovarian cancer and no residuals after primary treatment. A registry
based study.
AB - INTRODUCTION: To investigate whether secondary cytoreductive surgery and platinum
based chemotherapy improved survival among patients with recurrent, platinum
sensitive epithelial ovarian cancer compared with those who received platinum
based chemotherapy alone, and to identify possible predictors for selection to
secondary cytoreductive surgery. MATERIAL AND METHODS: We included 397 patients
who had a primary diagnosis of FIGO stage I-IV epithelial ovarian cancer recorded
in the Cancer Registry of Norway between 1 January 2002 and 31 December 2012,
received primary surgery with no residuals followed by platinum-based
chemotherapy, had first recurrence six or more months after completion of primary
platinum-based chemotherapy, and received secondary treatment with either
secondary cytoreductive surgery and platinum-based chemotherapy (secondary
cytoreductive surgery+platinum-based chemotherapy group) or platinum-based
chemotherapy alone (platinum-based chemotherapy group). Outcomes were progression
free survival to second recurrence or death and overall survival. Hazard ratios
were estimated using multivariable Cox regression. RESULTS: There were 75
patients in the secondary cytoreductive surgery+platinum-based chemotherapy group
in whom complete resection was achieved for 60 (80%), and 322 patients in the
platinum-based chemotherapy group. Both progression-free survival (hazard ratio
0.45, 95% confidence interval 0.32-0.62) and overall survival (hazard ratio 0.50,
95% confidence interval 0.32-0.70) were improved in the secondary cytoreductive
surgery+platinum-based chemotherapy compared with the platinum-based chemotherapy
group. A survival benefit was only seen in patients with no residuals at
secondary cytoreductive surgery. CONCLUSIONS: In selected epithelial ovarian
cancer patients with no residuals after primary surgery and a recurrent, platinum
sensitive tumor, the complete resection of recurrent tumor at secondary
cytoreductive surgery improves progression-free survival and overall survival.
Our results suggest that a long treatment-free interval and non-disseminated
lesions (three or fewer lesions) on radiological images could be useful
predictors for complete resection at secondary cytoreductive surgery.
PMID- 29790151
TI - Irreversible modifications of receptor tyrosine kinases.
AB - Each group of the 56 receptor tyrosine kinases (RTK) binds with one or more
soluble growth factors and coordinates a vast array of cellular functions. These
outcomes are tightly regulated by inducible post-translational events, such as
tyrosine phosphorylation, ubiquitination, ectodomain shedding, and regulated
intramembrane proteolysis. Because of the delicate balance required for
appropriate RTK function, cells may become pathogenic upon dysregulation of RTKs
themselves or their post-translational covalent modifications. For example,
reduced ectodomain shedding and decreased ubiquitination of the cytoplasmic
region, both of which enhance growth factor signals, characterize malignant
cells. Whereas receptor phosphorylation and ubiquitination are reversible,
proteolytic cleavage events are irreversible, and either modification might alter
the subcellular localization of RTKs. Herein, we focus on ectodomain shedding by
metalloproteinases (including ADAM family proteases), cleavage within the
membrane or cytoplasmic regions of RTKs (by gamma-secretases and caspases,
respectively), and complete receptor proteolysis in lysosomes and proteasomes.
Roles of irreversible modifications in RTK signaling, pathogenesis, and
pharmacology are highlighted.
PMID- 29790152
TI - Machine learning algorithms for accurate differential diagnosis of lymphocytosis
based on cell population data.
PMID- 29790153
TI - Centenarians' End-of-Life Thoughts and Plans: Is Their Social Network on the Same
Page?
AB - OBJECTIVES: To explore how centenarians think about and plan for the end of life
(EOL) and to what extent their primary contacts (proxy informants) are aware of
these thoughts. DESIGN: Population-based study with semistructured in-person
interviews. SETTING: Defined geographical region approximately 60 km around
Heidelberg, Germany. PARTICIPANTS: Subsample drawn from the larger study of
centenarians (N = 78) with data on centenarians' EOL thoughts from the
centenarian and the proxy informant. MEASUREMENTS: Centenarians reported on their
thoughts about the EOL, perception of the EOL as threatening, longing for death,
engagement in any EOL planning, and type of EOL plan (will, living will,
healthcare surrogate) in place. Proxy respondents answered the same set of
questions based on what they thought the centenarians' perspective was. RESULTS:
In nearly half of cases, proxies misjudged whether the centenarian thought about
EOL. Although only few centenarians perceived the EOL as threatening, and
approximately one-quarter reported longing for death, proxies overestimated
centenarians' reports on the former and underestimated the latter. Proxies
reported more centenarian EOL planning than centenarians themselves. CONCLUSION:
Even though enrolled proxies were mostly persons very close to the centenarian,
many of them did not seem to be well informed about the centenarians' thoughts
and plans regarding the EOL, suggesting a lack of communication between
centenarians and social network members in this respect. Healthcare professionals
should be aware that, even for very old adults approaching the end of their
lives, discussions about EOL and EOL planning may need to be actively encouraged
and supported.
PMID- 29790154
TI - Omission of surgery in older women with early breast cancer has an adverse impact
on breast cancer-specific survival.
AB - BACKGROUND: Primary endocrine therapy is used as an alternative to surgery in up
to 40 per cent of women with early breast cancer aged over 70 years in the UK.
This study investigated the impact of surgery versus primary endocrine therapy on
breast cancer-specific survival (BCSS) in older women. METHODS: Cancer
registration data for 2002-2010 were obtained from two English regions. A
retrospective analysis was performed for women with oestrogen receptor (ER)
positive disease, using statistical modelling to show the effect of treatment
(surgery or primary endocrine therapy) and age and health status on BCSS. Missing
data were handled using multiple imputation. RESULTS: Cancer registration data on
23 961 women were retrieved. After data preprocessing, 18 730 of 23 849 women
(78.5 per cent) were identified as having ER-positive disease; of these, 10 087
(53.9 per cent) had surgery and 8643 (46.1 per cent) had primary endocrine
therapy. BCSS was worse in the primary endocrine therapy group than in the
surgical group (5-year BCSS rate 69.4 and 89.9 per cent respectively). This was
true for all strata considered, although the difference was less in the cohort
with the greatest degree of co-morbidity. For older, frailer patients the hazard
of breast cancer death had less relative impact on overall survival. CONCLUSION:
BCSS in older women with ER-positive disease is worse if surgery is omitted. This
treatment choice may contribute to inferior cancer outcomes. Selection for
surgery on the basis of predicted life expectancy may permit choice of women for
whom surgery confers little benefit.
PMID- 29790155
TI - Dehydroepiandrosterone and dehydroepiandrosterone sulfate levels in combat
veterans with or without a history of suicide attempt.
AB - OBJECTIVE: The goal of this study was to determine whether combat veterans who
have made a suicide attempt postdeployment can be distinguished from combat
veterans who have never made a suicide attempt based on differences in
psychological and biological variables. METHODS: Demographic and clinical
parameters of suicide attempters and non-attempters were assessed. Blood samples
were assayed for dehydroepiandrosterone (DHEA) and dehydroepiandrosterone sulfate
(DHEAS). RESULTS: Suicide attempters had higher Scale for Suicidal Ideation and
Montgomery-Asberg Depression Rating Scale (MADRS)-suicidal thoughts item scores
in comparison with non-attempters. There was a trend toward higher MADRS scores
in the suicide attempter group compared with non-attempters. Suicide attempters
had significantly lower levels of DHEA and DHEAS compared with non-attempters.
Scale for Suicidal Ideation scores in all study participants combined negatively
correlate with DHEA and DHEAS levels. DHEAS levels negatively correlate with
Scale for Suicidal Ideation scores in suicide non-attempters but not in suicide
attempters. DHEA/DHEAS ratios positively correlate with total adolescence
aggression scores, total adulthood aggression scores, and total aggression scale
scores in suicide attempters but not in suicide non-attempters. CONCLUSION: There
are psychobiological differences between combat veterans with or without a
history of suicidal behaviour.
PMID- 29790156
TI - Revisiting the impact of red cell storage duration on adverse outcomes after
transfusion.
PMID- 29790157
TI - Pallister-Killian syndrome: Review of fetal phenotype.
AB - Pallister-Killian syndrome is a multi-system sporadic disorder with developmental
delay. It is a rare chromosomal abnormality involving supernumerary isochormosome
12p. The disorder exhibits tissue specific mosaicism. The first prenatal
diagnosis of PKS was reported in 1985 after ultrasound detection of fetal
anomalies. Since this observation, there have been about 62 reports of fetuses
with PKS. In this review, we cover the prenatal aspects of PKS.
PMID- 29790158
TI - Change in Mallampati class during labor: Hypertensive versus normotensive
parturients.
AB - BACKGROUND: Mallampati class has been shown to increase during labor. There are
no prospective studies evaluating airway changes in hypertensive parturients
during labor. The aim of our study was to observe the frequency of change in
Mallampati class during labor in hypertensive compared to normotensive
parturients. METHODS: In this prospective observational cohort study, 60
parturients were enrolled and divided into two groups of 30 each: hypertensive
parturients and normotensive parturients. The Mallampati class was evaluated in
each parturient at two points; during early labor (cervical dilation 1-3 cm and
regular contractions) taken as T1 and at 20 minutes after delivery taken as T2.
The change in Mallampati class from T1 to T2 was compared between the two groups.
Post-stratification chi-square test was applied and P value <.05 was considered
as significant. RESULTS: The change in Mallampati class was significantly more
frequent in hypertensive group as compared to normotensive group [80% (24/30) vs
46.7% (14/30); P = .002]. Overall, an increase in Mallampati class during labor
was found with statistically significant difference between the hypertensive and
normotensive group [80% (24/30) vs 42.9% (12/28); P = .004]. The risk of increase
in Mallampati class was 2 times higher in hypertensive women as compared to
normotensive 2.44; 95% CI: 1.19 to 5.02]. CONCLUSION: Mallampati class showed 2
fold increase in hypertensive compared to normotensive parturients during labor;
requiring additional caution during airway management.
PMID- 29790159
TI - Development of a new analgesic index using nasal photoplethysmography.
AB - Although surrogate measures to quantify pain intensity have been commercialised,
there is a need to develop a new index with improved accuracy. The aim of this
study was to develop a new analgesic index using nasal photoplethysmography data.
The specially designed sensor was placed between the columella and the nasal
septum to acquire nasal photoplethysmography in surgical patients. Nasal
photoplethysmography and Surgical Pleth Index(r) (GE Healthcare) data were
obtained for 14 min both in the absence (pre-operatively) or presence
(postoperatively) of pain in a group of surgical patients, each patient acting as
their own control. Various dynamic photoplethysmography variables were extracted
to quantify pain intensity; the most accurate index was selected using logistic
regression as a classifier. The area under the curve of the receiver-operating
characteristic curve was measured to evaluate the accuracy of final model
predictions. In total, 12,012 heart beats from 89 patients were used to develop a
new Nasal Photoplethysmography Index for analgesic depth quantification. The two
variable model (a combination of diastolic peak point variation and heart beat
interval variation) was most accurate in discriminating between the presence and
absence of pain (numerical rating scale (NRS) >= 3). The accuracy and area under
the curve of the receiver-operating characteristic curve for the Nasal
Photoplethysmography Index were 75.3% and 0.8018, respectively, and 64.8% and
0.7034, respectively, for the Surgical Pleth Index. The Nasal
Photoplethysmography Index clearly distinguished pain (NRS >= 3) in awake
surgical patients with postoperative pain. The Nasal Photoplethysmography Index
performed better than the Surgical Pleth Index. Further validation studies are
needed to evaluate its feasibility to quantify pain intensity during general
anaesthesia.
PMID- 29790160
TI - I follow, therefore I lead: A longitudinal study of leader and follower identity
and leadership in the marines.
AB - It is acknowledged that identity plays an important role in a person's leadership
development. To date, however, there has been little consideration of the
possibility - suggested by the social identity perspective - that individuals who
identify as followers may be especially likely to emerge as leaders. We test this
possibility in a longitudinal sample of recruit commandos in the Royal Marines.
Recruits rated their identification with leader and follower roles five times
over the course of their 32-week training programme. Recruits' leadership and
followership were evaluated by their commanders, and their leadership was
assessed by their peers. Analysis indicated that while recruits who identified as
leaders received higher leadership ratings from their commanders, recruits who
identified - and were perceived - as followers emerged as leaders for their
peers. These findings suggest that follower and leader identities underpin
different aspects of leadership and that these are differentially recognized by
others.
PMID- 29790161
TI - Time after seawater transfer influences immune cell abundance and responses to
SAV3 infection in Atlantic salmon.
AB - Pancreas disease (PD) caused by salmonid alphavirus (SAV) severely affects
salmonid aquaculture during the seawater phase. To characterize immune cells in
target tissues for SAV infection, heart, pancreas and pyloric caeca were analysed
from two groups of fish adapted to seawater for 2 and 9 weeks. The sections were
scored for the relative abundance of cells expressing MHC class II, IgM, CD3, CD8
or neutrophil/granulocyte markers using immuno-histochemical techniques. In
general, necrosis of tissue was more severe in fish infected at 2 weeks post
seawater transfer (wpt) compared with those infected at 9 wpt. At 9 wpt, there
were higher numbers of MHC II+ cells in heart, pancreas and pyloric caeca, IgM+
cells in heart and pancreas, and CD3+ cells in pancreas compared to those
infected at 2 wpt. The majority of the immune cells infiltrating PD-affected
tissues were MHC II+ and CD3+ cells suggesting that antigen-presenting cells and
T lymphocytes are the main types of immune cells responding to SAV infection. All
the investigated cell types were also observed in pyloric caeca of infected fish,
suggesting that this tissue may play a role in the immune response to SAV.
PMID- 29790162
TI - Getting What We Pay For: How Do Risk-Based Payments to Medicare Advantage Plans
Compare with Alternative Measures of Beneficiary Health Risk?
AB - OBJECTIVE: To estimate the relative health risk of Medicare Advantage (MA)
beneficiaries compared to those in Traditional Medicare (TM). DATA SOURCES/STUDY
SETTING: Medicare claims and enrollment records for the sample of beneficiaries
enrolled in Part D between 2008 and 2015. STUDY DESIGN: We assigned therapeutic
classes to Medicare beneficiaries based on their prescription drug utilization.
We then regressed nondrug health spending for TM beneficiaries in 2015 on
demographic and therapeutic class identifiers for 2014 and used coefficients from
this regression to predict relative risk of both MA and TM beneficiaries.
PRINCIPAL FINDINGS: Based on prescription drug utilization data, beneficiaries
enrolled in MA in 2015 had 6.9 percent lower health risk than beneficiaries in
TM, but differences based on coded diagnoses suggested MA beneficiaries were 6.2
percent higher risk. The relative health risk based on drug usage of MA
beneficiaries compared to those in TM increased by 3.4 p.p. from 2008 to 2015,
while the relative risk using diagnoses increased 9.8 p.p. CONCLUSIONS: Our
results add to a growing body of evidence suggesting MA receives favorable, or,
at worst, neutral selection. If MA beneficiaries are no healthier and no sicker
than similar beneficiaries in TM, then payments to MA plans exceed what is
warranted based on their health status.
PMID- 29790163
TI - Predictors of new-onset chronic kidney disease in patients managed surgically for
T1a renal cell carcinoma: An Australian population-based analysis.
AB - BACKGROUND: New-onset chronic kidney disease (CKD) following surgical management
of kidney tumors is common. This study evaluated risk factors for new-onset CKD
after nephrectomy for T1a renal cell carcinoma (RCC) in an Australian population
based cohort. METHODS: There were 551 RCC patients from the Australian states of
Queensland and Victoria included in this study. The primary outcome was new-onset
CKD (eGFR <60 mL/min per 1.73 m2 ) and the secondary outcome was new-onset
moderate-severe CKD (<45 mL/min per 1.73 m2 ). Multivariable logistic regression
was used to evaluate associations between patient, tumor and health-service
characteristics and these outcomes. RESULTS: Forty percent (219/551) of patients
developed new-onset CKD, and 12% (68/551) experienced new-onset moderate-severe
CKD. Risk factors for new-onset CKD were age, lower preoperative eGFR, tumor size
>20 mm, radical nephrectomy, lower hospital caseloads (<20 cases/year), and rural
place of residence. The associations between rural place of residence and low
center volume were a consequence of higher radical nephrectomy rates. CONCLUSION:
Risk factors for CKD after nephrectomy generally relate to worse baseline health,
or likelihood of undergoing radical nephrectomy. Surgeons in rural centres and
hospitals with low caseloads may benefit from formalized integration with
specialist centers for continued professional development and case-conferencing,
to assist in management decisions.
PMID- 29790165
TI - IgG1+ B-cell immunity predates IgE responses in epicutaneous sensitization to
foods.
AB - BACKGROUND: The generation of IgE-mediated food allergy in humans is silent and
only diagnosed upon manifestation of clinical symptoms. While experimental models
have been used to investigate some mechanisms of allergic sensitization, the
generation of humoral immunity and memory remains to be elucidated. Here, we
defined the evolution of allergen-specific B-cell responses during epicutaneous
sensitization to foods. METHODS: Wild-type and genetic knockout animals, and drug
or antibody strategies for cell depletion and immunoglobulin signaling blockade
were used to investigate epicutaneous sensitization and disease progression; we
analyzed allergen-specific germinal centers and IgG1+ memory B cells by flow
cytometry, evaluated humoral responses, and determined clinical reactivity
(anaphylaxis). RESULTS: Epicutaneous sensitization caused microscopic skin
damage, inflammation, and recruitment of activated dendritic cells to the
draining lymph nodes. This process generated allergen-specific IgG1+ germinal
center B cells, serum IgG1, and anaphylaxis that was mediated by the alternative
pathway. Whether we used peanut and/or ovalbumin from the egg white for
sensitization, the allergen-specific IgG1+ memory compartment predominantly
exhibited an immature, pro-germinal center phenotype (PDL-2- CD80- CD35+ CD73+ ).
Subsequent subclinical exposures to the allergen induced IgE+ germinal center B
cells, serum IgE, and likely activated the classical pathway of anaphylaxis.
CONCLUSIONS: Our data demonstrate that IgG1+ B-cell immunity against food
allergens in epicutaneous sensitization precedes the generation of IgE responses.
Therefore, the assessment of allergen-specific cellular and humoral IgG1+
immunity may help to identify individuals at risk of developing IgE-mediated food
allergy and hence provide a window for therapeutic interventions.
PMID- 29790167
TI - Beware of memes in the interpretation of your results - lessons from gene
disrupted mice in fertilization research.
AB - For decades, researchers in the fertilization field reported various candidate
factors involved in sperm-egg interaction through experiments using enzyme
inhibitors and/or antibodies. However, almost all of these factors have been
shown to be nonessential by gene disruption experiments. Recently, attention has
focused on the low reproducibility of papers in many research fields. In this
Review, I retrospectively revisit how fertilization factors were misinterpreted
and led to wrong hypotheses in relation to the reportedly low reproducibility of
scientific papers.
PMID- 29790166
TI - Physical Therapy as the First Point of Care to Treat Low Back Pain: An
Instrumental Variables Approach to Estimate Impact on Opioid Prescription, Health
Care Utilization, and Costs.
AB - OBJECTIVE: To compare differences in opioid prescription, health care
utilization, and costs among patients with low back pain (LBP) who saw a physical
therapist (PT) at the first point of care, at any time during the episode or not
at all. DATA SOURCES: Commercial health insurance claims data, 2009-2013. STUDY
DESIGN: Retrospective analyses using two-stage residual inclusion instrumental
variable models to estimate rates for opioid prescriptions, imaging services,
emergency department visits, hospitalization, and health care costs. DATA
EXTRACTION: Patients aged 18-64 years with a new primary diagnosis of LBP, living
in the northwest United States, were observed over a 1-year period. PRINCIPAL
FINDINGS: Compared to patients who saw a PT later or never, patients who saw a PT
first had lower probability of having an opioid prescription (89.4 percent), any
advanced imaging services (27.9 percent), and an Emergency Department visit (14.7
percent), yet 19.3 percent higher probability of hospitalization (all p < .001).
These patients also had significantly lower out-of-pocket costs, and costs
appeared to shift away from outpatient and pharmacy toward provider settings.
CONCLUSIONS: When LBP patients saw a PT first, there was lower utilization of
high-cost medical services as well as lower opioid use, and cost shifts
reflecting the change in utilization.
PMID- 29790164
TI - Purinergic receptor stimulation induces calcium oscillations and smooth muscle
contraction in small pulmonary veins.
AB - KEY POINTS: We investigated the excitation-contraction coupling mechanisms in
small pulmonary veins (SPVs) in rat precision-cut lung slices. We found that SPVs
contract strongly and reversibly in response to extracellular ATP and other
vasoconstrictors, including angiotensin-II and endothelin-1. ATP-induced
vasoconstriction in SPVs was associated with the stimulation of purinergic P2Y2
receptors in vascular smooth muscle cell, activation of phospholipase C-beta and
the generation of intracellular Ca2+ oscillations mediated by cyclic Ca2+ release
events via the inositol 1,4,5-trisphosphate receptor. Active constriction of SPVs
may play an important role in the development of pulmonary hypertension and
pulmonary oedema. ABSTRACT: The small pulmonary veins (SPVs) may play a role in
the development of pulmonary hypertension and pulmonary oedema via active changes
in SPV diameter, mediated by vascular smooth muscle cell (VSMC) contraction.
However, the excitation-contraction coupling mechanisms during vasoconstrictor
stimulation remain poorly understood in these veins. We used rat precision-cut
lung slices and phase-contrast and confocal microscopy to investigate dynamic
changes in SPV cross-sectional luminal area and intracellular Ca2+ signalling in
their VSMCs. We found that the SPV (~150 MUm in diameter) contract strongly in
response to extracellular ATP and other vasoconstrictors, including angiotensin
II and endothelin-1. ATP-induced SPV contraction was fast, concentration
dependent, completely reversible upon ATP washout, and inhibited by purinergic
receptor antagonists suramin and AR-C118925 but not by MRS2179.
Immunofluorescence showed purinergic P2Y2 receptors expressed in SPV VSMCs. ATP
induced SPV contraction was inhibited by phospholipase Cbeta inhibitor U73122 and
accompanied by intracellular Ca2+ oscillations in the VSMCs. These Ca2+
oscillations and SPV contraction were inhibited by the inositol 1,4,5
trisphosphate receptor inhibitor 2-APB but not by ryanodine. The results of the
present study suggest that ATP-induced vasoconstriction in SPVs is associated
with the activation of purinergic P2Y2 receptors in VSMCs and the generation of
Ca2+ oscillations.
PMID- 29790168
TI - Periodontitis and placental growth factor in oral fluids are early pregnancy
predictors of gestational diabetes mellitus.
AB - BACKGROUND: Gestational diabetes mellitus (GDM) affects around 7% to 10% of all
pregnancies. Early detection of predisposition to GDM is the first step in
developing efficacious preventive treatment. The objective of the present study
was to establish the utility of placental proteins presents in oral fluids
(gingival crevicular fluid [GCF] and saliva), and periodontal disease status as
early pregnancy predictors of GDM. METHODS: A nested case control within a
prospective cohort was conducted. Pregnant systemically healthy women, aged
between 18 and 40 years at 11 to 14 weeks gestation were included. Samples of
oral fluids were collected and a complete maternal/obstetric and periodontal
history was obtained. The concentration of placental growth factor (PlGF) and
soluble Fms-like tyrosine kinase 1 (sFlt-1) were measured by enzyme-linked
immunosorbent assay in a nested case control sample of the prospective cohort.
Multiple logistic regression models assessed the association. The evaluation of
the diagnostic accuracy of the biomarkers was performed through receiver
operating characteristic (ROC) curves by calculating the area under the curve
(AUC). RESULTS: There were recruited 212 pregnant women at 11 to 14 weeks of
pregnancy, of these, 14 women (i.e., 6.6%) developed GDM, and displayed
significant greater bleeding on probing (BOP) [P = 0.0003]; periodontal probing
depth (PD) [P = 0.0028]; clinical attachment level (AL) [P = 0.0008] and
periodontal inflamed surface area (PISA) [P = 0.0001]. Similarly, initial
glycemia and GCF-PlGF concentrations were significantly greater in women with GDM
[P = 0.0012, and P = 0.0019, respectively]. When data were subjected to ROC curve
analysis, the combination of initial glycemia and GCF-PlGF concentration
delivered an area under the ROC curve of 0.897. Multiple logistic regression
analyses demonstrate an association between glycemia (OR 1.21, 95% confidence
interval [CI] 1.06 to 1.38; P = 0.005) and GCF-PlGF concentrations in women who
developed GDM (OR 1.68, CI 1.05 to 2.68 P = 0.03). CONCLUSIONS: Within the
limitations of the present study, the results support that first trimester
maternal glycemia combined with GCF-PlGF concentrations could be a surrogate
biomarker for the future development of GDM in pre-symptomatic women.
PMID- 29790169
TI - MET in gastric cancer with liver metastasis: The relationship between MET
amplification and Met overexpression in primary stomach tumors and liver
metastasis.
AB - BACKGROUND AND OBJECTIVES: Although MET amplification/overexpression was observed
in a subset of gastric cancer (GC) patients, the relationship between MET
amplification/overexpression in primary GC and liver metastasis was unclear.
METHODS: GC samples and matched liver metastases (N = 47) were analyzed by
fluorescence/silver in-situ hybridization (FISH/SISH) and by immunohistochemistry
for MET amplification and MET expression, respectively. MET-copy number (CN) and
Met expression data from The Cancer Genome Atlas Stomach Adenocarcinoma (TCGA
STAD, N = 356) were also analyzed. RESULTS: Significant overlap existed between
MET amplification and Met expression in both primary stomach tumors (P = 0.013)
and liver metastasis (P = 0.001). In TCGA-STAD, MET-CN (>=4 copies) and MET
expression were also positively correlated (r = 0.761; P = 0.017). Comparative
analysis revealed a strong association between MET expression and MET
amplification (85% concurrence) in primary stomach tumors and matched liver
metastasis. MET status in synchronous liver metastasis (N = 36) was correlated
with primary stomach tumors. However, a significant correlation between primary
tumors and liver metastases was not observed in patients with metachronous liver
metastasis. Survival analyses revealed that both MET amplification and MET
overexpression were prognostic of poor outcomes. CONCLUSIONS: MET amplification
and Met overexpression were positively correlated in GC. MET status should be re
evaluated in GC patients with liver metastasis, especially for metachronous
metastasis.
PMID- 29790170
TI - Calculation of breast volumes from mammogram: Comparison of four separate
equations relative to mastectomy specimen volumes.
AB - BACKGROUND AND OBJECTIVES: Accurately assessing breast volume (BV) relative to
the volume of breast tissue to be removed could help objectively determine the
optimal surgical candidates for breast conserving surgery. The objective of this
study was to determine the optimal mammography-based method of BV estimation.
METHODS: Mammography data was obtained for patients who underwent mastectomy for
breast cancer from 2005 to 2015. This data was used to calculate BV using four
previously published equations. Results were compared to mastectomy specimen
volumes calculated from specimen weights and breast density. Five practitioners
then independently assessed reproducibility and ease of use. RESULTS: Complete
mammographic measurements were available for 65 breasts from 45 patients. Median
age was 58 years (range 19-82). Mammographic breast density scores were available
for 62 breasts. Of the 65 mastectomies performed, 16 (36%) were simple
mastectomies. The equation BV = 1/3piRcc Rmlo Hmlo most closely approximated
actual breast specimen volumes (R = 0.89, P < 0.0001). Internal correlation of
calculated BV was excellent among all practitioners (lowest Pearson R = 0.963).
CONCLUSIONS: Breast volumes can be reliably estimated utilizing measurements from
a preoperative mammogram. This low-cost method of volumetric analysis can be
employed to guide surgical decision making in treatment of patients with invasive
breast cancer.
PMID- 29790171
TI - Individual variation, population-specific behaviours and stochastic processes
shape marine migration phenologies.
AB - The phenology of long-distance migrations can influence individual fitness,
moderate population dynamics and regulate the availability of ecosystem services
to other trophic levels. Phenology varies within and among populations, and can
be influenced by conditions individuals experience both prior to departure and
encounter en route. Assessing how intrinsic and extrinsic factors (e.g.,
individual physical condition vs. environmental conditions) interact to influence
variation in migratory phenologies across ecological scales is often limited due
to logistical constraints associated with tracking large numbers of individuals
from multiple populations simultaneously. We used two natural tags, DNA and
otolith microstructure analysis, to estimate the relative influence of individual
traits (life-history strategy, body size at departure and growth during
migration), population-specific behaviours and interannual variability on the
phenology of marine migrations in juvenile sockeye salmon Oncorhynchus nerka. We
show that the timing and duration of juvenile sockeye salmon migrations were
correlated with both life-history strategy and body size, while migration
duration was also correlated with departure timing and growth rates during
migration. Even after accounting for the effect of individual traits, several
populations exhibited distinct migration phenologies. Finally, we observed
substantial interannual and residual variation, suggesting stochastic
environmental conditions moderate the influence of carry-over effects that
develop prior to departure, as well as population-specific strategies. Migratory
phenologies are shaped by complex interactions between drivers acting at multiple
ecological and temporal scales. Given evidence that intraspecific diversity can
stabilize ecological systems, conservation efforts should seek to maintain
migratory variation among populations and preserve locally adapted phenotypes;
however, variation within populations, which may buffer systems from
environmental stochasticity, should also be regularly assessed and preserved.
PMID- 29790173
TI - Allergic contact dermatitis caused by octylisothiazolinone in a leather sofa.
PMID- 29790172
TI - Cell remodeling and subtilase gene expression in the actinorhizal plant Discaria
trinervis highlight host orchestration of intercellular Frankia colonization.
AB - Nitrogen-fixing filamentous Frankia colonize the root tissues of its actinorhizal
host Discaria trinervis via an exclusively intercellular pathway. Here we present
studies aimed at uncovering mechanisms associated with this little-researched
mode of root entry, and in particular the extent to which the host plant is an
active partner during this process. Detailed characterization of the expression
patterns of infection-associated actinorhizal host genes has provided valuable
tools to identify intercellular infection sites, thus allowing in vivo confocal
microscopic studies of the early stages of Frankia colonization. The subtilisin
like serine protease gene Dt12, as well as its Casuarina glauca homolog Cg12, are
specifically expressed at sites of Frankia intercellular colonization of D.
trinervis outer root tissues. This is accompanied by nucleo-cytoplasmic
reorganization in the adjacent host cells and major remodeling of the
intercellular apoplastic compartment. These findings lead us to propose that the
actinorhizal host plays a major role in modifying both the size and composition
of the intercellular apoplast in order to accommodate the filamentous
microsymbiont. The implications of these findings are discussed in the light of
the analogies that can be made with the orchestrating role of host legumes during
intracellular root hair colonization by nitrogen-fixing rhizobia.
PMID- 29790174
TI - An update of NIH research funding of AAPM members from 1985 to 2017.
PMID- 29790175
TI - Mechanisms of cell regulation - proteolysis, the big surprise.
AB - Precise regulation of cellular processes is essential for life. Regarding
proteins, many regulatory mechanisms were explored over the years, such as
posttranslational modifications (e.g., phosphorylation), enzyme activation or
inhibition by small molecules, and modulation of protein-protein interactions.
Complete removal of a protein via proteolysis as a regulatory mechanism, however,
was denied for a long time, mainly due to economical considerations. Scientists
could not believe that a protein which is synthesized at the expense of a lot of
energy could be destroyed again. Here, we discuss the landmark discoveries and
the use of yeast as a eukaryotic model organism that finally paved the way for
our current understanding of proteolysis as an essential regulatory principle in
the cell.
PMID- 29790176
TI - Tau filaments in neurodegenerative diseases.
AB - The ordered assembly of Tau protein into abnormal filamentous inclusions is a
defining characteristic of many human neurodegenerative diseases. Thirty years
ago, we reported that Tau is an integral component of the intraneuronal filaments
of Alzheimer's disease. All six brain Tau isoforms make up those filaments.
Twenty years ago, we and others showed that mutations in MAPT, the Tau gene,
cause familial forms of frontotemporal dementia, thus proving that dysfunction of
Tau protein is sufficient to cause neurodegeneration and dementia. More recently,
we showed that high-resolution structures of Tau filaments from human brain can
be determined by electron cryo-microscopy. These filaments may form the seeds
that underlie the prion-like properties of aggregated tau.
PMID- 29790177
TI - MRI-based EMVI positivity predicts systemic recurrence in rectal cancer patients
with a good tumor response to chemoradiotherapy followed by surgery.
AB - BACKGROUND: This study aimed to determine the prognostic value of baseline
magnetic resonance imaging-based extramural vascular invasion status (EMVI) among
rectal cancer patients with a good tumor response to standard chemoradiotherapy
followed by surgery. METHODS: A total of 359 patients with ypT0-2/N0 disease from
The Yonsei Multicenter Colorectal Cancer Electronic Database were retrospectively
included between January 2000 and December 2014. Magnetic resonance images and
medical records were reviewed to investigate risk factors for tumor recurrence.
RESULTS: When we compared patients without and with EMVI, significant differences
were observed in the 5-year disease-free survival rate (DFS) (80.8% vs 57.8%, P =
0.005) and in the 5-year systemic recurrence-free survival rate (SRFS) (86.9% vs
64.3%, P = 0.007). In the multivariate analysis, both mrEMVI and APR
independently predicted overall DFS (APR; HR 2.088, 95% CI: 1.082-4.031, P =
0.028, mrEMVI; HR: 2.729, 95% CI: 1.230-6.058, P = 0.014). mrEMVI was only
independent prognostic factor for systemic recurrence with statistical
significance (HR: 3.321, 95% CI: 1.185-9.309, P = 0.022). CONCLUSION: Even in
rectal cancer patients with a good response to chemoradiotherapy followed by
curative surgery, extramural vascular invasion and APR may predict poor disease
free survival outcomes. Intensified treatment strategy should be considered.
PMID- 29790180
TI - Very late sensitization to parabens induced by repeated applications of an
anaesthetic therapeutic plaster to non-damaged skin.
PMID- 29790179
TI - Merkel cell carcinoma: Clinical outcome and prognostic factors in 351 patients.
AB - BACKGROUND: Merkel cell carcinoma (MCC) is a rare and aggressive neuroendocrine
carcinoma of the skin. AIM: To describe clinical outcome and prognostic factors
of MCC patients in two expert-centers. METHOD: Patients with histologically
confirmed MCC in 1990-2014 were included. Data on patient, tumor characteristics
and treatment were retrospectively collected. RESULTS: A total of 351 Patients
were evaluated, 153 (44%) males, median age 74 years (range 28-94). Median follow
up time was 28 months (IQR 13-58). Median primary tumor size was 17 mm (range 2
135). At time of diagnosis 112 (32%) patients had lymph node metastases. The
cohorts' 5-year overall survival (OS) was 58%. Using a competing risk analysis
the 5-year relapse and MCC related death was 42% and 22%. Adjuvant radiation
therapy (XRT) was associated with reduced recurrence (SDH 0.54; CI 0.3-0.9).
Nodal involvement (SDH 2.7; CI 1.1-6.6) and the male gender were associated with
higher MCC related death (SDH 3.1; CI 1.2-7.9) CONCLUSION: In a large cohort a
low MCC related death, in the presence of a low OS was seen. This indicates that
a significant number of MCC patients die due to other causes than MCC. Adjuvant
XRT was associated with relapse. Male gender and nodal metastasis were associated
with MCC related death.
PMID- 29790178
TI - Comparison of sentinel lymph node biopsy guided by blue dye with or without
indocyanine green in early breast cancer.
AB - BACKGROUND: There were limited data available for a head-to-head comparison of
the identification rate and survival between the combined method of indocyanine
green fluorescence and blue dye versus the traditional blue dye alone method for
sentinel lymph node (SLN) biopsy. METHODS: From January 2013 to December 2015,
523 eligible breast cancer patients were included in this nonrandomized
prospective analysis. The identification rates, the number of SLNs identified,
and the disease-free survival (DFS) between the two mapping methods were
compared. RESULTS: The identification rate of SLNs was significantly higher with
the combined method than that with the blue dye alone method (99.2% vs 93.3%,
respectively; P < 0.001). The average number of SLNs identified per patient in
the combined method group was 3.7 +/- 2.4, which was more than that in the blue
dye alone group (3.2 +/- 1.6; P = 0.004). With a median follow-up of 29 months,
0.5% patients in the combined group, and 1.3% patients in the blue dye group had
axillary recurrences. The DFS between the two groups showed no significant
difference (P = 0.161). CONCLUSION: The combined method achieved a higher
identification rate and lower rate of axillary recurrence compared to the blue
dye alone method.
PMID- 29790181
TI - Spatial Semantics, Cognition, and Their Interaction: A Comparative Study of
Spatial Categorization in English and Korean.
AB - This study has two goals. First, we present much-needed empirical linguistic data
and systematic analyses on the spatial semantic systems in English and Korean,
two languages that have been extensively compared to date in the debate on
spatial language and spatial cognition. We conduct our linguistic investigation
comprehensively, encompassing the domains of tight- and loose-fit as well as
containment and support relations. The current analysis reveals both cross
linguistic commonalities and differences: From a common set of spatial features,
each language highlights a subset of those features for its principal
categorization, and those primary features are importantly different between
English and Korean: English speakers categorize events predominantly by
containment and support relations (and do so with prepositions), whereas Korean
speakers categorize them by tight-fit and loose-fit relations (and do so with
verbs), with a further distinction of containment and support within the loose
fit relation. The analysis also shows that the tight-fit domain is more cross
linguistically diverse in categorization than is the loose-fit domain. Second, we
test the language data against the nonlinguistic categorization results reported
in Choi and Hattrup (2012). The results show a remarkable degree of convergence
between the patterns predicted from the current linguistic analysis and those
found in C&H's nonlinguistic study and thus provide empirical and strong evidence
for an influence of language on nonlinguistic spatial cognition. At the same
time, the study reveals areas where the two systems closely interact with each
other as well as those where one is independent from the other. Taking both parts
of the study together, we identify the specific roles that language and spatial
perception/cognition play in spatial categorization.
PMID- 29790182
TI - Effect of chronic toluene exposure on heart rhythm parameters.
AB - BACKGROUND: Toluene is used extensively in various industrial processes, and an
increasing number of workers are getting exposed to its vapor. Cardiac
abnormalities that have been reported in association with toluene exposure (in
toxic doses) are atrioventricular conduction abnormalities, sinus bradycardia,
ventricular tachycardia, recurrent myocardial infarction, dilated cardiomyopathy,
and coronary vasospasm. HYPOTHESIS: We aimed to investigate the effects of
chronic toluene exposure on cardiac rhythm. METHODS: In this study, 40 workers in
the polishing industry with more than 3 months of exposure to a mixture of
organic solvents including toluene and 38 control subjects working in other
fields who were matched by age, sex, smoking, habits, and living accommodation
were investigated. Twelve-lead surface electrocardiogram and 24-hour Holter
recordings were performed to determine QRS duration, PR duration (P and R wave
interval on electrocardiograms), P wave dispersion, corrected QT dispersion, and
heart rate variability parameters. RESULTS: The maximum heart rate was
significantly lower in the toluene-exposed group compared to the control group
(130.5 +/- 15.1 vs 138.6 +/- 16.0, P = 0.02). Corrected low frequency (cLF) and
cLF/corrected high frequency (cHF) were also significantly lower in toluene
exposed group (43.6 +/- 7.2 vs 50.7 +/- 10.5, P = 0.01 and 1.4 +/- 0.4 vs 2.2 +/-
1.0, P < 0.01, respectively). Mean cHF, root-mean-square successive difference,
and standard deviation of all five-minute NN interval means values were
significantly higher in the toluene-exposed group (32.8 +/- 8.1 vs 25.4 +/- 8.2,
P <= 0.01; 74.0 +/- 46.1 vs 60.3 +/- 59.4, P = 0.02; and 149.5 +/- 77.0 vs 108.9
+/- 43.2, P = 0.01, respectively). CONCLUSIONS: This study implies that chronic
toluene exposure disturbs cardiac autonomy, particularly by suppressing
sympathetic activity, and parasympathetic suppression also occurs with increased
exposure duration. We also demonstrated that chronic toluene exposure was not
associated with major cardiac arrhythmias and rhythm conduction system disorders.
PMID- 29790183
TI - Fasting Inhibits the Recruitment of Kinesin-1 to Lipid Droplets and Stalls
Hepatic Triglyceride Secretion.
PMID- 29790185
TI - A combined epicardial implantation and subsequent extraction strategy in
pacemaker device infection in pacemaker-dependent patients.
AB - INTRODUCTION: Treatment infections is challenging in pacemaker (PM) dependent
patients. We proposed a novel implantation strategy for this group of patients.
METHODS: Patients who were PM dependent and were admitted with a PM infection
received a combined procedure of left ventricular (LV) epicardial implantation of
a PM lead and subsequent extraction of the infected system. No temporary pacing
wire was used and the PM generator was placed in the left flank. RESULTS: Between
2012 and 2015 we treated 16 patients who were PM dependent and with a PM
infection. The majority of patients were male (81% [13/16]) and the median age
was 71 years (50-91). The cause of infection was valvular endocarditis in 38%
(6/16), lead infection in 25% (4/16), and isolated pocket infection in 38%
(6/16). All patients underwent epicardial implantation of a LV lead (1084T
bipolar lead; St. Jude Medical Myodex, St. Paul, MN, USA) and extraction of the
infected device. There was no occurrence of periprocedural mortality and no
postprocedural tamponades. There was one complication in the form of a hemorrhage
at the infected device extraction site. In the median follow-up period of 17
months there were four of 16 deaths, none of which were attributable to
epicardial LV implantation. LV-lead threshold was 1.1V (+/-0.7V) upon
implantation that increased to 1.2V (+/-0.6V) at 0.4-ms pulse duration. There
were no reinfections of the epicardial lead or device. CONCLUSION: Epicardial
left ventricle PM implantation and subsequent extraction of an infected PM in PM
dependent patients is feasible and safe with good long-term outcome.
PMID- 29790184
TI - Human Leukocyte Antigen F Locus Adjacent Transcript 10 Overexpression Disturbs
WISP1 Protein and mRNA Expression to Promote Hepatocellular Carcinoma
Progression.
AB - Recently, studies on transcriptome-proteome relationships have revealed
mRNA/protein expression discordance for certain genes and speculated that protein
posttranslational modification (PTM) may be involved. However, there is currently
no evidence to support this hypothesis. Wnt-induced secreted protein-1 (WISP1) is
the downstream target gene of beta-catenin and plays an important role in
tumorigenesis and progression, but the expression and role of WISP1 in different
tumor types are controversial. Here, we first confirmed that WISP1 protein
expression was significantly down-regulated in hepatocellular carcinoma (HCC)
tissue and could be an independent predictor of poor prognosis for patients with
HCC. In vivo and in vitro evidence was provided that WISP1 can suppress HCC cell
proliferation. Further studies have found that low WISP1 protein expression was
related to expression of human leukocyte antigen F locus adjacent transcript 10
(FAT10), a specific ubiquitin-like protein with both degradation and
stabilization functions, which plays an important role in PTM. FAT10
overexpression facilitated WISP1 degradation by FAT10ylation to decrease WISP1
protein expression, thus promoting HCC proliferation. Interestingly, we found and
demonstrated that FAT10 overexpression could result in WISP1 protein/mRNA
expression discordance, with protein expression decreasing while mRNA expression
increased. The underlying mechanism is that FAT10 exerts substrate stabilization
and degradation functions simultaneously, while FAT10 overexpression promotes
WISP1 mRNA expression by stabilizing beta-catenin and directly degrades WISP1
protein. Conclusion: Our study demonstrated that overexpression of FAT10 results
in expression discordance between WISP1 protein and mRNA, thereby promoting HCC
progression by down-regulating WISP1 protein expression.
PMID- 29790186
TI - Predicting events in clinical trials using two time-to-event outcomes.
AB - In clinical trials with time-to-event outcomes, it is of interest to predict when
a prespecified number of events can be reached. Interim analysis is conducted to
estimate the underlying survival function. When another correlated time-to-event
endpoint is available, both outcome variables can be used to improve estimation
efficiency. In this paper, we propose to use the convolution of two time-to-event
variables to estimate the survival function of interest. Propositions and
examples are provided based on exponential models that accommodate possible
change points. We further propose a new estimation equation about the expected
time that exploits the relationship of two endpoints. Simulations and the
analysis of real data show that the proposed methods with bivariate information
yield significant improvement in prediction over that of the univariate method.
PMID- 29790187
TI - Eosinophilic annular erythema treated with dupilumab.
AB - Eosinophilic annular erythema is a rare, benign, recurrent condition
characterized by annular skin lesions, tissue eosinophilia, and resistance to a
variety of treatments. There are fewer than 30 cases reported in the English
literature, 7 of which are in children. We present a case of recurrent
eosinophilic annular erythema in an adolescent that was successfully treated with
dupilumab, an interleukin-4 receptor alpha antagonist.
PMID- 29790188
TI - Coagulation Failure in Patients With Acute-on-Chronic Liver Failure and
Decompensated Cirrhosis: Beyond the International Normalized Ratio.
AB - Balanced hemostasis with hypocoagulable and hypercoagulable features may occur in
acute-on-chronic liver failure (ACLF). The characteristics and prognostic impact
of the coagulation profile in ACLF are unknown. Consecutive patients with ACLF (n
= 36) and acute decompensation (AD; n = 24) were included. Blood samples for
thromboelastometry (TE) were obtained at admission and 72 hours thereafter. The
coagulation profile was evaluated in patients with and without ACLF and in those
with and without systemic inflammatory response syndrome. The impact of the
coagulation profile on transfusion requirements, bleeding events, and short-term
survival was assessed. At admission, patients with ACLF showed more
hypocoagulable characteristics compared to AD subjects, with prolonged time to
initial fibrin formation and clot formation time and decreased maximum clot
firmness and alpha-angle values. TE parameters worsened at 72 hours in ACLF but
improved in patients with AD. Prevalence of a hypocoagulable profile (three or
more TE parameters outside range) was significantly higher in patients with ACLF
either at admission (61% versus 29% in AD; P = 0.03) or during follow-up.
Hypocoagulability correlated with systemic inflammation and was associated with
higher 28-day (45% versus 16%; P = 0.02) and 90-day (52% versus 19%; P = 0.01)
mortality rates but not with transfusion requirements or bleeding. Prolonged time
to initial fibrin formation (extrinsic TE assay >80 seconds) and Model for End
Stage Liver Disease score at baseline were independent predictors of 28-day
mortality. Conclusion: Patients with ACLF frequently show hypocoagulable features
with prolonged time to initial fibrin formation and clot formation time and
reduced clot firmness; these alterations worsen after admission, correlate with
systemic inflammation, and translate into higher short-term mortality;
hypofibrinolysis could contribute to organ failure in ACLF.
PMID- 29790189
TI - The identification of a novel antibody for CD133 using human antibody phage
display.
AB - BACKGROUND: The transmembrane glycoprotein CD133 is believed to be a marker of
adult prostate stem cells and cancer stem/initiating cells. Investigating the
role of CD133 in the normal biology of the prostate and in cancer is complicated
by the lack of a sensitive and accurate antibody for its detection. Here, we
describe the characterization of a unique antibody identified using human
antibody phage display that can recognize CD133 in both formalin-fixed tissues
and cell lines. METHODS: A human single-chain variable fragment (scFv) antibody
phage display library possessing a diversity of 8 * 109 was screened against
fully glycosylated recombinant CD133. A counter screen was performed against
deglycosylated CD133 to select for clones that preferentially recognized a
glycosylation-independent epitope. The lead scFv was analyzed by flow cytometry
and cloned into a rabbit immunoglobulin scaffold for immunohistochemistry (IHC).
RESULTS: The antibody designated HA10 was found to bind a glycosylation
independent epitope on the peptide backbone of CD133 with high affinity. As a
reagent for flow cytometry, HA10 detected CD133 more accurately than a commonly
used commercially available antibody. IHC analysis with HA10 documented the
staining of basal cells and luminal cells in healthy prostate sections. Weak
staining of luminal cells was observed in adenocarcinoma sections at a very low
frequency. Examination of a LuCaP patient-derived xenograft tissue microarray
found that only three of the LuCaP models were positive for CD133. The three
CD133pos LuCaP models all originated from non-AR driven metastatic prostate
cancer with neuroendocrine differentiation. Subsequent interrogation of liver
biopsies from a patient who failed second-generation anti-androgen therapy found
high levels of CD133 staining. The original transurethral resection of the
prostate from that patient was, however, absent of CD133. CONCLUSIONS: We have
developed a novel antibody that was able to detect CD133 by both IHC and flow
cytometry. Using HA10 as an IHC reagent, we found that CD133 is a marker for a
very rare cell type in both healthy prostate and adenocarcinoma sections. Our
preliminary investigation also suggests that there may be an association between
CD133 and non-AR driven prostate cancer with neuroendocrine differentiation.
PMID- 29790191
TI - Pay It Forward: Building Capacity to Treat Hepatitis C by Training Our Own
Residents.
PMID- 29790190
TI - SimPEL: Simulation-based power estimation for sequencing studies of low
prevalence conditions.
AB - Power estimations are important for optimizing genotype-phenotype association
study designs. However, existing frameworks are designed for common disorders,
and thus ill-suited for the inherent challenges of studies for low-prevalence
conditions such as rare diseases and infrequent adverse drug reactions. These
challenges include small sample sizes and the need to leverage genetic annotation
resources in association analyses for the purpose of ranking potential causal
genes. We present SimPEL, a simulation-based program providing power estimations
for the design of low-prevalence condition studies. SimPEL integrates the usage
of gene annotation resources for association analyses. Customizable parameters,
including the penetrance of the putative causal allele and the employed
pathogenic scoring system, allow SimPEL to realistically model a large range of
study designs. To demonstrate the effects of various parameters on power, we
estimated the power of several simulated designs using SimPEL and captured power
trends in agreement with observations from current literature on low-frequency
condition studies. SimPEL, as a tool, provides researchers studying low-frequency
conditions with an intuitive and highly flexible avenue for statistical power
estimation. The platform-independent "batteries included" executable and default
input files are available at https://github.com/precisionomics/SimPEL.
PMID- 29790192
TI - Spontaneous scar-based reentrant atrial flutter: Electrophysiologic
characteristics and ablation outcome in a retrospective analysis.
AB - BACKGROUND: The understanding of spontaneous scar-based reentrant atrial
arrhythmia is limited. We aim to characterize the electrophysiologic and mapping
features of spontaneous scar-based atrial flutter (AFL) and outcomes of catheter
ablation. METHODS: Consecutive patients with a diagnosis of AFL who underwent
catheter ablation from January 2012 to June 2015 were screened. Scars were
detected in 12 patients and were included in this study. All had negative
coronary angiography. These patients were divided into right AFL (seven patients)
and left AFL groups (five patients) based on electrophysiologic mappings.
RESULTS: Compared to patients with right AFL, the size of right atrium (RA) was
smaller and left atrium (LA) was larger in the left AFL group. The proportion of
the scar area was 11.1 +/- 11.7 % in the RA AFL group and 7.8 +/- 2.8 % in the LA
AFL group. The difference was significant (P = 0.001). The acute success rates of
ablation were 85.7% and 100%, respectively, in patients with right and left AFL
(P = 0.304). During the follow-up, expansion of the scar area was noted in three
patients with recurrent right AFL. No scar expansion was noted in one patient
with recurrent left AFL. In addition, three patients with right AFL required
permanent pacemaker implantation for sinus node dysfunction, and no one required
pacemaker in patients with left AFL. CONCLUSIONS: Spontaneous scar could serve as
substrate for AFL in RA or LA. Compared to left AFL, there was a higher rate of
recurrence and pacemaker implantation in patients with right AFL.
PMID- 29790193
TI - Myasthenic ophthalmoparesis: Time To resolution after initiating immune
therapies.
AB - INTRODUCTION: Although immunotherapies such as prednisone are effective in
treating myasthenic muscle weakness, their effect on resolution of myasthenic
induced persistent ophthalmoparesis is unknown. METHODS: We observed patients
with myasthenia gravis during their first year of immunotherapy, documenting
ophthalmoplegia scores and drug doses. RESULTS: Seventy-six of 87 cases had
persistent ophthalmoparesis. With immunotherapy, the median time to resolution of
ophthalmoparesis was 7 months, and 37% of cases resolved within 3 months.
Patients starting therapy within 12 months of symptom onset were twice as likely
to have resolution in the first year (P = 0.028). Resolution of ophthalmoparesis
within 3 months, compared with later resolution, was associated with higher
initial prednisone doses (mean 0.5 vs. 0.3 mg/kg/day; P = 0.014). However, 25% of
the higher dose group also received intravenous immunoglobulin/plasma exchange;
after their exclusion, the finding was not significant. DISCUSSION: One-third of
cases with myasthenic ophthalmoparesis resolved within 3 months of immunotherapy,
particularly in response to more aggressive immunotherapy. Muscle Nerve 58: 542
549, 2018.
PMID- 29790194
TI - The impact of epidural analgesia on the duration of the second stage of labor.
AB - BACKGROUND: We aimed to describe the length of second stage of labor in a
contemporary cohort. We calculated the 5th, 50th, and 95th percentiles for second
stage length stratified by parity and epidural analgesia use and evaluated the
effect of labor induction and oxytocin augmentation in our cohort. METHODS: We
did a retrospective analysis of all live, singleton, term vaginal deliveries in
one tertiary hospital. Multivariate linear regression was used to evaluate second
stage duration confounders. First, we calculated the second-stage length and
presented it as 5th, 50th, and 95th percentiles stratified by epidural analgesia
and parity. Second, we evaluated the effect of labor induction and oxytocin
augmentation on second-stage length, and third, we determined the demographic and
obstetrical confounders that affected second-stage length. RESULTS: Overall, 15
500 deliveries were included. Nulliparity, oxytocin augmentation, epidural use,
birthweight, labor induction, lower body mass index, and higher maternal age were
found to be significantly associated with prolongation of the second stage.
Epidural use was associated with an additional 82 minutes for the 95th percentile
for both nulliparas and multiparas and tripled the rate of prolonged second stage
for the entire cohort. Labor induction was associated with clinically significant
prolongation of the second stage in nulliparas with epidural analgesia only.
Oxytocin was associated with longer duration of the second stage for nulliparas,
regardless of epidural use. DISCUSSION: Our findings suggest a significant
prolongation of the second stage in women receiving epidural analgesia.
Recommendations for management of second stage should be reconsidered by
contemporary data.
PMID- 29790195
TI - A licence to drive? Neurological illness, loss and disruption.
AB - The sense of freedom and independence that being able to drive generates may be
taken for granted by many until it is threatened by illness. Drawing on the
'mobility turn' in social sciences that emphasises the social and emotional
significance of the car (Sheller and Urry , ), this article presents secondary
analysis of narratives of driving and its significance across four neurological
conditions (epilepsy, Parkinson's disease, transient ischaemic attack and motor
neurone disease). Taking an interactionist approach we explore how the withdrawal
of a driving licence can represent not just a practical and emotional loss of
independence, but also loss of enjoyment; of a sense and feeling of 'normal'
adulthood and social participation; and of an identity (in some cases gendered)
of strength and power. Conversely the ability to keep driving can maintain an
unbroken thread of narrative, for example enabling people with speech
difficulties to feel and look normal behind the wheel. Moments of pleasure and
normality illuminate the importance of examining the micro-strands of disruption
illness can cause.
PMID- 29790196
TI - Rituximab Is Ineffective for Treatment of Fatigue in Primary Biliary Cholangitis:
A Phase 2 Randomized Controlled Trial.
AB - : Primary biliary cholangitis (PBC) is a chronic cholestatic liver disease. Half
of patients experience debilitating fatigue, which is currently untreatable.
Previous studies have shown muscle bioenergetic abnormalities in PBC, including
increased muscle acidosis with exercise linked to the antimitochondrial antibody
(AMA) diagnostic of the disease, and reduced anaerobic threshold. In this study
we addressed the hypothesis that fatigue in PBC is driven by muscle bioenergetic
abnormality related to AMA, and that AMA reduction with B-cell depletion therapy
will improve fatigue. In our single-center phase 2 randomized controlled trial,
57 participants aged 18 years or older with PBC and moderate to severe fatigue
were randomized to receive two doses of either rituximab (1000 mg) or saline
(placebo). The primary outcome measure was fatigue severity assessed using the
PBC-40 fatigue domain at 3 months. Secondary outcome measures included patient
reported outcomes and immunological and bioenergetics disease parameters.
Experimental outcomes included biochemical markers of disease severity.
Improvement in fatigue score at 3 months was seen in both arms, with no
significant difference (adjusted mean difference -0.9 [95% confidence interval
4.6 to 3.1]). Little difference was observed in other patient-reported outcomes
or physical activity. Significant anaerobic threshold improvement was seen in the
rituximab group, only but this was not associated with fatigue improvement. No
treatment-emergent serious adverse events were seen. CONCLUSIONS: Rituximab was
safe over the 12-month study period but showed no evidence of effectiveness for
the treatment of fatigue in PBC. Anaerobic threshold improvement was seen,
potentially linking AMA with muscle bioenergetics dysfunction; however, this was
not related to improvement in fatigue. Rituximab had some evidence of a
beneficial effect on alkaline phosphatase levels in this largely ursodeoxycholic
acid (UDCA)-responding, early-disease stage cohort. (Hepatology 2018; 00:000
000).
PMID- 29790197
TI - Establishing a publicly available national database of US news articles reporting
agriculture-related injuries and fatalities.
AB - BACKGROUND: The AgInjuryNews system and dataset are a news report repository and
information source for agricultural safety professionals, policymakers,
journalists, and law enforcement officials. METHODS: AgInjuryNews was designed as
a primary storage and retrieval system that allows users to: identify
agricultural injury/fatality events; identify injury agents and emerging issues;
provide safety messages for media in anticipation of trends; and raise awareness
and knowledge of agricultural injuries and prevention strategies. Data are
primarily collected through Google Alerts and a digital media subscription
service. Articles are screened, reviewed, coded, and entered into the system.
RESULTS: As of January 1, 2018, the system contained 3028 unique incidents. Of
those, 650 involved youth, and 1807 were fatalities. The system also had
registered 329 users from 39 countries. CONCLUSIONS: AgInjuryNews combines injury
reports into one dataset and may be the most current and comprehensive publicly
available collection of news reports on agricultural injuries and deaths.
PMID- 29790198
TI - The role of lysosomes and autophagosomes in frontotemporal lobar degeneration.
AB - INTRODUCTION: Cell biological and genetic evidence implicate failures in
degrading aggregating proteins, such as tau and TDP-43, through the autophagy or
lysosomal pathways in the pathogenesis of frontotemporal lobar degeneration
(FTLD). METHODS: We investigated changes in the degradative pathways in 60
patients with different pathological or genetic forms of FTLD employing
immunohistochemistry for marker proteins such as lysosomal-associated membrane
proteins 1 (LAMP-1) and 2 (LAMP-2), cathepsin D (CTSD) and microtubule-associated
protein 1 light chain 3 alpha (LC3A). Immunostained sections were qualitatively
and semi-quantitatively assessed for the appearance, distribution and intensity
of staining in neurones of the dentate gyrus (DG) and CA4 region of the
hippocampus, and the temporal cortex (Tcx). RESULTS: Lower levels of neuronal
LAMP-1 immunostaining were present in the DG and Tcx in FTLD-tau compared to FTLD
TDP. There was less LAMP-1 immunostaining in FTLD-tau with MAPT mutations, and
FTLD-tau with Pick bodies, compared to FTLD-TDP types A and B, and less LAMP-1
immunostaining in FTLD-TDP type C than in FTLD-TDP types A and B. There was
greater LAMP-1 immunostaining in GRN mutation which may reflect the underlying
type A histology rather than mutation. There were no differences in neuronal LAMP
2, CTSD, EEA-1 or LC3A immunostaining between any of the five FTLD histological
or four genetic groups, nor between FTLD-TDP and FTLD-tau. CONCLUSIONS: The
underlying pathological mechanism in FTLD-tau may lie with a relative deficiency
of lysosomes, or defective vesicular transport, whereas the failure to clear TDP
43 aggregates may lie with lysosomal dysfunction rather than a lack of available
lysosomes or degradative enzymes.
PMID- 29790200
TI - Sleep duration and mortality - Does weekend sleep matter?
AB - Previous studies have found a U-shaped relationship between mortality and
(weekday) sleep duration. We here address the association of both weekday and
weekend sleep duration with overall mortality. A cohort of 43,880 subjects was
followed for 13 years through record-linkages. Cox proportional hazards
regression models with attained age as time-scale were fitted to estimate
multivariable-adjusted hazard ratios and 95% confidence intervals for mortality;
stratified analyses on age (<65 years, >=65 years) were conducted. Among
individuals <65 years old, short sleep (<=5 hr) during weekends at baseline was
associated with a 52% higher mortality rate (hazard ratios 1.52; 95% confidence
intervals 1.15-2.02) compared with the reference group (7 hr), while no
association was observed for long (>=9 hr) weekend sleep. When, instead,
different combinations of weekday and weekend sleep durations were analysed, we
observed a detrimental association with consistently sleeping <=5 hr (hazard
ratios 1.65; 95% confidence intervals 1.22-2.23) or >=8 hr (hazard ratios 1.25;
95% confidence intervals 1.05-1.50), compared with consistently sleeping 6-7 hr
per day (reference). The mortality rate among participants with short sleep
during weekdays, but long sleep during weekends, did not differ from the rate of
the reference group. Among individuals >=65 years old, no association between
weekend sleep or weekday/weekend sleep durations and mortality was observed. In
conclusion, short, but not long, weekend sleep was associated with an increased
mortality in subjects <65 years. In the same age group, short sleep (or long
sleep) on both weekdays and weekend showed increased mortality. Possibly, long
weekend sleep may compensate for short weekday sleep.
PMID- 29790199
TI - Relationship between cumulative ultraviolet exposure and cognitive function in a
rural elderly Chinese population.
AB - OBJECTIVES: Some researchers have focused on the relationship between vitamin D
and cognition, but the conclusions are inconsistent. We estimated cumulative UV
exposure could be used to represent the individual's long-term vitamin D status
and investigated its association with global cognitive function in elderly
Chinese. METHODS: A total of 641 participants aged 60 years and over were
recruited in a rural area of Shenyang, China. All were interviewed to obtain data
regarding sociodemographic characteristics and time spent outdoors. Cognitive
function was evaluated using the Montreal Cognitive Assessment-Beijing version
(MoCA-BJ). Images of skin from UV-exposed (dorsal hand) and UV-protected (inner
forearm) sites from each individual were graded by the Beagley-Gibson system.
Differences in skin-grade between the 2 sites were used to indicate cumulative UV
exposure level. Subjects were grouped in tertiles based on skin-grade differences
(<1.75, 1.75-2.74, and >= 2.75), representing low, medium, and high UV exposure
levels, respectively. The MoCA-BJ score was classified in tertiles as low (<19),
middle (19-22), and high (>=23) levels of cognition. Associations between
cognitive function and UV exposure were analyzed using ordinal regression.
RESULTS: Skin-grade differences were associated with self-reported time spent
outdoors. After adjustment for age, gender, education, BMI, whether living alone,
income, diet, hypertension, and diabetes, a high UV exposure level was associated
with better cognitive function (odds ratio = 0.643, 95% confidence interval =
0.427-0.969). CONCLUSIONS: Greater cumulative UV exposure appears to be
associated with better cognitive function in elderly adults.
PMID- 29790201
TI - Self-employed individuals performing different types of work have different
occupational safety and health problems.
AB - INTRODUCTION: We assessed the occupational safety and health (OSH) issues of self
employed individuals in Korea. METHODS: The working conditions and OSH issues in
three groups were analyzed using the Korean Working Conditions Survey of 2014.
RESULTS: Among self-employed individuals, "Physical work" was more common among
males, whereas "Emotional work" was more common among females. Self-employed
individuals performing "Mental work" had more education, higher incomes, and the
lowest exposure to physical/chemical and ergonomic hazards in the workplace. In
contrast, those performing "Physical work" were older, had less education, lower
incomes, greater exposure to physical/chemical and ergonomic hazards in the
workplace, and more health problems. Individuals performing "Physical work" were
most vulnerable to OSH problems. CONCLUSION: The self-employed are a
heterogeneous group of individuals. We suggest development of specific strategies
that focus on workers performing "Physical work" to improve the health and safety
of self-employed workers in Korea.
PMID- 29790202
TI - Incidence and cost of medication harm in older adults following hospital
discharge: a multicentre prospective study in the UK.
AB - AIMS: Polypharmacy is increasingly common in older adults, placing them at risk
of medication-related harm (MRH). Patients are particularly vulnerable to
problems with their medications in the period following hospital discharge due to
medication changes and poor information transfer between hospital and primary
care. The aim of the present study was to investigate the incidence, severity,
preventability and cost of MRH in older adults in England postdischarge. METHODS:
An observational, multicentre, prospective cohort study recruited 1280 older
adults (median age 82 years) from five teaching hospitals in Southern England,
UK. Participants were followed up for 8 weeks by senior pharmacists, using three
data sources (hospital readmission review, participant telephone interview and
primary care records), to identify MRH and associated health service utilization.
RESULTS: Overall, 413 participants (37%) experienced MRH (556 MRH events per 1000
discharges), of which 336 (81%) cases were serious and 214 (52%) potentially
preventable. Four participants experienced fatal MRH. The most common MRH events
were gastrointestinal (n = 158, 25%) or neurological (n = 111, 18%). The medicine
classes associated with the highest risk of MRH were opiates, antibiotics and
benzodiazepines. A total of 328 (79%) participants with MRH sought healthcare
over the 8-week follow-up. The incidence of MRH-associated hospital readmission
was 78 per 1000 discharges. Postdischarge MRH in older adults is estimated to
cost the National Health Service L396 million annually, of which L243 million is
potentially preventable. CONCLUSIONS: MRH is common in older adults following
hospital discharge, and results in substantial use of healthcare resources.
PMID- 29790203
TI - Land-use trade-offs between tree biodiversity and crop production in the Atlantic
Forest.
AB - Trade-offs in ecosystem services (ES) have received increasing attention because
provisioning services often come at the expense of biodiversity loss. When land
use patterns are not maximally efficient relative to productivity, provisioning
services, such as crop production, can often be increased without losing
biodiversity. The Atlantic Forest (AF) encompasses dense, mixed, and seasonal
forests and has high levels of endemism and anthropogenic threat. We examined
trade-offs between biodiversity and crop production in the AF to provide insights
into land-use management decisions. We developed a biodiversity metric that
combines information on tree species richness, evolutionary distinctiveness, and
rarity at the local level. We examined the extent to which the nature of ES trade
offs differ among the 3 forest types. We assessed how annual deforestation rates
and land management practices affect biodiversity and agricultural revenues.
Finally, we tested whether it is possible to achieve the same total regional
revenue without reducing biodiversity by improving local management practices.
The 3 forest types had similar patterns in ES trade-offs, although within mixed
forest patterns differed. Biodiversity appeared to be more sensitive to land-use
change than crop revenues. Certain crops yielded up to 10 times higher values in
some sites. Enhanced crop productivity may increase revenues without reducing
biodiversity. Our results showed that to enhance human well-being without further
conversion of AF, maximizing crop productivity is needed . Increasing efficiency
of management outcomes by maintaining higher biodiversity and increasing
provisioning services depends on knowledge of forest type, the comparative
advantage of planting crops in the best places, and preserving species in a
balanced manner across forests.
PMID- 29790204
TI - Mechanistic Insights into Selective Oxidation of Polyaromatic Compounds using
RICO Chemistry.
AB - Ruthenium-ion-catalyzed oxidation (RICO) of polyaromatic hydrocarbons (PAHs) has
been studied in detail using experimental and computational approaches to explore
the reaction mechanism. DFT calculations show that regioselectivity in these
reactions can be understood in terms of the preservation of aromaticity in the
initial formation of a [3+2] metallocycle intermediate at the most-isolated
double bond. We identify two competing pathways: C-C bond cleavage leading to a
dialdehyde and C-H activation followed by H migration to the RuOx complex to give
diketones. Experimentally, the oxidation of pyrene and phenanthrene has been
carried out in monophasic and biphasic solvent systems. Our results show that
diketones are the major product for both phenanthrene and pyrene substrates.
These diketone products are shown to be stable under our reaction conditions so
that higher oxidation products (acids and their derivatives) are assigned to the
competing pathway through the dialdehyde. Experiments using 18 O-labelled water
do show incorporation of oxygen from the solvents into products, but this may
take place during the formation of the reactive RuO4 species rather than directly
during PAH oxidation. When the oxidation of pyrene is carried out using D2 O, a
kinetic isotope effect (KIE) is observed implying that water is involved in the
rate-determining step leading to the diketone products.
PMID- 29790205
TI - Hyperkalaemia in diabetes: a silent risk predicting poor outcomes.
PMID- 29790206
TI - Efficacy and safety of transcatheter valve-in-valve replacement for Mitroflow
bioprosthetic valve dysfunction.
AB - OBJECTIVES: Bioprostheses with pericardial leaflets mounted externally on the
stent pose a high risk for valve-in-valve (ViV) procedures. This study analyzed
the efficacy and safety of ViV procedures for treating structural valve
deterioration (SVD) in Mitroflow bioprostheses. METHODS: Between January 2012 and
August 2017, 11 patients (mean age 80.3 +/- 5.6 years) were treated for SVD of
Mitroflow bioprostheses with transcatheter ViV procedures (six transapical [TA]
and five transfemoral [TF]) using balloon expandable bioprostheses. RESULTS: All
patients but one were in NYHA class III-IV. Mean STS PROM, euroSCORE I, and
euroSCORE II were 8 +/- 6.5%, 27.8 +/- 11.5%, and 12 +/- 5.9%, respectively. Two
patients had a "porcelain aorta." The size of implanted valves were 23 mm in 10
cases and 26 mm in one case. One patient suffered a coronary occlusion during a
TF approach. The mean volume of contrast used in TF implants was 163 +/- 69.8 mL.
No contrast media were used in TA procedures. There was one in-hospital death
(10%). At 1 year of follow-up, peak and mean aortic gradients were 25.5 +/- 5.8
mmHg and 15.5 +/- 5.7 mmHg, respectively. One patient had mild paravalvular
regurgitation. Cumulative survival was 90.9% at 1 year, 70.7% at 2 years, and 53%
at 3 years. CONCLUSIONS: ViV procedures with balloon-expandable aortic valves
provide good hemodynamic and clinical mid-term results for treating patients with
a degenerated Mitroflow aortic bioprosthesis. Special care must be taken in small
aortic roots, when the stented valve is in the supra-annular position to avoid
coronary ostial obstruction.
PMID- 29790207
TI - The repeated bout effect can occur without mechanical and neuromuscular changes
after a bout of eccentric exercise.
AB - Changes in muscle fascicle mechanics have been postulated to underpin the
repeated bout effect (RBE) observed following exercise-induced muscle damage
(EIMD). However, in the medial gastrocnemius (MG), mixed evidence exists on
whether fascicle stretch amplitude influences the level of EIMD, thus questioning
whether changes in fascicle mechanics underpin the RBE. An alternative hypothesis
is that neural adaptations contribute to the RBE in this muscle. The aim of this
study was to investigate the neuromechanical adaptations during and after
repeated bouts of a highly controlled muscle lengthening exercise that aimed to
maximize EIMD in MG. In all, 20 subjects performed two bouts of 500 active
lengthening contractions (70% of maximal activation) of the triceps surae,
separated by 7 days. Ultrasound constructed fascicle length-torque (L-T) curves
of MG, surface electromyography (EMG), maximum torque production, and muscle
soreness were assessed before, 2 hours and 2 days after each exercise bout. The
drop in maximum torque (4%) and the increase in muscle soreness (24%) following
the repeated bout were significantly less than following the initial bout (8% and
59%, respectively), indicating a RBE. However, neither shift in the L-T curve nor
changes in EMG parameters were present. Furthermore, muscle properties during the
exercise were not related to the EIMD or RBE. Our results show that there are no
global changes in gastrocnemius mechanical behavior or neural activation that
could explain the observed RBE in this muscle. We suggest that adaptations in the
non-contractile elements of the muscle are likely to explain the RBE in the
triceps surae.
PMID- 29790208
TI - Changes in nano-mechanical properties of human epidermal cornified cells
depending on their proximity to the skin surface.
AB - During formation of the stratum corneum (SC) barrier, terminally differentiated
keratinocytes continue their maturation process within the dead superficial
epidermal layer. Morphological studies of isolated human corneocytes have
revealed differences between cornified envelopes purified from the deep and
superficial SC. We used atomic force microscopy to measure the mechanical
properties of native human corneocytes harvested by tape-stripping from different
SC depths. Various conditions of data acquisition have been tested and optimized,
in order to obtain exploitable and reproducible results. Probing at 200 nN
allowed us to investigate the total stiffness of the cells (at 50 nm indentation)
and that of the cornified envelopes (at 10 to15 nm), and lipid envelopes (at 5 to
10 nm). The obtained data indicated statistically significant differences between
the superficial (more rigid) and deep (softer) corneocytes, thus confirming the
existence of depth and maturation-related morphological changes within the SC.
The proposed approach can be potentially used for minimally invasive evaluation
of various skin conditions such as aging, skin hydration, and pathologies linked
to SC.
PMID- 29790209
TI - Subclinical leaflet thrombosis following transcatheter aortic valve replacement.
AB - Since the inception of transcatheter aortic valve replacement (TAVR), there have
been significant reductions in complications due to improvements of transcatheter
heart valve (THV) designs and technologies. Given expanding TAVR applications,
reducing complications further and better understanding THV durability has become
a focus within the structural heart space. Recently, dedicated cardiac computed
tomographic angiography (CTA) performed at 1 month post-TAVR has identified
subclinical leaflet thrombosis (SLT), with rates as high as 40%. From the SLT
imaging hallmarks of hypoattenuated leaflet thickening (HALT) to hypoattenuation
affecting motion (HAM), a postulated timeline of THV thrombosis advancing to
clinical symptoms can be recognized. This review article focuses on leaflet
thrombosis particularly following TAVR explaining the spectrum of this disease
process, its diagnosis, current treatment options, and future directions in the
field.
PMID- 29790210
TI - A Highly Stable Two-Dimensional Copper(II) Organic Framework for Proton
Conduction and Ammonia Impedance Sensing.
AB - This work reports the design and fabrication of a proton conductive 2D metal
organic framework (MOF), [Cu(p-IPhHIDC)]n (1) (p-IPhH3 IDC=2-(p-N-imidazol-1-yl)
phenyl-1 H-imidazole-4,5-dicarboxylic acid) as an advanced ammonia impedance
sensor at room temperature and 68-98 % relative humidity (RH). MOF 1 shows the
optimized proton conductivity value of 1.51*10-3 S cm-1 at 100 degrees C and 98
% RH. Its temperature-dependent and humidity-dependent proton conduction
properties have been explored. The large amount of uncoordinated carboxylate
groups between the layers plays a vital role in the resultant conductivity.
Distinctly, the fabricated MOF-based sensor displays the required stability
toward NH3 , enhanced sensitivity, and notable selectivity for NH3 gas. At room
temperature and 68 % RH, it gives a remarkable gas response of 8620 % to 130 ppm
NH3 gas and lower detection limit of 2 ppm towards NH3 gas. It is also found that
the gas response of the ammonia sensor increases linearly with the increase of
NH3 gas concentration under 68-98 % RH and room temperature. Moreover, the sensor
indicates excellent reversibility and selectivity toward NH3 versus N2 , H2 , O2
, CO, CO2 , benzene, and MeOH. Based on structural analyses, activation energy
calculations, water and NH3 vapor absorptions, and PXRD determinations, proton
conduction and NH3 sensing mechanisms are suggested.
PMID- 29790211
TI - Opening the black box: An observational study of teaching and learning
interactions for paediatrics trainees on consultant ward rounds.
AB - AIM: Ward rounds are complex activities in which education must be balanced
against service. Limited evidence exists regarding how to optimise ward round
education. In order to improve the educational experience, we aimed to understand
the teaching and learning interactions on ward rounds with a particular focus on
the experience of paediatric trainees. METHODS: We conducted an initial
quantitative survey as a needs assessment regarding learning and teaching in
clinical settings using a structured survey of 21 trainees. This was followed by
an observational study using focused ethnography of 20 consultant ward rounds in
a general medical department of tertiary paediatric hospital. We used a
structured observation form to document ward round characteristics and
educational interactions. Data were analysed using inductive content analysis to
understand key influences on teaching and learning interactions. RESULTS:
Trainees reported a discrepancy between the actual educational value of ward
rounds (mean rating 2.7/5) and what they desired (mean 4.3/5). Ward round
ethnography revealed examples of excellent education and practice alongside
missed opportunities. Explicit education on rounds was dominated by technical
content with little focus on other aspects of professionalism. Major influences
on educational interactions were the ward round model - consultant-as-expert
versus learner-centred - and the hidden curriculum. CONCLUSION: There are many
examples of excellence in ward round education, yet there remains substantial
scope to better harness the education potential of rounds. This requires us to
challenge assumptions, enable feedback and reflection and make learning explicit
while putting the learner at the centre of educational opportunities.
PMID- 29790212
TI - Dopamine in transplantation: Written off or comeback with novel indication?
AB - Renal-dose dopamine has fallen out of favor in the intensive care unit (ICU)
during past years due to its ineffectiveness to prevent impending or to
ameliorate overt renal failure in the critically ill. By contrast, growing
evidence indicates that low-dose dopamine administered to the stable organ donor
after brain death confirmation improves the clinical course of transplanted
organs after kidney and heart transplantation. Ensuring a thorough monitoring for
potential circulatory side effects, employment of dopamine at a dose of 4
MUg/kg/min is safe in the deceased donor. Among recipients, the advantageous
effect is easy to achieve, inexpensive, and devoid of adverse side effects. The
mode of action relies on dopamine's propensity to mitigate injury in various cell
systems from isolated transplantable organs under cold storage conditions. The
present review article summarizes the clinical evidence of dopamine donor
pretreatment in solid organ transplantation and focuses on the underlying
molecular mechanisms of cellular protection. Introducing the routine use of low
dose dopamine for the management of the brain-dead donor in the ICU before
procurement provides an evidence-based strategy to improve graft outcome after
kidney transplantation without conferring harm to non-renal grafts, namely to
livers and hearts, in cases of multi-organ donation.
PMID- 29790213
TI - Integration of Biomaterials into Sensors Based on Organic Thin-Film Transistors.
AB - Sensors based on organic thin-film transistors (OTFTs) present various
advantages, including high sensitivity and mechanical flexibility, thus
possessing potential applications such as wearable devices and biomedical
electronics for health monitoring, etc. However, such applications are partially
limited by the biocompatibility, biodegradability, and sensitivity to target
analytes of OTFT-based sensors, which can be improved by the incorporation of
diverse biomaterials. This article presents a brief review from the viewpoint of
the type of the integrated biomaterials, including naturally occurring
biomacromolecules such as proteins, enzymes, and deoxyribonucleic acid, as well
as biocompatible polymers such as polylactide, poly(lactide-co-glycolide),
poly(ethylene glycol), cellulose, polydimethylsiloxane, parylene, etc. It is
believed that future work in this field should be devoted to the selectivity,
sensitivity, and stability improvement as well as the high-level integration and
sophistication on the basis of the OTFT-based sensors for physical, chemical, and
biological sensing applications.
PMID- 29790214
TI - Predictability of demographic rates based on phylogeny and biological similarity.
AB - Lack of demographic data for most of the world's threatened species is a
widespread problem that precludes viability-based status assessments for species
conservation. A commonly suggested solution is to use data from species that are
closely related or biologically similar to the focal species. This approach
assumes similar species and populations of the same species have similar
demographic rates, an assumption that has yet to be thoroughly tested. We
constructed a Bayesian hierarchical model with data on 425 plant species to
predict demographic rates (intrinsic rate of population growth, recruit survival,
juvenile survival, adult survival, and fecundity) based on biological traits and
phylogenetic relatedness. Generally, we found small effects of species-level
traits (except woody polycarpic species tended to have high adult survival rates
that increased with plant height) and a weak phylogenetic signal for 4 of the 5
demographic parameters examined. Patterns were stronger in adult survival and
fecundity than other demographic rates; however, the unexplained variances at
both the species and population levels were high for all demographic rates. For
species lacking demographic data, our model produced large, often inaccurate,
prediction intervals that may not be useful in a management context. Our findings
do not support the assumption that biologically similar or closely related
species have similar demographic rates and provide further evidence that direct
monitoring of focal species and populations is necessary for informing
conservation status assessments.
PMID- 29790216
TI - Decreased expression of hsa_circ_0137287 predicts aggressive clinicopathologic
characteristics in papillary thyroid carcinoma.
AB - BACKGROUND: Circular RNA (circRNA) is a new type of noncoding RNA that can serve
as ideal biomarkers. Evidence has showed that circRNAs play an important role in
carcinogenesis and cancer development. However, little is known about the
diagnostic value of circRNAs in papillary thyroid carcinoma (PTC) as well as
their associations with clinicopathologic characteristics of patients with PTC.
METHODS: The expression levels of hsa_circ_0137287 were detected in 120 PTC and
60 adjacent noncancerous thyroid tissues by quantitative real-time polymerase
chain reaction. The relationships between the expression of hsa_circ_0137287 in
PTC and the clinicopathologic factors were analyzed. Finally, receiver operating
characteristic (ROC) curves were generated to assess the diagnostic value of
hsa_circ_0137287 as a biomarker for PTC. RESULTS: The expression of
hsa_circ_0137287 was significantly downregulated in PTC tissues compared with
adjacent noncancerous tissues (P < .0001). Downregulation of hsa_circ_0137287
correlated with aggressive clinicopathologic characteristics of PTC such as
extrathyroidal extension (P < .001), lymph node metastasis (P = .022), advanced T
stage (P < .001) and larger tumor size (P < .001). The ROC curves revealed that
hsa_circ_0137287 had a potential diagnostic value in predicting malignancy,
extrathyroidal extension and lymph node metastasis. The area under curves were
0.8973 (95% CI = 0.8452-0.9494, P < .0001), 0.6885 (95%CI = 0.5908-0.7862, P =
.0009), and 0.6691(95%CI = 0.5641-0.7742, P = .0034), respectively. CONCLUSIONS:
Our findings suggest that hsa_circ_0137287 may serve as a novel biomarker for
PTC.
PMID- 29790215
TI - Attenuated nitric oxide bioavailability in systemic sclerosis: Evidence from the
novel assessment of passive leg movement.
AB - NEW FINDINGS: What is the central question of this study? Do systemic sclerosis
patients exhibit impaired nitric oxide-mediated vascular function of the lower
limb and are these decrements correlated with plasma biomarkers for inflammation
and oxidative stress? What is the main finding and its importance? Findings
indicate impaired nitric oxide-mediated vascular function, linked to the
incidence of digital ulcers and a milieu of inflammation and oxidative stress.
However, the absence of significant correlations between individual biomarkers
and blood flow responses suggests that the vasculopathy observed in systemic
sclerosis may not be solely the result of derangements in the redox balance or
inflammatory signalling. ABSTRACT: Systemic sclerosis (SSc) is an autoimmune
disease characterized by vasculopathy, which may be the consequence of
inflammation and oxidative stress that ultimately leads to a reduced nitric oxide
(NO) bioavailability. Passive leg movement (PLM) is a novel methodology for
assessing lower limb vascular function that is predominantly NO dependent. We
combined this vascular assessment with a comprehensive panel of plasma biomarkers
to assess the axis of inflammation, oxidative stress and NO in SSc patients (n =
12; 62 +/- 11 years of age) compared with healthy control subjects (n = 17; 60 +/
16 years of age). The PLM-induced changes in leg blood flow (LBF; 191 +/- 104
versus 327 +/- 217 ml min-1 ) and LBF area under the curve (39 +/- 104 versus 125
+/- 131 ml) were reduced in SSc compared with control subjects. Stratification of
patients according to history of digital ulcer (DU) formation revealed a further
reduction in LBF area under the curve in DU (-13 +/- 83 ml) versus non-DU (91 +/-
102 ml) patients. Biomarkers of inflammation (C-reactive protein) and oxidative
stress (malondialdehyde and protein carbonyl) were all elevated in SSc (C
reactive protein, 3299 +/- 2372 versus 984 +/- 565 ng ml-1 ; malondialdehyde, 3.2
+/- 1.1 versus 1.1 +/- 0.7 MUm; and protein carbonyl, 0.15 +/- 0.05 versus 0.12
+/- 0.03 nmol mg-1 ), and C-reactive protein was further elevated in patients
with a history of DU (4551 +/- 2752 versus 2047 +/- 1019 ng ml-1 ) compared with
non-DU, although these were not individually correlated with changes in LBF.
These findings of impaired NO-mediated vascular function, linked to DU and a
milieu of inflammation and oxidative stress, suggest that redox balance plays an
important, but not necessarily deterministic, role in the vascular
pathophysiology of SSc.
PMID- 29790217
TI - A sonographic classification and reporting system for diagnosing adenomyosis.
AB - OBJECTIVE: To develop a uniform classification and standardized reporting system
of ultrasound findings of adenomyosis using the Morphological Uterus Sonographic
Assessment (MUSA) criteria. METHOD: The opinion presented in this manuscript was
built based on a thorough discussion among all authors, including a Delphi
procedure. Selected images and videos of typical cases of the different
morphological variations of adenomyosis were used in the debates. RESULTS: A
classification and reporting system of different types of adenomyosis based on
ultrasound was agreed upon including (1) identification of adenomyosis based on
MUSA criteria, (2) disease location (anterior, posterior, left lateral, right
lateral, fundal), (3) classification of the lesions as focal or diffuse, (4)
presence or absence of intralesional cysts, (5) myometrial layer involvement
(junctional zone, myometrium, serosal involvement), (6) disease extent (< 25%, 25
50%, > 50% of uterine volume affected by adenomyosis) and (7) lesion size.
CONCLUSIONS: We proposes a uniform classification and reporting system of
different types of adenomyosis based on ultrasound. The clinical relevance of
this approach needs to be evaluated in further studies. This article is protected
by copyright. All rights reserved.
PMID- 29790218
TI - Lost in transition: finding a path forward for young adults with Type 1 diabetes.
PMID- 29790219
TI - Metal-Organic Frameworks Based on Multicenter-Bonded [MI ]8 (M=Mn, Zn) Clusters
with Cubic Aromaticity.
AB - A new concept for constructing metal-organic frameworks (MOFs) based on
multicenter-bonded [MI ]8 (M=Mn, Zn) clusters with cubic aromaticity is
discussed. In principle, intermolecular/intramolecular hydrogen-bonding, pi-pi
stacking, coordinated covalent bonding and ionic bonding usually account for the
structures of MOFs, and multicentered bonds generally exist in cation, anion,
neutral and zwitterionic radicals, while rarely appear in MOFs. Meanwhile,
aromaticity became one of the most vexing yet fascinating key concepts in
chemistry since the proposition of the structure of benzene molecule in 1865. In
this context, this concept article exhibits the preparation, structures,
characterization methods, theoretical analysis of current research for MOFs which
feature with multicentered bonding [MI ]8 (M=Mn, Zn) cluster with cubic
aromaticity, followed by their fluorescence probe and magnetic properties are
also summarized. Finally, prospective outlook in this field is given.
PMID- 29790220
TI - A Novel Linking Strategy of Using 9,10-Dihydroacridine to Construct Efficient
Host Materials for Red Phosphorescent Organic Light-Emitting Diodes.
AB - Three novel 9,10-dihydroacridine derivatives, 4'-(10-methyl-9,9-diphenyl-9,10
dihydroacridin-4-yl)[1,1'-biphenyl]-4-carbonitrile (MeAcPhCN), 4'-(9,9,10
triphenyl-9,10-dihydroacridin-4-yl)[1,1'-biphenyl]-4-carbonitrile (PhAcPhCN), and
5-[4-(9,9,10-triphenyl-9,10-dihydroacridin-4-yl)phenyl]picolinonitrile
(MeAcPyCN), were prepared by the attachment of [1,1'-biphenyl]-4-carbonitrile or
5-phenylpicolinonitrile to the 4-position of 9,10-dihydroacridine. This special
linking strategy limited the conjugation length, maintained the triplet energy,
and inhibited the intermolecular charge-transfer (ICT) characteristics of these
compounds. Notably, the enhanced accepting strength of the picolinonitrile
segment relative to that of benzonitrile led to relatively strong ICT
characteristics, a low energy gap, and a low triplet energy for MeAcPyCN. The
thermal, photophysical, electrochemical, and electroluminescent properties of
these host materials were studied systematically. Consequently,
(acetylacetonato)bis(2-methyldibenzo[f,h]quinoxaline)iridium(III) [Ir(MDQ)2
(acac)]-based red phosphorescent organic light-emitting diodes (PHOLEDs) were
fabricated with these three host materials. As a result, the device hosted by
MeAcPhCN showed good device performance with a maximum external quantum
efficiency of 20.5 %.
PMID- 29790221
TI - Exploring the effect of a lifestyle intervention on cancer risk: 43-year follow
up of the randomized Oslo diet and antismoking study.
AB - BACKGROUND/OBJECTIVES: The Oslo diet and antismoking study showed that
counselling for a healthy lifestyle reduced lifelong coronary mortality in high
risk men. We explored whether the same counselling reduced also cancer risk.
METHODS: The study randomly allocated males at high coronary risk to either a 5
year intervention for lifestyle changes (cholesterol-lowering dietary changes,
weight loss and stopping smoking) or a control group (1 : 1) in 1972/73. We
explored the incidence and mortality of all cancers and cancer forms related to
smoking, BMI or diet up to 43 years after randomization. RESULTS: A total of 595
men in the intervention and 621 in the control group were included. At inclusion
median age was 45 years, 588 (48.4%) subjects were overweight (BMI > 25 kg m-2 )
and 925 (76.1%) current smokers. The intervention did not reduce the risk of
cancer after 43 years (adjusted hazard ratio (HR) 0.96, 95% confidence interval
(CI) 0.80-1.15). In the first 25 years of follow-up, among the 1088 (89.5%) men
who were overweight/obese and/or smokers, the intervention reduced the incidence
of those cancer forms related to smoking, BMI or diet (including carcinoma of the
respiratory, digestive and urinary tracts; adjusted HR 0.69; 95% CI 0.49-0.99).
The intervention had no significant effect on incidence beyond 25 years, or on
mortality. CONCLUSIONS: The 5-year counselling for a healthy lifestyle did not
reduce the overall cancer risk in the very long term. However, in the first 25
years, the counselling reduced the risk of relevant cancer types in
overweight/obese subjects and smokers.
PMID- 29790222
TI - Monitoring the Hydrothermal Growth of Cobalt Spinel Water Oxidation Catalysts:
From Preparative History to Catalytic Activity.
AB - The hydrothermal growth of cobalt oxide spinel (Co3 O4 ) nanocrystals from cobalt
acetate precursors was monitored with in situ powder X-ray diffraction (PXRD) in
combination with ex situ electron microscopy and vibrational spectroscopy.
Kinetic data from in situ PXRD monitoring were analyzed using Sharp-Hancock and
Gualtieri approaches, which both clearly indicate a change of the growth
mechanism for reaction temperatures above 185 degrees C. This mechanistic
transition goes hand in hand with morphology changes that notably influence the
photocatalytic oxygen evolution activity. Complementary quenching investigations
of conventional hydrothermal Co3 O4 growth demonstrate that these insights
derived from in situ PXRD data provide valuable synthetic guidelines for water
oxidation catalyst production. Furthermore, the ex situ analyses of hydrothermal
quenching experiments were essential to assess the influence of amorphous cobalt
containing phases arising from the acetate precursor on the catalytic activity.
Thereby, the efficient combination of a single in situ technique with ex situ
analyses paves the way to optimize parameter-sensitive hydrothermal production
processes of key energy materials.
PMID- 29790223
TI - CORRIGENDUM.
PMID- 29790224
TI - Left atrial compression and right ventricular outflow tract diameter on
echocardiography are independently associated with exercise capacity in patients
with large hiatal hernia.
AB - INTRODUCTION: Large hiatal hernia (HH) is often associated with left atrial (LA)
compression, anteroposterior cardiac compression (manifesting as reduced right
ventricular outflow tract (RVOT) diameter), and left ventricular (LV) compression
(manifesting as systolic paradoxical outward motion (LV-PM) of the posterobasal
LV segment). Exercise impairment, also common in this population, improves
following HH surgery. We aimed to identify echocardiographic parameters
independently associated with exercise impairment due to HH-mediated cardiogenic
compression. METHODS: Patients with a large HH (>30% intra-thoracic stomach, n =
163) referred for cardiac evaluation were included. Echocardiographic parameters
were retrospectively analyzed in relation to HH-related LA compression severity
and the presence of LV-PM. Echocardiographic parameters independently associated
with exercise capacity were identified by multivariable analysis. RESULTS: Mean
baseline metabolic equivalents were reduced (70 +/- 28% predicted). Moderate
severe LA compression and LV-PM were present in 91 of 163 (56%) and 65 of 162
(40%) patients, respectively. Patients with moderate-severe LA compression and LV
PM had decreased LA and LV dimensions. Moderate-severe LA compression was also
associated with reduced RVOT diameter while LV-PM predicted a greater reduction
in LV volumes. LA compression and RVOT diameter were independently associated
with baseline exercise capacity and increased following HH surgery performed in a
subgroup (n = 72, LA diameter: 14 +/- 5 vs 20 +/- 4 mm/m2 ; RVOT diameter: 17 +/-
3 vs 19 +/- 3 mm/m2 , P < .001 for both). Conversely, LV-PM was not independently
associated with exercise capacity. CONCLUSION: Hiatal hernia-related cardiac
compression reduces LA and RVOT dimensions. These parameters are independently
associated with baseline exercise capacity and improve following HH surgery. LV
PM is associated with decreased LV volumes but not exercise capacity in this
population.
PMID- 29790225
TI - Can cardiovascular MRI be used to more definitively characterize cardiac masses
initially identified using echocardiography?
AB - In diagnosing cardiac and paracardiac masses, cardiac MRI (CMR) has gained
acceptance as the gold standard. CMR has been observed to be superior to
echocardiography in characterizing soft-tissue structures and, specifically, in
classifying cardiac masses. The aim of our study was to evaluate the association
between mortality and cardiac or paracardiac masses initially identified by
echocardiography (ECHO) and confirmed by CMR. Between January 2002 and August
2007, a total of 158 patients underwent both ECHO and CMR for the evaluation of
cardiac masses that were equivocal or undefined by ECHO. The primary study
endpoints were 5-year all-cause mortality and 5-year cardiac mortality. Causes of
death as of April 1, 2015 were obtained from medical records or the National
Death Index. Patients were analyzed according to mass type determined by CMR
using the Kruskal-Wallis test, Kaplan-Meier curves, and the log-rank test. Over a
mean duration of follow-up of 10.4 +/- 2.9 years (range: 0.01-12 years) post-CMR,
the overall all-cause mortality rate was 25.9% (41/158). Median age at death was
76 years and there were 21 females (51.2%). Mortality rates in the different
classifications of cardiac masses by CMR were as follows: 20% (1/5) in patients
with a Nondiagnostic CMR; 20% (1/5) in Other Diagnoses; 17.9% (7/39) in No Masses
(includes Normal Anatomical Variants); 16.7% (3/18) in Benign Masses; 23.8%
(15/63) in Fat; 50% (5/10) in Thrombus; and 61.5% (8/13) in Malignant Mass. The
mean survival time in patients with No Mass (n = 39) was not significantly longer
than patients with any type of cardiac mass (n = 114) (P = .16). No significant
difference was found in age at death between patients when grouped by CMR
classification (P = .40). However, among CMR-confirmed masses, there were some
significant differences by mass classification type (P = .006). During the follow
up period, 26% (41/158) of patients died and 22% (9/41) of the deaths were
cardiovascular related; there was no significant difference in mean survival
times with respect to cause of mortality (P = .23). In patients with cardiac
masses, dually confirmed by ECHO and CMR, significant differences in survival
time were observed based upon CMR classified type of mass while CMR was
instrumental in obviating invasive biopsy.
PMID- 29790227
TI - Experimental Realisation of Elusive Multiple-Bonded Aluminium Compounds: A New
Horizon in Aluminium Chemistry.
AB - The synthesis and isolation of stable main group compounds featuring multiple
bonds has been of great interest for several decades. A plethora of such multiply
bonded complexes have been obtained by using sterically demanding substituents
that provide both kinetic and thermodynamic stability. Most of these compounds
have unusual structural and electronic properties that challenge the classical
concept of covalent multiple bonding. In contrast, analogous aluminium compounds
are scarce in spite of its high natural abundance. The parent dialumene (Al2 H2 )
has been calculated to be extremely unstable, thus making compounds containing Al
multiple bonds a challenging synthetic target. This Review provides an overview
of the recent advances in the cutting edge synthetic approaches and the careful
ligand design used to obtain aluminium homo- and heterodiatomic multiply bonded
complexes. In addition, the reactivity of these novel compounds towards various
small molecules and reagents will be discussed herein.
PMID- 29790228
TI - Evidence-based dentistry skill acquisition by second-year dental students.
AB - INTRODUCTION: Identification and assessment of Evidence-based dentistry (EBD)
outcomes have been elusive. Our objective was to describe EBD skill acquisition
during the second (D2) year of pre-doctoral dental education and student
competency at the end of the year. METHODS: The first and fourth (final)
curricular-required EBD Exercises (ie, application of the first 4 steps of the 5
Step evidence-based practice process applied to a real or hypothetical situation)
completed by D2 students (n = 151) during 2014-2015 and 2015-2016 were evaluated
to measure skill acquisition through use of a novel rubric with measures of
performance from novice to expert. Exercises were evaluated on the performance
for each step, identification of manuscript details and reflective commentary on
manuscript components. Changes in performance were evaluated using the chi-square
test for trend and the Wilcoxon signed-rank test. RESULTS: Seventy-eight per cent
of students scored competent or higher on the Ask step at the beginning of the D2
year; scores improved with 58% scoring proficient or expert on the fourth
Exercise (P < .001). Most students were advanced beginners or higher in the
Acquire, Appraise and Apply steps at the beginning of the D2 year, with minimal
growth observed during the year. Identification of manuscript details improved
between the first and fourth Exercises (P = .015); however, depth of commentary
skills did not change. DISCUSSION: Unlike previous investigations evaluating EBD
knowledge or behaviour in a testing situation, we evaluated skill acquisition
using applied Exercises. CONCLUSION: Consistent with their clinical and
scientific maturity, D2 students minimally performed as advanced beginners at the
end of their D2 year.
PMID- 29790226
TI - Comparative analysis of AKT and the related biomarkers in uterine leiomyomas with
MED12, HMGA2, and FH mutations.
AB - Uterine leiomyomas (ULM) are histologically and molecularly heterogeneous and
clinically they grow at vastly different rates. Several driver gene mutations
have been identified in ULM, including MED12 mutations, HMGA2 overexpression, and
biallelic FH inactivation. ULM with different driver mutant genes may use
different molecular pathways, but currently no clear correlation between gene
mutations and growth related pathways has been established. To better define this
relationship, we collected ULM with MED12 (n = 25), HMGA2 (n = 15), and FH (n =
27) mutations and examined the sex steroid hormone, cell cycle, and AKT pathway
genes by immunohistochemistry. While ER and PR were highly expressed in all types
of ULM, FH ULM showed lower ER expression and higher PR expression. HMGA2 tumors
had significantly higher levels of AKT signaling and mitogenic activity than
other ULM types. HMGA2 activated AKT signaling through upregulation of IGF2BP2.
Silencing HMGA2 in ULM cells resulted in downregulation of AKT and upregulation
of p16 and p21, which eventually led to cell senescence. HMGA2 overexpression in
ULM is not only related to tumor development but also plays a role in controlling
cellular proliferation through the AKT pathway.
PMID- 29790229
TI - Global Lung Initiative 2012 spirometry reference values in a large Asian cohort
of Malay, Chinese and Indian ancestry.
AB - BACKGROUND AND OBJECTIVE: Although the multi-ethnic European Respiratory
Society/Global Lung Initiative (ERS/GLI) 2012 reference values have been
developed, the Taskforce has called for further validation specifically on
subpopulations that were under represented such as the Malays, Chinese and
Indians, in which the two latter ethnic groups represent about one-third of the
world population. Thus, the aims of this study were to evaluate the
appropriateness of the ERS/GLI 2012 reference values in a healthy adult Malaysian
population and to construct a local lung function reference for the Malaysia
population specific to the three major ethnic groups. METHODS: Acceptable
spirometry data were obtained from 30 281 healthy subjects aged 35-70 years
comprising Malays, Chinese and Indians from the Malaysian Cohort. Local reference
values were calculated using regression analysis and evaluated using ERS/GLI
reference values to obtain GLI Z-scores. RESULTS: The mean (SD) of the forced
expiratory volume in 1 s (FEV1 ) for males were 2.67 (0.46), 2.89 (0.48) and 2.60
(0.46) and females were 1.91 (0.36), 2.13 (0.37) and 1.86 (0.35) for Malays,
Chinese and Indians, respectively. For forced vital capacity (FVC), the mean (SD)
for males were 3.03 (0.53), 3.28 (0.58) and 2.92 (0.53) and females were 2.15
(0.40), 2.38 (0.43) and 2.07 (0.41) for Malays, Chinese and Indians,
respectively. The mean GLI Z-scores were less than -0.5 for FEV1 and FVC and more
than 0.5 for FEV1 /FVC. A large percentage of subjects in all the three ethnic
groups were defined lower than the lower limit of normal. CONCLUSION: This
present and large multi-ethnic Asian-based study demonstrates clinically
significant deviation from ERS/GLI 2012 equations for spirometry. It highlights
the importance of validating predicted equations for spirometry in local
populations.
PMID- 29790231
TI - A qualitative investigation of hospital visitors' experiences using the analytic
lens of liminality: Informing nursing practice and policy.
AB - This research aimed to inform nursing practice and policy by identifying
satisfying and problematic experiences of hospital visitors during the
hospitalisation episode of a significant other. An extensive contextual review
revealed that healthcare systems in advanced economies face multiple pressures
and that in England, the government leaves the determination of hospital visiting
rules to individual trusts. The analytic lens of liminality provides rich
interpretations of visitors' accounts and demonstrates the importance to visitors
of structure (hospital rules and systems) and communitas (social bonding among
liminal personae). Supportive hospital structures reduce the challenges of
liminality and increase satisfaction. The data further suggest an extension to
current understandings of liminality. Strong structure and successful communitas
permit a safe exit from liminality after the hospitalisation episode for visitors
with a close emotional bond with the patient.
PMID- 29790230
TI - Visualizing the quantile survival time difference curve.
AB - The difference between the pth quantiles of 2 survival functions can be used to
compare patients' survival between 2 therapies. Setting p = 0.5 yields the median
survival time difference. Varying p between 0 and 1 defines the quantile survival
time difference curve which can be straightforwardly estimated by the horizontal
differences between 2 Kaplan-Meier curves. The estimate's variability can be
visualized by adding either a bundle of resampled bootstrap step functions or,
alternatively, approximate bootstrap confidence bands. The user-friendly SAS
software macro %kmdiff enables the straightforward application of this
exploratory graphical approach. The macro is described, and its application is
exemplified with breast cancer data. The advantages and limitations of the
approach are discussed.
PMID- 29790232
TI - Rapid Photoactuation of a DNA Nanostructure using an Internal Photocaged Trigger
Strand.
AB - A reconfigurable DNA nano-tweezer is reported that can be switched between a
closed and open state with a brief pulse of UV light. In its initial state, the
tweezer is held shut using a hairpin with a single-stranded poly-A loop. Also
incorporated in the structure is a poly-T trigger strand bearing seven photocaged
residues. Upon illumination with 365 nm light, the cages are removed and the
trigger strand hybridizes to the loop, opening the tweezer and increasing the
distance between its arms from 4 to 18 nm. This intramolecular process is roughly
60 times faster than adding an external trigger strand, and provides a mechanism
for the rapid interconversion of DNA nanostructures with light.
PMID- 29790233
TI - Factors regulating carbon sinks in mangrove ecosystems.
AB - Mangroves are recognized as one of the richest carbon storage systems. However,
the factors regulating carbon sinks in mangrove ecosystems are still unclear,
particularly in the subtropical mangroves. The biomass, production, litterfall,
detrital export and decomposition of the dominant mangrove vegetation in
subtropical (Kandelia obovata) and tropical (Avicennia marina) Taiwan were
quantified from October 2011 to July 2014 to construct the carbon budgets.
Despite the different tree species, a principal component analysis revealed the
site or environmental conditions had a greater influence than the tree species on
the carbon processes. For both species, the net production (NP) rates ranged from
10.86 to 27.64 Mg C ha-1 year-1 and were higher than the global average rate due
to the high tree density. While most of the litterfall remained on the ground, a
high percentage (72%-91%) of the ground litter decomposed within 1 year and
fluxed out of the mangroves. However, human activities might cause a carbon flux
into the mangroves and a lower NP rate. The rates of the organic carbon export
and soil heterotrophic respiration were greater than the global mean values and
those at other locations. Only a small percentage (3%-12%) of the NP was stored
in the sediment. The carbon burial rates were much lower than the global average
rate due to their faster decomposition, indicating that decomposition played a
critical role in determining the burial rate in the sediment. The summation of
the organic and inorganic carbon fluxes and soil heterotrophic respiration well
exceeded the amount of litter decomposition, indicating an additional source of
organic carbon that was unaccounted for by decomposition in the sediment.
Sediment-stable isotope analyses further suggest that the trapping of organic
matter from upstream rivers or adjacent waters contributed more to the mangrove
carbon sinks than the actual production of the mangrove trees.
PMID- 29790234
TI - ClinVar Miner: Demonstrating utility of a Web-based tool for viewing and
filtering ClinVar data.
AB - ClinVar Miner is a Web-based suite that utilizes the data held in the National
Center for Biotechnology Information's ClinVar archive. The goal is to render the
data more accessible to processes pertaining to conflict resolution of variant
interpretation as well as tracking details of data submission and data management
for detailed variant curation. Here, we establish the use of these tools to
address three separate use cases and to perform analyses across submissions. We
demonstrate that the ClinVar Miner tools are an effective means to browse and
consolidate data for variant submitters, curation groups, and general oversight.
These tools are also relevant to the variant interpretation community in general.
PMID- 29790236
TI - Forecasting zoonotic cutaneous leishmaniasis using meteorological factors in
eastern Fars province, Iran: a SARIMA analysis.
AB - OBJECTIVES: To predict the occurrence of zoonotic cutaneous leishmaniasis (ZCL)
and evaluate the effect of climatic variables on disease incidence in the east of
Fars province, Iran using the Seasonal Autoregressive Integrated Moving Average
(SARIMA) model. METHODS: The Box-Jenkins approach was applied to fit the SARIMA
model for ZCL incidence from 2004 to 2015. Then the model was used to predict the
number of ZCL cases for the year 2016. Finally, we assessed the relation of
meteorological variables (rainfall, rainy days, temperature, hours of sunshine
and relative humidity) with ZCL incidence. RESULTS: SARIMA(2,0,0) (2,1,0)12 was
the preferred model for predicting ZCL incidence in the east of Fars province
(validation Root Mean Square Error, RMSE = 0.27). It showed that ZCL incidence in
a given month can be estimated by the number of cases occurring 1 and 2 months,
as well as 12 and 24 months earlier. The predictive power of SARIMA models was
improved by the inclusion of rainfall at a lag of 2 months (beta = -0.02), rainy
days at a lag of 2 months (beta = -0.09) and relative humidity at a lag of 8
months (beta = 0.13) as external regressors (P-values < 0.05). The latter was the
best climatic variable for predicting ZCL cases (validation RMSE = 0.26).
CONCLUSIONS: Time series models can be useful tools to predict the trend of ZCL
in Fars province, Iran; thus, they can be used in the planning of public health
programmes. Introducing meteorological variables into the models may improve
their precision.
PMID- 29790235
TI - Self-Reporting Inhibitors: A Single Crystallization Process To Obtain Two
Optically Pure Enantiomers.
AB - Collection of two optically pure enantiomers in a single crystallization process
can significantly increase the chiral separation efficiency but this is difficult
to realize. Now a self-reporting strategy is presented for visualizing the
crystallization process by a dyed self-assembled inhibitor made from the
copolymers with tri(ethylene glycol)-grafting polymethylsiloxane as the main
chain and poly(N6 -methacryloyl-l-lysine) as side chains. When applied with seeds
together for the fractional crystallization of conglomerates, the inhibitors can
label the formation of the secondary crystals and guide the complete separation
process of two enantiomers with colorless crystals as the first product and red
crystals as the second. This method leads to high optical purity of d/l-Asn?H2 O
(99.9 % ee for d-crystals and 99.5 % for l-crystals) in a single crystallization
process. It requires a small amount of additives and shows excellent
recyclability.
PMID- 29790237
TI - Towards a new classification of stable phase schizophrenia into major and simple
neuro-cognitive psychosis: Results of unsupervised machine learning analysis.
AB - RATIONALE: Deficit schizophrenia, as defined by the Schedule for Deficit
Syndrome, may represent a distinct diagnostic class defined by neurocognitive
impairments coupled with changes in IgA/IgM responses to tryptophan catabolites
(TRYCATs). Adequate classifications should be based on supervised and
unsupervised learning rather than on consensus criteria. METHODS: This study used
machine learning as means to provide a more accurate classification of patients
with stable phase schizophrenia. RESULTS: We found that using negative symptoms
as discriminatory variables, schizophrenia patients may be divided into two
distinct classes modelled by (A) impairments in IgA/IgM responses to noxious and
generally more protective tryptophan catabolites, (B) impairments in episodic and
semantic memory, paired associative learning and false memory creation, and (C)
psychotic, excitation, hostility, mannerism, negative, and affective symptoms.
The first cluster shows increased negative, psychotic, excitation, hostility,
mannerism, depression and anxiety symptoms, and more neuroimmune and cognitive
disorders and is therefore called "major neurocognitive psychosis" (MNP). The
second cluster, called "simple neurocognitive psychosis" (SNP) is discriminated
from normal controls by the same features although the impairments are less well
developed than in MNP. The latter is additionally externally validated by lowered
quality of life, body mass (reflecting a leptosome body type), and education
(reflecting lower cognitive reserve). CONCLUSIONS: Previous distinctions
including "type 1" (positive)/"type 2" (negative) and DSM-IV-TR (eg, paranoid)
schizophrenia could not be validated using machine learning techniques. Previous
names of the illness, including schizophrenia, are not very adequate because they
do not describe the features of the illness, namely, interrelated neuroimmune,
cognitive, and clinical features. Stable-phase schizophrenia consists of 2
relevant qualitatively distinct categories or nosological entities with SNP being
a less well-developed phenotype, while MNP is the full blown phenotype or core
illness. Major neurocognitive psychosis and SNP should be added to the DSM-5 and
incorporated into the Research Domain Criteria project.
PMID- 29790239
TI - Ocean warming has a greater effect than acidification on the early life history
development and swimming performance of a large circumglobal pelagic fish.
AB - Ocean warming and acidification are serious threats to marine life; however,
their individual and combined effects on large pelagic and predatory fishes are
poorly understood. We determined the effects of projected future temperature and
carbon dioxide (CO2 ) levels on survival, growth, morphological development and
swimming performance on the early life stages of a large circumglobal pelagic
fish, the yellowtail kingfish Seriola lalandi. Eggs, larvae and juveniles were
reared in cross-factored treatments of temperature (21 and 25 degrees C) and pCO2
(500 and 985 MUatm) from fertilisation to 25 days post hatching (dph).
Temperature had the greatest effect on survival, growth and development.
Survivorship was lower, but growth and morphological development were faster at
25 degrees C, with surviving fish larger and more developed at 1, 11 and 21 dph.
Elevated pCO2 affected size at 1 dph, but not at 11 or 21 dph, and did not affect
survival or morphological development. Elevated temperature and pCO2 had opposing
effects on swimming performance at 21 dph. Critical swimming speed (Ucrit ) was
increased by elevated temperature but reduced by elevated pCO2 . Additionally,
elevated temperature increased the proportion of individuals that responded to a
startle stimulus, reduced latency to respond and increased maximum escape speed,
potentially due to the more advanced developmental stage of juveniles at 25
degrees C. By contrast, elevated pCO2 reduced the distance moved and average
speed in response to a startle stimulus. Our results show that higher temperature
is likely to be the primary driver of global change impacts on kingfish early
life history; however, elevated pCO2 could affect critical aspects of swimming
performance in this pelagic species. Our findings will help parameterise and
structure fisheries population dynamics models and improve projections of impacts
to large pelagic fishes under climate change scenarios to better inform
adaptation and mitigation responses.
PMID- 29790238
TI - Personal sleep debt and daytime sleepiness mediate the relationship between sleep
and mental health outcomes in young adults.
AB - BACKGROUND: Sleep duration and chronotype (i.e., morningness-eveningness) are
associated with increased depression and anxiety risk, but differences in
individual sleep need and lifestyle may mean these sleep parameters do not
present the same risk across all individuals. This study explored the mediating
role of sleep debt and daytime sleepiness in the relationship between sleep and
mental health symptoms in young adults, a particularly vulnerable population.
METHODS: Young adult university students (n = 2,218) and young adults from the
general population in the United States (n = 992) provided estimates of actual
and optimal sleep duration, and completed validated measures of sleepiness,
chronotype, and depression and anxiety risk. Mediation models examining
sleepiness and sleep debt (i.e., difference between optimal and actual sleep) as
parallel mediators were tested. RESULTS: Sleepiness and sleep debt mediated the
relationship between short sleep and depression and anxiety risk in the
university sample, while sleepiness mediated these relationships in the general
population sample. Sleepiness and sleep debt also mediated the impact of evening
type preferences on depression and anxiety risk in university students, but no
mediation of this effect was found in young adults from the general population.
CONCLUSIONS: This study reports potential mediating mechanisms related to the
increased mental health risk conferred by short sleep and evening chronotype.
These results have implications for how primary care physicians assess
psychopathology risk, arguing for a focus on the assessment of daytime sleepiness
and sleep debt in university populations, while for young adults in the general
population, these factors may be less important.
PMID- 29790240
TI - Understanding mucosal and microbial functionality of the female reproductive
tract by metaproteomics: Implications for HIV transmission.
AB - The mucosal surface of the female genital tract contains physiological,
immunological, and microbial components that collectively comprise a functioning
"mucosal system" that is critical for reproductive health. Alterations or
imbalances to any of these components can have significant consequences for
susceptibility to sexually transmitted infections, such as HIV. In recent years
the advent of advanced systems biology technologies, such as metaproteomics, has
provided new toolsets to studying mucosal systems. Studies have linked an altered
mucosal proteome to many HIV risk factors including mucosal inflammation,
bacterial vaginosis, hormonal contraceptives, and reduced efficacy of
antiretroviral drugs for HIV prevention. Herein we will discuss how
metaproteomics has been used to study mucosal system components, including
epithelial barriers, inflammation, and the microbiome, with a focus on what
alterations may contribute to increased HIV transmission risk in women.
PMID- 29790241
TI - Maternal education and childhood immunization in Turkey.
AB - We study the causal effect of maternal education on childhood immunization rates.
We use the Compulsory Education Law of 1997, and the differentiation in its
implementation across regions, as instruments for schooling of young mothers in
Turkey. The Compulsory Education Law increased the compulsory years of schooling
of those born after 1986 from 5 to 8 years. We find that education of mothers
increases the probability of completing the full course of diphtheria, pertussis,
and tetanus and Hepatitis B vaccinations for their children. The results are
robust to variations in regression specification and including various individual
and community variables.
PMID- 29790242
TI - Looking for trouble? Diagnostics expanding disease and producing patients.
AB - Novel tests give great opportunities for earlier and more precise diagnostics. At
the same time, new tests expand disease, produce patients, and cause unnecessary
harm in overdiagnosis and overtreatment. How can we evaluate diagnostics to
obtain the benefits and avoid harm? One way is to pay close attention to the
diagnostic process and its core concepts. Doing so reveals 3 errors that expand
disease and increase overdiagnosis. The first error is to decouple diagnostics
from harm, eg, by diagnosing insignificant conditions. The second error is to
bypass proper validation of the relationship between test indicator and disease,
eg, by introducing biomarkers for Alzheimer's disease before the tests are
properly validated. The third error is to couple the name of disease to
insignificant or indecisive indicators, eg, by lending the cancer name to
preconditions, such as ductal carcinoma in situ. We need to avoid these errors to
promote beneficial testing, bar harmful diagnostics, and evade unwarranted
expansion of disease. Accordingly, we must stop identifying and testing for
conditions that are only remotely associated with harm. We need more stringent
verification of tests, and we must avoid naming indicators and indicative
conditions after diseases. If not, we will end like ancient tragic heroes,
succumbing because of our very best abilities.
PMID- 29790244
TI - Relapsing acute disseminated encephalomyelitis followed by optic neuritis in
children; a clinical entity associated with anti-MOG antibody.
PMID- 29790243
TI - Identifying language impairment in bilingual children in France and in Germany.
AB - BACKGROUND: The detection of specific language impairment (SLI) in children
growing up bilingually presents particular challenges for clinicians. Non-word
repetition (NWR) and sentence repetition (SR) tasks have proven to be the most
accurate diagnostic tools for monolingual populations, raising the question of
the extent of their usefulness in different bilingual populations. AIMS: To
determine the diagnostic accuracy of NWR and SR tasks that incorporate
phonological/syntactic complexity as discussed in recent linguistic theory. The
tasks were developed as part of the Language Impairment Testing in Multilingual
Settings (LITMUS) toolkit, in two different national settings, France and
Germany, and investigated children with three different home languages: Arabic,
Portuguese and Turkish. METHODS & PROCEDURES: NWR and SR tasks developed in
parallel were administered to 151 bilingual children, aged 5;6-8;11, in France
and in Germany, to 64 children in speech-language therapy (SLT) and to 87
children not in SLT, whose first language (L1) was Arabic, Portuguese or Turkish.
Children were also administered standardized language tests in each of their
languages to determine likely clinical status (typical development (TD) or SLI),
and parents responded to a questionnaire including questions about early and
current language use (bilingualism factors) and early language development (risk
factors for SLI). Monolingual controls included 47 TD children and 29 children
with SLI. Results were subjected to inter-group comparisons, to diagnostic
accuracy calculation, and to correlation and multiple regression analyses.
OUTCOMES & RESULTS: In accordance with previous studies, NWR and SR identified
SLI in the monolingual children, yielding good to excellent diagnostic accuracy.
Diagnostic accuracy in bilingual children was fair to good, generally
distinguishing children likely to have SLI from children likely to have TD.
Accuracy was necessarily linked to the determination of clinical status, which
was based on standardized assessment in each of the child's languages. Positive
early development, a composite risk factor for SLI, and not variables related to
language exposure and use, generally emerged as the strongest predictor of
performance on the two tasks, constituting additional, independent support for
the efficacy of NWR and SR in identifying impairment in bilingual children.
CONCLUSIONS & IMPLICATIONS: NWR and SR tasks informed by linguistic theory are
appropriate for use as part of the diagnostic process for identifying language
impairment in bilingual children for whom the language of assessment is different
from the home language, in diverse sociolinguistic contexts.
PMID- 29790245
TI - P465L-PPARgamma mutation confers partial resistance to the hypolipidaemic action
of fibrates.
AB - AIMS: Familial partial lipodystrophic syndrome 3 (FPLD3) is associated with
mutations in the transcription factor PPARgamma. One of these mutations, the
P467L, confers a dominant negative effect. We and others have previously
investigated the pathophysiology associated with this mutation using a humanized
mouse model that recapitulates most of the clinical symptoms observed in patients
who have been phenotyped under different experimental conditions. One of the key
clinical manifestations observed, both in humans and mouse models, is the ectopic
accumulation of fat in the liver. With this study we aim to dissect the molecular
mechanisms that contribute to the excessive accumulation of lipids in the liver
and characterize the negative effect of this PPARgamma mutation on the activity
of PPARalpha in vivo when activated by fibrates. MATERIAL AND METHODS: P465L-PPAR
mutant and wild-type mice were divided into 8 experimental groups, 4 different
conditions per genotype. Briefly, mice were fed a chow diet or a high-fat diet
(HFD 45% Kcal from fat) for a period of 28 days and treated with WY14643 or
vehicle for five days before culling. At the end of the experiment, tissues and
plasma were collected. We performed extensive gene expression, fatty acid
composition and histological analysis in the livers. The serum collected was used
to measure several metabolites and to perform basic lipoprotein profile. RESULTS:
P465L mice showed increased levels of insulin and free fatty acids (FFA) as well
as increased liver steatosis. They also exhibit decreased levels of very low
density lipoproteins (VLDL) when fed an HFD. We also provide evidence of impaired
expression of a number of well-established PPARalpha target genes in the P465L
mutant livers. CONCLUSION: Our data demonstrate that P465L confers partial
resistance to the hypolipidemic action of fibrates. These results show that the
fatty liver phenotype observed in P465L mutant mice is not only the consequence
of dysfunctional adipose tissue, but also involves defective liver metabolism.
All in all, the deleterious effects of P465L-PPARgamma mutation may be magnified
by their collateral negative effect on PPARalpha function.
PMID- 29790246
TI - A review of predation as a limiting factor for bird populations in mesopredator
rich landscapes: a case study of the UK.
AB - The impact of increasing vertebrate predator numbers on bird populations is
widely debated among the general public, game managers and conservationists
across Europe. However, there are few systematic reviews of whether predation
limits the population sizes of European bird species. Views on the impacts of
predation are particularly polarised in the UK, probably because the UK has a
globally exceptional culture of intensive, high-yield gamebird management where
predator removal is the norm. In addition, most apex predators have been
exterminated or much depleted in numbers, contributing to a widely held
perception that the UK has high numbers of mesopredators. This has resulted in
many high-quality studies of mesopredator impacts over several decades. Here we
present results from a systematic review of predator trends and abundance, and
assess whether predation limits the population sizes of 90 bird species in the
UK. Our results confirm that the generalist predators Red Fox (Vulpes vulpes) and
Crows (Corvus corone and C. cornix) occur at high densities in the UK compared
with other European countries. In addition, some avian and mammalian predators
have increased numerically in the UK during recent decades. Despite these high
and increasing densities of predators, we found little evidence that predation
limits populations of pigeons, woodpeckers and passerines, whereas evidence
suggests that ground-nesting seabirds, waders and gamebirds can be limited by
predation. Using life-history characteristics of prey species, we found that
mainly long-lived species with high adult survival and late onset of breeding
were limited by predation. Single-brooded species were also more likely to be
limited by predation than multi-brooded species. Predators that depredate prey
species during all life stages (i.e. from nest to adult stages) limited prey
numbers more than predators that depredated only specific life stages (e.g.
solely during the nest phase). The Red Fox and non-native mammals (e.g. the
American Mink Neovison vison) were frequently identified as numerically limiting
their prey species. Our review has identified predator-prey interactions that are
particularly likely to result in population declines of prey species. In the
short term, traditional predator-management techniques (e.g. lethal control or
fencing to reduce predation by a small number of predator species) could be used
to protect these vulnerable species. However, as these techniques are costly and
time-consuming, we advocate that future research should identify land-use
practices and landscape configurations that would reduce predator numbers and
predation rates.
PMID- 29790247
TI - The promotion of tissue engineering blood vessel patency by CGS21680 through
regulating pro-inflammatory activities of endothelial progenitor cell.
AB - The mobilization and homing of endothelial progenitor cells (EPCs) contribute to
the rapid endothelialization of tissue engineering blood vessel (TEBV).
Inflammation can affect TEBV patency, and monocytes/macrophages (MM) are the main
effector cells. But it is not clear how EPCs interact with MM after TEBV
transplantation. Our results showed acellular materials would not directly cause
acute and severe inflammatory responses but activate E-selectin expression in
homing EPCs, gradually promoting the polarization of MM to the M1. Adenosine A2a
receptor agonist CGS21680 promoted the secretion of more proangiogenic factors
from MM, inducing EPC migration and mobilization. CGS21680 could inhibit MM
polarization to the M1 type through the down-regulation of EPC proinflammatory
molecules, such as E-selectin. Chitosan/(2-hydroxypropyl)-beta-cyclodextrin
nanoparticles were prepared to control the release of CGS-21680 and then modified
to TEBVs through layer-by-layer assembly. Animal experiments showed that this
TEBV can maintain patency for 6 months and good endothelialization was observed.
In summary, our results showed the regulation of EPC pro-inflammatory activities
is a new approach to enhance TEBV patency. (c) 2018 Wiley Periodicals, Inc. J
Biomed Mater Res Part A: 106A: 2634-2642, 2018.
PMID- 29790248
TI - Diagnosis and management of conductive hearing loss in children with trisomy 21.
AB - AIM: The objective of this study is to review the prevalence and degree of
hearing loss in children with trisomy 21, their response to intervention and the
presence of concomitant pathologies. The project will also highlight the
experiences of the children from their parents' and guardians' perspective and
the link between perceived service quality and an objective improvement in their
hearing after rehabilitation. METHODS: All patients with trisomy 21 who were
referred to district general otolaryngology outpatient clinics between 2014 and
2016 were included. A retrospective analysis of the medical notes and audiograms
along with a qualitative questionnaire to the children's parents was utilised to
gather the information. RESULTS: The study showed that 77% (17/22) of children
suffered from hearing loss, with a moderate degree being most prevalent. The
majority of patients (14/17, 82%) were managed conservatively, undergoing a
period of watchful waiting (9/17, 53%) or receiving hearing aids (5/17, 30%) and
3 of 17 (17%) were managed with grommet insertion. The improvement in hearing
with hearing aids was comparable with grommet insertion and hearing aids scored
highest in the post-intervention qualitative assessment with grommet insertion
scoring the lowest. CONCLUSIONS: Functional hearing has been shown to be key in
developing speech and language skills. In children with trisomy 21, behavioural
and anatomical abnormalities make the diagnosis and intervention technically more
challenging. This study demonstrates that in the absence of other otological
symptoms, hearing loss can be managed effectively and with the least distress to
the children with hearing aids.
PMID- 29790249
TI - The mechanisms of epigenetic inheritance: how diverse are they?
AB - Although epigenetic inheritance (EI) is a rapidly growing field of modern
biology, it still has no clear place in fundamental genetic concepts which are
traditionally based on the hereditary role of DNA. Moreover, not all mechanisms
of EI attract the same attention, with most studies focused on DNA methylation,
histone modification, RNA interference and amyloid prionization, but relatively
few considering other mechanisms such as stable inhibition of plastid
translation. Herein, we discuss all known and some hypothetical mechanisms that
can underlie the stable inheritance of phenotypically distinct hereditary factors
that lack differences in DNA sequence. These mechanisms include (i) regulation of
transcription by DNA methylation, histone modifications, and transcription
factors, (ii) RNA splicing, (iii) RNA-mediated post-transcriptional silencing,
(iv) organellar translation, (v) protein processing by truncation, (vi) post
translational chemical modifications, (vii) protein folding, and (viii)
homologous and non-homologous protein interactions. The breadth of this list
suggests that any or almost any regulatory mechanism that participates in gene
expression or gene-product functioning, under certain circumstances, may produce
EI. Although the modes of EI are highly variable, in many epigenetic systems,
stable allelic variants can be distinguished. Irrespective of their nature, all
such alleles have an underlying similarity: each is a bimodular hereditary unit,
whose features depend on (i) a certain epigenetic mark (epigenetic determinant)
in the DNA sequence or its product, and (ii) the DNA sequence itself (DNA
determinant; if this is absent, the epigenetic allele fails to perpetuate). Thus,
stable allelic epigenetic inheritance (SAEI) does not contradict the hereditary
role of DNA, but involves additional molecular mechanisms with no or almost no
limitations to their variety.
PMID- 29790250
TI - Photochemical Construction of Carbonitride Structures for Red-Light Redox
Catalysis.
AB - Metal-free carbonitride(CN) semiconductors are appealing light-transducers for
photocatalytic redox reactions owing to the unique band gap and stability. To
harness solar energy efficiently, CN catalysts that are active over a wider range
of the visible spectrum are desired. Now a photochemical approach has been used
to prepare a new-type triazine-based CN structure. The obtained CN shows
extraordinary light-harvesting characteristics, with suitable semiconductor-redox
potentials. The light absorption edge of the CN reaches up to 735 nm, which is
significantly longer than that of the conventional CN semiconductor at about 460
nm. As expected, the CN can efficiently catalyze oxidation of alcohols and
reduction of CO2 with visible light, even under red-light irradiation. The
results represent an important step toward the development of red-light
responsive triazine-based structures for solar applications.
PMID- 29790251
TI - Chitosan/biphasic calcium phosphate scaffolds functionalized with BMP-2
encapsulated nanoparticles and RGD for bone regeneration.
AB - Advancements in bone tissue engineering require the improvement of tissue
scaffolds, which should not only exhibit suitable mechanical properties and
highly porous structures, but also effectively carry signaling molecules that can
mediate bone formation and tissue regeneration. In the present study, we
established chitosan/biphasic calcium phosphate (CS/BCP) scaffolds functionalized
with Arg-Gly-Asp (RGD) and BMP-2-loaded nanoparticles. The resulting scaffolds
were highly similar to natural bone extracellular matrix (ECM) in terms of
composition and structural properties. First, we synthesized CS/BCP composite
bionic scaffolds via the freeze-drying method. Then, RGD peptides were covalently
conjugated onto the scaffolds via the EDC/NHS method. The BMP-2-encapsulated BSA
nanoparticles were prepared via a desolvation method and then coated with CS and
oxidized alginate to achieve sustained release of BMP-2. In vitro cell culture
and in vivo implantation tests confirmed that RGD and BMP-2 synergistically
enhanced cell attachment and spreading by providing integrin binding surface and
facilitating osteogenic differentiation. In summary, the bioceramic/biopolymer
scaffolds functionalized with signaling biomolecules successfully provided a
favorable microenvironment for bone formation and thus serve as potential
candidates for use in bone tissue engineering. (c) 2018 Wiley Periodicals, Inc. J
Biomed Mater Res Part A: 106A: 2613-2624, 2018.
PMID- 29790252
TI - Should we still be utilizing warfarin in the type 2 diabetic patient?
AB - The frequency of non-valvular atrial fibrillation is increased by 40% in type 2
diabetic individuals and the thromboembolic risk associated with atrial
fibrillation is increased by 79% compared with the non-diabetic individual with
atrial fibrillation. Warfarin, the traditional anticoagulant used to prevent
thromboembolism, is non-specific and affects several proteins outside the
coagulation system. Decreasing the levels of matrix Gla protein entails an
increase in coronary and renal artery calcification, which has the potential to
increase cardiovascular events and accelerate decline in renal function. The
direct-acting oral anticoagulants are specific, directly inhibiting either
thrombin or factor Xa, and have been shown to be safer and more efficacious in
studies of the type 2 diabetic patient.
PMID- 29790253
TI - Robotic left colectomy with complete mesocolectomy for splenic flexure and
descending colon cancer, compared with a laparoscopic procedure.
AB - BACKGROUND: Its relatively low incidence and its surgical complexity mean that a
standardized technique for left colectomy has not yet been established for
splenic flexure and descending colon cancer (SF-DCC). METHODS: Seventy-three
patients (robot-assisted left colectomy with complete mesocolectomy [R-LCCM], n =
20; laparoscopic left colectomy with complete mesocolectomy [L-LCCM], n = 53)
with SF-DCC were enrolled at the Asan Medical Center (Seoul, Korea). RESULTS: R
LCCM conveniently enables dexterous dissection for the multi-directional
approaches during left mesocolic mobilization. A conversion to open surgery was
required in two patients of the L-LCCM group, but not in the R-LCCM group. A
positive circumferential resection margin was exclusively identified in two
patients in the L-LCCM group. Mean lymph node harvest was 21 with no difference
between the two groups. CONCLUSIONS: Although R-LCCM provided few remarkable
advantages over L-LCCM, it could be considered as an efficient approach in
patients with SF-DCC.
PMID- 29790254
TI - Chemsex and new HIV diagnosis in gay, bisexual and other men who have sex with
men attending sexual health clinics.
AB - OBJECTIVES: The aim of the study was to analyse associations between chemsex and
new HIV and sexually transmitted infection (STI) diagnoses among gay, bisexual
and other men who have sex with men (GBMSM) accessing sexual health clinics.
METHODS: A retrospective case note review was carried out for all GBMSM attending
two London sexual health clinics between 1 June 2014 and 31 July 2015. RESULTS:
Chemsex status was documented for 1734 of 1840 patients. Overall, 27.1% (n = 463)
disclosed current recreational drug use, of whom 286 (16.5%) disclosed chemsex
participation and 74 of 409 (18.1%) injected drugs. GBMSM who were already HIV
positive were more likely to disclose chemsex participation [adjusted odds ratio
(AOR) 2.55; 95% confidence interval (CI) 1.89-3.44; P < 0.001]. Those disclosing
chemsex participation had higher odds of being newly diagnosed with HIV infection
(AOR 5.06; 95% CI 2.56-10.02; P < 0.001), acute bacterial STIs (AOR 3.94; 95% CI
3.00-5.17; P < 0.001), rectal STIs (AOR 4.45; 95% CI 3.37-6.06; P < 0.001) and
hepatitis C (AOR 9.16; 95% CI 2.31-36.27; P = 0.002). HIV-negative chemsex
participants were also more likely to have accessed post-exposure prophylaxis for
HIV in the study period and to report sex with a discordant HIV- or hepatitis C
virus-infected partner (P < 0.001). CONCLUSIONS: Chemsex disclosure in sexual
health settings is associated with higher rates of STI diagnoses, including HIV
infection and hepatitis C. GBMSM attending sexual health services should
therefore be assessed for chemsex participation and disclosure should prompt
health promotion, harm minimization and wellbeing interventions.
PMID- 29790255
TI - Risk of major congenital malformations following first-trimester exposure to
vaginal azoles used for treating vulvovaginal candidiasis: a population-based
retrospective cohort study.
AB - OBJECTIVE: To evaluate the risk for major malformations following first-trimester
exposure to vaginal azoles. DESIGN: A population-based retrospective cohort study
of women exposed to vaginal azoles from the first day of the last menstrual
period until the 90th gestational day. SETTING: A combination of four
computerised databases: medications, birth, infant hospitalizations, and
pregnancy terminations. POPULATION: All women who gave birth or underwent a
pregnancy termination at Soroka Medical Center, Beer-Sheva, Israel, between 1999
and 2009. METHODS: Crude and adjusted relative risks for major congenital
malformations and for specific malformations according to organ systems were
calculated using a multivariate negative binomial regression. Potential
confounders were assessed and controlled for included parity, maternal age,
ethnicity, maternal diabetes, smoking, and year of birth or pregnancy
termination. Additional analysis using propensity score matching was performed
for selected malformations. MAIN OUTCOME MEASURES: Major malformations as well as
specific malformations according to organ systems. RESULTS: Of 101 615
pregnancies, 1993 (1.96%) were exposed to clotrimazole vaginal tablets and 313
(0.31%) to miconazole vaginal tablets during the first trimester of pregnancy. No
association was found between first-trimester exposure to clotrimazole and major
or specific malformations. An association was found between miconazole exposure
and musculoskeletal malformation in general and other congenital musculoskeletal
anomalies in particular. However, no association was detected when propensity
score matching was used. CONCLUSIONS: Intrauterine exposure to vaginal azoles
during the first trimester of pregnancy was not associated with either major or
specific malformations according to organ systems. TWEETABLE ABSTRACT: First
trimester exposure to vaginal azoles is not associated with either major or
specific malformations.
PMID- 29790256
TI - Platelet characteristics in patients with essential thrombocytosis.
AB - BACKGROUND: Essential thrombocytosis (ET) is a myeloproliferative disorder
characterized by an increased platelet count. ET is associated with an increased
risk of thrombosis, and procoagulant features of the disease may include an
increased number of reactive reticulated platelets and an increased aggregation
potential. We aimed to explore the association between platelet count, platelet
turnover, and platelet aggregation in patients with ET. METHODS: We included 24
ET patients who discontinued antiplatelet therapy prior to blood sampling.
Reticulated platelets were assessed as immature platelet count (IPC) and immature
platelet fraction by automated flow cytometry (Sysmex XE-5000). Platelet
aggregation was investigated by impedance aggregometry (Multiplate(r) Analyzer)
and aggregation potential by flow cytometry (NAVIOS). RESULTS: Our results showed
that ET patients had increased IPC compared to healthy individuals (median 12.3
vs. median 6.9, P < 0.0001). Furthermore, a positive correlation between platelet
count and impedance aggregation was demonstrated using arachidonic acid (r =
0.48, P = 0.02), thrombin-receptor-activating-peptide (r = 0.46, P = 0.03) and
adenosine diphosphate (r = 0.56, P = 0.007) as agonists. Finally, an increased
aggregation potential was demonstrated in ET patients compared to healthy
individuals. CONCLUSIONS: The study showed that ET patients compared to healthy
individuals have an increased amount of reticulated platelets and increased
aggregation potential. These findings might in part explain the increased
thromboembolic risk in patients with ET. (c) 2018 International Clinical
Cytometry Society.
PMID- 29790257
TI - Functionalized silk fibers from transgenic silkworms for wound healing
applications: Surface presentation of bioactive epidermal growth factor.
AB - Growth factors play a crucial role in wound healing in general and are promising
tools for the treatment of chronic wounds as they can restore the physiological
wound healing process. In growth factor-loaded wound dressings, human epidermal
growth factor (EGF) is released in a burst and washed out quickly. The developed
matrix consists of recombinant EGF produced in transgenic silkworms as a fusion
protein with the fibroin light chain. The covalent linkage prevents EGF from
draining into the surrounding tissue while presenting the growth factor on the
surface. EGF-functionalized silk membranes and nonwovens lead to a 2.5-fold
increase in the cell number of fibroblasts, while retaining full bioactivity even
after e-beam sterilization. EGF is long-term presented without burst release and
significantly reduces the wound area by 15% in an in vitro wound model. Hence,
the cost-effective production of a biomaterial using transgenic silkworm larvae
in combination with a growth factor paves the way for a promising new
multifactorial wound cover for chronic wound healing. (c) 2018 Wiley Periodicals,
Inc. J Biomed Mater Res Part A: 106A: 2643-2652, 2018.
PMID- 29790258
TI - Distinguishing immunohistochemical features of alopecia areata from androgenic
alopecia.
AB - BACKGROUND: Distinction between alopecia areata (AA) and androgenic alopecia
(AGA) can be made according to clinical presentation and biopsy findings.
However, it is sometimes difficult to differentiate them, especially when the
diffuse pattern of both AA and AGA is in the differential diagnosis of hair loss
in androgen-dependent areas. OBJECTIVES: To evaluate the characteristics of
inflammatory cell infiltration using CD3, CD4, CD8, and CD20 antigens, in AA and
AGA to find some consistent histological clues for distinguishing these two
entities. METHODS: A retrospective analysis of patients with diagnosed AA (30
cases) and AGA (30 cases) was performed based on the clinical and
histopathological criteria. We studied immunohistochemical findings for CD3, CD4,
CD8, and CD20 in all selected cases. RESULTS: Immunohistochemical stains for CD4
and CD20 were not helpful in differentiating AA from AGA, but the inflammation
density for AA was significantly (P-value = .025, .001) higher than AGA in CD3
(specificity= 86.7% and sensitivity= 96.7%) and CD8 (specificity= 50% and
sensitivity=86.6%). Our findings revealed that intrafollicular CD3 (P-value =
.017) and CD8 (P-value = ?.001) infiltrations were significantly higher in AA
samples in comparison with AGA. CONCLUSION: Characterization of CD3 and CD8 in
IHC samples is helpful, especially when the density of CD3 and CD8 T cells are
significant in more than 50% of the infiltrated cells and are located
intrafolliculary. Moreover, the most specific and sensitive test for
differentiating of AA from AGA is CD3.
PMID- 29790259
TI - Monitoring and evaluation framework for hypertension programs. A collaboration
between the Pan American Health Organization and World Hypertension League.
AB - The Pan American Health Organization (PAHO)-World Hypertension League (WHL)
Hypertension Monitoring and Evaluation Framework is summarized. Standardized
indicators are provided for monitoring and evaluating national or subnational
hypertension control programs. Five core indicators from the World Health
Organization hearts initiative and a single PAHO-WHL core indicator are
recommended to be used in all hypertension control programs. In addition,
hypertension control programs are encouraged to select from 14 optional
qualitative and 33 quantitative indicators to facilitate progress towards
enhanced hypertension control. The intention is for hypertension programs to
select quantitative indicators based on the current surveillance mechanisms that
are available and what is feasible and to use the framework process indicators as
a guide to program management. Programs may wish to increase or refine the number
of indicators they use over time. With adaption the indicators can also be
implemented at a community or clinic level. The standardized indicators are being
pilot tested in Cuba, Colombia, Chile, and Barbados.
PMID- 29790260
TI - Endogenous GLP-1 alters postprandial functional connectivity between homeostatic
and reward-related brain regions involved in regulation of appetite in healthy
lean males: A pilotstudy.
AB - AIMS: Peripheral infusion of glucagon-like peptide-1 (GLP-1) can affect brain
activity in areas involved in the regulation of appetite, including hypothalamic
and reward-related brain regions. In contrast, the physiological role of
endogenous GLP-1 in the central regulation of appetite has hardly been
investigated. MATERIALS AND METHODS: This was a randomized, cross-over trial that
involved 12 healthy volunteers who received an intragastric (ig) glucose (gluc)
load, with or without intravenous (iv) exendin9-39 (ex9-39; specific GLP-1
receptor antagonist). Functional magnetic resonance imaging was used to
investigate the effect of endogenous GLP-1 on resting state functional
connectivity (rsFC) between homeostatic and reward-related brain regions. Visual
analogue scales were used to rate appetite-related sensations. Blood samples were
collected for GI hormone measurements. RESULTS: Administration of iv-ex9-39/ig
gluc induced a significantly higher rsFC, relative to ig-gluc administration,
between the hypothalamus and the left lateral orbitofrontal cortex (OFC) as well
as the left amygdala (P <= .001, respectively). Administration of iv-ex9-39/ig
gluc induced a significantly higher rsFC, relative to ig-gluc administration,
between the right nucleus accumbens and the right lateral OFC (P < .001).
Administration of iv-ex9-39/ig-gluc induced a significantly lower rsFC, relative
to ig-gluc administration, between the midbrain and the right caudate nucleus (P
= .001). Administration of ig-gluc significantly decreased prospective food
consumption and increased sensations of fullness compared to pre-infusion
baseline (P = .028 and P = .019, respectively); these effects were not present in
the iv-ex9-39/ig-gluc condition. CONCLUSIONS: This pilot trial provides
preliminary experimental evidence that glucose-induced endogenous GLP-1 affects
central regulation of appetite by modulating rsFC in homeostatic and reward
related brain regions in healthy lean male participants in a GLP-1 receptor
mediated fashion.
PMID- 29790261
TI - "Characterization of ELEKTA SRS cone collimator using high spatial resolution
monolithic silicon detector array".
AB - PURPOSE: To investigate the accuracy of the dosimetry of radiation fields
produced by small ELEKTA cone collimators used for stereotactic radiosurgery
treatments (SRS) using commercially available detectors EBT3 GafchromicTM film,
IBA Stereotactic diode (SFD), and the recently developed detector DUO, which is a
monolithic silicon orthogonal linear diode array detector. METHODS: These three
detectors were used for the measurement of beam profiles, output factors, and
percentage depth dose for SRS cone collimators with cone sizes ranging from 5 to
50 mm diameter. The measurements were performed at 10 cm depth and 90 cm SSD.
RESULTS: The SRS cone beam profiles measured with DUO, EBT3 film, and IBA SFD
agreed well, results being in agreement within +/-0.5 mm in the FWHM, and +/-0.7
mm in the penumbra region. The output factor measured by DUO with 0.5 mm air gap
above agrees within +/-1% with EBT3. The OF measured by IBA SFD (corrected for
the over-response) agreed with both EBT3 and DUO within +/-2%. All three
detectors agree within +/-2% for PDD measurements for all SRS cones. CONCLUSIONS:
The characteristics of the ELEKTA SRS cone collimator have been evaluated by
using a monolithic silicon high spatial resolution detector DUO, EBT3, and IBA
SFD diode. The DUO detector is suitable for fast real-time quality assurance
dosimetry in small radiation fields typical for SRS/SRT. This has been
demonstrated by its good agreement of measured doses with EBT 3 films.
PMID- 29790262
TI - The efficacy and tolerability of 5-aminolevulinic acid 5% thermosetting gel
photodynamic therapy (PDT) in the treatment of mild-to-moderate acne vulgaris. A
two-center, prospective assessor-blinded, proof-of-concept study.
AB - BACKGROUND: Acne vulgaris is a chronic inflammatory skin disease, commonly
treated with topical or systemic drugs, according to the severity of the
condition. Retinoids and antibiotic compounds are considered cornerstone
approaches in this condition. However, low adherence to the therapy and the issue
of bacterial resistance undermine the efficacy in the long term. Photodynamic
therapy (PDT) with 20% aminolevulinic acid (ALA) has shown to be effective in the
treatment of inflammatory acne. Skin tolerability, however, could be a limiting
factor for a widespread use of this approach. A new formulation of 5% ALA in
thermosetting gel has been recently available. This formulation allows a more
convenient application procedure without occlusion and better and more efficient
release of the active compound in comparison with traditional ALA formulations
like creams or ointments. STUDY AIM: To evaluate in a two-center, assessor
blinded, prospective, proof-of-concept study, the efficacy, and tolerability of
red-light (630 nm) PDT with a new 5-ALA "low-dose" topical gel formulation (5%)
in the treatment of inflammatory mild-to-moderate acne vulgaris (AV). SUBJECTS
AND METHODS: A total of 35 subjects with moderate AV of the face (mean age: 24 +/
8 years, 13 men and 22 women) were enrolled, after their written informed
consent. The primary outcome was the evolution of GAG (Global Acne Grade System)
score at baseline and after an average of three, 630-nm, 15-minute, PDT sessions,
performed every 2 weeks. GAG score was also calculated in a follow-up visit 6
months after the last PDT session. Skin tolerability was assessed during PDT
sessions with a patient-reported discomfort level evaluation score from 0 (no
discomfort at all) to 3 (severe discomfort). RESULTS: At baseline, the GAG score
was 21 +/- 6. After the last PDT session, the GAG score evaluated in a blinded
fashion (digital photographs) was significantly reduced to 6.5 +/- 5.7,
representing a 70% reduction (P = .0001, Wilcoxon test; mean difference 14.9; 95%
CI of the difference: 12.1-17.6). At the follow-up visit, the GAG score was 6.7
+/- 6.8. The 5% ALA thermosetting gel Red-light PDT was in general very well
tolerated with a discomfort mean level score of 0.5 +/- 1. CONCLUSION: This proof
of-concept study supports the efficacy of 5% ALA thermosetting gel red-light PDT
in inflammatory acne of the face with a relevant clinical improvement of
inflammatory lesions with a very good tolerability profile. Clinical improvement
was maintained in the medium term (Trial Registration Number: ISRCTN66066651).
PMID- 29790263
TI - Herbicide hormesis can act as a driver of resistance evolution in weeds - PSII
target site resistance in Chenopodium album L. as a case study.
AB - BACKGROUND: Herbicide hormesis may play a role in the evolution of weed
resistance by increasing resistance selection. A standard herbicide rate may be
subtoxic to resistant plants and make them more fit than untreated plants. If
this increase in fitness is ultimately expressed in reproductive traits,
resistance genes can accumulate more rapidly and exacerbate resistance evolution
by magnifying the selection differential between resistant and sensitive plants.
The hypothesis of hormetically enhanced reproductive fitness was studied for a
photosystem II (PSII) target-site resistant (TSR) biotype of Chenopodium album
exposed to the triazinone metamitron in comparison with its wild-type. RESULTS:
Both biotypes showed an initial hormetic growth increase at different doses
leading to fitness enhancements of between 19% and 61% above untreated plants.
However, hormetic effects only resulted in higher fitness at maturity in
resistant plants with a maximum stimulation in seed yield of 45% above untreated
plants. Applying realistic metamitron rates, reproductive fitness of resistant
plants was increased by 15-32%. CONCLUSIONS: Agronomically relevant doses of
metamitron induced considerable hormesis in a PSII-TSR C. album genotype leading
to enhanced relative fitness through reproductive maturity. This increase in
relative fitness suggests an impact on resistance selection and can compensate
for the oft-reported fitness costs of the mutation studied. Field rates of
herbicides can, thus, not only select for resistant plants, but also enhance
their reproductive fitness. The finding that herbicide hormesis can be eco
evolutionary important may have important implications for understanding the
evolution of herbicide resistance in weeds. (c) 2018 Society of Chemical
Industry.
PMID- 29790264
TI - Immune responses against tumour-associated antigen-derived cytotoxic T lymphocyte
epitopes in cholangiocarcinoma patients.
AB - BACKGROUND & AIMS: Immunotherapy is a promising treatment option for
cholangiocarcinoma. We compared cytotoxic T lymphocyte (CTL) responses against
several tumour-associated antigen (TAA)-derived epitopes in cholangiocarcinoma
patients to identify candidate epitopes for immunotherapy. METHODS: Twenty-six
TAAs were selected, and the expression of TAAs in 6 cholangiocarcinoma cell lines
and 9 specimens were measured using real-time polymerase chain reaction (PCR).
CTL responses against 38 TAA-derived epitopes were measured using samples from 26
cholangiocarcinoma patients by interferon-gamma enzyme linked immunospot
(ELISPOT)-assay. RESULTS: Most TAAs were expressed in cholangiocarcinoma cell
lines and specimens in PCR. Epitopes that stimulated a specific immune response
were defined as those that elicited a CTL response in more than 3 patients and
little response in healthy volunteers, as measured by ELISPOT-assay. Based on
these criteria, there were 18 epitopes that stimulated specific immune responses:
squamous cell carcinoma antigen recognized by T cells (SART)1690 , P53161 ,
multidrug resistance-associated protein (MRP)3503 , Survivin2B80 , melanoma
associated antigen (MAGE)-A4143 , receptor tyrosine kinase ErbB-2/neu
(Her2/neu)63 , Wilms tumour (WT1)235 , WT1417 , beta-catenin29 , carcinoembryonic
antigen (CEA)268 , CEA652 , epithelial cell adhesion molecule (EpCAM)173 ,
enhancer of zeste homolog (EZH)2291 , mucin 5AC (MUC5AC)716 , glypican-3
(GPC3)298 and kinesin family member 20A (KIF20A)66 . Furthermore, the absolute
number of lymphocytes in peripheral blood was significantly correlated with the
TAA-specific response. Lastly, the overall survival was significantly prolonged
in patients with 2 or more TAA-specific CTL responses compared with none to one.
CONCLUSIONS: These results demonstrated several TAAs may be promising for
immunotherapy for cholangiocarcinoma, and patients with high lymphocyte counts
may benefit more from immunotherapy.
PMID- 29790265
TI - Lipid-lowering medication is associated with decreased risk of diabetic
retinopathy and the need for treatment in patients with type 2 diabetes: A real
world observational analysis of a health claims database.
AB - AIMS: Fenofibrate and statins reduced the need for diabetic retinopathy (DR)
related treatment in clinical trials. We aimed to determine whether use of lipid
lowering medication reduces the risk of DR and the need for treatment in patients
with type 2 diabetes using a real-world health claims database. METHODS: This was
an observational analysis using a nation-wide health claims database of the Japan
Medical Data Center (JMDC). Type 2 diabetes was defined according to ICD-10 codes
for use of glucose-lowering medication. Use of lipid-lowering medication for at
least 1 year was confirmed by the Anatomical Therapeutic Chemical Classification
System. DR and diabetic macular edema (DME) were determined by ICD-10 codes. DR
related treatments were determined by health insurance claims. A propensity score
for use of lipid-lowering medication was estimated, and a doubly robust
estimator, using the inverse probability weighting model with regression
adjustment, was obtained to determine odds ratios (OR) with 95% confidence
interval (95% CI) for cumulative incidence of DR and its treatments over 3 years.
RESULTS: There were 69 070 individuals with type 2 diabetes at baseline, among
whom DR developed in 5687 over a period of 3 years. Use of lipid-lowering
medication was associated with decreased risk of incidence of DR (OR, 0.772; 95%
CI, 0.720-0.827; P < .001). Use of lipid-lowering medication was also associated
with decreased incidence of DME, any treatments for DR, laser photocoagulation
and vitrectomy in patients with DR at baseline. CONCLUSIONS: In a population of
patients with type 2 diabetes with a variety of risk profiles, use of lipid
lowering medication reduced the risk of DR and thus the risks involved in
treatment with laser photocoagulation and vitrectomy.
PMID- 29790266
TI - Clindamycin to reduce preterm birth in a low resource setting: a randomised
placebo-controlled clinical trial.
AB - OBJECTIVE: To determine whether oral clindamycin reduces the risk of preterm
birth (PTB) in women with abnormal vaginal microflora as evidenced by a vaginal
pH >=5.0. DESIGN: Randomised double-blind placebo-controlled trial. SETTING:
Rural southern India. POPULATION: Pregnant women with a singleton fetus between
13+0/7 weeks and 20+6/7 weeks. METHODS: Pregnant women were recruited during
prenatal visits in Karnataka, India, from October 2013 to July 2015. Women were
required to have a singleton fetus between 13+0/7 weeks and 20+6/7 weeks and an
elevated vaginal pH (>=5.0) by colorimetric assessment. Participants were
randomised to either oral clindamycin 300 mg twice daily for 5 days or an
identical-appearing placebo. MAIN OUTCOME MEASURES: The primary outcome was the
incidence of PTB, defined as delivery before 37+0/7 weeks. RESULTS: Of the 6476
screened women, 1727 women were randomised (block randomised in groups of six;
clindamycin n = 866, placebo n = 861). The demographic, reproductive, and
anthropomorphometric characteristics of the study groups were similar. Compliance
was high, with over 94% of capsules being taken. The rate of PTB before 37 weeks
was comparable between the two groups [clindamycin 115/826 (13.9%) versus placebo
111/806 (13.8%), between-group difference 0.2% (95% CI -3.2 to 3.5%, P = 0.93)],
as was PTB at less than 34 weeks [clindamycin 40/826 (4.8%) versus placebo group
37/806 (4.6%), between-group difference 0.3% (95% CI -1.8 to 2.3%, P = 0.81)]. No
differences were detected in the incidence of birthweight of<2500 g, <1500 g,
miscarriage, stillbirth or neonatal death. CONCLUSION: In this setting, oral
clindamycin did not decrease PTB among women with vaginal pH >=5.0. TWEETABLE
ABSTRACT: Oral clindamycin between 13+0/7 and 20+6/7 weeks does not prevent
preterm birth in women with a vaginal pH >=5.0.
PMID- 29790267
TI - Systematic review of platelet-rich plasma (PRP) preparation and composition for
the treatment of androgenetic alopecia.
AB - BACKGROUND: Platelet-rich plasma (PRP) injections have gained popularity in
dermatology practice as a treatment for hair loss. As an autologous blood
product, PRP is categorized as a minimally manipulated tissue by the U.S. Food
and Drug Administration (FDA) and thus evades extensive regulation. As a result,
there is a lack of standardization of its preparation and final composition.
OBJECTIVE: This study aims to provide a systematic review of the various PRP
preparation protocols and PRP compositions utilized in clinical trials for the
treatment of hair loss. METHODS & MATERIALS: A review of the literature was
performed using PubMed and Ovid/Medline in November 2017 using the search terms
"Platelet-Rich Plasma" and ("Alopecia" or "Hair Loss"), including all publication
dates. Human clinical trials in the English language were included. RESULTS:
Nineteen studies (in 15 articles) met the inclusion criteria for analysis. Only
21% of these studies reported all PRP preparation factors analyzed, and only 32%
of the protocols reported the platelet count for both the initial whole blood and
final PRP product. CONCLUSION: The current reporting of PRP preparation
methodology and final composition is inconsistent and insufficient to enable
comparison between studies and determination of efficacy for particular treatment
applications.
PMID- 29790268
TI - Ask me: Children's experiences of pain explored using the draw, write, and tell
method.
AB - PURPOSE: Pain management within emergency departments (ED) remains challenging.
Given that unrelieved pain in children is linked to a number of negative
physiological and psychological consequences, optimal management of children's
pain is paramount. Many studies exploring children's pain have adopted
quantitative methods or sought the perspectives of adults. Compared to adults,
studies examining children's views on pain and pain management are limited. This
study aimed to explore children's pain experiences, their perception of pain
management and expectations of the role of the nurse. DESIGN: This was a
qualitative descriptive study using an inductive approach. METHODS: Fifteen
children, aged 4-8 years who presented to the ED of an Australian tertiary
pediatric hospital in acute pain participated. Data were collected using draw,
write, and tell (DWT) technique and analyzed using thematic analysis. RESULTS:
Three themes emerged (1) "Security," (2) "My pain" with subthemes: "The pain
feelings" and "My sad/happy feelings," (3) "Comfort and relief" with subthemes:
"Taking my mind off it," "Resting" and "Hospital things." When in pain children
needed to feel secure. Parents and nurses were important in fostering a secure
environment for children. Children were capable of describing their pain and
identified nonpharmacological strategies to help their pain. PRACTICE
IMPLICATIONS: Children as young as 4 years old can provide detailed accounts of
their pain, which extends beyond physical dimensions to include visual, auditory,
and sensory features. Nurses need to listen, be honest, and develop trust with
children to be helpful. Nonpharmacological pain-relieving strategies can be
implemented by parents and nurses in collaboration with the child. Fostering a
secure environment is essential.
PMID- 29790269
TI - Thermally Activated Self-metalation of Carboxy-functionalized Porphyrin Films on
MgO Nanocubes.
AB - We investigated the adsorption of different free-base carboxyl-functionalized
porphyrins, 5,10,15,20-tetrakis(4-carboxyphenyl)-21,23H-porphyrin (2H-TCPP) and
5(4-carboxyphenyl)-10,15,20-triphenyl-21,23H-porphyrin (2H-MCTPP), on MgO
nanocubes combining IR, UV/Vis and photoluminescence emission spectroscopy. The
thermal behavior of the films was monitored in-situ during annealing. Both
porphyrins bind to the nanocubes via one and two acid groups respectively,
yielding monolayer films consisting of tilted molecules. For 2H-TCPP, two acid
groups remain free and give rise to a characteristic IR band. Self-assembly in a
tilted adsorbate layer suppresses metalation at room temperature, in contrast to
non-functionalized 2H-TPP, which adsorbs flat-lying. Upon heating, 2H-MCTPP
undergoes full metalation at temperatures below 280 degrees C, whereas 2H-TCPP
does not metalate at all. The hindered metalation reaction is attributed to the
rigidity of the adsorbate film preventing complexation. Our results show that the
properties of porphyrin films on oxides can be tuned in a wide range via the
position and arrangement of carboxyl anchoring groups.
PMID- 29790270
TI - Metabolomics reveals the key role of oxygen metabolism in heat susceptibility of
an alpine-dwelling ghost moth, Thitarodes xiaojinensis (Lepidoptera: Hepialidae).
AB - Ghost moths inhabiting the alpine meadows of the Tibetan Plateau are cold-adapted
stenothermal organisms that are susceptible to heat (dead within 7 days at 27
degrees C exposure). Exploring the metabolic basis of their heat susceptibility
would extend our understanding of the thermal biology of alpine-dwelling
invertebrates. Here, gas chromatography-mass spectrometry-based metabolomics was
combined with physiological and transcriptional approaches to determine the
metabolic mechanisms of heat susceptibility in Thitarodes xiaojinensis larvae.
The metabolomics results showed that 27 degrees C heat stress impaired the Krebs
cycle and lipolysis in T. xiaojinensis larvae, as demonstrated by the
accumulation of intermediary metabolites. In addition, carbohydrate reserves were
highly and exclusively consumed, and an anaerobic product, lactate, accumulated.
This evidence suggested a strong reliance on glycolysis to anaerobically generate
energy. The respiration rate and enzymatic activity test results indicated a
deficiency in O2 metabolism; in addition, the Krebs cycle capacity was not
decreased, and the metabolic flux through aerobic pathways was limited. These
findings were further supported by the occurrence of hypoxia symptoms in midgut
mitochondria (vacuolation and swelling) and increased transcription of hypoxia
induced factor 1-alpha. Overall, heat stress caused O2 limitation and depressed
the overall intensity of aerobic metabolism in ghost moths, and less efficient
anaerobic glycolysis was activated to sustain their energy supply. As
carbohydrates were depleted, the energy supply became deficient. Our study
presents a comprehensive metabolic explanation for the heat susceptibility of
ghost moths and reveals the relationship between O2 metabolism and heat
susceptibility in these larvae.
PMID- 29790271
TI - Correlation between neonatal outcomes of twins depends on the outcome: secondary
analysis of twelve randomised controlled trials.
AB - OBJECTIVE: To estimate the magnitude of the correlation between neonatal outcomes
of twins and demonstrate how this information can be used in the design of
randomised controlled trials (RCTs) in women with twin pregnancies. DESIGN:
Secondary analysis of data from 12 RCTs. SETTING: Obstetric care in multiple
countries, 2004-2012. POPULATION OR SAMPLE: 4504 twin pairs born to women who
participated in RCTs to assess treatments given during pregnancy. METHODS:
Intraclass correlation coefficients (ICCs) were estimated using log-binomial and
linear models. MAIN OUTCOME MEASURES: Perinatal death, respiratory distress
syndrome, bronchopulmonary dysplasia, intraventricular haemorrhage, necrotising
enterocolitis, sepsis, neonatal intensive care unit admission, birthweight, low
birthweight and two composite measures of adverse neonatal outcome. RESULTS: ICCs
for the composite measures of adverse neonatal outcome were all above 0.5,
indicating moderate to strong correlation between adverse outcomes of twins. For
individual neonatal outcomes, median ICCs across trials ranged from 0.13 to 0.79
depending on the outcome. An example illustrates how ICCs can be used in sample
size calculations for RCTs in women with twin pregnancies. CONCLUSIONS: The
correlation between neonatal outcomes of twins varies considerably between
outcomes and may be lower than expected. Our ICC estimates can be used for
designing and analysing RCTs that recruit women with twin pregnancies and for
performing meta-analyses that include such RCTs. Researchers are encouraged to
report ICCs for neonatal outcomes in twins in their own RCTs. TWEETABLE ABSTRACT:
Correlation between neonatal outcomes of twins depends on the outcome and may be
lower than expected.
PMID- 29790272
TI - Observation of a Metastable P-Heterocyclic Radical by Muonium Addition to a 1,3
Diphosphacyclobutane-2,4-diyl.
AB - A 1,3-diphosphacyclobutane-2,4-diyl contains a unique unsaturated cyclic unit,
and the presence of radical-type centers have been expected as a source of
functionality. This study demonstrates that the P-heterocyclic singlet biradical
captures muonium (Mu=[MU+ e- ]), the light isotope of a hydrogen radical, to
generate an observable P-heterocyclic paramagnetic species. Investigation of a
powder sample of 2,4-bis(2,4,6-tri-t-butylphenyl)-1-t-butyl-3-benzyl-1,3
diphosphacyclobutane-2,4-diyl using muon (avoided) level-crossing resonance
(MULCR) spectroscopy revealed that muonium adds to the cyclic P2 C2 unit. The
muon hyperfine coupling constant (AMU ) indicated that the phosphorus atom
bearing the t-butyl group trapped muonium to provide a metastable P-heterocyclic
radical involving the ylidic MuP(<)=C moiety. The observed regioselective muonium
addition correlates the canonical formula of 1,3-diphosphacyclobutane-2,4-diyl.
PMID- 29790273
TI - Serological, cultural and molecular evidence of Brucella melitensis infection in
goats in Al Jabal Al Akhdar, Sultanate of Oman.
AB - Brucellosis, one of the most common zoonotic diseases and has significant public
health and economic importance worldwide. Few studies and reports have been
performed to estimate the true prevalence of animal brucellosis in the Sultanate
of Oman; however, no incidence of the disease was previously reported in Al Jabal
Al Akhdar. The purpose of this study was to investigate the prevalence of
brucellosis in goats in eight villages in Al Jebal Al Akhdar, Sultanate of Oman,
namely: Al Aqaieb, Al Helailat, Al Ghilayil, Hail Al Hedap, Da'an Al Hamra,
Shnoot, Al Qasha'e and Al Sarah, Al Jabal Al Akhdar in the Sultanate of Oman. In
this study we used different diagnostic serological tests, namely, RBT, I-ELISA
and CFT to study the prevalence of Brucella infection in goats in Al Jabal Al
Akhdar. Statistical analysis using Kappa statistics was used to compare the
performance of the serological tests. Biochemical tests and species-specific
Multiplex PCR were used to identify the brucella species involved in the
infection. A structured questionnaire and Chi-square (x2 ) statistical analysis
was used to identify related brucellosis risk factors. This study is the first to
reveal brucellosis infection in goats in eight villages in Al Jebal Al Akhdar,
Sultanate of Oman, namely: Al Aqaieb, Al Helailat, Al Ghilayil, Hail Al Hedap,
Da'an Al Hamra, Shnoot, Al Qasha'e and Al Sarah, with an overall seroprevalence
of 11.1%. The study also compared the performance of three different serological
tests, namely, RBT, I-ELISA and CFT. Statistical analysis using Kappa statistics
showed that the degree of agreement was best seen between RBT and CFT (96%),
followed by RBT, I- ELISA (91.4%) and CFT and I- ELISA (89.2%). Biochemical tests
and species-specific Multiplex PCR showed the typical profile for B. melitensis.
A structured questionnaire and Chi-square (x2 ) statistical analysis indicated
that the presence of abortion is the major risk factor for the prevalence of
brucellosis, whereas age and sex were not significant factors in the tested
animals. Besides, poor knowledge about brucellosis, consumption of unpasteurized
milk or milk products, free trade of animals and the introduction of new animal
breeds to herds were all contributing risk factors to the prevalence of
brucellosis. The prevalence of human brucellosis obtained verbally from
pastoralists gave an insight that brucellosis could pose a public health hazard,
especially in those high-risk groups, mainly the pastoralists in the study area.
Because of their constant and increasing interaction with their animals,
pastoralists could be at a high risk of occupational infection.
PMID- 29790274
TI - Polymer scaffolds for pancreatic islet transplantation - Progress and challenges.
AB - Pancreatic-islet transplantation is a safe and noninvasive therapy for type 1
diabetes. However, the currently applied site for transplantation, ie, the liver,
is not the optimal site for islet survival. Because the human body has
shortcomings in providing an optimal site, artificial transplantation sites have
been proposed. Such an artificial site could consist of a polymeric scaffold that
mimics the pancreatic microenvironment and supports islet function. Recently,
remarkable progress has been made in the technology of engineering scaffolds. The
polymer-islet interactions, the site of implantation, and scaffold
prevascularization are critical factors for success or failure of the scaffolds.
This article critically reviews these factors while also discussing translation
of experimental studies to human application as well as the steps required to
create a clinically applicable prevascularized, retrievable scaffold for
implantation of insulin-producing cells for treatment of type 1 diabetes
mellitus.
PMID- 29790275
TI - Suppressor of clathrin deficiency (Scd6)-An emerging RGG-motif translation
repressor.
AB - Translation control plays a key role in variety of cellular processes.
Translation initiation factors augment translation, whereas translation repressor
proteins inhibit translation. Different repressors act by distinct mechanisms to
accomplish the repression process. Although messenger RNAs (mRNAs) can be
repressed at various steps of translation, most repressors have been reported to
target the initiation step. We focus on one such translation repressor, an
Arginine-Glycine-Glycine (RGG)-motif containing protein Scd6. Using this protein
as a model, we present a discourse on the known and possible functions of this
repressor, its mechanism of action and its recently reported regulation. We
suggest a case for conservation of the mechanism employed by Scd6 along with its
regulation in orthologs, and propose that Scd6 family of proteins will be an
ideal tool to understand translation control and mRNA fate decision mechanisms
across biological systems. This article is categorized under: Translation >
Translation Regulation RNA Turnover and Surveillance > Turnover/Surveillance
Mechanisms RNA Interactions with Proteins and Other Molecules > RNA-Protein
Complexes.
PMID- 29790277
TI - First identification of the MHC-DPB2 alleles in the rhesus macaques (Macaca
mulatta).
AB - We report here the identification of three MHC-DPB2 alleles in the rhesus
macaques (Macaca mulatta).
PMID- 29790276
TI - Combination Therapy With Intensive Granulocyte and Monocyte Adsorptive Apheresis
Plus Ustekinumab in Patients With Refractory Crohn's Disease.
AB - Ustekinumab is applied to induce clinical remission in patients with Crohn's
disease. Granulocyte and monocyte absorptive apheresis depletes activated myeloid
lineage leukocytes and has been applied for active Crohn's disease. This study
retrospectively examined the efficacy and safety of combining intensive
granulocyte and monocyte absorptive apheresis and ustekinumab for remission
induction therapy in refractory Crohn's disease. Between June and September 2017,
three consecutive cases (two females) with refractory Crohn's disease were
treated with intensive granulocyte and monocyte absorptive apheresis plus
ustekinumab. Crohn's disease activity index, and simple endoscopic score for
Crohn's disease at baseline and 10 weeks were applied as treatment efficacy
outcomes. In all three cases, at week 10, clinical remission was achieved, while
simple endoscopic score for Crohn's disease reflected no improvement. Thus,
combination therapy with intensive granulocyte and monocyte absorptive apheresis
plus ustekinumab appeared to represent a safe and effective intervention for
inducing clinical remission.
PMID- 29790278
TI - Split-dose 4-L polyethylene glycol regimen for patients with previous colorectal
surgery in bowel preparation before colonoscopy: A randomized, controlled, single
blind study.
AB - OBJECTIVE: We aimed to investigate the efficacy of a split-dose 4-L polyethylene
glycol (PEG) regimen for the quality of bowel preparation in Asian patients with
previous colorectal surgery for colorectal cancer (CRC). METHODS: This was a
prospective, single-center, randomized controlled, endoscopist-blinded study.
Patients with previous colorectal surgery for CRC were randomly allocated to a
routine, morning-only 2-L PEG (2-MO) group or a split-dose 4-L PEG (4-SD) group.
The primary outcome was a successful bowel preparation rate. Secondary outcomes
were polyp detection rate (PDR), adenoma detection rate (ADR), patient
compliance, satisfaction, tolerance, willingness to repeat the preparation and
difficulty of the bowel preparation process. RESULTS: In total, 187 patients were
included (93 in the 2-MO group, 94 in the 4-SD group) in this study. The rate of
successful bowel preparation in the 4-SD group was higher than in the 2-MO group
(89.4% vs 66.7%, P < 0.001) in an intention-to-treat analysis according to the
Aronchick score. Patients' satisfaction with the bowel preparation process in the
4-SD group was superior to that in the 2-MO group (93.4% vs 82.2%, P = 0.021). No
significant differences were detected in PDR, ADR, patient compliance, tolerance,
willingness to repeat the preparation or difficulty of the bowel preparation
process. CONCLUSIONS: The 4-SD PEG regimen was superior to a routine, morning
only 2-L PEG preparation for bowel preparation in an Asian population with
previous colorectal surgery.
PMID- 29790279
TI - The novel HLA-A*02:625 allele was identified in a Chinese bone marrow donor.
AB - HLA-A*02:625 differs from HLA-A*02:06:01:01 by a single nucleotide substitution
at position 806 C>T.
PMID- 29790280
TI - Cas9 versus Cas12a/Cpf1: Structure-function comparisons and implications for
genome editing.
AB - Cas9 and Cas12a are multidomain CRISPR-associated nucleases that can be
programmed with a guide RNA to bind and cleave complementary DNA targets. The
guide RNA sequence can be varied, making these effector enzymes versatile tools
for genome editing and gene regulation applications. While Cas9 is currently the
best-characterized and most widely used nuclease for such purposes, Cas12a
(previously named Cpf1) has recently emerged as an alternative for Cas9. Cas9 and
Cas12a have distinct evolutionary origins and exhibit different structural
architectures, resulting in distinct molecular mechanisms. Here we compare the
structural and mechanistic features that distinguish Cas9 and Cas12a, and
describe how these features modulate their activity. We discuss implications for
genome editing, and how they may influence the choice of Cas9 or Cas12a for
specific applications. Finally, we review recent studies in which Cas12a has been
utilized as a genome editing tool. This article is categorized under: RNA
Interactions with Proteins and Other Molecules > Protein-RNA Interactions:
Functional Implications Regulatory RNAs/RNAi/Riboswitches > Biogenesis of
Effector Small RNAs RNA Interactions with Proteins and Other Molecules > RNA
Protein Complexes.
PMID- 29790281
TI - Suppressing tawny crazy ant (Nylanderia fulva) by RNAi technology.
AB - The tawny crazy ant (Nylanderia fulva) is a new invasive pest in the United
States. At present, its management mainly relies on the use of synthetic
insecticides, which are generally ineffective at producing lasting control of the
pest, necessitating alternative environmentally friendly measures. In this study,
we evaluated the feasibility of gene silencing to control this ant species. Six
housekeeping genes encoding actin (NfActin), coatomer subunit beta (NfCOPbeta),
arginine kinase (NfArgK), and V-type proton ATPase subunits A (NfvATPaseA), B
(NfvATPaseB) and E (NfvATPaseE) were cloned. Phylogenetic analysis revealed high
sequence similarity to homologs from other ant species, particularly the Florida
carpenter ant (Camponotus floridanus). To silence these genes, vector L4440 was
used to generate six specific RNAi constructs for bacterial expression. Heat
inactivated, dsRNA-expressing Escherichia coli were incorporated into artificial
diet. Worker ants exhibited reduced endogenous gene expression after feeding on
such diet for 9 d. However, only ingestion of dsRNAs of NfCOPbeta (a gene
involved in protein trafficking) and NfArgK (a cellular energy reserve regulatory
gene in invertebrates) caused modest but significantly higher ant mortality than
the control. These results suggest that bacterially expressed dsRNA can be orally
delivered to ant cells as a mean to target its vulnerabilities. Improved efficacy
is necessary for the RNAi-based approach to be useful in tawny crazy ant
management.
PMID- 29790282
TI - Flexible TiO2 /SiO2 /C Film Anodes for Lithium-Ion Batteries.
AB - Flexible TiO2 /SiO2 /C films are prepared by using an electrospinning approach
and used as self-supporting electrodes for lithium-ion batteries (LIBs), which
exhibit excellent high-rate capability with a capacity of 115.5 mAh g-1 at 8 A g
1 (9.8 C rate) and good storage performance. The LIBs also show high long-term
cycling stability of 700 cycles at 200 mA g-1 with a capacity of 380.1 mAh g-1
and a high capacity retention of 88.3 %. Thus, the TiO2 /SiO2 /C films have the
potential to serve as electrodes for flexible LIBs, owing to their flexibility
and excellent electrochemical performance.
PMID- 29790283
TI - Evaluating the predicted extinction risk of living amphibian species with the
fossil record.
AB - Bridging the gap between the fossil record and conservation biology has recently
become of great interest. The enormous number of documented extinctions across
different taxa can provide insights into the extinction risk of living species.
However, few studies have explored this connection. We used generalised boosted
modelling to analyse the impact of several traits that are assumed to influence
extinction risk on the stratigraphic duration of amphibian species in the fossil
record. We used this fossil-calibrated model to predict the extinction risk for
living species. We observed a high consensus between our predicted species
durations and the current IUCN Red List status of living amphibian species. We
also found that today's Data Deficient species are mainly predicted to experience
short durations, hinting at their likely high threat status. Our study suggests
that the fossil record can be a suitable tool for the evaluation of current taxa
specific Red Listing status.
PMID- 29790284
TI - A novel HLA-A*24 allele, A*24:231, was identified by sequence-based typing.
AB - HLA-A*24:231 has one nucleotide change from HLA-A*24:02:01:01 at position 784
G>C.
PMID- 29790285
TI - Peripapillary vessel density changes in Leber's hereditary optic neuropathy: a
new biomarker.
AB - IMPORTANCE: The contribution of the microvascular supply to the pathogenesis of
Leber's hereditary optic neuropathy (LHON) is poorly understood. BACKGROUND: We
aimed at measuring the peripapillary capillary vessel density (VD) using optical
coherence tomography angiography (OCT-A) at different stages of LHON. DESIGN:
Prospective, cross-sectional, multicenter, observational study. PARTICIPANTS:
Twenty-two LHON patients divided in four groups: unaffected mutation carriers
(LHON-u); early sub-acute stage (LHON-e); late sub-acute stage (LHON-l); chronic
stage (LHON-ch). METHODS: OCT-A scans centred on the optic disc were obtained by
spectral domain OCT system. MAIN OUTCOME MEASURES: VD, retinal nerve fibre layer
(RNFL) and ganglion cell-inner plexiform layer (GC-IPL) thickness were compared
between groups. RESULTS: Significant VD changes were detected in every sector (P
< 0.0001). In LHON-e, the VD was reduced in the temporal sector compared with
LHON-u and in the temporal and inferotemporal sectors compared with controls. In
LHON-l, VD was reduced in whole, temporal, superotemporal and inferotemporal
sectors compared with LHON-u and controls. In LHON-ch, the VD was reduced in all
sectors compared to the other groups. An asynchronous pattern emerged in the
temporal sector with VD changes occurring earlier than RNFL thickness changes and
together with GC-IPL thinning. CONCLUSIONS AND RELEVANCE: Significant
peripapillary miscrovascular changes were detected over the different stages of
LHON. Studying the vascular network separately from fibres revealed that
microvascular changes in the temporal sector preceded the changes of RNFL and
mirrored the GC-IPL changes. Measurements of the peripapillary vascular network
may become a useful biomarker to monitor the disease process, evaluate
therapeutic efficacy and elucidate pathophysiology.
PMID- 29790286
TI - Vascular reconstruction technique of a perforated portal vein during a pediatric
total pancreatectomy and islet autotransplant.
AB - Hereditary pancreatitis (HP) is a progressive disease that can manifest in
childhood with debilitating, relapsing pain. A total pancreatectomy and islet
autotransplant (TPIAT) is a surgical option to relieve chronic pain while
preserving the available beta-cell mass. The clinical course of HP is fraught
with pancreatitis-related sequelae that can both necessitate and complicate a
TPIAT. We describe a child with HP who developed a pancreatic pseudocyst-portal
vein (PV) fistula. Active hemorrhage of the perforated PV into the pseudocyst and
PV thrombosis complicated the planned TPIAT procedure and, preoperatively,
required urgent image-guided stenting. During the TPIAT procedure, the
endovascular stent was found to be protruding through the PV into the pseudocyst.
Using the autologous splenic vein from the TPIAT specimen, we performed a
vascular reconstruction of the perforated PV. This case underscores the need for
evaluation of children with HP by a multidisciplinary pancreatic TPIAT care team
to best prepare for the potential ramifications of pancreatitis-related
complications. It also illustrates a useful vascular reconstruction technique for
PV complications.
PMID- 29790287
TI - Synthesis, Biological Activity, and Mechanism of Action of 2-Pyrazyl and
Pyridylhydrazone Derivatives, New Classes of Antileishmanial Agents.
AB - In this work, we report the antileishmanial activity of 23 compounds based on 2
pyrazyl and 2-pyridylhydrazone derivatives. The compounds were tested against the
promastigotes of Leishmania amazonensis and L. braziliensis, murine macrophages,
and intracellular L. amazonensis amastigotes. The most potent antileishmanial
compound was selected for investigation into its mechanism of action. Among the
evaluated compounds, five derivatives [(E)-3-((2-(pyridin-2
yl)hydrazono)methyl)benzene-1,2-diol (2 b), (E)-4-((2-(pyridin-2
yl)hydrazono)methyl)benzene-1,3-diol (2 c), (E)-4-nitro-2-((2-(pyrazin-2
yl)hydrazono)methyl)phenol (2 s), (E)-2-(2-(pyridin-2
ylmethylene)hydrazinyl)pyrazine (2 u), and (E)-2-(2-((5-nitrofuran-2
yl)methylene)hydrazinyl)pyrazine (2 v)] exhibited significant activity against L.
amazonensis amastigote forms, with IC50 values below 20 MUm. The majority of the
compounds did not show any toxic effect on murine macrophages. Preliminary
studies on the mode of action of members of this hydrazine-derived series
indicate that the accumulation of reactive oxygen species (ROS) and disruption of
parasite mitochondrial function are important for the pharmacological effect on
L. amazonensis promastigotes.
PMID- 29790288
TI - National Kidney Foundation Spring Clinical Meetings 2018.
AB - Ann M. Carracher, Payal H. Marathe, and Kelly L. Close are of Close Concerns
(http://www.closeconcerns.com), a healthcare information company focused
exclusively on diabetes and obesity care. Close Concerns publishes Closer Look, a
periodical that brings together news and insights in these areas. Each month, the
Journal of Diabetes includes this News feature, in which Carracher, Marathe, and
Close review the latest developments relevant to researchers and clinicians.
PMID- 29790289
TI - Catalytic Space Engineering of Porphyrin Metal-Organic Frameworks for Combined
CO2 Capture and Conversion at a Low Concentration.
AB - Porous porphyrin metal-organic frameworks (PMOFs) provide promising platforms for
studying CO2 capture and conversion (C3) owing to their versatility in
photoelectric, catalytic, and redox activities and porphyrin coordination
chemistry. Herein, we report the C3 application of two PMOFs by engineering the
coordination space through the introduction of two catalytic metalloporphyrins
doped with rhodium or iridium, Rh-PMOF-1 and Ir-PMOF-1, both of which can serve
as heterogeneous catalysts for the chemical fixation of CO2 into cyclic
carbonates with yields of up to 99 %. Remarkably, the catalytic reactions can
effectively proceed under low CO2 concentrations and high yields of 83 % and 73 %
can be obtained under 5 % CO2 in the presence of Rh-PMOF-1 and Ir-PMOF-1,
respectively. The synergistic effect of the metalloporphyrin ligand and the Zr6
O8 cluster, in combination with the CO2 concentration effect from the pore space,
might account for the excellent catalytic performance of Rh-PMOF-1 under low CO2
concentration. Recycling tests of Rh-PMOF-1 show negligible loss of catalytic
activity after 10 runs.
PMID- 29790290
TI - Tacrolimus prevents von Willebrand factor secretion by allostimulated human
glomerular endothelium.
AB - Little is known about the endothelial injury caused directly by circulating donor
specific antibodies (DSAs) during antibody-mediated rejection. von Willebrand
factor (vWF) is a highly thrombotic glycoprotein stored in Weibel-Palade bodies
in endothelial cells. It has been shown that its secretion is triggered by
allostimulation. Calcineurin-like phosphatases regulate pathways involved in vWF
secretion. Therefore, we hypothesized that tacrolimus would prevent alloantibody
induced glomerular lesions, in part via inhibition of vWF secretion from
endothelial cells. Here, we used a human in vitro model of glomerular endothelium
expressing HLA class I and II antigens and demonstrated that anti-HLA class II
antibodies elicit a higher endothelial release of vWF than do anti-HLA class I
antibodies in cell supernatants. We observed that tacrolimus treatment decreased
vWF secretion after stimulation with both classes of anti-HLA antibodies and
decreased platelet adhesion on allostimulated endothelial cells in a microfluidic
chamber. In kidney recipients, tacrolimus trough levels were negatively
associated with vWF blood levels. These results indicate that direct disruption
of hemostasis via vWF secretion is a potential mechanism of antibody-mediated
injury in patients with DSAs. Our results further suggest that the targeting of
microcirculation hemostasis may be beneficial to prevent the development of
microangiopathic lesions in antibody-mediated rejection.
PMID- 29790292
TI - Failure of voriconazole therapy due to acquired azole resistance in Aspergillus
fumigatus in a kidney transplant recipient with chronic necrotizing
aspergillosis.
AB - Invasive aspergillosis (IA) affects the lungs and disseminates mostly in patients
with neutropenia and/or patients who are receiving immunosuppressive and steroid
therapies. Despite progress in the diagnosis of and therapy for IA, it is still
characterized by a high mortality rate. Currently, voriconazole is considered as
the standard therapy for IA. Over recent years, triazole-resistant Aspergillus
fumigatus isolates have emerged in the environment due to the use of fungicidal
agricultural products, with the risk of developing IA related to a resistant
isolate. However, resistance may also develop in patients who are undergoing long
term triazole therapy, particularly in the setting of chronic forms of pulmonary
aspergillosis. Herein we describe a kidney transplant recipient who failed to
respond to voriconazole therapy due to acquired resistance secondary to the
appearance of a de novo mutation (Y121F) in the cyp51A gene during chronic
necrotizing pulmonary aspergillosis. The infecting isolate acquired voriconazole
resistance in 8 months despite plasma concentrations within the recommended range
of the drug, necessitating lobectomy in association with a new antifungal
strategy consisting of liposomal amphotericin and caspofungin with a good outcome
over 36 months.
PMID- 29790291
TI - The Eyes Have it: A Rheumatologist's View of Uveitis.
AB - Uveitis is defined as intraocular inflammation. It is an extraarticular
manifestation of many forms of joint disease, which include spondyloarthritis,
juvenile idiopathic arthritis, and Behcet's disease. Rheumatologists may be asked
to consult on the ophthalmologic care of patients with uveitis in order to
identify an associated systemic illness. Diagnoses such as spondyloarthritis,
sarcoidosis, and interstitial nephritis with uveitis are frequently overlooked by
referring ophthalmologists. Alternatively, rheumatologists may be asked to help
manage the patient's immunosuppression, including biologic therapy, which can be
required to treat a subset of patients with uveitis. This review is intended to
provide rheumatologists with the necessary information to facilitate
collaboration in the comanagement of patients with uveitis.
PMID- 29790293
TI - The Relationship between Self-reported Restless Sleep and Objectively Measured
Physical Activity in Adults with Knee Osteoarthritis.
AB - OBJECTIVE: Despite many health benefits of physical activity, inactivity is
endemic among adults with knee osteoarthritis (KOA). Because sleep quality may be
a target to improve physical activity behavior, we investigated the cross
sectional relationship between restless sleep and physical activity in
participants with or at risk for KOA. METHODS: We analyzed accelerometer-measured
physical activity and clinical data from Osteoarthritis Initiative (OAI)
participants. We used multiple regression analysis to evaluate physical activity
for participants grouped by the reported frequency of restless sleep adjusting
for demographic and medical confounders. RESULTS: Of the 1892 OAI participants
with complete data, 300 (16%) reported restless sleep 3 or more days in the past
week. Participants reporting much (3-4 days/week) and most (5-7 days/week)
restless sleep had 11.9% and 23.7% less weekly minutes of moderate-vigorous
activity, respectively, compared to participants reporting rare restless sleep
(less than 1 day/week) (p for trend 0.021). These differences persisted after
accounting for age, gender, race, body mass index, medical comorbidity, KOA
severity and pain (p for trend 0.023). Differences related to restless sleep were
largely attenuated by the presence of high depressive symptoms and low energy
levels. CONCLUSION: Poor sleep quality is associated with less physical activity
in persons with or at risk for KOA. Future studies are needed to determine the
mechanisms of how poor sleep and physical activity are related, how energy and
depression mediate these relationships, and whether interventions that improve
sleep quality might result in increased physical activity. This article is
protected by copyright. All rights reserved.
PMID- 29790294
TI - JAK/STAT Blockade Alters Synovial Bioenergetics, Mitochondrial Function, and
Proinflammatory Mediators in Rheumatoid Arthritis.
AB - OBJECTIVE: To examine the effects of tofacitinib on metabolic activity,
mitochondrial function, and proinflammatory mechanisms in rheumatoid arthritis
(RA). METHODS: Ex vivo RA synovial explants and primary RA synovial fibroblasts
(RASFs) were cultured with 1 MUM tofacitinib. RASF bioenergetics were assessed
using an XF24 analyzer, and key metabolic genes were assessed by reverse
transcription-polymerase chain reaction (RT-PCR) analysis. Mitochondrial function
was assessed using specific cell fluorescent probes and by mitochondrial gene
arrays. Mitochondrial mutagenesis was quantified using a mitochondrial random
mutation capture assay, and lipid peroxidation was quantified by enzyme-linked
immunosorbent assay (ELISA). The effect of tofacitinib on spontaneous release of
proinflammatory mediators from RA whole tissue synovial explants was quantified
by ELISAs/MSD multiplex assays, and metabolic markers were quantified by RT-PCR.
Finally, RASF invasion, matrix degradation, and synovial outgrowths were assessed
by transwell invasion/Matrigel outgrowth assays and ELISA. RESULTS: Tofacitinib
significantly decreased mitochondrial membrane potential, mitochondrial mass, and
reactive oxygen species production by RASFs and differentially regulated key
mitochondrial genes. Tofacitinib significantly increased oxidative
phosphorylation, ATP production, and the maximal respiratory capacity and the
respiratory reserve in RASFs, an effect paralleled by a decrease in glycolysis
and the genes for the key glycolytic enzymes hexokinase 2 (HK2), glycogen
synthase kinase 3alpha (GSK-3alpha), lactate dehydrogenase A, and hypoxia
inducible factor 1alpha. Tofacitinib inhibited the effect of oncostatin M (OSM)
on interleukin-6 (IL-6) and monocyte chemotactic protein 1 and reversed the
effects of OSM on RASF cellular metabolism. Using RA whole tissue synovial
explants, we found that tofacitinib inhibited the key metabolic genes for glucose
transporter 1, 6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 3, 3'
phosphoinositide-dependent protein kinase 1, HK2, and GSK-3alpha, the
proinflammatory mediators IL-6, IL-8, IL-1beta, intercellular adhesion molecule
1, vascular endothelial growth factor, and TIE-2, and RASF outgrowth from
synovial explants, RASF invasion, and matrix metalloproteinase 1 activity.
CONCLUSION: This study demonstrates that JAK/STAT signaling mediates the complex
interplay between inflammation and cellular metabolism in RA pathogenesis.
PMID- 29790295
TI - From noise to knowledge: how randomness generates novel phenomena and reveals
information.
AB - Noise, as the term itself suggests, is most often seen a nuisance to ecological
insight, a inconvenient reality that must be acknowledged, a haystack that must
be stripped away to reveal the processes of interest underneath. Yet despite this
well-earned reputation, noise is often interesting in its own right: noise can
induce novel phenomena that could not be understood from some underlying
deterministic model alone. Nor is all noise the same, and close examination of
differences in frequency, colour or magnitude can reveal insights that would
otherwise be inaccessible. Yet with each aspect of stochasticity leading to some
new or unexpected behaviour, the time is right to move beyond the familiar
refrain of "everything is important" (Bjornstad & Grenfell ). Stochastic
phenomena can suggest new ways of inferring process from pattern, and thus spark
more dialog between theory and empirical perspectives that best advances the
field as a whole. I highlight a few compelling examples, while observing that the
study of stochastic phenomena are only beginning to make this translation into
empirical inference. There are rich opportunities at this interface in the years
ahead.
PMID- 29790296
TI - Not Jessner-Kanof But Cutaneous Lymphoid Hyperplasia Induced by Etanercept:
Comment on the Clinical Images Report by Abbad et al.
PMID- 29790298
TI - Brief Report: Risk of Childhood Rheumatic and Nonrheumatic Autoimmune Diseases in
Children Born to Women With Systemic Lupus Erythematosus.
AB - OBJECTIVE: Several autoimmune diseases have familial aggregation and, possibly,
common genetic predispositions. In a large population-based study, we evaluated
whether children born to mothers with systemic lupus erythematosus (SLE) have an
increased risk of rheumatic and nonrheumatic autoimmune diseases versus children
born to mothers without SLE. METHODS: Using the Offspring of SLE Mothers
Registry, we identified children born live to SLE mothers and their matched
controls, and ascertained autoimmune diseases based on >=1 hospitalization or >=2
physician visits with a relevant diagnostic code. We adjusted for maternal age,
education, race/ethnicity, obstetric complications, calendar birth year, and sex
of child. RESULTS: A total of 509 women with SLE had 719 children, while 5,824
matched controls had 8,493 children. The mean +/- SD follow-up period was 9.1 +/-
5.8 years. Children born to mothers with SLE had a similar frequency of rheumatic
autoimmune diagnoses (0.14%; 95% confidence interval [95% CI] 0.01-0.90) versus
controls (0.19% [95% CI 0.11-0.32]). There was a trend toward more nonrheumatic
autoimmune diseases in SLE offspring (1.11% [95% CI 0.52-2.27]) versus controls
(0.48% [95% CI 0.35-0.66]). In multivariate analyses, we did not see a clear
increase in rheumatic autoimmune disease (odds ratio [OR] 0.71 [95% CI 0.11
4.82]), but children born to mothers with SLE had a substantially increased risk
of nonrheumatic autoimmune disease versus controls (OR 2.30 [95% CI 1.06-5.03]).
CONCLUSION: Although the vast majority of offspring have no autoimmune disease,
children born to women with SLE may have an increased risk of nonrheumatic
autoimmune diseases versus controls. Additional studies assessing offspring
through to adulthood would be additionally enlightening.
PMID- 29790297
TI - Selected Cardoon (Cynara cardunculus L.) Genotypes Suitable for PDO Cheeses in
Mediterranean Regions.
AB - Cardoon flower extract is a traditional and exclusive rennet used for some PDO
cheeses in several Mediterranean regions, due to its extremely high concentration
in cardosins. In this preliminary study, six individual cardoon genotypes (1M -
6M) were selected because they revealed a wide and consistent diversity of total
and specific cardosin concentrations in flowers. During three growing seasons,
the stability of 12 biochemical characteristics of flower extracts and 26 plant
morphological descriptors was confirmed. Surprisingly, the cardosin profiles of
each genotype, based on four main groups A0, A1, A and B, were stable during the
annual flower harvesting period and over all three years using ion-exchange
chromatography and native-PAGE electrophoresis. This knowledge will allow an
improvement in the quality and standardization of cardosin profiles from cardoon
flowers used for cheese production and other innovative applications. The results
obtained are promising for the development of a plant breeding program based on
biochemical and morphological characteristics in order to obtain the most adapted
plant architecture for combined purposes related to specific cardosins
composition, flower and plant biomass production, and ease of harvesting.
PMID- 29790299
TI - 4-Oxo-beta-apo-13-carotenone from the Cyanobacterium Anabaena cylindrica PCC
7122.
AB - Apocarotenoids are widely distributed among living organisms (bacteria, fungi,
algae, plants and even animals) and have been associated with several signaling
functions. These compounds are generated by the activity of carotenoid cleavage
dioxygenases (CCDs), whose diversity greatly contributes to the large number of
apocarotenoids that have been described so far. It is nevertheless expected that
a considerable diversity of these molecules is yet to be discovered. In this
work, we describe the isolation and structural elucidation of the apocarotenoid 4
oxo-beta-apo-13-carotenone from the cultured freshwater cyanobacterium Anabaena
cylindrica PCC 7122, corresponding to the first report of this compound from
natural sources.
PMID- 29790301
TI - Identification of eight new MHC-DPB1 alleles in the Tibetan macaques (Macaca
thibetana).
AB - We report here the identification of eight new Math-DPB1 alleles in the Tibetan
macaques.
PMID- 29790300
TI - Aspiration pneumonia induces muscle atrophy in the respiratory, skeletal, and
swallowing systems.
AB - BACKGROUND: Repetition of the onset of aspiration pneumonia in aged patients is
common and causes chronic inflammation. The inflammation induces proinflammatory
cytokine production and atrophy in the muscles. The proinflammatory cytokines
induce muscle proteolysis by activating calpains and caspase-3, followed by
further degradation by the ubiquitin-proteasome system. Autophagy is another
pathway of muscle atrophy. However, little is known about the relationship
between aspiration pneumonia and muscle. For swallowing muscles, it is not clear
whether they produce cytokines. The main objective of this study was to determine
whether aspiration pneumonia induces muscle atrophy in the respiratory (the
diaphragm), skeletal (the tibialis anterior, TA), and swallowing (the tongue)
systems, and their possible mechanisms. METHODS: We employed a mouse aspiration
pneumonia model and computed tomography (CT) scans of aged pneumonia patients. To
induce aspiration pneumonia, mice were inoculated with low dose pepsin and
lipopolysaccharide solution intra-nasally 5 days a week. The diaphragm, TA, and
tongue were isolated, and total RNA, proteins, and frozen sections were stored.
Quantitative real-time polymerase chain reaction determined the expression levels
of proinflammatory cytokines, muscle E3 ubiquitin ligases, and autophagy related
genes. Western blot analysis determined the activation of the muscle proteolysis
pathway. Frozen sections determined the presence of muscle atrophy. CT scans were
used to evaluate the muscle atrophy in aged aspiration pneumonia patients.
RESULTS: The aspiration challenge enhanced the expression levels of
proinflammatory cytokines in the diaphragm, TA, and tongue. Among muscle
proteolysis pathways, the aspiration challenge activated caspase-3 in all the
three muscles examined, whereas calpains were activated in the diaphragm and the
TA but not in the tongue. Activation of the ubiquitin-proteasome system was
detected in all the three muscles examined. The aspiration challenge activated
autophagy in the TA and the tongue, whereas weak or little activation was
detected in the diaphragm. The aspiration challenge resulted in a greater
proportion of smaller myofibers than in controls in the diaphragm, TA, and
tongue, suggesting muscle atrophy. CT scans clearly showed that aspiration
pneumonia was followed by muscle atrophy in aged patients. CONCLUSIONS:
Aspiration pneumonia induced muscle atrophy in the respiratory, skeletal, and
swallowing systems in a preclinical animal model and in human patients.
Diaphragmatic atrophy may weaken the force of cough to expectorate sputum or mis
swallowed contents. Skeletal muscle atrophy may cause secondary sarcopenia. The
atrophy of swallowing muscles may weaken the swallowing function. Thus, muscle
atrophy could become a new therapeutic target of aspiration pneumonia.
PMID- 29790302
TI - Bioactive Constituents of Juniperus turbinata Guss. from La Maddalena
Archipelago.
AB - A comprehensive phytochemical study of Juniperus turbinata (Cupressaceae)
collected from La Maddalena Archipelago (Sardinia, Italy) is reported. Both the
essential oil and the ethanolic extract obtained from the aerial parts were
analyzed. The essential oil appears to belong to a new chemotype compared to
other Mediterranean juniper accessions, as it was favored by geographic isolation
of the isles. It showed a low content of monoterpene hydrocarbons and alpha
terpineol, ent-manoyl oxide, 1,10-di-epi-cubenol as the major constituents. The
ethanolic fraction contained mainly diterpenoids. Among these, 15
formyloxyimbricatolic acid (7) is a new natural product since it has hitherto
been obtained only by synthetic route. The phenolic fraction contained
biflavonoids: cupressuflavone (9), followed by minor amounts of amentoflavone
(10) and hinokiflavone (11). The essential oil and six purified compounds (1 - 4,
8 and 9) were assessed for biological activities, namely antioxidant (assessed by
DPPH. , ABTS.+ and FRAP methods) and cytotoxic effects towards selected human
tumor cell lines (MDA-MB 231, A375 and HCT116 cells). Compound 3 exhibited higher
radical scavenging activity against ABTS.+ radical than the reference Trolox.
Noteworthy, compound 8 showed powerful effects towards tumor cell lines, with
IC50 values in the range of 0.060 - 0.201 MUm, which make it a promising
anticancer drug candidate.
PMID- 29790304
TI - Reducing Bacterial Infections and Biofilm Formation Using Nanoparticles and
Nanostructured Antibacterial Surfaces.
AB - With the rapid spreading of resistance among common bacterial pathogens,
bacterial infections, especially antibiotic-resistant bacterial infections, have
drawn much attention worldwide. In light of this, nanoparticles, including metal
and metal oxide nanoparticles, liposomes, polymersomes, and solid lipid
nanoparticles, have been increasingly exploited as both efficient antimicrobials
themselves or as delivery platforms to enhance the effectiveness of existing
antibiotics. In addition to the emergence of widespread antibiotic resistance, of
equal concern are implantable device-associated infections, which result from
bacterial adhesion and subsequent biofilm formation at the site of implantation.
The ineffectiveness of conventional antibiotics against these biofilms often
leads to revision surgery, which is both debilitating to the patient and
expensive. Toward this end, micro- and nanotopographies, especially those that
resemble natural surfaces, and nonfouling chemistries represent a promising
combination for long-term antibacterial activity. Collectively, the use of
nanoparticles and nanostructured surfaces to combat bacterial growth and
infections is a promising solution to the growing problem of antibiotic
resistance and biofilm-related device infections.
PMID- 29790303
TI - Association Between Reappearance of Myeloperoxidase-Antineutrophil Cytoplasmic
Antibody and Relapse in Antineutrophil Cytoplasmic Antibody-Associated
Vasculitis: Subgroup Analysis of Nationwide Prospective Cohort Studies.
AB - OBJECTIVE: To evaluate clinical links between levels of myeloperoxidase (MPO)
antineutrophil cytoplasmic antibody (ANCA) and relapse in patients with ANCA
associated vasculitis (AAV) using a data set from 2 nationwide prospective cohort
studies. METHODS: From the cohort studies, MPO-ANCA-positive patients who
achieved remission during the 6 months after remission induction therapy were
enrolled. We measured MPO-ANCA levels at months 0, 3, 6, 12, 18, 24, and at the
time of relapse. The primary outcome measure was relapse. A nested case-control
analysis and multivariable analysis were performed to investigate the
relationship between ANCA reappearance and relapse. RESULTS: Of 271 patients, 183
were classified as having microscopic polyangiitis, 34 as having granulomatosis
with polyangiitis, 15 as having eosinophilic granulomatosis with polyangiitis,
and 39 were unclassifiable. The median age was 73 years, and 165 (61%) were
female. In 195 patients (72%), MPO-ANCA levels decreased to normal levels within
6 months after commencement of treatment, and MPO-ANCA reappeared in 73 of 181
patients (40%) with complete follow-up data. Reappearance of MPO-ANCA was more
frequent in patients with relapse than in 75 age- and sex-matched control
patients without relapse (odds ratio 26.2 [95% confidence interval 8.2-101], P <
0.0001) after adjustment for confounding factors. CONCLUSION: Reappearance of MPO
ANCA could be a clinically useful biomarker for predicting relapse in patients
with MPO-ANCA-positive AAV in remission. This suggests that routine MPO-ANCA
monitoring should be implemented in this patient population.
PMID- 29790305
TI - Correlation of Lyme Disease-Associated IgG4 Autoantibodies With Synovial
Pathology in Antibiotic-Refractory Lyme Arthritis.
AB - OBJECTIVE: To determine whether IgG subclasses of Borrelia burgdorferi antibodies
differ from those of 3 Lyme disease (LD)-associated autoantibodies. METHODS: IgG
antibody subclasses were determined by enzyme-linked immunosorbent assay in serum
samples from 215 patients with features representative of each of the 3 stages of
LD. Antibody and cytokine profiles were measured in matched serum and synovial
fluid (SF) samples from patients with Lyme arthritis. Synovial tissue from
patients with antibiotic-refractory arthritis was examined for histologic
features, IgG subclasses of plasma cells, and messenger RNA (mRNA) subclass
expression. RESULTS: B burgdorferi antibodies were primarily of the IgG1 and IgG3
subclasses, and the levels increased as the infection progressed. In contrast, LD
associated autoantibodies were mainly of the IgG2 and IgG4 subclasses, and these
responses were found primarily in patients with either antibiotic-refractory or
antibiotic-responsive arthritis, particularly in SF. However, compared with the
responsive group, the inflammatory milieu in SF in the refractory group was
enriched for cytokines representative of innate, Th1, Th2, and Th17 responses.
Synovial tissue in a subgroup of patients with refractory arthritis showed marked
expression of mRNA for IgG4 antibodies and large numbers of IgG4-staining plasma
cells. IgG4 autoantibodies in SF to each of the 3 LD-associated autoantigens
correlated with the magnitude of obliterative microvascular lesions and fibrosis
in the tissue. CONCLUSION: Our findings indicate that the subclasses of IgG
antibodies to B burgdorferi differ from those of LD-associated autoantibodies.
Furthermore, the correlation of IgG4 autoantibodies with specific synovial
pathology in the refractory group suggests a role for these autoantibodies,
either protective or pathologic, in antibiotic-refractory Lyme arthritis.
PMID- 29790307
TI - Necrotising sialometaplasia: a diagnostic perplexity? An innocent entity to
malignant masquerade.
AB - objective: Necrotising Sialometaplasia is a benign self limiting reactive
condition of major and minor salivary glands, which can arouse suspicion for
malignancy, clinically and histopathologically. Here, we report a case of 38-year
old female with a painful ulcer on the palate. The case enlightens the importance
of clinicopathologic correlation and diligent follow up in diagnosis and
management of the case.
PMID- 29790306
TI - The role of novel prognostic markers PROX1 and FOXC2 in carcinogenesis of oral
squamous cell carcinoma.
AB - objective: Oral squamous cell carcinoma is the most common malignant tumor of the
head and neck regions and accounts for more than 90% of cancers in the oral
cavity. The angiogenesis, lymphangiogenesis and epithelial mesenchymal transition
are known to be pivotal for tumor progression and metastasis. In the last decade,
much data has been generated concerning the molecular mechanisms of angiogenesis,
lymphangiogenesis and its significance in pathological conditions. The main
angiogenic and lymphangiogenic factors have been identified as vascular
endothelial growth factor A (VEGF-A), vascular endothelial growth factor receptor
2 (VEGFR-2), forkhead box (FOX) C2 while vascular endothelial growth factor C/D
(VEGF-C/D), vascular endothelial growth factor receptor 3 (VEGFR-3), Prospero
homeobox 1 (PROX1), LYVE-1, podoplanin, Tie/Angioprotein (Ang) 2 and EphrinB2
respectively. PROX1 is a mammalian homologue of Drosophilia homeobox protein,
prospero and important for the embryonic development of many mammalian tissues.
It has been suggested that it plays various tissue dependent functional roles,
which reflects both oncogenic potential and a tumor suppressive role. The exact
role in OSCC remains controversial. FOXC2 is a transcription factor belongs to
large family of protein, forkhead box. It has been shown to be involved in cancer
angiogenesis, proliferation and metastasis through its induction of epithelial-to
mesenchymal transition while its significance in OSCC remains unknown. Based on
these data, this article reviews the role of novel prognostic factors PROX1 and
FOXC2 in carcinogenesis of OSCC so that they might be considered as an attractive
therapeutic target for both tumor associated blood vessels, lymphatic vessels and
tumor cells.
PMID- 29790308
TI - Impact of low level radiation on concentrations of some trace elements in
radiation workers.
AB - Introduction: Small variations in trace element levels may cause important
physiological changes in the human body. This study aims to evaluate five
important trace elements in radiation workers. Method: In this study, 44
radiation workers and an equal number of non-radiation workers were selected as
the case and control group, respectively. The concentrations of iron, magnesium,
zinc, copper, and selenium in the serum of the participants were measured using
an Atomic Absorption Spectrometry (AAS). Results: The mean concentrations of
iron, magnesium, zinc, copper, and selenium for the case group were 107.3 ug/dl,
2.3 mg/dl, 80.9 ug/dl, 112.6 ug/dl and 216.7 ng/ml, respectively. The results for
the control group were 121.9 ug/dl, 2.3 mg/dl, 82.3 ug/dl, 112.8 ug/dl and 225.2
ng/ml, respectively. Conclusions: The mean concentration of iron in the case
group was significantly lower than the control group (p-value = 0.012), while the
concentrations of other elements in both of the groups were not significantly
different. In the case group, except magnesium (p-value = 0.021), no significant
relationship was found between age and the elemental concentrations. According to
Spearman's test, there was a meaningful statistical correlation between the sex
and concentration of iron, Mg, Zn, and Se. Also, the correlation between the
concentration of magnesium and the weights of radiation workers was significant
(p-value =0.044).
PMID- 29790309
TI - Assessment of hospital based prevalence of oral cancer among population of
Kanpur, Uttar Pradesh.
AB - Background: Oral cancer incidence depends on both qualitative and quantitative
factors wherein the incidence of oral cancer in patients with smoking and tobacco
chewing habit is 8.4 times higher than that of patients with no habit. Aim: To
understand about the prevalence of oral cancer in population of Kanpur reported
to the hospital and analyse the association with age, gender and tobacco habit.
Material and Method: All 320 cases of Squamous cell carcinoma diagnosed
histopathologically, since January 2007 to December 2016, were retrieved from the
archives and a retrospective study was conducted along with association with the
variables such as age, gender, site, habit and histopathological type. Results: A
total of 71 cases were reported in the age group of 30-39 (22.18%) and 60 cases
(18.75%) were seen to be below 30yrs. Among individuals with habit, 135 (42.18%)
were tobacco chewers and smoking was prevalent in about 48 (15%). The prevalence
was more in males 37 (15.22%). Amongst both males (44.03%) and females (48.05%)
gingivo-buccal sulcus was the most common site. The least common site was seen to
be lip with only 10 (3.12%) patients. Well differentiated squamous cell carcinoma
was common in both males and females with 155 (63.78%) and 47 (61.03%)
respectively. Poorly differentiated squamous cell carcinoma was only reported in
4(1.64%) males. Conclusion: Early detection of these oral cancers will enable
appropriate clinical management and monitoring. Moreover, improving the
incidence, mortality, and survival rates of oral cancer requires a multi-tier
structural approach that targets society, dentists, communities, and the
individual.
PMID- 29790310
TI - Anticancer activity of chlorhexidine and cranberry extract: an in-vitro study.
AB - Introduction: Oral cancer is considered to be a global pandemic. The study was
conducted to assess the anti-cancer activities of Chlorhexidine (CHX) and
Cranberry against oral cancer cell lines. Material and Methods: Anticancer
activity of CHX and Cranberry extract (CE) was assessed against AW13516 (poorly
to moderately differentiated squamous cell carcinoma of tongue) and KB
(Nasopharyngeal carcinoma) using Sulforhodamine B (SRB) assay at the Advanced
Centre for Treatment Research and Education in Cancer (ACTREC) Mumbai, India.
Three dose related parameters GI50, TGI and LC50 were calculated for each drug.
Results: CE (80ug/ml) showed no anti-cancer property against AW13516 cell line;
however it showed 70.6% growth inhibition against KB cell line. CHX demonstrated
80.15% & 95.7% of growth inhibition against AW13516 & KB cell line respectively.
Both the drugs were less potential than positive control drug Adriamycin, as
reflected by their GI50, TGI and LC50 values. Conclusion: CHX exhibited better
anti-cancer properties than CE for both the oral cancer cell lines.
PMID- 29790311
TI - Invasive molar pregnancy in rudimentary uterine horn.
AB - objective: Incidence of molar pregnancy is 1-3/1000 pregnancies. Invasive mole is
a local invasive form of gestational trophoblastic neoplasias which is mostly
seen in reproductive age and usually follows a molar pregnancy and rarely has an
initial presentation. Ectopic pregnancy in rudimentary uterine horn is extremely
rare and is seen in 1/100,000 - 140,000 pregnancies. Invasive mole has seldom
been reported in ectopic localizations but not in a patient with Mullerian duct
anomaly. Here we represent a case of invasive mole in a reproductive age patient
with unicornuate uterus and rudimentary communicating uterine horn. Invasive mole
presented initially, mimicking ectopic pregnancy. The patient underwent
diagnostic laparoscopy and resection of rudimentary uterine horn was performed.
The pathology result was reported as an invasive mole. Serum b-hCG levels
normalized on post-operative first month and no additional chemotherapy was
needed.
PMID- 29790312
TI - Cavitary myiasis and its management.
AB - objective: Myiasis is a parasitic disease of humans and vertebrates, caused by
fly larvae feeding on the host's necrotic or living tissue. Myiasis is classified
into cutaneous and cavitary myiasis. Cavitary myiasis is rare and occurs more
frequently in tropical and subtropical regions of Africa and America associated
with low economic status and poor hygiene. This article reviews current
literature, provides general descriptions, and discusses life cycles of each
species. It also gives diagnosis, treatment techniques and descriptions of each
type of illness that result from interaction / infestation.
PMID- 29790313
TI - Quality of life of cancer patients.
AB - objective: Cancer is a one of the major public health problem both in developed
and developing countries around the globe. Quality-of-life (QOL) of a cancer
patient before and after the treatment is an important issue especially for the
cancer survivors, their families, and the care providers. In cancer prospective,
Quality-of-life can been defined as a sense of well-being, it is a
multidimensional perspective that includes dimensions such as physical,
psychological, social, and spiritual, changes in one QOL dimension can influence
perceptions in other dimensions also. Cancer patients should demand information
related to adverse effects of chemotherapy and actions to be taken to reduce
them, also there should be provision of providing sufficient information prior to
beginning of the treatment procedure irrespective to type of treatment, so that
patient is aware of all the treatment modalities and their side effects and self
care strategies so as to reduce treatment-related concerns.
PMID- 29790314
TI - Superselective intraarterial cerebral infusion of cetuximab with blood brain
barrier disruption combined with Stupp Protocol for newly diagnosed glioblastoma.
AB - objective: We describe the first case of a novel treatment for a newly diagnosed
glioblastoma (GBM) using superselective intraarterial cerebral infusion (SIACI)
of cetuximab after osmotic disruption of the blood-brain barrier (BBB) with
mannitol. A 51year-old female underwent craniotomy for removal of a right frontal
GBM. Pathology confirmed EGFR amplification, and she underwent three treatments
of SIACI of cetuximab to the tumor site. The first treatment was given within a
week of starting standard of care chemoradiation (Stupp protocol), which is a
combination of radiation treatment (2 Gy per/ day x 30 days, total of 60 Gy) and
oral temozolomide (75 mg/m2). The second and third SIACI of cetuximab were
administered 3 and 6 months later, while the patient continued on maintenance
temozolomide. Post-radiation changes on MRI were stable, and there were no signs
of recurrence at 4 and 6 months post-resection. Herein, we detail the technical
aspects of this novel treatment paradigm and suggest that SIACI of cetuximab
after BBB disruption using mannitol, combined with the standard of care
chemoradiation therapy, may be an effective treatment method for newly diagnosed
EGFR amplified glioblastoma.
PMID- 29790315
TI - Inhibitory effects of mushroom extracts on progression of carcinogenesis in mice.
AB - objective: Hepatocellular carcinoma is a common primary malignancy of hepatocytes
that has caused many fatalities globally. To manage the increasing cases of
hepatocellular carcinoma, natural products like mushrooms have been tested for
their anti-oxidant, anti-tumour and therapeutic properties. This study aimed to
investigate the effect of Agaricus bisporus on progression of chemically induced
carcinogenesis in mice. Carcinogenesis was induced in experimental and positive
group of mice. Development and progression of carcinogenesis was monitored by
quantifying levels of Lactate dehydrogenase, total sialic acid and by
histological analysis. The results of the study showed that, unlike lactate
dehydrogenase, the levels of sialic acid consistently decreased throughout the
experimental period in mice that were fed on mushroom extracts compared to the
positive control. Histological analysis also showed protection of the hepatocytes
from carcinogenesis progression. Overall, the results from tumour markers and
histological analysis, showed that addition of Agaricus bisporus extracts to diet
slowed down progression of carcinogenesis and these extracts therefore may be
useful as supplementary diet to conventional cancer therapies.
PMID- 29790316
TI - Chronic non-healing ulcer of the oral cavity: tuberculosis or carcinoma?
AB - objective: Tuberculosis is a chronic granulomatous lesion, which primarily has an
affinity for the lungs. It can involve other sites like lymph nodes, kidney, oral
cavity. Infection of the oral cavity by M. tuberculosis can be as a Primary
infection or as a Secondary infection. Primary presentation of oral tuberculosis
is in the form of the chronic non healing ulcer. A Primary infection or an
Asymptomatic Secondary infection can impose a great diagnostic dilemma, as it may
mimic neoplasia. Here we present a case of a 32-year-old asymptomatic female with
secondary infection.
PMID- 29790317
TI - Cancer Cell Controller.
AB - objective: Cancer is the disease which exists when the cell is uncontrolled. This
paper presents a controller for the same. The cancer cell is reviewed via
algebraic structures.
PMID- 29790318
TI - Cancer medicine: a direction.
AB - objective: The medicine of cancer is directed in this paper. The pie theory is
applied for the proposed medicine. The improbability and un-constancy are the
major theories, which are used to design this anti-cancer medicine.
PMID- 29790319
TI - Wideband linear detector arrays for optoacoustic imaging based on polyvinylidene
difluoride films.
AB - We provide direct experimental comparison of the optoacoustic imaging performance
of two different 64-element linear detector array (LDA) units based on
polyvinylidene difluoride (PVDF) films. The first LDA unit was based on
traditional flexible circuit (FC) technology and consisted of an FC glued to the
nonmetalized signal surface of a 28-MUm-thick PVDF film providing 300 / 80-MUm
axial resolution/lateral resolution (AR/LR) and 0.4-kPa noise equivalent pressure
of its single element. The other LDA unit was manufactured using a technology of
low-temperature photolithographic etching (PE) of a signal electrode onto a 25
MUm-thick PVDF film providing 300 / 40-MUm AR/LR and 1 kPa noise equivalent
pressure. As compared with a previously reported LDA unit based on a 100-MUm PVDF
thick film, the main advantage of using the thinner PVDF films was 10-fold
improvement in axial resolution, whereas the main drawback was 10-fold increased
noise equivalent pressure. In terms of in vivo imaging performance, higher
bandwidth of PE LDA probe was more important than the higher sensitivity of FC
LDA unit.
PMID- 29790320
TI - Ultrasonic standing wave preparation of a liquid cell for glucose measurements in
urine by midinfrared spectroscopy and potential application to smart toilets.
AB - Smart toilets could be used to monitor different components of urine in daily
life for early detection of lifestyle-related diseases and prompt provision of
treatment. For analysis of biological samples such as urine by midinfrared
spectroscopy, thin-film samples like liquid cells are needed because of the
strong absorption of midinfrared light by water. Conventional liquid cells or
fixed cells are prepared based on the liquid membrane method and solution
technique, but these are not quantitative and are difficult to set up and clean.
We generated an ultrasonic standing wave reflection plane in a sample and
produced an ultrasonic liquid cell. In this cell, the thickness of the optical
path length was adjustable, as in the conventional method. The reflection plane
could be generated at an arbitrary depth and internal reflected light could be
detected by changing the frequency of the ultrasonic wave. We could generate
refractive index boundaries using the density difference created by the
ultrasonic standing wave. Creation of the reflection plane in the sample was
confirmed by optical coherence tomography. Using the proposed method and
midinfrared spectroscopy, we discriminated between normal urine samples spiked
with glucose at different concentrations and obtained a high correlation
coefficient.
PMID- 29790321
TI - An enquiry into young men at risk of suicide in the UK.
AB - Men are at a higher risk of suicide; 70% of people who die by suicide are men. By
exploring some of the reasons behind suicide in young men aged 15-29 years old,
this article will look at not just the influence of perceived physical strength,
but also their mental state of mind. The article combines information from the
World Health Organization, research conducted in other countries and the
information available in the UK, to hopefully benefit and encourage further
research to be carried out. Men's mental health is at the forefront of many
campaigns, but there is still a lack of understanding about why suicide occurs.
PMID- 29790322
TI - [Editorial.A year full of news for Italian nurses].
PMID- 29790323
TI - [Prevalence and risk factors of overweight and obesity after liver
transplantation: retrospective study at three years after transplantation].
AB - BACKGROUND AND AIM: Weight gain is frequently observed among liver transplanted
patients. This condition is often associated to the development of other post LT
morbidities which might influence the long-term post-transplant survival.
However, the risk factors asso- ciated have not yet been identified. The aim of
this study was to assess the prevalence of over- weight and obesity, to notice
Body Mass Index changes and associated risk factors, within three years after LT.
METHODS: All the patients consecutively transplanted at the Liver Transplantation
Unit of Fondazione IRCCS "Ca-Granda Ospedale Maggiore Policlinico", Milan between
January 2005 and June 2014 were retrospectively evaluated for inclusion.
Clinical, Biochemical and pharmaco- logical data were collected at hospital
discharge and at 1st,2nd and 3rd years post-LT. RESULTS: 145 patients, 95(66%)
male, 53 years (44-59 yr), 48(33%) HBV+HDV positive and 30(21%)alcohol abusers
pre LT, were enrolled. At hospital discharge patients' BMI was 21.9 Kg/m2 (IQR:
20.1-24.1 Kg/m2) and the prevalence of overweight was 14%. The same para- meters
after 1,2 and 3 years of follow-up were 25.6 Kg/m2 and 40%, 25.5 Kg/m2 and 41%,
25.4 Kg/m2 and 37%. The main weight gain was 9.8 Kg during the 1st year after LT
while only 0.9 Kg and 0.5 Kg during the 2nd and 3rd year, respectively, No
correlation between weight gain and any clinical, biochemical and pharmacological
parameters considered was observed. DISCUSSION: The weight gain and the
development of obesity are predominant during the first year after LT; this is
probably due to an improper diet and lack of physical activity.
PMID- 29790324
TI - [Interruptions during nursing handover: observational study in an italian
intensive care unit].
AB - AIM: the purpose of this study is to investigate interruptions as they occur
during the nursing handover in an Italian Intensive Care Unit. METHOD:
prospective observational study carried out in the Lecco hospital's Department of
Anaesthesiology and Critical Care Service (formerly A. Manzoni Hospital) from
15th July 2016 to 30th December 2016. To capture and understand the causes and
occurences of interruptions, data were collected through participating observa-
tion. RESULTS: the mean handover time was 7.31 (standard deviation [SD] +/-3.27)
min with a range of 3-25 min. A total of 422 nursing handovers and 352
interruptions were collected with a mean of interruptions for handover of 0.83
(standard deviation [SD] =+0.66). The first author of the interruptions was
detected to be the nursing department staff. The interruptions were observed
indepentenly of the place of handover. CONCLUSION: Nurses interrupt and allow
themselves to be interrupted by collabora- tors, primarily by their nurse
collegues and the frequency of interruptions are particu- rarly worrying. After
our results, further studies are needed to gather information and data in setting
more heterogeneous.
PMID- 29790325
TI - [Pathological gambling and internet addiction among nursing students: a pilot
study].
AB - AIM: To assess pathological gambling and Internet addiction among nursing
students. METHOD: A monocentric, observational study was carried out from
November 2013 to January 2014 at an Italian Nursing Degree Course using the
Italian version of the South Oaks Gambling Screen (SOGS) to assess the risk to
develop gambling, and the Internet Addiction Test (IAT) to assess the risk to
develop Internet addiction. A total of 420 nursing students were enrolled.
RESULTS: Two hundred eighty-three students (67.4%) participated; 11.3 % of
participants were identified as ''at-risk'' of pathological gambling (SOGS scores
of 1 to 4), whereas the 0.7 % of students were identified as probable
pathological gamblers (SOGS scores >=5). The prevalence of Internet addiction in
the sample was 8.8% (IAT scores >=50). Also, findings showed that students with
Internet addiction had a higher risk of developing pathological gambling (B =
0,16; p = 0.005). CONCLUSIONS: The prevalence of pathological gambling and
Internet addiction in our sample is relatively small. However, the percentage of
students who could be at-risk for gambling and Internet addiction related
problems is noteworthy. Further multicenter studies are needed to better
understand the association between gambling and Internet addiction among nursing
students.
PMID- 29790326
TI - [The quality of life as perceived by older people. Results of a phenomenological
study].
AB - INTRODUCTION: Elderly Quality of life (QoL) is vulnerable because of the decline
in physical and mental capacity, discharge from work, rupture of the family and
isolation. Many QoL Scales are made for adults: there is little research
investigating how older people perceive QOL. AIM: This study aims to explore and
understand the perceptions that older people have about their QOL. METHOD: A
qualitative research hermeneutics-phenomenological was done. Narratives were
analyzed by mixed method phenomenological-grounded (Mortari, 2007). RESULTS: 16
elderly were interviewed (aged between 80 and 93 years), residents in their home.
The analysis of the interviews confirm that QoL is a multidimensional concept
that encompasses several components of life. There were 7 shared themes,
reflecting the experience of well-being in older people living at home. The
dimensions are: living relationships that drive away loneliness, fill the time
with activities that follow their own interests, accept themselves as elderly
person, choose how and where to live, think about death. CONCLUSIONS: The results
of the study show that older people perceive QOL as made of multiple components
and variables. Elderly are focused on the remaining capacities, on the acceptance
of the positive aspects of their lives rather than on what they can no longer do.
This study help to identify some social and health strategies to guarantee the
best way to live the last part of life.
PMID- 29790327
TI - [The effective educational interventions in the promotion of self-care in people
with heart failure: a literature review].
AB - INTRODUCTION: Self-care in people with heart failure is subject of recent middle
range and short-range nursing theories and its promotion is recognized as a
sensitive nursing outcome, achievable through various strategies including
education. PURPOSE: To identify educational interventions that are effective in
the promotion of self-care in adults and elderly people with heart failure,
evaluating educational methods and tools used and their effectiveness in the
medium-long term period. METHOD: We conducted a literature review, beginning with
a search of secondary studies consulting Cochrane Library and than searching for
the most recent primary studies in these scientific databases: PubMed, CINAHL,
Scopus, and PsycINFO. RESULTS: The information meeting, focused on cognitive
learning method, is the most frequently used and it shows a good and
heterogeneous effectiveness in the promotion of self- care. The best statically
significant results were obtained from the integration of this method with
patients' training and counseling, relating respectively to the psycho-motor and
psycho- affective learning. In support of the hetero-directed interventions were
useful informational tools, self-monitoring tools and facilitating tools. The
education was effective in promoting self-care until 12 months in most of the
studies. CONCLUSIONS: The selected literature shows that is difficult to identify
the best method or educational tool and that a single intervention is often not
enough to promote self-care. Heal- thcare providers need to think about education
as a continuous and dynamic process that includes multiple sessions and
encourages the participation of the patient, turning the meeting into a
discussion time where any provided is useful to answer to specific situations
through activities and relationships.
PMID- 29790328
TI - Patients and caregivers' knowledge of chronic obstructive pulmonary disease.
AB - BACKGROUND: Knowledge of chronic obstructive pulmonary disease (COPD) is
important for the disease self-management. AIM: This study aimed to assess the
level of knowledge in Italian patients with COPD and their caregivers and to
determine factors influencing their knowledge. METHODS: We used a descriptive
correlational design. Knowledge was assessed with the Bristol COPD knowledge
questionnaire (BCKQ) that was translated in Italian through the forward-backward
translation method. BCKQ was administered to a convenience sample of 142 patients
with COPD and 51 caregivers. RESULTS: The mean age for patients and caregivers
was respectively 77 years (range 45-93) and 62 years (range 28-85). Patients were
mostly men, had a low education level and 30% had severe or very severe COPD,
while caregivers were mostly female and with higher education level. The patients
answered correctly to 48% of the BCKQ whereas caregivers to 59%. In patient
caregiver dyads, a good level of agreement on the knowledge was found in 35 items
out of 65. In patients, the knowledge was not correlated with age, gender,
education, years or severity of disease whereas in caregivers it was fairly
correlated with the education level. CONCLUSIONS: Our study showed that patients
and caregivers possess limited knowledge of COPD, especially on chest infections,
and exacerbations. Factors that limit or promote the acquisition of knowledge in
people with COPD and their caregivers should be investigated.
PMID- 29790329
TI - Controllable Synthesis of Multiheteroatoms Co-Doped Hierarchical Porous Carbon
Spheres as an Ideal Catalysis Platform.
AB - The synthesis of porous carbon spheres with hierarchical porous structures
coupled with the doping of heteroatoms is particularly important for advanced
applications. In this research, a new route for efficient and controllable
synthesis of hierarchical porous carbon spheres co-doped with nitrogen,
phosphorus, and sulfur (denoted as NPS-HPCs) was reported. This new approach
combines in situ polymerization of hexachlorocyclophosphazene and 4,4'
sulfonyldiphenol with the self-assembly of colloidal silica nanoparticles (SiO2
NPs). After pyrolysis and subsequent removal of the SiO2 NPs, the resulting NPS
HPCs possess a high surface area (960 m2/g) as well as homogeneously distributed
N, P, and S heteroatoms. The NPS-HPCs are shown to be an ideal support for
anchoring highly dispersed and uniformly sized noble metal NPs for heterogeneous
catalysis. As a proof of concept, Pd NPs are loaded onto the NPS-HPCs using only
methanol as a reductant at room temperature. The prepared Pd/NPS-HPCs are shown
to exhibit high activity, excellent stability, and recyclability for
hydrogenation of nitroarenes.
PMID- 29790330
TI - Sub-Parts-per-Million Hydrogen Sulfide Colorimetric Sensor: Lead Acetate Anchored
Nanofibers toward Halitosis Diagnosis.
AB - Lead(II) acetate [Pb(Ac)2] reacts with hydrogen sulfide to form colored brownish
precipitates of lead sulfide. Thus far, in order to detect leakage of H2S gas in
industrial sectors, Pb(Ac)2 has been used as an indicator in the form of test
papers with a detection limit only as low as 5 ppm. Diagnosis of halitosis by
exhaled breath needs sensors able to detect down to 1 ppm of H2S gas. In this
work, high surface area and porous Pb(Ac)2 anchored nanofibers (NFs) that
overcome limitations of the conventional Pb(Ac)2-based H2S sensor are
successfully achieved. First, lead(II) acetate, which melts at 75 degrees C, and
polyacrylonitrile (PAN) polymer are mixed and stirred in dimethylformamide (DMF)
solvent at 85 degrees C, enabling uniform dispersion of fine liquid droplets in
the electrospinning solution. During the subsequent electrospinning, Pb(Ac)2
anchored NFs are obtained, providing an ideal nanostructure with high thermal
stability against particle aggregation, numerous reactions sites, and enhanced
diffusion of H2S into the three-dimensional (3D)-networked NF web. This newly
obtained sensing material can detect down to 400 ppb of H2S at a relative
humidity of 90%, exhibiting high potential feasibility as a high-performance
colorimetric sensor platform for diagnosis of halitosis.
PMID- 29790331
TI - Development of an Electrochemical Paper-Based Analytical Device for Trace
Detection of Virus Particles.
AB - Viral pathogens are a serious health threat around the world, particularly in
resource limited settings, where current sensing approaches are often
insufficient and slow, compounding the spread and burden of these pathogens.
Here, we describe a label-free, point-of-care approach toward detection of virus
particles, based on a microfluidic paper-based analytical device with integrated
microwire Au electrodes. The device is initially characterized through capturing
of streptavidin modified nanoparticles by biotin-modified microwires. An order of
magnitude improvement in detection limits is achieved through use of a
microfluidic device over a classical static paper-based device, due to enhanced
mass transport and capturing of particles on the modified electrodes.
Electrochemical impedance spectroscopy detection of West Nile virus particles was
carried out using antibody functionalized Au microwires, achieving a detection
limit of 10.2 particles in 50 MUL of cell culture media. No increase in signal is
found on addition of an excess of a nonspecific target (Sindbis). This detection
motif is significantly cheaper (~$1 per test) and faster (~30 min) than current
methods, while achieving the desired selectivity and sensitivity. This sensing
motif represents a general platform for trace detection of a wide range of
biological pathogens.
PMID- 29790332
TI - Atomic Layer Epitaxy of Aluminum Nitride: Unraveling the Connection between
Hydrogen Plasma and Carbon Contamination.
AB - Atomistic control over the growth of semiconductor thin films, such as aluminum
nitride, is a long-sought goal in materials physics. One promising approach is
plasma-assisted atomic layer epitaxy, in which separate reactant precursors are
employed to grow the cation and anion layers in alternating deposition steps. The
use of a plasma during the growth-most often a hydrogen plasma-is now routine and
generally considered critical, but the precise role of the plasma is not well
understood. We propose a theoretical atomistic model and elucidate its
consequences using analytical rate equations, density functional theory, and
kinetic Monte Carlo statistical simulations. We show that using a plasma has two
important consequences, one beneficial and one detrimental. The plasma produces
atomic hydrogen in the gas phase, which is important for removing methyl radicals
left over from the aluminum precursor molecules. However, atomic hydrogen also
leads to atomic carbon on the surface and, moreover, opens a channel for trapping
these carbon atoms as impurities in the subsurface region, where they remain as
unwanted contaminants. Understanding this dual role leads us to propose a
solution for the carbon contamination problem which leaves the main benefit of
the plasma largely unaffected.
PMID- 29790333
TI - Autonomous Scanning Probe Microscopy in Situ Tip Conditioning through Machine
Learning.
AB - Atomic-scale characterization and manipulation with scanning probe microscopy
rely upon the use of an atomically sharp probe. Here we present automated methods
based on machine learning to automatically detect and recondition the quality of
the probe of a scanning tunneling microscope. As a model system, we employ these
techniques on the technologically relevant hydrogen-terminated silicon surface,
training the network to recognize abnormalities in the appearance of surface
dangling bonds. Of the machine learning methods tested, a convolutional neural
network yielded the greatest accuracy, achieving a positive identification of
degraded tips in 97% of the test cases. By using multiple points of comparison
and majority voting, the accuracy of the method is improved beyond 99%.
PMID- 29790334
TI - Correction to "Mechanism of the Ferrocyanide-Iodate-Sulfite Oscillatory Chemical
Reaction".
PMID- 29790335
TI - Accurate Electron-Nucleus Distances from Paramagnetic Relaxation Enhancements.
AB - Measurements of paramagnetic relaxation enhancements (PREs) in 1H NMR spectra are
an important tool to obtain long-range distance information in proteins, but
quantitative interpretation is easily compromised by nonspecific intermolecular
PREs. Here we show that PREs generated by lanthanides with anisotropic magnetic
susceptibilities offer a route to accurate calibration-free distance
measurements. As these lanthanides change 1H chemical shifts due to pseudocontact
shifts, the relaxation rates in the paramagnetic and diamagnetic state can be
measured with a single sample that simultaneously contains the protein labeled
with a paramagnetic and a diamagnetic lanthanide ion. Nonspecific intermolecular
PREs are thus automatically subtracted when calculating the PREs as the
difference in nuclear relaxation rates between paramagnetic and diamagnetic
protein. Although PREs from lanthanides with anisotropic magnetic
susceptibilities are complicated by additional cross-correlation effects and
residual dipolar couplings (RDCs) in the paramagnetic state, these effects can be
controlled by the choice of lanthanide ion and experimental conditions. Using
calbindin D9k with erbium, we succeeded in measuring intramolecular PREs with
unprecedented accuracy, resulting in distance predictions with a root-mean-square
deviation of <0.9 A in the range 11-24 A.
PMID- 29790336
TI - Importance of Dermal Absorption of Polycyclic Aromatic Hydrocarbons Derived from
Barbecue Fumes.
AB - Despite the ubiquity and carcinogenicity of polycyclic aromatic hydrocarbons
(PAHs), their dermal absorption for the general population has not been
adequately addressed. Aiming to verify the importance of dermal absorption of
PAHs, barbecue (BBQ) in Guangzhou, China was chosen as a case study. Urine
samples were collected and analyzed for nine hydroxyl (OH)-PAHs. Air, food, and
cotton clothing samples were analyzed for 16 PAHs. Dietary exposure was the
dominant exposure route with the greatest amounts of OH-PAH excretion and PAH
intake. Dermal intake of low molecular-weight PAHs was greater than inhalation
intake from the occurrence of atmospheric PAHs. In addition, the net excreted
amounts of OH-naphthalene, OH-fluorene, OH-phenanthrene, and OH-pyrene via dermal
absorption were 367, 63, 98, and 28 ng, respectively, upon 2.5-h exposure,
comparable to those via combined dermal and inhalation exposure, which were 453,
98, 126, and 38 ng. The ratios of excretion to intake via dermal absorption were
0.11, 0.036, and 0.043 for fluorene, phenanthrene, and pyrene, respectively,
lower than the ratios from dietary exposure (0.38, 0.14, and 0.060) but higher
than the ratios from inhalation (0.097, 0.016, and 0.025). In the case of BBQ
fumes, dermal absorption was a more important pathway for intake of low molecular
weight PAHs than inhalation.
PMID- 29790337
TI - Copper(I)-USY as a Ligand-Free and Recyclable Catalyst for Ullmann-Type O-, N-, S
, and C-Arylation Reactions: Scope and Application to Total Synthesis.
AB - The copper(I)-doped zeolite CuI-USY proved to be a versatile, efficient, and
recyclable catalyst for various Ullmann-type coupling reactions. Easy to prepare
and cheap, this catalytic material enables the arylation and heteroarylation of
diverse O-, N-, S-, and C-nucleophiles under ligand-free conditions while
exhibiting large functional group compatibility. The facility of this catalyst to
promote C-O bond formation was further demonstrated with the total synthesis of 3
methylobovatol, a naturally occurring diaryl ether of biological relevance. From
a mechanistic viewpoint, two competitive pathways depending on the nature of the
nucleophile and consistent with the obtained results have been proposed.
PMID- 29790338
TI - Improvement of the Heat Resistance of Prussian Blue Nanoparticles in a Clay Film
Composed of Smectite Clay and epsilon-Caprolactam.
AB - Prussian blue (PB) is limited in its application by its breakdown at elevated
temperatures. To improve the heat resistance of PB, we prepared a composite film
comprising PB nanoparticles (NPs), smectite clay, and an organic compound. The
composite film had a microstructure in which PB NPs were intercalated between
smectite/organic compound layers. The predominant oxidation temperature of the PB
NPs in the composite film was around 500 degrees C in air, higher than the
oxidation temperature of bulk PB in air (250 degrees C). This improvement in the
oxidation temperature may be due to the composite film acting as a barrier to
oxygen gas. These results indicate the effectiveness of clay materials for the
improvement of heat resistance for low-temperature decomposition compounds, not
only PB but also other porous coordination polymers.
PMID- 29790341
TI - Transition-Metal-Free Access to Pyridocarbazoles from 2-Alkynylindole-3
carbaldehydes via Azomethine Ylide.
AB - An efficient approach for the synthesis of functionalized tetrahydro
pyrido/quinolinocarbazoles from 2-alkynylindole-3-carbaldehydes and l-proline
utilizing a metal-free decarboxylative cyclization, ring expansion, and ring
contraction strategy via the generation of azomethine ylide was developed. The
reaction of 2-alkynylindole-3-carbaldehydes with l-thioproline leads to the
formation of gamma-carbolines. By virtue of this expedient method, a diverse
range of biologically active heteroannulated carbazoles can be synthesized
efficiently.
PMID- 29790340
TI - alpha-Diimines as Versatile, Derivatizable Ligands in Ruthenium(II) p-Cymene
Anticancer Complexes.
AB - alpha-Diimines are among the most robust and versatile ligands available in
synthetic coordination chemistry, possessing finely tunable steric and electronic
properties. A series of novel cationic ruthenium(II) p-cymene complexes bearing
simple alpha-diimine ligands, [(eta6- p-cymene)RuCl{kappa2 N-(HCNR)2}]NO3 (R =
Cy, [1]NO3; R = 4-C6H10OH, [2]NO3; R = 4-C6H4OH, [3]NO3), were prepared in near
quantitative yields as their nitrate salts. [2]NO3 displays high water
solubility. The potential of the alpha-diimine ligand in [3]NO3 as a carrier of
bioactive molecules was investigated via esterification reactions with the
hydroxyl groups. Thus, the double-functionalized derivatives [(eta6- p
cymene)RuCl{kappa2 N-(HCN(4-C6H4OCO-R))2}]NO3 (R = aspirinate, [5]NO3; valproate,
[6]NO3) and also [4]Cl (R = Me) were obtained in good-to-high yields. UV-vis and
multinuclear NMR spectroscopy and cyclic voltammetric studies in aqueous solution
revealed only minor ruthenium chloride hydrolytic cleavage, biologically
accessible reduction potentials, and pH-dependent behavior of [3]NO3. Density
functional theory analysis was performed in order to compare the Ru-Cl bond
strength in [1]+ with the analogous ethylenediamine complex, showing that the
higher stability observed in the former is related to the electron-withdrawing
properties of the alpha-diimine ligand. In vitro cytotoxicity studies were
performed against tumorigenic (A2780 and A2780cisR) and nontumorigenic (HEK-293)
cell lines, with the complexes bearing simple alpha-diimine ligands ranging from
inactive to IC50 values in the low micromolar range. The complexes functionalized
with bioactive components, i.e., [5]NO3 and [6]NO3, exhibited a marked increase
in the cytotoxicity with respect to the precursor [3]NO3.
PMID- 29790339
TI - Highly Oriented Monolayer Graphene Grown on a Cu/Ni(111) Alloy Foil.
AB - Fast-growth of single crystal monolayer graphene by CVD using methane and
hydrogen has been achieved on "homemade" single crystal Cu/Ni(111) alloy foils
over large area. Full coverage was achieved in 5 min or less for a particular
range of composition (1.3 at.% to 8.6 at.% Ni), as compared to 60 min for a pure
Cu(111) foil under identical growth conditions. These are the bulk atomic
percentages of Ni, as a superstructure at the surface of these foils with
stoichiometry Cu6Ni1 (for 1.3 to 7.8 bulk at.% Ni in the Cu/Ni(111) foil) was
discovered by low energy electron diffraction (LEED). Complete large area
monolayer graphene films are either single crystal or close to single crystal,
and include folded regions that are essentially parallel and that were likely
wrinkles that "fell over" to bind to the surface; these folds are separated by
large, wrinkle-free regions. The folds occur due to the buildup of interfacial
compressive stress (and its release) during cooling of the foils from 1075
degrees C to room temperature. The fold heights measured by atomic force
microscopy (AFM) and scanning tunneling microscopy (STM) prove them to all be 3
layers thick, and scanning electron microscopy (SEM) imaging shows them to be
around 10 to 300 nm wide and separated by roughly 20 MUm. These folds are always
essentially perpendicular to the steps in this Cu/Ni(111) substrate. Joining of
well-aligned graphene islands (in growths that were terminated prior to full film
coverage) was investigated with high magnification SEM and aberration-corrected
high-resolution transmission electron microscopy (TEM) as well as AFM, STM, and
optical microscopy. These methods show that many of the "join regions" have
folds, and these arise from interfacial adhesion mechanics (they are due to the
buildup of compressive stress during cool-down, but these folds are different
than for the continuous graphene films-they occur due to "weak links" in terms of
the interface mechanics). Such Cu/Ni(111) alloy foils are promising substrates
for the large-scale synthesis of single-crystal graphene film.
PMID- 29790342
TI - High Permeation Rates in Liposome Systems Explain Rapid Glyphosate Biodegradation
Associated with Strong Isotope Fractionation.
AB - Bacterial uptake of charged organic pollutants such as the widely used herbicide
glyphosate is typically attributed to active transporters, whereas passive
membrane permeation as an uptake pathway is usually neglected. For 1-palmitoyl-2
oleoyl- sn-glycero-3-phosphocholine (POPC) liposomes, the pH-dependent apparent
membrane permeation coefficients ( Papp) of glyphosate, determined by nuclear
magnetic resonance (NMR) spectroscopy, varied from Papp (pH 7.0) = 3.7 (+/-0.3) *
10-7 m.s-1 to Papp (pH 4.1) = 4.2 (+/-0.1) * 10-6 m.s-1. The magnitude of this
surprisingly rapid membrane permeation depended on glyphosate speciation and was,
at circumneutral pH, in the range of polar, noncharged molecules. These findings
point to passive membrane permeation as a potential uptake pathway during
glyphosate biodegradation. To test this hypothesis, a Gram-negative glyphosate
degrader, Ochrobactrum sp. FrEM, was isolated from glyphosate-treated soil and
glyphosate permeation rates inferred from the liposome model system were compared
to bacterial degradation rates. Estimated maximum permeation rates were, indeed,
2 orders of magnitude higher than degradation rates of glyphosate. In addition,
biodegradation of millimolar glyphosate concentrations gave rise to pronounced
carbon isotope fractionation with an apparent kinetic isotope effect, AKIEcarbon,
of 1.014 +/- 0.003. This value lies in the range typical of non-masked enzymatic
isotope fractionation demonstrating that glyphosate biodegradation was not
subject to mass transfer limitations and glyphosate exchange across the cell
membrane was rapid relative to enzymatic turnover.
PMID- 29790343
TI - Main-Group-Catalyzed Reductive Alkylation of Multiply Substituted Amines with
Aldehydes Using H2.
AB - Given the growing demand for green and sustainable chemical processes, the
catalytic reductive alkylation of amines with main-group catalysts of low
toxicity and molecular hydrogen as the reductant would be an ideal method to
functionalize amines. However, such a process remains challenging. Herein, a
novel reductive alkylation system using H2 is presented, which proceeds via a
tandem reaction that involves the B(2,6-Cl2C6H3)( p-HC6F4)2-catalyzed formation
of an imine and the subsequent hydrogenation of this imine catalyzed by a
frustrated Lewis pair (FLP). This reductive alkylation reaction generates H2O as
the sole byproduct and directly functionalizes amines that bear a remarkably wide
range of substituents including carboxyl, hydroxyl, additional amino, primary
amide, and primary sulfonamide groups. The synthesis of isoindolinones and
aminophthalic anhydrides has also been achieved by a one-pot process that
consists of a combination of the present reductive alkylation with an
intramolecular amidation and intramolecular dehydration reactions, respectively.
The reaction showed a zeroth-order and a first-order dependence on the
concentration of an imine intermediate and B(2,6-Cl2C6H3)( p-HC6F4)2,
respectively. In addition, the reaction progress was significantly affected by
the concentration of H2. These results suggest a possible mechanism in which the
heterolysis of H2 is facilitated by the FLP comprising THF and B(2,6-Cl2C6H3)( p
HC6F4)2.
PMID- 29790344
TI - A Thermal Diode Based on Nanoscale Thermal Radiation.
AB - In this work we demonstrate thermal rectification at the nanoscale between doped
Si and VO2 surfaces. Specifically, we show that the metal-insulator transition of
VO2 makes it possible to achieve large differences in the heat flow between Si
and VO2 when the direction of the temperature gradient is reversed. We further
show that this rectification increases at nanoscale separations, with a maximum
rectification coefficient exceeding 50% at ~140 nm gaps and a temperature
difference of 70 K. Our modeling indicates that this high rectification
coefficient arises due to broadband enhancement of heat transfer between metallic
VO2 and doped Si surfaces, as compared to narrower-band exchange that occurs when
VO2 is in its insulating state. This work demonstrates the feasibility of
accomplishing near-field-based rectification of heat, which is a key component
for creating nanoscale radiation-based information processing devices and thermal
management approaches.
PMID- 29790345
TI - Characterization of the Major Odor-Active Compounds in Dry Jujube Cultivars by
Application of Gas Chromatography-Olfactometry and Odor Activity Value.
AB - The volatile compounds of jujube ( Ziziphus jujube Mill.) puree obtained from
three cultivars, 'Jinsixiaozao' (Y1), 'Youzao' (Y2), and 'Yuzao' (Y3), were
analyzed by gas chromatography-olfactometry (GC-O), gas chromatography-mass
spectrometry, gas chromatography-flame photometric detection, and a nitrogen
phosphorus detector. The results showed that a total of 37, 37, and 35 odor
active compounds were identified by GC-O in samples of Y1, Y2, and Y3,
respectively. In addition, the odor activity value (OAV) was used to determine
the important compounds. The results demonstrated that hexanal (OAV of 39-85), (
E)-2-octenal (OAV of 32-70), beta-damascenone (OAV of 14-49), ethyl hexanoate
(OAV of 22-39), 3-mercaptohexyl acetate (OAV of 17-24), and 2,5-dimethylpyrazine
(OAV of 17-22) were key odor-active compounds. It is of great significance to
develop high-grade jujube food by determining key odor-active compounds.
Furthermore, four volatiles (hexanal, 1-octen-3-ol, 3-mercapohexyl acetate, and
benzaldehyde) reduced the overall threshold value by 2.36, 1.01, 1.34, and 1.19,
respectively.
PMID- 29790346
TI - Ligand-Free Iron-Catalyzed Carbon(sp2)-Carbon(sp2) Cross-Coupling of
Alkenyllithium with Vinyl Halides.
AB - An efficient ligand-free iron-catalyzed cross-coupling reaction involving
alkenyllithium and vinyl iodides was developed to form diene species in moderate
to good yields. This new iron-catalyzed cross-coupling reaction provides a mild,
inexpensive, and environmentally friendly avenue toward synthesis of diversified
diene derivatives.
PMID- 29790347
TI - Hydrophobic Collapse of Ubiquitin Generates Rapid Protein-Water Motions.
AB - We report time-resolved measurements of the coupled protein-water modes of
solvated ubiquitin during protein folding. Kinetic terahertz absorption (KITA)
spectroscopy serves as a label-free technique for monitoring large scale
conformational changes and folding of proteins subsequent to a sudden T-jump. We
report here KITA measurements at an unprecedented time resolution of 500 ns, a
resolution 2 orders of magnitude better than those of any previous KITA
measurements, which reveal the coupled ubiquitin-solvent dynamics even in the
initial phase of hydrophobic collapse. Complementary equilibrium experiments and
molecular simulations of ubiquitin solutions are performed to clarify non
equilibrium contributions and reveal the molecular picture upon a change in
structure, respectively. On the basis of our results, we propose that in the case
of ubiquitin a rapid (<500 ns) initial phase of the hydrophobic collapse from the
elongated protein to a molten globule structure precedes secondary structure
formation. We find that these very first steps, including large-amplitude changes
within the unfolded manifold, are accompanied by a rapid (<500 ns) pronounced
change of the coupled protein-solvent response. The KITA response upon secondary
structure formation exhibits an opposite sign, which indicates a distinct effect
on the solvent-exposed surface.
PMID- 29790348
TI - Bioinspired Photocatalytic Shark-Skin Surfaces with Antibacterial and Antifouling
Activity via Nanoimprint Lithography.
AB - By combining antifouling shark-skin patterns with antibacterial titanium dioxide
(TiO2) nanoparticles (NPs), we present a simple route toward producing durable
multifunctional surfaces that decrease microbial attachment and inactivate
attached microorganisms. Norland Optical Adhesive, a UV-crosslinkable adhesive
material, was loaded with 0, 10, or 50 wt % TiO2 NPs from which shark-skin
microstructures were imprinted using solvent-assisted soft nanoimprint
lithography on a poly(ethylene terephthalate) (PET) substrate. To obtain coatings
with an exceptional durability and an even higher concentration of TiO2 NPs, a
solution containing 90 wt % TiO2 NPs and 10 wt % tetraethyl orthosilicate was
prepared. These ceramic shark-skin-patterned surfaces were fabricated on a PET
substrate and were quickly cured, requiring only 10 s of near infrared (NIR)
irradiation. The water contact angle and the mechanical, antibacterial, and
antifouling characteristics of the shark-skin-patterned surfaces were
investigated as a function of TiO2 composition. Introducing TiO2 NPs increased
the contact angle hysteresis from 30 to 100 degrees on shark-skin surfaces. The
hardness and modulus of the films were dramatically increased from 0.28 and 4.8
to 0.49 and 16 GPa, respectively, by creating ceramic shark-skin surfaces with 90
wt % TiO2 NPs. The photocatalytic shark-skin-patterned surfaces reduced the
attachment of Escherichia coli by ~70% compared with smooth films with the same
chemical composition. By incorporating as low as 10 wt % TiO2 NPs into the
chemical matrix, over 95% E. coli and up to 80% Staphylococcus aureus were
inactivated within 1 h UV light exposure because of the photocatalytic properties
of TiO2. The photocatalytic shark-skin-patterned surfaces presented here were
fabricated using a solution-processable and roll-to-roll compatible technique,
enabling the production of large-area high-performance coatings that repel and
inactivate bacteria.
PMID- 29790349
TI - High-Pressure Phase Relations and Crystal Structures of Postspinel Phases in
MgV2O4, FeV2O4, and MnCr2O4: Crystal Chemistry of AB2O4 Postspinel Compounds.
AB - We have investigated high-pressure, high-temperature phase transitions of spinel
(Sp)-type MgV2O4, FeV2O4, and MnCr2O4. At 1200-1800 degrees C, MgV2O4 Sp
decomposes at 4-7 GPa into a phase assemblage of MgO periclase + corundum (Cor)
type V2O3, and they react at 10-15 GPa to form a phase with a calcium titanite
(CT)-type structure. FeV2O4 Sp transforms to CT-type FeV2O4 at 12 GPa via
decomposition phases of FeO wustite + Cor-type V2O3. MnCr2O4 Sp directly
transforms to the calcium ferrite (CF)-structured phase at 10 GPa and 1000-1400
degrees C. Rietveld refinements of CT-type MgV2O4 and FeV2O4 and CF-type MnCr2O4
confirm that both the CT- and CF-type structures have frameworks formed by double
chains of edge-shared B3+O6 octahedra (B3+ = V3+ and Cr3+) running parallel to
one of orthorhombic cell axes. A relatively large A2+ cation (A2+ = Mg2+, Fe2+,
and Mn2+) occupies a tunnel-shaped space formed by corner-sharing of four double
chains. Effective coordination numbers calculated from eight neighboring oxygen
A2+ cation distances of CT-type MgV2O4 and FeV2O4 and CF-type MnCr2O4 are 5.50,
5.16, and 7.52, respectively. This implies that the CT- and CF-type structures
practically have trigonal prism (six-coordinated) and bicapped trigonal prism
(eight-coordinated) sites for the A2+ cations, respectively. A relationship
between cation sizes of VIIIA2+ and VIB3+ and crystal structures (CF- and CT
types) of A2+B23+O4 is discussed using the above new data and available previous
data of the postspinel phases. We found that CF-type A2+B23+O4 crystallize in
wide ionic radius ranges of 0.9-1.4 A for VIIIA2+ and 0.55-1.1 A for VIB3+,
whereas CT-type phases crystallize in very narrow ionic radius ranges of ~0.9 A
for VIIIA2+ and 0.6-0.65 A for VIB3+. This would be attributed to the fact that
the tunnel space of CT-type structure is geometrically less flexible due to the
smaller coordination number for A2+ cation than that of CF-type.
PMID- 29790350
TI - Glutaredoxin Deletion Shortens Chronological Life Span in Saccharomyces
cerevisiae via ROS-Mediated Ras/PKA Activation.
AB - Glutaredoxins (GRXs), small redox proteins that use reduced glutathione as an
electron donor, are key components of the cellular antioxidant system. In this
study, we used Saccharomyces cerevisiae as a model system to investigate the
effects of GRX deletion on yeast chronological life span (CLS). Deletion of
either Grx1 or Grx2 shortened yeast CLS. Quantitative proteomics revealed that
GRX deletion decreased the expression of stress-response proteins, leading to
increased cellular reactive oxygen species accumulation and, subsequently,
intracellular acidification. This activated the Ras/protein kinase A (PKA)
signaling pathway. Genetic and biochemical analyses demonstrated that Ras/PKA
activation decreased stress resistance and increased biosynthesis, requiring
yeast cells to grow under unfavorable conditions and resulting in a shortened
CLS. Our results provided new insights into mechanisms underlying exacerbation of
the aging process by oxidative stress.
PMID- 29790351
TI - Light Absorption Coefficient of CsPbBr3 Perovskite Nanocrystals.
AB - Inductively coupled plasma mass spectrometry (ICP-MS) was combined with UV-vis
absorption spectroscopy and transmission electron microscopy to determine the
size, composition, and intrinsic absorption coefficient MUi of 4 to 11 nm sized
colloidal CsPbBr3 nanocrystals (NCs). The ICP-MS measurements demonstrate the
nonstoichiometric nature of the NCs, with a systematic excess of lead for all
samples studied. Rutherford backscattering measurements indicate that this
enrichment in lead concurs with a relative increase in the bromide content. At
high photon energies, MUi is independent of the nanocrystal size. This allows the
nanocrystal concentration in CsPbBr3 nanocolloids to be readily obtained by a
combination of absorption spectroscopy and the CsPbBr3 sizing curve.
PMID- 29790352
TI - Constructing Sensitive and Fast Lead-Free Single-Crystalline Perovskite
Photodetectors.
AB - We developed a high-performance photodetector based on (CH3NH3)3Sb2I9 (MA3Sb2I9)
microsingle crystals (MSCs). The MA3Sb2I9 single crystals exhibit a low-trap
state density of ~1010 cm-3 and a long carrier diffusion length reaching 3.0 MUm,
suggesting its great potential for optoelectronic applications. However, the
centimeter single crystal (CSC)-based photodetector exhibits low responsivity (10
6 A/W under 1 sun illumination) due to low charge-carrier collection efficiency.
By constructing the MSC photodetector with efficient charge-carrier collection,
the responsivity can be improved by three orders of magnitude (under 1 sun
illumination) and reach 40 A/W with monochromatic light (460 nm). Furthermore,
the MSC photodetectors exhibit fast response speed of <1 ms, resulting in a high
gain of 108 and a gain-bandwidth product of 105 Hz. These numbers are comparable
to the lead-perovskite single-crystal-based photodetectors.
PMID- 29790353
TI - [Back pain treatment].
AB - Spine pain is one of the most common medical problems. Yearly incidence is
reported between 15 and 45 %, lifelong is 60-90 %. Neurological examination,
radiology examination, CT or magnetic resonance imaging are the basic
diagnostics. Acute back pain is usually treated monotherapeutically, NSAIDs is
the base. Chronic back pain treatment is multidisciplinary, it combines
pharmacotherapy, physiotherapy, psychotherapy and other methods. In
pharmacotherapy we proceed according to the WHO three-step ladder, including
strong opioid medication for strong back pain. Neuropathic component of pain is
usually eased with antidepressants or anticonvulsants.
PMID- 29790354
TI - [Opioids and new trends in pain therapy].
AB - Opioids are well known for their ability to reduce the perception of pain without
a loss of consciousness. However, the influence of opioids on organism is very
complex. Clinical pharmacology of currently available opioid analgesics is
determined by three major factors - their opioid receptor properties,
pharmacokinetic properties and genetic polymorphism in the opiate receptor and
cytochrome P450 isoform. In acute severe pain are opioids very efficient. Long
term experience with opioid analgesia in patients with cancer pain has shown high
favorable risk/benefit ratio. However, the treatment with opioids has its issues.
Life expectancy of chronic pain patients is temporarily unlimited and for that
reason the time horizon of opioid therapy is unlimited as well. In comparison
with cancer pain opioid responsiveness to chronic non-cancer pain is somewhat
different. Clinical experience has shown that exceeding of medium-sized doses of
opioids in the treatment of chronic non-cancer pain does not lead to further
improvement of analgesia, but only increases degree of physical dependency and
tolerance. The most important step is to choose the right patient. Subsequently,
the success of opioid therapy depends on a careful search of balance between
pain, opioid dosage and analgesia with emphasis on individualization and
attention to the prevention and management of side effects.
PMID- 29790355
TI - [Pathophysiology of pain and its clinical application].
AB - The article describes the pathophysiology of both acute and chronic pain. Some
common mechanisms of chronic pain, including somatic and visceral pains, are
highlighted. There are also some painful syndromes and their pathophysiological
mechanisms with a direct relationship to their treatment. In addition to the
basic pharmacotherapeutic options resulting from the pathophysiology of pain, new
possibilities of pain therapy are also mentioned, especially the neuromodulatory
and neurostimulatory methods again from the point of view of pathophysiological
principles. Therapies also include psychotherapy and placebo effect with the
point of evidence-based medicine.
PMID- 29790356
TI - [Non-opioid analgesics].
AB - Non-opioid analgesics are commonly used to treat mild and moderate acute and
chronic pain. They can be used as monotherapy; however, they have greater
efficacy in combination with weak and strong opioids. Unlike opioids, long-term
use of non-steroidal anti-inflammatory drugs does not lead to physical
dependence. One of the main disadvantages of the NSA is the risk of
gastrointestinal side effects, especially bleeding into the digestive tract. This
is increased especially in ulcerative disease and in higher doses, but also in
the elderly (over 65 years), also with concomitant administration of
corticosteroids, anticoagulants or other NSAIDs.
PMID- 29790357
TI - [Control (editing) of the genome within reach, or already in our hands?]
AB - Although different genome editing tools have been around for decades, the recent
emergence of cheap, quick, and accessible CRISPR/Cas9 technology has led to a
revolution in this field. The technique has the potential to transform medicine
from curative into preventive using a gene therapy. An application of genome
editing has proven to be effective for both genetic and non-genetic (e.g.
infectious) diseases. However, cancer and rare diseases treatment is at the
forefront of interest. Concurrently, the legal and ethical frameworks should be
discussed, especially as the technology moves towards a modification of the germ
cells or embryos. In addition to a precise molecular genetic diagnosis and
choosing the best gene therapy approach for a particular individual, an attention
should also be paid to the impacts on the entire human population and the next
generations. In this review, we summarize the most important applications of
CRISPR/Cas9 technology in the field of medicine. Some interesting results from
recent years are presented in the context of used approaches and importance for
the future developments in medicine. Finally, ethical and legal conditions in
relation to different gene editing applications are discussed.
PMID- 29790358
TI - [Esophagogastric junction and its tumours - comments to definition and
classification].
AB - In spite of the worldwide decreasing incidence of gastric cancer the number of
esophagogastric junction and proximal third of stomach carcinomas has been
gradually growing up. The reason of that is an increasing incidence of reflux
esophagitis with Barrett's metaplasia and a successful eradication of
Helicobacter pylori infection. The aim of this work is to provide various views
on definition of the esophagogastric junction and to give an overview of tumours
classification schemes being used.
PMID- 29790359
TI - [Hereditary breast cancer: genetic etiology and current possibilities of
prevention and surgical treatment].
AB - Cancer is the second most common cause of death in our population just after
cardiovascular diseases, since each third individual will become affected by it
during their lifetime. Breast cancer is the most common malignancy in women. The
lifetime cumulative risk of breast cancer in women under the age of 75 is around
8 % according to Czech statistics. In 70-75 % of all individuals sporadic breast
carcinomas are found, with 5-10 % of all women suffer from the hereditary breast
and ovarian cancer (HBOC) syndrome. Radical, bilateral, removal of the mammary
gland is the most effective prevention of breast cancer in BRCA positive women.
We present a summary of 37 BRCA positive Czech patients who underwent
prophylactic bilateral mastectomy and whose mean age was 46.5 years. Surgical
solution is currently the only effective therapeutic way to prevent breast cancer
in BRCA positive women with high genetic risk. The cosmetic consequences of this
radical surgery can be solved through many reconstruction tasks.
PMID- 29790360
TI - [Current and future pharmacotherapy of severe psychiatric disorders].
AB - Despite of tremendous development in CNS research, current treatment is
suboptimal especially in severe mental disorders. In medicine, there are two main
methods of improving the healthcare provided: seeking new treatment procedures
and perfecting (optimizing) the existing ones. Optimization of treatment includes
not only practical tools such as therapeutic drug monitoring, but also
implementation of general trends into the clinical practice. New pharmacological
options include drugs aimed at other than monoaminergic systems and old drugs
used before the psychopharmacological era. In pharmacoresistant depression
promising options include switch to new multimodal/multifunctional
antidepressants, augmentation with new atypical antipsychotics (cariprazine and
brexpiprazole) and adjunctive treatment with anti-inflammatory and anti-apoptotic
agents and nutraceuticals. Ketamine, opioids and psychedelics are in different
phases of clinical testing. Recent advances in technology and emerging knowledge
about the dysfunctional brain circuits and neuroplasticity have led to the
development of different new neuromodulation techniques usually used as add-on
therapy. In schizophrenia the cornerstone of the current treatment is still
antipsychotic medications. In addition to aripiprazole two new partial dopamine
agonists, brexpiprazole and cariprazine are now available. Especially the group
of partial dopamine agonists is in the center of interest. Due to severe
consequences of partial adherence, new formulations of long-acting injections of
the second-generation antipsychotics with longer interval of application have
been developed (3- month paliperidone palmitate). New treatment options not yet
available include cannabidiol, glutamate modulators and nicotine receptors
agonists.
PMID- 29790361
TI - Anti-bacterial effects of components from Sanguisorba officinalis L. on Vibrio
vulnificus and their soluble epoxide hydrolase inhibitory activity.
AB - Sanguisorba officinalis L. is a traditional herbal medicine, which is
prevailingly applied to cure hemorrhoids, wounds and ulcers in Eastern Asian
countries. The purpose of this study was to investigate the antibacterial and
soluble epoxide hydrolase (sEH) inhibitory effects of the extracts and components
from S. officinalis. The methanol extract was divided into ethyl acetate (EtOAc),
n-butanol (n-BuOH), and water layers. In our screening procedure, the EtOAc and n
BuOH extracts and compounds (1-2) remarkably suppressed the growth of V.
vulnificus in a dose-dependent manner. In addition, the EtOAc extract and
compound 1 exhibited significant inhibitory effect on the V. vulnificus induced
cytotoxicity on HeLa cells. Furthermore, compound 4 displayed an inhibition
against sEH with an IC50 value of 7.0 +/- 0.5 MUM. A kinetic analysis
demonstrated that the inhibitory effect of compound 4 was a mixed type, with an
inhibitory constant (Ki) 0.22 +/- 0.0 MUM.
PMID- 29790362
TI - The Untold Advantages of Retzius-Sparing Robotic Radical Prostatectomy.
PMID- 29790363
TI - Editorial Comment on: How Reliable Is the Intraoperative Assessment of Residual
Fragments During Percutaneous Nephrolithotomy? A Prospective Study by Nevo et al.
PMID- 29790364
TI - Editorial Comment on: Effect of Mannitol on Ultrasonographically Measured Optic
Nerve Sheath Diameter as a Surrogate for Intracranial Pressure During Robot
Assisted Laparoscopic Prostatectomy with Pneumoperitoneum and the Trendelenburg
Position by Jun et al.
PMID- 29790365
TI - Non-vitamin K antagonist oral anticoagulants in atrial fibrillation patients with
bioprosthetic valves.
AB - INTRODUCTION: The non-vitamin K antagonist oral anticoagulants (NOACs), which
include dabigatran, apixaban, edoxaban and rivaroxaban, are preferred over
vitamin K antagonists for stoke prevention in most patients with non-valvular
atrial fibrillation. The NOACs are contraindicated in atrial fibrillation
patients with rheumatic mitral stenosis or mechanical heart valves. There is
evidence that bioprosthetic heart valves are less thrombogenic than mechanical
heart valves, but it is unknown whether the risk of thromboembolism in atrial
fibrillation patients with bioprosthetic valves differs from that in patients
without such valves. Areas covered: The authors present a review of the efficacy
and safety evidence surrounding the use of NOACs for stroke prevention in atrial
fibrillation patients with bioprosthetic heart valves. Expert commentary: While
the data is limited, there is no significant difference in thromboembolic, and
bleeding outcomes in patients with AF and bioprosthetic heart valves treated with
NOAC therapy. Future studies are required before definitive conclusions can be
drawn regarding the safety and efficacy of NOAC therapy in AF patients
bioprosthetic heart valves.
PMID- 29790366
TI - Do Illuminated Foot Pedals Improve the Speed and Accuracy of Pedal Activation
During Endoscopic Procedures?
AB - PURPOSE: Endourologic procedures such as percutaneous nephrolithotomy (PCNL)
employ the use of foot pedals in low-light operating room (OR) settings. These
pedals can be especially difficult to locate or distinguish when several pedals
are present during a single operation. Improper instrument activation in the OR
has led to serious complications ranging from unintentional electrocautery to
patient burns and even an intraoperative explosion. This study evaluates the
impact of color-coded illumination on speed and efficiency of foot pedal
activation. MATERIALS AND METHODS: During a simulated PCNL procedure, the foot
pedals for a C-arm, laser, and ultrasonic lithotripter (USL) were placed in
random positions. Ten participants performed pedal activation in a randomized
sequence. Objective outcomes included time to instrument activation, number of
attempted pedal presses, number of incomplete pedal presses, and number of
incorrect pedal presses. Subjective preferences for pedal illumination were also
determined. Data were analyzed using Mann-Whitney U, Wilcoxon signed-rank, and
Chi-square tests with p < 0.05 indicating statistical significance. RESULTS:
Illuminated foot pedals were associated with decreases in the average activation
time for all instruments collectively (3.95 seconds vs 6.49 seconds; p = 0.017)
and individually (C-arm: 3.07 seconds vs 4.21 seconds; p = 0.006; laser: 13.04
seconds vs 15.18 seconds; p < 0.001; USL: 3.28 seconds vs 4.91 seconds; p <
0.001) compared with nonilluminated pedals. Illuminated pedals were associated
with fewer attempted pedal presses (33.5 vs 39.5; p = 0.007) and incomplete pedal
presses (1.5 vs 8.5; p = 0.002). The number of incorrect pedal presses decreased
with illumination, but this did not reach statistical significance (0 vs 0.5; p =
0.08). Participants reported that illumination simplified pedal activation and
recommended its use (p < 0.01). CONCLUSION: Color-coded illumination improved the
speed and efficiency of foot pedal activation during simulated PCNL. Participants
subjectively preferred using illuminated foot pedals for endourologic procedures
and felt that they improved safety and efficiency.
PMID- 29790368
TI - E-iatrogenesis: Unnecessary Ordering of Urine Creatine.
PMID- 29790367
TI - Are Hydroethidine-Based Probes Reliable for Reactive Oxygen Species Detection?
AB - Detection and quantification of the highly reactive and short-lived superoxide
(O*2-) can be challenging. Here, we present a new mass spectrometry (MS)-based
method to detect and quantify O*2- using three fluorogenic hydroethidine probes:
hydroethidine (HE), mito-hydroethidine (mito-HE), and hydropropidine (HPr+),
which measure cytosolic, mitochondrial, and extracellular O*2-, respectively. The
probes and their oxidation products were simultaneously quantified by applying
multiple reaction monitoring (MRM) with MS that allowed the specific measurement
of reactive oxygen species (ROS) distribution within the cell. The advantage of
this liquid chromatography-tandem mass spectrometry (LC-MS/MS) method is that
coeluting compounds can be precisely distinguished using specific precursor and
fragment masses. This method overcomes limitations from spectral overlap of O*2-
specific and nonspecific products in fluorescence spectra or the low specificity
associated with chromatography-based approaches. However, our experiments showed
that these HE probes can be prone to autoxidation during incubation at 37 degrees
C in Hank's solution. Cell treatments with strong oxidants did not significantly
increase levels of the O*2- radical. Thus, subtle changes in ROS levels in cell
culture experiments might not be quantifiable. Our findings raise the question of
whether HE-based probes can be used for the reliable detection of O*2- radicals
in cell culture. Antioxid. Redox Signal. 00, 000-000.
PMID- 29790369
TI - An Innovative Perioperative Pain Program for Chronic Opioid Users: An Academic
Medical Center's Response to the Opioid Crisis.
AB - Increased utilization of prescription opioids for pain management has led to a
nationwide public health crisis with alarming rates of addiction and opioid
related deaths. In the surgical setting, opioid prescriptions have been
implicated as a contributing factor to the opioid epidemic. The authors developed
an innovative model to address aspects of pain management and opioid utilization
during preoperative evaluation, acute surgical hospitalization, and postoperative
follow-up for chronic opioid users. This program involves multidisciplinary teams
that include acute and chronic pain specialists, psychiatrists, integrative
medicine specialists, and physical medicine and rehabilitation services. It also
features a novel infrastructure for triage and pain management education and
treatment. Individualized patient plans are devised that can include preoperative
opioid weaning, regional anesthesia that minimizes opioid use, and multimodal
techniques for surgical pain treatment. Multidisciplinary programs such as this
have the potential to both improve perioperative pain control and prevent
escalation of opioid use among chronic opioid users.
PMID- 29790370
TI - Environmental Factors on Facebook Reviews: Using Social Media as Feedback to
Improve Hospital Quality.
PMID- 29790371
TI - Clinical Quality and Patient Experience in the Adult Ambulatory Setting.
AB - Quality and patient experience are important dimensions of care delivery. The
extent to which they are related in the adult outpatient setting is unknown. This
brief study utilized data from a large integrated health system over a 1-year
period in 2015 and measured the degree of correlation between physicians' patient
experience scores and 8 standardized quality metrics. These quality measures were
paired into similar groups to create 4 composite measures: outcome, screening,
vaccination, and adherence. Measures of outcome ( r = 0.20, P = .06), vaccination
( r = 0.12, P = .26), and adherence ( r = -0.04, P = .75) were not significantly
correlated with patient experience; screening ( r = 0.29, P = .006) was minimally
correlated with patient experience. Overall, this study found minimal correlation
between measures of patient experience and clinical quality in the outpatient
setting. Measurement of both of these domains is essential to understanding
patterns of care.
PMID- 29790372
TI - Folate receptor-targeted mixed polysialic acid micelles for combating rheumatoid
arthritis: in vitro and in vivo evaluation.
AB - OBJECTIVE: Rheumatoid arthritis (RA) is associated with chronic inflammation. The
suppression of inflammation is key to the treatment of RA. Glucocorticoids (GCs)
are classical anti-inflammatory drugs with several disadvantages such as poor
water solubility and low specificity in the body. These disadvantages are the
reasons for the quick elimination and side effects of GCs in vivo. Micelles are
ideal carriers for GCs delivery to inflamed synovium. We set out to improve the
targeting and pharmacokinetic profiles of GCs by preparing a targeting micelle
system. METHODS: In this study, natural chlosterol (CC) and folic acid (FA) were
used to fabricate polysialic acid (PSA) micelles for the targeted delivery of
Dexamethasone (Dex). The biodistribution and therapeutic efficacy of the
resulting micelles were evaluated in vitro and in vivo. RESULTS: PSA-CC and FA
PSA-CC micelles showed a size below 100 nm and a moderate negative charge. PSA-CC
and FA-PSA-CC micelles could also enhance the intracellular uptake of Dex and the
suppression of tumor necrosis factor-alpha (TNF-alpha) and interleukin-6 (IL-6)
in vitro and in vivo. Arthritis mice showed reduced paw thickness and clinical
arthritis index using PSA-CC and FA-PSA-CC micelle treatment. Micellized Dex
demonstrated a 4 ~ 5 fold longer elimination half-life and a 2 ~ 3 folds higher
bioavailability than commercial Dex injection. FA modification significantly
improved the anti-inflammatory efficacy of PSA-CC micelles. CONCLUSION: FA-PSA-CC
micelles demonstrated significant advantages in terms of the suppression of
inflammation and the treatment of inflammatory arthritis. These reliable and
stable micelles possess a high potential to be transferred for clinical use.
PMID- 29790374
TI - Hybrid liposomes showing enhanced accumulation in tumors as theranostic agents in
the orthotopic graft model mouse of colorectal cancer.
AB - Hybrid liposomes (HLs) can be prepared by simply sonicating a mixture of
vesicular and micellar molecules in a buffer solution. This study aimed to
elucidate the therapeutic effects and ability of HLs to detect (diagnosis) cancer
in an orthotopic graft mouse model of colorectal cancer with HCT116 cells for the
use of HLs as theranostic agents. In the absence of a chemotherapeutic drug, HLs
exhibited therapeutic effects by inhibiting the growth of HCT116 colorectal
cancer cells in vitro, possibly through an increase in apoptosis. Intravenously
administered HLs also caused a remarkable reduction in the relative cecum weight
in an orthotopic graft mouse model of colorectal cancer. A decrease in tumor size
in the cecal sections was confirmed by histological analysis using HE staining.
TUNEL staining indicated an induction of apoptosis in HCT116 cells in the
orthotopic graft mouse model of colorectal cancer. For the detection (diagnosis)
of colorectal cancer by HLs, the accumulation of HLs encapsulating a fluorescent
probe (ICG) was observed in HCT116 cells in the in vivo colorectal cancer model
following intravenous administration. These data indicate that HLs can accumulate
in tumor cells in the cecum of the orthotopic graft mouse model of colorectal
cancer for a prolonged period of time, and inhibit the growth of HCT116 cells.
PMID- 29790375
TI - Trifecta Outcomes in Multifocal Tumors: A Comparison Between Robotic and Open
Partial Nephrectomy.
AB - OBJECTIVES: To report a comparative analysis of outcomes in patients who
underwent multiple excisions for unilateral synchronous multifocal renal tumors
using both open and robotic approaches. METHODS: We retrospectively reviewed 110
patients who underwent robotic and open partial nephrectomy and had multiple
tumor excisions in an ipsilateral kidney. "Trifecta" was defined as negative
surgical margins, no urologic complications, and a glomerular filtration rate
(GFR) preservation of >=90% at last follow-up. Inverse probability of treatment
weighting (IPTW) was applied to equilibrate treatment groups, minimize selection
bias, and optimize inference on the basis of each patient's clinicodemographic
characteristics. RESULTS: Sixty-eight robotic and 42 open patient approaches had
sufficient data for IPTW. After weighting, there were no statistical differences
in baseline characteristics between the two groups. On adjusted analyses, robotic
partial nephrectomy achieved equivalent rates of trifecta to open surgery (16.3%
vs 16.5%, p = 0.99), which persisted on subgroup analyses of patients with two
(20.1% vs 23.7%, p = 0.82) or more than two tumors (6.8% vs 7.4%, p = 0.95).
There were no differences between robotic and open cohorts for negative margin
rates, absence of complications, or GFR >=90%. The robotic cohort had a shorter
mean length of stay (3.4 vs 4.9 days, p < 0.001). CONCLUSIONS: Surgical resection
remains the mainstay for patients with unilateral, synchronous, and multifocal
renal tumors. Our analysis found that both open and robotic approaches to partial
nephrectomy are equally likely to achieve the "trifecta" outcome in an
equilibrated high-risk group of patients. The robotic approach for these complex
patients may be safe and feasible for a carefully selected group of patients.
PMID- 29790373
TI - Non-clinical studies of progesterone.
AB - Progesterone is a steroid hormone that is essential for the regulation of
reproductive function. Progesterone has been approved for several indications
including the treatment of anovulatory menstrual cycles, assisted reproductive
technology, contraception during lactation and, when combined with estrogen, for
the prevention of endometrial hyperplasia in postmenopausal hormonal therapy. In
addition to its role in reproduction, progesterone regulates a number of
biologically distinct processes in other tissues, particularly in the nervous
system. This physiological hormone is poorly absorbed when administered in a
crystalline form and is not active when given orally, unless in micronized form,
or from different non-oral delivery systems that allow a more constant delivery
rate. A limited number of preclinical studies have been conducted to document the
toxicity, carcinogenicity and overall animal safety of progesterone delivered
from different formulations, and these rather old studies showed no safety
concern. More recently, it has been shown in animal experiments that
progesterone, its metabolite allopregnanolone and structurally related progestins
have positive effects on neuroregeneration and repair of brain damage, as well as
myelin repair. These recent preclinical findings have the potential to accelerate
therapeutic translation for multiple unmet neurological needs.
PMID- 29790377
TI - Cross-Cultural Differences in the Experience of Grandparent-Grandchild
Relationships and Related Psychosocial Outcomes.
AB - This study examined grandchildren's perceptions of the quality of their
relationship with their grandmothers and how these perceptions relate to
psychosocial outcomes. Eighty-two youth from Mexico and 99 youth from the United
States aged between 13 and 16 participated. Results suggested that both cultures
benefit in unique ways from positive relationship with their grandmothers. Yet,
there were also differences in the relational experience of grandmother
grandchild relationships across cultures. Specifically, grandchildren in the U.S.
sample reported higher relationship quality, relational competency, and self
efficacy than the grandchildren in the Mexico sample. Within the U.S. sample,
relationship quality was associated with grandchildren's relational competence,
while in the Mexico sample, relationship quality was associated with self
efficacy. Limitations of the present study include restricted generalizability to
other age groups and to grandfathers and that only one element of the grandparent
grandchild dyad was sampled. Longitudinal research will improve our understanding
of the causal dynamics of grandparent-grandchild relationships.
PMID- 29790376
TI - Plateau hypoxia attenuates the metabolic activity of intestinal flora to enhance
the bioavailability of nifedipine.
AB - Nifedipine is completely absorbed by the gastrointestinal tract and its
pharmacokinetics and metabolism may be influenced by microorganisms. If gut
microbes are involved in the metabolism of nifedipine, plateau hypoxia may
regulate the bioavailability and the therapeutic effect of nifedipine by altering
the metabolic activity of the gut microbiota. We herein demonstrated for the
first time that gut flora is involved in the metabolism of nifedipine by in vitro
experiments. In addition, based on the results of 16S rRNA analysis of feces in
rats after acute plateau, we first confirmed that the plateau environment could
cause changes in the number and composition of intestinal microbes. More
importantly, these changes in flora could lead to a slower metabolic activity of
nifedipine in the body after an acute plateau, resulting in increased
bioavailability and therapeutic efficacy of nifedipine. Our research will provide
basis and new ideas for changes in the fecal flora of human acutely entering the
plateau, and contribute to rational drug use of nifedipine.
PMID- 29790378
TI - Effect of Human Wnt10b Transgene Overexpression on Peri-Implant Osteogenesis in
Ovariectomized Rats.
AB - This study aimed to investigate the efficacy of human Wnt10b (hWnt10b) transgene
expression in ovariectomized (OVX) rats to accelerate osseointegration around
titanium implants, and to provide a new strategy for treating osteoporosis with
implants. An in vivo osteoporosis model was generated via bilateral ovariectomy
in rats, and changes in expression of Wnt pathway-related genes were
investigated. In OVX rats with a femur defect, hWnt10b expressed from an
adenovirus vector was locally delivered to the defect site prior to implant
placement. Surrounding femur tissues were collected 1 and 3 weeks after
implantation for imaging, biomechanical testing, and molecular and histological
analyses. In an in vitro model, bone-marrow stromal cells (BMSCs) transfected
with adenovirus containing hWnt10b (Ad-hWnt10b) were cultured for 2 weeks in
adipogenic medium followed by 2 weeks in osteogenic induction medium. Alizarin
Red staining and Oil Red O staining, as well as reverse transcription polymerase
chain reaction and Western blot analyses, were performed to assess the effect of
hWnt10b expression on BMSC differentiation. Expression of Wnt pathway genes was
significantly downregulated in OVX rats. OVX rats treated with Ad-hWnt10b prior
to induction of a femur defect showed markedly increased ALP, Runx-2, and
osteocalcin expression and decreased cathepsin K expression. Histological and
imaging analysis showed increases in the number of osteocalcin-positive cells and
the density of newly formed bone surrounding the implant in the Ad-hWnt10b group
relative to the untreated control. Meanwhile, Ad-hWnt10b-BMSCs showed
significantly increased osteogenesis and decreased adipogenesis. hWnt10b may
accelerate osseointegration around implants and subsequently enhance bone
regeneration and implant stabilization under OVX conditions.
PMID- 29790379
TI - A Review of Hydrogen Sulfide Synthesis, Metabolism, and Measurement: Is
Modulation of Hydrogen Sulfide a Novel Therapeutic for Cancer?
AB - SIGNIFICANCE: Hydrogen sulfide (H2S) has been recognized as the third gaseous
transmitter alongside nitric oxide and carbon monoxide. In the past decade,
numerous studies have demonstrated an active role of H2S in the context of cancer
biology. Recent Advances: The three H2S-producing enzymes, namely cystathionine
gamma-lyase (CSE), cystathionine beta-synthase (CBS), and 3-mercaptopyruvate
sulfurtransferase (3MST), have been found to be highly expressed in numerous
types of cancer. Moreover, inhibition of CBS has shown anti-tumor activity,
particularly in colon cancer, ovarian cancer, and breast cancer, whereas the
consequence of CSE or 3MST inhibition remains largely unexplored in cancer cells.
Intriguingly, H2S donation at high amounts or a long time duration has also been
observed to induce cancer cell apoptosis in vitro and in vivo while sparing
noncancerous fibroblast cells. Therefore, a bell-shaped model has been proposed
to explain the role of H2S in cancer development. Specifically, endogenous H2S or
a relatively low level of exogenous H2S may exhibit a pro-cancer effect, whereas
exposure to H2S at a higher amount or for a long period may lead to cancer cell
death. This indicates that inhibition of H2S biosynthesis and H2S supplementation
serve as two distinct ways for cancer treatment. This paradoxical role of H2S has
stimulated the enthusiasm for the development of novel CBS inhibitors, H2S
donors, and H2S-releasing hybrids. CRITICAL ISSUES: A clear relationship between
H2S level and cancer progression remains lacking. The possibility that the
altered levels of these byproducts have influenced the cell viability of cancer
cells has not been excluded in previous studies when modulating H2S producing
enzymes. FUTURE DIRECTIONS: The consequence of CSE or 3MST inhibition in cancer
cells need to be examined in the future. Better portrayal of the crosstalk among
these gaseous transmitters may not only lead to an in-depth understanding of
cancer progression but also shed light on novel strategies for cancer therapy.
Antioxid. Redox Signal. 00, 000-000.
PMID- 29790380
TI - Application of phenolic compounds as natural dye extracted from date-pits: dyeing
studies of modified acrylic fibres.
AB - This research work involves the dyeing of acrylic fabric with natural dye
extracted from date pits powders using Soxhlet extraction process. The effect of
dye bath pH, salt concentration, dyeing time and temperature were studied. The
optimal dyeing conditions where pH 4, 0 g/L salt, 60 min, and 80 degrees C. The
COD and the BOD5 of the residual dye bath were measured and it was shown from the
registered values that the residual dye bath presents an acceptable rate of
organic discharge.
PMID- 29790381
TI - What do TSECs provide in the menopausal hormone therapy?
AB - Tissue-selective estrogen complex (TSEC) is projected as a progestogen-free
option for the treatment of estrogen deficiency symptoms in postmenopausal, non
hysterectomized women. TSEC combines the benefits of estrogen with a selective
estrogen receptor modulator (SERM), in this case bazedoxifene acetate (BZA),
which has an antagonistic effect on the endometrium, thus avoiding the use of
progestins. The authorized TSEC combination (conjugated estrogens [CE] 0.45
mg/BZA 20 mg) for the alleviation of vasomotor symptoms has been demonstrated in
randomized clinical trials compared with placebo or menopausal hormone therapy
(MHT). In addition, TSEC has shown improvements in quality of life and vaginal
atrophy. In respect to MHT using progestins, the benefits of TSEC are found
mainly in the bleeding pattern, amenorrhea rate, and reduction in mammary
repercussion (i.e., breast tenderness and radiological density). The objective of
this guide will be to analyze the efficacy and safety of TSEC consisting of
CE/BZA in postmenopausal women.
PMID- 29790382
TI - Toward Respiratory-Gated Retrograde Intrarenal Surgery: A Prospective Controlled
Randomized Study.
AB - INTRODUCTION: We set out to investigate whether general anesthesia with low
ventilation (LV, respiratory rate <=8/minute and tidal volume <500 mL) could
reduce renal mobility and thereby facilitate improved retrograde intrarenal
surgery (RIRS) compared with general anesthesia with standard ventilation (SV).
MATERIALS AND METHODS: All 60 consecutive patients who presented for RIRS in our
department from September 1, 2017 to December 31, 2017 were prospectively
randomized 1:1 into one group that was selected to receive SV and another that
received LV. Significant factors influencing the study endpoints considered
fragmentation rate (FR), removal rate (RR), processing rate (PR), and operating
rate (OR), were statistically analyzed for the whole group as well as for
comparison by level of surgeon expertise. RESULTS: Univariate analysis revealed
that LV was a significant factor in improving all endpoints. Some endpoints were
also affected by the stone's volume, number, and density as well as the surgeon
expertise. LV remained the single independent factor for FR, RR, and PR in the
multivariate analysis. LV significantly improved all four of the fellows'
endpoints (p < 0.05 for each) and positively influenced the expert's RR (p =
0.04), PR (p = 0.02) and OR (p = 0.04). The performance gap between the fellows
and the experts narrowed under LV. The end-tidal CO2 was significantly higher in
the LV group (50 vs 36 mm Hg; p < 0.0001), however, without any clinical
significance. The overall stone-free rate (97%) and complication rate (5%) were
not significantly different between the two groups. The patient's anesthesia
related safety was not affected by the mode of ventilation as evidenced by no
need to convert from LV to SV during the procedures. CONCLUSIONS: LV during RIRS
has a significant positive impact on the overall improvement of surgical
performance and effectiveness. It does not negatively affect the patient's
anesthesia-related safety and may contribute to considerably improving the
performance of in-training endourologists.
PMID- 29790383
TI - Focal Treatment for Unilateral Prostate Cancer Using High-Intensity Focal
Ultrasound: A Comprehensive Study of Pooled Data.
AB - BACKGROUND: Focal therapy for prostate cancer (PCa) remains experimental. Aim of
the current study is to review available evidence and perform a pooled analysis
exploring oncologic and functional results of high intensity focus ultrasound
(HIFU) focal therapy for the treatment of unilateral PCa. METHODS: The National
Library of Medicine Database was searched for relevant articles. A wide search
was performed, including the combination of following words: "HIFU," "prostate,"
"cancer," and "focal." Overall, 167 articles were reviewed. Of these, seven
articles were identified and eligible for the pooled analysis. Data on HIFU
hemiablation or focal prostate ablation, oncologic and functional results were
pooled from these seven studies that included 366 men with unilateral PCa.
RESULTS: In the 366 analyzed cases, mean age was 67 years (95% confidence
interval 66-69), and mean preoperative prostate-specific antigen was 6.4 ng/cc
(5.5-7.4). Three studies included PCa up to Gleason 7 (3 + 4), three studies did
include also Gleason 7 (4 + 3), whereas one study had no limitation in terms of
Gleason score. Regarding early complications, low-grade Clavien-Dindo I-II were
reported in 26% (16-37), whereas high-grade Clavien-Dindo >=III were found in
3.8% (0-8.6). Analyzing oncologic outcomes mean follow-up was 26 months (23-31):
at one year after HIFU, negative biopsy rate for clinically significant PCa was
87% (79-96), whereas salvage treatment-free survival rate was 92% (85-98).
Regarding functional outcomes, reported potency rates were 74% (64-84), and
continence 96% (91-100), although definitions of potency and continence were not
homogenous across studies. CONCLUSIONS: This pooled analysis of the results of
focal HIFU treatment of PCa shows promising oncologic and functional outcomes.
Well-selected patients may be candidates for such a conservative partial
treatment of the gland. Well-designed trials are awaited to compare HIFU focal
treatment with current standard of care.
PMID- 29790384
TI - Is gestational diabetes mellitus in obese women predicted by oxidative damage in
red blood cells?
AB - Obesity in pregnant women has been associated with an increased risk of maternal
complications, including gestational diabetes mellitus (GDM), a process that is
related to oxidative stress (OS). To evaluate the biomarkers of OS in red blood
cells (RBCs), we assigned 80 pregnant women to one of three groups: control (n =
28), overweight (n = 26) and obese (n = 26). Then, we measured in plasma, the
levels of glucose, triacylglycerol (TAG), insulin, free fatty acids (FFAs),
leptin and cytokines (e.g. interleukin-6 [IL-6] and tumor necrosis factor-alpha
[TNF-alpha]) and OS biomarkers, such as lipohydroperoxides (LHP), malondialdehyde
(MDA) and protein carbonylation (PC) in RBCs. We found significant positive
correlations between OS biomarkers, body mass index (BMI) and pregnancy
progression. Seven (26.9%) obese women who were diagnosed with GDM at 24-28 weeks
of pregnancy showed significantly increased concentrations of FFAs, insulin,
leptin, TNF-alpha and biomarkers of OS measured at 12-13 weeks of gestation. We
propose to quantify LHP, MDA and PC in membranes of erythrocytes as possible
markers to diagnose GDM from weeks 12-14.
PMID- 29790385
TI - Kinect4FOG: monitoring and improving mobility in people with Parkinson's using a
novel system incorporating the Microsoft Kinect v2.
AB - Parkinson's is a neurodegenerative condition associated with several motor
symptoms including tremors and slowness of movement. Freezing of gait (FOG); the
sensation of one's feet being "glued" to the floor, is one of the most
debilitating symptoms associated with advanced Parkinson's. FOG not only
contributes to falls and related injuries, but also compromises quality of life
as people often avoid engaging in functional daily activities both inside and
outside the home. In the current study, we describe a novel system designed to
detect FOG and falling in people with Parkinson's (PwP) as well as monitoring and
improving their mobility using laser-based visual cues cast by an automated laser
system. The system utilizes a RGB-D sensor based on Microsoft Kinect v2 and a
laser casting system consisting of two servo motors and an Arduino
microcontroller. This system was evaluated by 15 PwP with FOG. Here, we present
details of the system along with a summary of feedback provided by PwP. Despite
limitations regarding its outdoor use, feedback was very positive in terms of
domestic usability and convenience, where 12/15 PwP showed interest in installing
and using the system at their homes. Implications for Rehabilitation Providing an
automatic and remotely manageable monitoring system for PwP gait analysis and
fall detection. Providing an automatic, unobtrusive and dynamic visual cue system
for PwP based on laser line projection. Gathering feedback from PwP about the
practical usage of the implemented system through focus group events.
PMID- 29790386
TI - Estrogen biosynthesis in breast adipose tissue during menstrual cycle in women
with and without breast cancer.
AB - Circulating estrogens fluctuate during the menstrual cycle but it is not known
whether this fluctuation is related to local hormone levels in adipose tissue. We
analyzed estrogen concentrations and gene expression of estrogen-regulating
enzymes in breast subcutaneous adipose tissue in premenopausal women with (n =
11) and without (n = 17) estrogen receptor-positive breast cancer. Estrone (E1)
was the predominant estrogen in premenopausal breast adipose tissue, and E1 and
mRNA expression of CYP19A1 in adipose tissue correlated positively with BMI.
Adipose tissue estradiol (E2) concentrations fluctuated during the menstrual
cycle, similarly to the serum concentrations. In women with breast cancer median
adipose tissue E1 (1519 vs. 3244, p < .05) and E2 (404 vs. 889 pmol/kg, p < .05)
levels were lower in the follicular than in the luteal phase whereas in control
women no significant differences were observed. In the follicular phase, mRNA
expressions of HSD17B1 (median 0.06; interquartile range 0.05-0.07 vs. 0.17; 0.03
0.2, p = .010) and CYP19A1 (0.08; 0.07-0.14 vs. 0.22; 0.09-0.54, p = .025) were
lower in women with breast cancer than in controls. In conclusion, the changes in
adipose tissue E1 and E2 concentrations and the estrogen-regulating CYP19A1 and
HSD17B1 during the menstrual cycle may be related to dysfunctional local estrogen
metabolism in women with breast cancer.
PMID- 29790387
TI - Crosstalk Between Connexin32 and Mitochondrial Apoptotic Signaling Pathway Plays
a Pivotal Role in Renal Ischemia Reperfusion-Induced Acute Kidney Injury.
AB - AIMS: Perioperative acute kidney injury (AKI) resulting from renal ischemia
reperfusion (IR) is not conducive to the postoperative surgical recovery. Our
previous study demonstrated that reactive oxygen species (ROS) transmitted by gap
junction (GJ) composed of connexin32 (Cx32) contributed to AKI. However, the
precise underlying pathophysiologic mechanisms were largely unknown. This study
focuses on the underlying mechanisms related to ROS transmitted by Cx32
responsible for AKI aggravation. RESULTS: In a set of in vivo studies, renal IR
was found to cause severe impairment in renal tissues with massive ROS
generation, which occurred contemporaneously with activation of NF-kappaB/p53/p53
upregulated modulator of apoptosis (PUMA)-mediated mitochondrial apoptosis
pathways. Cx32 deficiency alleviated renal IR-induced AKI, and simultaneously
attenuated ROS generation and distribution in renal tissues, which further
inhibited NF-kappaB/p53/PUMA-mediated mitochondrial apoptotic pathways.
Correspondingly, in a set of in vitro studies, hypoxia reoxygenation (HR)-induced
cellular injury, and cell apoptosis in both human kidney tubular epithelial cells
(HK-2s) and rat kidney tubular epithelial cells (NRK52Es) were significantly
attenuated by Cx32 inhibitors or Cx32 gene knockdown. More importantly, Cx32
inhibition not only decreased ROS generation and distribution in human or rat
kidney tubular epithelial cells but also inhibited its downstream NF
kappaB/p53/PUMA-mediated mitochondrial apoptotic pathway activation. Innovation
and Conclusion: This is the first identification of the underlying mechanisms of
IR-induced renal injury integrally which demonstrates the critical role played by
Cx32 in IR-induced AKI. Moreover, GJ composed of Cx32 manipulates ROS generation
and distribution between neighboring cells, and alters activation of NF
kappaB/p53/PUMA-mediated mitochondrial apoptotic pathways. Both inhibiting Cx32
function and scavenging ROS effectively reduce mitochondrial apoptosis and
subsequently attenuate AKI, providing effective strategies for kidney protection.
Antioxid. Redox Signal. 00, 000-000.
PMID- 29790388
TI - Graft function assessment in mouse models of single- and dual-kidney
transplantation.
AB - Animal models of kidney transplantation (KTX) are widely used in studying immune
response of hosts to implanted grafts. Additionally, KTX can be used in
generating kidney-specific knockout animal models by transplantation of kidneys
from donors with global knockout of a gene to wild-type recipients or vice versa.
Dual-kidney transplantation (DKT) provides a more physiological environment for
recipients than single-kidney transplantation (SKT). However, DKT in mice is rare
due to technical challenges. In this study, we successfully performed DKT in mice
and compared the hemodynamic response and graft function with SKT. The surgical
time, complications, and survival rate of DKT were not significantly different
from SKT, where survival rates were above 85%. Mice with DKT showed less injury
and quicker recovery with lower plasma creatinine (Pcr) and higher glomerular
filtration rate (GFR) than SKT mice (Pcr = 0.34 and 0.17 mg/dl in DKT vs. 0.50
and 0.36 mg/dl in SKT at 1 and 3 days, respectively; GFR = 215 and 131 ul/min for
DKT and SKT, respectively). In addition, the DKT exhibited better renal
functional reserve and long-term outcome of renal graft function than SKT based
on the response to acute volume expansion. In conclusion, we have successfully
generated a mouse DKT model. The hemodynamic responses of DKT better mimic
physiological situations with less kidney injury and better recovery than SKT
because of reduced confounding factors such as single nephron hyperfiltration. We
anticipate DKT in mice will provide an additional tool for evaluation of renal
significance in physiology and disease.
PMID- 29790389
TI - Unmasking a sustained negative effect of SGLT2 inhibition on body fluid volume in
the rat.
AB - The chronic intrinsic diuretic and natriuretic tone of sodium-glucose
cotransporter 2 (SGLT2) inhibitors is incompletely understood because their
effect on body fluid volume (BFV) has not been fully evaluated and because they
often increase food and fluid intake at the same time. Here we first compared the
effect of the SGLT2 inhibitor ipragliflozin (Ipra, 0.01% in diet for 8 wk) and
vehicle (Veh) in Spontaneously Diabetic Torii rat, a nonobese type 2 diabetic
model, and nondiabetic Sprague-Dawley rats. In nondiabetic rats, Ipra increased
urinary excretion of Na+ (UNaV) and fluid (UV) associated with increased food and
fluid intake. Diabetes increased these four parameters, but Ipra had no further
effect, probably because of its antihyperglycemic effect, such that glucosuria
and, as a consequence, food and fluid intake were unchanged. Fluid balance and
BFV, determined by bioimpedance spectroscopy, were similar among the four groups.
To study the impact of food and fluid intake, nondiabetic rats were treated for 7
days with Veh, Ipra, or Ipra+pair feeding+pair drinking (Pair-Ipra). Pair-Ipra
maintained a small increase in UV and UNaV versus Veh despite similar food and
fluid intake. Pair-Ipra induced a negative fluid balance and decreased BFV,
whereas Ipra or Veh had no significant effect compared with basal values. In
conclusion, SGLT2 inhibition induces a sustained diuretic and natriuretic tone.
Homeostatic mechanisms are activated to stabilize BFV, including compensatory
increases in fluid and food intake.
PMID- 29790390
TI - Collecting duct principal, but not intercalated, cell prorenin receptor regulates
renal sodium and water excretion.
AB - The collecting duct is the predominant nephron site of prorenin and prorenin
receptor (PRR) expression. We previously demonstrated that the collecting duct
PRR regulates epithelial Na+ channel (ENaC) activity and water transport;
however, which cell type is involved remains unclear. Herein, we examined the
effects of principal cell (PC) or intercalated cell (IC) PRR deletion on renal
Na+ and water handling. PC or IC PRR knockout (KO) mice were obtained by crossing
floxed PRR mice with mice harboring Cre recombinase under the control of the AQP2
or B1 subunit of the H+ ATPase promoters, respectively. PC KO mice had reduced
renal medullary ENaC-alpha abundance and increased urinary Na+ losses on a low
Na+ diet compared with controls. Conversely, IC KO mice had no apparent
differences in Na+ balance or ENaC abundance compared with controls. Acute
treatment with prorenin increased ENaC channel number and open probability in
acutely isolated cortical collecting ducts from control and IC PRR KO, but not PC
PRR KO, mice. Furthermore, compared with controls, PC KO, but not IC KO mice, had
increased urine volume, reduced urine osmolality, and reduced abundance of renal
medullary AQP2. Taken together, these findings indicate that PC, but not IC, PRR
modulates ENaC activity, urinary Na+ excretion, and water transport.
PMID- 29790392
TI - Interleukin 1 receptor (IL-1R1) activation exacerbates toxin-induced acute kidney
injury.
AB - Acute kidney injury (AKI) is a leading cause of morbidity and mortality. Drug
induced/toxic AKI can be caused by a number of therapeutic agents. Cisplatin is
an effective chemotherapeutic agent whose administration is limited by
significant nephrotoxicity. Therapies to prevent cisplatin-induced AKI are
lacking. Although tumor necrosis factor-alpha (TNF) plays a key role in the
pathogenesis of cisplatin nephrotoxicity, the innate immune signaling pathways
that trigger TNF generation in this context require elucidation. In this regard,
sterile injury triggers the release and activation of both isoforms of
interleukin(IL)-1, IL-1alpha and IL-1beta. In turn, stimulation of the
interleukin-1 receptor (IL-1R1) by these ligands engages a proinflammatory
signaling cascade that induces TNF induction. We therefore hypothesized that IL
1R1 activation exacerbates cisplatin-induced AKI by inducing TNF production,
thereby augmenting inflammatory signals between kidney parenchymal cells and
infiltrating myeloid cells. IL-1R1+/+ (WT) and IL-1R1-/- (KO) mice were subjected
to cisplatin-induced AKI. Compared with WT mice, IL-1R1 KO mice had attenuated
AKI as measured by serum creatinine and BUN, renal NGAL mRNA levels, and blinded
histological analysis of kidney pathology. In the cisplatin-injured kidney, IL
1R1 KO mice had diminished levels of whole kidney TNF, and fewer Ly6G-expressing
neutrophils. In addition, an unbiased machine learning analysis of intrarenal
immune cells revealed a diminished number of CD11bint/CD11cint myeloid cells in
IL-1R1 KO injured kidneys compared with IL-1R1 WT kidneys. Following cisplatin,
IL-1R1 KO kidneys, compared with WTs, had fewer TNF-producing: macrophages,
CD11bint/CD11cint cells, and neutrophils, consistent with an effect of IL-1R1 to
polarize intrarenal myeloid cells toward a proinflammatory phenotype.
Interruption of IL-1-dependent signaling pathways warrants further evaluation to
decrease nephrotoxicity during cisplatin therapy.
PMID- 29790391
TI - Neutrophil exocytosis induces podocyte cytoskeletal reorganization and
proteinuria in experimental glomerulonephritis.
AB - Acute glomerulonephritis is characterized by rapid glomerular neutrophil
recruitment, proteinuria, and glomerular hypercellularity. The current study
tested the hypothesis that the release of neutrophil granule contents plays a
role in both the loss of filtration barrier leading to proteinuria and the
increase in glomerular cells. Inhibition of neutrophil exocytosis with a peptide
inhibitor prevented proteinuria and attenuated podocyte and endothelial cell
injury but had no effect on glomerular hypercellularity in an experimental acute
glomerulonephritis model in mice. Cultivation of podocytes with neutrophil
granule contents disrupted cytoskeletal organization, an in vitro model for
podocyte effacement and loss of filtration barrier. Activated, cultured podocytes
released cytokines that stimulated neutrophil chemotaxis, primed respiratory
burst activity, and stimulated neutrophil exocytosis. We conclude that crosstalk
between podocytes and neutrophils contributes to disruption of the glomerular
filtration barrier in acute glomerulonephritis. Neutrophil granule products
induce podocyte injury but do not participate in the proliferative response of
intrinsic glomerular cells.
PMID- 29790394
TI - Speech and language pathologists' perceptions and practises of communication
partner training to support children's communication with high-tech speech
generating devices.
AB - PURPOSE: This study examined speech and language pathologists' (SLPs')
perceptions and practices of communication partner training with high-tech speech
generating devices (SGDs). METHOD: Fifteen SLPs were recruited throughout Sweden.
The SLPs answered a study-specific questionnaire on communication partner
training in relation to communication partners to children with severe cerebral
palsy and intellectual disability. The results were analysed with descriptive
statistics (closed-ended questions, responses on Likert scales) and content
analysis (open-ended question) using ICF-CY. RESULTS: Twelve SLPs completed the
survey. Half had no or one training session with communication partners in the
last year. One-third never used documents for goal-setting. Half seldom or never
taught communication partner strategies. Three quarters only used verbal
instructions. The main obstacles were environmental factors. CONCLUSIONS: This
study contributes valuable knowledge about high-tech SGD interventions targeting
communication partners. The high-tech SGD intervention may benefit from goal
setting, extended number of training sessions and a range of instructional
approaches. Implications for Rehabilitation Speech and language pathologist
(SLPs) reported that children with severe cerebral palsy and intellectual
disability (SSPI) can benefit from speech generating device (SGD) communication.
Communication partner strategies and goal-setting supports the development of
communication with SGD. SLPs seldom taught stakeholder communication partner
strategies and instruments for goal-setting. Because stakeholders may vary in
their way of learning SLPs need to use a variety of instructional approaches.
SLPs used few instructional approaches, typically verbal information.
PMID- 29790395
TI - Hyaluronic acid-conjugated pH-sensitive liposomes for targeted delivery of
prednisolone on rheumatoid arthritis therapy.
AB - AIM: The treatment of rheumatoid arthritis remains a challenge as available
therapies still entail the risk of deleterious off-target effects. The present
study describes hyaluronic acid-conjugated pH-sensitive liposomes as an effective
drug delivery-targeting strategy to synovial cells. MATERIALS & METHODS:
Therapeutic, cytotoxic and targeting potential of developed liposomes were
studied in vitro using macrophages and fibroblasts cell lines. RESULTS &
CONCLUSION: Results suggest an enhanced cellular uptake of conjugated liposomes,
mainly mediated by caveolae- and clathrin-dependent endocytosis. In vitro release
studies demonstrated that prednisolone was preferentially released under acidic
conditions mimicking intracellular endosomal compartments. Overall, results
revealed that conjugated pH-sensitive liposomes are a promising nanoapproach for
the targeted delivery of prednisolone within inflamed synovial cells for
rheumatoid arthritis treatment.
PMID- 29790393
TI - Assistive technology policy: a position paper from the first global research,
innovation, and education on assistive technology (GREAT) summit.
AB - Increased awareness, interest and use of assistive technology (AT) presents
substantial opportunities for many citizens to become, or continue being,
meaningful participants in society. However, there is a significant shortfall
between the need for and provision of AT, and this is patterned by a range of
social, demographic and structural factors. To seize the opportunity that
assistive technology offers, regional, national and sub-national assistive
technology policies are urgently required. This paper was developed for and
through discussion at the Global Research, Innovation and Education on Assistive
Technology (GREAT) Summit; organized under the auspices of the World Health
Organization's Global Collaboration on Assistive Technology (GATE) program. It
outlines some of the key principles that AT polices should address and recognizes
that AT policy should be tailored to the realities of the contexts and resources
available. AT policy should be developed as a part of the evolution of related
policy across a number of different sectors and should have clear and direct
links to AT as mediators and moderators for achieving the Sustainable Development
Goals. The consultation process, development and implementation of policy should
be fully inclusive of AT users, and their representative organizations, be across
the lifespan, and imbued with a strong systems-thinking ethos. Six barriers are
identified which funnel and diminish access to AT and are addressed
systematically within this paper. We illustrate an example of good practice
through a case study of AT services in Norway, and we note the challenges
experienced in less well-resourced settings. A number of economic factors
relating to AT and economic arguments for promoting AT use are also discussed. To
address policy-development the importance of active citizenship and advocacy, the
need to find mechanisms to scale up good community practices to a higher level,
and the importance of political engagement for the policy process, are
highlighted. Policy should be evidence-informed and allowed for evidence-making;
however, it is important to account for other factors within the given context in
order for policy to be practical, authentic and actionable. Implications for
Rehabilitation The development of policy in the area of asssitive technology is
important to provide an overarching vision and outline resourcing priorities.
This paper identifies some of the key themes that should be addressed when
developing or revising assistive technology policy. Each country should establish
a National Assistive Technology policy and develop a theory of change for its
implementation.
PMID- 29790396
TI - Biosensor for the detection of Listeria monocytogenes: emerging trends.
AB - The early detection of Listeria monocytogenes (L. monocytogenes) and
understanding the disease burden is of paramount interest. The failure to detect
pathogenic bacteria in the food industry may have terrible consequences, and
poses deleterious effects on human health. Therefore, integration of methods to
detect and trace the route of pathogens along the entire food supply network
might facilitate elucidation of the main contamination sources. Recent research
interest has been oriented towards the development of rapid and affordable
pathogen detection tools/techniques. An innovative and new approach like
biosensors has been quite promising in revealing the foodborne pathogens. In
spite of the existing knowledge, advanced research is still needed to
substantiate the expeditious nature and sensitivity of biosensors for rapid and
in situ analysis of foodborne pathogens. This review summarizes recent
developments in optical, piezoelectric, cell-based, and electrochemical
biosensors for Listeria sp. detection in clinical diagnostics, food analysis, and
environmental monitoring, and also lists their drawbacks and advantages.
PMID- 29790398
TI - Acute Inflammatory Biomarker Responses to Diffuse Traumatic Brain Injury in the
Rat Monitored by a Novel Microdialysis Technique.
AB - Neuroinflammation is a major contributor to the progressive brain injury process
induced by traumatic brain injury (TBI), and may play an important role in the
pathophysiology of axonal injury. The immediate neuroinflammatory cascade cannot
be characterized in the human setting. Therefore, we used the midline fluid
percussion injury model of diffuse TBI in rats and a novel microdialysis (MD)
method providing stable diffusion-driven biomarker sampling. Immediately post
injury, bilateral amphiphilic tri-block polymer coated MD probes (100 kDa cut off
membrane) were inserted and perfused with Dextran 500 kDa-supplemented artificial
cerebrospinal fluid (CSF) to optimize protein capture. Six hourly samples were
analyzed for 27 inflammatory biomarkers (9 chemokines, 13 cytokines, and 5 growth
factors) using a commercial multiplex biomarker kit. TBI (n = 6) resulted in a
significant increase compared with sham-injured controls (n = 6) for five
chemokines (eotaxin/CCL11, fractalkine/CX3CL1, LIX/CXCL5, monocyte
chemoattractant protein [MCP]1alpha/CCL2, macrophage inflammatory protein
[MIP]1alpha /CCL3), 10 cytokines (interleukin [IL]-1alpha, IL-1beta, IL-4, IL-6,
IL-10, IL-13, IL-17alpha, IL-18, interferon [IFN]-gamma, tumor necrosis factor
[TNF]-alpha), and four growth factors (epidermal growth factor [EGF], granulocyte
macrophage colony-stimulating factor [GM-CSF], leptin, vascular endothelial
growth factor [VEGF]). Therefore, diffuse TBI was associated with an increased
level of 18 of the 27 inflammatory biomarkers at one through six time points,
during the observation period whereas the remaining 9 biomarkers were unaltered.
The study shows that diffuse TBI induces an acute increase in a number of
inflammatory biomarkers. The novel MD technique provides stable MD sampling
suitable for further studies on the early neuroinflammatory cascade in TBI.
PMID- 29790397
TI - Higher cartilage wear in unipolar than bipolar hemiarthroplasties of the hip at 2
years: A randomized controlled radiostereometric study in 19 fit elderly patients
with femoral neck fractures.
AB - Background and purpose - The use of unipolar hemi-arthroplasties for femoral neck
fractures is increasing in some countries due to reports of higher reoperation
rates in bipolar prostheses. On the other hand, it has been proposed that bipolar
hemiarthroplasties have clinical advantages and less cartilage wear than unipolar
hemiarthroplasties. We compared cartilage wear between bipolar and unipolar
hemiarthroplasties using radiostereometric analyses (RSA), in patients aged 70
years or older. Patients and methods - 28 ambulatory, lucid patients were
randomized to treatment with a unipolar or a bipolar hemiarthroplasty for an
acute femoral neck fracture. Migration of the prosthetic head into the acetabulum
was measured using RSA. Secondary outcomes were Harris Hip Score (HHS), and EQ-5D
scores. Patients were assessed at 3, 12. and 24 months. Results - 19 patients
were available for follow-up at 2 years: mean proximal penetration was 0.83 mm in
the unipolar group and 0.24 mm in the bipolar group (p = 0.01). Mean total point
movement was 1.3 mm in the unipolar group and 0.95 mm in the bipolar group (p =
0.3). Median HHS was 78 (62-96) in the unipolar group and 100 (70-100) in the
bipolar group (p = 0.004). Median EQ-5D Index Score was 0.73 (0.52-1.00) in the
unipolar group and 1.00 (0.74-1.00) in the bipolar group (p = 0.01). Median EQ-5D
VAS was 70 (50-90) in the unipolar group and 89 (70-95) in the bipolar group (p =
0.03) Interpretation - Patients with unipolar hemiarthroplasties had higher
proximal cartilage wear and lower functional outcomes. Unipolar
hemiarthroplasties should be used with caution in ambulatory, lucid patients.
PMID- 29790399
TI - Mechanistic insight into reactivity and (geno)toxicity of well-characterized
nanoparticles of cobalt metal and oxides.
AB - An increasing use of cobalt (Co)-based nanoparticles (NPs) in different
applications and exposures at occupational settings triggers the need for
toxicity assessment. Improved understanding regarding the physiochemical
characteristics of Co metal NPs and different oxides in combination with
assessment of toxicity and mechanisms may facilitate decisions for grouping
during risk assessment. The aim of this study was to gain mechanistic insights in
the correlation between NP reactivity and toxicity of three different Co-based
NPs (Co, CoO, and Co3O4) by using various tools for characterization, traditional
toxicity assays, as well as six reporter cell lines (ToxTracker) for rapid
detection of signaling pathways of relevance for carcinogenicity. The results
showed cellular uptake of all NPs in lung cells and induction of DNA strand
breaks and oxidative damage (comet assay) by Co and CoO NPs. In-depth studies on
the ROS generation showed high reactivity of Co, lower for CoO, and no reactivity
of Co3O4 NPs. The reactivity depended on the corrosion and
transformation/dissolution properties of the particles and the media highlighting
the role of the surface oxide and metal speciation as also confirmed by in silico
modeling. By using ToxTracker, Co NPs were shown to be highly cytotoxic and
induced reporters related to oxidative stress (Nrf2 signaling) and DNA strand
breaks. Similar effects were observed for CoO NPs but at higher concentrations,
whereas the Co3O4 NPs were inactive at all concentrations tested. In conclusion,
our study suggests that Co and CoO NPs, but not Co3O4, may be grouped together
for risk assessment.
PMID- 29790400
TI - The activity of silver nanoparticles against microalgae of the Prototheca genus.
AB - AIM: To investigate the in vitro activity of silver NPs (AgNPs) against
pathogenic microalgae of the Prototheca genus. MATERIALS & METHODS: The antialgal
potential of AgNPs against Prototheca species of both clinical and environmental
origin was assessed from minimum inhibitory (algistatic) and algicidal
concentrations. The in vitro cytotoxicity of AgNPs against bovine mammary
epithelial cell line was evaluated by means of the standard MTT assay. RESULTS:
AgNPs showed a strong killing activity toward Prototheca algae, as the minimal
algicidal concentration (MAC) values matched perfectly the corresponding minimum
inhibitory concentration (MIC) values for all species (MAC = MIC, 1-4 mg/l),
except P. stagnora (MIC > 8 mg/l). The concentrations inhibitory to pathogenic
Prototheca spp. (MIC, 1-4 mg/l) were below the concentrations at which any
toxicity in epithelial cells could be observed (CC20 > 6 mg/l). CONCLUSION: The
study emphasizes the potential of AgNPs as a new therapeutic tool for the
management of Prototheca infections.
PMID- 29790401
TI - Translational gaps in animal models of human infusion reactions to nanomedicines.
AB - Adverse infusion reactions to regulatory approved nanomedicines in human subjects
are idiosyncratic, but outwardly reproducible in pigs. A large body of evidence
suggests that the porcine reactions are related to robust nanoparticle clearance
by pulmonary intravascular macrophages (PIMs), and rapid release of arachidonate
metabolites from these cells. Similar to pigs, other animals that have resident
PIMs in their lungs also respond to intravenously injected particles, where rapid
particle clearance by PIMs correlate with peak periods of cardiopulmonary
distress. Normal human lungs, however, do not have PIMs, but 'induced' PIMs have
been identified in pulmonary circulation under certain pathological conditions.
We question suitability, and limitation of these preclinical models for global
assessment of nanomedicine safety, and discuss alternative models and approaches.
PMID- 29790402
TI - Vitamin D pathway gene polymorphisms affecting daclatasvir plasma concentration
at 2 weeks and 1 month of therapy.
AB - AIM: Vitamin D (VD) influences genetic expression through its receptor (VDR). VD
pathway gene polymorphisms seem to influence antiviral drug pharmacokinetics and
therapeutic outcome/toxicity. We investigated the association between daclatasvir
(DCV) plasma concentrations and genetic variants (SNPs) associated with the VD
pathway. PATIENTS & METHODS: Chronic hepatitis C patients treated with DCV from
2014 to 2016 were included. Genotypes were assessed through real-time PCR and
plasma concentrations through liquid chromatography. RESULTS: A total of 52
patients were analyzed. DCV levels were influenced by CYP24A1 rs2248359T>C
polymorphism at 2 weeks and VDR Cdx2 A>G at 1 month of treatment. Linear
regression analysis showed baseline BMI, alanine aminotransferase and hematocrit
as significant predictors of DCV concentrations at 2 weeks, BMI and hematocrit at
baseline, VDR Cdx2 AG/GG and FokI TC/CC at 1 month. CONCLUSION: These results
showed a possible role of VD pathway gene polymorphisms in influencing DCV plasma
concentrations, but further studies are required.
PMID- 29790403
TI - The Amelioration of Pain-Related Behavior in Mice with Chronic Spinal Cord Injury
Treated with Neural Stem/Progenitor Cell Transplantation Combined with Treadmill
Training.
AB - Progress in regenerative medicine is realizing the possibility of neural
regeneration and functional recovery in spinal cord injury (SCI). Recently,
rehabilitation has attracted much attention with respect to the synergistic
promotion of functional recovery in combination with neural stem/progenitor cell
(NS/PC) transplantation, even in the chronic refractory phase of SCI.
Nevertheless, sensory disturbance is one of the most prominent sequelae, even
though the effects of combination or single therapies have been investigated
mostly in the context of motor recovery. To determine how combination therapy
with treadmill training (TMT) and NS/PC transplantation affects the manifestation
of thermal allodynia and tactile hyperalgesia in chronic phase SCI, four groups
of SCI mice were used to assess pain-related behavior and histological changes:
combined transplantation and TMT therapy, transplantation only, TMT only, and
control groups. Thermal allodynia and coarse touch-pressure hyperalgesia
exhibited significant recovery in the combined therapy group in comparison with
controls, whereas there were no significant differences with fine touch-pressure
hyperalgesia and motor function. Further investigation revealed fewer fibers
remaining in the posterior funiculus, which contained the tracts associated with
the two modalities showing less recovery; that is, touch-pressure hyperalgesia
and motor function. A significant correlation was only observed between these two
modalities. Although no remarkable histological recovery was found within the
lesion epicenter, changes indicating amelioration of pain were observed in the
lumbar enlargement of the combination therapy group. Our results suggest that
amelioration of thermal allodynia and tactile hyperalgesia can be brought about
by the additive effect of NS/PC transplantation and TMT. The degree of recovery
seems dependent on the distribution of damage.
PMID- 29790404
TI - Clinical and Neurophysiological Changes after Targeted Intrathecal Injections of
Bone Marrow Stem Cells in a C3 Tetraplegic Subject.
AB - High-level quadriplegia is a devastating condition with limited treatment
options. Bone marrow derived stem cells (BMSCs) are reported to have
immunomodulatory and neurotrophic effects in spinal cord injury (SCI). We report
a subject with complete C2 SCI who received three anatomically targeted
intrathecal infusions of BMSCs under a single-patient expanded access
investigational new drug (IND). She underwent intensive physical therapy and was
followed for >2 years. At end-point, her American Spinal Injury Association
Impairment Scale (AIS) grade improved from A to B, and she recovered focal
pressure touch sensation over several body areas. We conducted serial
neurophysiological testing to monitor changes in residual connectivity. Motor,
sensory, and autonomic system testing included motor evoked potentials (MEPs),
somatosensory evoked potentials (SSEPs), electromyography (EMG) recordings, F
waves, galvanic skin responses, and tilt-table responses. The quality and
magnitude of voluntary EMG activations increased over time, but remained below
the threshold of clinically obvious movement. Unexpectedly, at 14 months post
injury, deep inspiratory maneuvers triggered respiratory-like EMG bursting in the
biceps and several other muscles. This finding means that connections between
respiratory neurons and motor neurons were newly established, or unmasked. We
also report serial analysis of MRI, International Standards for Neurological
Classification of SCI (ISNCSCI), pulmonary function, pain scores, cerebrospinal
fluid (CSF) cytokines, and bladder assessment. As a single case, the linkage of
the clinical and neurophysiological changes to either natural history or to the
BMSC infusions cannot be resolved. Nevertheless, such detailed neurophysiological
assessment of high cervical SCI patients is rarely performed. Our findings
indicate that electrophysiology studies are sensitive to define both residual
connectivity and new plasticity.
PMID- 29790405
TI - Poor medication adherence in patients with psoriasis and a successful
intervention.
AB - BACKGROUND: The medication adherence of psoriasis patients may be influenced by a
series combined internal and external factors. Effective methods for improving
adherence could improve treatment outcomes. OBJECTIVE: To characterize medication
adherence in psoriasis patients in China and to test an intervention to improve
psoriasis patients' adherence. METHODS: We investigated 200 patients with
psoriasis using questionnaires to determine their medication adherence and the
factors that influence adherence. In 117 patients, low medication adherence were
identified; we randomized 96 of these patients into intervention group and
control groups. The intervention group received health education during six
months therapy. One-way Analysis of Variance (one-way ANOVA) was used to analyze
the influence factors of medication adherence to further obtain the variables.
Logistic regression was used to analyze these data. RESULTS: Adherence of
psoriasis patients was poor (41.5%). Factors associated with adherence included
sociological characteristics, disease characteristics, medicine type, the
cognitive level toward psoriasis, care indicators, and social environment.
Patients in the intervention group exhibited greater adherence improvement
(83.7%) compared with the control group (6.4%). CONCLUSION: Medication adherence
of the psoriasis patients is poor but can be improved by a health education
intervention.
PMID- 29790406
TI - Highlights from the latest in nanomedicine research.
PMID- 29790408
TI - Working Men's Constructions of Visiting the Doctor.
AB - To understand influences on medical help seeking in men from traditionally
masculine occupations, semistructured interviews with 12 men employed in manual
and industrial labor were conducted. The semistructured interview format explored
participant men's understanding and experiences of annual exams and medical help
seeking, their own and others' reactions to seeking medical help, and influences
on their own care and understanding of what it means to seek medical care.
Utilizing consensual qualitative research methodology, five domains emerged:
Social norms around medical care, managing threat, getting medical help is
gendered, work-related influences, and pragmatic contributors to medical help
seeking. Results extended the literature by situating men's understanding of
physician visits within a gendered and social context, and highlighting the
influence of work and coworkers, where messages are often contradictory and
inconsistent about medical help seeking. Future research should examine
additional contextual factors influencing men's attitudes toward seeking health
care, including race, culture, and sexual orientation, as well as seek to develop
and evaluate interventions that promote men's utilization of medical services.
PMID- 29790407
TI - Age Cohort and Health Service Utilization Among Gay Men.
AB - Gay men report unique health disparities and service utilization trends compared
to their heterosexual peers including a lack of health-care participation which
may lead to chronic health conditions. Limited research has been conducted
analyzing group differences among gay men such as the influence of one's age
cohort on disparities. The aim of this study was to examine the association age
cohort has on health service utilization among gay men. A sample of 383 self
identified gay men was collected by the San Francisco Department of Public
Health. Older men were less likely to have visited a medical provider in the past
12 months compared to middle-aged men (OR = 0.10; 95% CI [2.47, 39.8]) and
younger men (OR = 0.35; 95% CI [1.28, 10.42]). However, older men were more
likely to have a usual source of medical care compared to younger men (OR = 4.0;
95% CI [.05, .84]). Age cohort differences in health-care service utilization
appear to exist among gay men. This study highlights additional areas for
exploration including the impact HIV and socioeconomic status have on health
seeking behavior and health service utilization.
PMID- 29790410
TI - Perspectives on Electronic Informed Consent From Patients Underrepresented in
Research in the United States: A Focus Group Study.
AB - Digital informed consent may better inform individuals about health research and
increase participation. In the United States and elsewhere, minorities and rural
populations are underrepresented in health research and may benefit from well
designed electronic informed consent (eIC). Seven focus groups were conducted
with 50 Caucasian, African American, and rural patients in the United States.
Participants were asked their preferences for a paper versus electronic informed
consent document. Participants found the e-version easier to use, more
interesting, and better for understanding. Minority participants emphasized
limited access, computer literacy, and trust barriers to eIC. Rural participants
were concerned about accessibility, connectivity, privacy, and confidentiality.
People see value in electronic consenting. Researchers should consider barriers
to eIC among underrepresented populations before recruitment.
PMID- 29790409
TI - Postural Stability and Physical Activity of Workers Working at Height.
AB - The purpose of the study was to analyze the level of postural stability and
physical activity of at-height workers. The study included 34 healthy men aged 25
43. Two groups were identified based on the type of work they performed: at
height workers (HW) ( n = 17), and office workers (OW) ( n = 17). Physical
activity, including physical activity at work, sports activity, and leisure, was
assessed with a Baecke questionnaire. For evaluation of postural stability, the
one-leg standing test with eyes open and closed was used. The HW group had a
higher rate of average physical activity at work than the OW group ( p = .000),
whereas the OW group showed greater physical activity during leisure time ( p =
.000). No differences were found between the groups in terms of sports activity.
Postural stability analysis shows that the HW group ( p < .05) scored
statistically significantly higher values in one-leg standing with eyes closed.
The groups differed in terms of postural stability in favor of HW. At the same
time, despite differences in particular aspects, the overall level of PA was
similar. This may indicate that postural stability is rather affected by exposure
to distress conditions.
PMID- 29790411
TI - Genetic and Environmental Influences on Achievement Outcomes Based on Family
History of Learning Disabilities Status.
AB - A risk to develop a learning disability has been shown to run in families. Having
a positive family history of learning disability seems to account for mean
differences in achievement outcomes (reading, math) in that children with a
positive family history score significantly lower compared to their peers with no
such family history. However, the role of family history status in explaining
etiological (genetic and environmental) differences among these subgroups of
children has yet to be established. The present study of 872 twins ( Mage =
13.30, SDage = 1.40) from the Florida Twin Project on Reading, Behavior, and
Environment utilized a multigroup approach to examine etiological differences on
reading, spelling, and math among two subgroups defined by family history status.
Results showed significant mean differences on all achievement outcomes, aside
from math; however, no significant etiological differences on any achievement
outcome were found among the two subgroups. Results support previous literature
that the risk for developing a learning disability is transmitted through a
family, but this is seemingly not manifested by differential etiology.
PMID- 29790412
TI - Career and Technical Education, Inclusion, and Postsecondary Outcomes for
Students With Learning Disabilities.
AB - We used longitudinal data from Washington State to investigate the relationships
among career and technical education (CTE) enrollment, inclusion in general
education, and high school and postsecondary outcomes for students with learning
disabilities. We replicated earlier findings that students with learning
disabilities who were enrolled in a "concentration" of CTE courses had higher
rates of employment after graduation than observably similar students with
learning disabilities who were enrolled in fewer CTE courses. We also found that
students with learning disabilities who spent more time in general education
classrooms in high school had higher rates of on-time graduation, college
attendance, and employment than observably similar students with learning
disabilities who spent less time in general education classrooms in these grades.
PMID- 29790413
TI - Specific Language Impairment and Reading Disability: Categorical Distinction or
Continuum?
AB - Specific language impairment (SLI) and reading disability (RD) are familial,
moderately heritable comorbid developmental disorders. The key deficit of SLI is
oral language, whereas children with RD exhibit impairment in learning to read.
The present study examines the possible co-occurrence of RD and SLI and the
nature of this co-occurrence at a linguistic and a cognitive level in an
orthographically consistent language. Four groups of children participated in the
study: an RD group ( n = 10), an SLI group ( n = 13), a possible comorbid group (
n = 9), and a control-no deficit group ( n = 20). Analysis showed that all three
clinical groups in our sample performed similarly in phonological awareness and
naming-speed tasks. However, significant group differences were observed in
orthographic processing, reading, semantics, and phonological memory measures,
thus supporting the view that SLI and RD are distinct disorders. Results are in
line with previous findings indicating that SLI and RD share common
characteristics, although the two conditions are manifested with different
symptoms.
PMID- 29790414
TI - Pharmacogenomics in liver transplantation: testing the recipient and the ex-vivo
donor liver.
PMID- 29790415
TI - A gene variant near ATM affects the response to metformin and metformin plasma
levels: a post hoc analysis of an RCT.
AB - AIM: To determine the influence of polymorphisms on the effects of metformin on
HbA1c, daily dose of insulin and metformin plasma concentration. Methods: In a
post hoc analysis of a 4.3 year placebo-controlled randomized trial with 390
patients with Type 2 diabetes already on insulin, we analyzed the influence of
polymorphisms in genes coding for ATM and the transporters OCT1 and MATE1.
Outcome measures were a combined HbA1c + daily dose of insulin Z score and
metformin plasma concentrations. RESULTS: rs11212617 (ATM) was associated with an
improved Z score and a lower metformin plasma concentration. In addition, the
major allele of rs2289669 (MATE1) was also associated with an improved Z score.
CONCLUSION: The ATM SNP rs11212617 significantly affected the effect of metformin
and metformin plasma concentration. Further research is needed to determine the
clinical importance of these findings, in particular the effects on metformin
plasma concentration.
PMID- 29790416
TI - Interpretation bias and social anxiety: does interpretation bias mediate the
relationship between trait social anxiety and state anxiety responses?
AB - Two studies aimed to examine whether high socially anxious individuals are more
likely to negatively interpret ambiguous social scenarios and facial expressions
compared to low socially anxious individuals. We also examined whether
interpretation bias serves as a mediator of the relationship between trait social
anxiety and state anxiety responses, in particular current state anxiety, bodily
sensations, and perceived probability and cost of negative evaluation pertaining
to a speech task. Study 1 used ambiguous social scenarios and Study 2 used
ambiguous facial expressions as stimuli to objectively assess interpretation
bias. Undergraduate students with high and low social anxiety completed measures
of state anxiety responses at three time points: baseline, after the
interpretation bias task, and after the preparation for an impromptu speech.
Results showed that high socially anxious individuals were more likely to endorse
threat interpretations for ambiguous social scenarios and to interpret ambiguous
faces as negative than low socially anxious individuals. Furthermore, negative
interpretations mediated the relationship between trait social anxiety and
perceived probability of negative evaluation pertaining to the speech task in
Study 1 but not Study 2. The present studies provide new insight into the role of
interpretation bias in social anxiety.
PMID- 29790417
TI - Systematic evaluation of clinical practice guidelines for pharmacogenomics.
AB - AIM: To systematically assess methodological quality of pharmacogenomics clinical
practice guidelines. METHODS: Guidelines published through 2017 were reviewed by
at least three independent reviewers using the AGREE II instrument, which
consists of 23 items grouped into 6 domains and 2 items representing an overall
assessment. Items were assessed on a seven-point rating scale, and aggregate
quality scores were calculated. RESULTS: 31 articles were included. All
guidelines were published as peer-reviewed articles and 90% (n = 28) were
endorsed by professional organizations. Mean AGREE II domain scores (maximum
score 100%) ranged from 46.6 +/- 11.5% ('applicability') to 78.9 +/- 11.4%
('clarity of presentation'). Median overall quality score was 72.2% (IQR: 61.1
77.8%). CONCLUSION: Quality of pharmacogenomics guidelines was generally high,
but variable, for most AGREE II domains.
PMID- 29790419
TI - RICTOR gene amplification is correlated with metastasis and therapeutic
resistance in triple-negative breast cancer.
AB - Triple-negative breast cancer (TNBC) is characterized by its aggressive behavior,
metastasis and lack of targeted therapies. Herein, we discuss the clinical,
histopathological and genetic profile of a woman diagnosed with TNBC. Since the
patient had no durable response to chemotherapy, a genetic profiling was carried
out. Next-generation sequencing analysis of 592 genes showed a missense mutation,
p.E545A in PIK3CA, thus the patient was started on the mTOR inhibitor everolimus,
in combination with exemestane, which controlled her pain; however, the disease
progressed aggressively. More importantly, next-generation sequencing analysis
showed a RICTOR gene amplification (eight copies) suggesting that RICTOR promotes
the genesis of TNBC. We conclude that determining regulators of RICTOR and
furthermore, their inhibitors might decrease cancer cells proliferation rate in
patients with TNBC.
PMID- 29790418
TI - Enhanced brain penetration of pretomanid by intranasal administration of an oil
in-water nanoemulsion.
AB - AIM: To enhance the drug delivery to the brain with an oil-in-water nanoemulsion
of pretomanid via intranasal (IN) administration. MATERIALS & METHODS: The study
involved 70 male Sprague-Dawley rats (160-180 g) that received either 20 mg/kg
body weight (b.w.) a nanoemulsion or a 20 mg/kg b.w. of pretomanid in solution
via the IN route. The drug was quantified by liquid chromatography-tandem mass
spectrometry to investigate whole tissue-drug concentrations, and mass
spectrometric imaging to visualize drug localization in the brain. RESULTS:
Nanoemulsion delivery concentrations of pretomanid in the brain reached peak
concentrations (Cmax) of 12,062.3 ng/g that is significantly higher than the
required therapeutic level. The mass spectrometric imaging analysis clearly
showed a time dependent and uniform distribution in the brain. CONCLUSION: The
results of this study show that IN delivery of oil-in-water nanoemulsion may be
very promising for targeting anatomical tuberculosis reservoirs, such as the
brain.
PMID- 29790420
TI - Olfactory Training in Post-Traumatic Smell Impairment: Mild Improvement in
Threshold Performances: Results from a Randomized Controlled Trial.
AB - Traumatic Brain Injury (TBI) can be associated with partial or total smell loss.
Recent studies have suggested that olfactory outcome can be positively modulated
after olfactory training (OT). This study's aim was to investigate OT's potential
role in smell recovery after TBI-induced olfactory loss. A prospective,
randomized, and controlled study was developed. Patients with TBI-induced
olfactory dysfunction (n = 42) were randomized into an experimental group with OT
and a control group without (nOT). OT was performed twice daily with a six odor
training set during 12 weeks. Olfactory loss was assessed using subjective
olfactometry (Barcelona Smell Test [BAST] 24), a visual analogue scale (VAS), and
n-butanol threshold (n-BTt) at baseline at 4, 12, and 24 weeks. Additionally,
patients underwent MRI of the olfactory brain and olfactory bulbs (OB). Based on
the MRI results, an overall score (0-16) was developed to associate the
structural neurological damage with olfactory outcomes. The primary outcome was
the change in olfactory measurements (VAS and BAST-24) between baseline and 12
weeks. The secondary outcome was the association of the MRI score with olfactory
outcomes at baseline, and the impact on quality of life (QoL). After 12 weeks of
training, OT patients showed a significant improvement in n-BTt (0.6 +/- 1.7 OT
vs. -0.6 +/- 1.8 nOT, p < 0.05), but not in the smell VAS and BAST-24 scores.
Olfactory outcomes (VAS, BAST-24, and n-BTt) were significantly associated with
MRI structural findings (p < 0.001), but not with the OB volume or olfactory
sulcus length. The present study suggests that 12 weeks of OT mildly improves the
olfactory threshold in TBI, whereas the overall MRI score may be used as an
imaging marker of olfactory dysfunction and disease severity in TBI patients.
PMID- 29790422
TI - Autologous Induced Pluripotent Stem Cell-Derived Neurons to Treat Parkinson's
Disease.
AB - In 2012, we planned a program to develop a neuron replacement therapy for
Parkinson's disease (PD) that would have the greatest promise to help the
patients. PD is a movement disorder caused by the progressive, inevitable loss of
a specific type of dopamine neuron in the brain. The only viable treatment to
reverse the progress of the disease is to replace those neurons; we decided to
make dopamine neurons that matched the patients, by differentiating induced
pluripotent stem cells that we generated from individuals with PD. This
autologous cell therapy is entering the regulatory approval process this year
with the U.S. Food and Drug Administration to begin to transplant the cells in
the following 1 to 2 years.
PMID- 29790421
TI - Facilitators and Barriers to Oncologists' Conduct of Goals of Care Conversations.
AB - INTRODUCTION: Goals of care (GoC) conversations optimally begin early in the
course of cancer care, yet most happen near the end of life. We sought to
describe oncologist-reported facilitators of and barriers to GoC conversations
with patients who have advanced cancer. METHODS AND MATERIALS: We conducted
individual, semistructured qualitative interviews with oncologists from 4
academic, community, municipal, and rural hospitals in New York and Connecticut.
Interview topics included approach to GoC conversations, facilitators, barriers,
and organizational influences. We analyzed data using interpretive description.
We collected demographic and practice information and surveyed oncologists on
their communication skills training. We calculated descriptive statistics for
quantitative data. RESULTS: Oncologists (n = 21) had a mean age of 46 years
(range: 34-68), 67% were male, 71% were White, 24% were Asian, 10% were Hispanic,
and 5% were Black. They reported an average of 20 years in practice (range: 8
42), and 62% had received training on having GoC conversations. Facilitators
included patient's poor functional status, patient's high health literacy, family
understanding and acceptance, oncologist's practice experience, and a supportive
practice environment. Barriers included certain patient demographic and clinical
characteristics, patient religion and culture, patient's denial, and lack of
time. CONCLUSION: GoC conversations may be facilitated by enabling oncologists to
conduct these conversations despite difficult circumstances and emotional
reactions by activating patients and family via increased health literacy and by
advancing palliative-informed practice environments.
PMID- 29790423
TI - Improved Cost-Effectiveness and Blood Product Utilization From Instituting a
Blood Ordering Algorithm for Cardiac Surgical Cases.
AB - BACKGROUND: Results of a previous study revealed an over-ordering of blood
products for cardiac surgery and led to the creation of a new blood ordering
algorithm. This follow-up study has been conducted to evaluate improvement in
ordering practices. METHODS: Retrospective data were collected for 171 patients
who underwent coronary artery bypass grafting or valve surgery from March 2015 to
March 2016 to determine the crossmatch-to-transfusion ratio (C:tx) and potential
cost savings. Results were compared with pre-algorithm values and considered
statistically significant if the 95% confidence interval did not include zero.
RESULTS: Prior to the algorithm, 100% of patients undergoing cardiac surgery were
crossmatched. After instituting the algorithm, this decreased to 15%. The overall
C:tx decreased from 7.97 to 2.14. Cost savings were calculated as $114.79
(coronary artery bypass grafting) and $129.05 (valve surgery) per patient.
CONCLUSIONS: The creation of a new algorithm to guide ordering practices has
significantly improved the C:tx, reduced unnecessary crossmatching, and lowered
costs.
PMID- 29790425
TI - Hypoxic preconditioning reduces propofol-induced neuroapoptosis via regulation of
Bcl-2 and Bax and downregulation of activated caspase-3 in the hippocampus of
neonatal rats.
AB - OBJECTIVE: Evidence has shown that propofol may cause widespread apoptotic
neurodegeneration. Hypoxic preconditioning (HPC) was previously demonstrated to
provide neuroprotection and brain recovery from either acute or chronic
neurodegeneration in several cellular and animal models. Therefore, the present
study was designed to investigate the protective effects of hypoxic
preconditioning on apoptosis caused by propofol in neonatal rats. METHODS:
Propofol (100 mg/kg) was given to 7-day-old (P7) Sprague Dawley pups. Before the
propofol injection, hypoxic preconditioning was administered by subjecting rats
to five cycles of 10 min of hypoxia (8% O2) and 10 min of normoxia (21% O2), then
2 h of room air. We detected neuronal structure changes and apoptosis by
hematoxylin and eosin (HE) staining and TUNEL assay, respectively. Bcl-2, Bax and
cleaved-caspase-3 levels were quantified using Western blotting and
immunohistochemistry. RESULT: After treatment with propofol, Bcl-2 levels
decreased and Bax and cleaved-caspase-3 levels increased. However, our results
suggest that hypoxic preconditioning could reverse this change. Conclusion: Our
results indicate that pretreatment with hypoxic preconditioning prevents propofol
induced neuroapoptosis by increasing the levels of Bcl-2 and decreasing the
levels of Bax and cleaved-caspase-3.
PMID- 29790424
TI - The Fate of Autologous Endometrial Mesenchymal Stromal Cells After Application in
the Healthy Equine Uterus.
AB - Because of their distinct differentiation, immunomodulatory, and migratory
capacities, endometrial mesenchymal stromal cells (MSCs) may provide an optimum
source of therapeutic cells not only in relation to the uterus but also for
regeneration of other tissues. This study reports the fate of endometrial MSCs
following intrauterine application in mares. Stromal cell fractions were isolated
from endometrial biopsies taken from seven reproductively healthy mares,
expanded, and fluorescence labeled in culture. Phosphate-buffered saline (PBS) or
MSCs (15 * 106) were autologously infused into each uterine horn during early
diestrus and subsequently tracked by fluorescence microscopy and flow cytometry
of endometrial biopsies and blood samples taken periodically after infusion. The
inflammatory response to cell infusion was monitored in endometrial cytology
samples. MSCs were detected in endometrial sections at 6, 12, and 24 h, but not
later (7 or 14 days), after cell infusion. Cells were in all cases located in the
uterine lumen, never within the endometrial tissue. No fluorescence signal was
detected in blood samples at any time point after infusion. Cytology analyses
showed an increase in % of polymorphonuclear neutrophils between 1 and 3 h after
uterine infusion with either MSCs or PBS and a further increase by 6 h only in
mares infused with PBS. In summary, endometrial MSCs were detected in the uterine
lumen for up to 24 h after infusion, but did not migrate into the healthy
endometrium. Moreover, MSCs effectively attenuated the inflammatory response to
uterine infusion. We conclude that endometrial MSCs obtained from routine uterine
biopsies could provide a safe and effective cell source for treatment of
inflammatory conditions of the uterus and potentially other tissues.
PMID- 29790426
TI - Long-Term Effects of Simulated Microgravity and Vibration Exposure on Skeletal
Development in Zebrafish.
AB - Most studies utilizing fish to study the effects of simulated microgravity (SMG)
only observe the effects during the first week of development. They also do not
take into account the potential impact on development of vibrations caused by the
equipment. In this study we analyze the effects of both SMG and vibration on
development of the skeleton. We analyze three different exposure durations and
starting points that coincide with cranial neural crest cell migration. We use a
combination of bone staining and morphometrics to analyze the effects. Our data
show that both vibration and SMG affect vertebra number and body size; however,
not all vertebrae are equally affected by each treatment. We also show that
delayed ossification manifests during development, particularly after SMG
exposure, and this translates into buckled and bent bones in adults. This study
highlights the large impact of even very short exposure periods when they
coincide with critical time points of development.
PMID- 29790427
TI - Simulated Microgravity Culture Enhances the Neuroprotective Effects of Human
Cranial Bone-Derived Mesenchymal Stem Cells in Traumatic Brain Injury.
AB - Fundamental cures of central nervous system (CNS) diseases are rarely achieved
due to the low regenerative ability of the CNS. Recently, cell-based therapy
using mesenchymal stem cells (MSCs) has been explored as an effective treatment
for CNS diseases. Among the various tissue-derived MSCs, we have isolated human
cranial bone-derived MSCs (cMSCs) in our laboratory. In addition, we have focused
on simulated microgravity (MG) as a valuable culture environment of MSCs.
However, detailed mechanisms underlying functional recovery from transplantation
of MSCs cultured under MG conditions remain unclear. In this study, we
investigated the therapeutic mechanisms of transplantation of cMSCs cultured
under MG conditions in traumatic brain injury (TBI) model mice. Human cMSCs were
cultured under 1G and MG conditions, and cMSCs cultured under MG conditions
expressed significantly higher messenger RNA (mRNA) levels of hepatocyte growth
factor (HGF) and transforming growth factor beta (TGF-beta). In TBI model mice,
the transplantation of cMSCs cultured under MG conditions (group MG) showed
greater motor functional improvement compared with only phosphate-buffered saline
administration (group PBS). Moreover, the protein expression levels of tumor
necrosis factor alpha (TNF-alpha) and the Bcl-2-associated X protein (Bax)/b cell
leukemia/lymphoma 2 protein (Bcl-2) ratio were significantly lower at brain
injury sites in mice of group MG than those of group PBS. In addition, an in
vitro study showed that the conditioned medium of cMSCs cultured under MG
conditions significantly suppressed the cell death of NG108-15 cells exposed to
oxidative or inflammatory stress through anti-inflammatory and antiapoptosis
effects. These findings demonstrate that culturing cMSCs under simulated MG
increases the neuroprotective effects, suggesting that simulated MG cultures may
be a useful method for cell-based therapy strategies for CNS diseases.
PMID- 29790429
TI - Effects of rapid palatal expansion (RPE) and twin block mandibular advancement
device (MAD) on pharyngeal structures in Class II pediatric patients from Cluj
Napoca, Romania.
AB - OBJECTIVE: To compare cephalometric changes of pharyngeal structures after rapid
palatal expansion (RPE) with those induced by a twin block mandibular advancement
device (MAD) with palatal expansion capability. METHODS: This retrospective study
investigated 55 Class II pediatric patients, divided into two groups: 29 patients
treated with RPE and 26 patients treated with MAD. Lateral cephalometric
measurements were compared before and after treatment. RESULTS: Changes in
pharyngeal airway space were statistically significant in both groups (p < 0.001)
from a pre-treatment mean distance measured between the lower posterior
pharyngeal wall and the hyoid bone (LPF-H) of 25.42 mm in the MAD group and 28.62
mm in the RPE group, to a post-treatment mean LPF-H of 27.96 mm in the MAD group
and 31.52 mm in the RPE group. CONCLUSION: Significant changes in pharyngeal
space may be obtained in Class II patients through both rapid palatal expansion
and mandibular advancement devices with palatal expansion capability.
PMID- 29790428
TI - Multi-ethnic SULT1A1 copy number profiling with multiplex ligation-dependent
probe amplification.
AB - AIM: To develop a SULT1A1 multiplex ligation-dependent probe amplification assay
and to investigate multi-ethnic copy number variant frequencies. METHODS: A novel
multiplex ligation-dependent probe amplification assay was developed and tested
on 472 African-American, Asian, Caucasian, Hispanic and Ashkenazi Jewish
individuals. RESULTS: The frequencies of atypical total copy number (i.e.,
greater or less than two) were 38.7% for Hispanics, 38.9% for Ashkenazi Jewish,
43.2% for Caucasians, 53.6% for Asians and 64.1% for African-Americans.
Heterozygous SULT1A1 deletion carriers (slow sulfators) were most common among
Caucasians (8.4%), whereas African-Americans had the highest frequencies of three
or more copies (rapid sulfators; 60.9%). CONCLUSION: Different ethnic and racial
populations have varying degrees of SULT1A1-mediated sulfation activity, which
warrants further research and that may have utility for drug response prediction
among SULT1A1-metabolized medications.
PMID- 29790431
TI - "This Time It's Different" Preparing for Release Through a Prison-Model of CoSA:
A Phenomenological and Repertory Grid Analysis.
AB - Circles of support and accountability (CoSA) in the prison-model begin prior to
the core members' release from prison and continue with them on release in to the
community. The purpose of this study was to explore the expectations of release
of those convicted of a sexual offense and how this develops during their
participation in the prison sessions of CoSA. The research question was to
consider how the prison-model of CoSA relates to the desistance of crime, in
particular the phases of desistance developed by Gobbels, Ward, and Willis. Data
were collected using both phenomenological interviews and repertory grids at two
different time points; prior to starting the circle in prison ( n = 9) and just
before release ( n = 5). The findings suggest the prison sessions provide a sense
of support and "no longer being alone" often absent in those who sexually offend.
The additional prison sessions enabled the participants to experience this during
their approaching release date; a stressful period that was characterized by
anxiety. Further research is now required to explore whether circles in the
prison-model are able to encourage and reinforce the cognitive change required
for desistance, enabling the core members to successfully manage their underlying
anxieties surrounding societal stigmatization.
PMID- 29790430
TI - Improvement of cellular health indicators and muscle quality in older women with
different resistance training volumes.
AB - The main purpose of this study was to compare the effects of resistance training
(RT) performed with different training volumes on phase angle (PhA), body water
components, and muscle quality (MQ) in untrained older adult women. A second
purpose was to assess the relationship between PhA and MQ. Sixty-two older adult
women (68.6 +/- 5.0 years, 65.2 +/- 13.3 kg, 156.1 +/- 6.2 cm) were randomly
assigned into one of the three groups: two training groups performed either 1 set
(G1S) or 3 sets (G3S), or a control group (CG). Body water components and PhA
were estimated by bioelectrical impedance (BIA). MQ was determined by dividing
skeletal muscle mass estimated by dual-energy absorptiometry (DXA) by total
muscle strength from three exercises. After the intervention period, both
training groups demonstrated improvements (P < 0.05) when compared with CON for
intracellular water, total body water, PhA, and MQ. These results suggest that RT
can improve PhA, body water components, and MQ after 12 weeks of RT in untrained
older women, regardless of training volume. Furthermore, changes in MQ were
positively correlated with changes in PhA (r = 0.60, P < 0.01).
PMID- 29790432
TI - Transoral Thyroid and Parathyroid Surgery Vestibular Approach: A Framework for
Assessment and Safe Exploration.
AB - The transoral endoscopic thyroidectomy vestibular approach (TOETVA) is a new
approach to the central neck that avoids an anterior cervical incision. This
approach can be performed with endoscopic or robotic assistance and offers access
to the bilateral central neck. It has been completed safely in both North
American and, even more extensively, international populations. With any new
technology or approach, complications during the learning curve, expense,
instrument limitations, and overall safety may affect its ultimate adoption and
utility. To ensure patient safety, it is imperative to define steps that should
be considered by any surgeon or group before adoption of this new approach.
PMID- 29790433
TI - The influence of foot position on scrum kinetics during machine scrummaging.
AB - The purpose of this study was to investigate the effect of variations in the
alignment of the feet on scrum kinetics during machine scrummaging. Twenty nine
rugby forwards from amateur-level teams completed maximal scrum efforts against
an instrumented scrum machine, with the feet in parallel and non-parallel
positions. Three-dimensional forces, the moment about the vertical axis and
sagittal plane joint angles were measured during the sustained pushing phase.
There was a decrease in the magnitude of the resultant force and compression
force in both of the non-parallel conditions compared to parallel and larger
compression forces were associated with more extended hip and knee angles.
Scrummaging with the left foot forward resulted in the lateral force being
directed more towards the left and the turning moment becoming more clockwise.
These directional changes were reversed when scrummaging with the right foot
forward. Scrummaging with the right foot positioned ahead of the left may serve
to counteract the natural clockwise wheel of the live scrum and could be used to
achieve an anti-clockwise rotation of the scrum for tactical reasons. However,
this would be associated with lower resultant forces and a greater lateral shear
force component directed towards the right.
PMID- 29790435
TI - Abstracts: 23rd Annual RIMS Conference 2018.
PMID- 29790434
TI - The model of professional satisfaction of nursing staff in Poland - brief
communication.
AB - The aim of this study was to create an empirical model that would help understand
how to obtain the optimal level of professional satisfaction among Polish nurses.
The study was performed using a standardized questionnaire among 1066 nurses.
Descriptive and explanatory methods have been used in the statistical analysis of
path-analytic approaches. The simulations showed that the model that achieved the
highest measure of fit was a simple one which included 15 thematic areas,
determining the varying degrees of job satisfaction of Polish nurses. The
modeling approach to the process of understanding the professional satisfaction
of Polish nurses allows for it to be used in the process of motivating and
building organizational commitment of nurses, which creates new opportunities for
effective management. This is especially important in an era of growing social
needs in the care services sector, not only in Poland but throughout the world.
PMID- 29790436
TI - Evaluating the role of genetic variation in the epigenome in health and disease.
PMID- 29790437
TI - RIMS Abstracts 2018.
PMID- 29790438
TI - RIMS Abstracts 2018.
PMID- 29790439
TI - Principles of evolutionary medicine.
PMID- 29790440
TI - The secret of getting ahead....
PMID- 29790441
TI - Competences management for improving performance in health organizations.
AB - Purpose The purpose of this paper is to describe the design and construction of a
privilege mapping system (clinical and organizational competences) of the medical
staff of the Niguarda Hospital in Milan, Italy. The second aim is to measure and
assess the impact of implementing an evaluation process of clinical competences
at the same hospital. Design/methodology/approach The paper retraces the
development and implementation of the evaluation of the privilege system,
highlighting the subjects involved, the phases and outputs. Moreover, a
questionnaire was distributed to 50 heads of unit involved in the planning,
building and implementation of competences mapping. Five areas were investigated:
competences evaluation for professional development; the impact on work
organization and professional roles; professional collaboration; its impact
according to context (hospital or unit) and time scale (short or long term); and
ability to evaluate clinical outcome. Findings Results reveal success factors for
the development and implementation of a privilege mapping system. Furthermore,
the survey revealed that clinical leaders are aware of the importance of
competences evaluation. In particular, they consider it as a management tool
useful for professional development, for identifying excellence and planning
operational activities. Originality/value Literature and practical evidence
recognize the need to assess the clinical and organizational competences in order
to assign tasks and responsibilities. However, there are no studies that describe
the construction of systems of evaluation of privileges, as it has never been
investigated as professionals perceive these tools.
PMID- 29790442
TI - Closing the delivery gap.
AB - Purpose The purpose of this paper is to describe the authors' experience
operationalizing the care delivery value chain (CDVC) as a management and
continuous quality improvement (QI) approach to strengthen HIV/AIDS services
provided in Northern Togo through addressing gaps across a care continuum.
Design/methodology/approach The authors led a series of discussions to develop a
CDVC specific to existing HIV/AIDS services in Northern Togo. Using the CDVC
framework, 28 specific gaps in service delivery were identified and integrated
into a strategic QI plan. Findings At 12 months, 92 percent of delivery gaps had
demonstrated improvement. The CDVC framework proved to be valuable in the
following ways. First, it facilitated the first comprehensive mapping of HIV/AIDS
services in the Kara region of Togo. Second, it enabled the identification of
gaps or insufficiencies in the currently available services across the full
continuum of care. Third, it catalyzed the creation of a strategic QI plan based
on identified gaps. Research limitations/implications This case description is
the authors' experience in one setting and should not be considered comparative
in nature. Furthermore, the approach described may not be applicable to all
initiatives and/or organizations. As described, the lack of sophisticated and
comprehensive data collection systems limited the authors' ability to collect
reliable data on some of the QI initiatives planned. Practical implications The
operationalization of the CDVC framework is an effective approach to drive
continuous QI. Originality/value Through the operationalization of the CDVC, the
authors developed a new approach for assessing existing services, identifying
gaps in service delivery and directing continuous QI initiatives in a strategic
manner.
PMID- 29790443
TI - Improvement of laboratory turnaround time using lean methodology.
AB - Purpose The purpose of this paper is to discuss the implementation of lean
methodology to reduce the turnaround time (TAT) of a clinical laboratory in a
super speciality hospital. Delays in report delivery lead to delayed diagnosis
increased waiting time and decreased customer satisfaction. The reduction in TAT
will lead to increased patient satisfaction, quality of care, employee
satisfaction and ultimately the hospital's revenue. Design/methodology/approach
The generic causes resulting in increasing TAT of clinical laboratories were
identified using lean tools and techniques such as value stream mapping (VSM),
Gemba, Pareto Analysis and Root Cause Analysis. VSM was used as a tool to analyze
the current state of the process and further VSM was used to design the future
state with suggestions for process improvements. Findings This study identified
12 major non-value added factors for the hematology laboratory and 5 major non
value added factors for the biochemistry lab which were acting as bottlenecks
resulting in limiting throughput. A four-month research study by the authors
together with hospital quality department and laboratory staff members led to
reduction of the average TAT from 180 to 95minutes in the hematology lab and from
268 to 208 minutes in the biochemistry lab. Practical implications Very few
improvement initiatives in Indian healthcare are based on industrial engineering
tools and techniques, which might be due to a lack of interaction between
healthcare and engineering. The study provides a positive outcome in terms of
improving the efficiency of services in hospitals and identifies a scope for lean
in the Indian healthcare sector. Social implications Applying lean in the Indian
healthcare sector gives its own potential solution to the problem caused, due to
a wide gap between lean accessibility and lean implementation. Lean helped in
changing the mindset of an organization toward providing the highest quality of
services with faster delivery at an optimal cost. Originality/value This paper is
an effort to reduce the gap between healthcare and industrial engineering and
enhancing the use of lean practices in Indian healthcare. The study is motivated
toward implementing lean methodology successfully in services.
PMID- 29790444
TI - Data envelopment analysis for estimating efficiency of intensive care units: a
case study in Iran.
AB - Purpose As hospitals are the most costly service providers in every healthcare
systems, special attention should be given to their performance in terms of
resource allocation and consumption. The purpose of this paper is to evaluate
technical, allocative and economic efficiency in intensive care units (ICUs) of
hospitals affiliated by Yazd University of Medical Sciences (YUMS) in 2015.
Design/methodology/approach This was a descriptive, analytical study conducted in
ICUs of seven training hospitals affiliated by YUMS using data envelopment
analysis (DEA) in 2015. The number of physicians, nurses, active beds and
equipment were regarded as input variables and bed occupancy rate, the number of
discharged patients, economic information such as bed price and physicians' fees
were mentioned as output variables of the study. Available data from study
variables were retrospectively gathered and analyzed through the Deap 2.1
software using the variable returns to scale methodology. Findings The study
findings revealed the average scores of allocative, economic, technical,
managerial and scale efficiency to be relatively 0.956, 0.866, 0.883, 0.89 and
0.913. Regarding to latter three types of efficiency, five hospitals had
desirable performance. Practical implications Given that additional costs due to
an extra number of manpower or unnecessary capital resources impose economic
pressure on hospitals also the fact that reduction of surplus production plays a
major role in reducing such expenditures in hospitals, it is suggested that
departments with low efficiency reduce their input surpluses to achieve the
optimal level of performance. Originality/value The authors applied a DEA
approach to measure allocative, economic, technical, managerial and scale
efficiency of under-study hospitals. This is a helpful linear programming method
which acts as a powerful and understandable approach for comparative performance
assessment in healthcare settings and a guidance for healthcare managers to
improve their departments' performance.
PMID- 29790445
TI - A study of deficiencies in teamwork skills among Jordan caregivers.
AB - Purpose The purpose of this paper is to present the deficiencies in teamwork
skills at Jordan hospitals as seen by team members. The study aims to identify
training needs to improve teamwork-related soft skills of caregivers to enhance
staff satisfaction and improve quality of care. Moreover, the paper provides a
methodology to identify the training needs in any healthcare workplace by
repeating the same questionnaire. Design/methodology/approach A self
administrated questionnaire was designed to study deficiencies in teamwork and
team leadership at Jordan hospitals as seen by team members. Surveyed care
providers included physicians, nursing and anesthesiologists operating in
emergency departments, surgical operating rooms and intensive care units from
various hospitals. Findings With a response rate of 78.8 percent, statistical
analysis of collected data of opposing staff members revealed low levels of
satisfaction (40.7-48 percent opposing), lack of awareness on the impact of
teamwork on quality of care (15.6-22.1 percent opposing), low levels of
involvement of top management (27.1-57.3 percent opposing), lack of training
(52.5-69.8 percent opposing), lack of leadership skills (29.8-60 percent
opposing), lack of communication (22.3-62.1 percent opposing), lack of employee
involvement (37.6-50.8 percent opposing) and lack of collaboration among team
members (28.6-50 percent opposing). Among the many, results illustrate the need
for improving leadership skills of team leaders, improving communication and
involving team members in decision making. Originality/value Several studies
investigated relationships between teamwork skills and quality of care in many
countries. To the authors' knowledge, no local study investigated the
deficiencies of teamwork skills among Jordan caregivers and its impact on quality
of care. The study provides the ground for management at Jordan hospitals and to
healthcare academic departments to tailor training courses to improve teamwork
skills of caregivers. Data of this study are collected from the society who is
working in the field of healthcare. As the results of this are produced from a
real data, it is expected that applying the recommendations will impact the
society positively by enhancing the patients' satisfaction.
PMID- 29790446
TI - Ninety to Nothing: a PDSA quality improvement project.
AB - Purpose The purpose of this paper is to present a case study of a successful
quality improvement project in an acute care hospital focused on reducing the
time of the total patient visit in the emergency department.
Design/methodology/approach A multidisciplinary quality improvement team, using
the PDSA (Plan, Do, Study, Act) Cycle, analyzed the emergency department care
delivery process and sequentially made process improvements that contributed to
project success. Findings The average turnaround time goal of 90 minutes or less
per visit was achieved in four months, and the organization enjoyed significant
collateral benefits both internal to the organization and for its customers.
Practical implications This successful PDSA process can be duplicated by
healthcare organizations of all sizes seeking to improve a process related to
timely, high-quality patient care delivery. Originality/value Extended wait time
in hospital emergency departments is a universal problem in the USA that reduces
the quality of the customer experience and that delays necessary patient care.
This case study demonstrates that a structured quality improvement process
implemented by a multidisciplinary team with the authority to make necessary
process changes can successfully redefine the norm.
PMID- 29790447
TI - Data-driven process to improve VA surgical flow.
AB - Purpose During years 2014-2016, Veterans Health Administration National Surgery
Office conducted a surgical flow improvement initiative (SFII) to assist low
performing surgery programs to improve their operating room efficiency (ORE). The
initiative was co-sponsored by VHA National Surgery Office and VHA Office of
Systems Redesign and Improvement. The paper aims to discuss this issue.
Design/methodology/approach An SFII algorithm, based on first-time-start (FTS),
cancellation rate (CR), lag time (LT) and OR utilization, assigned an ORE
performance Level (1-low to 4-high) to each VA Medical Center (VAMC). In total,
15 VAMCs with low-performance surgery programs participated in SFII to assess the
current state of their surgical flow processes and used redesign methods to focus
on improvement objectives. Findings At the end of the project, 14 VSAs, 40 RPIWs,
45 "90-day projects" and 73 Just-Do-It's were completed with 65 percent (158/243)
improvement actions and 86 percent sites improving/sustaining all four ORE
metrics. There was a statistically significant difference in improvement across
the three stages (baseline, improvement, sustain) for FTS (45.6-68.7 percent;
F=44.74; p<0.000); CR (16.1-9.5 percent; F=34.46; p<0.000); LT (63.1-36.3
percent; F=92.00; p<0.000); OR utilization (43.4-57.7 percent; F=6.92; p<0.001)
and VAMC level (1.7-3.65; F=80.11; p<0.000). The majority developed "fair to
excellent" sustainment (91 percent) and spread (82 percent) plans. The projected
annual estimated return-on-investment was $27,949,966. Originality/value The SFII
successfully leveraged a small number of faculty, coaches, and industrial
engineers to produce significant improvement in ORE across a large national
integrated health care network. This strategy can serve healthcare leaders in
managing complex healthcare issues in their facilities.
PMID- 29790448
TI - Enhancing outpatient appointment scheduling system performance when patient no
show percent and lateness rates are high.
AB - Purpose High lateness and no-show percentages pose great challenges on the
patient scheduling process. Usually this is addressed by optimizing the time
between patients in the scheduling process and the percent of extra patients
scheduled to account for absent patients. However, since the patient no-show and
lateness is highly stochastic we might end up with many patients showing up on
time which leads to crowded clinics and high waiting times. The clinic might end
up as well with low utilization of the doctor time. The purpose of this paper is
to study the effect of scheduled overload percentages and the patient interval on
the waiting time, overtime, and the utilization. Design/methodology/approach
Actual data collection and statistical modeling are used to model the
distribution for common dentist procedures. Simulation and validation are used to
model the treatment process. Then algorithm development is used to model and
generate the patient arrival process. The simulation is run for various values of
basic interval scheduled time between arrivals for the patients. Further, 3D
graphical illustration for the objectives is prepared for the analysis. Findings
This work initially reports on the statistical distribution for the common
procedures in dentist clinics. This can be used for developing a scheduling
system and for validating the scheduling algorithms developed. This work also
suggest a model for generating patient arrivals in simulation. It was found that
the overtime increases excessively when coupling both high basic interval and
high overloading percentage. It was also found that: to obtain low overtime we
must reduce the basic interval. Waiting time increases when reducing the basic
scheduled appointment interval and increase the scheduled overload percentage.
Also doctors' utilization is increased when the basic interval is reduced.
Research limitations/implications This work was done at a local clinic and this
might limit the value of the modeled procedure times. Practical implications This
work presents a statistical model for the various procedures and a detailed
technique to model the operations of the clinics and the patient arrival time
which might assist researches and developers in developing their own model. This
work presents a procedure for troubleshooting scheduling problems in outpatient
clinics. For example, a clinic suffering from high patient waiting time is
directly instructed to slightly increase their basic scheduled interval between
patients or slightly reduce the overloading percentage. Social implications This
work is targeting an extremely important constituent of the health-care system
which is the outpatient clinics. It is also targeting multiple objectives namely
waiting times, utilization overtime, which in turn is related to the economics
and doctor utilization. Originality/value This work presents a detailed modeling
procedure for the outpatient clinics under high lateness and no-show and
addresses the modeling procedure for the patient arrivals. This 3D graphical
charting for the objectives includes a study of the multiple objectives that are
of high concern to outpatient clinic scheduling interested parties in one paper.
PMID- 29790449
TI - Pathology of coccidioidomycosis in llamas and alpacas.
AB - Coccidioidomycosis is a fungal disease caused by either Coccidioides immitis or
Coccidioides posadasii. Anecdotal evidence suggests that camelids are
particularly susceptible to this disease and that a relatively large percentage
of pneumonias in these animals are caused by Coccidioides spp. In a search of 21
y (1992-2013) of records from the California Animal Health and Food Safety
Laboratory, we found 79 cases of coccidioidomycosis diagnosed in camelids; 66
(84%) had pneumonia and 13 (16%) had lesions only in organs other than the lungs.
The organs most frequently affected were lung (84%) and liver (78%). Coccidioides
spp. were the cause of pneumonia in 66 of 362 (18%) camelid cases during the
study period. The lesions in affected organs were multifocal-to-coalescing
pyogranulomas, which in most cases were visible grossly. Ten of the 12 formalin
fixed, paraffin-embedded lung samples tested by a universal Coccidioides spp. PCR
assay were positive (4 C. immitis, 2 C. posadasii); the species could not be
determined in 4 of the 10 cases positive by PCR. Coccidioidomycosis is an
important cause of pneumonia in camelids in California, and can be caused by
either C. immitis or C. posadasii.
PMID- 29790450
TI - Opisthotonos and unilateral internal hydrocephalus associated with aberrant
migration of Serratospiculum sp. or Serratospiculoides sp. in a prairie falcon.
AB - A juvenile, wild-caught prairie falcon ( Falco mexicanus) kept for falconry was
presented to a veterinary hospital for intermittent opisthotonos and torticollis.
Clinical examination, complete blood count, serum biochemistry panel, and fecal
analysis were unremarkable. Clinical signs did not resolve, and the bird was
euthanized 6 mo after the appearance of clinical signs. Autopsy revealed a mild,
unilateral hydrocephalus and nematodes within the thoracic air sac.
Histopathology demonstrated mild, unilateral hydrocephalus; scattered glial
nodules; meningeal nematode sections; and meningeal and intraventricular
embryonated eggs. Morphology and molecular characterization were consistent with
the air sac nematode Serratospiculum or Serratospiculoides spp. Air sac nematode
infection can be associated with air sacculitis or pneumonia in falcons. Aberrant
migration of air sac filariid nematodes Serratospiculum or Serratospiculoides
spp. into the nervous system resulting in clinical disease is rare, but should be
included in the differential diagnosis of neurologic diseases in falcons.
PMID- 29790451
TI - Genital mycoplasmas of healthy bitches.
AB - Little is known about the presence of mycoplasmas in the genital tracts of
domestic and stray bitches or in the vaginas of ovariohysterectomized (OHE)
bitches. Moreover, to our knowledge, there has been no research to investigate
the presence of canine vaginal mycoplasmas during the different stages of the
reproductive cycle. We investigated the occurrence of mycoplasmas in the vaginas
of healthy domestic and stray intact bitches, to correlate their presence with
specific stages of the reproductive cycle, and to compare them with those in OHE
bitches. We also investigated the presence of uterine mycoplasmas. Mycoplasmas
were isolated from 41 of 122 vaginal swabs (34%) from domestic (27%) and stray
(39%) bitches. Mycoplasma canis was the most commonly identified species ( n =
26; 63%), and was detected in both intact (60%) and OHE (73%) bitches. Mycoplasma
isolates from the vaginas of healthy bitches did not vary during the various
stages of the estrous cycle. Mycoplasmas were not detected in uterine samples.
PMID- 29790452
TI - Does biological sex impact intestinal epithelial injury, small intestine
permeability, gastrointestinal symptoms and systemic cytokine profile in response
to exertional-heat stress?
AB - This study aimed to determine the influence of biological sex on intestinal
injury, permeability, gastrointestinal symptoms, and systemic cytokine profile in
response to exertional-heat stress. Male (n= 13) and eumenorrheic female (n= 11)
endurance runners completed 2 h running at 60% VO2max in 35 degrees C. Blood
samples were collected pre- and post-exercise and during recovery to determine
plasma intestinal fatty-acid binding protein (I-FABP) and systemic cytokine
profile. Urinary lactulose:L-rhamnose ratio was used to determine small intestine
permeability. I-FABP increased 479% pre- to post-exercise (p< 0.001), with no
difference between sexes (p= 0.432). No differences between sexes were observed
for small intestine permeability (p= 0.808), gut discomfort, total, upper- and
lower-gastrointestinal symptoms. However, males reported significantly higher
flatulence (p= 0.049) and abdominal stitch (p= 0.025) compared to females. IL-6,
IL-8, IL-10 and IL-1ra increased pre- to post-exercise (p< 0.05), with no
difference between sexes. However, IL-1beta increased post-exercise in males
only, and was higher in males compared to females (p= 0.044). Findings suggest
that when females are in the follicular phase of the menstrual cycle, biological
sex has no effect on intestinal epithelial injury and permeability, and minimal
effect on gastrointestinal symptoms and systemic cytokine profile in response to
exertional-heat stress.
PMID- 29790454
TI - XPO5 genetic polymorphisms in cancer risk and prognosis.
AB - miRNAs are small noncoding RNA molecules that have a very important role in gene
expression regulation and, therefore, in cell homeostasis. SNPs in certain miRNA
related genes have been shown to influence cancer risk and prognosis. miRNA
cellular processing is complex and involves multiple proteins. XPO5 is a key
factor in this process as it is responsible for the nuclear export of the
precursor pre-miRNA to the cytoplasm, where it will be further processed to its
final miRNA conformation in order to be loaded to RNA inducing silencing complex
to exert its regulatory effect. SNPs in miRNA machinery related genes have
previously been shown to influence carcinogenesis, but the role of XPO5 SNPs in
its expression and function is not yet fully understood. In our review, we
elaborate comprehensively on the role of XPO5 and how polymorphisms have been
shown to influence cancer risk and prognosis to date.
PMID- 29790453
TI - Thyroid Hypoplasia in Congenital Hypothyroidism Associated with Thyroid
Peroxidase Mutations.
AB - BACKGROUND: Primary congenital hypothyroidism (CH) affects about 1:3000 newborns
worldwide and is mainly caused by defects in thyroid gland development (thyroid
dysgenesis [TD]) or hormone synthesis. A genetic cause is identified in <10% of
TD patients. The aim was to identify novel candidate genes in patients with TD
using next-generation sequencing tools. PATIENT FINDINGS: Whole exome sequencing
was used to study two families: a consanguineous Tunisian family (one child with
severe thyroid hypoplasia) and a French family (two newborn siblings, with a
thyroid in situ that was not enlarged on ultrasound at diagnosis). Variants in
candidate genes were filtered according to type of variation, frequency in public
and in-house databases, in silico prediction tools, and inheritance mode.
Unexpectedly, three different variants of the thyroid peroxidase (TPO) gene were
identified. A homozygous missense mutation (c.875C>T, p.S292F) was found in the
Tunisian patient with severe thyroid hypoplasia. The two French siblings were
compound heterozygotes (c.387delC/c.2578G>A, p.N129Kfs*80/p.G860R) for TPO
mutations. All three mutations have been previously described in patients with
goitrous CH. In these patients, treatment was initiated immediately after
diagnosis, and the effect, if any, of thyrotropin stimulation of these thyroids
remains unclear. CONCLUSIONS: The first cases are reported of thyroid hypoplasia
at diagnosis during the neonatal period in patients with CH and TPO mutations.
These cases highlight the importance of screening for TPO mutations not only in
goitrous CH, but also in normal or small-size thyroids, and they broaden the
clinical spectrum of described phenotypes.
PMID- 29790455
TI - Successful Management of De Novo Acute Hepatitis B Virus Infection With Entecavir
in a Living-Donor Liver Transplant Patient.
AB - The risk of de novo hepatitis B virus infection is lower after liver transplant
using hepatitis B core antibody-negative donors into negative recipients versus
hepatitis B core antibody-positive donors but can occur. Here, we present a 34
year-old male patient with acute de novo hepatitis B virus that developed 7
months after successful liver transplant. The case we report here is the first in
the literature with regard to both switch from tenofovir to entecavir treatment
and the presentation of de novo acute hepatitis B virus after liver transplant.
The switch in treatment protocol resulted in significant improvements in
serologic and biochemical levels, and the patient was discharged from the
hospital on day 35 after admittance.
PMID- 29790456
TI - Evaluation of Neuroimaging Findings of Central Nervous System Complications in
Heart Transplant Recipients.
AB - OBJECTIVES: In this study, we presented neuroradiologic findings and diagnoses of
neurologic complications in a series of heart transplant recipients. MATERIALS
AND METHODS: A retrospective review was conducted at Baskent University Hospital.
We searched the hospital and radiology databases and identified 109 heart
transplant recipients. Thirty-one of these recipients had neuroradiologic
evaluations secondary to presentation of neurologic symptoms after heart
transplant, with 18 patients evaluated with computed tomography and 22 patients
evaluated with magnetic resonance imaging (overlap of imaging-defined groups
occurred in 9 recipients). Computed tomography and magnetic resonance imaging
studies were retrieved from the Picture Archiving and Communication System, with
each type of imaging retrospectively evaluated on consensus by 2 radiologists.
RESULTS: Radiopathologic findings related to symptoms were detected in 12 of the
31 study patients. The most common abnormality was posterior reversible
leukoencephalopathy syndrome (5 patients, 4.6%). The other abnormalities were
ischemic stroke (3 patients, 2.8%), hemorrhagic stroke (1 patient, 0.9%),
intracranial abscess (2 patients, 1.8%), and intracranial dissemination of
sinusoidal fungal infection and related hemorrhagic infarct (1 patient, 0.9%).
The other 19 heart transplant recipients who underwent computed tomography and/or
magnetic resonance imaging for neurologic complaints showed no neuroradiologic
findings related to neurologic symptoms. CONCLUSIONS: Posterior reversible
leukoencephalopathy syndrome and ischemic stroke were the most common neurologic
complications in our heart transplant recipients. The other complications were
hemorrhagic stroke, intracranial abscess, and intracranial dissemination of
sinusoidal fungal infection. Neurologic complications are common in heart
transplant recipients and should be identified promptly for early treatment. For
the recognition of these complications, computed tomography should be performed
for initial evaluation to rule out edema or hemorrhage. However, in the presence
of serious neurologic symptoms that cannot be explained by computed tomography,
magnetic resonance imaging should be indicated.
PMID- 29790457
TI - Comparison of Different Conditioning Regimens of Haploidentical Hematopoietic
Stem Cell Transplant in Patients With Acute Myeloid Leukemia.
AB - OBJECTIVES: We evaluated the safety and efficacy of 2 conditioning regimens
(busulfan/fludarabine vs modified busulfan/cyclophosphamide) in patients with
acute myeloid leukemia undergoing haploidentical hematopoietic stem cell
transplant. MATERAILS AND METHODS: Twenty patients with primary acute myeloid
leukemia had been randomized into busulfan/fludarabine and modified
busulfan/cyclophosphamide groups. We retrospectively compared hematopoietic
engraftment, regimen-related toxicity, graft-versus-host disease, transplant
related mortality, leukemia-free survival, and overall survival between the
groups. RESULTS: All patients achieved engraftment with 100% donor chimerism. The
median times for the neutrophil and platelet engraftment in the
busulfan/fludarabine and modified busulfan/cyclophosphamide groups were 14.1
versus 14.3 days and 12.7 versus 12.2 days, respectively. Significantly lower
incidences of pretreatment toxicity, blood transfusion, and virus activation were
observed in the busulfan/fludarabine group. Acute grade 1 graft-versus-host
disease developed in all patients, which was successfully controlled with
methylprednisolone. There were no significant differences in engraftment, graft
versus-host disease, leukemia-free survival, and overall survival between groups.
Both of these conditioning regimens achieved stable engraftment. Regimen-related
toxicity in the busulfan/fludarabine group was well tolerated compared with that
in the modified busulfan/cyclophosphamide group, without an increase in relapse
rate. CONCLUSIONS: Our results demonstrated that myeloablative
busulfan/fludarabine might be a highly effective and low-toxicity alternative for
patients with acute myeloid leukemia.
PMID- 29790458
TI - Relation of Preoperative and Postoperative Echocardiographic Parameters With
Rejection and Mortality in Liver Transplant Patients.
AB - OBJECTIVES: Survival in liver transplant after end-stage liver disease is
associated with major cardiac functions. In a significant number of patients with
end-stage liver disease, cardiac dysfunctions may be observed, which can include
high-output heart failure, cardiac valve disease, and pulmonary venous and
arterial hypertension. All of these affect perioperative survival. The aim of our
study was to determine whether preoperative and postoperative echocardiographic
parameters, specifically right heart-related tricuspid regurgitation, estimated
systolic pulmonary arterial pressure, and tricuspid annular plane systolic
excursion, are associated with rejection and mortality in liver transplant
patients. MATERIALS AND METHODS: Adult patients (> 18 years old) who underwent
liver transplant at our center between January 2011 and March 2017 were included
in the study, with 64 patients retrospectively screened. The echocardiographic
images that were taken immediately before and immediately after liver transplant
were evaluated. The patients were divided into 2 groups according to rejection
data and mortality. All parameters were analyzed for both variables. RESULTS: For
the 24 patients with liver rejection and 40 patients without liver rejection,
there were no statistically significant differences in terms of demographic data,
echocardiographic parameters, and laboratory data. However, when patients were
evaluated according to survival, there was a statistically significant difference
between these 2 groups concerning the echocardiography parameters of systolic
pulmonary arterial pressure (P = .005), tricuspid annular plane systolic
excursion (P = .001), and postoperative right ventricular width (P = .01).
CONCLUSIONS: Echocardiography, being a simple and easily accessible technique
that is reliable in excluding pulmonary hypertension diagnosis, can be used as a
guide in the evaluation of right ventricular function and tricuspid
regurgitation, particularly in patients who are not hemodynamically stable before
and after liver transplant.
PMID- 29790459
TI - Problems With Unrelated Donors For Stem Cell Transplant and Proposed Solutions: A
Single-Center Experience.
PMID- 29790460
TI - Establishment of the European meningococcal strain collection genome library
(EMSC-GL) for the 2011 to 2012 epidemiological year.
AB - Invasive meningococcal disease surveillance in Europe combines isolate
characterisation and epidemiological data to support public health intervention.
A representative European Meningococcal Strain Collection (EMSC) of IMD isolates
was obtained, and whole genome sequenced to characterise 799 EMSC isolates from
the epidemiological year July 2011-June 2012. To establish a genome library (GL),
the isolate information was deposited in the pubMLST.org/neisseria database.
Genomes were curated and annotated at 2,429 meningococcal loci, including those
defining clonal complex, capsule, antigens, and antimicrobial resistance. Most
genomes contained genes encoding B (n = 525; 65.7%) or C (n = 163; 20.4%)
capsules; isolates were genetically highly diverse, with >20 genomic lineages,
five of which comprising 60.7% (n = 485) of isolates. There were >350 antigenic
fine-types: 307 were present once, the most frequent (P1.7-2,4:F5-1) comprised 8%
(n = 64) of isolates. Each genome was characterised for Bexsero Antigen Sequence
Typing (BAST): 25.5% (n = 204) of isolates contained alleles encoding the fHbp
and/or the PorA VR1 vaccine component, but most genomes (n = 513; 64.2%) did not
contain the NadA component. EMSC-GL will support an integrated surveillance of
disease-associated genotypes in Europe, enabling the monitoring of hyperinvasive
lineages, outbreak identification, and supporting vaccine programme
implementation.
PMID- 29790461
TI - Measles outbreak in a tertiary level hospital, Porto, Portugal, 2018: challenges
in the post-elimination era.
AB - A measles outbreak has been occurring in a healthcare setting in Porto, Portugal,
since early March 2018, posing public health challenges for a central hospital
and the community. Up to 22 April, 96 cases were confirmed, 67 in vaccinated
healthcare workers, mostly between 18-39 years old. Following identification of
the first cases, control measures were rapidly implemented. Concomitantly, other
measles cases were notified in the Northern Region of the country. No common
epidemiological link was identified.
PMID- 29790463
TI - Predatory Publishing: An Industry that Is Threatening Science.
PMID- 29790462
TI - Negligible import of enteric pathogens by newly-arrived asylum seekers and no
impact on incidence of notified Salmonella and Shigella infections and outbreaks
in Rhineland-Palatinate, Germany, January 2015 to May 2016.
AB - IntroductionThe 2015 refugee crisis raised concerns about an import of infectious
diseases affecting the German population. Aims: To evaluate public and individual
health benefits of stool screening, and explore whether importation of enteric
pathogens by newly-arrived asylum seekers impacts on the host population.
Methods: We used data from mandatory stool screening to determine the overall,
age, sex, and country-specific prevalence of enteric bacteria and helminths. We
used surveillance data to assess whether the number of incoming asylum seekers
influenced notifications of salmonellosis and shigellosis in Rhineland
Palatinate. Results: Salmonella were found in 0.2% (95% confidence interval (CI)
0.2-0.3%) of 23,410 samples collected from January 2015 to May 2016. Prevalence
was highest in children under 5 years (0.8%; 95% CI: 0.5-1.3%). No Shigella or
invasive Salmonella spp. were detected. In a subset of 14,511 samples, the
prevalence of helminth infestation was 2.4% (95% CI: 2.1-2.6%), with highest
proportions detected in adolescents (4.6%; 95% CI 3.8-5.4%) and among Eritreans
(9.3%; 95% CI: 7.0-12.0%); in the latter particularly Schistosoma mansoni and
Taenia spp. The increase in asylum applications did not increase notifications of
salmonellosis and shigellosis. No transmission from asylum seekers to German
residents was notified. Conclusion: Public health risk associated with imported
enteric pathogens is very low overall. Addressing individual and public health
risks, we recommend replacing stool screening of all newly-arrived asylum seekers
by a targeted approach, with target groups and approaches being adapted if
necessary. Target groups supported by our data are children, adolescents, and
Eritreans.
PMID- 29790464
TI - [Palliative Care in the United Kingdom: My Experience in Manchester].
PMID- 29790465
TI - Multiple Victims of Carbon Monoxide Poisoning in the Aftermath of a Wildfire: A
Case Series.
AB - INTRODUCTION: Carbon monoxide poisoning may occur in several contexts. MATERIAL
AND METHODS: Retrospective of 37 carbon monoxide poisoning cases that underwent
hyperbaric oxygen during wildfires in Funchal in August 2016. RESULTS: The
studied sample included 37 patients, mean age of 38 years, 78% males. Ten were
firefighters, four children and two pregnant victims. Neurological symptoms were
the most reported. Median carboxyhemoglobin level was 3.7% (IQR 2.7). All
received high-flow oxygen from admission to delivery of hyperbaric oxygen.
Persistence of symptoms was the main indication for hyperbaric oxygen. Median
time to hyperbaric oxygen was 4.8 hours (IQR 9.5), at 2.5 ATA for 90 minutes,
without major complications. Discharge in less than 24 hours occurred in 92% of
the cases. Thirty days follow-up: five patients presented clinical symptoms of
late neurological syndrome; twelve patients were lost to follow-up.
Carboxyhemoglobin levels on admission and mean time to hyperbaric oxygen were no
different between those who did and did not develop the syndrome at 30 days (p =
0.44 and p = 0.58, respectively). DISCUSSION: Late neurological syndrome at 30
days occurred in 20% and no new cases were reported at 12 months. CONCLUSION: Use
of hyperbaric oxygen appears to have reduced the incidence of the syndrome. This
seems to be the first Portuguese series reporting use of hyperbaric oxygen in
carbon monoxide poisoning due to wildfires. The authors intend to alert to the
importance of referral of these patients because the indications and benefits of
this treatment are well documented. This is especially important given the ever
growing issue of wildfires in Portugal.
PMID- 29790466
TI - Association of Anger Expression-Out with NK Cell Counts in Colorectal Cancer
Patients.
AB - INTRODUCTION: There is growing evidence describing the relation between
psychological factors and the progression of colorectal cancer. Several
mechanisms have been proposed but the one showing more promising evidence relies
on the modulation of the antitumoral immune response by psychological factors,
particularly through natural killer cells. We aimed to study the relation between
natural killer cell count and anxiety, depression and anger state, trait and
expression in 54 pre-surgical colorectal cancer patients. MATERIAL AND METHODS:
We measured peripheral blood natural killer cell count and applied the State
Trait Anger Expression Inventory and the Hospital Anxiety and Depression Scale to
54 pre-surgical colorectal cancer patients. We used the Mann-Whitney U test and
the Kruskal-Wallis test when appropriate to compare independent groups. RESULTS:
Patients with higher Anger Expression-Out had lower natural killer cell numbers
than patients with lower Anger Expression-Out (p value = 0.008). No relation was
found between natural killer cell levels and Anger State, Anger Trait, or Anger
Expression-In. No difference in natural killer cell count was found between
patients with and without clinical anxiety or depression. DISCUSSION: These
results suggest that, in colorectal cancer patients, natural killer cell counts
are influenced by Anger Expression-Out, but not by clinical anxiety or
depression. CONCLUSION: The unregulated emotional expression might be a
conditioning factor of innate immunity. Additional studies are needed to further
investigate this relation and to ascertain the clinical impact of therapeutic
interventions regarding emotional regulation on the anti-tumoral immune response.
PMID- 29790467
TI - Prevalence of Abdominal Obesity and Excess Weight among Portuguese Children and
Why Abdominal Obesity Should Be Included in Clinical Practice.
AB - INTRODUCTION: Central adiposity in children has increased to a higher degree than
general adiposity however it is not a routine measurement in clinical practice.
We aimed to estimate the prevalence of overweight, obesity, and abdominal fat
distribution and observe the prevalence of abdominal obesity among non-obese 6-10
year-old children. MATERIAL AND METHODS: Weight, height, and waist circumference
were measured in a sample of 793 children (408 girls). International Obesity Task
Force cut-offs were used to define overweight and obesity. Abdominal obesity was
defined as waist-to-height ratio >= 0.50. Chi-square tests were used to observe
the prevalence of the obesity indicators among boys and girls, and the relation
between International Obesity Task Force cut-offs and abdominal obesity. RESULTS:
The prevalence of overweight, including obesity among children was 21.9% (18.9 -
25.0), 6.1% (4.2 - 8.0) were obese and 21.9% (18.6 - 25.0) had a waist-to-height
ratio >= 0.50. Girls had significantly higher prevalence of overweight, including
obesity compared to boys (chi2 = 4.59, p = 0.03), but no differences were found
for abdominal obesity according to children's gender (chi2 = 3.32, p = 0.07). A
proportion of normal (8.2%; 5.9 - 10.6) and overweight children (59.5%; 50.9 -
69.0) were abdominally obese. DISCUSSION: The prevalence of general and abdominal
obesity in children living in central Portugal is of concern. Many children with
abdominal obesity would not be considered obese with the International Obesity
Task Force cut-off points. CONCLUSION: A high proportion of abdominal obesity was
observed in children with normal weight or overweight, suggesting that waist-to
height ratio should be included in routine clinical practice and might be
particularly useful to assess the health status of the child.
PMID- 29790468
TI - [Polysaccharide of Escherichia coli in the Prevention of Recurrent Urinary Tract
Infection: An Evidence-Based Review].
AB - INTRODUCTION: Recurrent urinary tract infection is frequent and it is related to
morbidity, costs and growing antibiotic resistance. OM 8930 vaccine is composed
by Escherichia coli polysaccharide and it is a possible prophylactic measure, but
there is doubt as to its effectiveness. MATERIAL AND METHODS: We performed a
systematic review in evidence-based medicine databases with rigorous bibliography
selection. RESULTS: We found an effective decrease of recurrences in test groups.
DISCUSSION: We recommend the administration of the vaccine as prophylaxis of
recurrent cystitis. CONCLUSION: The vaccine has impact on the recurrent cystitis
relapse rate. We suggest that more studies be carried out to evaluate the vaccine
cost-benefit and its effectiveness in complicated urinary infections.
PMID- 29790469
TI - Intraventricular Ganglioglioma Presenting with Spontaneous Hemorrhage.
AB - Intraventricular gangliogliomas presenting with spontaneous hemorrhage are rare.
Due to high density of important tracts lateral to the ventricular atrium, the
intraparietal trans sulcal approach is a good option to remove lesions in this
location. These tracts are displaced and sometimes destroyed by the presence of
large masses. A 33-year-old male presented with a sudden headache and a
generalized seizure. He had a left visual field hemianopia and left visual field
neglect. Brain computer tomography and magnetic resonance imaging revealed a
hemorrhagic tumor located in his right atrium. With the help of tractography an
optimal corridor to the tumor through the intraparietal sulcus was planned. Gross
total removal of a ganglioglioma was possible with recovery of visual impairment
and control of epilepsy. The efficacy in using tractography as a planning tool
for safe tumor removal is demonstrated with clinical, imagiological and
histological data, and a surgical video.
PMID- 29790470
TI - [Hidradenocarcinoma of the Scrotum with Lymph Node Metastasis].
AB - Hidradenocarcinoma is a rare neoplasm of the eccrine cells of the sweat glands,
usually asymptomatic with slow growing and higher incidence between 50 and 80
years, occurring in both sexes and preferentially located in the palmar, plantar,
frontal, axillary and nuchal regions. It has an aggressive behavior, with high
rate of local recurrence and distance metastasis, associated with a poor
prognosis. We present a case of hidradenocarcinoma of the scrotum manifested by
lymph node metastasis through an exercise of clinical and histological
differential diagnosis of an inguinal adenopathy in a young adult.
PMID- 29790471
TI - Multiple Familial Trichoepithelioma.
PMID- 29790472
TI - [Letter to the Editor: Happy Birthday Acta Medica Portuguesa! Almost 40 Years of
Indexation at PubMed... (But When Will We Have a Portuguese Journal of Psychiatry
Indexed at PubMed?)].
PMID- 29790473
TI - Letter to the Editor: "Limited Health Literacy in Portugal Assessed with the
Newest Vital Sign" by Dagmara Paiva and Colleagues. Acta Med Port.
2017;30(12):861-869.
PMID- 29790474
TI - Reply to the Letter to the Editor: "Limited Health Literacy in Portugal Assessed
with the Newest Vital Sign".
PMID- 29790475
TI - Letter to the Editor - Predatory Journals: Bad for All But Especially Authors
from Low and Middle Income Countries.
PMID- 29790476
TI - [Erratum to "Translation and Validation of the FOUR Scale for Children and its
Use as Outcome Predictor: A Pilot Study"].
AB - The article "Translation and Validation of the FOUR Scale for Children and its
Use as Outcome Predictor: A Pilot Study", published by Acta Medica Portuguesa on
September 2017 [Acta Med Port 2017 Sep;30(9):599-607] exhibited the mistake
detailed here below: On page 600, paragrapah 4, where it reads: "(...) only the
last two are assessed by the GCS and depth of coma may not be accurately
detected.4" It should read: "(...) GCS does not include important indicators for
an adequate evaluation of coma severity, that is, it only evaluates the cortical
function, not including brainstem function evaluation parameters such as
respiratory pattern, pupil size and reflexes, nor eye movements; as such it may
not detect the coma depth properly.4" Article published with the error:
https://www.actamedicaportuguesa.com/revista/index.php/amp/article/view/8052.
PMID- 29790477
TI - Biolimus A9 polymer-free coated stents in high bleeding risk patients undergoing
complex PCI: evidence from the LEADERS FREE randomised clinical trial.
AB - AIMS: The LEADERS FREE trial has demonstrated that a polymer-free Biolimus A9
coated stent (BA9-DCS) is superior to a bare metal stent (BMS) for high bleeding
risk (HBR) patients when treated with one month of dual antiplatelet therapy
(DAPT). This analysis aimed to determine the impact of PCI procedure complexity
on the two-year results. METHODS AND RESULTS: Six hundred and sixty-seven (667)
patients enrolled in the LEADERS FREE (BA9-DCS 346, BMS 321) underwent a complex
PCI, defined by one or more of eight characteristics: total stent length >=60 mm,
>=3 vessels or lesions treated, >=3 stents implanted, bifurcation lesion treated
with >=2 stents, chronically occluded, restenotic or saphenous vein graft lesion.
Patients undergoing complex PCI were older, more often male, and presented with
more ACS, diabetes, renal insufficiency, anaemia and multivessel disease. They
derived major benefit from DCS over BMS for safety (16.2% vs. 21.7%, HR 0.70
[0.49-0.99], p<0.05) and for efficacy (10.8% vs. 18.1%, HR 0.54 [0.35-0.83],
p<0.005). For the 1,746 patients with non-complex PCI, DCS demonstrated superior
efficacy (5.3% vs. 9.9%, HR 0.52 [0.36-0.75], p<0.001, p for interaction NS) and
similar safety to BMS (11.1% vs. 12.6%, NS, p for interaction NS). CONCLUSIONS:
Compared to BMS, the BA9-DCS maintained both efficacy and safety benefits when
used in complex PCI procedures.
PMID- 29790478
TI - Validation of a novel non-hyperaemic index of coronary artery stenosis severity:
the Resting Full-cycle Ratio (VALIDATE RFR) study.
AB - AIMS: Randomised controlled trials have reported instantaneous wave-free ratio
(iFR) to be non-inferior to fractional flow reserve (FFR) for major adverse
cardiovascular events at one year; however, iFR is limited by sensitive
landmarking of the pressure waveform, and the assumption that maximal flow and
minimal resistance occur during a fixed period of diastole. We sought to validate
the resting full-cycle ratio (RFR), a novel non-hyperaemic index of coronary
stenosis severity based on unbiased identification of the lowest distal coronary
pressure to aortic pressure ratio (Pd/Pa), independent of the ECG, landmark
identification, and timing within the cardiac cycle. METHODS AND RESULTS:
VALIDATE-RFR was a retrospective study designed to derive and validate the RFR.
The primary endpoint was the agreement between RFR and iFR. RFR was
retrospectively determined in 651 waveforms in which iFR was measured using a
proprietary Philips/Volcano wire. RFR was highly correlated to iFR (R2=0.99,
p<0.001), with a mean bias of -0.002 (95% limits of agreement -0.023 to 0.020).
The diagnostic performance of RFR versus iFR was diagnostic accuracy 97.4%,
sensitivity 98.2%, specificity 96.9%, positive predictive value 94.5%, negative
predictive value 99.0%, area under the receiver operating characteristic curve of
0.996, and diagnostically equivalent within 1% (mean difference -0.002; 95% CI:
0.009 to 0.006, p=0.03). The RFR was detected outside diastole in 12.2%
(341/2,790) of all cardiac cycles and 32.4% (167/516) of cardiac cycles in the
right coronary artery where the sensitivity of iFR compared to FFR was lowest
(40.6%). CONCLUSIONS: RFR is diagnostically equivalent to iFR but unbiased in its
ability to detect the lowest Pd/Pa during the full cardiac cycle, potentially
unmasking physiologically significant coronary stenoses that would be missed by
assessment dedicated to specific segments of the cardiac cycle.
PMID- 29790479
TI - Long-term clinical outcomes after bioresorbable and permanent polymer drug
eluting stent implantation: final five-year results of the CENTURY II randomised
clinical trial.
AB - AIMS: The aim of this study was to establish the long-term safety and efficacy of
a sirolimus-eluting stent with bioresorbable polymer (BP-SES; Ultimaster) by
comparison with an everolimus-eluting stent with permanent polymer (PP-EES;
XIENCE). METHODS AND RESULTS: CENTURY II (Clinical Evaluation of New Terumo Drug
Eluting Coronary Stent System in the Treatment of Patients with Coronary Artery
Disease) is a large-scale, prospective, multicentre, randomised single-blind,
controlled, non-inferiority trial conducted at 58 study sites globally, including
Europe, Japan and Korea, powered to prove non-inferiority for freedom from target
lesion failure (TLF: cardiac death, target vessel-related myocardial infarction
[MI] and target lesion revascularisation) at nine months. Patients requiring a
percutaneous coronary intervention (PCI) were randomised (1:1) to BP-SES (n=551)
or PP-EES (n=550). Freedom from TLF at five years was 90.0% in the BP-SES and
91.1% in the PP-EES group (p=0.54). The patient-oriented composite endpoint (all
death, any MI, any revascularisation) was 24.1 and 25.6% (p=0.57) with BP-SES and
PP-EES, respectively. The very late stent thrombosis rate from one to five years
was especially low at 0.2% in both arms. CONCLUSIONS: This randomised clinical
trial showed that the BP-SES stent was non-inferior to the benchmark PP-EES stent
for TLF. Safety and efficacy measures were comparable up to five-year follow-up
after PCI.
PMID- 29790480
TI - Two-year clinical outcome of all-comers treated with three highly dissimilar
contemporary coronary drug-eluting stents in the randomised BIO-RESORT trial.
AB - AIMS: The aim of the study was to evaluate the two-year clinical outcome of all
comer trial participants who were treated with two very different thin-strut
biodegradable polymer versus thin-strut durable polymer drug-eluting stents
(DES). Prolonged clinical outcome after discontinuation of dual antiplatelet
therapy is of particular interest, given the highly dissimilar polymer types,
amount, distribution, and degradation speed of both biodegradable polymer DES.
METHODS AND RESULTS: The BIO-RESORT trial (NCT01674803) randomly assigned 3,514
patients to treatment with biodegradable polymer SYNERGY everolimus-eluting
stents (EES) or Orsiro sirolimus-eluting stents (SES), or durable polymer
Resolute Integrity zotarolimus-eluting stents (ZES). At two-year follow-up
(available in 98.8%), the rate of the primary composite endpoint target vessel
failure (TVF) was 8.3% in ZES versus 6.8% in EES (p=0.19) and 6.6% in SES
(p=0.12). Landmark analyses at one year revealed differences between SES and ZES
in the rates of target lesion revascularisation and target lesion failure (0.6%
vs. 1.5%, p=0.04, and 1.1% vs. 2.4%, p=0.02, respectively) as well as other
composite secondary endpoints that reached statistical significance. CONCLUSIONS:
At two-year follow-up, there was no significant between-DES difference in the
rates of the primary endpoint. Landmark analyses provided a signal that the use
of SES versus ZES might reduce the risk of repeat revascularisation after one
year follow-up.
PMID- 29790481
TI - Antiviral combination therapy for cytomegalovirus infection in high-risk infants.
AB - BACKGROUND: Cytomegalovirus (CMV) infection is a major risk factor for mortality
in infants with severe combined immunodeficiency (SCID) and other profound immune
defects. Specific antiviral therapy must be initiated early and aggressively
because of the potential for antiviral resistance, rapid dissemination and poor
transplant outcomes. Combination antiviral therapy is routinely administered for
some viral infections, but the value of this approach for the treatment of CMV is
unclear. Here we explore a strategy of initial combination therapy for high-risk
infants with CMV infection. METHODS: We reviewed medical records of infants <=6
months of age hospitalized between 2007-2015 who received ganciclovir (GCV) or
foscarnet (FOS) monotherapy or initial combination GCV + FOS for CMV disease. The
combination therapy group consisted of severely immunocompromised infants being
considered for haematopoietic cell transplantation (HCT). RESULTS: Four patients
received initial combination antiviral therapy and 26 patients received initial
monotherapy during the study period. Combination antiviral recipients
demonstrated initial improvement in viraemia and two of three who continued with
this therapy survived the infection. Clinically significant resistance mutations
did not emerge. Toxicity was common; neutropenia, thrombocytopenia and
electrolyte abnormalities were the most frequent adverse events in both groups.
Creatinine elevation was uncommon in both groups. CONCLUSIONS: Combination GCV +
FOS therapy may be a safe alternative to monotherapy in high-risk infants,
especially those who are pre-transplant with primary immune deficiency syndromes
and high viral loads.
PMID- 29790483
TI - The effect of changing one's country of residence on the decision to become an
organ donor: the experience of religious immigrant women living in Sweden.
AB - Aim To explore and elucidate women's knowledge of and willingness to take part in
organ donation, and to explore if their opinions were changed by coming to
Sweden. Methods The study was designed as a qualitative study using data from
interviews with women from Bosnia and Herzegovina, Macedonia, Croatia and Kosovo.
The inclusion criteria were women who were immigrants in Sweden and have lived in
Sweden for more than 10 years. Five groups including forty-five women were
invited to participate in the study and 39 agreed. The women were aged 29 to 73
years (mean 52.5 years). Results Regarding knowledge and information about organ
donation, most women found it very important to be able to talk about such
things. However, the knowledge and information about organ donation of almost all
the women was at a very low level. None of the women changed their opinion on the
organ donation and attitudes from their countries of origin. All women firmly
emphasized and explained that by coming to another state they do not become a
different person and retain all values they had and with which were born in home
country. Conclusion It is important to study how to find new ways to communicate
and work with minorities and vulnerable groups in order to discuss organ donation
with all those who could be potential donors in the Swedish health care system.
PMID- 29790482
TI - A study of enterocyte membranes during activation of apoptotic processes in
chronic carrageenan-induced gastroenterocolitis.
AB - Aim To investigate the lipid membranes of rat enterocytes in chronic carrageenan
induced gastroenterocolitis accompanied by the activation of apoptotic processes.
Methods Steady-state fluorescence spectroscopy: a study by fluorescent probes -
by ortho-hydroxy derivatives of 2,5-diaryl-1,3- oxazole. Activity of apoptosis
signal-regulating kinase 1 and poly (ADP-ribose) polymerase in small intestinal
homogenates, blood serum levels of matrix metalloproteinase-2 and caspase-3 and
the level of DNA fragmentation in small intestinal homogenates were determined.
Results Biochemical analysis revealed that an activation of apoptotic processes
occurred in the intestinal epithelium of rats during chronic carrageenan-induced
gastroenterocolitis. The fluorescence probes showed that activation of apoptotic
processes in carrageenan-induced gastroenterocolitis was accompanied by changes
in polar regions of rat enterocyte membranes, while no changes were revealed in
more hydrophobic regions of the membranes. Conclusion The increase in hydration
of membranes was attributed to the activation of the apoptosis of enterocytes. It
has been shown that a fluorescent probe (2-(2'-hydroxyphenyl)-5-phenyl-1,3
oxazole) can be used for the detection of apoptosis of enterocytes.
PMID- 29790484
TI - The predictive value of the clinical sign of limited hip abduction for
developmental dysplasia of the hip (DDH).
AB - Aim To assess the relationship between the clinical sign of limited hip abduction
and developmental dysplasia of the hip (DDH). Methods A research was conducted on
450 newborns at the Neonatal Unit at the Clinic of Gynaecology and Obstetrics and
the Orthopaedics and Traumatology Clinic of the University Clinical Centre,
Tuzla, between 30th August 2011 and 30th April 2012. Clinical (degree of hip
abduction) and ultrasound examination of all newborns' hips were performed using
the Graf method on their first day of life. Results Clinical sign of limited hip
abduction showed significant predictive value for DDH. There were 67 (14.7%)
newborns with the clinical sign of limited hip abduction, of which 26 (5.7%) were
on the left hip, 11 (2.4%) on the right hip and 30 (6.6%) on both hips. Limited
hip abduction had a positive predictive value (PPV) of 40.3% and a negative
predictive value (NPV) of 80.4% for DDH. Conclusion Limited hip abduction,
especially unilateral, is a useful and important clinical sign of DDH. Doctors,
who perform the first examination of the child after birth, would have to pay
attention to this clinical sign. Newborns with this clinical sign would have to
go to an ultrasound examination of the hips for further diagnosis.
PMID- 29790485
TI - Bibliometric Analysis of Tumor Immunotherapy Studies.
AB - BACKGROUND Cancer immunotherapy is the use of the immune system to treat cancer.
After years of research, there have been a significant number of publications in
this field. We analyzed the literature and performed a hotspot analysis to
identify important areas of future scientific research. MATERIAL AND METHODS
Articles (2945) related to cancer immunotherapy published in the past 3 years
were selected as the research sample. BICOMB software was then used to retrieve
the high-frequency words and construct a text/co-word matrix. Next, gCLUTO
software was used to analyze the matrix by double-clustering and visual analysis,
in a strategy of hotspot identification. RESULTS We constructed a text and co
word matrix composed of 40 high-frequency words and 2945 articles and generated a
hotspot "peak map" based on double-clustering analysis. The strategic coordinates
were set by use of a co-word matrix and clustering analysis. The distribution of
organs or disease and the subclass of cancer immunotherapy were analyzed.
CONCLUSIONS In this study, we classified the hot-spots of "tumor immunotherapy"
into 6 categories and 8 aspects. Calculation and analysis revealed that the field
of tumor immunotherapy shows a slight trend of polarization, and the immune
checkpoint inhibitor PD1 blocker shows the greatest potential for future
development.
PMID- 29790486
TI - [Simplicity or complexity of the radiopharmaceutical production process in the
light of optimization of radiation protection of staff - 99mTc vs. 18F].
AB - BACKGROUND: A radiopharmaceutical is a combination of a non-radioactive compound
with a radioactive isotope. Two isotopes: technetium-99m (99mTc) and fluorine-18
(18F) are worth mentioning on the rich list of isotopes which have found numerous
medical applications. Their similarity is limited only to the diagnostic area of
applicability. The type and the energy of emitted radiation, the half-life and,
in particular, the production method demonstrate their diversity. The 99mTc
isotope is produced by a short-lived nuclide generator - molybdenum-99
(99Mo)/99mTc, while 18F is resulting from nuclear reaction occurring in a
cyclotron. A relatively simple and easy handling of the 99Mo/99mTc generator,
compared to the necessary use a cyclotron, seems to favor the principle of
optimizing the radiological protection of personnel. The thesis on the effect of
automation of both the 18F isotope production and the deoxyglucose labelling
process on the optimization of radiological protection of workers compared to
manual procedures during handling of radiopharmaceuticals labelled with 99Tc need
to be verified. MATERIAL AND METHODS: Measurements of personal dose equivalent
Hp(0.07) were made in 5 nuclear medicine departments and 2 radiopharmaceuticals
production centers. High-sensitivity thermoluminescent detectors (LiF: Mg, Cu, P
MCP-N) were used to determine the doses. RESULTS: Among the activities performed
by employees of both 18F-fluorodeoxyglucose (18F-FDG) production centers and
nuclear medicine departments, the manual quality control procedures and labelling
of radiopharmaceuticals with 99mTc isotope manifest the greatest contribution to
the recorded Hp(0.07). CONCLUSIONS: The simplicity of obtaining the 99mTc isotope
as well as the complex, but fully automated production process of the 18F-FDG
radiopharmaceutical optimize the radiation protection of workers, excluding
manual procedures labelling with 99mTc or quality control of 18F-FDG. Med Pr
2018;69(3):317-327.
PMID- 29790488
TI - Monitoring after radiofrequency ablation of liver tumors: contrast-enhanced
ultrasound (CEUS) vs. contrast-enhanced computer tomography (CECT), two days
after procedure.
AB - AIM: Our research evaluated the ability of contrast-enhanced ultrasound (CEUS)
and contrast enhanced computer tomography (CECT), performed 48 h after
radiofrequency ablation (RFA), to detect residual tumor tissue. As recently
published studies have evaluated periprocedural CEUS performed within 24 h after
procedure and their results were not satisfactory, it seems that postponing the
control test by one day could increase the sensitivity of both methods. PATIENTS
AND METHODS: We evaluated 33 patients with 37 lesions, who met the criteria for
our study. The criteria were 1) the treated lesion is sonographically viewable
and 2) the patient underwent both CEUS and CECT control 48 h after treatment and
additional follow-up 3 months later by CECT. Presence of residual tumor tissue
and the size of necrosis were recorded and compared to the results of 3-months
CECT. RESULTS: Nine residues were present in our group. CEUS and CECT showed
similar sensitivity (66.7% and 77.8%, respectively) and identical specificity
(both 96.4%) for detection of residual tumor tissue. CEUS significantly
underestimated the size of necrosis in comparison to CECT (on average by 2.4 mm,
P=0.0005). Over the 3-months follow-up period the size of necrosis decreased in
all patients (on average by 7.2 mm, P<0.0001). CONCLUSION: The quality of tumor
residue detection in 48-h CEUS was comparable to that of 48-h CECT. This finding
suggests the CEUS being a feasible substitute for CECT. Both methods show
reasonable sensitivity; therefore this timing seems to be appropriate for the
first post-treatment control while also allowing for early retreatment if
residual tumor tissue is found.
PMID- 29790487
TI - [1,4-diaminobenzene and diphenylamine-induced severe methemoglobinaemia treated
by hyperbaric oxygen therapy - Case report].
AB - Methemoglobin is an oxidized form of hemoglobin that is not capable of carrying
oxygen. Exposure to exogenous oxidizing agents can cause severe methemoglobinemia
and subsequent hypoxia. This article presents the rare case of a 23-year old
patient with methemoglobinemia of 54.2% of total hemoglobin, induced by workplace
exposure to 1,4-diaminobenzene and diphenylamine. Following the hyperbaric oxygen
therapy the patient was discharged in good general condition and referred to a
district hospital for observation. Med Pr 2018;69(3):345-350.
PMID- 29790490
TI - A multi-walled carbon nanotube-based magnetic molecularly imprinted polymer as a
highly selective sorbent for ultrasonic-assisted dispersive solid-phase
microextraction of sotalol in biological fluids.
AB - A modified multiwalled carbon nanotube-based magnetic molecularly imprinted
polymer (MWCNT-MMIP) was synthesized and applied for selective extraction and
preconcentration of sotalol (SOT) in biological fluid samples by using ultrasonic
assisted dispersive solid-phase microextraction (UA-DSPME). The synthetic
particles were characterized by scanning electron microscopy (SEM), transmission
electron microscopy (TEM), Brunauer-Emmett-Teller (BET) analysis, vibrating
sample magnetometry (VSM) and Fourier transform infrared spectroscopy (FTIR). The
screening of UA-DSPME was preliminarily performed by Plackett-Burman design (PBD)
and, subsequently, central composite design (CCD) under response surface
methodology (RSM) was used individually for evaluation of the significant factors
and their possible interaction effects on the adsorption process. Batch mode
adsorption studies were performed to evaluate the adsorption kinetics, adsorption
isotherms, and selective recognition of MWCNT-MMIPs. The adsorption equilibrium
of SOT using MWCNT-MMIPs could be well-defined with the Langmuir isotherm model
and the maximum adsorption capacity was calculated to be 79.36 mg g-1. Under
optimized conditions, the SOT was selectively and effectively extracted in real
biological samples and good linearity was obtained with correlation coefficients
(R2) over 0.996 and the detection limit (S/N = 3) was 0.31 ng mL-1. The average
recoveries of the spiked human urine and plasma samples at four concentration
levels of SOT ranged from 94.60-102.50 and 97.40-101.60 percent, respectively,
and the relative standard deviation was found to be lower than 4.50%. The results
illustrated that the proposed MWCNT-MMIPs@UA-DSPME extraction method coupled with
HPLC-UV determination could be applied for sensitive and selective analysis of
trace SOT in biological fluid samples.
PMID- 29790489
TI - Inhibitory effect of hop fractions against Gram-positive multi-resistant
bacteria. A pilot study.
AB - AIM: Our research focused on the antimicrobial effects of purified hop (Humulus
lupulus L.) fractions including alpha-bitter acids (humulones), beta-bitter acids
(lupulones) and xanthohumol, and a commercial CO2 hop extract of bitter acids
against reference and multi-resistant strains of Gram-positive and Gram-negative
bacteria and against selected yeast strains. METHODS: In vitro testing of
antimicrobial activity was performed according to standard testing protocols
(EUCAST). The effects of hop extracts on bacterial/yeast strains at
concentrations below MICs were also determined and the antimicrobial potential of
hop extracts was compared with selected antibiotics using optical density
measurement. RESULTS: The fractions were effective not only against reference
strains of Gram-positive bacteria but, more importantly, against their
methicillin- and vancomycin-resistant variants. No antimicrobial effect was
detected against Gram-negative bacterial strains. Among the tested substances,
xanthohumol was identified as the hop fraction with the most potent antimicrobial
properties. It was also found that hop substances exerted their antimicrobial
effects at concentrations considerably lower than the determined MICs, with the
strongest effect in case of alpha-bitter acids in enterococci. CONCLUSION: The
search for and research of new compounds with antimicrobial properties represents
a possible solution to the current global problem of bacterial resistance. Our
data suggest a desirable activity of hop fractions against some multi-resistant
bacterial strains. Thus, hops might find use as a source of potential
antimicrobial agents applicable in both human and veterinary medicine.
PMID- 29790491
TI - Advancements in microfluidic technologies for isolation and early detection of
circulating cancer-related biomarkers.
AB - Early stage detection of cancer is essential for the improved long-term survival
of patients. Currently, costly, extensively complex and invasive procedures, such
as surgical tissue biopsies, are used for cancer screening. Thus, over the past
few decades, advancements in microfluidics and lab-on-a-chip approaches have been
made to develop minimally invasive and miniaturized platforms to identify and
segregate circulating cancer biomarkers such as exosomes, circulating tumor cells
(CTCs) and cell-free DNA (cfDNA) from body fluids. Our study presents a
comprehensive overview of all such microfluidics based approaches for point-of
care cancer diagnostics, which have proven to require significantly reduced
sample volumes with cost effective and minimally invasive criteria. We have also
discussed the need for integrated and more efficient devices to further advance
these technologies to be suitable for liquid biopsy in the clinical settings.
PMID- 29790492
TI - Cobalt complex catalyzed atom-economical synthesis of quinoxaline, quinoline and
2-alkylaminoquinoline derivatives.
AB - A new phosphine-free Co(ii) complex-catalyzed synthesis of various quinoxalines
via dehydrogenative coupling of vicinal diols with both o-phenylenediamines and 2
nitroanilines is reported. This complex was also effective for the synthesis of
quinolines. The practical aspect of this catalytic system was revealed by the one
pot synthesis of 2-alkylaminoquinolines.
PMID- 29790494
TI - Channel current analysis estimates the pore-formation and the penetration of
transmembrane peptides.
AB - We measured the current signal of the transmembrane model peptides using the
barrel-stave, toroidal pore, and penetration models in order to establish a
precise assignment of the channel signals. In addition, we analyzed the spike
signals to estimate the membrane penetration of model cell-penetration peptides
of different lengths.
PMID- 29790493
TI - Quantum dot conjugated nanobodies for multiplex imaging of protein dynamics at
synapses.
AB - Neurons communicate with each other through synapses, which show enrichment for
specialized receptors. Although many studies have explored spatial enrichment and
diffusion of these receptors in dissociated neurons using single particle
tracking, much less is known about their dynamic properties at synapses in
complex tissue like brain slices. Here we report the use of smaller and highly
specific quantum dots conjugated with a recombinant single domain antibody
fragment (VHH fragment) against green fluorescent protein to provide information
on diffusion of adhesion molecules at the growth cone and neurotransmitter
receptors at synapses. Our data reveals that QD-nanobodies can measure
neurotransmitter receptor dynamics at both excitatory and inhibitory synapses in
primary neuronal cultures as well as in ex vivo rat brain slices. We also
demonstrate that this approach can be applied to tagging multiple proteins to
simultaneously monitor their behavior. Thus, we provide a strategy for multiplex
imaging of tagged membrane proteins to study their clustering, diffusion and
transport both in vitro as well as in native tissue environments such as brain
slices.
PMID- 29790495
TI - Tunable laser interference lithography preparation of plasmonic nanoparticle
arrays tailored for SERS.
AB - The facile preparation of arrays of plasmonic nanoparticles over a square
centimeter surface area is reported. The developed method relies on tailored
laser interference lithography (LIL) that is combined with dry etching and it
offers means for the rapid fabrication of periodic arrays of metallic
nanostructures with well controlled morphology. Adjusting the parameters of the
LIL process allows for the preparation of arrays of nanoparticles with a diameter
below hundred nanometers independently of their lattice spacing. Gold
nanoparticle arrays were precisely engineered to support localized surface
plasmon resonance (LSPR) with different damping at desired wavelengths in the
visible and near infrared part of the spectrum. The applicability of these
substrates for surface enhanced Raman scattering is demonstrated where cost
effective, uniform and reproducible substrates are of paramount importance. The
role of deviations in the spectral position and the width of the LSPR band
affected by slight variations of plasmonic nanostructures is discussed.
PMID- 29790496
TI - Luminescence properties of mechanochemically synthesized lanthanide containing
MIL-78 MOFs.
AB - Three metal-organic framework (MOF) compounds, Ln0.5Gd0.5{C6H3(COO)3}; Ln = Eu,
Tb, and Dy with a MIL-78 structure, have been synthesized by a solvent-free
mechanochemical method from stoichiometric mixtures of benzene 1,3,5
tricarboxylic acid, C6H3(COOH)3, also known as trimesic acid, and the respective
lanthanide carbonates, Ln2(CO3)3.xH2O, Ln = Eu, Gd, Tb and Dy. MIL-78
(Ln0.5Gd0.5) shows the characteristic red, green, and yellow luminescence of
Eu3+, Tb3+, and Dy3+, respectively. Efficient intramolecular energy transfer from
the ligand triplet state to the excited states of Ln3+ ions can be observed. The
lifetimes and quantum yields of these compounds are studied and discussed in
detail. Among the three compounds, the Tb3+ containing compound shows the longest
lifetime and highest quantum yield due to a smaller contribution from non
radiative decay pathways and better matching of the lowest triplet energy level
of the benzenetricarboxylate ligand and the resonance level of Tb3+.
PMID- 29790497
TI - An enzymatic reaction mediated glucose sensor activated by MnO2 nanosheets acting
as an oxidant and catalyst.
AB - A self-regulated smart system would be highly desirable for analyte detection, in
which a specific environment for detection could be self-modulated and the
required reagents could also be in situ generated without further addition. Here,
we have designed an intelligent glucose detection system composed of glucose,
glucose oxidase (GOx), MnO2 and 3,3',5,5'-tetramethylbenzidine (TMB), based on
the enzymatic oxidation of glucose and dual roles of synthesized MnO2 nanosheets
acting as both an oxidant and catalyst. Upon the addition of glucose/GOx, the
MnO2 nanosheets partially decompose due to H2O2in situ generated via glucose
oxidation. Following the addition of TMB, a typical color reaction occurs under
slightly acidic conditions, thereby enabling the colorimetric determination of
glucose. For this system, the specific conditions and the required reagents for
glucose detection can be self-modulated and self-generated via the enzymatic
oxidation of glucose to gluconic acid and H2O2, performing smart self-regulated
functions. This is an outstanding advantage of our designed glucose sensing
system. Moreover, the present sensing system responds to glucose quickly and
sensitively with a detection limit of 100 nM, and is stable and specific toward
glucose detection.
PMID- 29790498
TI - Rooibos tea extracts inhibit osteoclast formation and activity through the
attenuation of NF-kappaB activity in RAW264.7 murine macrophages.
AB - Rooibos tea is a naturally sweet and aromatic tea that is native to the Western
Cape province of South Africa. Rooibos is usually fermented to produce the
traditional reddish brown colour and has been found to have numerous health
benefits. These include beneficial effects on osteoblasts; however, its effects
on osteoclast formation and activity are unknown. Osteoclasts are large,
multinucleated cells responsible for bone resorption. Binding of RANKL to its
receptor on osteoclast precursors triggers the NF-kappaB signalling pathway
leading to the formation of osteoclasts. Certain bone destructive diseases, such
as osteoporosis, are characterised by overactive osteoclasts. The inhibition of
osteoclasts may offer a potential mode to prevent these diseases. The polyphenol
contents of both fermented and unfermented tea extracts were similar although the
radical scavenging activity of fermented rooibos tea was lower. Both tea extracts
were not cytotoxic and inhibited osteoclast formation. Fermented rooibos tea
extract caused a greater reduction in osteoclast resorption and the associated
gene expression when compared with unfermented rooibos tea. Both tea extracts
were shown to attenuate NF-kappaB activity. Fermented rooibos was found to have a
more potent inhibitory effect on osteoclasts than unfermented rooibos extract and
therefore may have a beneficial effect on bone health.
PMID- 29790499
TI - Mass spectrometric detection of iron nitrosyls, sulfide oxidation and
mycothiolation during nitrosylation of the NO sensor [4Fe-4S] NsrR.
AB - The bacterial nitric oxide (NO)-sensing transcriptional regulator NsrR binds a
[4Fe-4S] cluster that enables DNA-binding and thus repression of the cell's NO
stress response. Upon exposure to NO, the cluster undergoes a complex
nitrosylation reaction resulting in a mixture of iron-nitrosyl species, which
spectroscopic studies have indicated are similar to well characterized low
molecular weight dinitrosyl iron complex (DNIC), Roussin's Red Ester (RRE) and
Roussin's Black Salt (RBS). Here we report mass spectrometric studies that enable
the unambiguous identification of NsrR-bound RRE-type species, including a
persulfide bound form that results from the oxidation of cluster sulfide. In the
presence of the low molecular weight thiols glutathione and mycothiol,
glutathionylated and mycothiolated forms of NsrR were readily formed.
PMID- 29790500
TI - Application of lanthanide luminescence in probing enzyme activity.
AB - Enzymes play critical roles in the regulation of cellular function and are
implicated in numerous disease conditions. Reliable and practicable assays are
required to study enzyme activity, to facilitate the discovery of inhibitors and
activators of enzymes related to disease. In recent years, a variety of enzyme
assays have been devised that utilise luminescent lanthanide(iii) complexes,
taking advantage of their high detection sensitivities, long luminescence
lifetimes, and line-like emission spectra that permit ratiometric and time
resolved analyses. In this Feature article, we focus on recent progress in the
development of enzyme activity assays based on lanthanide(iii) luminescence,
covering a variety of strategies including Ln(iii)-labelled antibodies and
proteins, Ln(iii) ion encapsulation within defined peptide sequences, reactivity
based Ln(iii) probes, and discrete Ln(iii) complexes. Emerging approaches for
monitoring enzyme activity are discussed, including the use of anion responsive
lanthanide(iii) complexes, capable of molecular recognition and luminescence
signalling of polyphosphate anions.
PMID- 29790501
TI - Catalysis of photooxidation reactions through transformation between Cu2+ and Cu+
in TiO2-Cu-MOF composites.
AB - Novel porous TiO2@Cu3(BTC)2 composites, which were synthesized using ionic
liquids (ILs) as solvents, exhibited excellent activity for photooxidation of
styrene to 4-aryl tetralones and promoting the Glaser coupling reaction with O2
under light irradiation. It was discovered that the transformation between Cu2+
and Cu+ was crucial for enhancing the photocatalytic performance.
PMID- 29790502
TI - Inhibition of tau-derived hexapeptide aggregation and toxicity by a self
assembled cyclic d,l-alpha-peptide conformational inhibitor.
AB - Aggregation and accumulation of amyloid beta and tau proteins to plaques and
neurofibrillary tangles are the key pathogenic events in Alzheimer's disease.
Here, we studied the capability of the cyclic d,l-alpha-peptide CP-2 as a
conformational inhibitor of different amyloids to cross-interact with tau-derived
AcPHF6 peptide and inhibit its aggregation, membrane perturbation and toxicity.
PMID- 29790503
TI - A voltammetric method for Fe(iii) in blood serum using a screen-printed electrode
modified with a Schiff base ionophore.
AB - Herein, a potent electrochemical ionophore (SMS-2) based on a Schiff base has
been used for the modification of a screen-printed electrode (SPE). The modified
disposable electrode can selectively detect ferric ions in an aqueous medium.
Redox behavior of the proposed strip was characterized using cyclic voltammetry
(CV) and differential pulse voltammetry (DPV). Incorporation of the ligand in the
ink of the SPE enhanced the analytical performance of the electrode, and its
surface modification was confirmed by SEM and EDX analysis. Shifting/quenching of
the cathodic peak potential of the ionophore after binding with Fe(iii) ions was
used to detect and measure the ferric ion concentration. This sensor can identify
Fe(iii) in the detection range from 0.625 MUM to 7.5 MUM. The modified SPE can
selectively detect ferric ions in the presence of many other interfering ions and
has been successfully used to determine the Fe(iii) content in blood serum
samples. The metal-ionophore complex structure was optimized using DFT
calculations to study the energetics of the metal-ionophore interactions.
PMID- 29790505
TI - Heterogeneous nucleation and growth of highly crystalline imine-linked covalent
organic frameworks.
AB - A heterogeneous nucleation and growth method is reported to synthesize imine
linked covalent organic frameworks (COFs). Excellent crystallinity and a high
surface area are obtained. The introduction of heterogeneous nuclei suppresses
the fast precipitation of amorphous structures at the early stage while promoting
the crystallization of COFs during the growth process.
PMID- 29790504
TI - Molecularly imprinted nanoparticles for inhibiting ribonuclease in reverse
transcriptase polymerase chain reaction.
AB - Molecularly imprinted nanoparticles (nanoMIPs) are synthesized via a solid-phase
approach using RNase as the template. The feasibility of employing the nanoMIPs
as RNase inhibitor is successfully demonstrated in reverse transcriptase
polymerase chain reaction (RT-PCR) assays, suggesting the tailor-made
nanomaterials are very promising for use in routine biological assays.
PMID- 29790506
TI - Pyrenyl-carbon nanostructures for scalable enzyme electrocatalysis and biological
fuel cells.
AB - The objective of this article is to demonstrate the electrode geometric area
based scalability of pyrenyl-carbon nanostructure modification for enzyme
electrocatalysis and fuel cell power output using hydrogenase anode and bilirubin
oxidase cathode as the model system.
PMID- 29790507
TI - A novel liquid chromatography detector based on a dielectric barrier discharge
molecular emission spectrometer with online microwave-assisted hydrolysis for
determination of dithiocarbamates.
AB - A novel detector for liquid chromatography (LC) for the determination of
dithiocarbamate (DTC) fungicides is presented with a miniaturized dielectric
barrier discharge-microplasma molecular emission spectrometer and an online
microwave-assisted hydrolysis reactor. DTCs in the sample/standard solutions
together with SnCl2 were pumped to the reactor to be converted to CS2 with high
efficiency, which was then separated from the liquid phase and transformed for
the detection of the specific molecular emission at 257.49 nm by the
spectrometer. Under optimized conditions, the performance of this new detector
was evaluated by its determination of different types of DTC including mancozeb,
thiram, zineb, propineb, and metiram, and the limit of detection (LOD) values
were found to be in the range 0.1-1.0 MUg mL-1. The results from 20 test
vegetable and fruit samples determined with the proposed method agreed well with
those obtained using the standard headspace gas chromatography-electron capture
detector (GC-ECD) method. Preliminary experimental results showed that the
proposed method provided slightly higher LOD values than those obtained using GC
ECD. Most importantly, the new LC detector could detect each DTC chemical,
whereas the traditional method could not distinguish between analytes of
different subclasses of DTC.
PMID- 29790508
TI - Towards an atomistic understanding of disordered carbon electrode materials.
AB - Disordered nanoporous and "hard" carbons are widely used in batteries and
supercapacitors, but their atomic structures are poorly determined. Here, we
combine machine learning and DFT to obtain new atomistic insight into
carbonaceous energy materials. We study structural models of porous and graphitic
carbons, and Na intercalation as relevant for sodium-ion batteries.
PMID- 29790509
TI - Palladium-catalyzed cocyclotrimerization of arynes with a pyramidalized alkene.
AB - The metal-catalyzed [2+2+2] cocycloaddition of arynes with pyramidalized alkenes
is presented. The generation of a highly reactive pyramidalized alkene in the
presence of a large excess of in situ-produced arynes led to the corresponding
cocyclotrimerization (1 : 2)-adducts in good yields, establishing the first
example of a palladium-based reaction of a pyramidalized alkene.
PMID- 29790510
TI - Effects of nanostructuring on the bond strength and disorder in V2O5 cathode
material for rechargeable ion-batteries.
AB - We have investigated the nanostructuring effects on the local structure of V2O5
cathode material by means of temperature dependent V K-edge X-ray absorption fine
structure measurements. We have found that the nanostructuring largely affects V
O and V-V bond characteristics with a general softening of the local V-O and V-V
bonds. The obtained bond strengths correlate with the specific capacity shown by
the different systems, with higher capacity corresponding to softer atomic pairs.
The present study suggests the key role of local atomic displacements in the
diffusion and storage of ions in cathodes for batteries, providing important
information for designing new functional materials.
PMID- 29790511
TI - Ion collision-induced chemistry in pure and mixed loosely bound clusters of
coronene and C60 molecules.
AB - Ionization, fragmentation and molecular growth have been studied in collisions of
22.5 keV He2+- or 3 keV Ar+-projectiles with pure loosely bound clusters of
coronene (C24H12) molecules or with loosely bound mixed C60-C24H12 clusters by
using mass spectrometry. The heavier and slower Ar+ projectiles induce prompt
knockout-fragmentation - C- and/or H-losses - from individual molecules and
highly efficient secondary molecular growth reactions before the clusters
disintegrate on picosecond timescales. The lighter and faster He2+ projectiles
have a higher charge and the main reactions are then ionization by ions that are
not penetrating the clusters. This leads mostly to cluster fragmentation without
molecular growth. However, here penetrating collisions may also lead to molecular
growth but to a much smaller extent than with 3 keV Ar+. Here we present
fragmentation and molecular growth mass distributions with 1 mass unit
resolution, which reveals that the same numbers of C- and H-atoms often
participate in the formation and breaking of covalent bonds inside the clusters.
We find that masses close to those with integer numbers of intact coronene
molecules, or with integer numbers of both intact coronene and C60 molecules, are
formed where often one or several H-atoms are missing or have been added on. We
also find that super-hydrogenated coronene is formed inside the clusters.
PMID- 29790512
TI - Probing the interaction between solid benzene and water using vacuum ultraviolet
and infrared spectroscopy.
AB - We present results of a combined vacuum ultraviolet (VUV) and infrared (IR)
photoabsorption study of amorphous benzene : water mixtures and layers to
investigate the benzene-water interaction in the solid phase. VUV spectra of 1 :
1, 1 : 10 and 1 : 100 benzene : water mixtures at 24 K reveal a concentration
dependent shift in the energies of the 1B2u, 1B1u and 1E1u electronic states of
benzene. All the electronic bands blueshift from pure amorphous benzene towards
gas phase energies with increasing water concentration. IR results reveal a
strong dOH-pi benzene-water interaction via the dangling OH stretch of water with
the delocalised pi system of the benzene molecule. Although this interaction
influences the electronic states of benzene with the benzene-water interaction
causing a redshift in the electronic states from that of the free benzene
molecule, the benzene-benzene interaction has a more significant effect on the
electronic states of benzene. VUV spectra of benzene and water layers show
evidence of non-wetting between benzene and water, characterised by Rayleigh
scattering tails at wavelengths greater than 220 nm. Our results also show
evidence of benzene-water interaction at the benzene-water interface affecting
both the benzene and the water electronic states. Annealing the mixtures and
layers of benzene and water show that benzene remains trapped in/under water ice
until water desorption near 160 K. These first systematic studies of binary
amorphous mixtures in the VUV, supported with complementary IR studies, provide a
deeper insight into the influence of intermolecular interactions on
intramolecular electronic states with significant implications for our
understanding of photochemical processes in more realistic astrochemical
environments.
PMID- 29790513
TI - Dynamics in a one-dimensional ferrogel model: relaxation, pairing, shock-wave
propagation.
AB - Ferrogels are smart soft materials, consisting of a polymeric network and
embedded magnetic particles. Novel phenomena, such as the variation of the
overall mechanical properties by external magnetic fields, emerge consequently.
However, the dynamic behavior of ferrogels remains largely unveiled. In this
paper, we consider a one-dimensional chain consisting of magnetic dipoles and
elastic springs between them as a simple model for ferrogels. The model is
evaluated by corresponding simulations. To probe the dynamics theoretically, we
investigate a continuum limit of the energy governing the system and the
corresponding equation of motion. We provide general classification scenarios for
the dynamics, elucidating the touching/detachment dynamics of the magnetic
particles along the chain. In particular, it is verified in certain cases that
the long-time relaxation corresponds to solutions of shock-wave propagation,
while formations of particle pairs underlie the initial stage of the dynamics. We
expect that these results will provide insight into the understanding of the
dynamics of more realistic models with randomness in parameters and time
dependent magnetic fields.
PMID- 29790514
TI - Theoretical investigation of M@Pb122- and M@Sn122- Zintl clusters (M = Lrn+,
Lun+, La3+, Ac3+ and n = 0, 1, 2, 3).
AB - The positions of lawrencium (Lr), lutetium (Lu), actinium (Ac) and lanthanum (La)
in the periodic table have been a controversial topic for quite some time.
According to studies carried out by different groups with their justifications,
these elements may potentially be placed in the d-block, p-block or all four in a
15 element f-block. The present work looks into this issue from a new
perspective, which involves encapsulation of these four elements into Zintl ion
clusters, Pb122- and Sn122-, followed by the determination of the structural,
thermodynamic and electronic properties of these endohedral M@Pb122- and M@Sn122-
clusters (M = Lrn+, Lun+ with n = 0, 1, 2, 3) using first principles based
density functional theory (DFT). These parameters are compared with similar
clusters encapsulated La3+ and Ac3+ ions in order to seek out similarities and
differences to draw conclusions about their placement in the periodic table. For
the first time the structural, energetic, and electronic properties of these
metal atom/ion encapsulated Pb122- and Sn122- clusters have been investigated
thoroughly. Structural parameters such as bond distances, geometry and symmetry,
electronic properties viz. the density of states, the molecular orbital ordering,
the electron localization function, bond critical point properties and charge
distributions have been analyzed. Additionally, the thermodynamic property of the
binding energy during the encapsulation process has also been calculated. All
M@Pb12+ and M@Sn12+ (M = Lr and Lu) clusters form stable 18 bonding electron
magic number systems with shell closing. They show negative values of binding
energy and relatively large HOMO-LUMO energy gaps indicating the stability of
such clusters. All the calculated parameters for Lr encapsulated clusters closely
match with the corresponding calculated parameters of Lu encapsulated clusters,
confirming the similarity between Lr and Lu metal atoms in various oxidation
states, though their atomic ground state valence electronic configurations are
different. The effect of spin orbit coupling has also been investigated using the
ZORA approach. It is interesting to discover that La and Ac showed striking
similarities to Lr and Lu with respect to all the properties investigated and
have formed a stable 18-electron system.
PMID- 29790516
TI - Ultrafast excited state decay of natural UV filters: from intermolecular hydrogen
bonds to a conical intersection.
AB - Kynurenines (KNs) are natural UV filters of the human eye lens, protecting the
eye tissues from solar UV radiation. Key points of their effective protection are
the intramolecular charge transfer (ICT) in the excited state and the fast
dissipation of absorbed light energy into heat via the intermolecular H-bonds.
Herein we report that the introduction of an unsaturated double bond in the amino
acid side chain, operating as an ICT-enhancing electron donor group, drastically
accelerates the internal conversion (IC) due to a conical intersection (CI)
between the potential energy surfaces of the excited and ground states. Our
photophysical study of a deaminated KN (carboxyketoalkene, CKA), an intrinsic
product of KN thermal decomposition, demonstrates an unusually fast excited state
decay in a broad range of solvents of different polarity and proticity. The
detailed analysis of interactions in the excited state by different computational
techniques revealed that the changes in molecular structure - the twist of the
carbonyl group from the plane of the aromatic ring followed by the formation of
two mutually orthogonal conjugated substructures - are responsible for the CI of
the excited and ground state potential energy surfaces. Intermolecular H-bonds
facilitate the transition to the CI, but do not play a crucial role in the fast
decay of the excited state. An extremely fast and efficient IC in CKA opens the
way for the design of new types of organic UV filters and their applications in
material science, cosmetics and medicine.
PMID- 29790517
TI - The rotation-vibration spectrum of methyl fluoride from first principles.
AB - Accurate ab initio calculations on the rotation-vibration spectrum of methyl
fluoride (CH3F) are reported. A new nine-dimensional potential energy surface
(PES) and dipole moment surface (DMS) have been generated using high-level
electronic structure methods. Notably, the PES was constructed from explicitly
correlated coupled cluster calculations with extrapolation to the complete basis
set limit and considered additional energy corrections to account for core
valence electron correlation, higher-order coupled cluster terms beyond
perturbative triples, scalar relativistic effects, and the diagonal Born
Oppenheimer correction. The PES and DMS are evaluated through robust variational
nuclear motion computations of pure rotational and vibrational energy levels, the
equilibrium geometry of CH3F, vibrational transition moments, absolute line
intensities of the nu6 band, and the rotation-vibration spectrum up to J = 40.
The computed results show excellent agreement with a range of experimental
sources, in particular the six fundamentals are reproduced with a root-mean
square error of 0.69 cm-1. This work represents the most accurate theoretical
treatment of the rovibrational spectrum of CH3F to date.
PMID- 29790520
TI - Molecular-based upconversion in homo/heterogeneous liquids and in
micro/nanostructured solid materials.
AB - Radiation upconversion can be an elegant and efficient strategy to minimize waste
in energy harvesting and storage processes. The upconversion based on triplet
triplet annihilation processes of molecular dyes is a very versatile approach,
but it requires a systematic photophysical characterization of the systems to
optimize the upconversion yields and develop materials for technological
applications. This paper represents an overview of the work carried out in our
laboratories for the study and characterization of a molecular dye pair,
2,3,7,8,12,13,17,18-octaethyl-21H,23H-porphyrin platinum(ii) (PtOEP) and 1,3,6,8
tetraphenylpyrene (TPPy), suitable as the sensitizer and emitter, respectively,
in a triplet-triplet annihilation based upconversion process. The investigation
has been carried out in various media with increasing complexity. First, we used
the dye pair to characterize the UC-efficiencies in homogeneous solvents of
different viscosities and in oil-in-water microemulsions; then we explored the
possibility to achieve upconversion in solid materials, like nanostructured
silica matrices and liquid filled microcapsules. The possibility to achieve
upconversion emission even in confined and rigid media has been confirmed and can
inspire further applications of the process.
PMID- 29790522
TI - Catalytic promiscuity of the non-native FPP substrate in the TEAS enzyme: non
negligible flexibility of the carbocation intermediate.
AB - The TEAS, one of the sesquiterpene cyclases (FPPC), shows enzyme promiscuity that
can effectively catalyze both the natural substrate (trans,trans)-FPP and the non
native (cis,trans)-FPP substrate to generate diverse products/byproducts. So far,
the catalytic mechanism of the promiscuous substrate is still unclear. In this
work, QM(DFT)/MM MD simulations were employed to illuminate the predominant 1,6
closure pathway reaction mechanism for the non-native substrate (cis,trans)-FPP,
while the 1,10-closure pathway is the major reaction for the native substrate. It
has been revealed that the catalytic promiscuity of TEAS is mostly attributable
to the notable conformational dynamics of the branching intermediate bisabolyl
cation. The comparative studies to FSTS (another widely studied FPPC) further
indicate that the intrinsic intermediate flexibility in TEAS is highly correlated
to the plasticity of the enzyme active site pocket contour. Finally, we propose a
general picture for controlling the promiscuity and fidelity in FPPC catalysis,
including substrate folding, intermediate flexibility and key residues.
PMID- 29790524
TI - Alleviating luminescence concentration quenching in lanthanide doped CaF2 based
nanoparticles through Na+ ion doping.
AB - Luminescence concentration quenching, mainly due to a cross relaxation (CR)
process between lanthanide ions (Ln3+), widely occurs in Ln3+ doped luminescent
materials, setting a limit in the dopant content of Ln3+ emitters to withhold the
brightness. Here, we introduced Na+ ions into the CaF2 host lattice codoped with
Nd3+ emitters that alleviates concentration quenching greatly. And we show that
the optimal dopant concentration of Nd3+ in colloidal CaF2:Nd nanoparticles
increased from 10 to 30 mol%, resulting in an ~32 times near-infrared (NIR) (1052
nm) brightness under 800 nm laser irradiation. Our mechanistic investigation
suggests that the enhancement of NIR photo-luminescence (PL) could be attributed
to not only the increasing crystallinity of nanoparticles but also the reducing
concentration quenching of Nd3+ by improving the dopant distribution of Nd3+ ions
in the CaF2 lattice, as evidenced by the high angle annular dark field images.
These result in the optimal concentration increase to produce brightness
enhancement greatly. This strategy can be utilized for other Ln3+ doped CaF2
based nanomaterials for bio-imaging.
PMID- 29790525
TI - DNA decorated Cu9S5 nanoparticles as NIR light responsive drug carriers for tumor
chemo-phototherapy.
AB - Recently, near-infrared (NIR) light responsive drug delivery systems have
attracted much attention for tumor therapy. Herein, we have successfully
constructed a smart nanocarrier system Cu9S5-PEI-DNA-DOX (labelled as CPD-DOX)
based on the self-assembly of hydrophobic Cu9S5 nanoparticles (NPs),
poly(ethylene imine) (PEI), double-stranded DNA (dsDNA) segments and the anti
cancer drug doxorubicin (DOX). Among them, Cu9S5 NPs can serve as a nano
transducer for absorbing and converting near-infrared light to heat. Then, the
hydrophobic layer of Cu9S5 NPs is coated with the dendritic polymer PEI through a
simple approach. According to the temperature of the tumor tissue and the
photothermal effect of Cu9S5 NPs, we specially designed a DNA sequence with a
suitable melting temperature for NIR-light-promoted denaturation of DNA helices
and drug release when DOX intercalated into the dsDNA through noncovalent
interaction. Notably, the DOX-loaded dsDNA can be decorated on the surface of
Cu9S5 NPs by convenient electrostatic adsorption rather than chemical bonding.
The experimental results show that dsDNA can maintain a stable helical structure
under physiological conditions but unzip the helix to release DOX upon NIR
irradiation. Therefore, DOX can be safely delivered and released into cancer
cells to exert anticancer effects. Based on in vitro cell cytotoxicity
experiments, the CPD-DOX system has a synergistic effect for cancer cell
apoptosis or death because of both the cytotoxicity of light-triggered DOX
release and the Cu9S5-NP-mediated photothermal ablation effect. It is expected
that the facile synthesis and low cost nanocarrier can improve the anticancer
effect in contrast to the single chemotherapy or photothermal therapy mode.
PMID- 29790526
TI - Food-grade monoglyceride oil foams: the effect of tempering on foamability, foam
stability and rheological properties.
AB - Foams with a continuous oil phase may be stabilized using crystalline particles.
Those systems are compelling because of their potential in edible oil
structuring, modifying sensorial properties and creating healthier food products.
This study aimed to relate oleogel (unwhipped state) properties to oil foam
(whipped state) properties using a monoglyceride-sunflower oil model system. The
properties of crystal-oil mixtures were influenced by time and temperature during
preparation and storage. Therefore, oleogels were prepared using different
tempering protocols and their resulting microstructure was investigated with
rheology, differential scanning calorimetry and X-ray diffraction. The
corresponding oil foams were characterized in terms of foamability and foam
stability. The properties of both systems were studied immediately after
preparation as well as after 4 weeks of storage. We demonstrated that there is a
large influence of the time-temperature history on the foam properties. Partially
crystallized mixtures were shown to form weaker structures which capture more air
because of their lower viscosity and as crystallization would preferentially take
place at the interface. They were characterized by larger bubbles and were less
stable and firm. It is proposed that their rheological properties are mainly
dominated by interfacial contributions. Fully crystallized and stored
monoglyceride-oil mixtures were seen to form stronger gel networks which included
less air, contained smaller air bubbles and were stable during storage. It is
hypothesized that these samples also included an important bulk gelation
contribution.
PMID- 29790528
TI - Three-dimensionally interconnected Si frameworks derived from natural halloysite
clay: a high-capacity anode material for lithium-ion batteries.
AB - On account of its high theoretical capacity, silicon (Si) has been regarded as a
promising anode material for Li-ion batteries. Extracting Si content from earth
abundant and low-cost aluminosilicate minerals, rather than from artificial
silica (SiO2) precursors, is a more favorable and practical method for the large
scale application of Si anodes. In this work, three-dimensionally interconnected
(3D-interconnected) Si frameworks with a branch diameter of ~15 nm are prepared
by the reduction of amorphous SiO2 nanotubes derived from natural halloysite
clay. Benefiting from their nanostructure, the as-prepared 3D-interconnected Si
frameworks yield high reversible capacities of 2.54 A h g-1 at 0.1 A g-1 after 50
cycles, 1.87 A h g-1 at 0.5 A g-1 after 200 cycles, and 0.97 A h g-1 at 2 A g-1
after a long-term charge-discharge process of 500 cycles, remarkably
outperforming the commercial Si material. Further, when the as-prepared Si
frameworks and commercial LiCoO2 cathodes are paired in full cells, a high anode
capacity of 0.98 A h g-1 is achieved after 100 cycles of rapid charge/discharge
at 2 A g-1. This work provides a new strategy for the synthesis of high-capacity
Si anodes derived from natural aluminosilicate clay.
PMID- 29790527
TI - Protective activity of tovophyllin A, a xanthone isolated from Garcinia
mangostana pericarps, against acetaminophen-induced liver damage: role of Nrf2
activation.
AB - Garcinia mangostana L. (GM, family Guttiferae) is one of the most widely
recognized tropical fruits. GM is a wealthy pool of xanthones that exhibit a wide
range of bioactivities. Tovophyllin A (TA) separated from GM pericarps was tested
for its efficacy to ameliorate acetaminophen (APAP)-induced liver injury. Mice
were injected with a single dose of APAP with or without TA pretreatment. The
protective effects of TA against APAP-induced liver damage were evident through
amelioration of serum indices of hepatotoxicity and improvement of hepatic
histopathologic lesions. TA has antioxidant activity because it inhibited APAP
induced lipid peroxidation and improved the antioxidant capacity of the liver.
Also, TA enhanced the mRNA expression of nuclear erythroid-related factor 2
(Nrf2) and its target genes. Protein expression of Nrf2 and heme oxygenase-1 was
enhanced remarkably in TA-pretreated groups. TA suppressed activation of nuclear
factor-kappa B (NF-kappaB) and the subsequent release of pro-inflammatory
cytokines. In conclusion, TA has a marked protective activity against APAP
induced hepatotoxicity which may be linked to its ability to activate Nrf2 and
inhibit the NF-kappaB signaling pathway.
PMID- 29790530
TI - Balancing the acidity of the pendant urea arm of bis-heteroleptic ruthenium(ii)
complex containing pyridyl triazole for improved oxyanion recognition.
AB - Two new RuII-based bis-heteroleptic ditopic receptors 1[PF6]2 (C44H34F12N10OP2Ru)
and 2[PF6]2 (C40H27F17N10OP2Ru), decorated with a 1-naphthyl and
pentafluorophenyl urea pendant arm, respectively, along with the previously
reported 3[PF6]2 (C40H31F13N10OP2Ru), containing a pendant 4-fluorophenyl urea
unit and 4[PF6]2, devoid of a pendant urea arm, have been studied to establish
the role of urea proton acidity on the sensing and extraction of oxyanions in the
presence of triazole C-H as an additional hydrogen bonding motif. 1H-NMR,
isothermal titration calorimetry (ITC) and photophysical experiments show
selective binding of 1[PF6]2 and 2[PF6]2 toward oxyanions such as phosphates
(e.g., H2PO4- and HP2O73-) and carboxylates (e.g., CH3CO2- and PhCO2-) like
3[PF6]2. This generalizes the role of triazole C-H and urea in the RuII-based bis
heteroleptic ditopic receptors towards recognition of such anions. Interestingly,
complex 1[PF6]2 having intermediate acidic urea -NH protons showed the highest
binding affinity with phosphates as compared to the other urea analogues 2[PF6]2
and 3[PF6]2 as well as the non-urea analogue, 4[PF6]2 (C32H24F12N8P2Ru).
Moreover, 2[PF6]2 having the most acidic -NH protons showed higher binding
affinity towards carboxylates as compared to that of 1[PF6]2/3[PF6]2. Detailed
photo-physical studies revealed that 1[PF6]2 is a farsuperior and more selective
H2PO4- sensor compared to 2[PF6]2/3[PF6]2/4[PF6]2, as evidenced by the higher
degree of amplification of RuII center-based MLCT emission, greater change in
excited state lifetime, lower detection limit and higher degree of selectivity.
Furthermore, 1[PF6]2 also acts as a moderate liquid-liquid extraction agent of
H2PO4-, CH3CO2- and PhCO2- anions, which was comparable to 3[PF6]2 and much
higher as compared to 2[PF6]2/4[PF6]2.
PMID- 29790529
TI - Dimethylmagnesium revisited.
AB - A compilation of solvent-free homometallic methyl compounds of the type MMex (x =
1-6) is provided and categorised according to their method of characterisation
(powder or single crystal X-ray diffraction, gas electron diffraction (GED),
reactivity, unconfirmed). Recrystallisation of polymeric [MgMe2]n from excess
GaMe3 led to the formation of highly pure [MgMe2]n suitable for single crystal X
ray crystallographic studies. Transient Mg(GaMe4)2 could be detected in excess
GaMe3 by NMR spectroscopy, but its isolation as Mg(GaMe4)2 failed. On one
occasion tetrameric [Mg(GaMe4)(OMe)]4 could be isolated as a minor co-product.
The formation of single-crystalline [MgMe2]n from a saturated ethereal solution
could be reproduced as reported earlier by Coates et al. Assessing the reactivity
of potassium methoxide methanol adduct toward Mg(AlMe4)2, the protonolysis
reaction with MeOH gave unprecedented [Mg(AlMe4){Al(OMe)2Me2}]2 featuring one 8
membered [MgOAlO]2 metalloxane ring and two 4-membered metallacycles.
PMID- 29790531
TI - Mechanism of H adatoms improving the O2 reduction reaction on the Zn-modified
anatase TiO2 (101) surface studied by first principles calculation.
AB - First principles calculations were performed to cast insight into the mechanism
of the improvement of O2 reduction reaction (ORR) activity by Zn and H
interstitials on the anatase TiO2 (101) surface. For the Zn-modified anatase TiO2
(101) surface, both surface and subsurface Zn interstitials could contribute to
O2 adsorption and dissociation, but the dissociation barriers of O2 molecules are
still too high, which limits the ORR activity. After a H adatom is introduced
onto the Zn-modified anatase TiO2 (101) surface, the highest energy barriers are
greatly reduced compared with those of the Zn-modified surface. Meanwhile, it is
observed that the dissociation barriers decrease almost linearly with the
increase of the charge difference of adsorption O2 between initial and transition
state configurations. Specifically, subsurface Zn and surface H interstitials
facilitate O2 dissociation and subsequent oxidation reactions, and further
frequency analysis shows that these dissociation processes are frequent even at
the room temperature of 300 K. In a word, this work provides a theoretical
support to design a high ORR activity catalyst of the TiO2 nanocrystal comparable
to precious Pt catalysts.
PMID- 29790532
TI - Brimstone chemistry under laser light assists mass spectrometric detection and
imaging the distribution of arsenic in minerals.
AB - Singly charged As2n+1 ion clusters (n = 2-11) were generated from elemental
arsenic by negative-ion laser-ablation mass spectrometry. The overall abundance
of the gaseous As ions generated upon laser irradiation was enhanced nearly a
hundred times when As-bearing samples were admixed with sulfur. However, sulfur
does not act purely as an inert matrix: irradiating arsenic-sulfur mixtures
revealed a novel pathway to generate and detect a series of [AsSn]- clusters (n =
2-6). Intriguingly, the spectra recorded from As2O3, NaAsO2, Na3AsO4, cacodylic
acid and 3-amino-4-hydroxyphenylarsonic acid together with sulfur as the matrix
were remarkably similar to that acquired from an elemental arsenic and sulfur
mixture. This result indicated that arsenic sulfide cluster-ions are generated
directly from arsenic compounds by a hitherto unknown pathway. The mechanism of
elemental sulfur extracting chemically bound arsenic from compounds and forming
[AsSn]- clusters is enigmatic; however, this discovery has a practical value as a
general detection method for arsenic compounds. For example, the method was
employed for the detection of As in its minerals, and for the imaging of arsenic
distribution in minerals such as domeykite. LDI-MS data recorded from a latent
image imprinted on a piece of paper from a flat mineral surface, and wetting the
paper with a solution of sulfur, enabled the localization of arsenic in the
mineral. The distribution of As was visualized as false-color images by
extracting from acquired data the relative intensities of m/z 139 (AsS2-) and m/z
171 (AsS3-) ions.
PMID- 29790534
TI - Evidence for the natural origins of anomalously high chromium levels in soils of
the Cecina Valley (Italy).
AB - The problem of high levels of chromium is one of the most important issues in
soils of the Mediterranean area, in particular those deriving from ophiolitic
parent materials. Very often the chromium concentration is greater than the
threshold values of legislation on soil pollution and the knowledge of the origin
of contamination (natural or anthropogenic) is important to formulate risk
characterization. This study evaluated the soils from three coastal areas of the
Cecina Valley (Tuscany, Italy) to understand the origin of chromium in the soils,
where high levels of hexavalent chromium were found in well and spring waters of
the areas. The main soil characteristics and the correlations among the values of
chromium and nickel were determined. Chromium speciation was evaluated by
synchrotron radiation X-ray absorption spectroscopy. The results showed the
presence of only trivalent chromium in soil and a positive linear correlation
between chromium and nickel (e.g. r = 0.76 for the Marina di Bibbona-Bolgheri
area), corroborating the hypothesis of a geogenic origin of contamination. This
hypothesis was also supported by the low CRI index for the soils with high total
Cr content, indicating a higher presence of refractory minerals in the Marina di
Bibbona-Bolgheri area than Cecina and Collemezzano areas. The refractory material
found in soils was attributed to the presence of ophiolite outcrops in the
surroundings and their sedimentary remnants. The weathering of ultramafic-derived
constituents and their regional-scale transport are believed to be responsible
for the enrichment of chromium and nickel in the investigated soils.
PMID- 29790537
TI - Physical defects in basement membrane-mimicking collagen-IV matrices trigger
cellular EMT and invasion.
AB - In fibrosis and cancer, degradation of basement membrane (BM) and cell invasion
are considered as key outcomes of a cellular transformation called epithelial
mesenchymal transition (EMT). Here, we pose a converse question - can preexisting
physical defects in the BM matrix cause EMT in normal epithelial cells? On a BM
mimicking matrix of collagen-IV-coated polyacrylamide (PA) gel, we have
discovered a reverse phenomenon in which preexisting defects trigger EMT in
normal epithelial cells. Through spatiotemporal measurements and simulations in
silico, we demonstrate that the EMT precedes cellular mechanoactivation on
defective matrices, but they occur concurrently on stiff matrices. The defect
dependent EMT caused cell invasion though a stroma-mimicking collagen-I layer,
which could be disabled through MMP9 inhibition. Our findings reveal that the
known BM degradation caused by cellular EMT and invasion is not a one-way
process. Instead, normal epithelial cells can exploit physical defects in the BM
matrix to undergo disease-like cellular transformations.
PMID- 29790538
TI - Highly efficient bluish green organic light-emitting diodes of iridium(iii)
complexes with low efficiency roll-off.
AB - Two novel iridium(iii) complexes Ir(BTBP)2mepzpy and Ir(BTBP)2phpzpy were
successfully synthesized, in which 2',6'-bis(trifluoromethyl)-2,4'-bipyridine
(BTBP) was used as the main ligand, and 2-(3-methyl-1H-pyrazol-5-yl)pyridine
(mepzpy) and 2-(3-2-(3-phenyl-1H-pyrazol-5-yl))pyridine (phpzpy) were introduced
as the ancillary ligands, respectively. Both Ir(iii) complexes displayed bluish
green emission peaks at 486 and 487 nm with high quantum efficiencies of 0.73 and
0.69, respectively. The organic light-emitting diodes (OLEDs) with the structure
of ITO/HATCN (hexaazatriphenylenehexacarbonitrile, 5 nm)/TAPC (bis[4-(N,N
ditolylamino)-phenyl]cyclohexane, 40 nm)/Ir(BTBP)2mepzpy or Ir(BTBP)2phpzpy (10
wt%): 2,6-DCzPPy (2,6-bis(3-(9H-carbazol-9-yl)phenyl)pyridine, 10 nm)/TmPyPB
(1,3,5-tri[(3-pyridyl)-phen-3-yl]benzene, 30 nm)/LiF (1 nm)/Al (100 nm) exhibited
high efficiencies with low efficiency roll-off. Especially, the device based on
the Ir(BTBP)2mepzpy complex achieved a maximum current efficiency of 58.17 cd A-1
and a maximum external quantum efficiency of 25.33% with Commission
Internationale de 1'Eclairage coordinates of (0.19, 0.43). These results indicate
that novel cyclometalated Ir(iii) complexes for high efficiency OLEDs with low
efficiency roll-off were obtained by our rational design.
PMID- 29790536
TI - DNA interactions of non-chelating tinidazole-based coordination compounds and
their structural, redox and cytotoxic properties.
AB - Novel tinidazole (tnz) coordination compounds of different geometries were
synthesised, whose respective solid-state packing appears to be driven by inter-
and intramolecular lone pairpi interactions. The copper(ii) compounds exhibit
interesting redox properties originating from both the tnz and the metal ions.
These complexes interact with DNA through two distinct ways, namely via
electrostatic interactions or/and groove binding, and they can mediate the
generation of ROS that damage the biomolecule. Cytotoxic studies revealed an
interesting activity of the dinuclear compound [Cu(tnz)2(MU-Cl)Cl]27, which is
further more efficient towards cancer cells, compared with normal cells.
PMID- 29790539
TI - Uranium(iii) complexes supported by hydrobis(mercaptoimidazolyl)borates:
synthesis and oxidation chemistry.
AB - The reaction of [UI3(thf)4] with the sodium or lithium salts of hydrobis(2
mercapto-1-methylimidazolyl)borate ligands ([H(R)B(timMe)2]-) in a 1 : 2 ratio,
in tetrahydrofuran, gave the U(iii) complexes [UI{kappa3-H,S,S'
H(R)B(timMe)2}2(thf)2] (R = H (1), Ph (2)) in good yields. Crystals of [UI{kappa3
H,S,S'-H(Ph)B(timMe)2}2(thf)2] (2) were obtained by recrystallization from a
tetrahydrofuran/acetonitrile solution, and the ion-separated uranium complex
[U{kappa3-H,S,S'-H(Ph)B(timMe)2}2(CH3CN)3][I] (3-I) was obtained by dissolution
of 2 in acetonitrile followed by recrystallization. One-electron oxidation of 2
with AgBPh4 or I2 resulted in the formation of the cationic U(iv) complexes
[U{kappa3-H,S,S'-H(Ph)B(timMe)2}3][X] (X = BPh4 (6-BPh4), I (6-I)), due to a
ligand redistribution process. These complexes are the first examples of
homoleptic poly(azolyl)borate U(iv) complexes. Treatment of complex 2 with
azobenzene led to the isolation of crystals of the U(iv) compound [UI{kappa3
H(Ph)B(timMe)2}2(kappa2-timMe)] (7). Treatment of 2 with pyridine-N oxide (pyNO)
led to the formation of the uranyl complex [UO2{kappa2-S,S'-H(Ph)B(timMe)2}2] (8)
and of complex 6-I, while from the reaction of [U{kappa3
H(Ph)B(timMe)2}2(thf)3][BPh4] (5) with pyNO, the oxo-bridged U(iv) complex
[{U{kappa3-H(Ph)B(timMe)2}2(pyNO)}2(MU-O)][BPh4]2 (9) was also obtained. In the
U(iii) and U(iv) complexes, the bis(azolyl)borate ligands bind to the uranium
center in a kappa3-H,S,S' coordination mode, while in the U(vi) complex the
ligands bind to the metal in a kappa2-S,S' mode. The presence of UH-B
interactions in the solid-state, for the nine-coordinate complexes 1, 2, 3, 6 and
7 and for the eight-coordinate complex 9, was supported by IR spectroscopy and/or
X-ray diffraction analysis.
PMID- 29790540
TI - Luminescent copper(i) complexes with bisphosphane and halogen-substituted 2,2'
bipyridine ligands.
AB - Heteroleptic [Cu(P^P)(N^N)][PF6] complexes, where N^N is a halo-substituted 2,2'
bipyridine (bpy) and P^P is either bis(2-(diphenylphosphino)phenyl)ether (POP) or
4,5-bis(diphenylphosphino)-9,9-dimethylxanthene (xantphos) have been synthesized
and investigated. To stabilize the tetrahedral geometry of the copper(i)
complexes, the steric demands of the bpy ligands have been increased by
introducing 6- or 6,6'-halo-substituents in 6,6'-dichloro-2,2'-bipyridine (6,6'
Cl2bpy), 6-bromo-2,2'-bipyridine (6-Brbpy) and 6,6'-dibromo-2,2'-bipyridine (6,6'
Br2bpy). The solid-state structures of [Cu(POP)(6,6'-Cl2bpy)][PF6],
[Cu(xantphos)(6,6'-Cl2bpy)][PF6].CH2Cl2, [Cu(POP)(6-Brbpy)][PF6] and
[Cu(xantphos)(6-Brbpy)][PF6].0.7Et2O obtained from single crystal X-ray
diffraction are described including the pressure dependence of the structure of
[Cu(POP)(6-Brbpy)][PF6]. The copper(i) complexes with either POP or xantphos and
6,6'-Cl2bpy, 6-Brbpy and 6,6'-Br2bpy are orange-to-red emitters in solution and
yellow-to-orange emitters in the solid state, and their electrochemical and
photophysical properties have been evaluated with the help of density functional
theory (DFT) calculations. The emission properties are strongly influenced by the
substitution pattern that largely affects the geometry of the emitting triplet
state. [Cu(POP)(6,6'-Cl2bpy)][PF6] and [Cu(xantphos)(6,6'-Cl2bpy)][PF6] show
photoluminescence quantum yields of 15 and 17%, respectively, in the solid state,
and these compounds were tested as luminophores in light-emitting electrochemical
cells (LECs). The devices exhibit orange electroluminescence and very short turn
on times (<5 to 12 s). Maximum luminance values of 121 and 259 cd m-2 for
[Cu(POP)(6,6'-Cl2bpy)][PF6] and [Cu(xantphos)(6,6'-Cl2bpy)][PF6], respectively,
were achieved at an average current density of 100 A m-2. External quantum
efficiencies of 1.2% were recorded for both complexes.
PMID- 29790541
TI - Supramolecular arrays by the self-assembly of terpyridine-based monomers with
transition metal ions.
AB - Hierarchical construction of a highly ordered supramolecular array has been, in
general, a challenge due to the complexation of building blocks and the hard-to
control weak interactions. Herein, we present a type of well-ordered nanoribbon,
which was self-assembled via shape complimentary and hydrophobic effects from the
bowl-shaped supramolecular components, which were synthesized by combining
designer terpyridine-based monomers and two different metal ions (Ru2+, Zn2+).
Interestingly, switching counter ions or changing monomer concentrations, a
transformation between a uniform nanosphere and nanoribbon occurred. This opens a
door to fabricate readily tailorable, large-scale, supramacromolecular materials.
PMID- 29790542
TI - A rare example of a compact heteroleptic cyclometalated iridium(iii) complex
demonstrating well-separated dual emission.
AB - A series of [Ir(C^N)2(NN)][PF6] complexes in which NN is 5-(4-ethynylphenyl)-2,2'
bipyridine has been synthesized and characterized by spectroscopic methods. All
novel complexes exhibit unique singlet-triplet dual emission in solution with two
well-separated emission bands. The mechanism of dual emission has been elucidated
on the basis of experimental data and confirmed by TDDFT calculations.
PMID- 29790543
TI - A bi-metallic MOF catalyst via sensitive detection & adsorption of Fe3+ ions for
size-selective reaction prompting.
AB - A cadmium(ii)-based MOF, Cd-MDIP, was successfully prepared by hydrothermal
reaction between the tetra-carboxylic ligand 5,5'-methylenebisophthalic acid
(H4MDIP) and cadmium perchlorate. The X-ray crystal structure analysis showed
that there are two uncoordinated carboxyl groups in each ligand and a 1D
elliptical channel along the [001] direction. Because of the existence of
uncoordinated carboxyl groups within open frameworks, Cd-MDIP exhibited a high
sensitivity (Stern-Volmer constant KSV = 4.13 * 104 L mol-1) and a low detection
limit (80 nM) for Fe3+ ions in pure water, which is much lower than the national
standard for Fe3+ in daily drinking water (5.4 MUM) set by the Ministry of
Environmental Protection of P. R. China. Most importantly, Cd-MDIP also featured
the ultrahigh adsorption of Fe3+ in aqueous solution that cannot be destroyed
even by EDTA/base. Importantly, the MOF material (Cd-MDIP?Fe3+) after adsorbing
Fe3+ could act as the first example of an excellent bi-metallic Lewis-acid
catalyst for the cyanosilylation reaction of aromatic aldehydes in a size
selective fashion, and its efficiency was almost 10-times higher than that of the
original Cd-MDIP.
PMID- 29790546
TI - Dietary fibers, prebiotics, and exopolysaccharides produced by lactic acid
bacteria: potential health benefits with special regard to cholesterol-lowering
effects.
AB - The gastrointestinal (GIT) microbiota, which plays a crucial role in human
health, is influenced by a number of factors including diet. Consumption of
specific dietary ingredients, such as dietary fibers and prebiotics, is an avenue
by which the microbiota can be positively modulated. These substances may also
reduce serum cholesterol levels through various mechanisms. Interest has
increased in methods of reducing blood cholesterol level, because dyslipidemia is
recognized as a contributory risk factor for the development of cardiovascular
diseases. Several drugs have been developed for the treatment of
hypercholesterolemia; however, undesirable side effects were observed, which have
caused concerns about their long-term therapeutic use. Alternatively, many
nonpharmacological approaches were tested to reduce elevated serum cholesterol
levels. Dietary fibers and prebiotics have particularly beneficial effects on the
GIT microbiome, and can also reduce serum cholesterol level through various
mechanisms. Lactic acid bacteria (LAB) are potentially capable of synthesizing
different polysaccharides, e.g. exopolysaccharides (EPS), which may play a role
as prebiotics. LAB-based EPS have the potential to affect the gastrointestinal
microbiome and reduce cholesterol. However, as dietary fibers comprise a complex
group of substances with remarkably diverse structures, properties, and impacts,
EPS also differ greatly and show a multitude of beneficial health effects. This
review discusses the current knowledge related to the effects of dietary fibers
and prebiotics on the human GIT microbiome, the prebiotic properties of EPS
produced by LAB, and the health-promoting benefits of these polymers with special
emphasis being given to cholesterol lowering.
PMID- 29790547
TI - Melissa officinalis L. ethanolic extract inhibits the growth of a lung cancer
cell line by interfering with the cell cycle and inducing apoptosis.
AB - Melissa officinalis is a plant from the family Lamiaceae, native in Europe
particularly in the Mediterranean region. Given our interest in identifying
extracts and compounds capable of inhibiting tumor cell growth, and given the
antioxidant content and the high consumption of Melissa officinalis in Portugal,
this study aimed to test the tumor cell growth inhibitory activity of five
different extracts of this plant (aqueous, methanolic, ethanolic, hydromethanolic
and hydroethanolic) in three human tumor cell lines: MCF-7, AGS and NCI-H460. All
extracts decreased cell growth in all cell lines in a concentration-dependent
manner. The ethanolic extract was the most potent one, presenting a GI50
concentration of approximately 100.9 MUg mL-1 in the NCI-H460 lung cancer cells.
This extract was characterized by LC-DAD-ESI/MS regarding its phenolic
composition, revealing rosmarinic acid as the most abundant compound. The GI75
concentration of this extract affected the cell cycle profile of these cells. In
addition, both the GI50 and the GI75 concentrations of the extract induced
cellular apoptosis. Moreover, treatment of NCI-H460 cells with this extract
caused a decrease in pro-caspase 3 and an increase in p53 levels. This study
emphasizes the relevance of the study of natural products as inhibitors of tumor
cell growth.
PMID- 29790548
TI - Role of flower-like ultrathin Co3O4 nanosheets in water splitting and non-aqueous
Li-O2 batteries.
AB - The oxygen reduction reaction (ORR) and oxygen evolution reaction (OER) are both
fundamental and essential processes for various energy conversion and storage
systems. The kinetics of ORR and OER play a critical role in their energy
efficiency and practicality. Here, flower-like ultrathin Co3O4 nanosheets
synthesized through a facile solvothermal technique were studied as a
bifunctional catalyst for both water splitting and non-aqueous Li-O2 batteries.
Due to the novel structure and highly active {110} and {100} exposed facets,
which can effectively facilitate mass transfer and enhance catalytic capability,
Co3O4 nanosheets exhibit better stability and higher ORR/OER activity than Co3O4
nanoparticles, Co3O4 bulks, Pt/C, and RuO2 in alkaline solution. More
importantly, Li-O2 batteries with ultrathin Co3O4 nanosheets catalyst can enhance
the initial discharge capacity from 6400 to 8600 mA h g-1 and improve the
cyclability up to 160 cycles at 500 mA g-1. Unexpectedly, XRD and UV/Vis
techniques suggest that the main product in Co3O4 nanosheets based cathodes is
LiOH, with resulting LiOH also demonstrating reversible formation/decomposition
behavior, rather than Li2O2 in pure Super P based cathodes. Further investigation
confirms that Co3O4 can also catalyze the electrolyte decomposition responsible
for the formation of LiOH, and a reaction mechanism was illustrated. This work
highlights that the traditional high-efficiency bifunctional catalyst in aqueous
media may not be suitable for non-aqueous Li-O2 batteries, and the effect of
catalyst on electrolyte besides the discharge product should also be carefully
considered for the design of more stable and practical Li-O2 systems.
PMID- 29790549
TI - A MOF-derived method to construct well-arranged porous nanosheets for lithium ion
batteries.
AB - In this work, we report a facile route to fabricate a ZnCo2O4 nanosheet derived
from metal-organic frameworks. The as-prepared ZnCo2O4 nanosheet material for
lithium-ion batteries shows an excellent electrochemical performance. The
obtained ZnCo2O4 nanosheet delivers a high reversible capacity of 1640.8 mA h g-1
at a current density of 100 mA g-1 after 50 cycles. More importantly, even at a
current density of 1500 mA g-1, the electrode material still exhibits a discharge
capacity of 581.3 mA h g-1 after 190 cycles. These results demonstrate that the
ZnCo2O4 nanosheet shows great potential as an anode material for lithium ion
batteries.
PMID- 29790550
TI - Cs2CO3-promoted methylene insertion into disulfide bonds using acetone as a
methylene source.
AB - An efficient halogen-free Cs2CO3-promoted methylene insertion into disulfide
bonds has been achieved using acetone as a methylene source under mild
conditions. This method provides a convenient and practical route to
dithioacetals in up to 96% yield with good functional group compatibility.
PMID- 29790545
TI - Heteroleptic samarium(iii) halide complexes probed by fluorescence-detected L3
edge X-ray absorption spectroscopy.
AB - The addition of various oxidants to the near-linear Sm(ii) complex [Sm(N??)2]
(1), where N?? is the bulky bis(triisopropylsilyl)amide ligand {N(SiiPr3)2},
afforded a family of heteroleptic three-coordinate Sm(iii) halide complexes,
[Sm(N??)2(X)] (X = F, 2-F; Cl, 2-Cl; Br, 2-Br; I, 2-I). In addition, the
trinuclear cluster [{Sm(N??)}3(MU2-I)3(MU3-I)2] (3), which formally contains one
Sm(ii) and two Sm(iii) centres, was isolated during the synthesis of 2-I.
Complexes 2-X are remarkably stable towards ligand redistribution, which is often
a facile process for heteroleptic complexes of smaller monodentate ligands in
lanthanide chemistry, including the related bis(trimethylsilyl)amide {N(SiMe3)2}
(N''). Complexes 2-X and 3 have been characterised by single crystal X-ray
diffraction, elemental analysis, multinuclear NMR, FTIR and electronic
spectroscopy. The Lalpha1 fluorescence-detected X-ray absorption spectra recorded
at the Sm L3-edge for 2-X exhibited a resolved pre-edge peak defined as an
envelope of quadrupole-allowed 2p -> 4f transitions. The X-ray absorption
spectral features were successfully reproduced using time-dependent density
functional theoretical (TD-DFT) calculations that synergistically support the
experimental observations as well as the theoretical model upon which the
electronic structure and bonding in these lanthanide complexes is derived.
PMID- 29790551
TI - A porous nickel cyclotetraphosphate nanosheet as a new acid-stable
electrocatalyst for efficient hydrogen evolution.
AB - The stability of non-precious metal-based electrocatalysts for the acidic
hydrogen evolution reaction (HER) is of great importance. Here, we have used
nickel cyclotetraphosphate (Ni2P4O12) nanosheet arrays as a HER electrocatalyst
for the first time. The Ni2P4O12 arrays were obtained through a facile low
temperature phosphorylation process and possess superior HER catalytic activities
and stability in acid. The Ni2P4O12 delivers a small overpotential of 131.8 mV at
-10 mA cm-2 and a low Tafel slope of 47.8 mV dec-1 in 0.5 M H2SO4, comparable to
most of the non-precious metal-based catalysts. Importantly, the Ni2P4O12 shows a
negligible potential change (6.5 mV) over 80 000 s continuous testing in acid.
The remarkable catalytic performances of Ni2P4O12 are mainly attributed to the
inductive effect of P4O124- and its polymer-like structure, promoting it as a
potential acid-stable HER electrocatalyst.
PMID- 29790552
TI - Quantum dot-polymer conjugates for stable luminescent displays.
AB - The broad absorption of light in the UV-Vis-NIR region and the size-based tunable
photoluminescence color of semiconductor quantum dots make these tiny crystals
one of the most attractive antennae in solar cells and phosphors in
electrooptical devices. One of the primary requirements for such real-world
applications of quantum dots is their stable and uniform distribution in
optically transparent matrices. In this work, we prepare transparent thin films
of polymer-quantum dot conjugates, where CdSe/ZnS quantum dots are uniformly
distributed at high densities in a chitosan-polystyrene copolymer (CS-g-PS)
matrix. Here, quantum dots in an aqueous solution are conjugated to the copolymer
by a phase transfer reaction. With the stable conjugation of quantum dots to the
copolymer, we prevent undesired phase separation between the two and aggregation
of quantum dots. Furthermore, the conjugate allows us to prepare transparent thin
films in which quantum dots are uniformly distributed at high densities. The CS-g
PS copolymer helps us in not only preserving the photoluminescence properties of
quantum dots in the film but also rendering excellent photostability to quantum
dots at the ensemble and single particle levels, making the conjugate a promising
material for photoluminescence-based devices.
PMID- 29790553
TI - XPS experimental and DFT investigations on solid solutions of Mo1-xRexS2 (0 < x <
0.20).
AB - The synthesis, characterization, experimental X-ray photoelectron spectra (XPS)
and density-functional theory (DFT) investigations on solid solutions of Mo1
xRexS2 (x = 0.05, 0.10, 0.15 and 0.20) are reported herein. It is shown that even
at a low concentration of dopant Re atoms, clustering occurs. At an Re
concentration of 5% the formation of dimer-like impregnations is observed. An
increase in the dopant concentration leads to an increase in the amount of
clustered rhenium atoms and to the formation of rhombic clusters. The absence of
magnetism within the studied Mo1-xRexS2 solid solutions allowed us to suggest a
mechanism for the distribution of rhenium inside molybdenum disulphide through
the initial formation of rhenium disulphide and its subsequent spreading.
PMID- 29790554
TI - Construction of dual-functional polymer nanomaterials with near-infrared
fluorescence imaging and polymer prodrug by RAFT-mediated aqueous dispersion
polymerization.
AB - The performance of functional polymer nanomaterials is a vigorously discussed
topic in polymer science. We devoted ourselves to investigating polymer
nanomaterials based on near-infrared (NIR) fluorescence imaging and polymer
prodrug in this study. Aza-boron dipyrromethene (BODIPY) is an important organic
dye, having characteristics such as environmental resistance, light resistance,
high molar extinction coefficient, and fluorescence quantum yield. We
incorporated it into our target monomer, which can be polymerized without
changing its parent structure in a polar solvent and copolymerized with water
soluble monomer to improve the solubility of the dye in an aqueous solution. At
the same time, the hydrophobic drug camptothecin (CPT) was designed as a prodrug
monomer, and the polymeric nanoparticles (NPs) with NIR fluorescence imaging and
prodrug were synthesized in situ in reversible addition-fragmentation chain
transfer (RAFT)-mediated aqueous dispersion polymerization. The dynamic light
scattering (DLS) and transmission electron microscopy (TEM) revealed the final
uniform size of the dual-functional polymeric NPs morphology. The dual-functional
polymeric NPs had a strong absorption and emission signal in the NIR region (>650
nm) based on the fluorescence tests. In consideration of the long-term biological
toxicity, confocal laser scanning microscopy (CLSM) results indicated that the
dual-functional NPs with controlled drug content exhibited effective capability
of killing HeLa cells. In addition, in vivo imaging of the dual-functional NPs
was observed in real time, and the fluorescent signals clearly demonstrated the
dynamic process of prodrug transfer.
PMID- 29790555
TI - Copper-catalyzed ambient-temperature decarboxylative annulation of isatins with
amidine hydrochlorides: a facile access to 2-(1,3,5-triazin-2-yl)aniline
derivatives.
AB - A copper-catalyzed cascade reaction using isatins and amidine hydrochlorides for
the synthesis of 2-(1,3,5-triazin-2-yl)aniline derivatives has been developed.
This reaction features commercially available starting materials, mild reaction
conditions and good functional group tolerance.
PMID- 29790556
TI - Fabricating highly luminescent solid hybrids based on silicon nanoparticles: a
simple, versatile and green method.
AB - In this work, we report a simple but novel method to transfer highly luminescent
silicon nanoparticles (Si NPs) from solutions to solids without sacrificing their
excellent photoluminescence (PL) properties. Hybrid Si NP/clay phosphors that
glowed ultrabright and had colorful PL properties were first obtained. More
importantly, large-area and flexible films with superior PL properties can be
easily obtained via combining the Si NP/clay hybrids with different kinds of
polymer. The Si NP-based phosphors and films from our method show high
stabilities with no significant loss of PL performance after long-term storage
(several months). In addition, bright yellow-emitting Si NPs were prepared and
used as down-converters for white-light-emitting diodes (W-LEDs). Overall, this
work presents a simple, versatile and green method to fabricate Si NP-based solid
hybrids with superior PL properties, which has promise to be applied in the
future in solid-state lighting fields.
PMID- 29790557
TI - Controlling the magic size of white light-emitting CdSe quantum dots.
AB - White light-emitting quantum dots (QDs) have shown brilliant prospects as a white
light source in solid-state lighting devices, however their commercial
application is limited by their low fluorescence quantum yield (QY). Here, we
report a facile thermal pyrolyzed organometallic route to synthesize white-light
emitting CdSe QDs with enhanced QY, by employing a particular non-coordinating
solvent and long carbon chain amine. By clarifying the three distinct growing
stages of CdSe nanocrystals, we are able to determine the critical growth
parameters for high quality magic size QDs. According to the optical measurement
and advanced characterization result, the as-synthesized magic-size QD samples
show an enhanced QY (up to 64%) and an ultra-high stability with no degradation
even after 120 days, while the fabricated WLED devices also exhibit desirable
properties (e.g., high QY and CRI, decent efficacy), demonstrating progress
towards the desired efficiency of a commercially viable solid-state lighting
device.
PMID- 29790558
TI - Proteins as supramolecular hosts for C60: a true solution of C60 in water.
AB - Hybrid systems have great potential for a wide range of applications in
chemistry, physics and materials science. Conjugation of a biosystem to a
molecular material can tune the properties of the components or give rise to new
properties. As a workhorse, here we take a C60@lysozyme hybrid. We show that
lysozyme recognizes and disperses fullerene in water. AFM, cryo-TEM and high
resolution X-ray powder diffraction show that the C60 dispersion is
monomolecular. The adduct is biocompatible, stable in physiological and
technologically-relevant environments, and easy to store. Hybridization with
lysozyme preserves the electrochemical properties of C60. EPR spin-trapping
experiments show that the C60@lysozyme hybrid produces ROS following both type I
and type II mechanisms. Due to the shielding effect of proteins, the adduct
generates significant amounts of 1O2 also in aqueous solution. In the case of
type I mechanism, the protein residues provide electrons and the hybrid does not
require addition of external electron donors. The preparation process and the
properties of C60@lysozyme are general and can be expected to be similar to other
C60@protein systems. It is envisaged that the properties of the C60@protein
hybrids will pave the way for a host of applications in nanomedicine,
nanotechnology, and photocatalysis.
PMID- 29790560
TI - Twin-mediated epitaxial growth of highly lattice-mismatched Cu/Ag core-shell
nanowires.
AB - Lattice-mismatch is an important factor for the heteroepitaxial growth of core
shell nanostructures. A large lattice-mismatch usually leads to a non-coherent
interface or a polycrystalline shell layer. In this study, a conformal Ag layer
is coated on Cu nanowires with dense nanoscale twin boundaries through a galvanic
replacement reaction. Despite a large lattice mismatch between Ag and Cu
(~12.6%), the Ag shell replicates the twinning structure in Cu nanowires and
grows epitaxially on the nanotwinned Cu nanowire. A twin-mediated growth
mechanism is proposed to explain the epitaxy of high lattice-mismatch bimetallic
systems in which the misfit dislocations are accommodated by coherent twin
boundaries.
PMID- 29790559
TI - PbTe quantum dots as electron transfer intermediates for the enhanced hydrogen
evolution reaction of amorphous MoSx/TiO2 nanotube arrays.
AB - Amorphous molybdenum sulfides (a-MoSx) have been demonstrated as economic and
efficient hydrogen evolution catalysts for water splitting. Further improvements
of their hydrogen evolution reaction (HER) activities could be achieved by
coupling them with appropriate electron transfer intermediates via interfacial
engineering. In this study, a novel ternary composite electrode comprising PbTe
quantum dots (QDs), a-MoSx and TiO2 nanotube arrays (TNAs) was successfully
fabricated by a facile combination of successive ionic layer adsorption and
reaction (SILAR) and electrodeposition routes. Investigation of the
microstructures and electrocatalytic properties of the a-MoSx/PbTe QD/TNA hybrid
material show that PbTe QDs can work as electron temporary storage and electron
transfer intermediates between the electrocatalyst a-MoSx and electrode-based
material TiO2 that significantly lower the impedance of electrode process,
enhance the energy band bending at the interface between the electrolyte and
electrode surface, and increase the electrochemically active surface area. The
electron interphase crossing from a-MoSx to electrolyte and electron transport
inside the electrode are greatly strengthened. The ternary PbTe@MoSx/TNA
electrode demonstrates lowered onset potential and Tafel slope and superior
electrocatalytic activity and cyclic stability towards HER.
PMID- 29790561
TI - Polymorphism of Ag29(BDT)12(TPP)43- cluster: interactions of secondary ligands
and their effect on solid state luminescence.
AB - We present the first example of polymorphism (cubic & trigonal) in single
crystals of an atomically precise monolayer protected cluster, Ag29(BDT)12(TPP)43
. We demonstrate that C-Hpi interactions of the secondary ligands (TPP) are
dominant in a cubic lattice compared to a trigonal lattice, resulting in a
greater rigidity of the structure, which in turn, results in a higher
luminescence efficiency in it.
PMID- 29790562
TI - Deciphering ion concentration polarization-based electrokinetic molecular
concentration at the micro-nanofluidic interface: theoretical limits and scaling
laws.
AB - The electrokinetic molecular concentration (EMC) effect at the micro-nanofluidic
interface, which enables million-fold preconcentration of biomolecules, is one of
the most compelling yet least understood nanofluidic phenomena. Despite the
tremendous interests in EMC and the substantial efforts devoted, the detailed
mechanism of EMC remains an enigma so far owing to its high complexity, which
gives rise to the significant scientific controversies outstanding for over a
decade and leaves the precise engineering of EMC devices infeasible. We report a
series of experimental and theoretical new findings that decipher the mechanism
of EMC. We demonstrate the first elucidation of two separate operating regimes of
EMC, and establish the first theoretical model that analytically yet concisely
describes the system. We further unveil the dramatically different scaling
behaviors of EMC in the two regimes, thereby clarifying the long-lasting
controversies. We believe this work represents important progress towards the
scientific understanding of EMC and related nano-electrokinetic systems, and
would enable the rational design and optimization of EMC devices for a variety of
applications.
PMID- 29790564
TI - Caged cyclopropenes for controlling bioorthogonal reactivity.
AB - Bioorthogonal ligations have been designed and optimized to provide new
experimental avenues for understanding biological systems. Generally, these
optimizations have focused on improving reaction rates and orthogonality to both
biology and other members of the bioorthogonal reaction repertoire. Less well
explored are reactions that permit control of bioorthogonal reactivity in space
and time. Here we describe a strategy that enables modular control of the
cyclopropene-tetrazine ligation. We developed 3-N-substituted spirocyclopropenes
that are designed to be unreactive towards 1,2,4,5-tetrazines when bulky N
protecting groups sterically prohibit the tetrazine's approach, and reactive once
the groups are removed. We describe the synthesis of 3-N spirocyclopropenes with
an appended electron withdrawing group to promote stability. Modification of the
cyclopropene 3-N with a bulky, light-cleavable caging group was effective at
stifling its reaction with tetrazine, and the caged cyclopropene was resistant to
reaction with biological nucleophiles. As expected, upon removal of the light
labile group, the 3-N cyclopropene reacted with tetrazine to form the expected
ligation product both in solution and on a tetrazine-modified protein. This
reactivity caging strategy leverages the popular carbamate protecting group
linkage, enabling the use of diverse caging groups to tailor the reaction's
activation modality for specific applications.
PMID- 29790563
TI - Backbone conformation affects duplex initiation and duplex propagation in
hybridisation of synthetic H-bonding oligomers.
AB - Synthetic oligomers equipped with complementary H-bond donor and acceptor side
chains form multiply H-bonded duplexes in organic solvents. Comparison of the
duplex forming properties of four families of oligomers with different backbones
shows that formation of an extended duplex with three or four inter-strand H
bonds is more challenging than formation of complexes that make only two H-bonds.
The stabilities of 1 : 1 complexes formed between length complementary homo
oligomers equipped with either phosphine oxide or phenol recognition modules were
measured in toluene. When the backbone is very flexible (pentane-1,5-diyl
thioether), the stability increases uniformly by an order of magnitude for each
additional base-pair added to the duplex: the effective molarities for formation
of the first intramolecular H-bond (duplex initiation) and subsequent
intramolecular H-bonds (duplex propagation) are similar. This flexible system is
compared with three more rigid backbones that are isomeric combinations of an
aromatic ring and methylene groups. One of the rigid systems behaves in exactly
the same way as the flexible backbone, but the other two do not. For these
systems, the effective molarity for formation of the first intramolecular H-bond
is the same as that found for the other two backbones, but additional H-bonds are
not formed between the longer oligomers. The effective molarities are too low for
duplex propagation in these systems, because the oligomer backbones cannot adopt
conformations compatible with formation of an extended duplex.
PMID- 29790565
TI - Positioning growth of NPB crystalline nanowires on the PTCDA nanocrystal
template.
AB - Non-planar organic molecules often form amorphous films via vapor phase
deposition on surfaces. In this study, we demonstrate for the first time that
direct crystalline growth of non-planar NPB is possible when the orientation of
initially deposited molecules on a PTCDA nanocrystal template is controlled to
make it analogous to the structure of the molecular crystal. The crystalline NPB
nanowires can be further positioned by controlling the site-selective growth of
PTCDA nanocrystal templates at pre-determined locations. Short channel bottom
contact OFET array with the NPB nanowires directly grown on electrodes were
subsequently fabricated. The hole mobility of NPB nanowires is improved by 40
fold in comparison to that of the amorphous films.
PMID- 29790566
TI - Night shift work and breast cancer risk: what do the meta-analyses tell us?
AB - Objectives This paper aims to compare results, assess the quality, and discuss
the implications of recently published meta-analyses of night shift work and
breast cancer risk. Methods A comprehensive search was conducted for meta
analyses published from 2007-2017 that included at least one pooled effect size
(ES) for breast cancer associated with any night shift work exposure metric and
were accompanied by a systematic literature review. Pooled ES from each meta
analysis were ascertained with a focus on ever/never exposure associations.
Assessments of heterogeneity and publication bias were also extracted. The AMSTAR
2 checklist was used to evaluate quality. Results Seven meta-analyses, published
from 2013-2016, collectively included 30 cohort and case-control studies spanning
1996-2016. Five meta-analyses reported pooled ES for ever/never night shift work
exposure; these ranged from 0.99 [95% confidence interval (CI) 0.95-1.03, N=10
cohort studies) to 1.40 (95% CI 1.13-1.73, N=9 high quality studies). Estimates
for duration, frequency, and cumulative night shift work exposure were scant and
mostly not statistically significant. Meta-analyses of cohort, Asian, and more
fully-adjusted studies generally resulted in lower pooled ES than case-control,
European, American, or minimally-adjusted studies. Most reported statistically
significant between-study heterogeneity. Publication bias was not evident in any
of the meta-analyses. Only one meta-analysis was strong in critical quality
domains. Conclusions Fairly consistent elevated pooled ES were found for
ever/never night shift work and breast cancer risk, but results for other shift
work exposure metrics were inconclusive. Future evaluations of shift work should
incorporate high quality meta-analyses that better appraise individual study
quality.
PMID- 29790567
TI - Regional diversity in the murine cortical vascular network is revealed by
synchrotron X-ray tomography and is amplified with age.
AB - Cortical bone is permeated by a system of pores, occupied by the blood supply and
osteocytes. With ageing, bone mass reduction and disruption of the microstructure
are associated with reduced vascular supply. Insight into the regulation of the
blood supply to the bone could enhance the understanding of bone strength
determinants and fracture healing. Using synchrotron radiation-based computed
tomography, the distribution of vascular canals and osteocyte lacunae was
assessed in murine cortical bone and the influence of age on these parameters was
investigated. The tibiofibular junction from 15-week- and 10-month-old female
C57BL/6J mice were imaged post-mortem. Vascular canals and three-dimensional
spatial relationships between osteocyte lacunae and bone surfaces were computed
for both age groups. At 15 weeks, the posterior region of the tibiofibular
junction had a higher vascular canal volume density than the anterior, lateral
and medial regions. Intracortical vascular networks in anterior and posterior
regions were also different, with connectedness in the posterior higher than the
anterior at 15 weeks. By 10 months, cortices were thinner, with cortical area
fraction and vascular density reduced, but only in the posterior cortex. This
provided the first evidence of age-related effects on murine bone porosity due to
the location of the intracortical vasculature. Targeting the vasculature to
modulate bone porosity could provide an effective way to treat degenerative bone
diseases, such as osteoporosis.
PMID- 29790568
TI - [Social cognition and cognitive functions in patients with epilepsy treated with
eslicarbazepine acetate].
AB - INTRODUCTION: Epilepsy is accompanied by cognitive disorders, frequently
aggravated by the use of antiepileptic drugs, which can affect social empathy.
AIM: To analyse the impact of treatment with eslicarbazepine acetate (ESL) on
social cognition and prefrontal cognitive functions in adults with focal
epilepsy. PATIENTS AND METHODS: We conducted a prospective single-centre study
with patients aged between 18 and 65 years with focal seizures treated with ESL.
The patients were evaluated in their baseline visit and at six months after
starting ESL treatment by means of tasks designed for theory of mind, executive
and attentional functions, auditory-verbal memory, quality of life, and anxiety
and depression. RESULTS: Forty-one patients were treated with ESL, and 30
completed the follow-up. A significant improvement was observed in the theory of
mind tasks. In the analysis stratified by sex, the men showed greater
improvement. A cognitive improvement was observed in the Wisconsin Card Sorting
Test, Symbol Digit, Backward Digit Span and Stroop tests. No differences were
found in the Quality of Life in Epilepsy-31 Inventory or in the Hospital Anxiety
and Depression Scale. These results were independent of the reduction in the
number of seizures and the ESL dosage. CONCLUSION: Treatment with ESL could
improve some aspects of theory of mind in patients with epilepsy, especially in
men and independently of the control of seizures, with no changes in quality of
life, anxiety or depression.
PMID- 29790569
TI - [Laryngeal dystonia: novel forms of therapeutic administration of botulinum toxin
by direct routes].
AB - AIM: To describe our experience in the treatment of laryngeal dystonia (in
abduction and adduction), with special emphasis given to the technical aspects
(approach procedure, dosage and type of botulinum toxin type A used), as well as
treatment response and possible side effects. PATIENTS AND METHODS: We conducted
a cross-sectional descriptive study of a sample of patients with laryngeal
dystonia treated by means of transoral administration of onabotulinumtoxinA or
incobotulinumtoxinA over a period of 10 years (2007-2017). Data collected include
demographic and clinical variables, treatment response (based on a self-rating
scale), the duration of treatment and the appearance of side effects. RESULTS:
SAMPLE SIZE: 15 patients (11 women; mean age: 44.06 years) with laryngeal
dystonia (mean time since onset of 40 months; 12 patients with dystonia in
adduction) and 174 administrations (92% incobotulinumtoxinA; average dosage of 5
U in each vocal cord). The procedure took an average of 11.7 minutes to perform.
Response was good in 31% of the procedures and very good in 57.5%. Side effects
were recorded in 14.4% of the procedures, although always mild and transitory,
with a predominance of dysphagia and dysphonia. CONCLUSION: In our experience,
transoral administration of botulinum toxin type A to treat laryngeal dystonia
has proved to be a simple, quick, effective and safe technique.
PMID- 29790570
TI - ["Man-in-the-barrel" syndrome: atypical manifestation of giant cell arteritis].
AB - INTRODUCTION: "Man-in-the-barrel" syndrome refers to diplegia of the upper
extremities in which mobility of the head and lower limbs is preserved. Brachial
plexitis that presents as "man-in-the-barrel" syndrome is an unusual
manifestation of giant cell arteritis. We report a case of C5-C6 plexitis as part
of the clinical features of a patient with giant cell arteritis. CASE REPORT: A
70-year-old male with a two-month history of weight loss, headache, facial pain
and jaw claudication, associated with a persistent elevation of acute phase
reactants and bilateral brachial plexopathy, with no evidence of neck or brain
injuries or occult neoplasm and with negative autoimmunity tests. Results of the
biopsy study of the temporal artery were compatible with giant cell arteritis,
and the positron emission tomography scan revealed extensive vascular involvement
of the aorta and its branches. CONCLUSIONS: Although the typical clinical
manifestations of giant cell arteritis are headache, jaw claudication, loss of
sight, constitutional symptoms and polymyalgia rheumatica, its presence must be
suspected in patients over the age of 50 who manifest alterations affecting the
peripheral nerve, including brachial diplegia with no other demonstrable cause.
PMID- 29790571
TI - [Epidemiology of Alzheimer's disease and other dementias].
AB - INTRODUCTION: Dementia is a clinical syndrome resulting from a number of
causations and which is usually accompanied by progressive and diffuse brain
dysfunction. The different subtypes are characterised by a clinical picture with
common symptoms that differ in terms of their aetiology, age, clinical
presentation, clinical course and associated disorders. AIM: To present an update
on the information available about the descriptive epidemiology of dementia and
its main subtypes. DEVELOPMENT: The main data on prevalence, incidence and
mortality were extracted from a literature review. Alzheimer's disease is the
most frequent subtype and accounts for 60-80% of all the cases, followed by
vascular dementia and other neurodegenerative dementias, such as dementia due to
Lewy bodies, the dementia-Parkinson complex and frontotemporal dementia. Other
subtypes of dementias present frequencies below 1%, and the epidemiological
indicators available are not very robust. CONCLUSIONS: The prevalence and
incidence of dementia increase exponentially from the age of 65 onwards. As a
consequence of the progressive ageing of the population and the increase in life
expectancy, the number of cases of dementia will rise in the coming decades.
Recent studies point to a slight drop in the accumulated risk of dementia
adjusted by age groups and sex over the last few decades in some countries. It is
possible that by means of primary prevention strategies implemented upon the
known risk factors for dementia the burden of dementia on public health will
diminish in the future.
PMID- 29790572
TI - [Selective dorsal rhizotomy: a review of the literature on this technique for the
treatment of spasticity in infantile cerebral palsy].
AB - INTRODUCTION: Infantile cerebral palsy is a well-known condition, the prevalence
of which has varied only slightly over the years. The most common subtype is
spastic diplegia, and spasticity is the most disabling symptom. Its treatment
involves a multidisciplinary intervention that includes rehabilitation, the use
of drugs, and orthopaedic and nervous system surgery, where selective dorsal
rhizotomy is a prominent procedure. AIM: To present a thorough review of the use,
indication and long-term consequences of selective dorsal rhizotomy. DEVELOPMENT:
It is a minimally invasive procedure aimed at reducing spasticity in the lower
extremities in order to improve the ability to walk, lessen pain, facilitate care
in everyday life and diminish the need for orthopaedic surgery. The literature
contains a wide range of criteria for its use, and the main indication is spastic
diplegia with the absence of dystonia. It is routinely performed in several
countries, while we have no evidence of its application in ours. CONCLUSIONS:
Following the literature review, we believe there is enough experience to state
that selective dorsal rhizotomy is a safe and simple technique from which many
patients with spasticity of the lower limbs secondary to infantile cerebral palsy
can benefit in both the short and the long term.
PMID- 29790573
TI - [Vitiligo with Koebner phenomenon in a patient with multiple sclerosis treated
with alemtuzumab].
PMID- 29790574
TI - Investigations on VELVET regulatory mutants confirm the role of host tissue
acidification and secretion of proteins in the pathogenesis of Botrytis cinerea.
AB - The Botrytis cinerea VELVET complex regulates light-dependent development and
virulence. The goal of this study was to identify common virulence defects of
several VELVET mutants and to reveal their molecular basis. Growth,
differentiation, physiology, gene expression and infection of fungal strains were
analyzed, and quantitative comparisons of in planta transcriptomes and secretomes
were performed. VELVET mutants showed reduced release of citric acid, the major
acid secreted by the wild-type, whereas no significant role for oxalic acid was
observed. Furthermore, a common set of infection-related and secreted proteins
was strongly underexpressed in the mutants. Quantitative secretome analysis with
15 N metabolic labeling revealed a correlation of changes in protein and mRNA
levels between wild-type and mutants, indicating that transcript levels determine
the abundance of secreted proteins. Infection sites kept at low pH partially
restored lesion expansion and expression of virulence genes by the mutants.
Drastic downregulation of proteases in the mutants was correlated with incomplete
degradation of cellular host proteins at the infection site, but no evidence was
obtained that aspartyl proteases are required for lesion formation. The B.
cinerea VELVET complex controls pathogenic differentiation by regulating organic
acid secretion, host tissue acidification, gene expression and protein secretion.
PMID- 29790575
TI - First identification of the nervous necrosis virus isolated from cultured golden
pompano (Trachinotus ovatus) in Guangxi, China.
PMID- 29790576
TI - Memory T cell subsets in healthy gingiva and periodontitis tissues.
AB - BACKGROUND: In the gingival sulcus, effective and balanced innate and adaptive
immune responses against subgingival plaque microbiome are crucial to maintain
immune homeostasis. In this study, we investigated the memory T cell subsets in
healthy gingiva and periodontitis tissues. METHODS: Anatomical localization of T
cells (CD3+ , CD4+ , and CD8+ ) in healthy gingiva and periodontitis tissues were
examined immunohistochemically. Subsets of memory T cells from isolated gingival
cells were analyzed by flow cytometry using a cocktail of monoclonal antibodies
(anti-CD69, anti-CD103, anti-CD45RA, anti-CCR7, anti-CD28, and anti-CD95).
Intracellular cytokine staining of interleukin (IL)-17 and interferon (IFN)-gamma
expression on memory T cells in periodontitis tissues was also investigated.
RESULTS: We found that healthy gingiva contains two memory T cell populations; a
CD69- recirculating population and a CD69+ gingiva-resident memory T cell
population. CD4+ T cells with transitional memory (TTM ) phenotype (CD45RA- CCR7-
CD28+ CD95+ ) constitute the major subset within these two populations. A
significant increase in the proportion of CD4+ CD69+ CD103- memory T cells was
observed in periodontitis tissues compared with healthy gingiva. CD4+ memory T
cells from periodontitis tissues produced either IL-17 or IFN-gamma whereas CD8+
memory T cells produced only IFN-gamma. CONCLUSIONS: Our findings suggest that
recirculating and gingiva-resident memory T cells could represent an important
part of the immune surveillance network in the connective tissue, maintaining
periodontal homeostasis. Imbalance of subgingival bacterial communities could
damage gingival barrier allowing bacterial antigens to get access to the deeper
connective tissue where they activate memory T cells leading to deleterious
inflammation; a hallmark of periodontitis.
PMID- 29790578
TI - Mycorrhizal fungi affect orchid distribution and population dynamics.
AB - Symbioses are ubiquitous in nature and influence individual plants and
populations. Orchids have life history stages that depend fully or partially on
fungi for carbon and other essential resources. As a result, orchid populations
depend on the distribution of orchid mycorrhizal fungi (OMFs). We focused on
evidence that local-scale distribution and population dynamics of orchids can be
limited by the patchy distribution and abundance of OMFs, after an update of an
earlier review confirmed that orchids are rarely limited by OMF distribution at
geographic scales. Recent evidence points to a relationship between OMF abundance
and orchid density and dormancy, which results in apparent density differences.
Orchids were more abundant, less likely to enter dormancy, and more likely to re
emerge when OMF were abundant. We highlight the need for additional studies on
OMF quantity, more emphasis on tropical species, and development and application
of next-generation sequencing techniques to quantify OMF abundance in substrates
and determine their function in association with orchids. Research is also needed
to distinguish between OMFs and endophytic fungi and to determine the function of
nonmycorrhizal endophytes in orchid roots. These studies will be especially
important if we are to link orchids and OMFs in efforts to inform conservation.
PMID- 29790579
TI - Extrathoracic subclavian-axillary vein location and morphological features over
the first rib for pacemaker and defibrillator lead implantation.
AB - BACKGROUND: We aimed to describe the variations of extrathoracic subclavian
axillary vein location and its morphology over the first rib by venography in
order to facilitate venous puncture using fluoroscopic landmarks without contrast
venography, and evaluate the success rate of punctures, which is made with our
method. METHODS: Patients who had undergone de novo lead implantation with the
help of prepuncture venography between 2011 and 2015 were enrolled. For detection
of the segmental location of the axillary vein, the zones were defined (Zone 1:
Posterior, Zone 2: Lateral, Zone 3: Medial) at the first rib by fluoroscopy.
Additionally, patients, who underwent venous puncture with our method after
January 2017, were evaluated in terms of puncture success. RESULTS: Four hundred
thirty-three patients who had prepuncture contrast venography for defibrillator
or pacemaker lead implantation in 2011-2015 were analyzed. The most common
position of the axillary vein was found to be over zone 2 (91%) while the zone 1
location was 8.5% and the zone 3 was 0.5%. Venous valves were detected on the
first rib in 98 patients. After January 2017, venous puncture using fluoroscopic
landmarks was performed to 171 patients. The punctures were successfully
performed over zone 2 with our method in 90.7% of the patients. CONCLUSIONS: The
most common radioanatomic position of the extrathoracic subclavian-axillary vein
was observed at zone 2 according to our method and the probability of presence of
venous valve over the first rib is 22%. Additionally, the success rate of
puncture using fluoroscopic landmarks over zone 2 was 90.7%.
PMID- 29790577
TI - Esophageal IgG4 levels correlate with histopathologic and transcriptomic features
in eosinophilic esophagitis.
AB - BACKGROUND: Recent data associate eosinophilic esophagitis (EoE) with IgG4 rather
than IgE, but its significance and function have not been determined. Our aims
were to measure esophageal IgG4 levels and to determine functional correlations
as assessed by histologic and transcriptome analyses. METHODS: This case-control
study included pediatric subjects with EoE (>=15 eosinophils/HPF) and non-EoE
controls. Protein lysates were analyzed for IgA, IgM, and IgG1-IgG4 using the
Luminex 100 system; IgE was quantified by ELISA. Esophageal biopsies were scored
using the EoE histology scoring system. Transcripts were probed by the EoE
diagnostic panel, designed to examine the expression of 96 esophageal
transcripts. RESULTS: Esophageal IgG subclasses, IgA, and IgM, but not IgE, were
increased in subjects with EoE relative to controls. The greatest change between
groups was seen in IgG4 (4.2 mg/g protein [interquartile range: 1.0-13.1 mg/g
protein] vs 0.2 mg/g protein [0.1-0.9]; P < .0001). Tissue IgG4 levels correlated
with esophageal eosinophil counts (P = .0006); histologic grade (P = .0011) and
stage (P = .0112) scores; and IL4, IL10, IL13, but not TGFB1, expression and had
strong associations with a subset of the EoE transcriptome. Esophageal IgG4
transcript expression was increased and correlated with IgG4 protein levels and
IL10 expression. CONCLUSION: These findings extend prior studies on IgG4 in adult
EoE to the pediatric population and provide deeper understanding of the potential
significance and regulation of IgG4, demonstrating that IgG4 is a relevant
feature of the disease; is closely related to esophageal eosinophil levels, type
2 immunity and T regulatory cytokines; and is likely produced locally.
PMID- 29790580
TI - Preclinical evaluation of potential infection-imaging probe [68 Ga]Ga-DOTA-K-A9
in sterile and infectious inflammation.
AB - The development of bacteria-specific infection radiotracers is of considerable
interest to improve diagnostic accuracy and enabling therapy monitoring. The aim
of this study was to determine if the previously reported radiolabelled 1,4,7,10
tetraazacyclododecane-N,N',N",N'''-tetraacetic acid (DOTA) conjugated peptide [68
Ga]Ga-DOTA-K-A9 could detect a staphylococcal infection in vivo and distinguish
it from aseptic inflammation. An optimized [68 Ga]Ga-DOTA-K-A9 synthesis omitting
the use of acetone was developed, yielding 93 +/- 0.9% radiochemical purity. The
in vivo infection binding specificity of [68 Ga]Ga-DOTA-K-A9 was evaluated by
micro positron emission tomography/magnetic resonance imaging of 15 mice with
either subcutaneous Staphylococcus aureus infection or turpentine-induced
inflammation and compared with 2-deoxy-2-[18 F]fluoro-D-glucose ([18 F]FDG). The
scans showed that [68 Ga]Ga-DOTA-K-A9 accumulated in all the infected mice at
injected doses >=3.6 MBq. However, the tracer was not found to be selective
towards infection, since the [68 Ga]Ga-DOTA-K-A9 also accumulated in mice with
inflammation. In a concurrent in vitro binding evaluation performed with a 5
carboxytetramethylrhodamine (TAMRA) fluorescence analogue of the peptide, TAMRA-K
A9, the microscopy results suggested that TAMRA-K-A9 bound to an intracellular
epitope and therefore preferentially targeted dead bacteria. Thus, the [68 Ga]Ga
DOTA-K-A9 uptake observed in vivo is presumably a combination of local hyperemia,
vascular leakiness and/or binding to an epitope present in dead bacteria.
PMID- 29790581
TI - The pharmacokinetics, pharmacodynamics and tolerability of PUR0200, a novel
tiotropium formulation, in chronic obstructive pulmonary disease.
AB - AIMS: PUR0200 is a tiotropium bromide formulation engineered with the iSPERSE dry
powder delivery technology. PUR0200 is being developed as a bioequivalent
alternative to tiotropium bromide, delivered using Spiriva(r) HandiHaler(r) (HH).
We investigated the bronchodilator effects, pharmacokinetics and safety of
PUR0200 in patients with chronic obstructive pulmonary disease (COPD). METHODS:
This was a randomized, placebo-controlled, crossover study using different
PUR0200 doses and the comparator tiotropium HH. In vitro aerodynamic particle
size distribution (aPSD) characterization of PUR0200 and tiotropium HH are
presented. The main endpoints included forced expiratory volume in 1 s (FEV1 )
trough and (0-24 h) and pharmacokinetic parameters. RESULTS: The increased fine
particle fraction of PUR0200 demonstrated by testing using the next-generation
impactor increased the proportion of drug available for lung deposition compared
with the tiotropium HH. There was a numerical dose-response effect for PUR0200 on
FEV1 , with 3 MUg demonstrating a lower effect than higher doses. The placebo
adjusted mean (95% confidence interval) increases from baseline at 24 h postdose
were 150 ml (100-200), 210 ml (160-270) and 200 ml (140-250) for 3 MUg, 6 MUg and
9 MUg doses of PUR0200, respectively. Tiotropium HH (18 MUg) caused a mean 169 ml
(standard deviation 157ml) improvement in trough FEV1 , which was not
significantly different to the PUR0200 effects at any of the tested doses.
CONCLUSIONS: PUR0200 treatment caused bronchodilation in COPD patients that was
similar in magnitude to that caused by tiotropium HH. This enabled a similar
clinical effect on lung function to be achieved with PUR0200 using a lower
metered dose of tiotropium compared with tiotropium HH.
PMID- 29790583
TI - Stress in biological invasions: Introduced invasive grey squirrels increase
physiological stress in native Eurasian red squirrels.
AB - Invasive alien species can cause extinction of native species through processes
including predation, interspecific competition for resources or disease-mediated
competition. Increases in stress hormones in vertebrates may be associated with
these processes and contribute to the decline in survival or reproduction of the
native species. Eurasian red squirrels (Sciurus vulgaris) have gone extinct
across much of the British Isles and parts of Northern Italy following the
introduction of North American invasive grey squirrels (Sciurus carolinensis). We
extracted glucocorticoid metabolites from faecal samples to measure whether the
presence of the invasive species causes an increase in physiological stress in
individuals of the native species. We show that native red squirrels in seven
sites where they co-occurred with invasive grey squirrels had glucocorticoid
concentrations that were three times higher than those in five sites without the
invasive species. Moreover, in a longitudinal study, stress hormones in native
red squirrels increased after colonisation by grey squirrels. When we
experimentally reduced the abundance of the invasive grey squirrels, the
concentration of faecal glucocorticoid metabolites in co-occurring red squirrels
decreased significantly between pre- and postremoval periods. Hence, we found
that the invasive species acts as a stressor which significantly increases the
concentrations of glucocorticoids in the native species. Given that sustained
elevations in glucocorticoids could reduce body growth and reproductive rate, our
results are consistent with previous studies where the co-occurrence of the
invasive grey squirrel was associated with smaller size and lower reproductive
output in red squirrels.
PMID- 29790584
TI - Effects of Psidium guajava leaf extract on secretion systems of Gram-negative
enteropathogenic bacteria.
AB - We screened a total of 672 plant-tissue extracts to search for phytochemicals
that inhibit the function of the type III secretion system (T3SS) of
enteropathogenic Escherichia coli (EPEC) and enterohemorrhagic E. coli (EHEC).
Among candidates examined, we found that an extract from the leaves of Psidium
guajava (guava) inhibited the secretion of the EspB protein from EPEC and EHEC
without affecting bacterial growth. The guava extract (GE) also inhibited EPEC
and EHEC from adhering to and injecting EspB protein into HEp-2 cells. GE seemed
to block the translocation of EspB from the bacterial cells to the culture
medium. In addition to EPEC and EHEC, GE also inhibited the T3SS of Yersinia
pseudotuberculosis and Salmonella enterica serovar Typhimurium. After exposure to
GE, Y. pseudotuberculosis stopped the secretion of Yop proteins and lost its
ability to induce the apoptosis of mouse bone marrow-derived macrophages. S.
Typhimurium exposed to GE ceased the secretion of Sip proteins and lost its
ability to invade HEp-2 cells. GE inhibited EspC secretion, the type V secretion
protein of EPEC, but not Shiga toxin2 from EHEC. Thus, our results suggest that
guava leaves contain a novel type of antimicrobial compound that could be used
for the therapeutic treatment and prevention of gram-negative enteropathogenic
bacterial infections.
PMID- 29790585
TI - The intracellular nucleotide-binding leucine-rich repeat receptor (SlNRC4a)
enhances immune signalling elicited by extracellular perception.
AB - Plant recognition and defence against pathogens employs a two-tiered perception
system. Surface-localized pattern recognition receptors (PRRs) act to recognize
microbial features, whereas intracellular nucleotide-binding leucine-rich repeat
receptors (NLRs) directly or indirectly recognize pathogen effectors inside host
cells. Employing the tomato PRR LeEIX2/EIX model system, we explored the
molecular mechanism of signalling pathways. We identified an NLR that can
associate with LeEIX2, termed SlNRC4a (NB-LRR required for hypersensitive
response-associated cell death-4). Co-immunoprecipitation demonstrates that
SlNRC4a is able to associate with different PRRs. Physiological assays with
specific elicitors revealed that SlNRC4a generally alters PRR-mediated responses.
SlNRC4a overexpression enhances defence responses, whereas silencing SlNRC4
reduces plant immunity. Moreover, the coiled-coil domain of SlNRC4a is able to
associate with LeEIX2 and is sufficient to enhance responses upon EIX perception.
On the basis of these findings, we propose that SlNRC4a acts as a noncanonical
positive regulator of immunity mediated by diverse PRRs. Thus, SlNRC4a could link
both intracellular and extracellular immune perceptions.
PMID- 29790582
TI - Acetyl-CoA Carboxylase Inhibition Reverses NAFLD and Hepatic Insulin Resistance
but Promotes Hypertriglyceridemia in Rodents.
AB - Pharmacologic inhibition of acetyl-CoA carboxylase (ACC) enzymes, ACC1 and ACC2,
offers an attractive therapeutic strategy for nonalcoholic fatty liver disease
(NAFLD) through simultaneous inhibition of fatty acid synthesis and stimulation
of fatty acid oxidation. However, the effects of ACC inhibition on hepatic
mitochondrial oxidation, anaplerosis, and ketogenesis in vivo are unknown. Here,
we evaluated the effect of a liver-directed allosteric inhibitor of ACC1 and ACC2
(Compound 1) on these parameters, as well as glucose and lipid metabolism, in
control and diet-induced rodent models of NAFLD. Oral administration of Compound
1 preferentially inhibited ACC enzymatic activity in the liver, reduced hepatic
malonyl-CoA levels, and enhanced hepatic ketogenesis by 50%. Furthermore,
administration for 6 days to high-fructose-fed rats resulted in a 20% reduction
in hepatic de novo lipogenesis. Importantly, long-term treatment (21 days)
significantly reduced high-fat sucrose diet-induced hepatic steatosis, protein
kinase C epsilon activation, and hepatic insulin resistance. ACCi treatment was
associated with a significant increase in plasma triglycerides (approximately 30%
to 130%, depending on the length of fasting). ACCi-mediated hypertriglyceridemia
could be attributed to approximately a 15% increase in hepatic very low-density
lipoprotein production and approximately a 20% reduction in triglyceride
clearance by lipoprotein lipase (P <= 0.05). At the molecular level, these
changes were associated with increases in liver X receptor/sterol response
element-binding protein-1 and decreases in peroxisome proliferator-activated
receptor-alpha target activation and could be reversed with fenofibrate co
treatment in a high-fat diet mouse model. Conclusion: Collectively, these studies
warrant further investigation into the therapeutic utility of liver-directed ACC
inhibition for the treatment of NAFLD and hepatic insulin resistance.
PMID- 29790587
TI - Prevalence of Venous Thromboembolism Diagnosed in Emergency Department Visits by
Cancer Patients and Associated Healthcare Resource Utilization in the United
States.
PMID- 29790586
TI - Pharmacokinetic and pharmacodynamic model for analysis of adalimumab administered
for Crohn's disease.
AB - Adalimumab (ADA) is used as a therapeutic agent for Crohn's disease (CD).
Although the dosage regimen has been established through clinical trial
experience, it has not been analysed theoretically. The present study analysed of
sequential changes in the Crohn's disease activity index (CDAI) after repeated
administrations of adalimumab using a pharmacokinetic and pharmacodynamic model.
In addition, we analysed the validity of the dosage regimen, and the potential
efficacy gained by increasing the dose and reducing the interval of
administration. The sequential changes in CDAI values obtained with our model
were in good agreement with observed CDAI values, which is considered to show the
validity of our analysis. We consider that our results showed the importance of a
loading dose of adalimumab to obtain remission in an early stage of active CD. In
addition, we showed that patients who have an incomplete response to adalimumab
can obtain similar efficacy from increasing the dose and reducing the dose
interval. In conclusion, our results showed that the present model may be applied
to predict the CDAI values of adalimumab for CD. They indicate the validity of
the dosage regimen, as well as the efficacy of increasing the dose and reducing
the dose interval.
PMID- 29790588
TI - The Long Noncoding RNA Cancer Susceptibility 9 and RNA Binding Protein
Heterogeneous Nuclear Ribonucleoprotein L Form a Complex and Coregulate Genes
Linked to AKT Signaling.
AB - The identification of viability-associated long noncoding RNAs (lncRNAs) might be
a promising rationale for new therapeutic approaches in liver cancer. Here, we
applied an RNA interference screening approach in hepatocellular carcinoma (HCC)
cell lines to find viability-associated lncRNAs. Among the multiple identified
lncRNAs with a significant impact on HCC cell viability, we selected cancer
susceptibility 9 (CASC9) due to the strength of its phenotype, expression, and up
regulation in HCC versus normal liver. CASC9 regulated viability across multiple
HCC cell lines as shown by clustered regularly interspaced short palindromic
repeats interference and single small interfering RNA (siRNA)-mediated and siRNA
pool-mediated depletion of CASC9. Further, CASC9 depletion caused an increase in
apoptosis and a decrease of proliferation. We identified the RNA binding protein
heterogeneous nuclear ribonucleoprotein L (HNRNPL) as a CASC9 interacting protein
by RNA affinity purification and validated it by native RNA immunoprecipitation.
Knockdown of HNRNPL mimicked the loss-of-viability phenotype observed upon CASC9
depletion. Analysis of the proteome (stable isotope labeling with amino acids in
cell culture) of CASC9-depleted and HNRNPL-depleted cells revealed a set of
coregulated genes which implied a role of the CASC9:HNRNPL complex in AKT
signaling and DNA damage sensing. CASC9 expression levels were elevated in
patient-derived tumor samples compared to normal control tissue and had a
significant association with overall survival of HCC patients. In a xenograft
chicken chorioallantoic membrane model, we measured decreased tumor size after
knockdown of CASC9. Conclusion: Taken together, we provide a comprehensive list
of viability-associated lncRNAs in HCC; we identified the CASC9:HNRNPL complex as
a clinically relevant viability-associated lncRNA/protein complex which affects
AKT signaling and DNA damage sensing in HCC.
PMID- 29790589
TI - Genotype-Phenotype Correlation of Hereditary Erythrocytosis Mutations, a single
center experience.
AB - Hereditary erythrocytosis is associated with high oxygen affinity hemoglobin
variants (HOAs), 2,3-bisphosphoglycerate deficiency and abnormalities in EPOR and
the oxygen-sensing pathway proteins PHD, HIF2alpha, and VHL. Our laboratory has
40 years of experience with hemoglobin disorder testing and we have characterized
HOAs using varied protein and molecular techniques including functional
assessment by p50 analysis. In addition, we have more recently commenced adding
the assessment of clinically relevant regions of the VHL, BPGM, EPOR, EGLN1
(PHD2), and EPAS1 (HIF2A) genes in a more comprehensive hereditary erythrocytosis
panel of tests. Review of our experience confirms a wide spectrum of alterations
associated with erythrocytosis which we have correlated with phenotypic and
clinical features. Through generic hemoglobinopathy testing we have identified
762 patients with 81 distinct HOA Hb variants (61 beta, 20 alpha), including 12
that were first identified by our laboratory. Of the 1192 cases received for an
evaluation specific for hereditary erythrocytosis, approximately 12% had
reportable alterations: 85 pathogenic/likely pathogenic mutations and 58 variants
of unknown significance. Many have not been previously reported. Correlation with
clinical and phenotypic data supports an algorithmic approach to guide economical
evaluation; although, testing is expanded if the suspected causes are negative or
of uncertain significance. Clinical features are similar and range from
asymptomatic to recurrent headaches, fatigue, restless legs, chest pain,
exertional dyspnea and thrombotic episodes. Many patients were chronically
phlebotomized with reported relief of symptoms. This article is protected by
copyright. All rights reserved.
PMID- 29790590
TI - Evaluation of biofilm removal and adverse effects on acrylic resin by diluted
concentrations of sodium hypochlorite and Ricinus communis solutions.
AB - PURPOSE: To verify whether 0.1% and 0.2% sodium hypochlorite (NaOCl), and 8%
Ricinus communis (RC) were able to remove denture biofilm without causing
deleterious effects to acrylic resin. BACKGROUND: Previous data show that denture
cleansers are effective in reducing biofilm; however, they can change acrylic
resin properties. METHODS: In a crossover trial, 47 denture wearers brushed and
soaked their dentures (20 min/14 d): control, 0.85% saline; SH1, 0.1% NaOCl; SH2,
0.2% NaOCl and RC. Denture biofilm on the intaglio surface was stained,
photographed and quantified (Image Tool(r) ). Furthermore, 80 rectangular and 80
disc-shaped specimens (Lucitone 550) were assigned into tested solutions (n =
20), simulating 5 years of daily short immersions (20 minutes). A colorimeter and
the National Bureau of Standards units (NBS) determined colour data (DeltaE).
Surface roughness and flexural strength were measured using rugosimeter and
universal testing machine, respectively. Data were compared by the Friedman test
(alpha = .05) followed by Wilcoxon, corrected by Bonferroni (alpha = .005)
(clinical) and Kruskal-Wallis followed by the Dunn test (alpha = .05)
(laboratorial). RESULTS: SH2 (MR=1.77) showed lower biofilm coverage; SH1 (MR =
2.37) and RC (MR = 2.74) presented intermediated values. RC (1.10 [0.96:1.75])
revealed higher colour alteration than SH1 (0.71 [0.62:0.80]) and SH2 (0.74
[0.58:0.85]); however, NBS classified all solutions as "trace" (0.0-0.5). There
was no statistical significance for surface roughness (P = .760) and flexural
strength (P = .547). CONCLUSIONS: The 0.2% NaOCl showed the best clinical
performance and did not cause adverse effects on acrylic resin on laboratory
analyses.
PMID- 29790591
TI - Synthesis of 13 C6 -labeled, dual-target inhibitor of cannabinoid-1 receptor (CB1
R) and inducible nitric oxide synthase (iNOS).
AB - Cannabinoid-1 receptor (CB1 R) antagonists/inverse agonists have great potential
in the treatment of metabolic disorders like dyslipidemia, type 2 diabetes, and
nonalcoholic steatohepatitis. Cannabinoid-1 receptor inverse agonists have also
been reported to be effective in mitigating fibrotic disorders in murine models.
Inducible nitric oxide synthase is another promising target implicated in
fibrotic and inflammatory disorders. We have disclosed MRI-1867 as a potent and
selective, peripherally acting dual-target inhibitor of the CB1 R and inducible
nitric oxide synthase (iNOS). Herein, we report the synthesis of [13 C6 ]-MRI
1867 as a racemate from commercially available chlorobenzene-13 C6 as the
starting, stable-isotope label reagent. The racemic [13 C6 ]-MRI-1867 was further
processed to the stable-isotope-labeled enantiopure compounds using chiral
chromatography. Both racemic [13 C6 ]-MRI-1867 and S-13 C6 -MRI-1867 will be used
to quantitate unlabeled S-MRI-1867 during clinical drug metabolism and
pharmacokinetics studies and will be used as a liquid chromatography-tandem mass
spectrometry bioanalytical standard.
PMID- 29790592
TI - Hepatitis B Virus-Upregulated LNC-HUR1 Promotes Cell Proliferation and
Tumorigenesis by Blocking p53 Activity.
AB - Recent studies have indicated that a number of long noncoding RNAs (lncRNAs) are
dysregulated in hepatocellular carcinoma, while their aberrant expressions are
associated with tumorigenesis and poor prognosis. To identify hepatitis B virus
(HBV)-related lncRNAs, we used RNA deep sequencing to quantify the abundances of
lncRNAs in HepG2 cells and HBV transgenic HepG2-4D14 cells. Here, we demonstrate
that lnc-HUR1 is significantly upregulated in HepG2-4D14 cells. We found that HBV
encoded hepatitis B x protein can enhance the transcription of lnc-HUR1.
Overexpression of lnc-HUR1 promotes cell proliferation, whereas knockdown of lnc
HUR1 inhibits cell growth. We identified that lnc-HUR1 can interact with p53 and
inhibit its transcriptional regulation on downstream genes, such as p21 and B
cell lymphoma 2-associated X protein. We generated lnc-HUR1 transgenic mice and
performed the partial hepatectomy (PHx) to examine liver regeneration. The data
showed that the ratio of liver weight to body weight in lnc-HUR1 transgenic mice
is higher than that in wild-type (WT) littermates at day 2 and day 3 following
hepatectomy. Consistently, the results of bromodeoxyuridine staining on liver
sections following hepatectomy indicate that the ratio of bromodeoxyuridine
positive cells in lnc-HUR1 transgenic mice is significantly higher than that in
WT mice, suggesting that lnc-HUR1 promotes cell proliferation during liver
regeneration. Next, we performed the experiment of diethylnitrosamine-induced
tumorigenesis. The data demonstrate that tumor number in lnc-HUR1 transgenic mice
is higher compared with control mice, indicating that lnc-HUR1 enhances
diethylnitrosamine-induced tumorigenesis. Conclusion: We reveal that HBV
upregulated lnc-HUR1 promotes cell proliferation and tumorigenesis by interacting
with p53 to block downstream gene transcription. Our findings suggest that lnc
HUR1 plays an important role in HBV-related hepatocellular carcinoma development
and may serve as a therapeutic marker for hepatocellular carcinoma. (Hepatology
2018; 00:000-000).
PMID- 29790593
TI - Efficacy and absorption of topical sirolimus for the treatment of vascular
anomalies in children: A case series.
AB - BACKGROUND/OBJECTIVES: Efficacy of topical sirolimus has recently been described
in lymphatic anomalies but not in other types of vascular anomalies. To our
knowledge, systemic absorption of topical sirolimus in these lesions has not yet
been reported. The objective was to evaluate the efficacy, tolerance, and
absorption of topical sirolimus 0.1% with different types of vascular anomalies
in children. METHODS: Sirolimus 0.1% was applied on cutaneous vascular anomalies
in six children aged 2-17. These anomalies consisted of three extratruncular
micro- and macrocystic lymphatic malformations and one each verrucous venous
malformation, truncular lymphatic malformation with angiokeratomas, and infantile
hemangioma. Sirolimus blood levels were measured after 1 week, 1 month, and 3
months. RESULTS: A rapid decrease in the size of superficial lymphatic
malformations in three of six patients and a significant decrease in discharge
from oozing lesions were observed. Response occurred in less than 3 months. The
truncular lymphatic malformation, verrucous venous malformation, and infantile
hemangioma did not respond to topical sirolimus. Sirolimus levels were
undetectable. Adverse effects were limited to local irritation. CONCLUSIONS:
Topical sirolimus 0.1% is a useful treatment for cutaneous manifestations of
extratruncular lymphatic malformations. The only adverse effect is local
irritation. No systemic effects are expected, because blood levels are clinically
insignificant.
PMID- 29790594
TI - Barriers to and enablers of diabetic retinopathy screening attendance: a
systematic review of published and grey literature.
AB - AIMS: To identify and synthesize studies reporting modifiable barriers/enablers
associated with retinopathy screening attendance in people with Type 1 or Type 2
diabetes, and to identify those most likely to influence attendance. METHODS: We
searched MEDLINE, EMBASE, PsycINFO, Cochrane Library and the 'grey literature'
for quantitative and qualitative studies to February 2017. Data (i.e. participant
quotations, interpretive summaries, survey results) reporting barriers/enablers
were extracted and deductively coded into domains from the Theoretical Domains
Framework; with domains representing categories of theoretical barriers/enablers
proposed to mediate behaviour change. Inductive thematic analysis was conducted
within domains to describe the role each domain plays in facilitating or
hindering screening attendance. Domains that were more frequently coded and for
which more themes were generated were judged more likely to influence attendance.
RESULTS: Sixty-nine primary studies were included. We identified six theoretical
domains ['environmental context and resources' (75% of included studies), 'social
influences' (51%), 'knowledge' (51%), 'memory, attention, decision processes'
(50%), 'beliefs about consequences' (38%) and 'emotions' (33%)] as the key
mediators of diabetic retinopathy screening attendance. Examples of barriers
populating these domains included inaccurate diabetic registers and confusion
between routine eye care and retinopathy screening. Recommendations by healthcare
professionals and community-level media coverage acted as enablers. CONCLUSIONS:
Across a variety of contexts, we found common barriers to and enablers of
retinopathy screening that could be targeted in interventions aiming to increase
screening attendance.
PMID- 29790595
TI - Monetary and nonmonetary household consumption of health services and the role of
insurance benefits: An analysis of the Mexico's National Household Income and
Expenditure Survey.
AB - OBJECTIVES: To study the monetary and nonmonetary consumption of healthcare
services at household level in Mexico and the magnitude of the contribution of
public programs. METHODS: By using the National Household Income and Expenditure
Survey 2012, we performed a cross-sectional and observational analysis of actual
household consumption of health services by insurance type (no insurance, social
security, Seguro Popular (SP)). Household consumption was divided into 2
categories: consumption related to "monetary" expenditure in health care and
"nonmonetary" consumption in health care by the household. Nonmonetary included
self-consumption or gifts received from other households and institutional
contributions such as government payments or private organization transfers.
RESULTS: In SP households, monetary and nonmonetary consumption of health
services represented the highest proportion of available household expenditure
(11.2%) compared to uninsured (8.4%) and social security (5.9%) households. The
prevalence of outpatient consultation and medicine use is the highest among the
health service consumption categories regardless of insurance status.
Distribution of nonmonetary versus monetary consumption of health services was
pro-poor: The poorer the households, the larger the proportion of nonmonetary
consumption. CONCLUSIONS: The higher probability of receiving nonmonetary
resources as a component of health service consumption in SP households is likely
to increase the affordability to health services and likely to reduce healthcare
expenditures. Future research should focus on the type of nonmonetary consumption
of health services at household level to better understand financial protection
and access to health care in Mexico.
PMID- 29790596
TI - Rivaroxaban for stroke prevention in people with atrial fibrillation and diabetes
mellitus.
PMID- 29790597
TI - Stability of structurally entangled protein dimers.
AB - We studied stretching, folding and thermodynamic properties of structurally
entangled protein dimers. The tests for entanglement involve four-terminal
pulling. We study the dynamics of such pulling and contrast it with the standard
two-terminal one. The two-chain entanglement is qualitatively characterized by
its entangled core, which is defined as the minimal structure that is entangled.
The existence of the entangled cores is found to be affecting both the mechanical
and folding properties of the proteins. We also show that the folding pathways of
the entangled proteins are not universal but the bottleneck is always the
formation of the entangled conformation. We demonstrate that entanglement
enhances thermodynamic stability.
PMID- 29790598
TI - Detection of lichen planus pigmentosus with dermoscopy and reflectance confocal
microscopy.
PMID- 29790599
TI - Towards a reliable, non-invasive melanin assessment for pigmented skin.
PMID- 29790600
TI - Characterizing the tissue dielectric constant of skin basal cell cancer lesions.
AB - BACKGROUND: Measuring tissue dielectric constant (TDC) of cancer tissues to
distinguish them from normal or non-cancerous tissues has been an active area of
research that has targeted several different cancer types usually using in vitro
specimens. The goal of this study was to determine if and to what extent TDC
values measured in vivo at 300 MHz using a simple hand-held measuring device
might differentiate between skin cancer lesions and non-cancerous skin. MATERIALS
AND METHODS: Triplicate TDC measurements were made in 32 patients who were
subsequently diagnosed with skin basal cell carcinoma (BCC) and in 14 patients
subsequently diagnosed as having non-cancerous lesions. Lesion TDC values were
compared to contralateral unaffected skin and between lesion types. RESULTS: A
significantly lower TDC value (mean +/- SD) of BCC lesions (TDCL ) vs TDC values
of contralateral non-affected skin (TDCC ) was found (22.4 +/- 16.2 vs 38.1 +/-
15.2, P < .00001). A similar pattern was found for non-cancerous lesions with
lesion TDC values less than non-affected skin (14.5 +/- 9.0 vs 29.1 +/- 9.0, P <
.0001). However, TDC values were not statistically different between BCC lesions
and non-cancerous lesions (22.4 +/- 16.2 vs 14.5 +/- 9.0, P = .096) and
calculated TDCL /TDCC ratios between BCC lesions and non-cancerous lesions also
were not significantly different (0.596 +/- 0.345 vs 0.501 +/- 0.261, P = .364).
CONCLUSIONS: (1) Main results do not support using TDC measurements to
differentiate in vivo skin cancer lesions from non-cancerous lesions. (2) TDC
values strongly suggest reduced water content of both cancerous and non-cancerous
lesions. (3) Lesion TDC measurements provide reference values for future studies.
PMID- 29790601
TI - A method for partitioning the information contained in a protein sequence between
its structure and function.
AB - Proteins employ the information stored in the genetic code and translated into
their sequences to carry out well-defined functions in the cellular environment.
The possibility to encode for such functions is controlled by the balance between
the amount of information supplied by the sequence and that left after that the
protein has folded into its structure. We study the amount of information
necessary to specify the protein structure, providing an estimate that keeps into
account the thermodynamic properties of protein folding. We thus show that the
information remaining in the protein sequence after encoding for its structure
(the 'information gap') is very close to what needed to encode for its function
and interactions. Then, by predicting the information gap directly from the
protein sequence, we show that it may be possible to use these insights from
information theory to discriminate between ordered and disordered proteins, to
identify unknown functions, and to optimize artificially-designed protein
sequences.
PMID- 29790602
TI - Folding with a protein's native shortcut network.
AB - A complex network approach to protein folding is proposed, wherein a protein's
contact map is reconceptualized as a network of shortcut edges, and folding is
steered by a structural characteristic of this network. Shortcut networks are
generated by a known message passing algorithm operating on protein residue
networks. It is found that the shortcut networks of native structures (SCN0s) are
relevant graph objects with which to study protein folding at a formal level. The
logarithm form of their contact order (SCN0_lnCO) correlates significantly with
folding rate of two-state and nontwo-state proteins. The clustering coefficient
of SCN0s (CSCN0 ) correlates significantly with folding rate, transition-state
placement and stability of two-state folders. Reasonable folding pathways for
several model proteins are produced when CSCN0 is used to combine protein
segments incrementally to form the native structure. The folding bias captured by
CSCN0 is detectable in non-native structures, as evidenced by Molecular Dynamics
simulation generated configurations for the fast folding Villin-headpiece
peptide. These results support the use of shortcut networks to investigate the
role protein geometry plays in the folding of both small and large globular
proteins, and have implications for the design of multibody interaction schemes
in folding models. One facet of this geometry is the set of native shortcut
triangles, whose attributes are found to be well-suited to identify dehydrated
intraprotein areas in tight turns, or at the interface of different secondary
structure elements.
PMID- 29790603
TI - Hyperkalaemia in people with diabetes: occurrence, risk factors and outcomes in a
Danish population-based cohort study.
AB - AIMS: To examine the incidence, risk factors and clinical outcomes of
hyperkalaemia in people with diabetes in a real-world setting. METHODS: Using
Danish health registries, we identified a population-based cohort of people with
first-time drug-treated diabetes, in the period 2000-2012. First, the cumulative
incidence of hyperkalaemia, defined as first blood test with potassium level >5.0
mmol/l after diabetes treatment initiation, was ascertained. Second, in a case
control analysis, risk factors were compared in people with vs without
hyperkalaemia. Third, clinical outcomes were assessed among individuals with
hyperkalaemia in a before-after analysis, and among people with and without
hyperkalaemia in a matched cohort analysis. RESULTS: Of 68 601 individuals with
diabetes (median age 62 years, 47% women), 16% experienced hyperkalaemia
(incidence rate 40 per 1000 person-years) during a mean follow-up of 4.1 years.
People who developed hyperkalaemia had a higher prevalence of chronic kidney
disease [prevalence ratio 1.74 (95% CI 1.68-1.81)], heart failure [prevalence
ratio 2.35 (95% CI 2.18-2.54)], use of angiotensin-converting enzyme inhibitors
[prevalence ratio 1.24 (95% CI 1.20-1.28)], use of spironolactone [prevalence
ratio 2.68 (95% CI 2.48-2.88)] and potassium supplements [prevalence ratio 1.59
(95% CI 1.52-1.67)]. In people with diabetes who developed hyperkalaemia, 31%
were acutely hospitalized within 6 months before hyperkalaemia, increasing to 50%
6 months after hyperkalaemia [before-after risk ratio 1.67 (95% CI 1.61-1.72)].
The 6-month mortality rate after hyperkalaemia was 20%. Compared with matched
individuals without hyperkalaemia, the hazard ratio for death was 6.47 (95% CI
5.81-7.21). CONCLUSIONS: One in six newly diagnosed people with diabetes
experienced a hyperkalaemic event, which was associated with severe clinical
outcomes and death.
PMID- 29790604
TI - Synthesis of Dihydroxyalkynyl and Dihydroxyalkyl Nucleotides as Building Blocks
or Precursors for Introduction of Diol or Aldehyde Groups to DNA for
Bioconjugations.
AB - (3,4-Dihydroxybut-1-ynyl)uracil, -cytosine and -7-deazaadenine 2'
deoxyribonucleoside triphosphates (dNTPs) were prepared by direct aqueous
Sonogashira cross-coupling of halogenated dNTPs with dihydroxybut-1-yne and
converted to 3,4-dihydroxybutyl dNTPs through catalytic hydrogenation. Sodium
periodate oxidative cleavage of dihydroxybutyl-dUTP gave the desired aliphatic
aldehyde-linked dUTP, whereas the oxidative cleavage of the corresponding
deazaadenine dNTP gave a cyclic aminal. All dihydroxyalkyl or -alkynyl dNTPs and
the formylethyl-dUTP were good substrates for DNA polymerases and were used for
synthesis of diol- or aldehyde-linked DNA. The aldehyde linked DNA was used for
the labelling or bioconjugations through hydrazone formation or reductive
aminations.
PMID- 29790606
TI - The significance of spectrophotometric image analysis for diagnosis of the
melanocytic skin tumours in association with their thickness.
AB - BACKGROUND: Cutaneous melanoma is a melanocytic skin tumour, which has very poor
prognosis while it is highly resistant to treatment and tends to metastasize.
Thickness of melanoma is one of the most important biomarker for stage of
disease, prognosis and surgery planning. In this study, we hypothesized that the
analysis of spectrophotometric (SIAscope) images can provide the information
about skin tumour thickness. METHODS: The intensity of blood displacement,
"erythematous blush", collagen holes, intensity of collagen, dermal and epidermal
melanin were estimated in SIAgraphs. Tumour thicknesses were evaluated non
invasively in ultrasound images before excision. The diagnosis and Breslow index
of each tumour were evaluated during routine histological examination. RESULTS:
The logistic regression analysis of two thicknesses groups of melanocytic tumours
(<=1 mm, n = 72 and >1 mm, n = 30), using six SIAscopic features lead to achieve
the areas under the ROC curves of 0.9 and 0.96 respectively. Overall the
sensitivity and specificity of SIAscopy observed in this study is 81.4% and 86.4%
respectively. CONCLUSION: The features of SIAgraphs individually are not enough
specific for melanoma diagnosis with different thickness. Promising results were
observed for differentiation of melanocytic skin tumour, using all 6 SIAscopic
features, which correspond to the distribution, location and concentration of
skin chromophores.
PMID- 29790605
TI - CD57 identifies T cells with functional senescence before terminal
differentiation and relative telomere shortening in patients with activated PI3
kinase delta syndrome.
AB - Premature T-cell immunosenescence with CD57+ CD8+ T-cell accumulation has been
linked to immunodeficiency and autoimmunity in primary immunodeficiencies
including activated PI3 kinase delta syndrome (APDS). To address whether CD57
marks the typical senescent T-cell population seen in adult individuals or
identifies a distinct population in APDS, we compared CD57+ CD8+ T cells from
mostly pediatric APDS patients to those of healthy adults with similarly
prominent senescent T cells. CD57+ CD8+ T cells from APDS patients were less
differentiated with more CD27+ CD28+ effector memory T cells showing increased
PD1 and Eomesodermin expression. In addition, transition of naive to CD57+ CD8+ T
cells was not associated with the characteristic telomere shortening.
Nevertheless, they showed the increased interferon-gamma secretion, enhanced
degranulation and reduced in vitro proliferation typical of senescent CD57+ CD8+
T cells. Thus, hyperactive PI3 kinase signaling favors premature accumulation of
a CD57+ CD8+ T-cell population, which shows most functional features of typical
senescent T cells, but is different in terms of differentiation and relative
telomere shortening. Initial observations indicate that this specific
differentiation state may offer the opportunity to revert premature T-cell
immunosenescence and its potential contribution to inflammation and
immunodeficiency in APDS.
PMID- 29790607
TI - Rosacea-like cutaneous localization of small lymphocytic lymphoma unmasked by
high-frequency-ultrasound.
PMID- 29790608
TI - How accurately do force fields represent protein side chain ensembles?
AB - Although the protein backbone is the most fundamental part of the structure, the
fine-tuning of side-chain conformations is important for protein function, for
example, in protein-protein and protein-ligand interactions, and also in enzyme
catalysis. While several benchmarks testing the performance of protein force
fields for side chain properties have already been published, they often
considered only a few force fields and were not tested against the same
experimental observables; hence, they are not directly comparable. In this work,
we explore the ability of twelve force fields, which are different flavors of
AMBER, CHARMM, OPLS, or GROMOS, to reproduce average rotamer angles and rotamer
populations obtained from extensive NMR studies of the 3 J and residual dipolar
coupling constants for two small proteins: ubiquitin and GB3. Based on a total of
196 MUs sampling time, our results reveal that all force fields identify the
correct side chain angles, while the AMBER and CHARMM force fields clearly
outperform the OPLS and GROMOS force fields in estimating rotamer populations.
The three best force fields for representing the protein side chain dynamics are
AMBER 14SB, AMBER 99SB*-ILDN, and CHARMM36. Furthermore, we observe that the side
chain ensembles of buried amino acid residues are generally more accurately
represented than those of the surface exposed residues.
PMID- 29790609
TI - Detection of hydroquinone by Raman spectroscopy in patients with melasma before
and after treatment.
AB - BACKGROUND: Melasma is an acquired, facial hyperpigmentation without a specific
origin. It is regularly associated with multiple etiologic factors such as
pregnancy, genetic, racial, and from estrogen administration. Among the methods
to treat skin hyperpigmentation a series of skin bleaching agents have been used.
At present, the most commonly used agent is known as hydroquinone. Nowadays, it
is known that hydroquinone can cause cancer in animals with unknown relevance to
humans. MATERIAL AND METHODS: In this work, Raman spectroscopy was used to
observe the presence of hydroquinone in the skin of 18 patients who have been
under treatment for melasma. RESULTS: A significant increase in the Raman signal
was observed in the six bands associated with hydroquinone after melasma
treatment. CONCLUSION: The authors believe that monitoring the presence of
hydroquinone may be useful for an optimal personalized treatment of melasma and
to provide the specialist a support tool to control the administration of this
type of bleaching agents.
PMID- 29790610
TI - Highly Luminescent Dual Mode Polymeric Nanofiber-Based Flexible Mat for White
Security Paper and Encrypted Nanotaggant Applications.
AB - Increasing counterfeiting of important data, currency, stamp papers, branded
products etc., has become a major security threat which could lead to serious
damage to the global economy. Consequences of such damage are compelling for
researchers to develop new high-end security features to address full-proof
solutions. Herein, we report a dual mode flexible highly luminescent white
security paper and nanotaggants composed of nanophosphors incorporated in polymer
matrix to form a nanofiber-based mat for anti-counterfeiting applications. The
dual mode nanofibers are fabricated by electrospinning technique by admixing the
composite of NaYF4 :Eu3+ @NaYF4 :Yb3+ , Er3+ nanophosphors in the polyvinyl
alcohol solution. This flexible polymer mat derived from nanofibers appears white
in daylight, while emitting strong red (NaYF4 :Eu3+ ) and green (NaYF4 :Yb3+ ,
Er3+ ) colors at excitation wavelengths of 254 nm and 980 nm, respectively. These
luminescent nanofibers can also be encrypted as a new class of nanotaggants to
protect confidential documents. These obtained results suggest that highly
luminescent dual mode polymeric nanofiber-based flexible white security paper and
nanotaggants could offer next-generation high-end unique security features
against counterfeiting.
PMID- 29790611
TI - Anesthesia effects on the low frequency blood flow oscillations in mouse skin.
AB - BACKGROUND: When laboratory animals are used one needs to anesthetize them before
recording. However, the influence of anesthesia on animal blood flow oscillations
has not been studied. The effects of two ways of anesthesia, zoletil-xylazine,
and zoletil-nitrous oxide mixtures, on mouse skin perfusion using laser Doppler
flowmetry (LDF) technique were studied. METHODS: BALB/c mice were used. LDF probe
was placed on the ventral surface of the left hind paw. Spectral analysis of LDF
signals was performed with continuous adaptive wavelet transform to identify and
describe peripheral blood flow oscillations in mouse skin. RESULTS: Low-frequency
oscillation interval boundaries (myogenic, neurogenic, and endothelial) for mice
were shown to coincide with the boundaries determined for human and rats, that
demonstrate their independence from the body size. Zoletil-xylazine anesthesia
significantly decreased neurogenic and endothelial oscillation amplitudes by 29%
and 50% respectively and increased the amplitude of cardiac oscillations by 23%
compared to zoletyl-nitrous oxide anesthesia. There were no significant changes
of the amplitudes of myogenic and respiratory oscillations with zoletil-nitrous
oxide anesthesia compared to the zoletil-xylazine mixture. CONCLUSION: We suggest
that the different influence of anesthesia modes on the amplitudes of skin blood
flow oscillations is associated with sympathetic activity suppressed by zoletil
xylazine anesthesia.
PMID- 29790612
TI - Split-axilla comparison study of 0.5-MHz, invasive, bipolar radiofrequency
treatment using insulated microneedle electrodes for primary axillary
hyperhidrosis.
AB - BACKGROUND: Energy-delivering devices can be used to induce thermal coagulation
of the eccrine sweat glands for treating primary axillary hyperhidrosis (PAH).
OBJECTIVE: The objective of this study was to compare the efficacy and safety of
invasive, bipolar radiofrequency (RF) treatment for PAH. METHODS: A split-axilla
study was performed to compare the clinical outcomes of 0.5 MHz, invasive,
bipolar RF treatment with treatment settings of a longer conduction time and
lower power (LC/LP) vs a shorter conduction time and higher power (SC/HP) for
treating PAH. RESULTS: The in vivo study revealed median hyperhidrosis disease
severity scale scores of 1.5 (interquartile range [IQR], 1-2) at 1 month and 1
(IQR, 1-2) at 3 months after treatment with the LC/LP setting, compared to
baseline. Meanwhile, the other side of the axillae treated with the SC/HP setting
showed scores of 2 (IQR, 2-2) at 1 month and 2 (IQR, 1.25-2) at 3 months.
Analysis via a linear mixed model revealed a significant interaction (group, P =
.011; time, P < .001; and group * time, P = .048) between treatment group and
time. CONCLUSION: PAH can be effectively and safely treated with invasive,
multilayered, multiple-pass, 0.5-MHz, bipolar RF treatment, particularly with
LC/LP.
PMID- 29790613
TI - New Vistas in Transmetalation with Discrete "AgCF3 " Species: Implications in Pd
Mediated Trifluoromethylation Reactions.
AB - This work describes the employment of discrete "AgCF3 " complexes as efficient
transmetalating agents to PdII to surmount overlooked challenges related to the
transmetalation step in Pd-catalyzed trifluoromethylation processes. We report
the participation of a unique silver ate (Cs)[Ag(CF3 )2 ] complex, under
stoichiometric and catalytic conditions, in the unprecedented one-pot formation
of PhCF3 using PhI as starting material. Moreover, we show that the
transmetalation step, which is often ignored in these transformations, can also
determine the success or failure of the coupling process.
PMID- 29790614
TI - Effects of oral supplementation of probiotic strains of Lactobacillus rhamnosus
and Enterococcus faecium on diarrhoea events of foals in their first weeks of
life.
AB - Foal first diarrhoea is one of the most prominent problems in the early life of
horses. Probiotics might have the potency to prevent or at least diminish
neonatal diarrhoea. We hypothesised that the treatment of foals with probiotic
strains of Lactobacillus rhamnosus and Enterococcus faecium starting early after
birth and then daily over 2 weeks would prevent or mitigate foal heat diarrhoea.
The influence of this probiotic treatment on diarrhoea incidence and growth and
health performance of young foals was investigated. Thirty-four foals were
randomly allocated to two groups. From day 1 to 14 of life, the foals received
either placebo (PG, n = 16) or the probiotic treatment (TG, n = 18). Clinical
examination was performed, and the faeces consistency score (FCS, 1-5; with
diarrhoea defined by <=3) was recorded once per day in weeks 1 and 2 and once
weekly in weeks 3-8 of life (WL). The body height was measured at birth and after
two and eight WL. Diarrhoea occurred in the 1st WL in 19% and 61% of PG and TG
foals respectively. In the 1st WL, diarrhoea lasted 0.3 +/- 0.8 and 1.6 +/- 1.4
days in PG and TG foals respectively. In the 2nd WL, diarrhoea occurred in 94%
and 84% of PG and TG foals, respectively, and lasted for 3.0 +/- 1.5 and 3.7 +/-
1.6 days respectively. At least two periods of diarrhoea developed in 33% and 65%
of PG and TG foals respectively. The TG foals grew slightly slower than the PG
foals. The results indicated that the probiotic treatment of neonatal foals as
performed in this study was not suitable to reduce diarrhoea within the first two
WL, because contrary to the hypothesis, the TG foals suffered more frequently and
for longer periods from diarrhoea than the PG foals.
PMID- 29790615
TI - A journey into the retina: Muller glia commanding survival and death.
AB - Muller glial cells (MGCs) are known to participate actively in retinal
development and to contribute to homoeostasis through many intracellular
mechanisms. As there are no homologous cells in other neuronal tissues, it is
certain that retinal health depends on MGCs. These macroglial cells are located
at the centre of the columnar subunit and have a great ability to interact with
neurons, astrocytes, microglia and endothelial cells in order to modulate
different events. Several investigations have focused their attention on the role
of MGCs in diabetic retinopathy, a progressive pathology where several insults
coexist. As expected, data suggest that MGCs display different responses
according to the severity of the stimulus, and therefore trigger distinct events
throughout the course of the disease. Here, we describe physiological functions
of MGCs and their participation in inflammation, gliosis, synthesis and secretion
of trophic and antioxidant factors in the diabetic retina. We invite the reader
to consider the protective/deleterious role of MGCs in the early and late stages
of the disease. In the light of the results, we open up the discussion around and
ask the question: Is it possible that the modulation of a single cell type could
improve or even re-establish retinal function after an injury?
PMID- 29790616
TI - In vitro and in vivo metabolic profiles of fasiglifam using ultrahigh-performance
liquid chromatography combined with Q-Exactive Orbitrap tandem mass spectrometry.
AB - RATIONALE: Fasiglifam is an orally available and selective partial agonist of
hGPR40 receptor, which was unexpectedly terminated at phase III clinical trials
due to its severe hepatotoxicity. To fully understand the mechanism of action of
fasiglifam, it is necessary to investigate its in vitro and in vivo metabolic
profiles. METHODS: For in vitro metabolism, fasiglifam was incubated with rat or
human liver microsomes in the presence of beta-nicotinamide adenine dinucleotide
phosphate tetrasodium salt, glutathione (GSH) and uridine diphosphate glucuronic
acid trisodium salt for 60 min. For in vivo metabolism, fasiglifam was orally
administered to rats at a single dose of 20 mg/kg and the bile was collected. In
vitro and in vivo samples were analyzed by the developed ultrahigh-performance
liquid chromatography combined with Q-Exactive Orbitrap tandem mass spectrometry.
The structures of metabolites were proposed according to their accurate masses
and fragment ions. RESULTS: A total of eight metabolites, including an acyl-GSH
adduct, were detected and identified. M1 (acylglucuronide) and M5 (carboxylic
acid derivative) were the major metabolites of fasiglifam. Metabolic pathways of
fasiglifam involved oxygenation, oxidative dealkylation, dehydrogenation,
glucuronidation and GSH conjugation. Fasiglifam may undergo metabolic
bioactivation via acylglucuronide. CONCLUSIONS: Oxidative dealkylation and
glucuronidation were the predominant metabolic pathways of fasiglifam in vitro
and in vivo. Metabolic bioactivation via acylglucuronide may be the perpetrator
of its hepatotoxicity. Our findings would be helpful in understanding the
disposition of fasiglifam as well as its hepatotoxicity.
PMID- 29790618
TI - Intratendinous Injection of Autologous Adipose Tissue-Derived Mesenchymal Stem
Cells for the Treatment of Rotator Cuff Disease: A First-In-Human Trial.
AB - Despite relatively good results of current symptomatic treatments for rotator
cuff disease, there has been an unmet need for fundamental treatments to halt or
reverse the progress of disease. The purpose of this study was to assess the
safety and efficacy of intratendinous injection of autologous adipose tissue
derived mesenchymal stem cells (AD MSCs) in patients with rotator cuff disease.
The first part of the study consists of three dose-escalation cohorts; the low-
(1.0 * 107 cells), mid- (5.0 * 107 ), and high-dose (1.0 * 108 ) groups with
three patients each for the evaluation of the safety and tolerability. The second
part included nine patients receiving the high-dose for the evaluation of the
exploratory efficacy. The primary outcomes were the safety and the shoulder pain
and disability index (SPADI). Secondary outcomes included clinical, radiological,
and arthroscopic evaluations. Twenty patients were enrolled in the study, and two
patients were excluded. Intratendinous injection of AD MSCs was not associated
with adverse events. It significantly decreased the SPADI scores by 80% and 77%
in the mid- and high-dose groups, respectively. Shoulder pain was significantly
alleviated by 71% in the high-dose group. Magnetic resonance imaging examination
showed that volume of the bursal-side defect significantly decreased by 90% in
the high-dose group. Arthroscopic examination demonstrated that volume of the
articular- and bursal-side defects decreased by 83% and 90% in the mid- and high
dose groups, respectively. Intratendinous injection of autologous AD MSCs in
patient with a partial-thickness rotator cuff tear did not cause adverse events,
but improved shoulder function, and relieved pain through regeneration of rotator
cuff tendon. Stem Cells 2018;36:1441-1450.
PMID- 29790619
TI - Letter to the Editor of Haemophilia.
PMID- 29790617
TI - Plant growth chamber design for subambient pCO2 and delta13 C studies.
AB - RATIONALE: Subambient pCO2 has persisted across the major Phanerozoic ice ages,
including the entire late Cenozoic (ca 30 Ma to present). Stable isotope analysis
of plant-derived organic matter is used to infer changes in pCO2 and climate in
the geologic past, but a growth chamber that can precisely control environmental
conditions, including pCO2 and delta13 C value of CO2 (delta13 CCO2 ) at
subambient pCO2 , is lacking. METHODS: We designed and built five identical
chambers specifically for plant growth under stable subambient pCO2 (ca 100 to
400 ppm) and delta13 CCO2 conditions. We tested the pCO2 and delta13 CCO2
stability of the chambers both with and without plants, across two 12-hour
daytime experiments and two extended 9-day experiments. We also compared the
temperature and relative humidity conditions among the chambers. RESULTS: The
average delta13 CCO2 value within the five chambers ranged from -18.76 to
19.100/00; the standard deviation never exceeded 0.140/00 across any experiment.
This represents better delta13 CCO2 stability than that achieved by all previous
chamber designs, including superambient pCO2 chambers. Every pCO2 measurement (n
= 1225) was within 5% of mean chamber values. The temperature and relative
humidity conditions differed by no more than 0.4 degrees C and 1.6%,
respectively, across all chambers within each growth experiment. CONCLUSIONS:
This growth chamber design extends the range of pCO2 conditions for which plants
can be grown for delta13 C analysis of their tissues at subambient levels. This
new capability allows for careful isolation of environmental effects on plant 13
C discrimination across the entire range of pCO2 experienced by terrestrial land
plants.
PMID- 29790620
TI - A case report on a multicentre cooperative rehabilitation programme for inhibitor
positive patients with haemophilia A.
PMID- 29790622
TI - Effects of alcohol exposure on the glutamatergic system: a combined longitudinal
18 F-FPEB and 1 H-MRS study in rats.
AB - In a longitudinal rat model of alcohol consumption, we showed that exposure to
alcohol decreased the concentration of glutamate in the prefrontal cortex,
whereas a normalization occurred during abstinence. 18F-FPEB PET scans revealed
that pre-exposure mGluR5 availability in the nucleus accumbens was associated
with future alcohol preference. Finally, alcohol exposure induced a decrease in
mGluR5 availability in the bilateral hippocampus and amygdala compared with
baseline, and in the hippocampus and striatum compared with saccharin (Figure).
PMID- 29790621
TI - Generation and application of human induced-stem cell memory T cells for adoptive
immunotherapy.
AB - Adoptive T-cell therapy is an effective strategy for cancer immunotherapy.
However, infused T cells frequently become functionally exhausted, and
consequently offer a poor prognosis after transplantation into patients. Adoptive
transfer of tumor antigen-specific stem cell memory T (TSCM ) cells is expected
to overcome this shortcoming as TSCM cells are close to naive T cells, but are
also highly proliferative, long-lived, and produce a large number of effector T
cells in response to antigen stimulation. We previously reported that activated
effector T cells can be converted into TSCM -like cells (iTSCM ) by coculturing
with OP9 cells expressing Notch ligand, Delta-like 1 (OP9-hDLL1). Here we show
the methodological parameters of human CD8+ iTSCM cell generation and their
application to adoptive cancer immunotherapy. Regardless of the stimulation by
anti-CD3/CD28 antibodies or by antigen-presenting cells, human iTSCM cells were
more efficiently induced from central memory type T cells than from effector
memory T cells. During the induction phase by coculture with OP9-hDLL1 cells,
interleukin (IL)-7 and IL-15 (but not IL-2 or IL-21) could efficiently generate
iTSCM cells. Epstein-Barr virus-specific iTSCM cells showed much stronger
antitumor potentials than conventionally activated T cells in humanized Epstein
Barr virus transformed-tumor model mice. Thus, adoptive T-cell therapy with iTSCM
offers a promising therapeutic strategy for cancer immunotherapy.
PMID- 29790623
TI - The analysis of microbial spectrum and antibiotic resistance of uropathogens
isolated from patients with urinary stones.
AB - PURPOSE: The characteristics and resistance patterns of urine bacteriology in
patients with urinary tract stones have not been extensively studied. This study
aims to investigate the microbial spectrum and antibiotic resistance of
uropathogens isolated from urinary tract infections in patients with urinary
stones and provide a basis for appropriate antimicrobial treatments. METHODS: The
results of positive bladder midstream urine cultures and their antimicrobial
susceptibility were retrospectively analysed from hospitalised patients with
diagnosis of urinary calculi and urinary tract infections between January 2010
and December 2015. RESULTS: A total of 3892 samples were analysed during the
study period: 2201 were female patients (56.6%) and 1691 were male patients
(43.4%). The 4 most common uropathogens were Escherichia coli (48.7%), Klebsiella
pneumoniae (10.4%), Enterococcus faecalis (8.7%) and Proteus mirabilis (5.2%).
Both E. coli (60.8%) and Proteus mirabilis (7.5%) were higher in female patients
than in male patients (32.8%; 2.3%; P < .05). ESBL-positive E. coli accounted for
59.5% of total number of E. coli, while ESBL-positive K. pneumoniae comprised
42.0% of total K. pneumoniae. The majority of uropathogens in patients with
stones had high resistance to fluoroquinolones, ceftriaxone, ceftazidime,
cefepime, penicillins, sulfonamides and monobactams (resistance >20%).
CONCLUSIONS: The microbial spectrum in patients with urinary stones had a complex
pattern. The uropathogens showed marked multidrug resistance and a large
proportion of the uropathogens were able to produce beta-lactamase.
PMID- 29790624
TI - Effects of rearing system on meat quality, fatty acid and amino acid profiles of
Hu lambs.
AB - To determine the effects of early rearing system on meat quality traits, fatty
acid and amino acid profiles of meat, 48 Hu lambs were selected and randomly
divided into four treatments: control lambs were ewe-reared from birth to 60 days
of age (ER), while treatment lambs were segregated from their dams at 10, 20 and
30 days of age, then artificially reared with milk replacer until 60 days of age
(EW10, EW20 and EW30, respectively). All lambs had no access to the milk replacer
or their dams from 61 to 90 days. Creep feed was supplied ad libitum to all lambs
from 15 to 90 days of age. Artificially reared lambs (EW10, EW20 and EW30) had
higher (p < .05) creep feed intake, average daily gain, slaughter weight, hot
carcass weight, meat ether extract content, bright meat color (lightness and
yellowness) than ewe-reared lambs. The content of unsaturated fatty acids and
monounsaturated fatty acids in EW10 and EW20 were greater (p < .05) than that in
ER, whereas the proportions of saturated fatty acids in EW10 and EW20 were lower
than that in ER (p < .05). The percentages of C18:0, C18:2, C18:3 and the ratio
of polyunsaturated fatty acids and saturated fatty acids of artificially reared
lambs were greater than ewe-reared lambs (p < .05). The meat proportions of
leucine, alanine and proline were higher (p < .05) in artificially reared lambs
than ewe-reared ones. Finally, the artificially reared system increased meat
production and changed the fatty acid and amino acid profiles of meat, and it
would be appropriate to rear Hu lambs artificially from 10 days with milk
replacer.
PMID- 29790625
TI - Managing paediatric bleeding disorder patients undergoing surgical procedures:
Leveraging the electronic medical record.
AB - INTRODUCTION: Individuals with bleeding disorders have a high risk of bleeding
complications with surgical procedures. Careful planning and management of peri
operative treatment is vital for their safety. Yet, inter-provider communication
and communication between patients/families and providers is not reliable. AIM:
Our haemophilia treatment centre (HTC) created a care gap report that used the
electronic medical record to inform our team when patients with bleeding
disorders were scheduled for procedures. METHODS: An electronic medical record
based patient registry was linked to the hospital's surgical schedule and a
report was run daily by HTC staff for the upcoming 14 days. We determined the
number of surgeries scheduled for patients with a bleeding disorder without the
knowledge of the HTC, identified by the care gap report during the 6 months prior
to and 2 years after implementing the report. RESULTS: Had the report been in
effect 6 months prior, the majority of surgery cases would have been detected and
planned for an average of 10 days prior to the procedure. Following
implementation, the report identified 62 of 225 surgeries on patients with known
bleeding disorders where the HTC did not have prior communication from the
patient/family or surgical team. CONCLUSION: This surgery care gap report
provides the date and time of procedures on bleeding disorder patients without
relying on contact from patients/families or the surgical team. Its use has
resulted in an improved peri-operative process for patients with bleeding
disorders undergoing surgical procedures and potentially prevented surgery
cancellations.
PMID- 29790626
TI - Sphingosine-1-phosphate promotes the proliferation and attenuates apoptosis of
Endothelial progenitor cells via S1PR1/S1PR3/PI3K/Akt pathway.
AB - Sphingosine-1-phosphate (S1P) is a bioactive lysophospholipid that involves in
numerous pathophysiological processes. Endothelial progenitor cells (EPCs) play a
crucial role in endothelial repair and tumor angiogenesis. The aim of study was
to determine the effects of S1P on proliferation and anti-apoptosis of EPCs and
their signaling pathways. In this study, we showed that S1P, SEW2871 (a selective
S1P receptor 1 (S1PR1) agonist), or CYM5541 (a selective S1P receptor 3 (S1PR3)
allosteric agonist promotes the proliferation and attenuates apoptosis of bone
marrow (BM)-derived EPCs. Futhermore, it was showed that S1P could promote EPCs
proliferation, which could be significantly inhibited by pretreatment with
CAY10444 (an S1PR3 antagonist), VPC23019 (a selective S1PR(1)/S1PR(3)
antagonist), or LY294002 (a PI3K inhibitor). Moveover, we discovered that S1P
could significantly attenuate H2 O2 -induced apoptosis and activation of caspase
3 in vitro, while W146 (an S1PR1 antagonist), VPC23019, or LY294002 could
significantly increase the activation of caspase-3 and subsequent augmented
apoptosis. Our results indicated that the protective effect of S1P is mediated by
activating the PI3K/Akt pathway. In addition, S1P promotion of EPCs proliferation
was observed to be mainly mediated through S1PR3 and attenuation of EPCs
apoptosis induced by H2 O2 was mainly mediated through S1PR1; both of these
effects are mediated by activating the PI3K/Akt pathway, which provides
potentially useful therapeutic targets for coronary artery disease, diabetes
mellitus, and cancer treatment.
PMID- 29790627
TI - Immunotolerance approach to refractory CNS bleeding in a patient with congenital
factor XIII deficiency and acquired alloantibody.
PMID- 29790628
TI - Metabolic syndrome and its components are associated with increased chronic
kidney disease risk: Evidence from a meta-analysis on 11 109 003 participants
from 66 studies.
AB - BACKGROUND & AIMS: Observational studies examining the relationship between
metabolic syndrome and the risk of chronic kidney disease (CKD) have reported
inconclusive results. This meta-analysis was performed to resolve these
controversies. METHODS: The MEDLINE, EMBASE, and PubMed databases were
systematically searched from their inception until March 2016 to identify all
relevant studies. Risk estimates and their corresponding 95% confidence intervals
(CIs) for the associations of MetS and its components with CKD risk were
extracted and pooled using a random-effects model. RESULTS: A total of 66
studies, including 18 prospective cohorts and 48 cross-sectional studies, with
699 065 CKD patients and 11 109 003 participants were included in the meta
analysis. When all definitions were pooled, the presence of MetS was associated
with a significant 50% increase of CKD risk (OR = 1.50, 95% CI = 1.43-1.56), with
evidence of moderate heterogeneity (I2 = 72.3%, P < .001). The risk of CKD
associated with MetS was higher in studies using the American Heart
Association/National Heart, Lung, and Blood Institute criteria (OR = 1.68, 95% CI
= 1.25-2.10) compared with those using the Adult Treatment Panel III (OR = 1.49,
95% CI = 1.42-1.56) and the International Diabetes Federation (OR = 1.32, 95% CI
= 1.22-1.41) definitions. This relationship was independent of diabetes status.
Moreover, all individual components of the MetS were significantly associated
with CKD, and their coexistence resulted in an escalating dose-response
relationship. The sensitivity and subgroup analyses established the stability of
the findings. CONCLUSIONS: This meta-analysis strongly suggests that the
metabolic syndrome and its components are independently associated with the
increased risk of CKD.
PMID- 29790630
TI - Principles of haemophilia care: The Asia-Pacific perspective.
PMID- 29790631
TI - Allied health clinicians using translational research in action to develop a
reliable stroke audit tool.
AB - OBJECTIVE: To design and establish reliability of a local stroke audit tool by
engaging allied health clinicians within a privately funded hospital. METHODS:
Design: Two-stage study involving a modified Delphi process to inform stroke
audit tool development and inter-tester reliability. PARTICIPANTS: Allied health
clinicians. INTERVENTIONS: A modified Delphi process to select stroke guideline
recommendations for inclusion in the audit tool. Reliability study: 1 allied
health representative from each discipline audited 10 clinical records with
sequential admissions to acute and rehabilitation services. MAIN OUTCOME
MEASURES: Recommendations were admitted to the audit tool when 70% agreement was
reached, with 50% set as the reserve agreement. Inter-tester reliability was
determined using intra-class correlation coefficients (ICCs) across 10 clinical
records. RESULTS: Twenty-two participants (92% female, 50% physiotherapists, 17%
occupational therapists) completed the modified Delphi process. Across 6 voting
rounds, 8 recommendations reached 70% agreement and 2 reached 50% agreement. Two
recommendations (nutrition/hydration; goal setting) were added to ensure
representation for all disciplines. Substantial consistency across raters was
established for the audit tool applied in acute stroke (ICC .71; range .48 to
.90) and rehabilitation (ICC.78; range .60 to .93) services. CONCLUSIONS: Allied
health clinicians within a privately funded hospital generally agreed in an audit
process to develop a reliable stroke audit tool. Allied health clinicians agreed
on stroke guideline recommendations to inform a stroke audit tool. The stroke
audit tool demonstrated substantial consistency supporting future use for service
development. This process, which engages local clinicians, could be adopted by
other facilities to design reliable audit tools to identify local service gaps to
inform changes to clinical practice.
PMID- 29790629
TI - Long non-coding RNA NEAT1 promoted ovarian cancer cells' metastasis through
regulation of miR-382-3p/ROCK1 axial.
AB - Long non-coding RNA (lncRNA) are extensively involved in various malignant
tumors, including ovarian cancer (OC). In the present study, we focused on the
expression and function of nuclear enriched abundant transcript 1 (NEAT1) in OC
cells' metastasis. We demonstrated that NEAT1 was upregulated in OC tissue
specimens and cell lines. In addition, we revealed that depression of NEAT1
inhibited OC cells' metastasis and the expression of Rho associated coiled-coil
containing protein kinase 1 (ROCK1), which is a metastasis-related gene. Using
online predictive software and a series of luciferase assays, we demonstrated
that both NEAT1 and ROCK1 were the targets of microRNA-382-3p (miR-382-3p) and
share similar microRNA responding elements (MRE). Furthermore, we illustrated
that NEAT1 and miR-382-3p inhibited each other in a reciprocal manner. Finally,
through antisense experiments we demonstrated that NEAT1 promoted ROCK1-mediated
metastasis by functioning as a ceRNA of miR-382-3p. In summary, the findings of
this study revealed that NEAT1 promoted OC cells' metastasis through regulating
the miR-382-3p/ROCK1 axial. The present study might provide a new target for
treating OC.
PMID- 29790632
TI - Rapid and noninvasive quality control of anhydrous milk fat by PTR-MS: The effect
of storage time and packaging.
AB - In this study, proton transfer reaction-mass spectrometry (PTR-MS), coupled with
a time-of-flight mass analyzer and a multipurpose automatic sampler, was
evaluated as a rapid and nondestructive tool for the quality control of anhydrous
milk fat. Anhydrous milk fats packed in cardboard and bag-in-box were compared
during refrigerated shelf life at 4 degrees C for 9 months. Anhydrous milk fat
samples were taken at 120, 180, and 240 days and measured by PTR-MS during
storage at 50 degrees C for 11 days. Univariate and multivariate data analysis
were performed in order to classify samples according to the packaging type and
compare aromatic profiles. Markers related to both packaging and storage duration
were identified, and all stored samples were clearly distinguishable from
reference fresh samples. Significant differences in some key butter aroma
compounds such as 2-pentanone, 2-heptanone, 2/3-methylbutanal, acetoin, and
butanoic acid were observed between different types of packaging. During the
refrigerated storage, differences related to packaging are more evident, while
during the storage at 50 degrees C, the fat oxidation induced by the high
temperature becomes the most relevant phenomenon independently of the packaging
type. These results indicate the importance of avoiding anhydrous milk fat
storage at 50 degrees C for long times during industrial production processes.
All together data demonstrated the viability of PTR-MS as a rapid and high
sensitivity tool in agroindustry quality control program.
PMID- 29790633
TI - Diagnostic accuracy of point-of-care ultrasound for evaluation of early blood
induced joint changes: Comparison with MRI.
AB - INTRODUCTION: Recurrent joint bleeding is the hallmark of haemophilia. Synovial
hypertrophy observed with Magnetic Resonance Imaging (MRI) is associated with an
increased risk of future joint bleeding. AIM: The aim of this study was to
investigate whether point-of-care ultrasound (POC-US) is an accurate alternative
for MRI for the detection of early joint changes. METHODS: In this single centre
diagnostic accuracy study, bilateral knees and ankles of haemophilia patients
with no or minimal arthropathy on X-rays were scanned using POC-US and 3 Tesla
MRI. POC-US was performed by 1 medical doctor, blinded for MRI, according to the
"Haemophilia Early Arthropathy Detection with Ultrasound" (HEAD-US) protocol.
MRIs were independently scored by 2 radiologists, blinded for clinical data and
ultrasound results. Diagnostic accuracy parameters were calculated with 95%
confidence intervals (CI). RESULTS: Knees and ankles of 24 haemophilia patients
(96 joints), aged 18-34, were studied. Synovial hypertrophy on MRI was observed
in 20% of joints. POC-US for synovial tissue was correct (overall accuracy) in
97% (CI: 91-99) with a positive predictive value of 94% (CI: 73-100) and a
negative predictive value of 97% (CI: 91-100). The overall accuracy of POC-US for
cartilage abnormalities was 91% (CI: 83-96) and for bone surface irregularities
97% (CI: 91-99). CONCLUSION: POC-US could accurately assess synovial hypertrophy,
bone surface irregularities and cartilage abnormalities in haemophilia patients
with limited joint disease. As POC-US is an accurate and available alternative
for MRI, it can be used for routine evaluation of early joint changes.
PMID- 29790634
TI - Parenting stress and depressive symptoms in Taiwanese mothers of young children
with autism spectrum disorder: Association with children's behavioural problems.
AB - BACKGROUND: This study examined the severity of parenting stress and depressive
symptoms in Taiwanese mothers of young children with autism spectrum disorder
(ASD) compared to mothers of young children with developmental delay (DD). The
associations between parenting stress, depressive symptoms, and children's
behavioural problems were also tested. METHODS: The study sample included 51
young children with ASD (mean age = 31 months), 51 young children with DD (mean
age = 30 months) and their mothers. RESULTS: The results confirmed that mothers
of young children with ASD experienced higher levels of parenting stress and
depressive symptoms than mothers of young children with DD. In addition,
children's behavioural problems were robust predictors of parenting stress and
depressive symptoms in mothers of young children with ASD, but not in mothers of
young children with DD. CONCLUSION: The findings indicated that one of the
critical goals in early intervention for young children with ASD and their
families is to reduce children's behavioural problems.
PMID- 29790635
TI - The effect of 12-week garlic supplementation on symptom relief in overweight or
obese women with knee osteoarthritis.
AB - AIMS: Chronic joint pain and stiffness, and functional disability, are the major
debilitating features of osteoarthritis (OA). The aim of this study was to assess
the effect of 12-week supplementation with a garlic supplement on knee
osteoarthritis outcomes in overweight or obese women. METHODS: Seventy-six
postmenopausal overweight or obese women (25<=BMI<=40 kg/m2 ) with medically
diagnosed knee OA participated in this randomised double-blind, placebo
controlled, parallel-design trial. After randomisation into 2 groups, patients
received a daily dose of either 1000 mg odourless garlic tablet, or placebo, for
12 weeks. The total Western Ontario and McMaster Universities Osteoarthritis
Index (WOMAC), as well as pain, stiffness and physical function subscales, were
evaluated pre- and poststudy. Anthropometric parameters and body composition
(using bioelectrical impedance analysis) were also assessed. RESULTS: Following
12-week supplementation in overweight or obese women with OA, stiffness (but not
pain, function or WOMAC total score) was significantly lower in the garlic group
compared with the placebo group (1.4 +/- 1.6 vs 2.5 +/- 1.9, P = .023). The
changes in WOMAC parameters showed no statistically significant differences
between the 2 groups. WOMAC total score (38.4 +/- 15.9-30.6 +/- 15.7, P = .004)
and all the subscales, including pain (8.3 +/- 3.7-7 +/- 4.4, P = .026),
stiffness (2.3 +/- 1.6-1.4 +/- 1.6, P = .013) and physical function (27.7 +/-
11.9-22.2 +/- 12.4, P = .001) improved significantly in the garlic group
postintervention compared with pre-intervention; although pain subscale also
decreased in the placebo group (9.6 +/- 3.1-6.9 +/- 3.7, P < .001). CONCLUSIONS:
Although pre- to postintervention knee OA symptoms were improved in overweight or
obese women receiving 12 weeks garlic supplement, there was no significant
difference in WOMAC changes compared with the placebo group. Further clinical
trials are required to investigate the therapeutic value of garlic ingredients,
and the potential role of placebo effect, in the management of OA symptoms.
PMID- 29790637
TI - Chemo-enzymatic Total Synthesis of Oxosorbicillinol, Sorrentanone, Rezishanones B
and C, Sorbicatechol A, Bisvertinolone, and (+)-Epoxysorbicillinol.
AB - The sorbicillinoids are a large family of fungal natural products, many of which
possess highly challenging molecular architectures. Depending on their individual
structures they exhibit strong biological activities ranging from radical
scavenging and anti-infective properties to cytotoxicity. Despite the resulting
strong biomedical potential of these natural products and the interest of
synthetic chemists owing to their fascinating structures, many sorbicillinoids
are currently not synthetically accessible, thus hampering in-depth biological
characterization and structural diversification. By using recombinant
oxidoreductase SorbC and readily accessible sorbicillin-type synthetic
precursors, we have developed enantioselective, one-pot chemo-enzymatic routes to
a broad range of sorbicillinoids, thereby establishing total syntheses of
oxosorbicillinol, sorrentanone, rezishanones B and C, sorbicatechol A,
bisvertinolone, and (+)-epoxysorbicillinol.
PMID- 29790636
TI - Amino Acid Assisted Incorporation of Dye Molecules within Calcite Crystals.
AB - Biomineralisation processes invariably occur in the presence of multiple organic
additives, which act in combination to give exceptional control over structures
and properties. However, few synthetic studies have investigated the cooperative
effects of soluble additives. This work addresses this challenge and focuses on
the combined effects of amino acids and coloured dye molecules. The experiments
demonstrate that strongly coloured calcite crystals only form in the presence of
Brilliant Blue R (BBR) and four of the seventeen soluble amino acids, as compared
with almost colourless crystals using the dye alone. The active amino acids are
identified as those which themselves effectively occlude in calcite, suggesting a
mechanism where they can act as chaperones for individual molecules or even
aggregates of dyes molecules. These results provide new insight into crystal
additive interactions and suggest a novel strategy for generating materials with
target properties.
PMID- 29790638
TI - Comparative efficacy and acceptability of antidiabetic agents for Alzheimer's
disease and mild cognitive impairment: A systematic review and network meta
analysis.
AB - This study (registered with PROSPERO, CRD42018085967) compares the efficacy (i.e.
pro-cognitive effects) and acceptability of antidiabetic agents for Alzheimer's
disease (AD) and mild cognitive impairment (MCI). Cochrane Library (CENTRAL),
PubMed/MEDLINE, EMBASE and PsycINFO were searched from inception to January 15,
2018 for randomized controlled trials comparing antidiabetic agents with placebo
and/or another active antidiabetic agent for the treatment of AD or MCI. Nineteen
eligible studies (n = 4855) evaluating the effects of 6 different antidiabetic
drugs (i.e. intranasal insulin, pioglitazone, rosiglitazone, metformin,
sitagliptin and liraglutide) were included. The results of 29 pairwise
comparisons indicated that cognition was significantly improved in subjects
treated with antidiabetic agents compared with placebo. Pioglitazone 15 to 30 mg
demonstrated the greatest efficacy compared to placebo in network meta-analysis.
No significant differences in acceptability were identified when comparing agents
with each other and with placebo. The current findings indicate a pro-cognitive
class effect of antidiabetic agents in AD/MCI. Other antidiabetic agents should
also be investigated in future studies.
PMID- 29790639
TI - Silylarene Hydrogenation: A Strategic Approach that Enables Direct Access to
Versatile Silylated Saturated Carbo- and Heterocycles.
AB - We report a method to convert readily available silylated arenes into silylated
saturated carbo- and heterocycles by arene hydrogenation. The scope includes
alkoxy- and halosilyl substituents. Silyl groups can be derivatized into a
plethora of functionalities and find application in organic synthesis, materials
science, and pharmaceutical, agrochemical, and fragrance research. However,
silylated saturated (hetero- ) cycles are difficult to access with current
technologies. The yield of the hydrogenation depends on the amount of the silica
gel additive. This silica effect also enables a significant improvement of a
previously disclosed method for the hydrogenation of highly fluorinated arenes
(e.g., to all-cis-C6 H6 F6 ).
PMID- 29790640
TI - Proline Fingerprint in Intrinsically Disordered Proteins.
AB - NMR spectroscopy is one of the main techniques used for high-resolution studies
of intrinsically disordered proteins (IDPs), permitting mapping of the structural
and dynamic features of all the amino acids constituting the polypeptide at
atomic resolution. Only proline residues are less straightforward to characterize
because they lack any amide proton, thus rendering them not directly visible in
the commonly used 2D 1 H,15 N correlation experiments. However, proline residues
are highly abundant in IDPs and can mediate important functions. In this work we
present an easy and effective way to obtain fingerprints of proline residues in
IDPs at high resolution.
PMID- 29790641
TI - Potential Use of Squarates and Croconates as Singlet Fission Sensitizers.
AB - The geometrical and electronic structures of 44 squarate and croconate
derivatives are computationally studied by quantum chemistry methods, in the
pursuit of new singlet fission sensitizers. A non-negligible singlet open-shell
diradical character is observed for most of the studied molecules, which can be
controlled through chemical substitution as well as by the size of the central
ring. Such a diradical character is related to small singlet-triplet energy gaps,
facilitating the accomplishment of the singlet fission energetic requirements. In
general, the present results indicate that squarates hold superior singlet
fission capabilities than croconates, although we have identified several
derivatives within both families as promising singlet fission sensitizers.
PMID- 29790642
TI - After parathyroidectomy: difficult short-term medical management in patients with
end-stage renal disease?
PMID- 29790643
TI - The association of bicycle-related genital numbness and Sexual Health Inventory
for Men (SHIM) score: results from a large, multinational, cross-sectional study.
AB - OBJECTIVE: To assess the association of genital numbness and erectile dysfunction
in male cyclists. SUBJECTS AND METHODS: Cyclists were recruited through Facebook
advertisements and outreach to sporting clubs. This is a secondary analysis of a
larger epidemiological population-based study that examined sexual and urinary
wellness in athletes. We queried cycling habits and erectile function using
Sexual Health Inventory for Men (SHIM). RESULTS: A total of 2 774 male cyclists
were included in the analysis. Amongst cyclists, there was a statistically
significant increase in the trend of genital numbness presence with more years of
cycling (P = 0.002), more frequent weekly cycling (P < 0.001), and longer cycling
distance at each ride (P < 0.001). Less frequent use of padded shorts (odds ratio
[OR] 0.14, P < 0.001) and lower handlebar (OR 0.49, P < 0.001) were associated
with numbness, but body mass index (BMI) (OR 1.1, P = 0.33) and age (OR 1.2, P =
0.15) were not. In a multivariate logistic regression model, after adjusting for
age, BMI, and lifetime miles (calculated by average daily cycling mileage *
cycling days/week * cycling years.), there were no statistically significant
differences in mean SHIM score between cyclists with and cyclists without
numbness (20.3 vs 20.2, P = 0.83). However, interestingly, the subset of cyclists
who reported numbness in the buttock reported statistically significantly worse
SHIM scores (20.3 vs 18.4, P < 0.001). This association was not present in
cyclists who reported numbness in the scrotum, penis, or perineum and remained
significant after adjusting for overall biking intensity. CONCLUSION: Cyclists
report genital numbness in proportion with biking intensity but numbness is not
associated with worse sexual function in this cohort.
PMID- 29790644
TI - CRISPR/Cas9 Assisted Multiplex Genome Editing Technique in Escherichia coli.
AB - Genome editing for site-specific chromosome modification is one of the most
significant techniques in biological research. While conventional techniques
usually deal with one genomic locus at a time, multiple genomic targets are often
required to be modified to develop microbial cell factories. Thus, it is
necessary to develop techniques for simultaneous editing of multiple loci. In
this work, the authors develop a CRISPR/Cas9 assisted multiplex genome editing
(CMGE) technique in Escherichia coli. With this editing method, all functional
parts are assembled into replicable plasmids, and stringent inducible expression
systems are used to control Cas9 gene expression, which is to decouple
transformation from editing process to increase editing efficiency. A modular
assembly strategy is designed to enable construction of the complex multi-gRNA
plasmid. With this technique, two and three loci are able to be modified with
100% and 88.3% efficiencies, while four loci can be edited with more than 30%,
which are the best results reported. Although developed in model organism, the
strategy of CMGE can be adapted to other prokaryotic cells. This is a well
designed and illustrated technique with no special requirement, can be used by
any biological lab easily.
PMID- 29790645
TI - The fibrinogen prothrombin time-derived method is not useful in patients
anticoagulated with low molecular weight heparins or rivaroxaban.
AB - : Essentials Fibrinogen prothrombin time-derived (FIBPT-d) behavior in
anticoagulated patients is under studied. FIBPT-d method overestimates fibrinogen
in rivaroxaban and low molecular weight heparin samples. Unfractionated heparin
and dabigatran samples showed similar bias to the control group. Rabbit brain and
human recombinant thromboplastin behavior was different in rivaroxaban samples.
SUMMARY: Background The fibrinogen prothrombin time-derived (FIBPT-d) method with
photo-optical coagulometers is easy and economical. However, there are few
reports on the behavior of this test on samples from patients anticoagulated with
direct oral anticoagulants or low molecular weight heparin (LMWH). Objective To
compare fibrinogen results obtained with the Clauss (FIB C) method and the FIBPT
d method with two thromboplastins in anticoagulated patients. Population The
study population comprised 295 consecutive anticoagulated patients: 99 treated
with vitamin K antagonists (VKAs), 49 treated with unfractionated heparin (UFH),
47 treated with LMWH, 50 treated with rivaroxaban, 50 treated with dabigatran,
and 100 normal controls (NCs). Methods Dabigatran samples were analyzed by the
use of FIB C with HemosIL Fibrinogen C or 100 NHI thrombin units mL-1 reagents;
rabbit brain and human recombinant thromboplastins with HemosIL PTFibrinogen HS
plus (HS) and Recombiplastin 2G (RP) were used for FIBPT-d method. Heparin and
rivaroxaban levels were assessed with HemosIL Liq antiXa with specific
calibrators; dabigatran levels were determined with the HemosIL Direct Thrombin
Inhibitor Assay. All assays were performed on the ACL TOP platform in two
laboratories. Percentage biases for the FIBPT-d method versus the FIB C method
were calculated by the use of Bland-Altman plots. Results Positive biases of the
FIBPT-d method versus the FIB C method with both thromboplastins were seen in NC
samples (13.7% and 18.9% for HS and RP, respectively), but biases with HS in
rivaroxaban and VKA patient samples were higher than that in NC samples, at 31.9%
and 34.0%, respectively. LMWH patient samples showed higher bias than NC samples:
26.5% and 29.3.0% with HS and RP, respectively. UFH and dabigatran patient
samples showed similar bias as NC samples. Conclusion The FIBPT-d method should
not be used in anticoagulated patients, because the FIBPT-d mathematical
algorithm has been validated only in normal subjects, so overestimation could
occur in these patients.
PMID- 29790646
TI - Schistocytosis occurs in severely anemic B12-deficient patients and does not
imply thrombotic thrombocytopenic purpura.
PMID- 29790647
TI - Creating a Collaborative Care Curriculum Framework.
AB - BACKGROUND: A faculty-wide approach to curriculum design is required to ensure
students within all health professional courses are provided with relevant
interprofessional education opportunities across their course of study. Within
the Faculty of Medicine, Nursing and Health Sciences at Monash University,
Australia, a Collaborative Care Curriculum Framework was developed to detail the
learning outcomes for collaborative care for pre-registration students. METHODS:
A six-stage process was undertaken. A working group was established, and existing
literature, interprofessional frameworks and accreditation documents were
analysed, and themes extracted. Consensus was obtained across the multiple
professions (12 in our context) regarding the main themes and student learning
outcomes. Multimedia was engaged to facilitate the communication of the framework
across the faculty. DISCUSSION: Keys to the successful development of the
framework included reference to profession-specific accreditation requirements,
incorporating patient and student perspectives, and working with multimedia to
produce clear professional documents. The outcome of the six-stage structured
process was the establishment of an agreed framework for use across professions
when planning an interprofessional curriculum. Subsequent benefits of developing
the framework include a shared language, vision and priorities for the
development of new interprofessional curriculum activities. A Collaborative Care
Curriculum Framework was developed to detail the learning outcomes for pre
registration students CONCLUSION: Each stage of the framework development is
outlined to allow others to replicate the process in their local context. The
process undertaken and the challenges encountered are described, in addition to
the subsequent benefits for faculty members and students.
PMID- 29790648
TI - Anxiety sensitivity mediates the relationship between exercise frequency and
anxiety and depression symptomology.
AB - The anxiolytic and antidepressant effects of regular physical exercise have been
well documented, though the mechanisms through which exercise alleviates symptoms
of emotion disorders require further investigation. Mounting research indicates
that exercise reduces anxiety sensitivity, a known vulnerability factor for the
development and maintenance of psychological disorders, presumably via repeated
exposure to feared somatic sensations. The purpose of the present study was to
examine whether anxiety sensitivity mediates the relation between exercise
frequency and symptoms of anxiety and depression. A large community sample of 955
volunteers completed a demographic questionnaire, the Anxiety Sensitivity Index
3, and the Brief Symptom Inventory-18. Exercise frequency significantly predicted
anxiety sensitivity, anxiety, depression, and somatization scores. Mediation
analyses indicated that anxiety sensitivity mediated the association between
exercise frequency and anxiety, depression, and somatization symptoms. The
findings provide further support for the association between exercise and
negative affective states and suggest that anxiety sensitivity may be one
mechanism through which exercise reduces emotional disorder symptomology. The
implications of these findings and recommendations for future research are
discussed.
PMID- 29790650
TI - A Redox-Based Superoxide Generation System Using Quinone/Quinone Reductase.
AB - Superoxide (O2.- ) generation in biological systems is achieved through some of
the most complex enzymatic systems. Of these, only xanthine/xanthine oxidase has
been used for in vitro biochemical studies. However, it suffers from limitations
such as a lack of suitable heterologous expression system for xanthine oxidase
and the irreversible consumption and low solubility of xanthine under
physiological conditions. Herein, we report a redox-based, enzyme-catalyzed
system, in which autoxidation of hydroquinone to quinone via semiquinone results
in superoxide generation. Quinone is reduced back to hydroquinone by using the
NfsB (oxygen-insensitive nitroreductase) enzyme of Escherichia coli strain K-12
and nicotinamide adenine dinucleotide phosphate hydride (NADPH; which is
regenerated by using the glucose/glucose dehydrogenase system). This new system
relies on quinones that can be recycled and have superior water solubility, as
well as enzymes that are heterologously expressed. By using a variety of quinones
and reaction conditions, along with a comparison of real-time fluorescence,
menadione has been identified as the optimal substrate for superoxide generation.
The new redox-based system presents a viable alternative for studying the
biochemistry of superoxide under different physiological and pathological
conditions.
PMID- 29790652
TI - Preparation for making clinical referrals.
AB - BACKGROUND: The application of prior learning within medical curricula to real
patient care is challenging. Clinical assistantships support UK medical students
making the transition to postgraduate practice as doctors. This paper describes a
method of teaching clinical referrals: the process of clinicians contacting
colleagues for advice or services. The skills required are key to medical
practice, and students should be supported to develop them in order to optimally
benefit from their assistantships and prepare for practice. METHODS: The
referrals workshop consisted of five diverse clinical cases that students
explored in groups. Each station presented a realistic scenario. Students
assimilated written information and made a telephone call to a faculty member in
another room with a clinical request. After each 90-minute session students
received both personalised and group feedback. EVALUATION: The workshop was
delivered to 108 students over 2 days, and received positive feedback from
students and faculty members. Students and faculty members particularly valued
the realism of making authentic calls to unseen clinicians, the fidelity of
simulated referrals and constructive feedback. They considered this excellent
preparation for making referrals in the workplace. Challenges included the
moderately large number of faculty members required for each workshop (seven
staff) and technical issues with telephone reception. The referrals workshop
consisted of five diverse clinical cases that students explored in groups
DISCUSSION: These practical, scalable, replicable and well-received learning
activities have addressed a key educational need by providing guidance on the
integration of prior learning with the performance of core clinical tasks. It has
provided valuable preparation for postgraduate practice. Our detailed description
should allow others to adapt and evaluate this in their own settings.
PMID- 29790651
TI - Epitheliocystis in fish: An emerging aquaculture disease with a global impact.
AB - Epitheliocystis is a skin and gill disease in fish caused by pathogenic
intracellular bacteria. The disease has been reported in at least 90 species of
marine and freshwater fish in both the southern and northern hemispheres. It
affects a number of commercially important aquaculture species, including salmon,
kingfish and bream. In infected fish, cysts typically develop in the gill
epithelia, promoting the fusion of gill lamellae. Infections can lead to
respiratory distress and death, particularly in cultured and juvenile fish with
cases rarely reported in wild fish. Modern molecular techniques are challenging
the conventional wisdoms regarding the epidemiology of epitheliocystis, showing
now that a number of distinct bacterial pathogens from completely different phyla
can cause this disease. Here, we review the state of knowledge, including updates
on aetiology, host range, diagnosis and treatments. Traditionally, bacteria from
the phylum Chlamydiae were the only known pathogenic agents of epitheliocystis,
but aetiology is now recognized as being more complex, including a range of
Proteobacteria. Notwithstanding recent advances in identifying the pathogens, the
reservoirs and modes of transmission remain largely unknown. Recent genome
sequencing of the growing number of epitheliocystis agents suggests that many
bacteria causing this disease are unique to individual species of fish.
Environmental conditions that approach or exceed animals' physiological
tolerances (e.g. atypical temperature, salinity or pH levels) are thought to
contribute to disease development and progression. Empirical data and evidence
concerning epidemiology, aetiology and treatments are, however, in many cases
limited, highlighting the need for more work to better characterize this disease
across the different hosts and locales affected.
PMID- 29790649
TI - Hyperpolarized NMR Spectroscopy: d-DNP, PHIP, and SABRE Techniques.
AB - The intensity of NMR signals can be enhanced by several orders of magnitude by
using various techniques for the hyperpolarization of different molecules. Such
approaches can overcome the main sensitivity challenges facing modern
NMR/magnetic resonance imaging (MRI) techniques, whilst hyperpolarized fluids can
also be used in a variety of applications in material science and biomedicine.
This Focus Review considers the fundamentals of the preparation of hyperpolarized
liquids and gases by using dissolution dynamic nuclear polarization (d-DNP) and
parahydrogen-based techniques, such as signal amplification by reversible
exchange (SABRE) and parahydrogen-induced polarization (PHIP), in both
heterogeneous and homogeneous processes. The various new aspects in the formation
and utilization of hyperpolarized fluids, along with the possibility of observing
NMR signal enhancement, are described.
PMID- 29790653
TI - The impact of living with morbid obesity on psychological need frustration: A
study with bariatric patients.
AB - Guided by self-determination theory, the purpose of this study was to gain an
understanding of the previous experiences of living with morbid obesity of 10
postbariatric patients enrolled in a physical activity programme. Qualitative
data were collected through interviews and diarized observations. A thematic
analysis revealed that participants suffered from health and mobility troubles in
their daily life and experienced stigmatization and discrimination in most areas
of their social functioning. Participants described how these experiences
resulted in the thwarting of their basic psychological needs for autonomy,
competence and relatedness. In turn, psychological need frustration contributed
to negative consequences such as body image concerns, low self-esteem, anxiety
and depression; controlled regulation of their eating behaviour and extrinsic
goals; rigid behaviours such as avoiding social situations; and compensatory and
self-defeating behaviours such as giving up diet and physical activity regimens
and binge eating (i.e., oppositional defiance). This study highlights how living
with morbid obesity can impair optimal functioning and well-being via experiences
of psychological need frustration.
PMID- 29790654
TI - Copper nanoclusters as fluorescence-quenching probes for the quantitative
analysis of total iodine.
AB - Tannic acid-coated copper nanoclusters (CuNCs@TA) were synthesized and used
quantitatively to analyze iodine in kelp. Compared with other methods for iodine
detection, the proposed method showed excellent performance. The iodine-induced
linear decrease in the fluorescence intensity of CuNCs@TA allowed the
quantitative detection of iodine in the range 20-100 MUM, and the limit of
detection for iodine was 18 nM. The probe can be used for the determination of
iodine in real samples with reliable and accurate results. Modified Stern-Volmer
equation and thermodynamic calculation studies were used to discuss the quenching
mechanism.
PMID- 29790655
TI - Maximising yield of peripheral blood flow cytometry for chronic
lymphoproliferative disorders.
AB - INTRODUCTION: Flow cytometry is used in the diagnosis of haematological diseases
including chronic lymphoproliferative disorders. This audit aims to ascertain the
real-world indications for peripheral blood (PB) flow cytometry and which of
these are associated with higher diagnostic yields. METHODS: All PB flow
cytometry requests for chronic lymphoproliferative disorders from 1 January 2014
to 31 December 2014 were identified using the laboratory information system. Data
including patient demographics, specialty of requestor, lymphocyte count and
blood film report (if available), indications for tests and subsequent diagnosis
were collected. RESULTS: A total of 185 requests with median patient age of 60
years were analysed. The main requestor was the Haematology Unit (n = 109; 58.9%)
although the diagnostic yield of their requests was not significantly better than
other units combined (16.5% vs 13.2%, P = .49). Factors that significantly
improved the diagnostic yield of testing were older age, the presence of atypical
lymphocytes on the blood film and lymphocytosis (P < .01). Constitutional
symptoms and cytopenias were not found to influence the diagnostic yield.
CONCLUSION: PB flow cytometry is a useful tool when used in the appropriate
clinical setting. Rationalisation of testing is important to reduce the futility
of testing and unnecessary health costs.
PMID- 29790656
TI - Clinical characteristics and validation of bronchiectasis severity score systems
for post-tuberculosis bronchiectasis.
AB - INTRODUCTION: Lung damage related to tuberculosis is a major contributor to the
etiology of bronchiectasis in China. It is unknown whether bronchiectasis
severity score systems are applicable in these cases. OBJECTIVES: To evaluate the
clinical characteristics and validation of bronchiectasis severity score systems
for post-tuberculosis bronchiectasis. METHODS: The study enrolled 596
bronchiectasis patients in Shanghai Pulmonary Hospital between January 2011 and
December 2012. The data for calculating FACED and bronchiectasis severity index
(BSI) scores along with mortality, readmission, and exacerbation outcomes were
collected and analyzed within a follow-up period with a median length of 48
months (interquartile range 43-54 months). RESULTS: The study enrolled 101 post
tuberculosis bronchiectasis patients and 495 non-tuberculosis bronchiectasis
patients. Compared with non-post-tuberculosis bronchiectasis, post-tuberculosis
bronchiectasis patients experienced less bilateral bronchiectasis (P = .004), a
higher frequency of right upper lobe involvement (P < .001) and showed the
cylindrical type more often (P < .001). Follow-up data indicated that both
scoring systems were able to predict 48(43-54) month mortality in post
tuberculosis patients as assessed by the area under the receiver operator
characteristic curve (AUC) (FACED AUC = 0.81, BSI AUC = 0.70), but they did not
predict readmission (FACED and BSI = 0.56) or exacerbation (FACED and BSI = 0.52)
well. CONCLUSIONS: There are apparent differences on radiologic features between
bronchiectasis patients with and without history of pulmonary tuberculosis. Both
FACED and BSI can predict mortality in post-tuberculosis bronchiectasis.
PMID- 29790658
TI - Faculty development for junior health professionals.
AB - BACKGROUND: The need for faculty development programmes designed to help junior
health professionals fulfill their multiple roles, across various institutions,
is widely acknowledged. Such programmes are usually logistically difficult for
hospital clinicians to access, however, and are discipline based. In 2017, we
collaborated with four health care faculties to develop a blended learning,
interprofessional faculty development programme that was up to date, relevant,
and accessible to clinicians working in the hospital and university settings. The
purpose of our study was to explore participants' perceptions of the structure,
processes and outcomes of the programme, using the conceptual framework of
communities of practice. METHODS: The Clinical Teacher Training (CTT) programme
included eight modules delivered using a blended learning format. Participants
were provided with education literature, online activities and videos, plus in
class opportunities for active participation in small interprofessional learning
groups and large group sessions. Quantitative data were collected from
participants by questionnaire, and then analysed using descriptive statistics.
Qualitative data were collected by focus group. Framework analysis was used to
code the data set using 'communities of practice' as a conceptual framework.
[Faculty development] programmes are usually logistically difficult for hospital
clinicians to access and are discipline based FINDINGS: Participants felt able to
achieve most of the CTT programme learning outcomes through active participation,
formative assessment and feedback. Participants felt that their learning was
enriched through the blended learning platform, and through delivery within an
interprofessional context; however, participants suggested it would be beneficial
if more content was delivered through face-to-face sessions, particularly
regarding 'Journal Club' and 'Mentorship' modules. Although participants felt
well prepared to teach students, they felt less well prepared for assessment
activities.
PMID- 29790657
TI - From low to high pathogenicity-Characterization of H7N7 avian influenza viruses
in two epidemiologically linked outbreaks.
AB - The ability of low pathogenic (LP) avian influenza viruses (AIV) of the subtypes
H5 and H7 to mutate spontaneously to highly pathogenic (HP) variants is the main
reason for their stringent control. On-the-spot evidence from the field of
mutations in LPAIV to render the virus into nascent HP variants is scarce.
Epidemiological investigations and molecular characterization of two
spatiotemporally linked outbreaks caused by LP, and subsequently, HPAIV H7N7 in
two-layer farms in Germany yielded such evidence. The outbreaks occurred within
45 days on farms 400 m apart. The LP progenitor virus was identified on both
farms, with its putative HP inheritor cocirculating and then dominating on the
second farm. As postulated before, mutations in the hemagglutinin cleavage site
(HACS) proved to be the most decisive change in the genome of HPAIV, in this
case, it was mutated from monobasic (LP) PEIPKGR*GLF into polybasic (HP)
PEIPKRKRR*GLF. The full-length genome sequences of both viruses were nearly
identical with only ten coding mutations outside the HACS scattered along six
genome segments in the HPAIV. Five of these were already present as minor
variants in the LPAIV quasispecies of the LPAI-only affected farm. H7-specific
seroconversion of part of the chicken population together with the codetection of
LPAIV HACS sequences in swab samples of the HPAI outbreak farm suggested an
initial introduction of the LP progenitor and a subsequent switch to HPAIV H7N7
after the incursion. The findings provide rare field evidence for a shift in
pathogenicity of a notifiable AIV infection and re-inforce the validity of
current approaches of control measures to curtail low pathogenic H5 and H7 virus
circulation in poultry.
PMID- 29790659
TI - A CsPbBr3 /TiO2 Composite for Visible-Light-Driven Photocatalytic Benzyl Alcohol
Oxidation.
AB - Halide perovskites have attracted great attention in the fields of photovoltaics,
LEDs, lasers, and most recently photocatalysis, owing to their unique
optoelectronic properties. The all-inorganic halide perovskite CsPbBr3 /TiO2
composite material catalyzes selective benzyl alcohol oxidation to benzaldehyde
under visible-light illumination. The catalyst, which is prepared by a facile wet
impregnation method, shows very good selectivity towards benzaldehyde (>99 % at
50 % conversion). Action spectra and electron spin resonance (ESR) studies reveal
that photoexcited electrons formed within CsPbBr3 upon visible-light illumination
take part in the reaction via reduction of oxygen to form superoxide radicals.
The detailed post-catalysis characterization by UV/Vis and X-ray photoelectron
spectroscopy, X-ray diffraction, and high-angle annular dark-field scanning
transmission electron microscopy studies further demonstrated the good stability
of CsPbBr3 in terms of morphology and crystal structure under the reaction
conditions. This study sheds light on promising new photocatalytic applications
of halide perovskites.
PMID- 29790660
TI - Drama to promote non-verbal communication skills.
AB - BACKGROUND: Non-verbal communication skills (NVCS) help physicians to deliver
relationship-centred care, and the effective use of NVCS is associated with
improved patient satisfaction, better use of health services and high-quality
clinical care. In contrast to verbal communication skills, NVCS training is under
developed in communication curricula for the health care professions. One of the
challenges teaching NVCS is their tacit nature. In this study, we evaluated drama
exercises to raise awareness of NVCS by making familiar activities 'strange'.
METHODS: Workshops based on drama exercises were designed to heighten an
awareness of sight, hearing, touch and proxemics in non-verbal communication.
These were conducted at eight medical education conferences, held between 2014
and 2016, and were open to all conference participants. Workshops were evaluated
by recording narrative data generated during the workshops and an open-ended
questionnaire following the workshop. Data were analysed qualitatively, using
thematic analysis. Non-verbal communication skills help doctors to deliver
relationship-centred care RESULTS: One hundred and twelve participants attended
workshops, 73 (65%) of whom completed an evaluation form: 56 physicians, nine
medical students and eight non-physician faculty staff. Two themes were
described: an increased awareness of NVCS and the importance of NVCS in
relationship building. Drama exercises enabled participants to experience NVCS,
such as sight, sound, proxemics and touch, in novel ways. Participants reflected
on how NCVS contribute to developing trust and building relationships in clinical
practice. DISCUSSION: Drama-based exercises elucidate the tacit nature of NVCS
and require further evaluation in formal educational settings.
PMID- 29790661
TI - Transanal minimally invasive surgery as a treatment option for a completely
occluded anastomosis after low anterior resection: A new approach to severe
anastomotic stenosis.
AB - New techniques have been developed to treat severe anastomotic strictures after
rectal surgery. This report describes a new approach using transanal minimally
invasive surgery for the treatment of complete anastomotic occlusion. A 49-year
old man presented with a completely occluded anastomosis after low anterior
resection with temporary ileostomy for rectal cancer. The lumen was completely
obstructed with a blind wall. A transanal surgical approach was used to treat the
obstruction. Water-soluble radiopaque contrast medium was injected
intraoperatively to identify the proximal lumen, and an incision was made by
electrocautery until the luminal diameter was sufficient. There was no sign of
bleeding or perforation after surgery. The patient underwent ileostomy takedown
after all the chemotherapy sessions were completed. This report shows that
transanal minimally invasive surgery is safe and feasible for the treatment of
complete anastomotic occlusions requiring invasive interventions.
PMID- 29790662
TI - Pathogenic characterization of porcine reproductive and respiratory syndrome
virus of Indian origin in experimentally infected piglets.
AB - Porcine reproductive and respiratory syndrome (PRRS) is an economically important
transboundary viral disease of pigs confronting the swine industry worldwide.
This study was aimed to assess the pathogenic potential of PRRS virus belonging
to genotype 2 that emerged in India in 2013. Nine 6-week-old piglets were
inoculated intranasally with 2 * 105.75 TCID50 /ml of PRRSV (Ind-297221/2013).
Three piglets were kept as uninfected controls. Blood and nasal swabs were
collected daily up to 7 days post-infection (dpi) and on alternate days
subsequently. Piglets were necropsied for tissue sample collection either on
death or after euthanasia on 7, 14 or 21 dpi (one uninfected control and three
PRRSV-infected piglets per interval). The virus caused high fever, typical blue
ear, weight loss, respiratory distress, diarrhoea and leucopenia between 2 and 8
dpi. Two infected piglets died (on 3 and 17 dpi) during the course of study. The
presence of virus in serum and nasal secretion was observed up to 19 and 17 dpi,
respectively, with the maximum load between 4 and 7 dpi. Seroconversion started 6
dpi and the mean PRRSV antibody titre reached up to 640 by 21 dpi. Virus load was
highest in tonsils at all the intervals, whereas in spleen and lymph nodes load
was higher in later intervals. Major microscopic lesions in PRRSV-infected
piglets included moderate to severe interstitial pneumonia, lymphoid depletion in
tonsils and lymph nodes (cystic), thymic atrophy, reactive hyperplasia followed
by lymphoid depletion in spleen. PRRSV antigen was consistently demonstrated by
immunoperoxidase test in the lungs, spleen, tonsils and lymph nodes. Antigen
distribution was more widespread on 7 and 14 dpi than on 21 dpi. The findings
establish that the Indian PRRSV is highly pathogenic to piglets.
PMID- 29790663
TI - A distinctively expressed long noncoding RNA, RP11-466I1.1, may serve as a
prognostic biomarker in hepatocellular carcinoma.
AB - It is urgent to explore effective diagnostic and prognostic biomarkers for
hepatocellular carcinoma (HCC). Now, both lncRNAs and lipid metabolism are
involved in tumor pathogenesis. Long noncoding RNA, RP11-466I1.1, could likely be
linked to lipid metabolism according to our bioinformatics analysis, yet studies
about RP11-466I1.1 expression in tumors and its potential functions are still
lacking. We aimed to explore the expression and correlations with clinical
features of a long noncoding RNA, RP11-466I1.1, and further analyze its
diagnostic and prognostic values in hepatocellular carcinoma. Expression levels
of RP11-466I1.1 were detected by quantitative real-time PCR (qRT-PCR) in tissue
and serum level, and expression differences were analyzed by independent 2-tailed
t tests. Clinical features were obtained, and their correlations with RP11
466I1.1 were analyzed by chi-squared test. Receiver operating characteristic
(ROC) curve was performed to assess the diagnostic value. Kaplan-Meier method and
log-rank test were used to evaluate the prognostic value of RP11-466I1.1. Results
showed that RP11-466I1.1 was upregulated in HCC tissues (P < .01) and serum (P <
.05). Significant upregulation of RP11-466I1.1 in HCC tissues with poor
histological grade (P < .01) and incomplete tumor capsule (P < .01) was found
compared to that with better histological grade and complete tumor capsule,
respectively. The diagnostic value of RP11-466I1.1 was not supported by ROC curve
analysis (AUROC=0.665, P = .079). Yet, the significant correlation of RP11
466I1.1 with poor prognosis indicated its potential prognostic value in HCC. This
study suggested that RP11-466I1.1 is distinctively expressed in HCC and may serve
as a promising novel prognostic biomarker. The concrete mechanisms of RP11
466I1.1 playing roles in HCC pathogenesis need further study.
PMID- 29790664
TI - The dynamics of a healthy and infected red blood cell in flow through constricted
channels: A DPD simulation.
AB - Understanding the dynamics of red blood cell (RBC) motion under in silico
conditions is central to the development of cost-effective diagnostic tools.
Specifically, unraveling the relationship between the rheological properties and
the nature of shape change in the RBC (healthy or infected) can be extremely
useful. In case of malarial infection, RBC progressively loses its deformability
and tends to occlude the microvessel. In the present study, detailed mesoscopic
simulations are performed to investigate the deformation dynamics of an RBC in
flow through a constricted channel. Specifically, the manifestation of viscous
forces (through flow rates) on the passage and blockage characteristics of a
healthy red blood cell (hRBC) vis-a-vis an infected red blood cell (iRBC) are
investigated. A finite-sized dissipative particle dynamics framework is used to
model plasma in conjunction with a discrete model for the RBC. Instantaneous wall
boundary method was used to model no-slip wall boundary conditions with a good
control on the near-wall density fluctuations and compressibility effects. To
investigate the microvascular occlusion, the RBC motion through 2 types of
constricted channels, viz, (1) a tapered microchannel and (2) a stenosed-type
microchannel, were simulated. It was observed that the deformation of an infected
cell was much less compared with a healthy cell, with an attendant increase in
the passage time. Apart from the qualitative features, deformation indices were
obtained. The deformation of hRBC was sudden, while the iRBC deformed slowly as
it traversed through the constriction. For higher flow rates, both hRBC and iRBC
were found to undergo severe deformation. Even under low flow rates, hRBC could
easily traverse past the constricted channel. However, for sufficiently slow flow
rates (eg, capillary flows), the microchannel was found to be completely blocked
by the iRBC.
PMID- 29790665
TI - Long noncoding RNA UFC1 is activated by E2F1 and exerts oncogenic properties by
functioning as a ceRNA of FOXP3.
AB - Cervical cancer is one of the most common gynecologic cancers around the world.
Long noncoding RNAs (lncRNAs) are considered to be important regulators of some
biological processes. Recently, it has been reported that linc-UFC1 is a putative
oncogene in some cancers. However, the functional roles of linc-UFC1 have not
been investigated in cervical cancer. Here, it was demonstrated that linc-UFC1
expression was significantly increased in cervical cancer tissues, and its
overexpression was associated with the poor survival of patients with cervical
cancer. Loss-of-function assays indicated that linc-UFC1 exerted as an oncogene
because it promoted the growth and metastasis of cervical cancer cells in vitro
and in vivo. Mechanistic investigations revealed that linc-UFC1 upregulated FOXP3
expression through competitively binding miR-34a. Finally, luciferase reporter
and chromatin immunoprecipitation (ChIP) assays provided evidence that E2F1 could
directly bind to the linc-UFC1 promoter region and enhance its transcription.
Taken together, our findings indicate that the linc-UFC1 expression signature may
serve as a novel biomarker for the diagnosis and prognosis of cervical cancer,
and it is also highlighted that the E2F1-linc-UFC1/miR-34a/FOXP3 axis may be a
potentially therapeutic target of cervical cancer.
PMID- 29790666
TI - Rolapitant for the prevention of nausea in patients receiving highly or
moderately emetogenic chemotherapy.
AB - Most patients receiving highly or moderately emetogenic chemotherapy experience
chemotherapy-induced nausea and vomiting without antiemetic prophylaxis. While
neurokinin-1 receptor antagonists (NK-1RAs) effectively prevent emesis, their
ability to prevent nausea has not been established. We evaluated the efficacy of
the long-acting NK-1RA rolapitant in preventing chemotherapy-induced nausea using
post hoc analyses of data from 3 phase 3 trials. Patients were randomized to
receive 180 mg oral rolapitant or placebo approximately 1-2 hours before
chemotherapy in combination with a 5-hydroxytryptamine type 3 RA and
dexamethasone. Nausea was assessed by visual analog scale during the acute (<=24
hours), delayed (>24-120 hours), and overall (0-120 hours) phases. Post hoc
analyses by treatment group (rolapitant vs control) were performed on pooled data
within patient subgroups receiving cisplatin-based, carboplatin-based, or
anthracycline/cyclophosphamide (AC)-based chemotherapy. In the cisplatin-based
chemotherapy group, significantly more patients receiving rolapitant than control
reported no nausea (NN) in the overall (52.3% vs 41.7% [P < .001]; absolute
benefit [AB] = 10.6%), delayed (55.7% vs 44.3% [P < .001]; AB = 11.4%), and acute
(70.5% vs 64.3% [P = .030]; AB = 6.2%) phases. Similar results were observed in
the carboplatin-based chemotherapy group, with significantly more patients
receiving rolapitant than control reporting NN in the overall (62.5% vs 51.2% [P
= .023]; AB = 11.3%) and delayed (64.1% vs 53.6% [P = .034]; AB = 10.5%) phases.
In the AC-based chemotherapy group, patients receiving rolapitant or control
reported similar NN rates during the overall and delayed phases. Rolapitant
effectively prevents nausea during the overall and delayed phases in patients
receiving cisplatin- or carboplatin-based chemotherapy.
PMID- 29790667
TI - Differences in cancer survival among white and black cancer patients by presence
of diabetes mellitus: Estimations based on SEER-Medicare-linked data resource.
AB - Diabetes prevalence and racial health disparities in the diabetic population are
increasing in the US. Population-based cancer-specific survival estimates for
cancer patients with diabetes have not been assessed. The Surveillance,
Epidemiology, and End Results (SEER)-Medicare linkage provided data on cancer
specific deaths and diabetes prevalence among 14 separate cohorts representing 1
068 098 cancer patients ages 66 + years diagnosed between 2000 and 2011 in 17
SEER areas. Cancer-specific survival estimates were calculated by diabetes status
adjusted by age, stage, comorbidities, and cancer treatment, and stratified by
cancer site and sex with whites without diabetes as the reference group. Black
patients had the highest diabetes prevalence particularly among women. Risks of
cancer deaths were increased across most cancer sites for patients with diabetes
regardless of race. Among men the largest effect of having diabetes on cancer
specific deaths were observed for black men diagnosed with Non-Hodgkin lymphoma
(NHL) (HR = 1.53, 95%CI = 1.33-1.76) and prostate cancer (HR = 1.37, 95%CI = 1.32
1.42). Diabetes prevalence was higher for black females compared to white females
across all 14 cancer sites and higher for most sites when compared to white and
black males. Among women the largest effect of having diabetes on cancer-specific
deaths were observed for black women diagnosed with corpus/uterus cancer (HR =
1.66, 95%CI = 1.54-1.79), Hodgkin lymphoma (HR = 1.62, 95%CI = 1.02-2.56) and
breast ER+ (HR = 1.39, 95%CI = 1.32-1.47). The co-occurrence of diabetes and
cancer significantly increases the risk of cancer death. Our study suggests that
these risks may vary by cancer site, and indicates the need for future research
to address racial and sex disparities and enhance understanding how prevalent
diabetes may affect cancer deaths.
PMID- 29790668
TI - The effects of aberrant expression of LncRNA DGCR5/miR-873-5p/TUSC3 in lung
cancer cell progression.
AB - Lung cancer is the most common cause of cancer-related mortality worldwide, and
nonsmall cell lung cancer (NSCLC) accounts for 80% of all pulmonary carcinomas.
Recently, long noncoding RNAs (lncRNAs) have been paid attention for exploring
treatment of various diseases. Upregulation of DiGeorge syndrome critical region
gene 5 (DGCR5) predicts better lung squamous cell carcinoma prognosis; therefore,
we explore the role of DGCR5 in lung cancer in our present study. Consecutive
patients with LC were treated in our hospital between January 2015 and January
2016. qRT-PCR demonstrated that DGCR5 was significantly lower in neoplastic
tissues than in non-neoplastic tissues. For in vitro experiments, cell growth,
migration, and invasion were significantly lower in A549 cells transfected with
pcDNA3.1-DGCR5 than pcDNA3.1, which were verified by 5-diphenyltetrazolium
bromide (MTT) assay, scratch test, and transwell assay, respectively, with no
significant induction on cell apoptosis that was demonstrated by flow cytometry
(FCM) assay. Bioinformatics analysis predicted that 3' untranslated region (UTR)
of tumor suppressor candidate 3 (TUSC3, 49-55 bp) and DGCR5 (801-807 bp) shared a
common hsa-miR-873-5p binding site, and the direct interaction between DGCR5 and
hsa-miR-873-5p or hsa-miR-873-5p and TUSC3 was verified by dual-luciferase
reporter assay. qRT-PCR demonstrated that hsa-miR-873-5p was dramatically higher
and TUSC3 was significantly lower in neoplastic tissues than in non-neoplastic
tissues. DGCR5 decreased the protein level of TUSC3 by miR-873-5p which was
demonstrated by Western blot and immunofluorescence. The role of DGCR5 in
tumorigenesis in vivo was consistent with in vitro assays, Ki-67-positive cell
number (exhibited by immunohistochemical staining), tumor size, and tumor weight
of A549-DGCR5 group were significantly lower in comparison with A549-control
group.
PMID- 29790669
TI - Genetically determined height was associated with lung cancer risk in East Asian
population.
AB - The association between adult height and risk of lung cancer has been
investigated by epidemiology studies, but the results are inconsistent. Mendelian
randomization (MR) analyses with individual-level data from two genome-wide
association studies, including a total of 7127 lung cancer cases and 6818
controls, were carried out to explore whether adult height is causally associated
with risk of lung cancer. A weighted genetic risk score (wGRS) was created based
on genotypes of 101 known height-associated genetic variants. Association between
the wGRS and risk of lung cancer was analyzed by logistic regression for each
study separately. The combined effect was calculated using fixed effect meta
analysis. MR analyses showed that increased risk of lung cancer (OR = 1.19,
95%CI: 1.05-1.35, P = 0.006) associated with taller genetically determined
height. Compared with individuals in the lowest tertile of the height-associated
wGRS, those in the highest tertile had 1.10-fold (95% CI: 1.01-1.20) increased
risk of developing lung cancer. Sensitivity analyses excluding BMI-associated
genetic variants demonstrated consistent association. Our study suggested that
genetically taller height was associated with increased risk of lung cancer in
East Asian population, indicating that increasing height may have a causal role
in lung cancer carcinogenesis.
PMID- 29790670
TI - Reference equations for the interpretation of forced expiratory and
plethysmographic measurements in infants.
AB - BACKGROUND: Pulmonary function testing is commonly performed for diagnosis and
clinical management of respiratory diseases. It is important to use appropriate
reference equations from healthy subjects for interpretation of data from infants
with lung disease. This study aimed to determine if published reference equations
were similar to forced flow measures and plethysmographic infant pulmonary
function testing data collected in the Canadian Healthy Infant Longitudinal
Development (CHILD) Study. METHODS: Reference equations for five pulmonary
function variables (FEV0.5 , FVC, FEF25-75 , FEV0.5 /FVC ratio and
plethysmography (FRCpleth )) were developed using data from the nSpire system.
New reference equations developed using healthy data from the CHILD Study were
compared to previously published reference equations for forced flow and
plethysmographic measures. RESULTS: The current analysis included 131 infants (on
181 test occasions) with forced flow measures and 161 infants (on 246 test
occasions) with plethysmography measures, aged 3-24 months. Age and length were
major determinants of both forced flow and plethysmography measures. In addition,
ethnicity (Caucasian vs non-Caucasian) was significantly associated with FEV0.5
/FVC and FEF25-75 measures. We found that the published reference equations based
on custom-built equipment or commercially available systems provided poor fit to
our current pulmonary function testing data, resulting in placing a large
proportion of our healthy population outside the normal ranges. CONCLUSIONS: Our
current data support the need for population and device specific reference data
for infant pulmonary function studies. By deriving new equipment-specific
reference equations for our healthy population, we provide normative data to
other centers utilizing this equipment.
PMID- 29790671
TI - Upregulation of miR-374a promotes tumor metastasis and progression by
downregulating LACTB and predicts unfavorable prognosis in breast cancer.
AB - Breast cancer (BRCA) is the second leading cause of cancer-related death among
female worldwide. Recent studies have revealed that LACTB was frequently
repressed and functioned as a bona fide new tumor suppressor in a series of
cancers, including BRCA. However, the molecular mechanisms underlying LACTB
dysregulation in BRCA have not been reported. In the present study, we find that
LACTB is repressed in BRCA and associated with poor prognosis by BRCA tissue
microarray (TMA) analysis. Moreover, we confirm that LACTB is a direct target of
miR-374a, which is significantly overexpressed and associated with malignancies
in BRCA. Mechanistically, applying loss-of-function and gain-of-function
approaches in a series of in vitro and in vivo experiments show that miR-374a
knockdown suppresses the cell proliferative and colony formation activity, as
well as migration and invasion capacity, but LACTB silencing in these cells
reverses this change. Furthermore, we find that miR-374a silencing markedly
reduces the tumor growth in xenograft mouse models. In summary, our findings
suggest the miR-374a/LACTB axis plays a critical role in the tumorigenicity and
progression of BRCA. miR-374a/LACTB axis may be a potential target in the
development of therapeutic strategies for BRCA patients.
PMID- 29790672
TI - Prognostic significance of combined pretreatment lymphocyte counts and body mass
index in patients with head and neck cancer treated with radiation therapy.
AB - We aimed to investigate the prognostic significance of combined pretreatment
lymphocyte counts (LCs) and body mass index (BMI) in patients with head and neck
cancer (HNC) treated with radiation therapy (RT). Nine hundred and twelve
patients with HNC who were treated with RT were retrospectively reviewed.
Survival was analyzed by stratifying the patients according to pretreatment LCs
and BMI. Patients with low pretreatment LCs and BMI were characterized by a more
advanced T stage, fewer nasopharyngeal subsites, less smoking and drinking, and
fewer comorbidities. Patients with low pretreatment LCs and BMI had a
significantly poorer overall and distant metastasis-free survival than those with
high pretreatment LCs and BMI. No significant differences were observed in terms
of local or regional recurrence-free survival. Combined pretreatment LCs and BMI
may be more effective at predicting overall and distant metastasis-free survival
in patients with HNC treated with RT.
PMID- 29790673
TI - N-terminal-probrain natriuretic peptide as a biomarker of moderate to severe
bronchopulmonary dysplasia in preterm infants: A prospective observational study.
AB - OBJECTIVE: N-terminal-probrain natriuretic peptide (NT-proBNP) is a marker of
hemodynamically significant patent ductus arteriosus (HsPDA) in preterm infants.
In this study, we assessed whether NT-proBNP levels could predict the risk of
moderate to severe bronchopulmonary dysplasia (BPD) and/or death. METHODS: This
was an observational prospective study of preterm infants with GA <=32 weeks.
Infants who died within the first 48 h or who had major congenital malformations
or incomplete information were excluded. NT-proBNP was determined at 48-96 h of
life and at 5-10 days of life. The predictive capacity of NT-proBNP for the
combined outcome of BPD and/or death was evaluated using receiver operator
characteristic (ROC) curves and multivariate regression. RESULTS: Of the 125
eligible patients, 110 completed the analysis. Twenty-eight developed BPD (n =
15) and/or died (n = 13). Infants who developed BPD and/or died had higher NT
proBNP levels at 48-96 h (26,848 ng/L, interquartile range [IQR] 7818-60,684 vs
3008 ng/L, IQR 1425-9876) and at 5-10 days (8849 ng/L, IQR 3796-19,526 vs 1427
ng/L, IQR 907-2889). The NT-proBNP levels at 5-10 days, but not at 48-96 h, were
independently associated with BPD and/or death after adjustments for HsPDA and
other confounders (OR = 3.36; 95%CI: 1.52-7.4, P = 0.006). For the prediction of
this result, a cutoff of 3348 ng/L had a sensitivity and specificity of 82% and
83%, respectively (area under the curve [AUC] = 0.87; 95%CI: 0.79-0.95).
CONCLUSION: The NT-proBNP levels at 5-10 days of life may identify preterm
infants with an HsPDA who are at high risk of BPD or death and may be useful for
individualized preventive and therapeutic strategies.
PMID- 29790674
TI - Potential pathogenicity of Inquilinus limosus in a pediatric patient with cystic
fibrosis.
AB - PRESENTATION: Patient is a 6-year-old male with CF, MRSA colonization, and
pancreatic insufficiency that presented with worsening ppFEV1 and systemic
symptoms despite multiple interventions. BAL grew NTM, Stenotrophomonas
maltophilia, and Inquilinus limosus, a rare organism found in patients with CF.
COURSE: I. limosus treatment was deferred. Despite treatment of other pathogens,
symptoms worsened. I. limosus was targeted with meropenem, amikacin, and
ciprofloxacin along with clindamycin for MRSA colonization. Within weeks,
symptoms had resolved with ppFEV1 improvement. DISCUSSION: This case discusses
the importance of a rare organism in the CF population. Targeting I. limosus was
key to recovery, revealing its potential pathogenicity.
PMID- 29790675
TI - Loss of cyclin-dependent kinase-like 2 predicts poor prognosis in gastric cancer,
and its overexpression suppresses cells growth and invasion.
AB - Cyclin-dependent kinase-like 2 (CDKL2), a new member of the cyclin-dependent
kinase family, may be involved in gastric cancer (GC) progression. Thus, we
conducted this study to explore the clinical effect of CDKL2 in GC.
Immunohistochemistry was used to measure CDKL2 levels in gastric tissues. The
association of a high CDKL2 level with clinical and pathological characteristics,
and the correlation between the CDKL2 level and disease-free and overall survival
were analyzed. Transfection was employed to overexpress CDKL2 in GC cells and to
investigate the effect of CDKL2 overexpression on cell proliferation and
invasion. Loss of CDKL2 was positively correlated with several clinical and
pathological characteristics, and patients with a low CDKL2 level had
significantly poorer disease-free and overall survival than those with a high
level (P = .005 and .001, respectively). Univariate analysis using the Cox
proportional hazards model indicated that a low CDKL2 level was a prognosticator
for inferior disease-free survival (P = .007). Based on immmunoblotting data, AGS
and HGC-27 GC cells were chosen for CDKL2 overexpression. Cellular studies
revealed that CDKL2 overexpression impaired cell proliferation and invasion. Loss
of CDKL2 may serve as a biomarker for predicting GC patient outcomes and a
potential therapeutic target for GC treatment.
PMID- 29790676
TI - Gemcitabine and cisplatin regimen facilitates prognosis of advanced
nasopharyngeal carcinoma.
AB - This study was conducted to assess the efficacy and adverse effects of GP
(gemcitabine + cisplatin) regimen and FP (fluouracil + cisplatin) regimen in
treatment of advanced nasopharyngeal carcinoma. Systematic online searches were
performed in PubMed, Web of Sciences, China Knowledge Infrastructure and Weipu
from the inception to November 15, 2017. Potential studies were assessed using
the Cochrane risk of bias scale. Statistical analyses were performed on Stata
14.0 and RevMan 5.3. Finally, twelve studies entered final qualitative synthesis
and quantitative analysis. The GP regimen compared with the FP regimen had
significantly higher 1-year survival rate (relative risk (RR) = 1.07, 95%
confidence interval (CI): 1.01-1.13), significantly better performance in the
fixed-effect model (RR = 1.16, 95%CI: 1.04-1.30) and significantly higher
remission rate (RR = 1.17, 95%CI: 1.05-1.29). Significant differences between
regimens were found in gastrointestinal effects (RR = 0.58, 95%CI: 0.45-0.74). No
significant differences between regimens were found in reduced hemoglobin rate
(RR = 0.55, 95%CI: 0.36-1.21), neutropenia (RR = 1.84, 95%CI: 0.93-5.02), or
reduced platelet (RR = 1.25, 95%CI: 0.85-1.75) and mucosal inflammation (RR =
0.81, 95%CI: 0.57-1.16). Sensitivity analysis indicated the results remained
stable. The funnel plot indicated some publication bias. In conclusion, the GP
regimen outperforms the FP regimen in treatment of advanced nasopharyngeal
carcinoma with no difference in adverse effects. We may consider the GP regimen a
better choice, but this conclusion should be confirmed by high-quality trials.
PMID- 29790678
TI - Pediatric pulmonology year in review 2017: Part 1.
AB - Pediatric Pulmonology publishes original research, case reports and review
articles on topics related to a wide range of children's respiratory disorders.
In this article (Part 1 of a series), we summarize the past year's publications
in our major topic areas, as well as selected literature in these areas from
other journals. In Part 1, we review selected articles on diagnostic
testing/endoscopy, respiratory complications of neuromuscular disorders, and rare
lung diseases.
PMID- 29790677
TI - Predicting healthcare outcomes in prematurely born infants using cluster
analysis.
AB - AIMS: Prematurely born infants are at high risk of respiratory morbidity
following neonatal unit discharge, though prediction of outcomes is challenging.
We have tested the hypothesis that cluster analysis would identify discrete
groups of prematurely born infants with differing respiratory outcomes during
infancy. METHODS: A total of 168 infants (median (IQR) gestational age 33 (31-34)
weeks) were recruited in the neonatal period from consecutive births in a
tertiary neonatal unit. The baseline characteristics of the infants were used to
classify them into hierarchical agglomerative clusters. Rates of viral lower
respiratory tract infections (LRTIs) were recorded for 151 infants in the first
year after birth. RESULTS: Infants could be classified according to birth weight
and duration of neonatal invasive mechanical ventilation (MV) into three
clusters. Cluster one (MV <=5 days) had few LRTIs. Clusters two and three (both
MV >=6 days, but BW >=or <882 g respectively), had significantly higher LRTI
rates. Cluster two had a higher proportion of infants experiencing respiratory
syncytial virus LRTIs (P = 0.01) and cluster three a higher proportion of
rhinovirus LRTIs (P < 0.001) CONCLUSIONS: Readily available clinical data allowed
classification of prematurely born infants into one of three distinct groups with
differing subsequent respiratory morbidity in infancy.
PMID- 29790679
TI - The influence of a 3-week body mass reduction program on the metabolic parameters
and free amino acid profiles in adult Polish people with obesity.
AB - BACKGROUND: Previous studies have showed differences in the amino acid (AA)
composition in the plasma of people with obesity when compared to lean
individuals, but the perturbations of AA concentrations in obesity and the
dynamics of AA changes after weight loss is not fully understood. OBJECTIVES: The
objective of the study was to evaluate the effect of a short-term weight
reduction program on the metabolic status and plasma AA levels in individuals
with obesity. MATERIAL AND METHODS: A total of 24 adult Polish patients with a
BMI between 34 and 49 kg/m2 were enrolled in a 3-week controlled body mass
reduction program based on everyday physical activity and a hypocaloric diet (25
30% less than total daily energy requirements). At baseline and after the
program, anthropometric measurements, biochemical parameters and free AA profiles
were determined. RESULTS: After the weight loss program, significant changes in
body mass and metabolic parameters (e.g., low-density lipoprotein, triglyceride,
fasting glucose, and insulin levels) were observed. Positive changes in a
homeostatic model assessment of insulin resistance (HOMA-IR) and quantitative
insulin sensitivity check index (QUICKI) following the program were also found.
The levels of 10 AAs (alpha-amino-n-butyric acid, alanine, citrulline, glutamine,
glycine, hydroxyproline, isoleucine, proline, sarcosine, and threonine) had
significantly increased following weight loss. Only aspartic acid was present at
a significantly lower concentration after the program. CONCLUSIONS: Using a 3
week controlled body mass reduction program based on physical activity and a
hypocaloric diet, we were able to demonstrate significant changes in biochemical
parameters and free AA profiles. To better understand these changes, future
studies should involve a long-term program with more patients.
PMID- 29790680
TI - Spirometry testing among the homeless.
AB - BACKGROUND: Many literature reports have indicated the fact that the percentage
of active smokers among the homeless is high, often several times higher than
that of the general population. The homeless are known to have worse spirometric
parameters than the general population. OBJECTIVES: The question of what the
principal and exclusive cause of airway obstruction among the homeless is remains
unanswered. Verification of the above-mentioned hypothesis is possible by
comparing the spirometric parameters in homeless people with those in the general
population, based on the data related to subgroups with similar tobacco smoke
exposure, which are homogenous in terms of sex, race and age. MATERIAL AND
METHODS: The spirometric parameters in 58 homeless male smokers were compared
with those in 55 male smokers living normal lives. Neither group differed in age,
duration of smoking or the number of pack-years. All of the subjects were
Caucasian. RESULTS: The mean values of forced expiratory volume in 1 s (FEV1),
forced vital capacity (FVC) and FEV1/ FVC, both corrected and expressed as
absolute figures, were lower amongst the smoking homeless men than amongst men
living normal lives. In 27.59% of the homeless subjests not receiving treatment
for lung diseases, airway obstruction was identified. CONCLUSIONS: Our results
suggest that smoking is not the only cause of the worse spirometric parameters
found among the homeless.
PMID- 29790681
TI - Multiple primary lung cancer: A literature review.
AB - Nowadays, lung cancer is a leading cause of death in both men and women
worldwide. There is no clear explanation for its mortality rate. However, it is
already known that genetic and environmental factors as well as oncological
treatment are involved. As the incidence of lung cancer soars, the number of
patients diagnosed with multiple primary lung cancers (MPLC) is also rising.
While differentiating between MPLC and intrapulmonary metastasis of lung cancer
is important for treatment strategy and prognosis, it is also quite complicated,
particularly in the cases with similar histologies. It is also important not to
delay the diagnosis. The aim of this paper was to discuss MPLC in general, and
the differentiation between MPLC and intrapulmonary lung cancer metastasis in
particular. Based on a review of statistical data and the current literature, we
discuss the diagnostic criteria and the molecular, genetic and radiographic
methods used to distinguish between MPLC and intrapulmonary metastases.
PMID- 29790682
TI - Assessment of quality of life in patients with laryngeal cancer: A review of
articles.
AB - This article presents a review of the medical literature published between 1994
and 2014 with the use of the PubMed database concerning quality-of-life
instruments for head and neck cancer patients used to assess general well-being
of patients with laryngeal cancer. The PubMed database was searched for articles
containing the keywords "quality of life", "laryngeal neoplasm" and
"questionnaires". The resulting articles were reviewed and analyzed. After the
identification of questionnaires, an additional search was performed. The
articles and questionnaires were described and analyzed. In 43 articles, the
authors used questionnaires specific to the head and neck regions in order to
assess the quality of life in patients with laryngeal cancer. Four different
questionnaires were identified. The European Organization for Research and
Treatment of Cancer (EORTC) questionnaire is most commonly used to assess the
quality of life in patients with laryngeal cancer. Questionnaires are generally
used in order to select from a range of different treatment methods. There are a
few head and neck cancer-related quality-of-life instruments which are widely
used to assess the quality of life in patients with laryngeal cancer, but they
are not dedicated to that region of the body. Today, there is much more attention
paid to the quality of life; therefore, there is a real need to develop specific
scales for different types of cancer.
PMID- 29790684
TI - The effect of music on the cardiac activity of a fetus in a cardiotocographic
examination.
AB - BACKGROUND: Music therapy as an adjunct to treatment is rarely used in
perinatology and obstetrics, despite the proven therapeutic effect. Auditory
stimulation through music positively impacts the health of adults and infants,
its special role being observed in the development of prematurely born neonates.
It is equally interesting how music impacts fetuses. OBJECTIVES: The aim of this
study is to assess the parameters of fetuses through cardiotocographic recording
in women in the 3rd trimester of pregnancy while listening to Pyotr Tchaikovsky's
"Sleeping Beauty" and "Swan Lake". MATERIAL AND METHODS: The study was conducted
in 2015 at Dr. Jan Biziel 2nd University Hospital in Bydgoszcz, on 48 women in
the 3rd trimester of pregnancy. The cardiotocographic parameters of the fetus
were examined by means of a Sonicaid Team Standard Oxford apparatus (Huntleigh
Healthcare, Cardiff, United Kingdom). RESULTS: Significant changes were observed
in the number of uterine contractions, accelerations, episodes of higher
variability, and fetal movements after listening to the music. CONCLUSIONS:
Listening to classical music can serve as a successful method of prophylaxis
against premature deliveries, indicated by the lower number of uterine
contractions, and in stimulating fetal movement in the case of a non-reactive non
stress test (NST). Music therapy, as a therapeutic method which is inexpensive
and soothing, should be used more frequently in obstetrics wards, indicated by
pathological pregnancies, isolation from the natural environment, and distress
resulting from diagnostics and from being in an unfamiliar environment.
PMID- 29790683
TI - Surgical and oncological outcomes of free dermal fat graft for breast
reconstruction after breast-conserving surgery.
AB - BACKGROUND: Oncoplastic breast surgery originated in order to improve the
esthetic result of breast-conserving surgery (BCS). Autologous free dermal fat
graft (FDFG) is an emerging oncoplastic technique to improve the cosmetic outcome
of breast-conserving surgery. OBJECTIVES: The aim of this study was to analyze
our experience with FDFGs in breast reconstruction after breast-conserving
surgery. Oncological outcomes, surgical complications and cosmetic results were
considered. MATERIAL AND METHODS: This retrospective chart review study
considered all consecutive oncoplastic breast treatment by means of FDFG
reconstruction during the period between September 2011 and September 2012 in our
Clinic of Surgery (University of Udine, Italy). The data collected included
patient and tumor characteristics and outcomes (cosmetic and oncological).
RESULTS: During the study period, 37 women were treated by breast cancer surgery
and immediate breast reconstruction by FDFG. At a 3-year follow-up, we found no
cases of recurrence among breast cancer patients treated by FDFG; at a 18-month
follow-up, we found a prevalence of 75.0% of women extremely satisfied with their
oncoplastic surgery and a high prevalence of excellent or good cosmetic outcomes
(70.3%) according to objective and subjective cosmetic assessment. CONCLUSIONS:
Immediate breast reconstruction by FDFG after BCS in a population selected for a
low risk of breast cancer recurrence seems to be an oncologically safe option,
with a good cosmetic outcome and a high prevalence of women satisfied with the
treatment.
PMID- 29790685
TI - F2-isoprostanes and F4-neuroprostanes as markers of intracranial aneurysm
development.
AB - BACKGROUND: Intracranial aneurysms are common, occurring in about 1-2% of the
population. Saccular aneurysm is a pouch-like pathological dilatation of an
intracranial artery that develops when the cerebral artery wall becomes too weak
to resist hemodynamic pressure and distends. OBJECTIVES: The aim of this study
was to determine whether the development of intracranial aneurysms and
subarachnoid hemorrhage (SAH) affects neuronal phospholipid metabolism, and what
influence different invasive treatments have on brain free radical phospholipid
metabolism. MATERIAL AND METHODS: The level of polyunsaturated fatty acid (PUFA)
cyclization products - F2-isoprostanes and F4-neuroprostanes - was examined using
liquid chromatography - mass spectrometry (LC-MS) in the plasma of patients with
brain aneurysm and resulting subarachnoid hemorrhage. RESULTS: It was revealed
that an aneurysm leads to the enhancement of lipid peroxidation with a
significant increase in plasma F2-isoprostanes and F4-neuroprostanes (more than 3
fold and 11-fold, respectively) in comparison to healthy subjects. The rupture of
an aneurysm results in hemorrhage and an additional increase in examined
prostaglandin derivatives. The embolization and clipping of aneurysms contribute
to a gradual restoration of metabolic homeostasis in brain cells, which is
visible in the decrease in PUFA cyclization products. CONCLUSIONS: The results
indicate that aneurysm development is associated with enhanced inflammation and
oxidative stress, factors which favor lipid peroxidation, particularly in
neurons, whose membranes are rich in docosahexaenoic acid, a precursor of F4
neuroprostanes.
PMID- 29790686
TI - The expression of selected molecular markers of immune tolerance in psoriatic
patients.
AB - BACKGROUND: Psoriasis is a chronic autoinflammatory disease whose underlying
molecular mechanisms remain unclear. The disease is mediated by the cells and
molecules of both the innate and adaptive immune systems. Some T cell surface
molecules, including neuropilin-1 (NRP1), programmed death 1 (PD-1) and the human
leukocyte antigen G (HLA-G), are known to play a role in the maintenance of
immune tolerance. OBJECTIVES: The aim of this study was to investigate HLA-G,
NRP1 and programmed cell death gene (PDCD1) mRNA expression in psoriatic
patients. MATERIAL AND METHODS: The study included 72 psoriatic patients and 35
healthy individuals. Twentyone patients (29.17%) suffered from concomitant
psoriatic arthritis. The mRNA expression of HLA-G, NRP1, and PDCD1 were
determined using quantitative real-time reverse transcription polymerase chain
reaction (qRT-PCR). The severity of skin lesions was assessed by means of the
Psoriasis Area and Severity Index (PASI), Body Surface Area (BSA), the Patient
Global Assessment (PGA), and the Dermatology Life Quality Index (DLQI). RESULTS:
The median value of the PASI was 11.5, and of BSA was 15.8%. The expressions of
NRP1 and PDCD1, but not HLA-G, were significantly lower in psoriatic patients in
comparison with the control group. The expression of HLA-G, NRP1 and PDCD1 were
not significantly different in the psoriatic arthritis and psoriasis vulgaris
patients. CONCLUSIONS: The results of this study suggest that the molecular
markers of immune tolerance, i.e., HLA-G, NRP1, and PD-1, may be involved in the
immune response in psoriatic patients.
PMID- 29790687
TI - Expression profiles of selected genes in tumors and matched surgical margins in
oral cavity cancer: Do we have to pay attention to the molecular analysis of the
surgical margins?
AB - BACKGROUND: Head and neck squamous cell carcinomas (HNSCCs) are associated with
an interplay between genetics and the environment; they account for 3% of all
diagnosed malignant tumors in men and 2% of those in women. OBJECTIVES: The aim
of the study was to analyze the significance of TIMP3, SFRP1, SFRP2, CDH1,
RASSF1, RORA, and DAPK1 gene expression in head and neck squamous cell carcinoma
tumors, and in matching surgical margin samples. We also analyzed the association
between clinical parameters and the expression of the selected genes. MATERIAL
AND METHODS: Following surgical resection, 56 primary HNSCC tumors and matching
surgical margin samples were collected from patients at the Clinic of Oncological
and Reconstructive Surgery of Maria Sklodowska-Curie Memorial Cancer Center and
the Institute of Oncology in Gliwice, Poland. The gene expression levels were
analyzed by quantitative reverse transcription (qRT)-PCR. RESULTS: SFRP1 gene
expression was statistically significantly lower in the tumor samples than in the
surgical margins (0.30 +/-0.36 vs 0.62 +/-0.36; p < 0.01). No correlation was
found between gene expression and clinical parameters, except DAPK1, where low
expression correlated with alcohol abuse (0.85 +/-1.19 vs 1.97 +/-3.22; p =
0.074). Moreover, patients with G3 grade tumors, i.e., poorly differentiated
tumors, had significantly higher values of DAPK1 gene expression than the G1
(well-differentiated tumors) and G2 (moderately differentiated) groups.
CONCLUSIONS: There are many different reasons and concepts for altered gene
expression in tumors and surgical margin tissue. Tumor heterogeneity and its
microenvironment are undoubtedly linked to the biology of HNSCC. In order to
understand specific tumor behavior and the microenvironment, further studies are
needed. To find markers connected with cancer development and to provide insight
into the earliest stages of cancer development, attention should also be focused
on molecular analysis of the surgical margins.
PMID- 29790688
TI - Loganic acid and anthocyanins from cornelian cherry (Cornus mas L.) fruits
modulate diet-induced atherosclerosis and redox status in rabbits.
AB - BACKGROUND: Cornelian cherry (Cornus mas L.) is a plant growing in southeast
Europe, in the past used in folk medicine. There are many previous publications
showing the preventive effects of (poly)phenolic compounds, especially
anthocyanins, on cardiovascular diseases, but there is a lack of studies
comparing the effects of (poly)phenolics and other constituents of fruits.
OBJECTIVES: We have attempted to determine if iridoids and anthocyanins from
cornelian cherry fruits may affect the formation of atherosclerotic plaques in
the aorta as well as lipid peroxidation and oxidative stress in the livers of
cholesterol-fed rabbits. MATERIAL AND METHODS: Fractions of iridoids and
anthocyanins were analyzed using the high-performance liquid chromatography
(HPLC) and liquid chromatography-mass spectrometry (LC-MS) methods. Loganic acid
(20 mg/kg b.w.) and a mixture of anthocyanins (10 mg/kg b.w.) were administered
orally for 60 days to rabbits fed with 1% cholesterol. Histopathological samples
of the aortas and the livers were stained with hematoxylin and eosin. Lipid
peroxidation (malondialdehyde - MDA) and redox status (glutathione - GSH,
glutathione peroxidase - Gpx and superoxide dismutase - SOD) were analyzed using
spectrophotometrical methods. RESULTS: Both loganic acid (an iridoid) and a
mixture of anthocyanins diminished the formation of atherosclerotic plaques in
the aorta. Both substances also diminished lipid peroxidation, measured as a
decrease of MDA, and attenuated oxidative stress, measured as an increase of GSH
in the livers depleted by cholesterol feeding. Unexpectedly, cholesterol feeding
decreased the Gpx activity in the liver, which was reversed by both investigated
substances. CONCLUSIONS: We have shown that both iridoids and anthocyanins help
prevent fed-induced atherosclerosis, and the consumption of fruits rich in these
substances may elicit beneficial effects on the cardiovascular system.
PMID- 29790689
TI - Detection of Brucella abortus by immunofluorescence assay using anti outer
membrane protein of 19 kDa antibody.
AB - BACKGROUND: Brucellosis in humans is one of the most prevalent zoonotic diseases
around the world with more than 500,000 new cases per year. It is a weakening
disease that requires long-term antibiotic treatment, often resulting in
permanent and disabling consequences. Outer membrane proteins (OMPs) of Brucella,
which are non-lipopolysaccharide (LPS) antigens, have been used for the
diagnostic kits of brucellosis and vaccine design. OBJECTIVES: The aim of this
study was to identify Brucella abortus with an immunofluorescence (IF) test using
an antibody against recombinant outer membrane protein (OMP) of 19 kDa of this
bacterium. MATERIAL AND METHODS: The OMP19 gene of Brucella spp. was synthesized,
cloned and expressed in Escherichia coli cells. The OMP19 protein was purified by
metal chelate affinity chromatography and subsequently used for the immunization
of rabbits to produce a polyclonal antibody. Then, this antibody was conjugated
to fluorescein isothiocyanate (FITC) and used for the detection of Brucella by an
IF test. Also, the sensitivity and specificity of this antibody for the diagnosis
of clinical isolates was calculated. RESULTS: Outer membrane protein 19 was
expressed well and reacted with a commercial antiserum against His-tag in an
immunoblot assay. Polyclonal antibodies obtained from the serum of rabbits
immunized with the purified protein showed strong reactivity in the enzyme-linked
immunosorbent assay (ELISA). Moreover, the polyclonal antibody conjugated to FITC
was able to properly identify Brucella abortus. Sensitivity and specificity of
this IF test in comparison with a polymerase chain reaction (PCR) assay was 84.2%
and 50%, respectively. CONCLUSIONS: This high-titer antibody could potentially be
valuable for the specific diagnostic test of brucellosis.
PMID- 29790690
TI - Validation of the Portuguese version of Addenbrooke's Cognitive Examination III
in mild cognitive impairment and dementia.
AB - BACKGROUND: Cognitive assessment is central to the diagnosis of cognitive
impairment and dementia, and it should be performed in all patients in the early
stages of the disease. Recently, the 3rd version of Addenbrooke's Cognitive
Examination (ACE-III) has been developed in order to improve the previous
versions. OBJECTIVES: The aim of this study was to determine the psychometric
properties of the Portuguese version of ACE-III, namely: reliability and
discriminative validity (sensitivity and specificity) in the identification of
mild cognitive impairment (MCI) and dementia, in comparison to other
neuropsychological screening tests, as well as to establish its concurrent and
divergent validity. MATERIAL AND METHODS: The study encompassed a sample of 90
participants distributed into 3 groups: Control (n = 30), MCI (n = 30) and
Dementia (n = 30). In addition to ACE-III, Clinical Dementia Rating (CDR) and
Montreal Cognitive Assessment (MoCA) were also used. RESULTS: The reliability of
ACE-III was very good (alpha = 0.914). ACE-III significantly differentiated the 3
groups. The receiver operating characteristic (ROC) curves significantly favored
ACE-III in comparison to another screening test - MoCA. ACE-III presented higher
levels of sensitivity and specificity. Its total score correlated positively with
the results on MoCA (rho = 0.912; p < 0.001) and negatively with a depression
scale (rho = -0.505; p < 0.001). CONCLUSIONS: The Portuguese version of ACE-III
has very good reliability and high diagnostic capacity in the context of MCI and
dementia. ACE-III also holds concurrent and divergent validity.
PMID- 29790691
TI - The protective effect of Gingko biloba in a rat model of ovarian
ischemia/reperfusion injury: Improvement in histological and biochemical
parameters.
AB - BACKGROUND: Ovarian torsion is one of the most common gynecological emergencies,
which especially affects women of reproductive age. OBJECTIVES: We aimed to
evaluate the effect of Ginkgo biloba (GB) supplementation in ovarian ischemia/
reperfusion injury in an experimental torsion/de-torsion rat model. MATERIAL AND
METHODS: This study was carried out in the Ege University Faculty of Medicine in
Izmir, Turkey. Thirty mature female Sprague-Dawley albino rats were randomly
divided into 5 groups: in Group 1 (control), the abdominal wall was only opened
and closed; in the torsion group (Group 2), ischemia was induced for 3 h, using
atraumatic vascular clips to create a torsion model; in the torsion/GB group
(Group 3), the rats were given 80 mg/kg (oral gavage) of GB 30 min before torsion
was induced and the torsion model was formed; in the torsion/de-torsion group
(Group 4), the rats underwent 3 h of ischemia and then the vascular clips were
removed and reperfusion took place for 3 h; in the torsion/de-torsion/GB group
(Group 5), the rats underwent 3 h of ischemia followed by GB (oral gavage) 30 min
prior to a 3-h reperfusion period. Ovarian tissue damage was evaluated by a
histopathological scoring system. Ovarian tissue malondialdehyde (MDA) and plasma
pentraxin-3 were measured. RESULTS: In comparison with the sham group, both the
torsion and torsion/de-torsion groups had significantly higher scores for
follicular degeneration, vascular congestion, edema, hemorrhage, and leukocyte
infiltration. Ginkgo biloba significantly decreased these scores in both groups.
Ovarian malondialdehyde and plasma pentraxin 3 were significantly higher both in
the torsion and torsion/de-torsion groups compared with the sham group. Ginkgo
biloba decreased these levels significantly both in the torsion/GB and torsion/de
torsion/GB groups. CONCLUSIONS: Supplementing GB during a surgical procedure
decreases ischemia/reperfusion injury to an ovary in an experimental rat model
based on histopathological parameters, tissue malondialdehyde, and plasma
pentraxin-3 levels.
PMID- 29790692
TI - Serum concentrations of VEGF and bFGF in the course of propranolol therapy of
infantile hemangioma in children: Are we closer to understand the mechanism of
action of propranolol on hemangiomas?
AB - BACKGROUND: Propranolol has become the treatment of choice for infantile
hemangiomas (IH). Neither the pathogenesis of IH nor the mechanism of action of
propranolol on them are well understood. Possible explanations include the
inhibition of angiogenesis by decreasing vascular endothelial growth factor
(VEGF) and basic fibroblast growth factor (bFGF), induction of vascular
endothelial cell apoptosis and vasoconstriction. OBJECTIVES: The aim of the study
was to assess serum concentrations of VEGF and bFGF in the course of propranolol
therapy of IH in children, and to assess their clinical implications. MATERIAL
AND METHODS: The study included 51 children with IH treated with propranolol. The
participants were assessed before, during and after the therapy with Hemangioma
Activity Score (HAS), Doppler ultrasound (US) of the lesions, as well as VEGF and
bFGF serum concentrations. RESULTS: All children showed clinical improvement
measured in the HAS. A complete involution of the IH was reported in 32 (63%)
children at the time of decision of the gradual withdrawing of propranolol, and
in 28 (61%) patients at the end of the treatment (out of 46 patients present at
the follow up after 1.5 months). Doppler US at the follow-up showed a complete
disappearance of the blood flow in the lesion in 24 (52%) children and its
reduction in 12 (26%) children. There was a significant decrease in VEGF and bFGF
during and after treatment compared to pretreatment values. There was a
correlation between the outcome of the Doppler US and changes in bFGF during and
after treatment. Changes in VEGF during treatment did not correlate with changes
in the Doppler US. CONCLUSIONS: Serum concentrations of VEGF and bFGF decreased
during the propranolol treatment of IH, which may indicate the effect of
propranolol on both. However, the statistical analysis showed their low
prognostic value as biochemical markers of propranolol treatment. Clinical
evaluation combined with Doppler US is the most valuable method of monitoring the
therapy.
PMID- 29790694
TI - Advances in antibody therapeutics targeting small-cell lung cancer.
AB - The proportion of small-cell lung cancer (SCLC) among all lung cancers decreased
from 17.26% in 1986 to 12.95% in 2002. Chemotherapy is the key mode of treatment.
However, novel therapeutic strategies and drugs are imperative, as the prognosis
remains poor. In recent years, antibody therapies have shown promising prospects
against malignancy. This review focuses on the advances in antibody therapies in
SCLC. Although the results of pembrolizumab, nivolumab, ipilimumab, and
rovalpituzumab tesirine are inspiring, all of the clinical trials on these drugs
are phase I/II and have been verified for further phase III clinical trials. It
was demonstrated that chemotherapy in combination with bevacizumab can improve
the progression-free survival (PFS) in phase III trials. The insulin-like growth
factor-1 receptor (IGF-1R) is associated with a poor prognosis in SCLC, while the
anti-IGF-1R monoclonal antibody figitumumab has a potential therapeutic value.
Tarextumab, an antibody that blocks both Notch2 and Notch3 signaling, in
combination with etoposide and platinum (EP) in patients with untreated extensive
stage SCLC, proved to be well-tolerated and showed dosedependent anti-tumor
activity. The therapeutic effect of sacituzumab govitecan, BW-2 and lorvotuzumab
mertansine in SCLC warranted further evaluation. Bec2/BCG as an adjuvant
vaccination in patients with limited-disease SCLC could not improve the survival,
PFS, or quality of life. Thus, clinical studies are essential to confirm the anti
tumor efficacy of trastuzumab in SCLC.
PMID- 29790693
TI - Hounsfield units from unenhanced 18F-FDG-PET/CT are useful in evaluating
supradiaphragmatic lymph nodes in children and adolescents with classical
Hodgkin's lymphoma.
AB - BACKGROUND: The precise identification of the primarily-affected nodal regions in
Hodgkin's lymphoma(HL) is essential in determining the stage of the disease and
the intensity of chemotherapy and radiotherapy. OBJECTIVES: The aim of this study
was to use the degree of X-ray attenuation (XRA) in Hounsfield units(HU) and the
lymph node-to-muscle attenuation ratio (LN/M) in computed tomography (CT)
unenhancedimaging, routinely performed with 18F-fluorodeoxyglucose positron
emission tomography (18F-FDG-PET),to distinguish HL-affected supradiaphragmatic
lymph nodes. MATERIAL AND METHODS: The study included 52 patients with classical
HL treated according to the EuroNet-PHL-C1 protocol. Patients received 2
chemotherapy cycles after 18F-FDG-PET/CT testing, followedby re-examination. The
lymph nodes were evaluated according to the Society for Pediatric Oncology
andHematology's GPOH-HD-2002 study and Lugano criteria as not-involved (NI-LN)
and involved (I-LN). RESULTS: A significant difference (p < 0.001) was found in
the XRA and LN/M values between NI-LN andI-LN before treatment and after the 2
chemotherapy cycles. The optimal cut-off point for XRA (44.7 HU) andLN/M (0.79)
values distinguishing I-LN from NI-LN nodes was determined by receiver operating
characteristic(ROC) analysis. After 2 cycles of chemotherapy, higher XRA (p =
0.002) and LN/M (p = 0.001) values in thegroup with inadequate early CTx response
were found. CONCLUSIONS: The use of XRA in HU and LN/M, together with the
existing standard, can improve the qualificationof supradiaphragmatic lymph nodes
in HL.
PMID- 29790695
TI - Elevated beta-thromboglobulin and mean platelet volume levels may show persistent
platelet activation in systemic lupus erythematosus patients.
AB - BACKGROUND: Patients with systemic lupus erythematosus (SLE) have an increased
risk of thrombotic events. Platelets become more active and they enlarge to
release proteins from alpha granules for aggregation during the plaque formation
period. Beta-thromboglobulin is one of the proteins released from alpha-granules
when platelets are activated and used as a marker of platelet activation in vivo.
OBJECTIVES: The aim of this study was to evaluate the plasma levels of beta
thromoglobulin and mean platelet volume as markers of the presence of platelet
activation in systemic lupus erythematosus patients compared with healthy
controls. MATERIAL AND METHODS: Thirty-seven SLE patients with a mean disease
duration of 4.96 years and without any organ involvement as well as 30 healthy
volunteers were included in the study. All patients were in remission of SLE.
RESULTS: The mean beta-thromboglobulin level was 97.36 +/-55.8 ng/mL in the SLE
group and 72.67 +/-33.5 ng/mL in the control group (p = 0.029). The mean platelet
volume level was 8.27 +/-1.68 fL in the SLE group and 9.16 +/-1.52 fL (p = 0.031)
in the controls. CONCLUSIONS: Elevated beta-thromboglobulin levels in systemic
lupus erythematosus patients may be associated with platelet activation in the
early stages of disease, whereas lower mean platelet volume levels in the same
population may be due to the effects of hydroxychloroquine and the inactivity of
SLE.
PMID- 29790696
TI - Lotus tetragonolobus, Ulex europaeus, Maackia amurensis, and Arachis hypogaea
(peanut) lectins influence the binding of Helicobacter pylori to gastric
carbohydrates.
AB - BACKGROUND: The carbohydrates of gastric mucins and other sugar structures are
involved in interactions with Helicobacter pylori (H. pylori) adhesins. The
binding of bacteria to mucins can protect the epithelium from direct contact with
the pathogen and from developing infection because of a specific barrier created
by the mucus. The pathogen also interacts with other carbohydrate structures of
the epithelium. Direct contact between the bacteria and the epithelial cells
facilitates infection development. OBJECTIVES: The aim of this study was to
assess the influence of Maackia amurensis (MAA), Lotus tetragonolobus (LTA), Ulex
europaeus (UEA), and Arachis hypogaea (PNA) lectins on the binding of gastric
carbohydrates with H. pylori adhesins. MATERIAL AND METHODS: Three patients'
gastric juices and 12 H. pylori strains were included in the study. An ELISA test
was used to assess the presence of MUC1 and MUC5AC mucins and the sugar
structures recognized by all examined lectins. The binding of the bacterium to
the sugar structures was analyzed by the ELISA method with and without the
gastric juices pretreated with lectins. RESULTS: In the majority of the samples
examined, MAA, LTA, UEA, and PNA lectins enhanced the binding of H. pylori to
specific carbohydrate structures of gastric mucins. CONCLUSIONS: Substances which
influence the binding of the pathogen with specific carbohydrate receptors on
gastric epithelial cells can favor inflammation development. However, if H.
pylori binds with mucins, the bacterium can have difficulty reaching the
epithelium and progressing with infection.
PMID- 29790697
TI - The inhibition of c-MYC transcription factor modulates the expression of
glycolytic and glutaminolytic enzymes in FaDu hypopharyngeal carcinoma cells.
AB - BACKGROUND: Cancer cells are dependent on aerobic glycolysis for energy
production and increased glutamine consumption. HIF-1alpha and c-MYC
transcription factors regulate the expression of glycolytic and glutaminolytic
genes. Their activity may be repressed by SIRT6. Head and neck carcinomas show
frequent activation of c-MYC function and SIRT6 down-regulation, which
contributes to a strong dependence on glucose and glutamine availability.
OBJECTIVES: The aim of this study was to compare the influence of HIF-1alpha and
c-MYC inhibitors (KG-548 and 10058-F4, respectively) and potential SIRT6 inducers
- resveratrol and its synthetic derivative DMU-212 with the effect of glycolysis
and glutaminolysis inhibitors (2-deoxyglucose and aminooxyacetic acid,
respectively) on the metabolism and expression of metabolic enzymes in FaDu
hypopharyngeal carcinoma cells. MATERIAL AND METHODS: Cell viability was assessed
by means of an MTT assay. Quantitative PCR was performed to evaluate the
expression of SIRT6, HIF-1alpha, c-MYC, GLUT1, SLC1A5, HK2, PFKM, PKM2, LDHA,
GLS, and GDH. The release of glycolysis and glutaminolysis end-products into the
culture medium - lactate and ammonia, respectively - was assessed using standard
colorimetric assays. RESULTS: Lactate production was significantly inhibited by
10058-F4, KG-548, and 2-deoxyglucose. Moreover, 10058-F4 strongly reduced the
amount of ammonia release. The effects of 10058-F4 activity can be attributed to
a reduction in the expression of PKM2 and LDHA. On the other hand, the induction
of SIRT6 expression by resveratrol and DMU-212 was not associated with
significant modulation of the expression of metabolic enzymes. CONCLUSIONS:
Overall, the results of this study indicate that the inhibition of c-MYC may be
considered to be a promising strategy of the modulation of cancer-related
metabolic changes in head and neck carcinomas.
PMID- 29790698
TI - Inhibition of migration and invasion by berberine via inactivation of PI3K/Akt
and p38 in human retinoblastoma cell line.
AB - BACKGROUND: As a clinically important natural isoquinoline alkaloid, berberine
has been reported to possess various pharmacological effects. OBJECTIVES: This
study was aimed to investigate the effect of berberine on cell migration and
invasion in human retinoblastoma (Rb) cells. MATERIAL AND METHODS: The
cytotoxicity of berberine was estimated by 3-(4,5-dimethylthiazol-2-yl)-2,5-
diphenyltetrazolium bromide (MTT) assay. After being stimulated with berberine
under various concentrations, the cell migration and invasion were evaluated by
transwell assay. Then, the expression levels of epithelial-mesenchymal transition
(EMT) markers were determined by quantitative reverse transcription PCR (qRT-PCR)
and western blot analysis. Furthermore, the phosphorylation levels of protein
kinase B (Akt) and p38 were detected by western blot analysis. Finally, the
effect of phosphatidylinositol-3-kinase (PI3K) and p38 inhibitors on cell
migration and invasion was estimated by transwell assay. Untreated cells acted as
control for all the experiments. RESULTS: The concentrations of berberine for
further studies were controlled in a range of 0 to 100 MUM. The cell migration
and invasion were both suppressed by berberine in a dose-dependent manner
compared to the control (p < 0.05 or p < 0.001). Berberine remarkably down
regulated expression of E-cadherin and up-regulated expression of vimentin and
alpha-SMA compared to the control (p < 0.01 or p < 0.001). Furthermore, the
phosphorylation levels of Akt and p38 were both down-regulated by berberine in
comparison to the control. Furthermore, the addition of berberine accompanied by
LY294002 or SB203580 significantly suppressed cell migration and invasion
compared to the addition of berberine alone (p < 0.05). CONCLUSIONS: Berberine
suppressed cell migration and invasion via inactivation of PI3K/Akt and p38.
PMID- 29790699
TI - Evaluation of the secretion and release of vascular endothelial growth factor
from two-dimensional culture and three-dimensional cell spheroids formed with
stem cells and osteoprecursor cells.
AB - BACKGROUND: Co-culture has been applied in cell therapy, including stem cells,
and has been reported to give enhanced functionality. OBJECTIVES: In this study,
stem-cell spheroids were formed in concave micromolds at different ratios of stem
cells to osteoprecursor cells, and the amount of secretion of vascular
endothelial growth factor (VEGF) was evaluated. MATERIAL AND METHODS: Gingiva
derived stem cells and osteoprecursor cells in the amount of 6 * 105 were seeded
on a 24-well culture plate or concave micromolds. The ratios of stem cells to
osteoprecursor cells included: 0:4 (group 1), 1:3 (group 2), 2:2 (group 3), 3:1
(group 4), and 4:0 (group 5). RESULTS: The morphology of cells in a 2-dimensional
culture (groups 1-5) showed a fibroblast-like appearance. The secretion of VEGF
increased with the increase in stem cells, and a statistically significant
increase was noted in groups 3, 4 and 5 when compared with the media-only group
(p < 0.05). Osteoprecursor cells formed spheroids in concave microwells, and no
noticeable change in the morphology was noted with the increase in stem cells.
Spheroids containing stem cells were positive for the stem-cell markers SSEA-4.
The secretion of VEGF from cell spheroids increased with the increase in stem
cells. CONCLUSIONS: This study showed that cell spheroids formed with stem cells
and osteoprecursor cells with different ratios, using microwells, had paracrine
effects on the stem cells. The secretion of VEGF increased with the increase in
stem cells. This stem-cell spheroid may be applied for tissue-engineering
purposes.
PMID- 29790700
TI - Early hypophosphatemia in very low birth weight preterm infants.
AB - Background: Refeeding Syndrome (RFS) is a well-known group of symptoms which
occur after the introductionof enteral or parenteral nutrition in undernourished
patients. Intrauterine growth restriction (IUGR)is the equivalent of postnatal
RFS following the beginning of feeding. The aggressive parenteral nutritionof
neonates with very low birth weight (VLBW) resulting from the termination of
intrauterine transplacentalnutrition is a source of biochemical disorders.
Objectives: The aim of this study was to analyze metabolic disorders in preterm
infants during the 1st weekof life and to determine the hypophosphatemia risk
factors in low birth weight neonates receiving parenteralnutrition. The
retrospective analysis covered 49 neonates, aged between 24 0/7 and 32 6/7 weeks
of gestation. Material and methods: The examined patients were divided into 2
groups according to the level of phosphatesduring the 1st week of life: HP (n =
18) with aggravated hypophosphatemia (<=3.1 mg/dL) and NP(n = 31) with normal
phosphatemia (>3.1 mg/dL). Results: Hypophosphatemia was observed in the first
days of life in 61% of children, in 45% of whoma subsequent test revealed a
further fall in the phosphate level. In the rest of the preterm neonates
(39%),hypophosphatemia was revealed between the 4th and 7th day of life. The risk
of early hypophosphatemiawas higher in neonates with IUGR (p = 0.0001; RR 5.2,
95% CI 2.2-12.4) and extremely low birth weight(ELBW) preterm infants (p < 0.05).
Conclusions: Early hypophosphatemia should be closely monitored early in life,
especially in newborns withELBW and IUGR. Further research is needed to develop
an optimal nutritional regimen from the first days of life.
PMID- 29790702
TI - PRACTICE Stepping into a culture of safety Onboarding programs help retain
nurses, strengthen patient care.
PMID- 29790701
TI - The clinical importance of changes in Treg and Th17 lymphocyte subsets in
splenectomized patients after spleen injury.
AB - BACKGROUND: Splenectomized patients are more prone to bacterial infections due to
their immunocompromised status. Little is known about the role of T helper 17
(Th17) and T regulatory cells (Treg) in the immune system of patients after the
removal of the spleen. OBJECTIVES: The aim of the present study was to analyze
possible changes in CD4+ lymphocyte T subsets, especially Treg and Th17, in
patients who had undergone splenectomy. MATERIAL AND METHODS: The study included
a group of 67 male patients (41.74 +/-16.22 years). All patients had undergone
splenectomy because of spleen injury. Mean time elapsed from splenectomy to
analysis was 9.1 +/-4.6 years. Control samples were obtained from 20 male healthy
volunteers. The percentages and absolute counts of Th17 and Treg were measured
using the flow cytometry method. RESULTS: The analysis of the antibody titer
against 23 serotypes of Streptococcus pneumoniae (S. pneumoniae) in the
splenectomized patients revealed its elevated values compared to controls (p =
0.0016). Higher percentages and absolute counts of Treg cells were found in the
splenectomized group vs controls (p < 0.000007). Lower percentages and absolute
counts of the Th17 subset were found in the study group vs controls (p < 0.000002
and p < 0.00006, respectively). The Treg cell percentage was positively
correlated with the antibody titer against S. pneumoniae (p < 0.02). Th17 cells
were reversely correlated with the antibody titer (p < 0.004 and p < 0.001 for
absolute counts and percentage values, respectively). The Th17 subset values were
significantly lower in the splenectomized patients who reported a higher
frequency of upper respiratory tract infections (URTI) (p < 0.0001). No
correlations were found between the time elapsed since splenectomy and the Treg
or Th17 cell values in the study group. CONCLUSIONS: Splenectomy results in an
important deterioration of the Treg/Th17 cell balance with a predominance of
immunoregulatory Tregs, which can contribute to insufficient immune response to
infection.
PMID- 29790703
TI - SAFETY Supply and demand Health care professionals share information, strategies
on drug shortages.
PMID- 29790704
TI - Partnering to promote safety in the nursing profession.
PMID- 29790705
TI - Addressing the challenge of medication errors.
PMID- 29790706
TI - NURSING PRACTICE AND WORK ENVIRONMENT Beyond folding washcloths: An innovation
for diversional activity.
PMID- 29790707
TI - APRN FOCUS The role of clinical nurse specialists in transforming health care.
PMID- 29790708
TI - [FETAL LOSSES DURING THE MATURATION OF THE PLACENTA AND THE RELATIONSHIP WITH
SOME PROCOAGULANT CONDITIONS.]
AB - Among the major causes and risk factors for fetal loss are chromosomal
abnormalities, genetic syndromes, placental abnormalities, thrombophilia (FVL,
Fil G20210A, C677T MTHFR, PAl-1 4G /-5G), infection and inflammation (IL-3, IL-4,
IL-17, IL-10), antiphospholipid syndrome, maternal diseases such as hypertension,
diabetes and obesity. Pregnancy is a prothrombotic state as a result of specific
physiological changes with multifactorial ethio-pathogenesis, leading to
increased procoagulant factors and structural changes turned a sTasis,
inflammatory component and contribution of individual genetic and acquired
thrombophilic risk factors. Understanding of the molecular mechanisms of control
over the process of embryogenesis, placentation and fetal development and impact
of the factors of hemodtasis, inflammation and apoptosis, contributes to the
application- of appropriate therapy and increase the chance of successful
completion of pregnancy.
PMID- 29790709
TI - [INFLUENCE OF DIANATALO OBSTETRIC GEL ON THE LENGTH OF SECOND STAGE OF LABOR.]
AB - One of the risk factors for the occurrence of occult anal sphincter injuries
(OASIS) and pelvic floordamage is the increased duration of the second stage of
labor; leading to a relatively high incidence of perineal trauma, which in the
majority of cases remains unrecognized. If we can decrease the duration of second
stage of labor we could also expect a statistically significant decrease the
incidence of OASIS in clinical practice. Purpose and Goals To identify changes in
the length of the second slage of labor fater adminidtration of Dianatal(r)
obstetric gel. Material and methods The study enrolled 33 primiparous women after
vaginal delivery in General Hospital Trbovlje, Slovenia between January and June
2012. We also included 19 more patients, delivered between May and July 2011 in
the Department of Obstetrics, University Hospital - Pleven, and 8 patients,
delivered between February and October 2013 in Women's Health Hospital "Nadezhda"
- Sofia Results We were able to observe a significant decrease in second slage of
labor in relation with administration of Dianatal(r) obstetric gel. Discussion
Shortening the period of expulsion of the fetus through the use of obstetric gel
can significantly reduce the incidence of birth trauma and minimize complications
related to delivery trauma - anal and urinary incontinence, changes in the
statics of pelvic organs, sexual dysfunction and as a result poor quality of
life.
PMID- 29790710
TI - [EVALUATION OF INCIDENCE OF POSTPARTUM OCCULT ANAL SPHINCTER INJURIES BY ENDOANAL
ULTRASONOGRAPHY.]
AB - The estimated overall risk of intrapartum damage of the anal sphincter (IUAS) is
1% of all vaginal births. The so called "occult" anal sphincter injuries (defects
of the anal sphincter established by endoanal ultrasonography - OASIS) occur in
33% of primiparous women after vaginal birth. The most logical explanation for
OASIS is that they are either completely missed or detected, but not mentioned in
the patient's documentation, or erroneously classified as a low grade tear.
Objectives To es7ablish the actual values of OASIS in the study population using
endoanal ultrasonography. Material and methods The study included 29 vaginal
deliveries in Porodnisnica Ljubljana in the January to June 2009 period, and 34
patients from July 2009 to May 2010, who gave birth in ObsTetric Clinic of the
University Hospital - Pleven. Results The identified UAS frequency in our dtudy
(25.4%) confirms the results of the incidence of such damage, cited in the
literature. Discussion The results vary extensively from reported frequency of
anal sphincter injuries among researched population, reflecting underesTimation
of the problem, diagnostic gaps and inadequate clinical management behavior and
tracking patients.
PMID- 29790711
TI - [MICROSATELLITE INSTABILITY AND SURVIVAL IN PATIENTS WITH ENDOMETRIAL CANCER.]
AB - Microsatellite-unstable genotype associated with deficiency mismach repaired
enzymes leads to the accumulation of a series of mutations in the coding and
regulatory regions of the genes having a role in carcinogenesis in endometrial
cancer (ECs). The aim of this study was to investigate the correlation between
the expression of four main mismach repaired enzyme (MLH-1, MSH-2, MSH-6 and PMS
2) with patients survival. ECs from 70 women (median of 63 years, range of 43-79
years) were assessed immunohistochemically for microsatellite instability (MSI).
In our study we found that the presence of MSI, determined by the absence of
immunohistochemically expression of at least one of the test four of the enzyme
mismach repaired system is associated, not statistically significant, with longer
survival (p = 0.558). In conclusion we may state that the immunohistochemical
analysis who indicates MSI in biopsy tissue is a one step forward for the
determination of survival and progression of endometrial carcinoma.
PMID- 29790712
TI - [LAPAROSCOPIC MYOMECTOMY AFTER ULIPRISTAL ACFTATF TRFATMFMT.]
AB - Uterine myoma is the most common benign uterine tumor in women of reproductive
age and occurs in 20-25 % of the worldwide population. Heavy menstrual bleeding,
pelvic pressure and pain and reproductive disfunction are common symptoms that
impair women's health and quality of live. No currently approved medical
treatment is able to completely eliminate fibroids. Until recently, gonadotropin
releasing hormone agonist were the only available drugs for preoperative
treatment of fibroids. Since February 2012, ulipristal acetate (UPA) is also
approved in Europe for preoperative fibroid treatment. One-third from them need
adjuvant surgical treatment.
PMID- 29790713
TI - [THE ROLE OF COLPOSCOPY AS A METHOD OF METHODOLOGY FOR EARLY AND RAPID ETIOLOGIC
DIAGNOSIS IN THE STUDY OF ENDOCERVICITIS CHLAMYDIALIS.]
AB - The aim of this study was: 1. To analyze and study the specifics of colposcopic
picture of ecto-and endo-cervix in endocervicitis chlamydialis. 2. To demonstrate
the leading role of colposcopy method as part of the methodology for early and
rapid etiologic diagnosis of chlamydial cervicitis in order to conduct
etriotropian treatment.Results 1. Determine the frequency of incidence of
endocervicitis chlamydialis, to cause Chl.trachomatis 45,1%, (319 DIF(+) patients
out of 708 surveyed), p <0.05 in the Ruse region. 2. Demonstrated statistically
significant relationship between the incidence of endocetvicitis chlamydialis,
examined by DIF, and the presence of colposcopic amendments Baza and Ectopia. The
difference of the two samples in terms of variable baza e statistically
significant. The difference of the two samples in terms of variable ectopia e
statistically significant at alpha<0,05, and when alpha<0,1 statistically
significant. 3. There was no statistically significant association between the
incidence of endocervicitis chlamydialis, proven by DIF, and the presence of
colposcopic changes - mosaic zone of transformation, presence of blood vessels.
4.It has been proven leading role of colposcopy method as a method complementary
to the early and rapid diagnosis of endocervicitis chlaamydialis, in order to
hold further etiotrop treatment.
PMID- 29790714
TI - [ULTRASOUND OF THE PLACENTA. NORMAL FINDINGS AND PLACENTAL ABNORMALITIES: A
REVIEW.]
AB - The placenta has a fundamental role for fetal growth and health throughout
pregnancy. Normal placental function is essential for a healthy pregnancy
outcome. A complete ultrasound survey at any stage of the pregnancy should
include full assessment of the fetus and placenta. Timely detection of placental
abnormalities cbn give the clinician the opportunity to make important management
decisions. The primary aim is healthy pregnancy outcome. Prenatal diagnosis and
correct obstetric managment reduce fetal and maternal morbidity and mortality.
Familiarity with the normal and abnormal imaging appearance of the placenta is
therefore necessary for the obstetrician. Despite the fundamental role of the
placenta for the pregnancy outcome, ultrasound examination of the placenta is
often considered secondary to the fetus. Location, size, shape and architecture
are easily ascertained with two-dimensional techniques. Three-dimensional
techniques and Doppler techniques are more detailed methods for evaluation
uteroplacental structure and function.
PMID- 29790715
TI - [NEW TECHNIQUE FOR UTERINE ARTERY IDENTIFICATION.]
AB - Laparoscopic myomectomy and total laparoscopic hysterectomy are challenging
surgical procedures for gynecologists, which can result in great blood loss. Most
of the conversions to laparotomy happened because of intraoperative bleeding.
Blocking uterine perfusion during,, Class II" laparoscopic procedures is valuable
and feasible for the management of our patients. We present our modification of
uterine artery identification and clipping.
PMID- 29790716
TI - [TRANSVAGINAL LAPAROSCOPY FOR PELVIC ENDOMETRIOSIS.]
AB - Transvaginallaparoscopy (TVL) has been described by S. Gords in 1990's. Comparig
to histerosaqlpingograpy (HSG) it allows visualization of tubal mucosa.
Transvaginal laparoscopy is alternative of conventional laparoscopy for
infertility patients. It has significant advantage regarding minimal invasiveness
and absence of abdominal incisions. Literature data shows 96.1% accuracy of TVL
against laparoscopy regarding operative findings. Presence of operative port
ensures possibility of doing minimal interventions like adhesiolysis, ovarian
drilling and endometrioma ablation. Although these advantages this procedure is
not very popular in our country yet.
PMID- 29790718
TI - Ultrasound/CT combined with CA125 in the diagnosis and prognosis prediction of
primary ovarian tumors.
PMID- 29790717
TI - [POST-PARTUM SEPTIC SACROILIITIS- CASE REPORT AND REVIEW.]
AB - : The incidence of septic postparten sacroilitis is low, described in the
literature cases are less than 20 in number. CLINICAL CASE: It is about a girl 17
years and 9 months,transported to OG Clinic at University Hospital "St. George"
10 days after a normal vaginal delivery, with complaints of fever and
debilitating hip pain in left gluteal area. Streptococcus pyogenes was isolated
as in the culture of lochial secretions, as well as in the tested blood culture.
The data obtained from completed development. The patient was subjected to a
total of 6 weeks of antibiotic therapy. Conclusion Timely diagnosis of the
condition is challenging because of its nonspecific clinical manifestations.
Septic sacroilitis should be considered in the differential diagnosis when all
women in puerperium, presenting with disablina hip pain and fever.
PMID- 29790719
TI - Less is more: the "As Less As Reasonably Achievable Stenting" (ALARAS) strategy
in the femoropopliteal area.
AB - Although evidence supports that the performance of drug coated balloons seems to
be lesion complexity independent, it is quite clear that in long lesions, severe
calcified lesions and chronic total occlusions, the bail out stent ratio is very
high and that the "leaving nothing behind" strategy remains a dream in a lot of
our daily cases. On the other side of the spectrum, "full metal jackets" of
nitinol stents are creating even more problems. Stent fractures, intimal
hyperplasia and reintervention difficulties complicate the recurrent vascular
disease treatment. The go-between with the "As Less As Reasonably Achievable
Stenting" strategy (ALARAS), earlier named with a bad name "spot" stenting, seems
the most attractive one. Scaffolding where needed and allowing freedom of vessel
motion will lead to reduction of target lesion revascularization (TLR) rates. New
technologies like the Tack Endovascular System and the Vascuflex MultiLOC MSD are
responding very well tot this idea. Animal tests and pivotal trials (like TOBA
and LOCOMOTIVE trials) already showed with both devices good results in terms of
patencies and freedom from target lesion revascularizations, and with less
metallic implants. Primary patency at 12 months was 76.4% and 85.7% in the TOBA
and LOCOMOTIVE trial respectively. Target lesion revascularization at 12 months
was 10.5% and 9.3%, respectively. In an era of "leaving nothing behind," post
angioplasty dissections are more frequent than ever. With a direct link between
lesion complexity, dissections and increase of TLR rates, scaffolding solutions
remains essential. The ALARAS strategy tries to leave as little metal behind as
possible, minimizing the risk of stent fractures, allowing freedom of vessel
motion and reducing TLR rates. However, the lack of clear strategies and
guidelines in where to scaffold which kind of dissections is the biggest issue at
the moment. More objective classifications based on newer types of imaging like
intraoperative ultrasound, intravascular ultrasound, optical coherence
tomography, and/or fractional flow reserve pressure wire gradient measurements
will potentially offer more solutions in this interesting field.
PMID- 29790721
TI - Techniques and outcomes of secondary endovascular repair for postdissection
TAA/TAAA.
AB - Postdissection aortic aneurysms (PDAA) affect 20-40% of patients with aortic
dissection. Open repair remains the first line therapy of PDAA, but is still
associated with high mortality and morbidity rates. Endovascular repair is
increasingly being used as a less invasive treatment option. Thoracic
endovascular aneurysm repair (TEVAR) covering only the proximal entry tear has
proven to be insufficient in most patients with chronic PDAA and has a limited
role only for PDAA with distal sealing zone in the thoracic aorta. In PDAA
extending to the thoracoabdominal aorta, a more complex repair is needed to
achieve aneurysm exclusion. Fenestrated and branched stent-grafts have been used
lately in some expert centres to treat PDAA of the thoracoabdominal aorta with
good preliminary results despite the technical difficulties in these patients
(narrow true lumen, stiff chronic dissection flap, target vessels that originate
from the false lumen [FL]). A subset of patients with aneurysmal degeneration
mainly in the descending thoracic aorta, can be treated with TEVAR landing
proximal to the celiac artery along with adjuvant techniques such as coils,
plugs, glue or "Candy-Plug" and "Knickerbocker" concepts to occlude the FL,
preventing retrograde flow and reducing the pressure in the aneurysm. Other
options that have been used in limited numbers of patients with PDAA include the
PETTICOAT (provisional extension to induce complete attachment) and STABILISE
(Stent-Assisted Balloon-Induced Intimal Disruption and Relamination in Aortic
Dissection Repair) techniques. This article aims to review the outcomes of
different endovascular techniques and strategies available for the repair of
PDAA.
PMID- 29790720
TI - Recanalization of CTOs with SoundBiteTM Active Wire.
AB - BACKGROUND: The aim of this study was to examine the safety and efficacy of the
SoundBiteTM Crossing System for the recanalization of infrainguinal chronic total
occlusion (CTO) lesions. CTOs are frequent among patients with severe
claudication or critical limb ischemia. Failure to recanalize CTOs remains common
and is associated with poor prognosis. The SoundBiteTM Crossing System (SoundBite
Medical Solutions Inc., Montreal, QC, Canada) is a newly developed device that
uses a 0.018-inch wire (SoundBiteTM Active Wire) to deliver acoustic shock waves
to the distal tip of a steerable guidewire to facilitate directed penetration of
the proximal cap and crossing of the occlusion. METHODS: Symptomatic patients
with de novo infrainguinal CTOs from 3 centers were enrolled in a prospective,
single-arm feasibility study. The primary endpoint was 30-day device success
defined as composite of technical success, defined as penetration and progression
within the CTO with the SoundBiteTM Active Wire followed by complete
recanalization, and freedom from device-related major adverse events including
death, urgent amputation, clinically-driven target vessel revascularization,
perforation, type >=C dissection, or distal embolization requiring intervention.
RESULTS: Thirty-seven patients including 41 CTO lesions were enrolled in this
study. CTO length ranged from 10 mm to 270 mm (mean 97.9+/-77.4 mm), and moderate
to-severe calcification was present in 24 (58.5%) of the treated lesions. CTOs
were successfully crossed in 34 (91.9%) patients. No device-related adverse
events occurred, resulting in a 30-day device success rate of 91.9%. Compared
with baseline, 30-day ankle brachial index (0.66+/-0.24 versus 0.89+/-0.20;
P<0.001) and Rutherford class (3 [2, 4] versus 0 [0, 1], P<0.001) significantly
improved. CONCLUSIONS: The SoundBiteTM Active Wire Crossing System feasibility
study demonstrates a favorable safety and efficacy profile for the SoundBiteTM
Active Wire in infrainguinal CTOs.
PMID- 29790722
TI - Minimally invasive endoscopic-assisted approaches to the posterior fossa.
AB - Modern surgical approaches are becoming more minimalistic, associated with the
term 'minimally invasive'. The endoscope provides a more panoramic anatomical
view in addition to the ability to access narrow deep corners with decent
illumination and clear visualization. Endoscopic assisted microsurgery through a
tailored small craniotomy is the foundation of keyhole surgery. The endoscope can
be advanced deeper into the field, thus enhancing an exposure and allowing
bimanual dissection, thus prompting smaller craniotomies and tailored key
exposures. The term 'minimally invasive' became associated with reduction of
overall tissue injury, decreased potential complications, reduced recovery
times/hospital stay, and overall reduced costs. This minimally invasive concept
became successfully applicable to diverse pathologies in the three cranial
fossae. The posterior fossa, houses the most critical neurovascular structures of
the brain in an intricate and complex anatomical organization. In this
manuscript, we describe keyhole endoscopic-assisted approaches to different
regions of the posterior fossa. Five corridors for these approaches are
described: 1. Midline supracerebellar- infratentorial to the pineal region, 2.
Upper cerebellopontine angle (CPA) to the trigeminal region, 3. Middle
cerebellopontine angle to the vestibulocochlear region and internal auditory
meatus, 4. Inferior cerebellopontine angle to the jugular foramen region and
lower cranial nerves, and 5. Midline infracerebellar to posterior foramen magnum
and the craniocervical junction. We then present a general review of the
published literature and case examples demonstrating the effectiveness of the
endoscopic-assisted keyhole concept.
PMID- 29790723
TI - Training the skull base surgeon of the future: a comprehensive approach.
AB - The tremendous advances in endoscopic skull base surgery added new a set of
intricate less invasive approaches to the neurosurgical armamentarium. The new
generations of neurosurgeons face the challenge of adopting the ever-changing
technology and quickly learning modern surgical techniques beyond the traditional
neurosurgery comfort zone. The future skull base surgeon must be well trained and
knowledgeable in all surgical portals to the skull base in order to select the
safest least invasive, most direct approach that offers the optimum exposure to
handle a complex skull base pathology. The multi portal/corridor philosophy is
often adopted in order to achieve superior surgical and clinical outcomes.
Neurosurgeons need to consider such an approach when appropriate as it may offer
a superior outcome while minimizing the morbidity associated with large
exposures.
PMID- 29790724
TI - Neuroprotection in traumatic brain injury.
AB - Traumatic brain injury (TBI) has a high incidence worldwide and is associated
with significant morbidity and mortality. TBI has enduring implications in
several domains and limits overall quality of life even in the survivors.
Assessment of failures of different strategies attempted at improving outcomes in
traumatic brain injury is required. Several neuroprotective strategies have been
studied to limit the morbidity and mortality associated with TBI. Various
approaches, both pharmacologic and surgical, have been tried. In this article, we
will review the epidemiology of TBI, the impact of secondary brain injury on
outcomes and different strategies in traumatic brain injury. Furthermore,
discussion into failure of different strategies and necessary future approach
will be discussed. TBI remains a challenging condition to intervene on due to its
heterogeneity. Future work should incorporate a multi-disciplinary as well as
multi-center approach to target specific subset of patient population.
PMID- 29790725
TI - The utilization of fluorescein in brain tumor surgery: a systematic review.
AB - INTRODUCTION: Sodium Fluorescein (SF) is a green, water-soluble dye with the
capacity to accumulate in cerebral areas as a result of damaged blood-brain
barrier (BBB); this property allows SF to concentrate specifically at the tumor
site of various types of brain neoplasms, making the tumor tissue more clearly
visible. EVIDENCE ACQUISITION: A literature search (1947-2018) was conducted with
the keywords "fluorescein neurosurgery", "YELLOW neurosurgery", "fluorescein
brain tumor", "YELLOW brain tumor". We included clinical studies, clinical
trials, observational studies, only conducted on humans and concerning surgery;
in addition, we have included 3 articles derived from the analysis of the
references of other papers. Ultimately, 57 articles were included for further
analysis. EVIDENCE SYNTHESIS: Fluorescein as a fluorescent tracer in neuro
oncology is gaining a wider acceptance in the neurosurgical literature: until
February 1st, 2018, at least 1099 neuro-oncological patients have been operated
through fluorescein-assistance, mostly only after 2012. The most important
application remains the aim to improve tumor visualization and extent of
resection for high-grade gliomas (HGG), but the nonspecific mechanism of action
is the theoretical base for its use also for tumors different from HGG.
Nevertheless, no homogenous protocol of fluorescein utilization in neurosurgical
oncology can be found in literature. CONCLUSIONS: Fluorescein-guided surgery is a
safe and effective technique to improve visualization and resection of different
CNS tumors and conditions, based on BBB alteration, with a growing evidence-based
background.
PMID- 29790726
TI - Minimally invasive approaches to craniosynostosis.
AB - Craniosynostosis (CS) is defined as the premature fusion of one or more calvarial
sutures. This carries several consequences, including abnormal/asymmetric cranial
vault development, increased intracranial pressure, compromised neurocognitive
development, and craniofacial deformity. Definitive management is surgical with
the goal of protecting cerebral development by re-establishing normal cranial
vault expansion and correcting cosmetic deformity. In today's practice, CS
surgery has advanced radically from simple craniectomies to major cranial vault
reconstructive (CVR) procedures. More recently there has been considerable
interest in endoscopic assisted surgery (EAS). Theoretical benefits include
decreased operative time, morbidity, blood loss, postoperative pain, cost and
faster recovery times. In this focused review, we summarize the current body of
literature reporting clinical outcomes in EAS and review the data comparing EAS
and CVR.
PMID- 29790727
TI - Pathophysiology of severe traumatic brain injury.
AB - Traumatic brain injury is a leading cause of morbidity and mortality globally,
particularly among young people, with significant social and economic effects.
The World Health Organization (WHO) estimates that more than five million people
die each year from traumatic injuries worldwide. While public health initiatives
such as seatbelts and airbags have had a major impact, it will be impossible to
prevent traumatic brain injury.Therefore, it is important that we understand the
pathophysiology of secondary brain injury to be able to effectively treat our
patient and also to develop novel targets of future interventions. The mechanisms
of secondary brain injury are complex involving alterations in cerebral
perfusion, activation of inflammatory cytokines and excitotoxicity. While our
understanding of these mechanisms has advanced greatly over the last decade,
there is still much to learn and great uncertainty at the bedside. There has been
some recent success with the discovery of some simple interventions that can
reduce secondary brain injury and improve outcomes in patients after traumatic
brain injury. In this review we summarize the current understanding of mechanisms
and pathophysiology of primary and secondary brain injury, the goals for current
treatment and potential targets for future therapy.
PMID- 29790728
TI - Hydroxylated Polybrominated Biphenyl Ethers Exert Estrogenic Effects via Non
Genomic G Protein-Coupled Estrogen Receptor Mediated Pathways.
AB - BACKGROUND: Numerous studies have indicated the estrogenic effects of
polybrominated diphenyl ethers (PBDEs) and hydroxylated PBDEs (OH-PBDEs).
However, the previous mechanistic studies focused on their estrogenic effects
through genomic transcriptional activation of estrogen receptors. OBJECTIVE: The
present study aimed to investigate the estrogenic effects of PBDEs and OH-PBDEs
via nongenomic G protein-coupled estrogen receptor (GPER) pathways. METHODS: The
binding affinities of 12 PBDEs and 18 OH-PBDEs with GPER were determined by a
fluorescence competitive binding assay in a human breast cancer cell line
(SKBR3). Molecular docking was performed to simulate the interactions. Their
activities on GPER pathways were investigated by detecting calcium mobilization
and cyclic adenosine monophosphate (cAMP) accumulation in SKBR3 cells. The
effects on SKBR3 cell migration were investigated using Boyden chamber and wound
healing assays. RESULTS: Our results showed that 11 of the OH-PBDEs but none of
the PBDEs bound to GPER directly. Relative binding affinities ranged from 1.3% to
20.0% compared to 17beta-estradiol. Docking results suggested that the hydroxyl
group played an essential role in the binding of OH-PBDEs to GPER by forming
hydrogen bond interactions. Most of the OH-PBDEs activated subsequent GPER
signaling pathways. Among them, 4'-OH-BDE-049, 5'-OH-BDE-099, and 3'-OH-BDE-154
displayed the highest activity with lowest effective concentrations (LOECs) of 10
100 nM. These three OH-PBDEs also promoted SKBR3 cell migration via GPER pathways
with LOECs of 0.1-1 MUM. CONCLUSION: OH-PBDEs could bind to GPER, activate the
subsequent signaling pathways, and promote SKBR3 cell migration via GPER
pathways. OH-PBDEs might exert estrogenic effects by a novel nongenomic mechanism
involving the activation of GPER at nanomolar concentrations.
https://doi.org/10.1289/EHP2387.
PMID- 29790730
TI - Energy-Saving Synthesis of MOF-Derived Hierarchical and Hollow Co(VO3)2-Co(OH)2
Composite Leaf Arrays for Supercapacitor Electrode Materials.
AB - A one-step and energy-saving method was proposed to synthesize hierarchical and
hollow Co(VO3)2-Co(OH)2 composite leaf arrays on carbon cloth, which expressed
high capacitance (522 mF cm-2 or 803 F g-1 at the current density of 0.5 mA cm
2), good rate capability (79.5% capacitance retention after a 30-fold increase of
the current density) and excellent cycling stability (90% capacitance retention
after 15 000 charge-discharge cycles) when tested as a supercapacitor electrode.
PMID- 29790731
TI - Electrochemical Properties of the LiNi0.6Co0.2Mn0.2O2 Cathode Material Modified
by Lithium Tungstate under High Voltage.
AB - An amount (5 wt %) of lithium tungstate (Li2WO4) as an additive significantly
improves the cycle and rate performances of the LiNi0.6Co0.2Mn0.2O2 electrode at
the cutoff voltage of 4.6 V. The 5 wt % Li2WO4-mixed LiNi0.6Co0.2Mn0.2O2
electrode delivers a reversible capacity of 199.2 mA h g-1 and keeps 73.1%
capacity for 200 cycles at 1 C. It retains 67.4% capacity after 200 cycles at 2 C
and delivers a discharge capacity of 167.3 mA h g-1 at 10 C, while those of the
pristine electrode are only 44.7% and 87.5 mA h g-1, respectively. It is shown
that the structure of the LiNi0.6Co0.2Mn0.2O2 cathode material is not affected by
mixing Li2WO4. The introduced Li2WO4 effectively restrains the LiPF6 and
carbonate solvent decomposition by consuming PF5 at high cutoff voltage, forming
a stable cathode/electrolyte interface film with low resistance.
PMID- 29790732
TI - Proton-Controlled Organic Microlaser Switch.
AB - Microscale laser switches have been playing irreplaceable roles in the
development of photonic devices with high integration levels. However, it remains
a challenge to switch the lasing wavelengths across a wide range due to
relatively fixed energy bands in traditional semiconductors. Here, we report a
strategy to switch the lasing wavelengths among multiple states based on a proton
controlled intramolecular charge-transfer (ICT) process in organic dye-doped
flexible microsphere resonant cavities. The protonic acids can effectively bind
onto the ICT molecules, which thus enhance the ICT strength of the dyes and lead
to a red-shifted gain behavior. On this basis, the gain region was effectively
modulated by using acids with different proton-donating ability, and as a result,
laser switching among multiple wavelengths was achieved. The results will provide
guidance for the rational design of miniaturized lasers with performances based
on the characteristic of organic optoelectronic materials.
PMID- 29790733
TI - Mechanically Robust Gels Formed from Hydrophobized Cellulose Nanocrystals.
AB - Cellulose nanocrystals (CNCs) that bind to each other through associative
hydrophobic interactions have been synthesized by modifying sulfated CNCs (sCNCs)
with hydrophobic moieties. These octyl-CNCs form gels at significantly lower
concentrations than parent sCNCs, producing extremely strong hydrogels. Unlike
sCNCs, these octyl-CNCs do not form ordered liquid crystalline phases indicating
a random association into a robust network driven by hydrophobic interactions.
Furthermore, involvement of the octyl-CNCs into multicomponent supramolecular
assembly was demonstrated in combination with starch. AFM studies confirm
favorable interactions between starch and octyl-CNCs, which is thought to be the
source of the dramatic increase in gel strength.
PMID- 29790729
TI - Prenatal Phthalates, Maternal Thyroid Function, and Risk of Attention-Deficit
Hyperactivity Disorder in the Norwegian Mother and Child Cohort.
AB - BACKGROUND: There is growing concern that phthalate exposures may have an impact
on child neurodevelopment. Prenatal exposure to phthalates has been linked with
externalizing behaviors and executive functioning defects suggestive of an
attention-deficit hyperactivity disorder (ADHD) phenotype. OBJECTIVES: We
undertook an investigation into whether prenatal exposure to phthalates was
associated with clinically confirmed ADHD in a population-based nested case
control study of the Norwegian Mother and Child Cohort (MoBa) between the years
2003 and 2008. METHODS: Phthalate metabolites were measured in maternal urine
collected at midpregnancy. Cases of ADHD (n=297) were obtained through linkage
between MoBa and the Norwegian National Patient Registry. A random sample of
controls (n=553) from the MoBa population was obtained. RESULTS: In multivariable
adjusted coexposure models, the sum of di-2-ethylhexyl phthalate metabolites
(?DEHP) was associated with a monotonically increasing risk of ADHD. Children of
mothers in the highest quintile of ?DEHP had almost three times the odds of an
ADHD diagnosis as those in the lowest [OR=2.99 (95% CI: 1.47, 5.49)]. When ?DEHP
was modeled as a log-linear (natural log) term, for each log-unit increase in
exposure, the odds of ADHD increased by 47% [OR=1.47 (95% CI: 1.09, 1.94)]. We
detected no significant modification by sex or mediation by prenatal maternal
thyroid function or by preterm delivery. CONCLUSIONS: In this population-based
case-control study of clinical ADHD, maternal urinary concentrations of DEHP were
monotonically associated with increased risk of ADHD. Additional research is
needed to evaluate potential mechanisms linking phthalates to ADHD.
https://doi.org/10.1289/EHP2358.
PMID- 29790734
TI - Palladium Supported on an Amphiphilic Triazine-Urea-Functionalized Porous Organic
Polymer as a Highly Efficient Electrocatalyst for Electrochemical Sensing of
Rutin in Human Plasma.
AB - Metal nanoparticle-containing porous organic polymers have gained great interest
in chemical and pharmaceutical applications owing to their high reactivity and
good recyclability. In the present work, a palladium nanoparticle-decorated
triazine-urea-based porous organic polymer (Pd@TU-POP) was designed and
synthesized using 1,3-bis(4-aminophenyl)urea with cyanuric chloride and palladium
acetate. The porous structure and physicochemical properties of the electrode
material Pd@TU-POP were observed using a range of standard techniques. The Pd@TU
POP material on the electrode surface showed superior sensing ability for rutin
(RT) because the Pd dispersion facilitated the electrocatalytic performance of TU
POP by reducing the overpotential of RT oxidation dramatically and improving the
stability significantly. Furthermore, TU-POP provides excellent structural
features for loading Pd nanoparticles, and the resulting Pd@TU-POP exhibited
enhanced electron transfer and outstanding sensing capability in a linear range
between 2 and 200 pM having a low detection value of 5.92 * 10-12 M (S/N = 3).
The abundant porous structure of Pd@TU-POP not only provides electron transport
channels for RT diffusion but also offers a facile route for quantification
sensing of RT with satisfactory recoveries in aqueous electrolyte containing
human plasma and red wine. These data reveal that the synthetic Pd@TU-POP is an
excellent potential platform for the detection of RT in biological samples.
PMID- 29790737
TI - Environmental Stability of Plasmonic Biosensors Based on Natural versus
Artificial Antibody.
AB - Plasmonic biosensors based on the refractive index sensitivity of localized
surface plasmon resonance (LSPR) are considered to be highly promising for on
chip and point-of-care biodiagnostics. However, most of the current plasmonic
biosensors employ natural antibodies as biorecognition elements, which can easily
lose their biorecognition ability upon exposure to environmental stressors (e.g.,
temperature and humidity). Plasmonic biosensors relying on molecular imprints as
recognition elements (artificial antibodies) are hypothesized to be an attractive
alternative for applications in resource-limited settings due to their excellent
thermal, chemical, and environmental stability. In this work, we provide a
comprehensive comparison of the stability of plasmonic biosensors based on
natural and artificial antibodies. Although the natural antibody-based plasmonic
biosensors exhibit superior sensitivity, their stability (temporal, thermal, and
chemical) was found to be vastly inferior to those based on artificial
antibodies. Our results convincingly demonstrate that these novel classes of
artificial antibody-based plasmonic biosensors are highly attractive for point-of
care and resource-limited conditions where tight control over transport, storage,
and handling conditions is not possible.
PMID- 29790736
TI - Desymmetrization of meso-Dibromocycloalkenes through Copper(I)-Catalyzed
Asymmetric Allylic Substitution with Organolithium Reagents.
AB - The highly regio- and enantioselective (up to >99:1 dr, up to 99:1 er)
desymmetrization of meso-1,4-dibromocycloalk-2-enes using asymmetric allylic
substitution with organolithium reagents to afford enantioenriched
bromocycloalkenes (ring size of 5 to 7) has been achieved. The cycloheptene
products undergo an unusual ring contraction. The synthetic versatility of this
Cu(I)-catalyzed reaction is demonstrated by the concise stereocontrolled
preparation of cyclic amino alcohols, which are privileged chiral structures in
natural products and pharmaceuticals and widely used in synthesis and catalysis.
PMID- 29790735
TI - Sensing DNA through DNA Charge Transport.
AB - DNA charge transport chemistry involves the migration of charge over long
molecular distances through the aromatic base pair stack within the DNA helix.
This migration depends upon the intimate coupling of bases stacked one with
another, and hence any perturbation in that stacking, through base modifications
or protein binding, can be sensed electrically. In this review, we describe the
many ways DNA charge transport chemistry has been utilized to sense changes in
DNA, including the presence of lesions, mismatches, DNA-binding proteins, protein
activity, and even reactions under weak magnetic fields. Charge transport
chemistry is remarkable in its ability to sense the integrity of DNA.
PMID- 29790738
TI - Design and Development of Polysaccharide-Doxorubicin-Peptide Bioconjugates for
Dual Synergistic Effects of Integrin-Targeted and Cell-Penetrating Peptides for
Cancer Chemotherapy.
AB - Polymer-drug conjugation is an attractive approach for target delivering
insoluble and highly toxic drugs to tumor sites to overcome the side-effects
caused by cancer chemotherapy. In this study we designed and synthesized novel
polymer-drug-peptide conjugates for improved specificity on targeting cancer
cells. Chemically modified polysaccharide, carboxymethylcellulose (CMC), was
conjugated with doxorubicin (DOX) anticancer drug by amide bonds and dually
biofunctionalized with integrin-target receptor tripeptide (RGD) and l-arginine
(R) as cell-penetrating amino acid for synergistic targeting and enhancing
internalization by cancer cells. These bioconjugates were tested as prodrugs
against bone, breast, and brain cancer cell lines (SAOS, MCF7, and U87) and a
normal cell line (HEK 293T, reference). The physicochemical characterization
showed the formation of amide bonds between carboxylates (-RCOO-) from CMC
biopolymer and amino groups (-NH2) from DOX and peptides (RGD or R). Moreover,
these polymer-drug-peptide bioconjugates formed nanoparticulate colloidal
structures and behaved as "smart" drug delivery systems (DDS) promoting
remarkable reduction of the cytotoxicity toward normal cells (HEK 293T) while
retaining high killing activity against cancer cells. Based on cell viability
bioassays, DNA-staining, and confocal laser microscopy, this effect was assigned
to the association of physicochemical aspects with the difference of the
endocytic pathways and the drug release rates in live cells caused by the
biofunctionalization of the macromolecule-drug systems with RGD and l-arginine.
In addition, chick chorioallantoic membrane (CAM) assay was performed as an in
vivo xenograft model test, which endorsed the in vitro results of anticancer
activities of these polymer-drug systems. Thus, prodrug nanocarriers based on CMC
DOX-peptide bioconjugates were developed for simultaneously integrin-targeting
and high killing efficacy against cancer cells, while preserving healthy cells
with promising perspectives in cancer chemotherapy.
PMID- 29790739
TI - Universal Coatings Based on Zwitterionic-Dopamine Copolymer Microgels.
AB - Multifunctional coatings that adhere to chemically distinct substrates are vital
in many industries, including automotive, aerospace, shipbuilding, construction,
petrochemical, biomedical, and pharmaceutical. We design well-defined, nearly
monodisperse microgels that integrate hydrophobic dopamine methacrylamide
monomers and hydrophilic zwitterionic monomers. The dopamine functionalities
operate as both intraparticle cross-linkers and interfacial binders, respectively
providing mechanical strength of the coatings and their strong adhesion to
different substrates. In tandem, the zwitterionic moieties enable surface
hydration to empower antifouling and antifogging properties. Drop-casting of
microgel suspensions in ambient as well as humid environments facilitates rapid
film formation and tunable roughness through regulation of cross-linking density
and deposition conditions.
PMID- 29790741
TI - Entrapment of Prostate Cancer Circulating Tumor Cells with a Sequential Size
Based Microfluidic Chip.
AB - Circulating tumor cells (CTCs) are broadly accepted as an indicator for early
cancer diagnosis and disease severity. However, there is currently no reliable
method available to capture and enumerate all CTCs as most systems require either
an initial CTC isolation or antibody-based capture for CTC enumeration. Many size
based CTC detection and isolation microfluidic platforms have been presented in
the past few years. Here we describe a new size-based, multiple-row cancer cell
entrapment device that captured LNCaP-C4-2 prostate cancer cells with >95%
efficiency when in spiked mouse whole blood at ~50 cells/mL. The capture ratio
and capture limit on each row was optimized and it was determined that trapping
chambers with five or six rows of micro constriction channels were needed to
attain a capture ratio >95%. The device was operated under a constant pressure
mode at the inlet for blood samples which created a uniform pressure differential
across all the microchannels in this array. When the cancer cells deformed in the
constriction channel, the blood flow temporarily slowed down. Once inside the
trapping chamber, the cancer cells recovered their original shape after the
deformation created by their passage through the constriction channel. The CTCs
reached the cavity region of the trapping chamber, such that the blood flow in
the constriction channel resumed. On the basis of this principle, the CTCs will
be captured by this high-throughput entrapment chip (CTC-HTECH), thus confirming
the potential for our CTC-HTECH to be used for early stage CTC enrichment and
entrapment for clinical diagnosis using liquid biopsies.
PMID- 29790740
TI - Organometallic Gold(III) Reagents for Cysteine Arylation.
AB - An efficient method for chemoselective cysteine arylation of unprotected peptides
and proteins using Au(III) organometallic complexes is reported. The
bioconjugation reactions proceed rapidly (<5 min) at ambient temperature in
various buffers and within a wide pH range (0.5-14). This approach provides
access to a diverse array of S-aryl bioconjugates including fluorescent dye,
complex drug molecule, affinity label, poly(ethylene glycol) tags, and a stapled
peptide. A library of Au(III) arylation reagents can be prepared as air-stable,
crystalline solids in one step from commercial reagents. The selective and
efficient arylation procedures presented in this work broaden the synthetic scope
of cysteine bioconjugation and serve as promising routes for the modification of
complex biomolecules.
PMID- 29790742
TI - Surface Modification of Silicon Nanoparticles by an "Ink" Layer for Advanced
Lithium Ion Batteries.
AB - Owing to its high specific capacity, silicon is considered as a promising anode
material for lithium ion batteries (LIBs). However, the synthesis strategies for
previous silicon-based anode materials with a delicate hierarchical structure are
complicated or hazardous. Here, Prussian blue analogues (PBAs), widely used in
ink, are deposited on the silicon nanoparticle surface (PBAs@Si-450) to modify
silicon nanoparticles with transition metal atoms and a N-doped carbon layer. A
facile and green synthesis procedure of PBAs@Si-450 nanocomposites was carried
out in a coprecipitation process, combined with a thermal treatment process at
450 degrees C. As-prepared PBAs@Si-450 delivers a reversible charge capacity of
725.02 mAh g-1 at 0.42 A g-1 after 200 cycles. Moreover, this PBAs@Si-450
composite exhibits an exceptional rate performance of ~1203 and 263 mAh g-1 at
current densities of 0.42 and 14 A g-1, respectively, and fully recovered to 1136
mAh g-1 with the current density returning to 0.42 A g-1. Such a novel
architecture of PBAs@Si-450 via a facile fabrication process represents a
promising candidate with a high-performance silicon-based anode for LIBs.
PMID- 29790743
TI - Correction to "Identification of Different Bile Species and Fermentation Times of
Bile Arisaema Based on an Intelligent Electronic Nose and Least Squares Support
Vector Machine".
PMID- 29790744
TI - Myristic Acid-Modified DA7R Peptide for Whole-Process Glioma-Targeted Drug
Delivery.
AB - The clinical treatment of aggressive glioma has been a great challenge, mainly
because of the complexity of the glioma microenvironment and the existence of the
blood-brain tumor barrier (BBTB)/blood-brain barrier (BBB), which severely
hampers the effective accumulation of most therapeutic agents in the glioma
region. Additionally, vasculogenic mimicry (VM), angiogenesis, and glioma stem
cells (GSC) in malignant glioma also lead to the failure of clinical therapy. To
address the aforementioned issues, a whole-process glioma-targeted drug delivery
strategy was proposed. The DA7R peptide has effective BBTB-penetrating and
notable glioma-, angiogenesis-, and VM-targeting abilities. Herein, we designed a
myristic acid modified DA7R ligand (MC-DA7R), which combines tumor-homing DA7R
with BBB-penetrable MC. MC-DA7R was then immobilized to PEGylated liposomes (MC
DA7R-LS) to form a whole-process glioma-targeting system. MC-DA7R-LS exhibited
exceptional internalization in glioma, tumor neovascular, and brain capillary
endothelial cells. Enhanced BBTB- and BBB-traversing efficiencies were also
observed on MC-DA7R-LS. Ex vivo imaging on brain tumors also demonstrated the
feasibility of MC-DA7R-LS in intracranial glioma-homing, whereas the
immunofluorescence studies demonstrated its GSC and angiogenesis homing.
Furthermore, doxorubicin-loaded MC-DA7R-LS accomplished a remarkable therapeutic
outcome, as a result of a synergistic improvement on the glioma microenvironment.
Our study highlights the potential of the MC-modified DA7R peptide as a great
candidate for the whole-process glioma-targeted drug delivery.
PMID- 29790745
TI - Vanillin-Ameliorated Development of Azoxymethane/Dextran Sodium Sulfate-Induced
Murine Colorectal Cancer: The Involvement of Proteasome/Nuclear Factor
kappaB/Mitogen-Activated Protein Kinase Pathways.
AB - Vanillin is a natural dietary flavoring widely used in the food industry.
Colorectal cancer (CRC) is one of the common malignancies in the world. Chronic
intestinal inflammation is a risk factor for the development of CRC. We have
previously found that vanillin improves and prevents colitis in mice. Here we
evaluated the inhibitory activities of vanillin on a mouse model of colitis
induced CRC. Mice were challenged intraperitoneally with azoxymethane (AOM) and
orally with dextran sodium sulfate (DSS). Various dosages of vanillin were orally
administered for 13 consecutive weeks. Vanillin alleviated the development of
tumors in AOM/DSS-induced mice. The total number of tumors in 100 mg/kg vanillin
group was significantly reduced by 57.14 +/- 7.67%, compared with sham group.
Gene expression analysis showed that vanillin downregulated the expression levels
of proteasome genes in colon tissues. Moreover, vanillin at 10 mM significantly
suppressed proteasome activities in HCT-116 cells by 41.27 +/- 0.41%.
Furthermore, vanillin diminished the phosphorylation of mitogen-activated protein
kinases (MAPKs) and reduced the number of p65-positive cells, proliferating
cells, and granulocytes in colon tissues with statistical significance. In
conclusion, our data suggested that vanillin was a bioactive compound that
ameliorated the development of AOM/DSS-induced colon cancer in mice. Moreover,
the amelioration of vanillin might be associated with the downregulation of
proteasome, nuclear factor-kappaB, and MAPK pathways.
PMID- 29790746
TI - Neuroprotective Compound from an Endophytic Fungus, Colletotrichum sp. JS-0367.
AB - Colletotrichum sp. JS-0367 was isolated from Morus alba (mulberry), identified,
and cultured on a large scale for chemical investigation. One new anthraquinone
(1) and three known anthraquinones (2-4) were isolated and identified using
spectroscopic methods including 1D/2D-NMR and HRESIMS. Although the
neuroprotective effects of some anthraquinones have been reported, the biological
activities of the four anthraquinones isolated in this study have not been
reported. Therefore, the neuroprotective effects of these compounds were
determined against murine hippocampal HT22 cell death induced by glutamate.
Compound 4, evariquinone, showed strong protective effects against HT22 cell
death induced by glutamate by the inhibition of intracellular ROS accumulation
and Ca2+ influx triggered by glutamate. Immunoblot analysis revealed that
compound 4 reduced the phosphorylation of MAPKs (JNK, ERK1/2, and p38) induced by
glutamate. Furthermore, compound 4 strongly attenuated glutamate-mediated
apoptotic cell death.
PMID- 29790747
TI - Characterization of Potent Aroma Compounds in Preserved Egg Yolk by Gas
Chromatography-Olfactometry, Quantitative Measurements, and Odor Activity Value.
AB - To characterize potent odor-active compounds in preserved egg yolk (PEY),
volatile compounds were isolated by headspace solid-phase microextraction and
solvent-assisted flavor evaporation. Gas chromatography-olfactometry (GC-O) and
gas chromatography-mass spectrometry (GC-MS) analyses identified a total of 53
odor-active compounds by comparing the odor characteristics, MS data, and
retention indices with those of reference compounds. Twenty-seven odorants were
detected in at least five isolates that were extracted and analyzed by the same
method, and their flavor dilution (FD) factors, ranging from 1 to 2048, were
measured by aroma extract dilution analysis (AEDA). To further determine their
contribution to the overall aroma profile of PEY, 22 odorants with FD factors
>=16 and GC-MS responses were quantitated, and their odor activity values (OAVs)
were calculated. According to the OAV results, 19 odorants with OAVs >= 1 are the
potent odorants that greatly contribute to the characteristic aroma of PEY. Nine
compounds were identified for the first time: (E,Z)-2,6-nonadienal, (E)-2
nonenal, 2-methylbutanal, dimethyl disulfide, trimethylamine, methional, dimethyl
trisulfide, diisopropyl disulfide, and diethyl disulfide.
PMID- 29790748
TI - Solvent-Controlled, Site-Selective N-Alkylation Reactions of Azolo-Fused Ring
Heterocycles at N1-, N2-, and N3-Positions, Including Pyrazolo[3,4-
d]pyrimidines, Purines, [1,2,3]Triazolo[4,5]pyridines, and Related Deaza
Compounds.
AB - Alkylation of 4-methoxy-1 H-pyrazolo[3,4- d]pyrimidine (1b) with iodomethane in
THF using NaHMDS as base selectively provided N2-methyl product 4-methoxy-2
methyl-2 H-pyrazolo[3,4- d]pyrimidine (3b) in an 8/1 ratio over N1-methyl product
(2b). Interestingly, conducting the reaction in DMSO reversed selectivity to
provide a 4/1 ratio of N1/N2 methylated products. Crystal structures of product
3b with N1 and N7 coordinated to sodium indicated a potential role for the latter
reinforcing the N2-selectivity. Limits of selectivity were tested with 26
heterocycles which revealed that N7 was a controlling element directing
alkylations to favor N2 for pyrazolo- and N3 for imidazo- and triazolo-fused ring
heterocycles when conducted in THF. Use of 1H-detected pulsed field gradient
stimulated echo (PFG-STE) NMR defined the molecular weights of ionic reactive
complexes. This data and DFT charge distribution calculations suggest close ion
pairs (CIPs) or tight ion pairs (TIPs) control alkylation selectivity in THF and
solvent-separated ion pairs (SIPs) are the reactive species in DMSO.
PMID- 29790750
TI - Tandem One-Pot Approach To Access 1,2,3-Triazole-fused Isoindolines through Cu
Catalyzed 1,6-Conjugate Addition of Me3SiN3 to p-Quinone Methides followed by
Intramolecular Click Cycloaddition.
AB - A Cu-catalyzed one-pot approach has been developed for the synthesis of 1,2,3
triazole-fused tricyclic heterocycles. This tandem approach actually involves the
1,6-conjugate addition of Me3SiN3 to o-alkynylated p-quinone methides followed by
an intramolecular [3+2]-cycloaddition reaction. This protocol allowed us to
access a wide range of 1,2,3-trazole-fused isoindoline derivatives in moderate to
good yields.
PMID- 29790749
TI - Anti-inflammatory Activity of 8-Hydroxydaidzein in LPS-Stimulated BV2 Microglial
Cells via Activation of Nrf2-Antioxidant and Attenuation of Akt/NF-kappaB
Inflammatory Signaling Pathways, as Well As Inhibition of COX-2 Activity.
AB - It was demonstrated that isoflavones can cross the blood-brain barrier, making
them desirable candidate agents for the prevention of neurological symptoms. 8
Hydroxydaidzein (8-OHD, 4',7,8-trihydoxyisoflavone) is an isoflavone found only
in fermented soy food. Current results showed that 8-OHD inhibited LPS-stimulated
production of nitric oxide (NO) and proinflammatory cytokines, such as tumor
necrosis factor (TNF)-alpha and interleukin (IL)-6, by inhibiting gene expression
in BV2 microglial cells. Moreover, 8-OHD markedly quenched reactive oxygen
species (ROS) and activated NF-E2-related factor 2 (Nrf2) so as to upregulate
expression of Phase II enzymes, including heme oxygenase (HO)-1, NAD(P)H quinone
dehydrogenase 1 (NQO1), and the modifier subunit of glutamate cysteine ligase
(GCLM). 8-OHD also suppressed LPS-stimulated phosphorylation of Akt and NF-kappaB
p65. The anti-inflammatory activity of 8-OHD was attenuated by the HO-1 inhibitor
zinc protoporphyrin (Znpp) but augmented by the PI3K/Akt inhibitor LY294002. 8
OHD also diminished LPS-induced prostaglandin E2 (PGE2) production without
affecting cyclooxygenase (COX)-2 expression. In vitro assay shows that 8-OHD
displayed mixed-type inhibition of COX-2 with an IC50 of 8.9 +/- 1.2 MUM. These
data suggest that the anti-inflammatory activity of 8-OHD may be associated with
the activation of Nrf2/HO-1 and attenuation of Akt/NF-kappaB signaling pathways
as well as inhibition of COX-2 enzyme activity. In conclusion, 8-OHD, a potent
Nrf2 activator, Akt/NF-kappaB activation suppressor, and COX-2 enzyme inhibitor,
may have health-promoting effects for mitigating microglia activation and
preventing neuroinflammation.
PMID- 29790751
TI - Fluorescence of Cyclopropenium Ion Derivatives.
AB - The synthesis of cyclopropenium-substituted amino compounds and analysis of their
photophysical properties is described. Systematic structural modifications of
these derivatives lead to measurable and predictable changes in molar extinction
coefficients, quantum yields, and Stokes shifts. Using time-dependent density
functional theory (TD-DFT) calculations, the origin of these trends was traced to
internal charge transfer (ICT) coupled with ensuing structural reorganization for
select naphthalene functionalized derivatives. Associated with this structural
reorganization was an inward gearing of the cyclopropenium ring and twisting of
the peri-NMe2 group into coplanarity with the naphthalene ring system. Further,
reinforcement of an intramolecular H-bond (IMHB) in the excited state of these
derivatives alludes to the importance of photoinduced H-bonding in this new class
of cyclopropenium based fluorophores.
PMID- 29790752
TI - Experiment and Computational Study on the Regioselectivity of Nucleophilic
Addition to Unsymmetrical p-Benzynes Derived from Bergman Cyclization of
Enediynes.
AB - The regioselectivity in addition of nucleophiles to the p-benzyne intermediates
derived from unsymmetrical aza-substituted enediynes via Bergman cyclization was
studied. Computational studies [using UB3LYP/6-31G(d,p) level of theory] suggest
that the p-benzyne intermediate retains its similar electrophilic character at
the two radical centers even under unsymmetrical electronic perturbation, thus
supporting the predicted model of nucleophilic addition to p-benzyne proposed by
Perrin and co-workers (Perrin et al. J. Am. Chem. Soc. 2007, 129, 4795-4799) and
later by Alabugin and co-workers (Peterson et al. Eur. J. Org. Chem. 2013, 2013,
2505-2527). However, observed experimental results suggest that there was small
but definite regioselectivity (~5-25%), the extent varying with the electronic
nature of the substituents. Differential solvated halide ion concentrations
around the vicinity of two radical centers arising due to surrounding surface
electrostatic potential (computationally calculated) may be one of the possible
factors for such selectivity in some of the examined p-benzynes. However, other
complicated dynamical issues like the trajectory of the attacking nucleophile to
the radical center which can be influenced by electronic and/or steric
perturbation of starting enediyne conformation cannot be ruled out. The overall
yield of the anionic addition was in the range of 80-99%.
PMID- 29790753
TI - Target-Decoy-Based False Discovery Rate Estimation for Large-Scale Metabolite
Identification.
AB - Metabolite identification is a crucial step in mass spectrometry (MS)-based
metabolomics. However, it is still challenging to assess the confidence of
assigned metabolites. We report a novel method for estimating the false discovery
rate (FDR) of metabolite assignment with a target-decoy strategy, in which the
decoys are generated through violating the octet rule of chemistry by adding
small odd numbers of hydrogen atoms. The target-decoy strategy was integrated
into JUMPm, an automated metabolite identification pipeline for large-scale MS
analysis and was also evaluated with two other metabolomics tools, mzMatch and
MZmine 2. The reliability of FDR calculation was examined by false data sets,
which were simulated by altering MS1 or MS2 spectra. Finally, we used the JUMPm
pipeline coupled to the target-decoy strategy to process unlabeled and stable
isotope-labeled metabolomic data sets. The results demonstrate that the target
decoy strategy is a simple and effective method for evaluating the confidence of
high-throughput metabolite identification.
PMID- 29790755
TI - Incrementing Stokes Shifts through the Formation of 2,2'-Biimidazoldiium Salts.
AB - The formation of biimidazoldiium structures by the introduction of methyl
substituents on the N atoms at the 3 and 3' positions of 2,2'-biimidazoles led to
increments in the Stokes shift of these structures. Based on time-dependent
density functional theory (TDDFT) calculations, the imidazolium rings become
distorted and the N atoms of the imidazolium rings underwent structural changes
through sp2 to sp3 rehybridization in the excited states.
PMID- 29790754
TI - EpiProfile 2.0: A Computational Platform for Processing Epi-Proteomics Mass
Spectrometry Data.
AB - Epigenetics has become a fundamental scientific discipline with various
implications for biology and medicine. Epigenetic marks, mostly DNA methylation
and histone post-translational modifications (PTMs), play important roles in
chromatin structure and function. Accurate quantification of these marks is an
ongoing challenge due to the variety of modifications and their wide dynamic
range of abundance. Here we present EpiProfile 2.0, an extended version of our
2015 software (v1.0), for accurate quantification of histone peptides based on
liquid chromatography-tandem mass spectrometry (LC-MS/MS) analysis. EpiProfile
2.0 is now optimized for data-independent acquisition through the use of
precursor and fragment extracted ion chromatography to accurately determine the
chromatographic profile and to discriminate isobaric forms of peptides. The
software uses an intelligent retention time prediction trained on the analyzed
samples to enable accurate peak detection. EpiProfile 2.0 supports label-free and
isotopic labeling, different organisms, known sequence mutations in diseases,
different derivatization strategies, and unusual PTMs (such as acyl-derived
modifications). In summary, EpiProfile 2.0 is a universal and accurate platform
for the quantification of histone marks via LC-MS/MS. Being the first software of
its kind, we anticipate that EpiProfile 2.0 will play a fundamental role in
epigenetic studies relevant to biology and translational medicine. EpiProfile is
freely available at https://github.com/zfyuan/EpiProfile2.0_Family .
PMID- 29790757
TI - Cyclic Anion-Responsive pi-Electronic Molecules That Overcome Energy Losses
Induced by Conformation Changes.
AB - Preorganized structures suitable for anion binding were prepared by introducing
dipyrrolyldiketone BF2 complexes as acyclic anion-responsive pi-electronic
molecules into macrocycles. Pyrrole-inverted conformations, which typically
present low stability in the case of acyclic derivatives, were obtained by
covalent linkages through ring-closing olefin metathesis, exhibiting extremely
high affinity for different anions.
PMID- 29790756
TI - Studies on Aculeines: Synthetic Strategy to the Fully Protected Protoaculeine B,
the N-Terminal Amino Acid of Aculeine B.
AB - A synthetic strategy for accessing protoaculeine B (1), the N-terminal amino acid
of the highly modified peptide toxin aculeine, was developed via the synthesis of
the fully protected natural homologue of 1 with a 12-mer poly(propanediamine).
The synthesis of mono(propanediamine) analog 2, as well as core amino acid 3, was
demonstrated by this strategy. New amino acid 3 induced convulsions in mice;
however, compound 2 showed no such activity.
PMID- 29790758
TI - Rhodium-Catalyzed Asymmetric Dearomative [4 + 3]-Cycloaddition of Vinylindoles
with Vinyldiazoacetates: Access to Cyclohepta[ b]indoles.
AB - A rhodium-catalyzed enantioselective formal [4 + 3]-cycloaddition of vinylindoles
with vinyldiazoacetates has been developed, affording the dearomative cyclization
products containing a newly formed seven-membered ring in up to 99% ee. Rh2( S
DOSP)4 has been proven to be the best catalyst for the cycloaddition of 3
vinylindoles with vinyldiazoacetates, whereas Rh2( S-TCPTTL)4 has enhanced the
enantioselectivity for 2-vinylindoles.
PMID- 29790760
TI - Copper-Catalyzed Dehydrogenative Diels-Alder Reaction.
AB - A practical and effective copper-catalyzed dehydrogenative Diels-Alder reaction
of gem-diesters and ketone with dienes has been established. The active
dienophiles were generated in situ via a radical-based dehydrogenation process,
which reacted with a wide variety of dienes to afford various polysubstituted
cyclohexene derivatives in good to excellent yields.
PMID- 29790759
TI - Cyclization of Ketones with Nitriles under Base: A General and Economical
Synthesis of Pyrimidines.
AB - A facile, general, and economical synthesis of diversely functionalized
pyrimidines has been realized under basic conditions via the copper-catalyzed
cyclization of ketones with nitriles. The reaction proceeds via a novel pathway
involving the nitriles acting as electrophiles and consecutive C-C bond and two C
N bond formations and shows broad substrate scope and good tolerance of many
important functional groups. This strategy represents a new platform for
constructing pyrimidine structures.
PMID- 29790761
TI - Selectivity Controlled Palladium-Catalyzed Carbonylative Synthesis of Propiolates
and Chromenones from Phenols and Alkynes.
AB - An interesting selectivity-controlled palladium-catalyzed oxidative carbonylation
procedure for the synthesis of propiolates and chromenones has been developed.
Starting from phenols and alkynes, under slightly different conditions, various
propiolates and chromenones can be isolated in moderate to good yields.
Additionally, this also presents the first example of direct carbonylative
annulation of nonpreactivated phenols and terminal alkynes to produce
chromenones.
PMID- 29790762
TI - Reagent-Controlled Synthesis of the Branched Trisaccharide Fragment of the
Antibiotic Saccharomicin B.
AB - A concise synthesis of a branched trisaccharide, alpha-l-Dig-(1 -> 3)-[alpha-l
Eva-(1 -> 4)]-beta-d-Fuc, corresponding to saccharomicin B, has been developed
via reagent-controlled alpha-selective glycosylations. Starting from the d-fucose
acceptor, l- epi-vancosamine was selectively installed using 2,3-bis(2,3,4
trimethoxyphenyl)cyclopropene-1-thione/oxalyl bromide mediated dehydrative
glycosylation. Following deprotection, l-digitoxose was installed using the
AgPF6/TTBP thioether-activation method to produce the trisaccharide as a single
alpha-anomer. This highly functionalized trisaccharide can potentially serve as
both a donor and an acceptor for the total synthesis of the antibiotic
saccharomicin B.
PMID- 29790763
TI - Decarbonylative Coupling of alpha-Keto Acids and Ynamides for Synthesis of beta
Keto Imides.
AB - A novel decarbonylative coupling of alpha-keto acids and ynamides with extrusion
of CO for synthesis of beta-keto imides is reported. This process features mild
reaction conditions, a broad substrate scope, and high efficiency. An isotope
labeling reaction and GC analysis were conducted to elucidate a plausible
reaction mechanism.
PMID- 29790764
TI - C-Me Bond Formation at All Methylene Bridges of the Calix[4]arene Scaffold.
AB - A reaction of a distal dibromo diketocalix[4]arene with excess MeLi, followed by
acid-catalyzed dehydration, yields a derivative with a pair of opposite exocyclic
double bonds, and a pair of trans methyl groups at the bridges. A reaction of a
tetrabromo calix[4]arene derivative with excess MeLi yields a calix[4]arene
derivative with all methylene bridges monomethylated in all- cis fashion.
PMID- 29790765
TI - Metal Template Assisted Proximal Arrangement of a Nucleophile and an
Electrophile: Site-Selective Acylation of alpha-Hydroxyamides in Polyols.
AB - Site-selective acylation of alpha-hydroxyl groups in amides has been achieved in
the presence of other primary hydroxyl groups with intrinsic high reactivity. In
this methodology, a relatively stable pyridine aldoxime ester was exploited as an
acyl donor to suppress undesired acylation. The catalytic activation of a
pyridine aldoxime ester with a Lewis acid produced a cationic complex, which
preferentially attracted the Lewis basic alpha-hydroxyamide via a template
effect, to thus facilitate o-acylation.
PMID- 29790766
TI - Tetraphenylethylenepyrrolo[3,2- b]pyrrole Hybrids as Solid-State Emitters: The
Role of Substitution Pattern.
AB - Two hybrid dyes possessing tetraphenylethylene moieties weakly conjugated with a
pyrrolo[3,2- b]pyrrole core have been synthesized. Both dyes display a weak
emission in solution, however, in the solid state a ~100-fold increase in the
fluorescence quantum yield is observed. The position of the molecular rotors
about the core greatly influences the photophysical characteristics. The
variances in emission properties were assigned to entirely different changes in
dihedral angles upon excitation, which in turn have substantial effects on
radiative rate constants, allowed transitions, and HOMO/LUMO distribution.
PMID- 29790767
TI - Copper-Promoted 6- endo-trig Cyclization of beta,gamma-Unsaturated Hydrazones for
the Synthesis of 1,6-Dihydropyridazines.
AB - A novel and efficient strategy for the synthesis of 1,6-dihydropyridazines via
copper-promoted 6- endo-trig cyclization of readily available beta,gamma
unsaturated hydrazones have been developed. A series of 1,6-dihydropyridazines
have been synthesized by this method with good yields, high functional group
tolerance, and remarkable regioselectivity under mild conditions. Importantly,
the 1,6-dihydropyridazines can be efficiently converted to biologically important
pyridazines in the presence of NaOH.
PMID- 29790768
TI - Trapping of N-Acyliminium Ions with Enamides: An Approach to Medium-Sized Diaza
Heterocycles.
AB - Enamides equipped with N-acyliminium ion precursors were obtained through
reduction of ynamides tethered to N-imides. Intramolecular TMSOTf-mediated
trapping of N-acyliminium ions provided a variety of polyfunctionalized medium
sized diaza-heterocycles of putative pharmacological interest.
PMID- 29790769
TI - Synthesis of 1,2,3-Triazines Using the Base-Mediated Cyclization of ( Z)-2,4
Diazido-2-alkenoates.
AB - A highly efficient and convenient method for the synthesis of 6-aryl-1,2,3
triazine-4-carboxylate esters has been developed using readily accessible ( Z)-4
aryl-2,4-diazido-2-alkenoates. This reaction is performed under mildly basic
conditions without the assistance of any transition metals or strong acid.
PMID- 29790771
TI - Child abuse: Awareness is the first step to action.
AB - Most of the physical injuries that children are subjected to affect the head and
neck region; approximately 50% of injuries due to child abuse occur in the
orofacial region and almost 15% of these injuries are confined to the head region
(Cairns A.M.). For this reason, the dental team has a key role in identifying and
detecting child abuse. In addition, having paediatric dentists an ongoing
relationship with their young patients and families give them the opportunity to
observe and assess not only the physical and psychological condition of the
children, but also the family environment (the majority of abuse cases toward
children are from the caregiver or the parents). These types of lesions could be
confused with occasional children traumatic injuries, and this is why dentist
should be trained to detect the signs of child abuse, as well be informed on how
these cases should be reported to authorities. In a recent research about child
abuse in the United Arab Emirates-UAE (H. Al Hajeri et al. Assessment of the
knowledge of United Arab Emirates dentists of child maltreatment, protection and
safeguarding) more than half of the respondents (54.6%) were not aware of such
guidelines/procedures. According to the authors, the main factors affecting the
decision of reporting were the lack of knowledge on the appropriate reporting
procedure (60%), and the fear of family retaliation toward the child (59%). The
Arab colleagues rightly concluded: "diagnosis is a shared responsibility of the
child protection team and no matter what obstacles and fears the dentist may
have; the main priority is the child". More efforts should be made to improve
knowledge, attitude and practice of dentists in this social problem in order to
decrease child abuse worldwide.
PMID- 29790770
TI - Multicomponent Synthesis and Binding Mode of Imidazo[1,2- a]pyridine-Capped
Selective HDAC6 Inhibitors.
AB - The multicomponent synthesis of a mini-library of histone deacetylase inhibitors
with imidazo[1,2- a]pyridine-based cap groups is presented. The biological
evaluation led to the discovery of the hit compound MAIP-032 as a selective HDAC6
inhibitor with promising anticancer activity. The X-ray structure of catalytic
domain 2 from Danio rerio HDAC6 complexed with MAIP-032 revealed a monodentate
zinc-binding mode.
PMID- 29790772
TI - Influence of occlusal characteristics, food intake and oral hygiene habits on
dental caries in adolescents: a cross-sectional study.
AB - AIM: Dental caries is one of the most common oral diseases affecting children.
The complex multifactorial aetiology of caries involves host (saliva composition
and tooth enamel characteristics), oral microflora and substrate (oral hygiene
quality and dietary habits composition). Occlusal characteristics may be also a
factor in dental caries development. The aim of this aepidemiologic study was to
verify the association between DMFT (Decayed, Missed, Filled Teeth) index and
occlusal characteristics, dietary habits, oral hygiene habits and parents'
education level in a sample of 12-year-old schoolchildren from Southern Italy.
MATERIALS AND METHODS: A sample of 536 children was examined to detect dental
caries status and several occlusal variables (i.e. molar relationship, overjet
and overbite, presence of crossbite, scissor bite, crowding, diastemas and/or
midline deviation). A questionnaire to retrieve parents' educational level,
patient's dietary and oral hygiene habits was administered. The associations
among these variables were assessed statistically through the ?2 test. RESULTS: A
positive association was found between caries, parents' social status and some
occlusal disorders. va specificato, l'abstract non puo essere una caccia al
tesoro. In relation to occlusal variables, crossbite (?2=3.96, P=0.04) was
significantly associated to caries. A significant association was also found
between the education level of mothers (?2=7.74, P<0.01) and fathers (?2=6.35,
P=0.01) and the presence of caries. Dietary habits, oral hygiene and remaining
occlusal characteristics were not associated with caries presence (all P>0.05).
CONCLUSIONS: Of the evaluated occlusal characteristics only posterior crossbite
was associated with caries prevalence. Education level of the parents was the
other factor significantly associated with caries. Dietary habits, oral hygiene
frequency and the remaining occlusal characteristics were not associated with
dental caries.
PMID- 29790773
TI - Decisions on repositioning of intruded permanent incisors; a review and case
presentation.
AB - BACKGROUND: Traumatic intrusion is a luxation type of injury where the tooth is
displaced along the axis of the tooth, into the alveolus. This injury is regarded
as serious because of the tissue damage that it causes. The traumatic movement is
associated with severe damage to the periodontal ligament, pulpal tissue, root
and alveolar socket. Despite its severity, the rare occurrence of this injury in
permanent teeth has resulted in limited studies of immature and mature permanent
incisors. The purpose of this paper is to review this luxation injury of
permanent immature incisors, and to describe its diagnosis, treatment and
management. In particular, we describe the repositioning strategies used in cases
of intrusion injury. These include (i) monitoring spontaneous re-eruption, (ii)
active orthodontic repositioning and (iii) surgical repositioning. Firstly,
monitoring spontaneous re-eruption is observing and waiting for the intruded
tooth to return to its original position. This process is not a normal
developmental eruption and the outcome is not always predictable, nor is the time
needed for this to happen. Secondly, active orthodontic repositioning is used to
describe the process of rapidly moving the intruded tooth to its original
position with the aid of an orthodontic appliance. Active orthodontic
repositioning is often misunderstood as normal orthodontic movement. Orthodontic
movement allows for periodontal ligament remodelling, using light intermittent
forces. In contrast the active orthodontic repositioning used to move intruded
incisors is rapid, and the primary aim is to achieve correct tooth position as
rapidly as possible. Thirdly, surgical repositioning uses surgical intervention
to bring the tooth back to its original position. A case of an intruded immature
permanent incisor is presented, with a particular emphasis on these critical
decisions on repositioning and showing the use of the three modalities of
treatment in sequence, in order to achieve an outcome.
PMID- 29790774
TI - Assessment of the knowledge of United Arab Emirates dentists of Child
Maltreatment, protection and safeguarding.
AB - AIM: Child safeguarding is society's responsibility. Dentists are uniquely
positioned to recognise Child Abuse and Neglect (CAN) in dental practice and the
wider society. The United Arab Emirates (UAE) introduced a child protection law
in 2016. We aimed to assess the awareness of UAE dentists of child maltreatment,
protection and safeguarding. Study Design A cross-sectional survey. MATERIALS AND
METHODS: We surveyed 381 UAE dentists about the knowledge and practice of CAN and
safeguarding issues using a self-administered anonymous questionnaire.
Statistical analysis was carried out using Chi-square, t-test, ANOVA and
Pearson's correlation test and statistical significance was set as p <0.05.
RESULTS: Over 39 % (n=152) of the responders suspected CAN; male dentists
suspected more CAN than females (p=0.015). Orthodontists, paediatric dentists
(p<0.001) and female dentists (p=0.001) were more knowledgeable about diagnosing
CAN. Paediatric dentists attended more CAN-related postgraduate training
(p<0.001) than other specialties. Over 90% (n=346) believed that CAN should be
addressed, 58.1% (n=224) and 54.1% (n=206) had CAN undergraduate and postgraduate
training respectively but 53.5% (n=204) were unaware of local child protection
guidelines. Dentists barriers to child protection reporting were; fear of family
violence (59.6%, n=227), lack of knowledge of referral process (60.2%, n=228) and
lack of diagnosis certainty (54.9%, n=206). UAE dentists qualified in Western and
Asian countries had fewer barriers for child protection reporting (p=0.022) than
the Arab and Gulf Cooperation Council qualified dentists. CONCLUSIONS: A large
minority of UAE dentists suspected CAN. Factors influencing child protection
reporting were identified. Dentists' gender, specialty, and country of
qualification affected their knowledge of CAN and practice of safeguarding. Child
protection training is recommended.
PMID- 29790775
TI - Dental, periodontal and salivary conditions in diabetic children associated with
metabolic control variables and nutritional plan adherence.
AB - AIM: Diabetes mellitus is a chronic disease that has manifestations other than
alterations in endocrine regulation or in metabolic pathways. Several diseases of
the oral cavity have been associated with diabetes mellitus type 1 and 2 in young
people according to their evolution. Scarce information exists regarding the role
of diabetes and its association with the oral health status in paediatric
diabetic patients. The aims of the study were to assess the quality of saliva,
saliva acidogenicity, dental caries experience, fluorosis and periodontal status
in diabetic patients and to evaluate their relationship with metabolic control
variables and nutritional plan adherence. MATERIALS AND METHODS: The study
population consisted of 60 paediatric patients with both types of diabetes
mellitus. Saliva testing included stimulated flow, pH (using pH indicator
strips), buffer capacity and Snyder's Test. DMFT/dmft and dental caries
experience were determined on the basis of ICDAS II codes. The periodontal status
was assessed by PI and GI and fluorosis by FI. Nutritional plan adherence was
established from the subscale "Dietary Control" of the Diabetes Self-Management
Profile questionnaire. Medical Data was retrieved from the clinical registers in
the Diabetic Clinic. RESULTS: We describe the main characteristics of the oral
cavity related variables of our population that might guide the clinical practice
in similar settings; we found a dmft/DMFT of 1.71 +/- 1.74 and 0.64 +/- 1.03, PI
of 1.91 +/- 0.75, GI of 0.50 +/- 0.56 and a fluorosis prevalence of 61%. We
identified several correlated variables, which indicate strong associations
between the nutritional habits of the patients and co-occurrence of oral cavity
physiopathological alterations. Several correlations were found between
acidogenic activity of the saliva (Snyder Test) and the percentage of adherence
to the nutritional plan and to the dmft index. Furthermore, a significant
correlation between the buffering capacity of the saliva and the glycemic control
of the participants was found. Neither an association nor a difference among
means was found between treatment regime and the plaque index. CONCLUSION: The
results of the present study concluded that there was a significant relationship
between diabetes mellitus and an increased prevalence of oral cavity related
diseases in the paediatric population. These are also associated with a poor
adherence to the nutritional plan.
PMID- 29790776
TI - Oral health challenges facing Dubai children with Autism Spectrum Disorder at
home and in accessing oral health care.
AB - AIM: To investigate the challenges faced by Autism Spectrum Disorder (ASD)
children and their families in Dubai from three different perspectives of dental
care: oral care at home, oral care at the dentist and access to oral care, and to
compare the results to their normally developing peers. MATERIALS AND METHODS: A
case-control comparative study of 84 ASD and 53 healthy children attending
special needs centres and schools in Dubai including siblings of the autistic
children. Data collection was by a survey questionnaire completed by parents or
guardians. RESULTS: More parents of ASD children compared to parents of healthy
children reported difficulties across almost all oral care variables explored.
The majority of ASD children's parents (83.3%) reported that their children need
assistance in brushing their teeth compared with 15.4% of the healthy controls (p
value < 0.001). The ASD children's uncooperative behaviour increased during
dental visits and significantly more parents (37%) rated their child's experience
as negative compared with 9.5% among the parents of control children (p
value=0.006). The autistic children had visited a dentist mostly for extractions.
CONCLUSION: This study indicates that autistic children in Dubai experience more
challenges and barriers to oral care than their typically developing healthy
peers.
PMID- 29790777
TI - Oral management of children with Henoch-Schonlein Purpura and associated
Glomerulonephritis: a scoping review.
AB - AIM: To perform a scoping review of the existing literature in order to gather
the most relevant information in the paediatric dentistry field related to the
oral management of children affected by Henoch-Schonlein Purpura and associated
Glomerulonephritis (HSPG). MATERIALS AND METHODS: Using scoping review
methodology for the screening and selection of valid articles, the steps of this
review were the following: first, to pose a research question; second, to
identify relevant studies; third, to select and retrieve the studies; fourth, to
chart the critical data, and finally, to collate, summarise, and report the
results from the included articles. Relevant articles published over a 25-year
period, up to July 31, 2017, were identified and retrieved from four Internet
databases: PubMed; EMBASE/Ovid; Ebsco/Dentistry & Oral Science Source, and the
Cochrane Collaboration Library. RESULTS: By title and abstract screening and
after removing duplicates, four articles were finally included in the scoping
review. According to the extracted data, the following are the most important
clinical issues to be considered: (1) the disease can appear as a consequence of
a dental treatment, such as those indicated for oral infectious processes; (2)
children with HSPG are highly susceptible to dental caries and apical
periodontitis, and (3) in affected children, oral infectious foci must be
exhaustively eradicated in order to avoid the dissemination of the infection.
CONCLUSIONS: Paediatric Dentists should be aware of HSPG, because the disease can
be triggered or worsen subsequent to dental treatment. Adequate treatment of oral
active infectious processes, together with an exhaustive oral preventive
programme and long-term patient screening, are the best management approaches for
children with HSPG.
PMID- 29790778
TI - Survey of family-related factors of children treated under dental general
anaesthesia.
AB - AIM: Treatment under general anaesthesia (DGA) is a rising trend in Finland.
There is a great need to investigate the causes leading to it. Our purpose was to
examine family-related factors reported by parents, such as the family size and
favoring DGA in the family, and their influence on children being treated under
DGA. This survey was based on a questionnaire targeted to parents of children
whose dental treatment could not be performed in a conventional setting.
MATERIALS AND METHODS: Guardians of 87 healthy children treated under DGA at a
municipal health center in the city of Oulu, Finland, between November 2014 and
December 2015 answered the questionnaire on family-related background factors and
on the respondent's own as well as their child's presumed dental fear. RESULTS:
According to most guardians (83.9% of the cases), the reason for DGA was caries.
Male gender, vague family structure, large number of siblings (?4), and DGA
history in the family were all important family-related background factors
leading to DGA. Self-reported parental dental fear was quite common (25.3%).
Children's dental fear reported by parents was associated with DGA in almost half
of the cases (46.0%). CONCLUSIONS: The survey highlights the role of the entire
family in association with children ending up being treated under DGA. It is
essential for the success of dental health care to also consider family-related
factors when planning the treatment, particularly with children demanding DGA.
PMID- 29790779
TI - The Two by Four appliance: a nationwide cross-sectional survey.
AB - AIM: The aim of the study was to investigate how widespread is the use of the 2 x
4 appliance among Italian general dentists and specialists in orthodontics, as
well as the type of treatment employed and length of use. MATERIALS AND METHODS:
We conducted a nationwide cross-sectional survey from July 14, 2016 to January
12, 2017 using an online questionnaire of 8 multiple choice questions, created by
the SurveyMonkey(r) Company, on a population of Italian dentists and specialists
in orthodontics affiliated with the Italian Society of Paediatric Dentistry
(SIOI). This was made to assess how many clinicians knew and used this device in
their clinical practice. We included 200 Italian dentists, 99 specialists in
orthodontics and 101 general dentists of a mean age of 45 +/- 15 years. RESULTS:
Results show that 93.94% of orthodontists have knowledge of and use this device
in their clinical practice, while only 51.49% of the general dentists have
knowledge of and use it (p<0.001). The 51.92% of dentists and the 52.13% of
orthodontists used the 2x4 appliance to treat both space management and incorrect
overjet. Most of general dentists and orthodontists combined the 2x4 appliance
with pre-adjusted brackets and accessory components such as coil springs and
power chains. While most of dentists (45.90%) used the 2x4 in association with
appliances for space management, most of specialists (46.15%) applied the 2*4 in
combination with both appliances for space management and high-pull headgear.
Statistically significant differences were found also for the answers to the
question "what is the average time of treatment?" among general dentists: the
32.79% used the 2 x 4 for less than 6 months of treatment, and the 67.21% used
the 2 x 4 for more than 6 months of treatment. On the other hand 49.46% of
orthodontists used the 2 x 4 for less than 6 months of treatment, and 50.54% of
them for more than 6 months of treatment (p=0.041). CONCLUSIONS: We conclude that
the 2 x 4 appliance is widespread among orthodontists and about half of the
general dentists, 93.94% and 51.49% (p<0.001) respectively. We found that 67.21%
of general dentists used the 2 x 4 for a more than 6 months of treatment. As far
as the orthodontists, 49.46% used the device for less than 6 months of treatment
and 50.54% of them for more than 6 months of treatment. These differences were
statistically significant (p=0.041).
PMID- 29790781
TI - Relationship between Severe Early Childhood Caries and dental development.
AB - AIM: Severe early childhood caries (sECC) is a public health problem that
continues to affect infants and preschool children worldwide. Knowledge of the
changes in dental development is important for paediatric dentists in order to
determine a treatment plan. The aim of this study was to investigate dental
development in children with sECC, compared to age and gender-matched controls,
by calculating the dental age (DA). MATERIALS AND METHODS: A total of 300
children (144 females, 156 males) with sECC aged 5 years were studied and
compared with 300 control subjects (caries-free), case-matched for gender and
age. The dental development was assessed using the DA estimation method of
Demirjian et al. [1973], and data were statistically analysed using a 2-sided t
test and chi-squared test. RESULTS: The mean differences between the DA and
chronological age (CA) in the sECC females and males were 0.67+/-0.6 years and
0.98+/-0.6 years, respectively, whereas the results of the case controls were
1.44+/-0.8 years for the females and 1.57+/-0.6 years for the males. The
differences between the sECC and case control groups were significant for both
genders (p<0.001). CONCLUSION: Children with severe early childhood caries have
lower rates of dental development when compared to normal children.
PMID- 29790780
TI - Sagittal dentoskeletal modifications associated with different activation
protocols of rapid maxillary expansion.
AB - AIM: The aim of this study is to compare the sagittal dentoskeletal changes
associated with different activation protocols of maxillary expander. MATERIALS
AND METHODS: A total of 101 subjects with constricted maxillary arches (49 males
and 52 females; mean age 10.08 +/- 1.57 years) were enrolled in the study. The
study comprised also a control group of 20 subjects (11 females and 9 males, mean
age 10.27 +/- 1.24 years) who were not treated during the observation period. All
the subjects underwent rapid maxillary expansion with a stainless steel banded
expander cemented to the maxillary first molars. The expansion screw was randomly
activated with two different rapid maxillary expansion protocols (one-quarter per
day or two-quarters per day). A statistical comparison between the sagittal
cephalometric variations obtained in the two expansion groups was made, and
compared with the untreated control group. Data were then stratified for skeletal
maturation of each subject. RESULTS: The Wilcoxon rank-sum test shows
statistically significant differences between the two RME activation protocols
only for overjet. Statistically significant differences were reported in
comparison with the control group. CONCLUSIONS: This study suggests that the
increase in overjet after RME could be associated with faster activation
protocols especially in subjects with lower skeletal maturation.
PMID- 29790782
TI - Prevalence and progression of early childhood caries in Nis, Serbia.
AB - AIM: Early childhood caries (ECC) entails the presence of one or more decayed,
missing, or filled teeth in children aged up to 71 months. Our aim is to present
the prevalence and progression of ECC in Nis, Serbia. MATERIALS AND METHODS: The
study was performed at the Clinic of Dentistry in Nis;, involving 250 children
aged 3 to 6 years. The prevalence of caries was presented using the dmf index.
Depending on the progression, tooth decay was reported as dI (superficial decay),
dII (deep decay), dp (pulpitis), dg (dental gangrene), and dx (chronic apical
periodontitis). RESULTS: Our results show that in Nis; the dmf index was 2.38 in
the studied children. Of all the decayed teeth, dI was found in 73.18%, and dII
in 22.38% of the children. The complications of tooth decay (pulpitis, gangrene,
periodontitis) were not highly prevalent: dp=1.41%; dg=1.01%; and dx=2.02%.
CONCLUSION: Based on the obtained results, it can be concluded that the
prevalence of early childhood caries is relatively high. Therefore, health
education for parents has to be intensified, focusing on the significance of
preservation of health of the primary teeth all the way to their physiological
replacement with the permanent teeth.
PMID- 29790783
TI - Dental Sealants. Part 2: Who should get dental sealants and when.
AB - BACKGROUND: Despite the remarkable achievements in recent decades in oral
prevention, sealants are still underused even if their efficacy is well
documented and clinical practice guidelines are available in almost every
developed country. The clinician should know who needs dental sealants and when,
with particular focus on high risk patients and pre-school children.
PMID- 29790784
TI - Neonatal Pulmonary Magnetic Resonance Imaging of Bronchopulmonary Dysplasia
Predicts Short-Term Clinical Outcomes.
AB - RATIONALE: Bronchopulmonary dysplasia (BPD) is a serious neonatal pulmonary
condition associated with premature birth, but the underlying parenchymal disease
and trajectory are poorly characterized. The current National Institute of Child
Health and Human Development (NICHD)/NHLBI definition of BPD severity is based on
degree of prematurity and extent of oxygen requirement. However, no clear link
exists between initial diagnosis and clinical outcomes. OBJECTIVES: We
hypothesized that magnetic resonance imaging (MRI) of structural parenchymal
abnormalities will correlate with NICHD-defined BPD disease severity and predict
short-term respiratory outcomes. METHODS: A total of 42 neonates (20 severe BPD,
6 moderate, 7 mild, 9 non-BPD control subjects; 40 +/- 3-wk postmenstrual age)
underwent quiet-breathing structural pulmonary MRI (ultrashort echo time and
gradient echo) in a neonatal ICU-sited, neonatal-sized 1.5 T scanner, without
sedation or respiratory support unless already clinically prescribed. Disease
severity was scored independently by two radiologists. Mean scores were compared
with clinical severity and short-term respiratory outcomes. Outcomes were
predicted using univariate and multivariable models, including clinical data and
scores. MEASUREMENTS AND MAIN RESULTS: MRI scores significantly correlated with
severities and predicted respiratory support at neonatal ICU discharge (P <
0.0001). In multivariable models, MRI scores were by far the strongest predictor
of respiratory support duration over clinical data, including birth weight and
gestational age. Notably, NICHD severity level was not predictive of discharge
support. CONCLUSIONS: Quiet-breathing neonatal pulmonary MRI can independently
assess structural abnormalities of BPD, describe disease severity, and predict
short-term outcomes more accurately than any individual standard clinical
measure. Importantly, this nonionizing technique can be implemented to phenotype
disease, and has potential to serially assess efficacy of individualized
therapies.
PMID- 29790785
TI - Mental health trajectories among women in Australia as they age.
AB - OBJECTIVES: To ascertain the trajectories of mental health among women in
Australia assessed in repeat waves from their early 70 s to the end of their
lives or their mid 80 s. METHOD: Secondary analysis of data contributed by the
1921-26 cohort of the Australian Longitudinal Study of Women's Health Waves 1-6.
Primary outcome was the 4-item SF-36 Vitality Subscale, which assesses mental
health as life satisfaction, social participation, energy and enthusiasm.
Structural, individual and intermediary factors were assessed using study
specific and standardised measures. Trajectories were identified using Growth
Mixture Modelling and associations with baseline characteristics with Structural
Equation Modelling. RESULTS: 12,432 women completed Survey One. Three mental
health trajectories: stable high (77%); stable low (18.2%) and declining from
high to low (4.8%) were identified. Compared to the stable high group, women in
the stable low group were significantly less physically active, had more
nutritional risks, more recent adverse life events, fewer social interactions and
less social support, reported more stress and were more likely to have a serious
illness or disability at Survey One. The declining group had similar
characteristics to the stable high group, but were significantly more likely to
report at baseline that they had experienced recent financial, physical and
emotional elder abuse. These interact, but not directly with socioeconomic
position and marital status. CONCLUSION: Mental health among older women is
related to social relationships, general health, access to physical activity and
healthy nutrition, coincidental adverse life events and experiences of
interpersonal violence, in particular elder abuse.
PMID- 29790786
TI - The Impact of Disruption of the Care Delivery System by Commercial Laboratory
Testing in a Children's Health Care System.
AB - CONTEXT: - Disruption of outpatient laboratory services by routing the samples to
commercial reference laboratories may seem like a cost-saving measure by the
payers, but results in hidden costs in quality and resources to support this
paradigm. OBJECTIVE: - To identify differences when outpatient tests are
performed at a Children's Hospital lab compared to a commercial reference lab,
and the financial costs to support the reference laboratory testing. DESIGN: -
Outpatient testing was sent to 3 different laboratories, specified by the payer.
Orders were placed in the Children's electronic health record, blood samples were
drawn by the Children's phlebotomists, samples were sent to the testing
laboratory, and results appeared in the electronic health record. Data comparing
the time to result, cancelled samples, and cost to sustain the system of ordering
and reporting were drawn from multiple sources, both electronic and manual.
RESULTS: - The median time from phlebotomy to result was 0.7 hours for testing at
the children's lab and 20.72 hours for the commercial lab. The median time from
result posting to caregiver acknowledgment was 5.4 hours for the Children's lab
and 18 hours for the commercial lab. The commercial lab cancelled 2.7% of the
tests; the Children's lab cancelled 0.8%. The financial cost to support online
ordering and resulting for testing performed at commercial labs was approximately
$640,000 per year. CONCLUSIONS: - Both tangible monetary costs, plus intangible
costs in delay in resulting, occur when the system of laboratory testing is
disrupted.
PMID- 29790787
TI - Colorectal Liver Metastases: A Pathologist's Guide to Creating an Informative
Report and Improving Patient Care.
AB - CONTEXT: - In this era of minimally invasive procedures for diagnosis, prognosis,
and treatment, pathologists are at the forefront of analyzing specimens and are
expected to make more specific diagnoses, providing additional information from
the material they receive. OBJECTIVE: - To familiarize pathologists with the
essential components of surgical pathology reports for colorectal liver
metastases (CRLM) resections. DATA SOURCES: - Colorectal cancer is the third most
common cancer in the world and the liver is the most frequent site of metastases.
Not all patients are candidates for surgery initially and may be treated with
neoadjuvant chemotherapy, most commonly with FOLFOX (5-fluorouracil/leucovorin
and oxaliplatin) and FOLFIRI (5-fluorouracil/leucovorin and irinotecan), after
which they may become surgical candidates. When CRLM resections are received post
neoadjuvant, the pathologist needs to not only report margin status but also
report details regarding the tumor's response to treatment, and should evaluate
the nonneoplastic parenchyma for chemotherapy-related injury, such as sinusoidal
obstruction syndrome and/or steatohepatitis that may be caused by treatment. If
ancillary tests, such as molecular studies (eg, KRAS, BRAF, NRAS, and
microsatellite instability), have been previously conducted, these results should
be included in the report. If not, they should be ordered for the resection
specimen. CONCLUSIONS: - In this review, we will describe strategies and
practical approaches to maximize the information gained from CRLM resections. A
checklist is provided that may be used while signing out these cases to remind
pathologists of additional components they may wish to include in their reports
to best guide patient management.
PMID- 29790788
TI - No association of moon phase with stroke occurrence.
AB - Stroke occurrence shows strong correlations with sleep disorders and even subtle
sleep disturbances have been shown to affect ischemic stroke (IS) occurrence.
Chronobiology also exerts effects, like the morning surge in IS occurrence. Lunar
cycles have also been shown to affect sleep and other physiological processes,
but studies on moon phases and its possible association with occurrence of stroke
are rare and nonconclusive. Therefore, we studied the effects of moon phases on
stroke hospitalizations and in-hospital mortality nationwide in Finland in 2004
2014. All patients aged >=18 years with IS or intracerebral hemorrhage (ICH) as
primary discharge diagnosis were included. Daily number of admissions was treated
as a response variable while moon phase, year and astronomical season were
independent variables in Poisson regression modeling. We found no association
between moon phases and stroke occurrence. The overall occurrence rates did not
vary between different moon phases for IS or ICH (p = 0.61 or higher). There were
no differences between moon phases in daily admission rates among men, women,
young and old patients for any of the stroke subtypes. There was no difference in
in-hospital mortality with regard to moon phase for IS or ICH overall (p = 0.19
or higher), nor in subgroup analyses. There were no significant interactions
between moon phase and astronomical season for stroke occurrence or in-hospital
mortality. To conclude, in this over a decade-long nationwide study including a
total of 46 million person years of follow-up, we found no association between
moon phases and occurrence or in-hospital mortality rates of IS or intracerebral
hemorrhage.
PMID- 29790789
TI - Assessment of the association between the frequency of micronucleus and
p16INK4a/Ki-67 co-expression in patients with cervical intraepithelial lesions.
AB - Human papilloma virus (HPV) infection is the main etiological factor for cervical
intraepithelial lesions (CIN). An important characteristic of this process is the
loss of genome stability. Therefore, it is imperative to use biomarkers of DNA
damage caused by genomic instability to identify high risk individuals. We
investigated the frequency of micronuclei (MN) in peripheral blood lymphocytes
(PBL) of 20 patients, diagnosed as histologically CIN 1 and 10 healthy controls.
We also examined the frequency of other nuclear anomalies including nucleoplasmic
bridges (NPBs) and nuclear buds (NBUDs) in PBL of patients with CIN 1 and healthy
controls, and evaluated the benefits of p16INK4a and Ki-67 (p16INK4a/Ki-67)
immunohistochemical double staining for identifying cervical squamous cells that
express HPV E6/E7 oncogenes. We analyzed the association between the frequency of
MN in PBL and the amount of p16INK4a/Ki-67 co-expression in CIN 1 patients to
establish genomic instability. Among CIN 1 subjects, 15% exhibited diffuse
p16INK4a/Ki-67 co-expression and were considered high positive, 25% of the CIN 1
cases exhibited p16INK4a/Ki-67 co-expression restricted to the lower part of the
epithelium and were considered low positive and the remaining 60% of cases were
negative. The frequency of MN, NPBs and NBUDs differed significantly among
groups. We found a statistically significant positive correlation between
p16INK4a/Ki-67 co-expression and the frequency of MN, NPBs and NBUDs in PBL. Our
findings demonstrate the efficacy of p16INK4a/Ki-67 double immunostaining for
histological samples with CIN 1. MN frequency in PBL might be useful for
detecting genomic instability in cases of HPV infection and CIN.
PMID- 29790790
TI - The effects of amantadine on traumatic brain injury outcome: a double-blind,
randomized, controlled, clinical trial.
AB - INTRODUCTION: Amantadine, as a dopamine receptor agonist, may stimulate and help
the recovery of the nervous system after traumatic brain injury (TBI). METHODS:
We performed this study as a double-blind, randomized, controlled clinical trial
with target population including all patients with TBI who scored nine or lower
on the Glasgow Coma Scale (GCS), admitted to our hospital between January 2013
and April 2014. The protocol included administration of the drug (placebo or
amantadine) for 6 weeks and patient evaluation using the GCS and FOUR score on
the first, third and seventh days after the drug was started. After 6 months from
starting study drug, the patients were evaluated on the Mini-Mental State
Examination, Glasgow Outcome Study, Disability Rating Scale and Karnofsky
Performance Scale. RESULTS: We included 40 patients in the study. The mean age of
the patients was 36.77 +/- 18.21. As an only important finding, the amantadine
group registered an important rise between the first and the seventh day of study
drug (?GCS7-GCS1) with p-value = 0.044. CONCLUSION: Based on our findings during
the first week and the 6 months (since starting drug) follow-ups, prescribing
amantadine did not lead to reportable effects on the patients' level of
consciousness, memory, disability, cognition, mortality and performance.
PMID- 29790791
TI - Age associated decrease of sialin in salivary glands.
AB - Aging salivary glands are characterized by a reduced volume of acini, increased
duct volume, decline in the rate of synthesis of proteins and their mRNA, and
decreased saliva flow. Sialin is a versatile anion transporter that is highly
expressed in salivary glands and may also participate in maintenance of salivary
gland function. We investigated age-associated sialin expression in salivary
glands. Submandibular glands of mice and human parotid gland were collected at
different ages. Western blot and real-time reverse transcription-polymerase chain
reaction were used to analyze the protein and mRNA expression levels of sialin,
respectively. Immunohistochemical and immunofluorescence staining were used to
evaluate the histological pattern of sialin expression. We found that the protein
and mRNA expression levels of sialin decreased with aging. The expression of
sialin in the striated and excretory ducts of both mouse and human glands were
reduced with age. In the mouse glands, the basal 2/3 of the cytoplasm was
stained, while in the human glands the stain extended to the luminal surface.
Sialin was expressed in the basal cytoplasmic membrane of acini and in some
myoepithelial-like cells in young salivary glands, but had nearly disappeared in
the aged salivary glands. Changes in sialin expression may be associated with
changes in physiological function of salivary glands with aging.
PMID- 29790792
TI - Dorsal Plating for Intra-articular Middle Phalangeal Base Fractures With Volar
Instability.
AB - BACKGROUND: Intra-articular middle phalangeal base fractures with volar
instability are rare injuries with scant literature on optimal management. Our
purpose is to describe our method of dorsal plating and report postoperative
outcomes. METHODS: This study is a retrospective case review of 5 patients with
intra-articular middle phalangeal base fractures with volar proximal
interphalangeal joint instability, measuring subjective, clinical, and
radiographic outcomes. RESULTS: Patient age averaged 38.2 years (range, 23-56
years), and 80% were male. Sporting injuries were the most common mechanism
(80%). Time to surgery averaged 7 days, and postoperative follow-up duration
averaged 19.6 months (median 8 months). All fractures were intra-articular at the
proximal interphalangeal joint with volar instability. There were no
complications and no patients required secondary surgery. Grip strength was
maintained and range of motion was good, based on the American Society for
Surgery of the Hand Total Active Motion score. Average Quick Disability of the
Arm, Shoulder and Hand was 0.5 (range, 0-2.3), 100% of patients were satisfied,
and average visual analog pain score was 1.2. Patients returned to work at a
median of 4 days. There was radiographic union at an average of 6.6 weeks (range,
6-7 weeks) in all fractures. CONCLUSIONS: Dorsal plating using a 1.5-mm modular
hand plate is a viable option for rigid fixation of intra-articular middle
phalangeal base fractures with volar instability. This fixation method allows for
early range of motion without complications in this case series. All fractures
united, and patients had minimal functional deficits and were able to maintain
good range of motion.
PMID- 29790793
TI - Increased arterial stiffness - similar findings in patients with inflammatory
bowel disease without prior hypertension or diabetes and in patients with well
controlled hypertension.
AB - PURPOSE: Chronic inflammatory diseases are related with earlier onset of
atherosclerosis. We hypothesized that inflammatory bowel disease patients with
chronic, systemic inflammation have an increased arterial stiffness associated
with the disease duration. Also, we wanted to compare arterial stiffness markers
between inflammatory bowel disease and well-controlled hypertension patients.
MATERIALS AND METHODS: A total of 89 inflammatory bowel disease patients (60
patients with Crohn's disease and 29 patients with ulcerative colitis, age range
20-64 years) without history of arterial hypertension or diabetes were enrolled
and age matched with a control group of patients (73 patients, age range 25-69
years, 41 (56.1%) males) with known history of well-controlled arterial
hypertension. We have used a noninvasive device that simultaneously measures
brachial blood pressure and estimates PWV and AIx in inflammatory bowel disease
and hypertension groups of patients. RESULTS: Patients with pathological PWV
values were significantly older, had significantly longer duration of
inflammatory bowel disease, higher values of serum cholesterol and HDL
cholesterol, and higher AIx (17.4% vs. 9.8%) (all p < .05). Higher PWV was
associated with age and duration of inflammatory bowel disease in the linear
regression model. PWV values were higher in hypertensive patients in the first
two age quartiles while interestingly, in the last two quartiles, PWV was lower
than in inflammatory bowel disease group of patients. CONCLUSIONS: Chronic
subclinical inflammation is responsible for dyslipidemia and accelerated
atherosclerosis which consequently alterates arterial elasticity. Inflammatory
bowel disease and its duration should also be considered a risk factor for
subclinical organ damage, as well as hypertension.
PMID- 29790794
TI - Association of morning blood pressure surge with carotid intima-media thickness
and cardiac dysfunction in patients with cardiac syndrome-X.
AB - : Background & hypothesis: We hypothesized that exaggerated morning blood
pressure surge, may contribute in cardiac dysfunction and arterial stiffness in
patients with cardiac syndrome X. Thus we investigated the impact of morning
blood pressure surge on cardiac function and carotid intima-media thickness in
subjects with cardiac syndrome X. METHODS: We studied patients with cardiac
syndrome X using ambulatory blood pressure monitoring and investigated the
association of morning blood pressure surge with carotid intima thickness, left
atrial volume index and left ventricular filling (E/e'). Seventy patients with
cardiac syndrome X were enrolled for the study and compared with 70 age and sex
matched controls. RESULTS: Patients with cardiac syndrome X were stratified based
on the systolic morning blood pressure surge value of control subjects to
patients with exaggerated blood pressure surge (n = 42) and those with normal
morning blood pressure surge (n = 28). Basal heart rate (p < .05), high sensitive
C-reactive protein (p < .01), left atrial volume index (p < .01), E/e' (p < .01);
carotid intima-media thickness (p < .001) and percentage of detected plaque (p <
.005) were significantly higher in patients with exaggerated morning blood
pressure surge group than those with morning blood pressure surge group. Morning
blood pressure surge was significantly correlated with carotid intima-media
thickness, high sensitive C-reactive protein, left atrial volume index and E/e'
ratio in patients with cardiac syndrome X. In multivariate analysis, exaggerated
morning blood pressure surge was the only independent predictor of increased
carotid intima-media thickness (OR = 2.379; p < .001), and diastolic dysfunction
(OR = 2.464; p < .001) in patients with cardiac syndrome X. CONCLUSION: Our data
suggest that excessive morning blood pressure surge is an independent predictor
for arterial stiffness and diastolic dysfunction in patients with cardiac
syndrome X.
PMID- 29790795
TI - Octa-arginine modified poly(amidoamine) dendrimers for improved delivery and
cytotoxic effect of paclitaxel in cancer.
AB - Cell penetrating peptides (CPP) have the ability to penetrate the cell membrane
and have been associated with various cargos for their facile intracellular
translocation. The current study involves the synthesis of a CPP, octa-arginine
(R8)-modified poly(amidoamine) dendrimer of generation 4 (G4), which has
additionally been PEGylated and conjugated to the poorly soluble anticancer drug,
paclitaxel (PTX). The synthesized dendrimer conjugates were characterized by
proton nuclear magnetic resonance (1H-NMR) Spectroscopy and zeta potential
measurements and evaluated in vitro in cell monolayers and 3D spheroids. Cellular
uptake study in human cervical cancer cell line (HeLa) revealed that R8
modification significantly improved the cell association of conjugates. G4-PTX-
polyethylene glycol (PEG)-R8 conjugate demonstrated enhanced cytotoxic potential
and higher induction of apoptosis compared to free PTX and G4-PTX-PEG. Further,
the penetrability of fluorescently labeled F-G4-PTX-PEG-R8 was evaluated in 3D
spheroids of HeLa at various depths by using confocal microscopy. G4-PTX-PEG-R8
induced cell death and inhibited the growth in 3D spheroids as competently as in
monolayers. The enhanced intracellular translocation of R8-modified dendrimers
resulted in improved anticancer efficacy of PTX. Therefore, the newly developed
dendrimer system is efficient for the intracellular delivery of PTX in cancer
cells and has a strong potential to be utilized as an effective chemotherapeutic
agent for cancer.
PMID- 29790796
TI - In vitro coagulation triggers anti-Aspergillus fumigatus neutrophil response.
AB - AIM: To explore Aspergillus interactions with platelets in the blood, especially
during clot formation. MATERIALS & METHODS: Aspergillus fumigatus resting or
swollen conidia, germlings or hyphae were inoculated into blood sampled into
tubes with or without anticoagulant. Interactions were explored using microscopy,
and chemokine levels were determined. RESULTS: Anatomopathological examination of
the clot revealed conidia and germlings colocalization with platelet aggregates,
and neutrophil recruitment around aggregates. Transmission electron microscopy
showed conidia and hyphae surrounded by neutrophils. Increased CCL5 and CXCL4
when conidia or germlings but not hyphae were added suggested they could be
involved in neutrophil recruitment around aggregates. CONCLUSION: These data
suggest platelets could trigger coagulopathy and activate neutrophils during
aspergillosis. They open up new perspectives for aspergillosis management.
PMID- 29790798
TI - Introductory paragraph.
PMID- 29790797
TI - Curcumin-loaded redox-responsive mesoporous silica nanoparticles for targeted
breast cancer therapy.
AB - HYPOTHESIS: The antitumor applications of curcumin (CUR) are limited because of
its low water solubility, poor stability, and low bioavailability. We developed
novel nanocarrier systems for tumour targeting and controlled CUR release and
evaluated their therapeutic efficacy. EXPERIMENTS: The surface of mesoporous
silica nanoparticles (MSN) was modified with hyaluronan (HA) or polyethyleneimine
folic acid (PEI-FA) via disulfide bonds. The capacity of the resultant
nanocarriers (MSN-HA and MSN-PEI-FA, respectively) for CUR delivery was evaluated
in a breast cancer cell line and a mouse xenograft model. FINDINGS: MSN/CUR-PEI
FA and MSN/CUR-HA were cytotoxic to MDA-MB-231 breast cancer cells. Both
formulations showed an enhanced cellular uptake compared with that of a non
targeted nanocarrier, with a greater cellular uptake of FA-modified nanoparticles
than that of HA-modified nanoparticles. Accordingly, MSN-PEI-FA showed more
precise targeting and higher accumulation in tumours than did MSN-HA, as
visualized by live imaging. Both types of nanoparticles had good biocompatibility
and low toxicity, and MSN/CUR-PEI-FA inhibited the tumour growth to a greater
degree than did free CUR. Thus, MSN/CUR-PEI-FA are a promising drug delivery
system for the treatment of breast cancer.
PMID- 29790800
TI - Resolution of late-onset heart and liver failures after reversion of jejuno-ileal
bypass: a case report.
AB - OBJECTIVES: Jejuno-ileal bypass (JIB) was a kind of bariatric surgery performed
from 1960s to 1980s, able to induce sustainable weight loss by creating a
surgical short bowel syndrome. MATERIALS AND METHODS: We report a case of an
octogenarian woman who underwent in the early eighties this kind of surgery with
consequent 40 kg weight loss. After 27 years, she first developed a reversible
metabolic cardiomyopathy that began with signs and symptoms of heart failure.
Thereafter, she was diagnosed with severe intractable liver insufficiency.
RESULTS: Despite her old age, the patient underwent reversal of JIB with
consequent early improvement of hepatic function. CONCLUSIONS: This case
demonstrate that in case of long-term and life-threatening complications, it is
possible to successfully reverse JIB surgery after upto 30 years. The hypothesis
on pathophysiology of heart and liver insufficiency are discussed.
PMID- 29790802
TI - Anesthesia Technique Effects on Pain and Hospital Stay in Patients Undergoing
Hemorrhoidectomy.
PMID- 29790801
TI - Development and characterisation of polymeric microparticle of poly(d,l-lactic
acid) loaded with holmium acetylacetonate.
AB - Biodegradable polymers containing radioactive isotopes such as Holmium 166
(166Ho) have potential applications as beta particle emitters in tumour tissues.
It is also a gamma ray emitter, allowing nuclear imaging of any tissue to be
acquired. It is frequently used in the form of complexes such as holmium
acetylacetonate (HoAcAc), which may cause damages in tissues next to the targets
cancer cells, as it is difficult to control its linkage or healthy tissues
radiotherapy effects. Poly(d,l-lactic acid), PDLLA, was used to encapsulate
holmium acetylacetonate (HoAcAc) using an emulsion solvent extraction/evaporation
technique. Microspheres with sizes between 20-53 um were extensively
characterised. HoAcAc release from the microspheres was assessed through studies
using Inductively Coupled Plasma - Optical Emission Spectroscopy, and the
microspheres showed no holmium leakage after a period of 10 half-lives and
following gamma irradiation. Thus, HoAcAc loaded microspheres are here presented
as a potential system for brachytherapy and imaging purposes.
PMID- 29790804
TI - The efficacy and safety of other cryotherapy compounds for the treatment of
genital warts: a randomized controlled trial.
AB - BACKGROUND: Cryotherapy using Wartner compound that contains a mixture of
dimethyl ether (75%) and propane (25%) has been recently reported as an effective
treatment for warts. The present study aimed to evaluate the safety and efficacy
of Wartner in male patients with genital warts. METHODS: A Phase II parallel
randomized clinical trial was performed on 16 Iranian men affected by genital
warts who were referred to a dermatology clinic at Tehran University of Medical
Sciences. Two genital warts of each patient were selected and randomly assigned
to receive either Wartner (intervention) or liquid nitrogen (control). RESULTS:
Two weeks after the treatment, the sizes of the lesions in control and
intervention groups decreased by 17.87 +/- 2.14 mm2 and 15.81 +/- 1.80 mm2,
respectively (p = .299). Fourteen days after a single session of treatment, 81%
of lesions in the control group and 50% in the Wartner group were completely
eradicated, however, the difference was not statistically significant (p = .063).
CONCLUSIONS: The Wartner compound is as effective as the conventional cryotherapy
method for treating genital warts. It is particularly valuable when there are
contraindications or no access to common treatment options.
PMID- 29790805
TI - Climbing the ladder from neuron to brain in Harold Atwood's laboratory.
AB - Twenty years spent in one laboratory is sufficient to build a legacy of
publications and a body of work to make an impact. However, the impact of our
work was highest at the personal level, and time spent in Harold Atwood's
laboratory was not a culmination of my career but rather a crucial path toward
learning and maturing as a researcher. During that time, I experienced
discoveries and lessons that shaped the next steps of my career. This article is
written in gratitude for wonderful experiences and describes a few highlights
that were especially memorable and influential.
PMID- 29790803
TI - Ultrasound-guided delivery of thymidine kinase-nitroreductase dual therapeutic
genes by PEGylated-PLGA/PIE nanoparticles for enhanced triple negative breast
cancer therapy.
AB - AIM: Triple-negative breast cancer (TNBC) is an aggressive breast cancer subtype.
Since no targeted therapy is available, gene-directed enzyme prodrug therapy
(GDEPT) could be an attractive strategy for treating TNBC. MATERIALS & METHODS:
Polyethylene glycol (PEG)ylated-poly(lactic-co-glycolic acid)/polyethyleneimine
nanoparticles (PLGA/PEI NPs) were synthesized and complexed with TK-NTR fusion
gene. Ultrasound (US) and microbubble (MB) mediated sonoporation was used for
efficient delivery of the TK-NTR-DNA-NP complex to TNBC tumor in vivo for cancer
therapy. Therapeutic effect was evaluated by treating TNBC cells in vitro and
tumor xenograft in vivo by using prodrugs ganciclovir (GCV) and CB1954. RESULTS:
TNBC cells treated with GCV/CB1954 prodrugs after transfection of TK-NTR-DNA by
PEGylated-PLGA/PEI NP resulted in high apoptotic-index. US-MB image-guided
delivery of TK-NTR-DNA-NP complex displayed significant expression level of TK
NTR protein and showed tumor reduction when treated with GCV/CB1954 prodrugs in
TNBC xenograft in vivo. CONCLUSION: US-MB image-guided delivery of TK-NTR gene by
PEGylated-PLGA/PEI NPs could be a potential prodrug therapy for TNBC in the
clinic.
PMID- 29790806
TI - Bisphenol S modulates concentrations of bisphenol A and oestradiol in female and
male mice.
AB - Concern over endocrine-disrupting actions of bisphenol A (BPA) has prompted some
manufacturers to remove it from consumer products. Among the chemical
replacements in "BPA-free" products are other bisphenol analogues, such as
bisphenol S (BPS). Given evidence that BPA and BPS possess similar oestrogenic
activity, their capacity to interact and disrupt oestrogen homeostasis should be
examined. We investigated whether BPS can modulate concentrations of 14C-BPA,
exogenous 3H-oestradiol (E2), or natural E2. CF-1 mice were each given a single
subcutaneous injection of oil containing 0 (vehicle), 1, 3, or 9 mg BPS, then
given a dietary supplement containing either 50 MUg/kg 14C-BPA or 5 MUCi (14.5
ng) 3H-E2. BPS treatment elevated 14C-BPA concentrations in blood serum and
certain reproductive organs of both sexes, but reduced 3H-E2 concentrations in
blood serum of females. In another experiment, natural E2 was measured in urine 2
12 h after injection of 0 (vehicle), 1, or 3 mg BPS. BPS reduced E2
concentrations at 10 h after injection in both sexes. These results are
consistent with evidence that BPS and BPA compete for access to metabolic
enzymes, and that BPS can disrupt oestrogen homeostasis. These findings
demonstrate the importance of considering multiple toxicants when determining
regulatory exposure limits.
PMID- 29790807
TI - Study of mitochondrial DNA A1555G and C1494T mutations in a large cohort of women
individuals.
AB - Mammalian mitochondrial A1555G and C1494T mutations are the most common causes of
aminoglycoside-induced and non-syndromic hearing loss. However, these two
mutations always are studied in the subject of pedigrees analysis. In the present
study, we aimed to investigate the genetic characteristic of the A1555G and
C1494T mutations on the population-level sampling, and to study the A1555G
pattern of maternal transmission in three heteroplasmic families. Four thousand
two hundred and ten unrelated women with normal hearing were enrolled as
subjects. We used a mutation detection kit to screen the prevalence of these two
mutations and used denaturing high performance liquid chromatography (DHPLC) and
DNA sequencing to detect three A1555G heteroplasmic pedigrees. The carrier rate
of A1555G was 0.33%, and the carrier rate of C1494T was 0.02% in our cohort, but
the rate of heteroplasmy in A1555G mutant carriers reached 21.4%. Mitochondrial
A1555G mutation rate was significantly decreased during maternal transmission of
the mutant. Strong purifying selection may determine the fate of mtDNA A1555G in
the transmission of human population.
PMID- 29790808
TI - Comparison of time to engraftment between autologous patients receiving washed
versus non-washed cryopreserved peripheral blood stem cell products.
AB - Washing cryopreserved peripheral blood stem cell (PBSC) products can decrease
infusion-related adverse reactions but can also result in cell loss and reduced
cell viability. To assess the risk and benefit of washing products, we compared
the time to neutrophil and platelet engraftment between autologous patients that
received washed products (n = 201) and non-washed products (n = 89). The effect
of the other variables, including age, gender, diagnosis, transplant dose, method
of stem cell mobilization, and growth factor support regimen post-transplant, was
assessed. In multivariate analysis, direct thaw and infusion of non-washed
products resulted in significantly faster neutrophil engraftment (p = .003) and
platelet engraftment (p = .017) than washed products. The mean neutrophil and
platelet engraftment times were 1.07 days faster and 2.27 days faster,
respectively. In conclusion, direct thaw and infusion of cryopreserved PBSC
without washing results in significantly shorter time to recovery of neutrophils
and platelets after autologous transplantation.
PMID- 29790809
TI - Characterization of in vitro and in vivo metabolism of leelamine using liquid
chromatography-tandem mass spectrometry.
AB - Leelamine is a diterpene compound found in the bark of pine trees and has
garnered considerable interest owing to its potent anticancer properties. The aim
of the present study was to investigate the metabolic profile of leelamine in
human liver microsomes (HLMs) and mice using liquid chromatography-tandem mass
spectrometry (LC-MS/MS). We found that leelamine undergoes only Phase I
metabolism, which generates one metabolite that is mono-hydroxylated at the C9
carbon of the octahydrophenanthrene ring (M1) both in vitro and in vivo. The
structure and metabolic pathway of M1 were determined from the MSn fragmentation
obtained by collision-induced dissociation using LC-MS/MS in HLMs. Cytochrome
p450 (CYP) 2D6 was found to be the dominant CYP enzyme involved in the
biotransformation of leelamine to its hydroxylated metabolite, whereas CYP2C19,
CYP1A1, and CYP3A4 contributed to some extent. Moreover, we identified only one
metabolite M1, in the urine, but none in the feces. In conclusion, leelamine was
metabolized to a mono-hydroxyl metabolite by CYP2D6 and mainly excreted in the
urine.
PMID- 29790810
TI - A review of novel technologies and techniques associated with identification of
bloodstream infection etiologies and rapid antimicrobial genotypic and
quantitative phenotypic determination.
AB - INTRODUCTION: The antimicrobial aspect of management of patients with blood
stream infections (BSI) and sepsis is time critical. In an era of increasing
antimicrobial resistance, rapid detection and identification of bacteria with
antimicrobial susceptibility is crucial to direct therapy early in the course of
illness. Molecular techniques offer a potential solution to this. Areas covered:
In the present review the authors have discussed a number of novel solutions
utilizing a variety of molecular techniques for pathogen detection,
identification and antimicrobial susceptibility. The review is not designed to be
an exhaustive literature review covering all diagnostic solutions ever developed,
instead the authors have focused on what they have had experience using,
evaluating or currently view as new and exciting with potential to revolutionize
BSI diagnosis. The authors searched PubMed (Medline) and Google Scholar with
terms: BSI, Bacteraemia, Candidaemia, Diagnostics, AST, Rapid, AMR, Novel and
Blood Culture. The authors attended recent clinical microbiology technology
congresses. Expert commentary: There are multiple exciting novel technologies at
differing stages of development with potential to revolutionize diagnosis of BSI.
More work is needed as well as a standardized assessment of different platforms
in order to better understand the clinical and financial impacts these will have
in clinical microbiology laboratories.
PMID- 29790811
TI - Nanoscaffolds in promoting regeneration of the peripheral nervous system.
AB - The ability to surgically repair peripheral nerve injuries is urgently needed.
However, traditional tissue engineering techniques, such as autologous nerve
transplantation, have some limitations. Therefore, tissue engineered autologous
nerve grafts have become a suitable choice for nerve repair. Novel tissue
engineering techniques derived from nanostructured conduits have been shown to be
superior to other successful functional neurological structures with different
scaffolds in terms of providing the required structures and properties.
Additionally, different biomaterials and growth factors have been added to nerve
scaffolds to produce unique biological effects that promote nerve regeneration
and functional recovery. This review summarizes the application of different
nanoscaffolds in peripheral nerve repair and further analyzes how the
nanoscaffolds promote peripheral nerve regeneration.
PMID- 29790812
TI - Nav1.7 is phosphorylated by Fyn tyrosine kinase which modulates channel
expression and gating in a cell type-dependent manner.
AB - Voltage-gated sodium channel Nav1.7 is a key molecule in nociception, and its
dysfunction has been associated with various pain disorders. Here, we
investigated the regulation of Nav1.7 biophysical properties by Fyn, an Src
family tyrosine kinase. Nav1.7 was coexpressed with either constitutively active
(FynCA) or dominant negative (FynDN) variants of Fyn kinase. FynCA elevated
protein expression and tyrosine phosphorylation of Nav1.7 channels. Site-directed
mutagenesis analysis identified two tyrosine residues (Y1470 and Y1471) located
within the Nav1.7 DIII-DIV linker (L3) as phosphorylation sites of Fyn. Whole
cell recordings revealed that FynCA evoked larger changes in Nav1.7 biophysical
properties when expressed in ND7/23 cells than in Human Embryonic Kidney (HEK)
293 cells, suggesting a cell type-specific modulation of Nav1.7 by Fyn kinase. In
HEK 293 cells, substitution of both tyrosine residues with phenylalanine
dramatically reduced current amplitude of mutant channels, which was partially
rescued by expressing mutant channels in ND7/23 cells. Phenylalanine substitution
showed little effect on FynCA-induced changes in Nav1.7 activation and
inactivation, suggesting additional modifications in the channel or modulation by
interaction with extrinsic factor(s). Our study demonstrates that Nav1.7 is a
substrate for Fyn kinase, and the effect of the channel phosphorylation depends
on the cell background. Fyn-mediated modulation of Nav1.7 may regulate DRG neuron
excitability and contribute to pain perception. Whether this interaction could
serve as a target for developing new pain therapeutics requires future study.
PMID- 29790814
TI - A de novo mutation in PRICKLE1 associated with myoclonic epilepsy and autism
spectrum disorder.
AB - Homozygous recessive mutations in the PRICKLE1 gene were first described in three
consanguineous families with myoclonic epilepsy. Subsequent studies have
identified neurological abnormalities in humans and animal models with both
heterozygous and homozygous mutations in PRICKLE1 orthologs. We describe a 7-year
old with a novel de novo missense mutation in PRICKLE1 associated with epilepsy,
autism spectrum disorder and global developmental delay.
PMID- 29790815
TI - Maternal psychosocial stress and children's ADHD diagnosis: a prospective birth
cohort study.
AB - OBJECTIVE: Examine the association of mothers' psychosocial stressors before and
during pregnancy with their children's diagnosis of attention deficit
hyperactivity disorder (ADHD). METHODS: This study included 2140 mother-child
pairs who had at least one postnatal pediatric visit at the Boston Medical Center
between 2003 and 2015. Child ADHD was determined via International Classification
of Diseases, Ninth Revision (ICD-9) codes documented in electronic medical
records. Latent factors of maternal stress and social support and measures of the
physical home environment and psychosocial adversities were constructed using
exploratory factor analysis. The association between the latent factors and child
ADHD diagnosis was examined using multiple logistic regression, controlling for
known risk factors for ADHD. RESULTS: Children were 1.45 (95% CI: 1.06, 1.99) and
3.03 (95% CI: 2.19, 4.20) times more likely to receive an ADHD diagnosis if their
mother experienced a major stressful event during pregnancy or reported a high
level of perceived stress, respectively. The number of family adversities
increases the risk of ADHD diagnosis [second quartile: OR = 1.90; CI (1.31,
2.77); third quartile: OR = 1.96 CI (1.34, 2.88); fourth quartile: OR = 2.89 CI
(2.01, 4.16)] compared to first quartile. CONCLUSIONS: In this prospective,
predominantly urban, low-income, minority birth cohort, mothers' psychosocial
stress before and during pregnancy appears to be an independent risk factor for
the development of ADHD in their children.
PMID- 29790816
TI - The effect of elevated alpha1-acid glycoprotein on the pharmacokinetics of TAK
272 (SCO-272), an orally active renin inhibitor, in rats.
AB - The pharmacokinetics of TAK-272 (SCO-272), an orally active renin inhibitor, was
investigated in rats with subcutaneously injected turpentine oil, which was an
inflammation animal model. Following intravenous administration of TAK-272 to the
turpentine-treated rats, the systemic clearance and volume of distribution
decreased with the elevated plasma alpha1-acid glycoprotein (AGP) levels. The
elevated plasma AGP levels were negatively correlated with the plasma unbound
fraction of TAK-272 in the rats. Although the AUCs of total TAK-272 in the
turpentine-treated rats were higher than those in the control rats after
intravenous and oral administration, those of unbound TAK-272, which seem to
directly contribute to the pharmacological effect and safety, were nearly equal
between the turpentine-treated and control rats in the respective dose routes.
TAK-272 has been shown to primarily bind to AGP in the human plasma. These
results strongly suggested that the pharmacokinetic of TAK-272 in humans would
also be affected by the variation in the plasma AGP levels and should be
discussed with not only the total concentrations but also the unbound
concentrations in the clinical trial for patients with elevated plasma AGP
levels.
PMID- 29790817
TI - Letter Regarding the "Comparison of the Tight Rope Technique and Clavicular Hook
Plate for the Treatment of Rockwood Type III acromioclavicular Joint
Dislocation".
PMID- 29790813
TI - Increased Nav1.7 expression in the dorsal root ganglion contributes to pain
hypersensitivity after plantar incision in rats.
AB - Postoperative pain remains a complex problem that is difficult to manage in the
clinical context, seriously affecting rehabilitation and the quality of life of
patients after surgery. Nociceptors, of which the cell bodies are located in the
dorsal root ganglion, are crucial for initiating and conducting the pain signal.
The peripheral voltage-gated sodium channels, including Nav1.7, which is mainly
expressed in the dorsal root ganglion, are key to understanding the mechanism
underlying postoperative pain. Nav1.7, in particular, of which mutations in the
encoding gene ( SCN9A) can determine whether pain occurs, has aroused most
attention. Previous studies have shown that Nav1.7 in dorsal root ganglion is
critical for the development of inflammatory pain and some neuropathic pain.
However, the expression of Nav1.7 in the dorsal root ganglion after surgery and
its role in postoperative pain hypersensitivity remain unclear. Therefore, in
this study, in order to gain a better understanding of the role of dorsal root
ganglion Nav1.7 in pain hypersensitivity following operation, we dynamically
examined the pain-related behavior and expression of Nav1.7 in L4-L6 dorsal root
ganglion before and after plantar incision in rats (an acute postoperative pain
model). After plantar incision, the mechanical and thermal pain threshold
decreased significantly, the cumulative pain score was increased significantly,
meanwhile quantitative polymerase chain reaction and Western blotting results
showed that expression of Nav1.7 in L4-L6 dorsal root ganglion was enhanced
significantly. After pretreatment using SCN9A-RNAi-LV delivered via an
intrathecal tube, immunohistochemistry showed that increased expression of Nav1.7
in L4-L6 dorsal root ganglion after plantar incision was inhibited, as also
confirmed by quantitative polymerase chain reaction and Western blotting.
Moreover, pain hypersensitivity was alleviated. These results suggested that
Nav1.7 of L4-L6 dorsal root ganglion plays an important role in the development
of pain hypersensitivity after plantar incision.
PMID- 29790818
TI - Endosomal and Phagosomal SNAREs.
AB - The soluble N-ethylmaleimide-sensitive factor attachment protein receptor (SNARE)
protein family is of vital importance for organelle communication. The complexing
of cognate SNARE members present in both the donor and target organellar
membranes drives the membrane fusion required for intracellular transport. In the
endocytic route, SNARE proteins mediate trafficking between endosomes and
phagosomes with other endosomes, lysosomes, the Golgi apparatus, the plasma
membrane, and the endoplasmic reticulum. The goal of this review is to provide an
overview of the SNAREs involved in endosomal and phagosomal trafficking. Of the
38 SNAREs present in humans, 30 have been identified at endosomes and/or
phagosomes. Many of these SNAREs are targeted by viruses and intracellular
pathogens, which thereby reroute intracellular transport for gaining access to
nutrients, preventing their degradation, and avoiding their detection by the
immune system. A fascinating picture is emerging of a complex transport network
with multiple SNAREs being involved in consecutive trafficking routes.
PMID- 29790819
TI - Effects of astragaloside IV on the pharmacokinetics of puerarin in rats.
AB - 1. Radix astragali and puerarin are always used together for cardiovascular
disease in China clinics. 2. This study investigates the effects of astragaloside
IV (AS-IV, the main components of radix astragali) on the pharmacokinetics of
puerarin in rats. 3. The pharmacokinetics of orally administered puerarin (50
mg/kg) with or without AS-IV pretreatment (100 mg/kg/day for 7 days) were
investigated. The plasma concentration of puerarin was determined using LC-MS/MS
method, and the pharmacokinetics profiles were calculated and compared. Caco-2
cell transwell model was also used to investigate the effects of AS-IV on the
transport pf puerarin. 4. The results showed that when the rats were pretreated
with AS-IV, the maximum concentration (Cmax) of puerarin decreased from 760 to
467 ng/mL (P < 0.05, n = 6, 90%CI, 293 +/- 61.28), and the area under the
concentration-time curve from zero to infinity (AUC0-inf) also decreased from
4097 to 2330 MUg.h/L (P < 0.05, n = 6). The oral clearance of puerarin increased
significantly from 11.9 to 22.4 L/h/kg (P < 0.05, n = 6). The Caco-2 cell
transwell experiments indicated that AS-IV could increase the efflux ratio of
puerarin from 1.81 to 2.79 through inducing the activity of P-gp. 5. In
conclusion, these results indicated that AS-IV could affect the pharmacokinetics
of puerarin, possibly by decreasing the systemic exposure of puerarin by inducing
the activity of P-gp.
PMID- 29790820
TI - Towards quantitative [18F]FDG-PET/MRI of the brain: Automated MR-driven
calculation of an image-derived input function for the non-invasive determination
of cerebral glucose metabolic rates.
AB - Absolute quantification of PET brain imaging requires the measurement of an
arterial input function (AIF), typically obtained invasively via an arterial
cannulation. We present an approach to automatically calculate an image-derived
input function (IDIF) and cerebral metabolic rates of glucose (CMRGlc) from the
[18F]FDG PET data using an integrated PET/MRI system. Ten healthy controls
underwent test-retest dynamic [18F]FDG-PET/MRI examinations. The imaging protocol
consisted of a 60-min PET list-mode acquisition together with a time-of-flight MR
angiography scan for segmenting the carotid arteries and intermittent MR
navigators to monitor subject movement. AIFs were collected as the reference
standard. Attenuation correction was performed using a separate low-dose CT scan.
Assessment of the percentage difference between area-under-the-curve of IDIF and
AIF yielded values within +/-5%. Similar test-retest variability was seen between
AIFs (9 +/- 8) % and the IDIFs (9 +/- 7) %. Absolute percentage difference
between CMRGlc values obtained from AIF and IDIF across all examinations and
selected brain regions was 3.2% (interquartile range: (2.4-4.3) %, maximum <
10%). High test-retest intravariability was observed between CMRGlc values
obtained from AIF (14%) and IDIF (17%). The proposed approach provides an IDIF,
which can be effectively used in lieu of AIF.
PMID- 29790822
TI - The serotonin transporter polymorphism (5-HTTLPR) and cortisol stress
responsiveness: preliminary evidence for a modulating role for sleep quality.
AB - The short (S) allele of a functional polymorphism (5-HTTLPR) within the promoter
region of the serotonin transporter gene (SLC6A4) is found to predispose the risk
for stress-related affective disorders relative to the long (L) allele. Evidence
suggests that elevated stress reactivity of the hypothalamic-pituitary-adrenal
(HPA) axis might underlie this association although there is little understanding
about the origin of inconsistent findings. Since inadequate sleep is commonly
known to promote HPA stress reactivity, it might well play an important
modulating role. The present study tested this hypothesis by investigating
whether sleep quality moderates the relationship between 5-HTTLPR and cortisol
stress responsiveness. From a large 5-HTTLPR database (n = 771), a sample of
healthy male and female participants homozygous for either the 5-HTTLPR S-allele
(n = 25) or L-allele (n = 25) were assessed for sleep quality and salivary
cortisol secretion during acute laboratory stress. Diminished sleep quality was
found to exclusively potentiate cortisol stress reactivity in the homozygous L
allele genotype. Accounting for this 5-HTTLPR-dependent influence enhanced the
predictive value of 5-HTTLPR on cortisol stress responsiveness, revealing greater
HPA reactivity in S-allele relative to L-allele carriers. Current findings
suggest that variations in sleep quality may serve as a confounding factor in the
search for genetic differences in stress sensitivity and related affective
disorders.
PMID- 29790821
TI - Effects of immuno-related gene polymorphisms on a bispecific antibody targeting
colorectal cancer cell.
AB - BACKGROUND: Colorectal cancer (CRC) represents the third most common type of
cancer and the third leading cause of death from cancer around the world. M701 is
a CD3/EpCAM bispecific antibody that shows promising cytotoxicity toward CRC
cells. AIM: To investigate the influence of immuno-related gene polymorphisms on
M701 mediated cytotoxicity to CRC cell HCT116. METHOD: We analyzed the influence
of the effect of M701 on the activation and cytotoxicity of peripheral
mononuclear blood cells from 129 healthy volunteers with different genotypes.
RESULT: When incubated with M701, peripheral mononuclear blood cells from CD247
rs2949655 AA homozygotes showed significantly lower cytotoxicity than those from
AG/GG heterozygotes. CONCLUSION: CD247 rs2949655 was significantly associated
with the cytotoxicity of M701 to HCT116, which might contribute to personalized
medicine of M701.
PMID- 29790823
TI - The world of the identified or digital neuron.
AB - In general, neurons in insects and many other invertebrate groups are
individually recognizable, enabling us to assign an index number to specific
neurons in a manner which is rarely possible in a vertebrate brain. This endows
many studies on insect nervous systems with the opportunity to document neurons
with great precision, so that in favourable cases we can return to the same
neuron or neuron type repeatedly so as to recognize many separate morphological
classes. The visual system of the fly's compound eye particularly provides clear
examples of the accuracy of neuron wiring, allowing numerical comparisons between
representatives of the same cell type, and estimates of the accuracy of their
wiring.
PMID- 29790824
TI - Addressing the challenges of ECMO simulation.
AB - INTRODUCTION/AIM: The patient's condition and high-risk nature of extracorporeal
membrane oxygenation (ECMO) therapy force clinical services to ensure clinicians
are properly trained and always ready to deal effectively with critical
situations. Simulation-based education (SBE), from the simplest approaches to the
most immersive modalities, helps promote optimum individual and team performance.
The risks of SBE are negative learning, inauthenticity in learning and over
reliance on the participants' suspension of disbelief. This is especially
relevant to ECMO SBE as circuit/patient interactions are difficult to fully
simulate without confusing circuit alterations. METHODS: Our efforts concentrate
on making ECMO simulation easier and more realistic in order to reduce the
current gap there is between SBE and real ECMO patient care. Issues to be
overcome include controlling the circuit pressures, system failures, patient
issues, blood colour and cost factors. Key to our developments are the hospital
university collaboration and research funding. RESULTS: A prototype ECMO
simulator has been developed that allows for realistic ECMO SBE. The system
emulates the ECMO machine interface with remotely controllable pressure
parameters, haemorrhaging, line chattering, air bubble noise and simulated blood
colour change. CONCLUSION: The prototype simulator allows the simulation of
common ECMO emergencies through innovative solutions that enhance the fidelity of
ECMO SBE and reduce the requirement for suspension of disbelief from
participants. Future developments will encompass the patient cannulation aspect.
PMID- 29790825
TI - Epidemiological and clinical features of visceral leishmaniasis in children in
Alicante Province, Spain.
AB - BACKGROUND: Visceral leishmaniasis (VL) is endemic to the Mediterranean basin. In
children, VL often presents with non-specific symptoms and can be life
threatening without proper treatment. AIM: To describe the epidemiological and
clinical features of pediatric VL in children in Alicante, Spain. METHODS: The
study included all paediatric (<15 years) cases admitted to three hospitals in
the province of Alicante from May 1992 to May 2015 with diagnosis of VL
(detection was either by anti-Leishmania antibodies in serology or Leishmania in
blood and/or bone marrow aspirates). RESULTS: There were 38 cases of pediatric VL
(18 aged <24 months, 15 aged 24-59 months and 5 aged >=5 years). The main
symptoms were fever (97.4%), followed by pallor (75.0%) and loss of appetite
(46.4%). Eighty-seven per cent of patients were anaemic (haemoglobin < 9 g/dL),
73.7% had neutropenia and 68.4% had thrombocytopenia. Before 2004, 92.3% of
patients were treated with meglumine antimoniate (MA) and 7.7% with liposomal
amphotericin B (LAmB); after 2004, 84% were treated with LAmB and just one (16%)
with MA (p < 0.001). LAmB performed better than MA in terms of mean treatment
length (7.4 days vs 25.9 days, p < 0.001), time to becoming afebrile (1.7 vs 13.7
days, p < 0.001), and length of hospital stay (10.9 vs 19.4 days, p = 0.001).
CONCLUSION: Paediatric VL in Alicante mainly affects children under five.
Children aged <=24 months present with a lower haemoglobin and white blood cell
count. Treatment with LAmB reduces treatment length, time to becoming afebrile
and length of hospital stay.
PMID- 29790826
TI - Free Clinics and the Need for Nursing Action in Uncertain Political Times.
AB - Free clinics have been a source of health care for uninsured and low-income
Americans for half a century and serve some of the nation's most vulnerable
within their home community. Despite parallels to nursing's significant
involvement in the formation of free public clinics and commitment to care for
all, there is paucity of nursing literature about free clinics. This article
details the history of U.S. free clinics and the intersections among free clinics
and value-based care, health reform, and tax reform, including the Patient
Protection and Affordable Care Act of 2010 and the Tax Cuts and Jobs Act of 2017.
Challenges impacting free clinics are detailed, as well as strategies nurses may
employ to support survival of free clinics and enhance service to their target
populations. Roles for nurses in free clinic governance, management, and practice
are described as well as suggestions for research, education, and public policy.
PMID- 29790828
TI - The influence of pharmacogenomics on the protective effect of light-to-moderate
alcohol use.
PMID- 29790829
TI - Evaluating Implicit Emotions of Chinese University Students With Different Levels
of Social Adjustment: Implicit Measurement Based on the Go/No-go Association
Task.
AB - In this study, 60 university students were selected as research participants
based on the Chinese Student Adjustment Scale. Participants were divided into two
groups: high level of social adjustment and low level of social adjustment. Then
using the Go/No-go Association Task as the implicit association experimental
paradigm, implicit emotions were evaluated by having participants respond to
different facial expressions as quickly as possible. The group of participants
with higher levels of social adjustment performed better when responding to self
concepts with positive facial expressions, compared to responding to non-self
concepts with either positive or negative facial expressions. Thus, they showed
an implicit preference for processing information about self with positive
emotions. The group of participants with lower levels of social adjustment did
not show the same benefit when responding to self-concepts. Instead, they
performed better when responding to other-related concepts with different facial
expressions, irrespective of the emotional content. Thus, they manifested an
implicit preference for processing information about others with different
emotions, suggesting a deficiency in processing their own emotions. In addition,
the results validated the objectivity of the Chinese Student Adjustment Scale as
an assessment tool.
PMID- 29790827
TI - The effect of maternal HIV status and treatment duration on body composition of
HIV-exposed and HIV-unexposed preterm, very and extremely low-birthweight
infants.
AB - BACKGROUND: There is an evidence gap regarding the relationship between HIV
exposure, body composition (and the quality thereof) and preterm infants. AIM:
This study determined the body composition of HIV-exposed, preterm very low
birthweight (VLBW) and extremely low-birthweight (ELBW) infants and to assess the
effect of maternal HAART duration on the body composition of this vulnerable
population. METHODS: A descriptive cross-sectional study was conducted. HIV
exposed and -unexposed preterm infants (<37 weeks) with a birthweight of <=1200g
were included. Maternal medical background was recorded. Infant body composition
measurements were recorded weekly during the 28-day follow-up period. RESULTS:
Thirty preterm infants (27%) were HIV-exposed. HIV-exposed infants had
significantly (=0.01) lower gestational ages than HIV-unexposed infants (25-28
weeks). HIV-exposed infants had significantly lower measurements on day 21 and
day 28 for triceps skinfold (TSF) (2.5 mm vs 2.7 mm, = 0.02 and 2.6 mm vs 2.9 mm,
<0.01), subscapular skinfold (SSSF) (2.3 mm vs 2.6 mm, = 0.02 and 2.4 mm vs 2.7
mm, =<0.01) and fat mass percentage (FM%) (0.9% vs 1.4%, = 0.02 and 1.0% vs 1.5%,
= 0.03). HIV-exposed infants whose mothers received HAART for >= 20 weeks were
heavier and had a higher FM% and lower fat-free mass percentage (FFM%) at birth
than HIV-exposed preterm infants whose mothers received highly active
antiretroviral therapy for >= 4- < 20 weeks. CONCLUSION: Mothers receiving HAART
could have increased risk of preterm delivery, and the duration of maternal HAART
affects postnatal body composition of their infants. Body composition differs
between HIV-exposed and HIV-unexposed preterm infants.
PMID- 29790830
TI - Empyema necessitans in a six-month-old girl.
AB - Empyema necessitans is a rare complication of acute bacterial pneumonia,
especially in children. It is a complication of empyema characterised by the
extension of pus from the pleural cavity into the thoracic wall to form a mass of
purulent fluid in the adjacent soft tissue. An inflammatory chest wall mass
following pneumonia caused by Streptococcus pneumonia in a six-month-old infant
is reported. The case emphasises that children presenting with persistent fever
and a painful chest wall mass following pneumonia should be investigated
immediately as there might be an urgent need for surgery.
PMID- 29790831
TI - Informal Recyclers' Health Inequities in Vancouver, BC.
AB - We explore informal recyclers' perceptions and experiences of the social
determinants of health in Vancouver, Canada, and investigate the factors that
contribute to the environmental health inequities they experience. Based on in
depth interviews with 40 informal recyclers and 7 key informants, we used a
social determinants of health framework to detail the health threats that
informal recyclers associated with their work and the factors that influenced
their access to health-related resources and services. Our analysis reveals that
the structural factors influencing environmental health inequities included
insufficient government resources for low-income urbanites; the potential for
stigma, clientization, and discrimination at some health and social service
providers; and the legal marginalization of informal recycling and associated
activities. We conclude that Vancouver's informal recyclers experience
inequitable access to health-related resources and services, and they are
knowledgeable observers of the factors that influence their own health and well
being.
PMID- 29790832
TI - Without Warning: Worker Deaths From Heat 2014-2016.
AB - Worker deaths from heat exposure are unlike heat deaths in the general
population; workers tend to be outside in variable temperatures and younger than
sixty-five years. Climate change will increase the frequency, duration, and
variability of hot temperatures. Public health warning systems, such as the Heat
Index of the National Weather Service, do not generally account for workers'
greater likelihood of exposure to direct sunlight or exertion. Only 28% of the 79
worker heat-related fatalities during 2014-2016 occurred on days when the
National Weather Service warning would have included the possibility of fatal
heat stroke. Common heat illness prevention advice ignores workers' lack of
control over their ability to rest and seek cooler temperatures. Additionally,
acclimatization, or phased-in work in the heat, may be less useful given
temperature variability under climate change. Workers' vulnerability and context
of heat exposure should inform public health surveillance and response to prevent
heat illness and death.
PMID- 29790833
TI - Alterations in the cortical control of standing posture during varying levels of
postural threat and task difficulty.
AB - Cortical excitability increases during the performance of more difficult postural
tasks. However, it is possible that changes in postural threat associated with
more difficult tasks may in themselves lead to alterations in the neural
strategies underlying postural control. Therefore, the purpose of this study was
to examine whether changes in postural threat are responsible for the alterations
in corticospinal excitability and short-interval intracortical inhibition (SICI)
that occur with increasing postural task difficulty. Fourteen adults completed
three postural tasks (supported standing, free standing, or standing on an
unstable board) at two surface heights (ground level or 3 m above ground). Single
and paired-pulse magnetic stimuli were applied to the motor cortex to compare
soleus (SOL) and tibialis anterior (TA) test motor-evoked potentials (MEPs) and
SICI between conditions. SOL and TA test MEPs increased from 0.35 +/- 0.29 to
0.82 +/- 0.41 mV (SOL) and from 0.64 +/- 0.51 to 1.96 +/- 1.45 mV (TA),
respectively, whereas SICI decreased from 52.4 +/- 17.2% to 39.6 +/- 15.4% (SOL)
and from 71.3 +/- 17.7% to 50.3 +/- 19.9% (TA) with increasing task difficulty.
In contrast to the effects of task difficulty, only SOL test MEPs were smaller
when participants stood at high (0.49 +/- 0.29 mV) compared with low height (0.61
+/- 0.40 mV). Because the presence of postural threat did not lead to any
additional changes in the excitability of the motor corticospinal pathway and
intracortical inhibition with increasing task difficulty, it seems unlikely that
alterations in perceived threat are primarily responsible for the
neurophysiological changes that are observed with increasing postural task
difficulty. NEW & NOTEWORTHY We examined how task difficulty and postural threat
influence the cortical control of posture. Results indicated that the motor
corticospinal pathway and intracortical inhibition were modulated more by task
difficulty than postural threat. Furthermore, because the presence of postural
threat during the performance of various postural tasks did not lead to summative
changes in motor-evoked potentials, alterations in perceived threat are not
responsible for the neurophysiological changes that occur with increasing
postural task difficulty.
PMID- 29790835
TI - Tuning for rate and duration of frequency-modulated sweeps in the mammalian
inferior colliculus.
AB - Responses of auditory duration-tuned neurons (DTNs) are selective for stimulus
duration. We used single-unit extracellular recording to investigate how the
inferior colliculus (IC) encodes frequency-modulated (FM) sweeps in the big brown
bat. It was unclear whether the responses of so-called "FM DTNs" encode signal
duration, like classic pure-tone DTNs, or the FM sweep rate. Most FM cells had
spiking responses selective for downward FM sweeps. We presented cells with
linear FM sweeps whose center frequency (CEF) was set to the best excitatory
frequency and whose bandwidth (BW) maximized the spike count. With these baseline
parameters, we stimulated cells with linear FM sweeps randomly varied in duration
to measure the range of excitatory FM durations and/or sweep rates. To separate
FM rate and FM duration tuning, we doubled (and halved) the BW of the baseline FM
stimulus while keeping the CEF constant and then recollected each cell's FM
duration tuning curve. If the cell was tuned to FM duration, then the best
duration (or range of excitatory durations) should remain constant despite
changes in signal BW; however, if the cell was tuned to the FM rate, then the
best duration should covary with the same FM rate at each BW. A Bayesian model
comparison revealed that the majority of neurons were tuned to the FM sweep rate,
although a few cells showed tuning for FM duration. We conclude that the dominant
parameter for temporal tuning of FM neurons in the IC is FM sweep rate and not FM
duration. NEW & NOTEWORTHY Reports of inferior colliculus neurons with response
selectivity to the duration of frequency-modulated (FM) stimuli exist, yet it
remains unclear whether such cells are tuned to the FM duration or the FM sweep
rate. To disambiguate these hypotheses, we presented neurons with variable
duration FM signals that were systematically manipulated in bandwidth. A Bayesian
model comparison revealed that most temporally selective midbrain cells were
tuned to the FM sweep rate and not the FM duration.
PMID- 29790834
TI - Interference between competing motor memories developed through learning with
different limbs.
AB - Learning from motor errors that occur across different limbs is essential for
effective tool use, sports training, and rehabilitation. To probe the neural
organization of error-driven learning across limbs, we asked whether learning
opposing visuomotor mappings with the two arms would interfere. Young right
handers first adapted to opposite visuomotor rotations A and B with different
arms and were then reexposed to A 24 h later. We observed that relearning of A
was never faster nor were initial errors smaller than prior A learning, which
would be expected if there was no interference from B. Rather, errors were
greater than or similar to, and learning rate was slower than or comparable to,
previous A learning depending on the order in which the arms learned. This
indicated robust interference between the motor memories of A and B when they
were learned with different arms in close succession. We then proceeded to
uncover that the order-dependent asymmetry in performance upon reexposure
resulted from asymmetric transfer of learning from the left arm to the right but
not vice versa and that the observed interference was retrograde in nature. Such
retrograde interference likely occurs because the two arms require the same
neural resources for learning, a suggestion consistent with that of our past work
showing impaired learning following left inferior parietal damage regardless of
the arm used. These results thus point to a common neural basis for formation of
new motor memories with different limbs and hold significant implications for how
newly formed motor memories interact. NEW & NOTEWORTHY In a series of
experiments, we demonstrate robust retrograde interference between competing
motor memories developed through error-based learning with different arms. These
results provide evidence for shared neural resources for the acquisition of motor
memories across different limbs and also suggest that practice with two effectors
in close succession may not be a sound approach in either sports or
rehabilitation. Such training may not allow newly acquired motor memories to be
stabilized.
PMID- 29790836
TI - Minocycline promotes posthemorrhagic neurogenesis via M2 microglia polarization
via upregulation of the TrkB/BDNF pathway in rats.
AB - Intracerebral hemorrhage (ICH) is a devastating disease worldwide with increasing
mortality. The present study investigated whether minocycline was neuroprotective
and induced M2 microglial polarization via upregulation of the TrkB/BDNF pathway
after ICH. ICH was induced via injection of autologous blood into 150 Sprague
Dawley rats. A selective TrkB antagonist [N2-2-2-oxoazepan-3-yl amino] carbonyl
phenyl benzo (b) thiophene-2-carboxamide (ANA 12)] and agonist [ N-[2-(5-hydroxy
1H-indol-3-yl) ethyl]-2-oxopiperidine-3-carboxamide (HIOC)] were used to
investigate the mechanism of minocycline-induced neuroprotection. Minocycline
improved ICH-induced neurological deficits and reduced M1 microglia marker
protein (CD68, CD16) expression as well as M2 microglial polarization (CD206 and
arginase 1 protein). Minocycline administration enhanced microglia-neuron cross
talk and promoted the proliferation of neuronal progenitor cells, such as DCX-
and Tuj-1-positive cells, 24 h after ICH. Minocycline also increased M2 microglia
derived brain-derived neurotrophic factors (BDNF) and the upstream TrkB pathway.
ANA 12 reversed the neuroprotective effects of minocycline. HIOC exhibited the
same effects as minocycline and accelerated neurogenesis after ICH. This study
demonstrated for the first time that minocycline promoted M2 microglia
polarization via upregulation of the TrkB/BDNF pathway and promoted neurogenesis
after ICH. This study contributes to our understanding of the therapeutic
potential of minocycline in ICH. NEW & NOTEWORTHY The present study gives several
novel points: 1) Minocycline promotes neurogenesis after intracerebral hemorrhage
in rats. 2) Minocycline induces activated M1 microglia into M2 neurotrophic
phenotype. 3) M2 microglia secreting BDNF remodel the damaged neurocircuit.
PMID- 29790837
TI - Modulation of spinal motor networks by astrocyte-derived adenosine is dependent
on D1-like dopamine receptor signaling.
AB - Astrocytes modulate many neuronal networks, including spinal networks responsible
for the generation of locomotor behavior. Astrocytic modulation of spinal motor
circuits involves release of ATP from astrocytes, hydrolysis of ATP to adenosine,
and subsequent activation of neuronal A1 adenosine receptors (A1Rs). The net
effect of this pathway is a reduction in the frequency of locomotor-related
activity. Recently, it was proposed that A1Rs modulate burst frequency by
blocking the D1-like dopamine receptor (D1LR) signaling pathway; however,
adenosine also modulates ventral horn circuits by dopamine-independent pathways.
Here, we demonstrate that adenosine produced upon astrocytic stimulation
modulates locomotor-related activity by counteracting the excitatory effects of
D1LR signaling and does not act by previously described dopamine-independent
pathways. In spinal cord preparations from postnatal mice, a D1LR agonist, SKF
38393, increased the frequency of locomotor-related bursting induced by 5
hydroxytryptamine and N-methyl-d-aspartate. Bath-applied adenosine reduced burst
frequency only in the presence of SKF 38393, as did adenosine produced after
activation of protease-activated receptor-1 to stimulate astrocytes. Furthermore,
the A1R antagonist 8-cyclopentyl-1,3-dipropylxanthine enhanced burst frequency
only in the presence of SKF 38393, indicating that endogenous adenosine produced
by astrocytes during network activity also acts by modulating D1LR signaling.
Finally, modulation of bursting by adenosine released upon stimulation of
astrocytes was blocked by protein kinase inhibitor-(14-22) amide, a protein
kinase A (PKA) inhibitor, consistent with A1R-mediated antagonism of the
D1LR/adenylyl cyclase/PKA pathway. Together, these findings support a novel,
astrocytic mechanism of metamodulation within the mammalian spinal cord,
highlighting the complexity of the molecular interactions that specify motor
output. NEW & NOTEWORTHY Astrocytes within the spinal cord produce adenosine
during ongoing locomotor-related activity or when experimentally stimulated.
Here, we show that adenosine derived from astrocytes acts at A1 receptors to
inhibit a pathway by which D1-like receptors enhance the frequency of locomotor
related bursting. These data support a novel form of metamodulation within the
mammalian spinal cord, enhancing our understanding of neuron-astrocyte
interactions and their importance in shaping network activity.
PMID- 29790838
TI - Release of taurine and glutamate contributes to cell volume regulation in human
retinal Muller cells: differences in modulation by calcium.
AB - Neuronal activity in the retina generates osmotic gradients that lead to Muller
cell swelling, followed by a regulatory volume decrease (RVD) response, partially
due to the isoosmotic efflux of KCl and water. However, our previous studies in a
human Muller cell line (MIO-M1) demonstrated that an important fraction of RVD
may also involve the efflux of organic solutes. We also showed that RVD depends
on the swelling-induced Ca2+ release from intracellular stores. Here we
investigate the contribution of taurine (Tau) and glutamate (Glu), the most
relevant amino acids in Muller cells, to RVD through the volume-regulated anion
channel (VRAC), as well as their Ca2+ dependency in MIO-M1 cells. Swelling
induced [3H]Tau/[3H]Glu release was assessed by radiotracer assays and cell
volume by fluorescence videomicroscopy. Results showed that cells exhibited an
osmosensitive efflux of [3H]Tau and [3H]Glu (Tau > Glu) blunted by VRAC
inhibitors 4-(2-butyl-6,7-dichloro-2-cyclopentylindan-1-on-5-yl)-oxybutyric acid
and carbenoxolone reducing RVD. Only [3H]Tau efflux was mainly dependent on Ca2+
release from intracellular stores. RVD was unaffected in a Ca2+-free medium,
probably due to Ca2+-independent Tau and Glu release, but was reduced by
chelating intracellular Ca2+. The inhibition of phosphatidylinositol-3-kinase
reduced [3H]Glu efflux but also the Ca2+-insensitive [3H]Tau fraction and
decreased RVD, providing evidence of the relevance of this Ca2+-independent
pathway. We propose that VRAC-mediated Tau and Glu release has a relevant role in
RVD in Muller cells. The observed disparities in Ca2+ influence on amino acid
release suggest the presence of VRAC isoforms that may differ in substrate
selectivity and regulatory mechanisms, with important implications for retinal
physiology. NEW & NOTEWORTHY The mechanisms for cell volume regulation in retinal
Muller cells are still unknown. We show that swelling-induced taurine and
glutamate release mediated by the volume-regulated anion channel (VRAC) largely
contributes the to the regulatory volume decrease response in a human Muller cell
line. Interestingly, the hypotonic-induced efflux of these amino acids exhibits
disparities in Ca2+-dependent and -independent regulatory mechanisms, which
strongly suggests that Muller cells may express different VRAC heteromers formed
by the recently discovered leucine-rich repeat containing 8 (LRRC8) proteins.
PMID- 29790839
TI - How to monitor breathing in laboratory rodents: a review of the current methods.
AB - Accurately measuring respiration in laboratory rodents is essential for many
fields of research, including olfactory neuroscience, social behavior, learning
and memory, and respiratory physiology. However, choosing the right technique to
monitor respiration can be tricky, given the many criteria to take into account:
reliability, precision, and invasiveness, to name a few. This review aims to
assist experimenters in choosing the technique that will best fit their needs, by
surveying the available tools, discussing their strengths and weaknesses, and
offering suggestions for future improvements.
PMID- 29790840
TI - Guidelines for the treatment of severe acute malnutrition: a systematic review of
the evidence for antimicrobial therapy.
AB - Background Severe acute malnutrition (SAM) affects nearly 20 million children
worldwide and is responsible for up to 1 million deaths per year in children
under the age of 5 years. Current WHO guidelines recommend oral amoxicillin for
children with uncomplicated malnutrition and parenteral benzylpenicillin and
gentamicin for those with complicated malnutrition. Because of cost pressures and
increasing antimicrobial resistance, the administration of empirical antibiotics
for children with SAM has recently been debated. Methods A systematic review of
the current published literature was undertaken to assess the efficacy, safety,
cost-effectiveness and pharmacokinetics of antimicrobial treatment of children
with SAM in accordance with the Preferred Reporting Items for Systematic Reviews
and Meta-Analyses. Results The initial search found 712 papers, eight of which
met the inclusion criteria. Quality assessment of the studies was performed as
per the Grading of Recommendations Assessment, Development and Evaluation
guidelines. International guidelines and clinical data registries were also
reviewed which identified inconsistencies in current first- and second-line
therapies and dosing regimens. Conclusion Current evidence supports the continued
use of broad-spectrum oral amoxicillin for treating children with uncomplicated
SAM as outpatients. There is no strong evidence to justify changing the current
parenteral therapy guidelines for children admitted with complicated SAM,
although they should be clarified to harmonise the dosage regimen of amoxicillin
for the treatment of SAM to 40 mg/kg twice daily, and to continue parenteral
antimicrobials beyond 2 days if indicated by the clinical condition.
PMID- 29790841
TI - Guidelines for the management of paediatric cholera infection: a systematic
review of the evidence.
AB - Background Vibrio cholerae is a highly motile Gram-negative bacterium which is
responsible for 3 million cases of diarrhoeal illness and up to 100,000 deaths
per year, with an increasing burden documented over the past decade. Current WHO
guidelines for the treatment of paediatric cholera infection (tetracycline 12.5
mg/kg four times daily for 3 days) are based on data which are over a decade old.
In an era of increasing antimicrobial resistance, updated review of the
appropriate empirical therapy for cholera infection in children (taking account
of susceptibility patterns, cost and the risk of adverse events) is necessary.
Methods A systematic review of the current published literature on the treatment
of cholera infection in accordance with the Preferred Reporting Items for
Systematic Reviews and Meta-Analyses (PRISMA) was undertaken. International
clinical guidelines and studies pertaining to adverse effects associated with
treatments available for cholera infection were also reviewed. Results The
initial search produced 256 results, of which eight studies met the inclusion
criteria. Quality assessment of the studies was performed as per the Grading of
Recommendations Assessment, Development and Evaluation guidelines. Conclusions In
view of the changing non-susceptibility rates worldwide, empirical therapy for
cholera infection in paediatric patients should be changed to single-dose
azithromycin (20 mg/kg), a safe and effective medication with ease of
administration. Erythromycin (12.5 mg/kg four times daily for 3 days) exhibits
similar bacteriological and clinical success and should be listed as a second
line therapy. Fluid resuscitation remains the cornerstone of management of
paediatric cholera infection, and prevention of infection by promoting access to
clean water and sanitation is paramount.
PMID- 29790843
TI - Empirical antibiotic treatment for children suffering from dysentery, cholera,
pneumonia, sepsis or severe acute malnutrition.
PMID- 29790842
TI - Reviewing the WHO guidelines for antibiotic use for sepsis in neonates and
children.
AB - Background Guidelines from 2005 for treating suspected sepsis in low- and middle
income countries (LMIC) recommended hospitalisation and prophylactic
intramuscular (IM) or intravenous (IV) ampicillin and gentamicin. In 2015,
recommendations when referral to hospital is not possible suggest the
administration of IM gentamicin and oral amoxicillin. In an era of increasing
antimicrobial resistance, an updated review of the appropriate empirical therapy
for treating sepsis (taking into account susceptibility patterns, cost and risk
of adverse events) in neonates and children is necessary. Methods Systematic
literature review and international guidelines were used to identify published
evidence regarding the treatment of (suspected) sepsis. Results Five adequately
designed and powered studies comparing antibiotic treatments in a low-risk
community in neonates and young infants in LMIC were identified. These addressed
potential simplifications of the current WHO treatment of reference, for infants
for whom admission to inpatient care was not possible. Research is lacking
regarding the treatment of suspected sepsis in neonates and children with
hospital-acquired sepsis, despite rising antimicrobial resistance rates
worldwide. Conclusions Current WHO guidelines supporting the use of gentamicin
and penicillin for hospital-based patients or gentamicin (IM) and amoxicillin
(oral) when referral to a hospital is not possible are in accordance with
currently available evidence and other international guidelines, and there is no
strong evidence to change this. The benefit of a cephalosporin alone or in
combination as a second-line therapy in regions with known high rates of non
susceptibility is not well established. Further research into hospital-acquired
sepsis in neonates and children is required.
PMID- 29790844
TI - Antibiotic use for community-acquired pneumonia in neonates and children: WHO
evidence review.
AB - Background Pneumonia is the most common cause of death in children worldwide,
accounting for 15% of all deaths of children under 5 years of age. This review
summarises the evidence for the empirical antibiotic treatment of community
acquired pneumonia in neonates and children and puts emphasis on publications
since the release of the previous WHO Evidence Summary report published in 2014.
Methods A systematic search for systematic reviews and meta-analyses of
antibiotic therapy for community-acquired pneumonia was conducted between 1
January 2013 and 10 November 2016. Results The optimal dosing recommendation for
amoxicillin remains unclear with limited pharmacological and clinical evidence.
There is limited evidence from surveillance to indicate whether amoxicillin or
broader spectrum antibiotics (e.g. third-generation cephalosporins) are being
used most commonly for paediatric CAP in different WHO regions. Data are lacking
on clinical efficacy in the context of pneumococcal, staphylococcal and
mycoplasma disease and the relative contributions of varying first-line and step
down options to the selection of such resistance. Conclusion Further pragmatic
trials are required to optimise management of hospitalised children with severe
and very severe pneumonia.
PMID- 29790847
TI - The development of a short version of TEMPS-A in Hungarian non-clinical samples.
AB - BACKGROUND: The Temperament Evaluation of Memphis, Pisa and San Diego
Autoquestionnaire (TEMPS-A) is a widely used measure of affective temperaments.
Affective temperaments refer to people's prevailing moods and are important
precursors of affective disorders. With the two studies presented in this paper,
we aimed to develop a short version of the Hungarian TEMPS-A. METHODS: A total
number of 1857 university students participated in two studies. The original 110
item version and the newly developed short version of TEMPS-A, the anger,
depression, and anxiety scales of the PROMIS Emotional Distress item bank, the
Altman Self-Rating Mania Scale, the Satisfaction With Life Scale, and the Well
Being Index were administered to participants. RESULTS: Out of the original 110
items, 40 items of TEMPS-A loaded on five factors that represented the five
affective temperaments. Factors of the short version showed moderate to strong
correlations with their original counterparts. All factors had good to excellent
internal reliability. Factors of the newly developed short version of TEMPSA
showed meaningful correlations with measures of emotional distress, mania, and
indices of psychological well-being. CONCLUSIONS: The short version of the
Hungarian TEMPS-A is a promising instrument both in clinical fields and for
academic research. The newly developed short version proved to be a valid and
reliable measure of affective temperaments.
PMID- 29790846
TI - Salipaludibacillus halalkaliphilus sp. nov., a moderately haloalkaliphilic
bacterium from a coastal-marine wetland.
AB - A Gram-stain-positive, endospore-forming rod-shaped non-motile, moderately
halophilic and alkaliphilic bacterium, strain GASy1T, was isolated from a water
sample from Gomishan, a marine wetland in Iran. GASy1T required at least 0.5 %
(w/v) NaCl for growth and was able to grow at NaCl concentrations of up to 15 %
(w/v), with optimum growth occurring at 5 % (w/v) NaCl. The optimum pH and
temperature for growth were pH 8.5-9.0 and 30 degrees C, respectively, while it
was able to grow over a pH range and a temperature range of 7.5-10.0 and 4-40
degrees C, respectively. GASy1T was catalase-positive and oxidase-negative.
Analysis of 16S rRNA gene sequences revealed that GASy1T represents a member of
the genus Salipaludibacillus, family Bacillaceae within the order Bacillales,
showing 97.4 % sequence similarity to Salipaludibacillus neizhouensis JSM
071004T, and 96.2 and 95.7 % sequence similarity to Salipaludibacillus
agaradhaerens AC 13T and Salipaludibacillus aurantiacus S9T, respectively. The
DNA G+C content of GASy1T was 38.8 mol%. The polar lipids of the strain were
phosphatidylglycerol, diphosphatidylglycerol, phosphatidylethanolamine and two
unidentified phospholipids and its major cellular fatty acids were anteiso-C15 :
0, C16 : 0 and iso-C15 : 0. The isoprenoid quinone was MK-7. DNA-DNA
hybridization experiments revealed a low level of relatedness between GASy1T and
Salipaludibacillus neizhouensis IBRC-M 10892T (18 %). On the basis of a
combination of phenotypic, chemotaxonomic and phylogenetic features, GASy1T
represents a novel species of the genus Salipaludibacillus, for which the name
Salipaludibacillus halalkaliphilus sp. nov. is proposed. The type strain of
Salipaludibacillus halalkaliphilus is GASy1T (=IBRC M 10902T=LMG 28385T).
PMID- 29790848
TI - [Assessing suicide risk using the Brief Suicide Questionnaire - preliminary
results].
AB - The authors tested the clinical applicability of a self-developed, 6-item,
clinician-rated questionnaire evaluating suicide risk in suicidal and non
suicidal psychiatric inpatients and healthy controls. Results have shown that the
questionnaires able to detect marked suicide risk in psychiatric inpatients with
a high sensitivity and specificity which indicates its usefulness and good
applicability in clinical practice.
PMID- 29790849
TI - [N-methyl-D-aspartate receptor antibody encephalitis: the Janus-faced disorder].
AB - The recognition of the antibody-mediated encephalitis as a separate entity among
the immune disorders of the central nervous system was one of the greatest
breakthroughs of the last two decades in neurology. Unlike viral or tumor-related
encephalitis, the antibody-mediated form has a good response to immunotherapy,
which gives a special clinical importance to the discovery. Anti-N-methyl-D
aspartate receptor (anti-NMDAR) encephalitis is one of the first fully
characterized antibody-mediated encephalitises. This article attempts to
summarize the clinical features of this complex neuropsychiatric disorder with
the aim to help its early recognition and to report the clinical course and the
outcome of our six seropositive anti-NMDAR cases. The disease appears typically
in young females and often combined with ovarian teratoma. However, the antibody
production could develop without any malignancy. The course of the illness is
usually monophasic, but 10% of the cases are relapsing. The anti-NMDAR
encephalitis is the result of disturbed glutamatergic neurotransmission due to
the internalization of the receptor-antibody complexes. The disease usually
develops after a common viral infection, but recent data proved that anti-NMDAR
encephalitis could also develop after herpes simplex virus-1 encephalitis. The
Janus-faced clinical course of the disease is the obstacle of the early
recognition. Psychiatric symptoms - like delusion, hallucination and agitation -
dominate in the first, cortical phase of the illness, which are indistinguishable
from the signs of primary psychosis. The true nature of the disease only reveals
later, with the appearance of the basal ganglia territory and brainstem sings,
such as perioral hyperkinesia and bradycardia. Further delays the diagnosis that
the leading symptoms of the second phase could be interpreted as the side effects
of the initial treatment. According to expert psychiatrists, the unusual dynamic
of the psychotic symptoms and the lack of response to the neuroleptic drugs could
lead toward the idea of the anti-NMDAR encephalitis. The final diagnosis depends
on the detection of the anti-NMDAR antibody from the cerebrospinal fluid or the
serum, respectively. Haloperidol is the most potent drug to treat the psychotic
symptoms of the cortical phase; however due to its antidopaminergic side effect
atypical neuroleptics are recommended by the experts. The immunological treatment
is the administration of intravenous corticosteroid combined with plasma exchange
or with intravenous IgG infusion. The immunotherapy in most of the cases is
successful, but the recovery is long and it requires strong cooperation between
the psychiatrists, neurologists and intensive care therapists.
PMID- 29790845
TI - Guidelines for the treatment of dysentery (shigellosis): a systematic review of
the evidence.
AB - BACKGROUND: Shigella remains the primary cause of diarrhoea in paediatric
patients worldwide and accounts for up to 40,000 deaths per year. Current
guidelines for the treatment of shigellosis are based on data which are over a
decade old. In an era of increasing antimicrobial resistance, an updated review
of the appropriate empirical therapy for shigellosis in children is necessary,
taking into account susceptibility patterns, cost and the risk of adverse events.
METHODS: A systematic review of the current published literature on the treatment
of shigella dysentery was undertaken in accordance with the Preferred Reporting
Items for Systematic Reviews and Meta-Analyses (PRISMA). RESULTS: The initial
search produced 131 results, of which nine studies met the inclusion criteria.
The quality of the studies was assessed as per the Grading of Recommendations
Assessment, Development and Evaluation (GRADE) guidelines. International
guidelines were also reviewed. There is a lack of current research regarding the
clinical treatment of shigellosis in paediatric and adult patients, despite
rising antimicrobial resistance worldwide. In particular, there is a lack of
studies assessing the non-susceptibility of community-acquired strains, with
almost all published research pertaining to microbiological data from hospital
based settings. DISCUSSION: Current WHO guidelines support the use of
fluoroquinolones (first-line), beta-lactams (second-line) and cephalosporins
(second-line) which accords with currently available evidence and other
international guidelines, and there is no strong evidence for changing this
guidance. Azithromycin is appropriate as a second-line therapy in regions where
the rate of non-susceptibility of ciprofloxacin is known to be high, and research
suggests that, from a cardiac point of view, azithromycin is safer than other
macrolide antibiotics. Cefixime is also a reasonable alternative, although its
use must be weighed against the risk of dissemination of extended-spectrum beta
lactamase-producing organisms.
PMID- 29790850
TI - [The practical considerations of antidepressant use during pregnancy and
breastfeeding].
AB - Main indications of antidepressants (ADs) as major depressive disorder (MDD) and
different kinds of anxiety disorders are quite prevalent during pregnancy and the
postpartum period. Due to the possible hazards of in utero and breast milk
exposition of ADs, both psychiatrists and mothers frequently have concerns about
the use of ADs during the periods of pregnancy and breastfeeding. However, we
should also bear in mind that affective disorders left untreated during these
periods are also associated with health risks for the mother and the baby as
well. Accordingly, the treatment of affective disorders during these periods is
essential. For mild cases of affective disorders the recommended treatment
modality is typically psychotherapy while for the severe cases pharmacotherapy
(including AD treatment) is recommended. Unfortunately, due to the lack of well
designed prospective studies, only sparse information is available on the
efficacy and safety of AD treatment in pregnant and breastfeeding women. In this
review we try to provide some practical advice in terms of the use of ADs during
the periods in question.
PMID- 29790851
TI - RIG-I enhances interferon-alpha response by promoting antiviral protein
expression in patients with chronic hepatitis B.
AB - BACKGROUND: Interferon (IFN)-alpha is widely used for the treatment of chronic
hepatitis B (CHB) infection due to the high rate of hepatitis B surface antigen
(HBsAg) seroconversion. However, IFN-alpha treatment has a number of side
effects. Thus, identification of molecular biomarkers to predict IFN-alpha
therapeutic effect would be useful in the clinic. In this study, we aimed to
investigate the role of retinoic acid-inducible gene-I (RIG-I) in prediction of
IFN-alpha curative effect of CHB patients. METHODS: A total of 65 CHB patients
treated with pegylated IFN-alpha weekly for 48 weeks were enrolled. Real-time PCR
was performed for detection of RIG-I and IFN-stimulated gene (ISG) expression. In
vitro, the HepG2 cells were transfected with siRNA and levels of RIG-I and anti
HBV proteins were detected by western blot. The P-values were calculated in SPSS
18.0. The statistical significance level was accepted as P<0.05. RESULTS: In this
study, we found RIG-I expression in peripheral blood mononuclear cells was higher
in responder than non-responder CHB patients treated with IFN-alpha therapy. In
HBV-transfected HepG2 and Huh7 cells, RIG-I enhanced IFN-alpha response by
promoting anti-HBV protein expression such as double-stranded RNA-dependent
protein kinase (PKR), oligoadenylate synthetase (OAS), adenosine deaminase
(ADAR1) and Mx protein. Knocking down of RIG-I could downregulate the expression
of these proteins. Inhibited RIG-I expression by RIG-I siRNA deceased STAT1
phosphorylation. CONCLUSIONS: Our results revealed RIG-I enhanced IFN-alpha
response by promoting antiviral protein expression via the STAT1 pathway. RIG-I
may be a new predictive factor for prediction of IFN-alpha efficacy in CHB
patients.
PMID- 29790852
TI - The lower symmetry electron-density distribution and the charge transport
anisotropy in cubic dodecaboride LuB12.
AB - High-quality single crystals of LuB12 are grown using the induction zone melting
method. The x-ray data are collected at temperatures 293, 135, 95, 50 K. The
crystal structure of LuB12 can be refined with record low R-factor in the cubic
Fm [Formula: see text] m symmetry group despite reiterated observations of the
cubic symmetry distortions both in the unit-cell values and in the physical
properties. A peculiar computing strategy is developed to resolve this
contradiction. True symmetry of the electron-density distribution in LuB12 is
proved to be much lower than cubic as a result, which correlates very accurately
with anisotropy of transport properties of LuB12.
PMID- 29790853
TI - Wide-band 'black silicon' with atomic layer deposited NbN.
AB - Antireflection surfaces are often utilized in optical components to reduce
undesired reflection and increase absorption. We report on black silicon (b-Si)
with dramatically enhanced absorption over a broad wavelength range (250-2500 nm)
achieved by applying a 10-15 nm conformal coating of NbN with atomic layer
deposition (ALD). The improvement is especially pronounced in the near infrared
(NIR) range of 1100-2500 nm where absorption is increased by >90%. A significant
increase of absorption is also observed over the ultraviolet range of 200-400 nm.
Preceding NbN deposition with a nanostructured ALD Al2O3 (n-Al2O3) coating to
enhance the NbN texture was also examined. Such texturing further improves
absorption in the NIR, especially at longer wavelengths, strong absorption up to
4-5 MUm wavelengths has been attested. For comparison, double side polished
silicon and sapphire coated with 10 nm thick NbN exhibited absorption of only
~55% in the NIR range of 1100-2500 nm. The results suggest a positive correlation
between the surface area of NbN coating and optical absorption. Based on the wide
band absorption, the presented NbN-coated b-Si may be an attractive candidate for
use in e.g. spectroscopic systems, infrared microbolometers.
PMID- 29790854
TI - Superior ionic and electronic properties of ReN2 monolayers for Na-ion battery
electrodes.
AB - Excellent monolayer electrode materials can be used to design high-performance
alkali-metal-ion batteries. Here, we propose two-dimensional ReN2 monolayers as
superior sodium-ion battery materials. Our total energy optimization results in a
buckled tetragonal structure for the ReN2 monolayer, and our phonon spectrum and
elastic moduli prove that it is dynamically and mechanically stable. Further
investigations show that it is metallic and still keeps its metallic feature
after the adsorption of Na or K atoms, and the adsorption of Na (or K) atoms
changes the lattice parameters by 3.2% (or 3.8%) at most. Its maximum capacity
reaches 751 mA h g-1 for Na-ion batteries or 250 mA h g-1 for K-ion batteries,
and the diffusion barrier is only 0.027 eV for the Na atom or 0.127 eV for the K
atom. The small lattice changes, high storage capacity, metallic feature, and
extremely low ion diffusion barriers make the ReN2 monolayers a superior
electrode material for Na-ion rechargeable batteries with ultrafast
charging/discharging processes.
PMID- 29790855
TI - Rhombohedral R3c to orthorhombic Pnma phase transition induced by Y-doping in
BiFeO3.
AB - In this work we study, by means of ab initio calculations, the structural,
electronic and magnetic properties of Y-doped BiFeO3 compounds. We determine that
there is a morphotropic phase boundary at an yttrium concentration of [Formula:
see text], where the structure changes from R3c to Pnma. This structural
transition is driven by the chemical pressure induced by the dopant. By analyzing
the evolution of the oxygen octahedral tilts we find an enhanced
antiferrodistortive distortion when increasing the Y-doping, together with a
reduction of the ferroelectric distorsion, that gives rise to a smaller value of
the electric polarization. These cooperative effects should lead to a larger
canting of the Fe magnetic moments and to a larger ferromagnetic response in the
R3c phase, as it is observed in the experiments.
PMID- 29790856
TI - Surface terminations of hematite (alpha-Fe2O3) exposed to oxygen, hydrogen, or
water: dependence on the density functional theory methodology.
AB - Hematite (alpha-Fe2O3) is the most stable and abundant iron oxide in nature, and
is used in many important environmental and industrial technologies, such as
waste-water treatment, gas sensors, and photoelectrocatalysis. A clear
understanding of the structure, composition, and chemistry of the hematite
surface is crucial for improving its function in these technologies. Here we
employ density functional theory (DFT) together with the DFT+U approach using
semi-local functionals, as well as hybrid functionals, to study the structure,
stability, and electronic properties of the (0 0 0 1) surface exposed to oxygen,
hydrogen, or water. The use of hybrid functionals allow for a description of
strong correlation without the need for atom-specific empirical parameters (i.e.
U). However, we find that PBE+U, and in part also PBE, give similar results as
the hybrid functional HSE(12%) in terms of structure optimization. When it comes
to stability, work function, as well as electronic structure, the results are
sensitive to the choice of functionals, but we cannot judge which level of
functional is most appropriate due to the lack of experimental observations.
PMID- 29790858
TI - Focused electron-beam-induced deposition for fabrication of highly durable and
sensitive metallic AFM-IR probes.
AB - We report on the fabrication of metallic, ultra-sharp atomic force microscope
tips for localized nanoscale infrared (IR) spectrum measurements by using focused
electron-beam-induced deposition of platinum or tungsten. The tip length can be
controlled by changing the duration time of the electron beam. Probes of 12.0 +/-
5.0 nm radius-of-curvature can be routinely produced with high repeatability and
near-100% yield. The near-field-enhancement appears stronger at the extremity of
the metallic tip, compared with commercial pristine silicon-nitride probe tip.
Finally, the performance of the modified metallic tips is demonstrated by imaging
PVDF and PMMA thin films, which shows that spatial resolution is greatly
enhanced. In addition, the signal intensity of the localized nanoscale IR
spectrum is increased offering greater sensitivity for chemical IR imaging.
PMID- 29790859
TI - Neutron track length estimator for GATE Monte Carlo dose calculation in
radiotherapy.
AB - The out-of-field dose in radiation therapy is a growing concern in regards to the
late side-effects and secondary cancer induction. In high-energy x-ray therapy,
the secondary neutrons generated through photonuclear reactions in the
accelerator are part of this secondary dose. The neutron dose is currently not
estimated by the treatment planning system while it appears to be preponderant
for distances greater than 50 cm from the isocenter. Monte Carlo simulation has
become the gold standard for accurately calculating the neutron dose under
specific treatment conditions but the method is also known for having a slow
statistical convergence, which makes it difficult to be used on a clinical basis.
The neutron track length estimator, a neutron variance reduction technique
inspired by the track length estimator method has thus been developped for the
first time in the Monte Carlo code GATE to allow a fast computation of the
neutron dose in radiotherapy. The details of its implementation, as well as the
comparison of its performances against the analog MC method, are presented here.
A gain of time from 15 to 400 can be obtained by our method, with a mean
difference in the dose calculation of about 1% in comparison with the analog MC
method.
PMID- 29790860
TI - Long-lived photoluminescence polarization of localized excitons in liquid
exfoliated monolayer enriched WS2.
AB - Monolayer transition metal dichalcogenides (TMDs) constitute a family of
materials, in which coupled spin-valley physics can be explored and which could
find applications in novel optoelectronic devices. However, before applications
can be designed, a scalable method of monolayer extraction is required. Liquid
phase exfoliation is a technique providing large quantities of the monolayer
material, but the spin-valley properties of thus obtained TMDs are unknown. In
this work, we employ steady-state and time-resolved photoluminescence (PL) to
investigate the relaxation dynamics of localized excitons (LXs) in liquid
exfoliated WS2. The results reveal that the circular polarization lifetime of the
PL exceeds by at least an order of magnitude the PL lifetime. A rate equations
model allows us to reproduce quantitatively the experimental data and to conclude
that the observed large and long-lived PL polarization originates from efficient
trapping of free excitons at localization sites hindering the intervalley
relaxation. Furthermore, our results show that the depolarization process is
inefficient for LXs. We discuss various mechanisms leading to this effect such as
suppression of intervalley scattering of the LXs or inefficient spin relaxation
of the holes.
PMID- 29790857
TI - Attenuation correction for brain PET imaging using deep neural network based on
Dixon and ZTE MR images.
AB - Positron emission tomography (PET) is a functional imaging modality widely used
in neuroscience studies. To obtain meaningful quantitative results from PET
images, attenuation correction is necessary during image reconstruction. For
PET/MR hybrid systems, PET attenuation is challenging as magnetic resonance (MR)
images do not reflect attenuation coefficients directly. To address this issue,
we present deep neural network methods to derive the continuous attenuation
coefficients for brain PET imaging from MR images. With only Dixon MR images as
the network input, the existing U-net structure was adopted and analysis using
forty patient data sets shows it is superior to other Dixon-based methods. When
both Dixon and zero echo time (ZTE) images are available, we have proposed a
modified U-net structure, named GroupU-net, to efficiently make use of both Dixon
and ZTE information through group convolution modules when the network goes
deeper. Quantitative analysis based on fourteen real patient data sets
demonstrates that both network approaches can perform better than the standard
methods, and the proposed network structure can further reduce the PET
quantification error compared to the U-net structure.
PMID- 29790861
TI - Assessing MR-linac radiotherapy robustness for anatomical changes in head and
neck cancer.
AB - The MR-Linac will provide excellent soft tissue contrast for on-treatment
imaging. It is well known that the electron return effect (ERE) results in areas
of increased and decreased dose at air/tissue boundaries, which can be
compensated for in plan optimisation. However, anatomical changes may affect the
quality of this compensation. In this paper we aim to quantify the interaction of
anatomical changes with ERE in head and neck (H&N) cancer patients. Twenty
patients treated with either 66 Gy or 60 Gy in 30 fractions were selected. Ten
had significant weight-loss during treatment requiring repeat CT (rCT) and ten
had PTVs close to the sinus cavity. Plans were optimised using Monaco to meet the
departmental dose constraints and copied to the rCT and re-calculated. For the
sinus patients, we optimised plans with full and empty sinus at both 0 T and 1.5
T. The effect of the opposite filling state was next evaluated. No clinically
relevant difference between the doses in the PTV and OARs were observed related
to weight-loss in 0 T or 1.5 T fields. Variable sinus filling caused greater
dosimetric differences near the walls of the sinus for plans optimised with a
full cavity in 1.5 T, indicating that optimising with an empty sinus makes the
plan more robust to changes in filling. These findings indicate that current off
line strategies for adaptive planning for H&N patients are also valid on an MR
linac, if care is taken with sinus filling.
PMID- 29790862
TI - A type-I van der Waals heterobilayer of WSe2/MoTe2.
AB - We present a joint theoretical/experimental study of a van der Waals
heterobilayer with type-I band alignment formed by monolayers of WSe2 and MoTe2.
Our first-principles computation suggests that both the valence band maximum and
the conduction band minimum of the WSe2/MoTe2 heterobilayer reside in the MoTe2
layer. The type-I band alignment allows efficient transfer of excitons from WSe2
to MoTe2. Since monolayer MoTe2 is a direct semiconductor with a bandgap in the
infrared range, this heterobilayer is attractive for infrared light emission
applications. Time-resolved measurements of photocarrier dynamics were conducted
to provide experimental evidence of the type-I nature of this heterobilayer. In
these measurements, we found that excitation energy can transfer from WSe2 to
MoTe2 efficiently, but not along the opposite direction. The efficient energy
transfer can serve as an optical gain or wavelength conversion mechanism for
efficient emission from MoTe2, which can be utilized in ultrathin and efficient
infrared light sources.
PMID- 29790863
TI - Fabrication of ion bombardment induced rippled TiO2 surfaces to influence
subsequent organic thin film growth.
AB - Control over organic thin film growth is a central issue in the development of
organic electronics. The anisotropy and extended size of the molecular building
blocks introduce a high degree of complexity within the formation of thin films.
This complexity can be even increased for substrates with induced, sophisticated
morphology and anisotropy. Thus, targeted structuring like ion beam mediated
modification of substrates in order to create ripples, pyramids, or pit
structures provides a further degree of freedom in manipulating the growth
morphology of organic thin films. We provide a comprehensive review of recent
work on para-hexaphenyl (C36H26, 6P) as a typical representative of the class of
small, rod-like conjugated molecules and rutile TiO2(1 1 0) as an example for a
transparent oxide electrode to demonstrate the effect of ion beam induced
nanostructuring on organic thin film growth. Starting from molecular growth on
smooth, atomically flat TiO2(1 1 0) (1 * 1) surfaces, we investigate the
influence of the ripple size on the resulting 6P thin films. The achieved 6P
morphologies are either crystalline nano-needles composed of flat lying molecules
or islands consisting of upright standing 6P, which are elongated in ripple
direction. The islands' length-to-width ratio can be controlled by tuning the
ripples' shape.
PMID- 29790864
TI - Implementing Comprehensive Primary Care Referral Tracking in a Patient-Centered
Medical Home.
AB - The Patient-Centered Medical Home care model emphasizes efficient, coordinated
care distributed among interdisciplinary team members. One key function to care
coordination is referral/test tracking. This study evaluated the referral
practices in a nurse-managed Patient-Centered Medical Home primary care clinic.
The major findings corroborate the need for a well-organized referral-tracking
system that is centralized and contains safety nets to reduce the number of
delayed or missed referrals.
PMID- 29790865
TI - Effect of Joint Camp on Patient Outcomes Following Total Joint Replacement.
AB - Two major cost concerns related to joint replacement surgery are patient length
of stay (LOS) and 30-day hospital readmission rates. A quality improvement
project was implemented to evaluate the impact of a joint replacement program on
patient readmissions and hospital LOS. A total of 1425 patients older than 50
years participated. At the end of the project period, readmission rates decreased
from 6.19% to 2.8% and average LOS decreased from 5.87 days to 2.7 days.
PMID- 29790866
TI - Audit and Feedback: A Quality Improvement Study to Increase Pneumococcal
Vaccination Rates.
AB - The purpose of this quality improvement study was to explore the impact of audit
and feedback on the pneumococcal immunization rate for at-risk adults in
ambulatory settings. Study findings support the hypothesis that timely,
individualized audit and feedback can have a positive impact on immunization
rate; generalized feedback that did not provide actionable information did not
have the same impact. The difference between the interventions was significant,
chi (1, N = 1993) = 124.7, P <.001.
PMID- 29790867
TI - Fluorine-18-fluorocholine PET/CT parameters predictive for hematological toxicity
to radium-223 therapy in castrate-resistant prostate cancer patients with bone
metastases: a pilot study.
AB - PURPOSE: This study aims to predict hematological toxicity induced by Ra therapy.
We investigated the value of metabolically active bone tumor volume (MBTV) and
total bone lesion activity (TLA) calculated on pretreatment fluorine-18
fluorocholine (F-FCH) PET/CT in castrate-resistant prostate cancer (CRPC)
patients with bone metastases treated with Ra radionuclide therapy. PATIENTS AND
METHODS: F-FCH PET/CT imaging was performed in 15 patients with CRPC before
treatment with Ra. Bone metastatic disease was quantified on the basis of the
maximum standardized uptake value (SUV), total lesion activity
(TLA=MBTV*SUVmean), or MBTV/height (MBTV/H) and TLA/H. F-FCH PET/CT bone tumor
burden and activity were analyzed to identify which parameters could predict
hematological toxicity [on hemoglobin (Hb), platelets (PLTs), and lymphocytes]
while on Ra therapy. Pearson's correlation was used to identify the correlations
between age, prostate-specific antigen, and F-FCH PET parameters. RESULTS: MBTV
ranged from 75 to 1259 cm (median: 392 cm). TLA ranged from 342 to 7198 cm
(median: 1853 cm). Patients benefited from two to six cycles of Ra (n=56 cycles
in total). At the end of Ra therapy, five of the 15 (33%) patients presented
grade 2/3 toxicity on Hb and lymphocytes, whereas three of the 15 (20%) patients
presented grade 2/3 PLT toxicity.Age was correlated negatively with both MBTV (r=
0.612, P=0.015) and TLA (r=-0.596, P=0.018). TLA, TLA/H, and MBTV/H predicted
hematological toxicity on Hb, whereas TLA/H and MBTV/H predicted toxicity on PLTs
at the end of Ra cycles. Receiver operating characteristic curve analysis allowed
to define the cutoffs for MBTV (915 cm) and TLA (4198 cm) predictive for PLT
toxicity, with an accuracy of 0.92 and 0.99. CONCLUSION: Tumor bone burden
calculation is feasible with F-FCH PET/CT with freely available open-source
software. In this pilot study, baseline F-FCH PET/CT markers (TLA, MBTV) have
shown abilities to predict Hb and PLT toxicity after Ra therapy and could be
explored for patient selection and treatment optimization.
PMID- 29790869
TI - The effect of caffeine on the ventilatory response to hypercarbia in preterm
infants.
AB - BackgroundWe tested the hypotheses that caffeine therapy would increase the
ventilatory response to hypercarbia in infants above the effect of maturation and
those with a weaker ventilatory response to hypercarbia would be more likely to
subsequently develop apnea that required treatment.MethodsInfants born at less
than 34 weeks of gestation underwent a steady-state hypercarbic challenge using
0, 2, and 4% carbon dioxide soon after birth that was repeated at weekly
intervals. The results of the initial study were compared between infants who did
or did not subsequently develop apnea requiring treatment with
caffeine.ResultsTwenty-six infants born at a median gestation of 32 (range 31-33)
weeks were assessed. Caffeine administration was associated with an increase in
CO2 sensitivity, and the mean increase was 15.3 (95% CI: 1-30) ml/kg/min/% CO2.
Fourteen infants subsequently developed apnea treated with caffeine. After
controlling for gestational age and birth weight, they had significantly lower
carbon dioxide sensitivity at their initial study compared with those who did not
require treatment.ConclusionCaffeine administration was associated with an
increase in the ventilatory response to hypercarbia. An initial weaker
ventilatory response to hypercarbia was associated with the subsequent
development of apnea requiring treatment with caffeine.
PMID- 29790868
TI - Altered prefrontal correlates of monetary anticipation and outcome in chronic
pain.
AB - Chronic pain may alter both affect- and value-related behaviors, which represents
a potentially treatable aspect of chronic pain experience. Current understanding
of how chronic pain influences the function of brain reward systems, however, is
limited. Using a monetary incentive delay task and functional magnetic resonance
imaging (fMRI), we measured neural correlates of reward anticipation and outcomes
in female participants with the chronic pain condition of fibromyalgia (N = 17)
and age-matched, pain-free, female controls (N = 15). We hypothesized that
patients would demonstrate lower positive arousal, as well as altered reward
anticipation and outcome activity within corticostriatal circuits implicated in
reward processing. Patients demonstrated lower arousal ratings as compared with
controls, but no group differences were observed for valence, positive arousal,
or negative arousal ratings. Group fMRI analyses were conducted to determine
predetermined region of interest, nucleus accumbens (NAcc) and medial prefrontal
cortex (mPFC), responses to potential gains, potential losses, reward outcomes,
and punishment outcomes. Compared with controls, patients demonstrated similar,
although slightly reduced, NAcc activity during gain anticipation. Conversely,
patients demonstrated dramatically reduced mPFC activity during gain anticipation
possibly related to lower estimated reward probabilities. Further, patients
demonstrated normal mPFC activity to reward outcomes, but dramatically heightened
mPFC activity to no-loss (nonpunishment) outcomes. In parallel to NAcc and mPFC
responses, patients demonstrated slightly reduced activity during reward
anticipation in other brain regions, which included the ventral tegmental area,
anterior cingulate cortex, and anterior insular cortex. Together, these results
implicate altered corticostriatal processing of monetary rewards in chronic pain.
PMID- 29790871
TI - Phenotypic expansion illuminates multilocus pathogenic variation.
AB - PurposeMultilocus variation-pathogenic variants in two or more disease genes-can
potentially explain the underlying genetic basis for apparent phenotypic
expansion in cases for which the observed clinical features extend beyond those
reported in association with a "known" disease gene.MethodsAnalyses focused on
106 patients, 19 for whom apparent phenotypic expansion was previously attributed
to variation at known disease genes. We performed a retrospective computational
reanalysis of whole-exome sequencing data using stringent Variant Call File
filtering criteria to determine whether molecular diagnoses involving additional
disease loci might explain the observed expanded phenotypes.ResultsMultilocus
variation was identified in 31.6% (6/19) of families with phenotypic expansion
and 2.3% (2/87) without phenotypic expansion. Intrafamilial clinical variability
within two families was explained by multilocus variation identified in the more
severely affected sibling.ConclusionOur findings underscore the role of multiple
rare variants at different loci in the etiology of genetically and clinically
heterogeneous cohorts. Intrafamilial phenotypic and genotypic variability allowed
a dissection of genotype-phenotype relationships in two families. Our data
emphasize the critical role of the clinician in diagnostic genomic analyses and
demonstrate that apparent phenotypic expansion may represent blended phenotypes
resulting from pathogenic variation at more than one locus.Genetics in Medicine
advance online publication, 26 April 2018; doi:10.1038/gim.2018.33.
PMID- 29790870
TI - Genetic disorders and mortality in infancy and early childhood: delayed diagnoses
and missed opportunities.
AB - PURPOSE: Infants admitted to a level IV neonatal intensive care unit (NICU) who
do not survive early childhood are a population that is probably enriched for
rare genetic disease; we therefore characterized their genetic diagnostic
evaluation. METHODS: This is a retrospective analysis of infants admitted to our
NICU between 1 January 2011 and 31 December 2015 who were deceased at the time of
records review, with age at death less than 5 years. RESULTS: A total of 2,670
infants were admitted; 170 later died. One hundred six of 170 (62%) had an
evaluation for a genetic or metabolic disorder. Forty-seven of 170 (28%) had
laboratory-confirmed genetic diagnoses, although 14/47 (30%) diagnoses were made
postmortem. Infants evaluated for a genetic disorder spent more time in the NICU
(median 13.5 vs. 5.0 days; p = 0.003), were older at death (median 92.0 vs. 17.5
days; p < 0.001), and had similarly high rates of redirection of care (86% vs.
79%; p = 0.28). CONCLUSION: Genetic disorders were suspected in many infants but
found in a minority. Approximately one-third of diagnosed infants died before a
laboratory-confirmed genetic diagnosis was made. This highlights the need to
improve genetic diagnostic evaluation in the NICU, particularly to support end-of
life decision making.
PMID- 29790872
TI - Genomic sequencing identifies secondary findings in a cohort of parent study
participants.
AB - PurposeClinically relevant secondary variants were identified in parents enrolled
with a child with developmental delay and intellectual
disability.MethodsExome/genome sequencing and analysis of 789 "unaffected"
parents was performed.ResultsPathogenic/likely pathogenic variants were
identified in 21 genes within 25 individuals (3.2%), with 11 (1.4%) participants
harboring variation in a gene defined as clinically actionable by the American
College of Medical Genetics and Genomics. These 25 individuals self-reported
either relevant clinical diagnoses (5); relevant family history or symptoms (13);
or no relevant family history, symptoms, or clinical diagnoses (7). A limited
carrier screen was performed yielding 15 variants in 48 (6.1%) parents. Parents
were also analyzed as mate pairs (n = 365) to identify cases in which both
parents were carriers for the same recessive disease, yielding three such cases
(0.8%), two of which had children with the relevant recessive disease. Four
participants had two findings (one carrier and one noncarrier variant). In total,
71 of the 789 enrolled parents (9.0%) received secondary findings.ConclusionWe
provide an overview of the rates and types of clinically relevant secondary
findings, which may be useful in the design and implementation of research and
clinical sequencing efforts to identify such findings.Genetics in Medicine
advance online publication, 12 April 2018; doi:10.1038/gim.2018.53.
PMID- 29790873
TI - Diversity of genetic events associated with MLH1 promoter methylation in Lynch
syndrome families with heritable constitutional epimutation.
AB - PurposeConstitutional epimutations are an alternative to genetic mutations in the
etiology of genetic diseases. Some of these epimutations, termed secondary,
correspond to the epigenetic effects of cis-acting genetic defects transmitted to
the offspring following a Mendelian inheritance pattern. In Lynch syndrome, a few
families with such apparently heritable MLH1 epimutations have been reported so
far.MethodsWe designed a long-range polymerase chain reaction next-generation
sequencing strategy to screen MLH1 entire gene and applied it to 4 French
families with heritable epimutations and 10 additional patients with no proven
transmission of their epimutations.ResultsThis strategy successfully detected the
insertion of an Alu element in MLH1 coding sequence in one family. Two previously
unreported MLH1 variants were also identified in other epimutation carriers: a
nucleotide substitution within intron 1 and a single-nucleotide deletion in the
5'-UTR. Detection of a partial MLH1 duplication in another family required
multiplex ligation-dependent probe amplification technology. We demonstrated the
segregation of these variants with MLH1 methylation and studied the functional
consequences of these defects on transcription.ConclusionThis is the largest
cohort of patients with MLH1 secondary epimutations associated with a broad
spectrum of genetic defects. This study provides further insight into the
complexity of molecular mechanisms leading to secondary epimutations.GENETICS in
MEDICINE advance online publication, 12 April 2018; doi:10.1038/gim.2018.47.
PMID- 29790874
TI - Point-of-care whole-exome sequencing of idiopathic male infertility.
AB - PURPOSE: Nonobstructive azoospermia (NOA) affects 1% of the male population;
however, despite state-of-the-art clinical assessment, for most patients the
cause is unknown. We capitalized on an analysis of multiplex families in the
Middle East to identify highly penetrant genetic causes. METHODS: We used whole
exome sequencing (WES) in 8 consanguineous families and combined newly discovered
genes with previously reported ones to create a NOA gene panel, which was used to
identify additional variants in 75 unrelated idiopathic NOA subjects and 74
fertile controls. RESULTS: In five of eight families, we identified rare
deleterious recessive variants in CCDC155, NANOS2, SPO11, TEX14, and WNK3
segregating with disease. These genes, which are novel to human NOA, have
remarkable testis-specific expression, and murine functional evidence supports
roles for them in spermatogenesis. Among 75 unrelated NOA subjects, we identified
4 (~5.3%) with additional recessive variants in these newly discovered genes and
6 with deleterious variants in previously reported NOA genes, yielding an overall
genetic etiology for 13.3% subjects versus 0 fertile controls (p = 0.001).
CONCLUSION: NOA affects millions of men, many of whom remain idiopathic despite
extensive laboratory evaluation. The genetic etiology for a substantial fraction
of these patients (>50% familial and >10% sporadic) may be discovered by WES at
the point of care.
PMID- 29790875
TI - Visible light induced electropolymerization of suspended hydrogel bioscaffolds in
a microfluidic chip.
AB - The development of microengineered hydrogels co-cultured with cells in vitro
could advance in vivo bio-systems in both structural complexity and functional
hierarchy, which holds great promise for applications in regenerative tissues or
organs, drug discovery and screening, and bio-sensors or bio-actuators.
Traditional hydrogel microfabrication technologies such as ultraviolet (UV) laser
or multiphoton laser stereolithography and three-dimensional (3D) printing
systems have advanced the development of 3D hydrogel micro-structures but need
either expensive and complex equipment, or harsh material selection with limited
photoinitiators. Herein, we propose a simple and flexible hydrogel
microfabrication method based on a ubiquitous visible-light projection system
combined with a custom-designed photosensitive microfluidic chip, to rapidly
(typically several to tens of seconds) fabricate various two-dimensional (2D)
hydrogel patterns and 3D hydrogel constructs. A theoretical layer-by-layer model
that involves continuous polymerizing-delaminating-polymerizing cycles is
presented to explain the polymerization and structural formation mechanism of
hydrogels. A large area of hydrogel patterns was efficiently fabricated without
the usage of costly laser systems or photoinitiators, i.e., a stereoscopic mesh
like hydrogel network with intersecting hydrogel micro-belts was fabricated via a
series of dynamic-changing digital light projections. The pores and gaps of the
hydrogel network are tunable, which facilitates the supply of nutrients and
discharge of waste in the construction of 3D thick bio-models. Cell co-culture
experiments showed the effective regulation of cell spreading by hydrogel
scaffolds fabricated by the new method presented here. This visible light enabled
hydrogel microfabrication method may provide new prospects for designing cell
based units for advanced biomedical studies, e.g., for 3D bio-models or bio
actuators in the future.
PMID- 29790876
TI - Novel chemiluminescent immunochromatographic assay using a dual-readout signal
probe for multiplexed detection of pesticide residues.
AB - A novel immunochromatographic assay (ICA) using a dual-readout signal probe was
developed for multiplexed detection of pesticide residues by adopting methyl
parathion and fenpropathrin as model analytes. Luminol-reduced Au nanoparticles
(LRAuNPs) were synthesized and utilized in the proposed ICA platform as a
colorimetric/chemiluminescent (CL) dual-readout probe. The methyl parathion
antibody and fenpropathrin antibody were tagged with the prepared LRAuNPs to
conduct spatially-resolved multiplexed detection. After the occurrence of two
immunoreactions on the test strip, the probes were captured by the immobilized
antigens on the two test zones. The red color resulting from the accumulation of
captured LRAuNPs was adopted as the visual and semi-quantitative readout. For the
sensitive quantitative detection of the analytes, the CL signals caused by the
luminophore in the LRAuNPs were collected after triggering the luminol-H2O2 CL
reaction. Under the optimal conditions, the detection limits for methyl parathion
and fenpropathrin were 0.17 ng mL-1 and 0.10 ng mL-1 (S/N = 3), respectively. The
whole procedure for ICA was completed within 15 min. The present ICA protocol was
successfully applied for detection of pesticide residues in spiked traditional
Chinese medicine samples. This dual-readout ICA platform showed merits such as
low cost, time efficiency, easy operation and high sensitivity. Its application
potential has been demonstrated in the rapid screening and field detection of
multiple pesticide residues.
PMID- 29790877
TI - Two-dimensional porous cuprous oxide nanoplatelets derived from metal-organic
frameworks (MOFs) for efficient photocatalytic dye degradation under visible
light.
AB - Bottom-up synthesis is a promising method to design and control the morphology of
metal-organic frameworks (MOFs). Here, square shaped two-dimensional (2D) MOF
nanoplatelets with a thickness of ~80 nm and a lateral dimension of 4-6 MUm were
successfully synthesized through a facile solvothermal treatment of Cu(NO3)2 and
4,4'-bipyridine in the presence of polyvinyl pyrrolidone (PVP). The growth of a
cross-weaved structure assembled via 1D chains linked with 4,4'-bipyridine along
the layer stacking direction was hindered by PVP, resulting in a high-aspect
ratio of the nanoplatelets. Subsequent annealing treatment converted the Cu-based
MOFs into porous N-doped Cu2O/carbon composites, retaining the 2D square
morphology. This annealed product showed a higher performance in the degradation
of methyl orange under visible light compared to previously reported Cu2O
composites. By using a small amount of the catalyst, the degradation rate could
reach up to 2.5 mg min-1 gcat-1 as a result of the efficient absorption of
visible light and high surface area of the porous catalysts.
PMID- 29790879
TI - Exploring matrix effects and quantifying organic additives in hydraulic
fracturing associated fluids using liquid chromatography electrospray ionization
mass spectrometry.
AB - Hydraulic fracturing (HF) operations utilize millions of gallons of water amended
with chemical additives including biocides, corrosion inhibitors, and
surfactants. Fluids injected into the subsurface return to the surface as
wastewaters, which contain a complex mixture of additives, transformation
products, and geogenic chemical constituents. Quantitative analytical methods are
needed to evaluate wastewater disposal alternatives or to conduct adequate
exposure assessments. However, our narrow understanding of how matrix effects
change the ionization efficiency of target analytes limits the quantitative
analysis of polar to semi-polar HF additives by means of liquid chromatography
electrospray ionization mass spectrometry (LC-ESI-MS). To address this
limitation, we explored the ways in which matrix chemistry influences the
ionization of seventeen priority HF additives with a modified standard addition
approach. We then used the data to quantify HF additives in HF-associated fluids.
Our results demonstrate that HF additives generally exhibit suppressed ionization
in HF-associated fluids, though HF additives that predominantly form sodiated
adducts exhibit significantly enhanced ionization in produced water samples,
which is largely the result of adduct shifting. In a preliminary screening, we
identified glutaraldehyde and 2-butoxyethanol along with homologues of
benzalkonium chloride (ADBAC), polyethylene glycol (PEG), and polypropylene
glycol (PPG) in HF-associated fluids. We then used matrix recovery factors to
provide the first quantitative measurements of individual homologues of ADBAC,
PEG, and PPG in HF-associated fluids ranging from mg L-1 levels in hydraulic
fracturing fluid to low MUg L-1 levels in PW samples. Our approach is
generalizable across sample types and shale formations and yields important data
to evaluate wastewater disposal alternatives or implement exposure assessments.
PMID- 29790889
TI - Strong-coupling theory of counterions between symmetrically charged walls: from
crystal to fluid phases.
AB - We study thermal equilibrium of classical pointlike counterions confined between
symmetrically charged walls at distance d. At very large couplings when the
counterion system is in its crystal phase, a harmonic expansion of particle
deviations is made around the bilayer positions, with a free lattice parameter
determined from a variational approach. For each of the two walls, the harmonic
expansion implies an effective one-body potential at the root of all observables
of interest in our Wigner strong-coupling expansion. Analytical results for the
particle density profile and the pressure are in good agreement with numerical
Monte Carlo data, for small as well as intermediate values of d comparable with
the Wigner lattice spacing. While the strong-coupling theory is extended to the
fluid regime by using the concept of a correlation hole, the Wigner calculations
appear trustworthy for all electrostatic couplings investigated. Our results
significantly extend the range of accuracy of analytical equations of state for
strongly interacting charged planar interfaces.
PMID- 29790897
TI - Six cycles of R-CHOP-21 are not inferior to eight cycles for treatment of diffuse
large B-cell lymphoma: a Nordic Lymphoma Group Population-based Study.
PMID- 29790899
TI - Advances in the systemic treatment of melanoma brain metastases.
AB - Of the solid tumor types that metastasize to the brain, melanoma has the highest
propensity to form brain metastases. In addition, much remains unknown regarding
the pathophysiology involved in melanoma cell extravasation through the blood
brain barrier, which enables interactions with the microenvironment, and melanoma
cell transcriptomic responses to brain-specific cues. However, recent
developments in targeted therapy and immunotherapy have generated considerable
optimism regarding the treatment of metastatic melanoma. Although robust efficacy
data exist on systemic therapy treatment of extracranial melanoma, data in the
setting of melanoma brain metastases (MBM) are limited, primarily because
patients with MBM are typically excluded from clinical trials. However, several
clinical trials focusing on patients with MBM are now complete, and more are
underway. Clinical evaluation of serine/threonine-protein kinase B-Raf inhibition
in combination with MEK inhibition for MBM produced intracranial response rates
of close to 60%, suggesting that inhibition of the mitogen-activated protein
kinase pathway has the potential to further improve MBM outcomes. For
immunotherapy, there is now increasing evidence that checkpoint inhibitors may
also be effective in MBM with a high rate of durable intracranial responses
observed with combination therapy. Furthermore, radiotherapy-particularly MBM
treatment with mainstay stereotactic radiosurgery-appears to be safe and
effective when combined with systemic therapy. Finally, evolving magnetic
resonance imaging capabilities have inspired new approaches to the measurement of
tumor burden and treatment responses. This review evaluates current published
evidence describing MBM as a multifaceted disease and presents an overview of
currently available and investigational treatments for patients with MBM.
PMID- 29790900
TI - GSCALite: a web server for gene set cancer analysis.
AB - Summary: The availability of cancer genomic data makes it possible to analyze
genes related to cancer. Cancer is usually the result of a set of genes and the
signal of a single gene could be covered by background noise. Here, we present a
web server named Gene Set Cancer Analysis (GSCALite) to analyze a set of genes in
cancers with the following functional modules. (i) Differential expression in
tumor versus normal, and the survival analysis; (ii) Genomic variations and their
survival analysis; (iii) Gene expression associated cancer pathway activity; (iv)
miRNA regulatory network for genes; (v) Drug sensitivity for genes; (vi) Normal
tissue expression and eQTL for genes. GSCALite is a user-friendly web server for
dynamic analysis and visualization of gene set in cancer and drug sensitivity
correlation, which will be of broad utilities to cancer researchers. Availability
and implementation: GSCALite is available on
http://bioinfo.life.hust.edu.cn/web/GSCALite/. Supplementary information:
Supplementary data are available at Bioinformatics online.
PMID- 29790898
TI - EZH2 induces the expression of miR-1301 as a negative feedback control mechanism
in triple negative breast cancer.
AB - Breast cancer is one of the most common malignancies in women. ERalpha, PR, and
HER2 triple negative breast cancer (TNBC) is the current research focus because
of the lack of effective targeted therapies. In our study, lentivirus systems
were used to overexpress EZH2 and miR-1301 in TNBC cell lines. Western blot
analysis and RT-qPCR were used to detect the protein and microRNA levels. The
TCGA and Kaplan Meier plotter databases were used to analyze the EZH2 and miR
1301 expression levels in breast cancer. The effect of miR-1301 overexpression on
cell proliferation, migration and colony formation were determined by using the
sulforhodamine B (SRB) assay, wound healing assay and colony formation assay,
respectively. Furthermore, an xenograft mouse model was used to investigate the
function of miR-1301 overexpression in vivo. Finally, dual luciferase reporter
assay was used to verify the binding site of EZH2 and miR-1301. We found that
EZH2 induced the expression of miR-1301 in two TNBC cell lines, HCC1937 and
HCC1806. Overexpression of miR-1301 suppressed TNBC cell proliferation, migration
and colony formation, as well as the xenograft tumor growth in immunodeficient
mice. Interestingly, miR-1301 inhibited the expression of EZH2 by binding to the
3'-UTR of EZH2 gene. These data suggest that EZH2 induces the expression of miR
1301 as a negative feedback control mechanism in TNBC.
PMID- 29790901
TI - PARPi related toxicities: do we need more appropriate instruments to evaluate it?
PMID- 29790902
TI - Combining probabilistic alignments with read pair information improves accuracy
of split-alignments.
AB - Motivation: Split-alignments provide base-pair-resolution evidence of genomic
rearrangements. In practice, they are found by first computing high-scoring local
alignments, parts of which are then combined into a split-alignment. This
approach is challenging when aligning a short read to a large and repetitive
reference, as it tends to produce many spurious local alignments leading to
ambiguities in identifying the correct split-alignment. This problem is further
exacerbated by the fact that rearrangements tend to occur in repeat-rich regions.
Results: We propose a split-alignment technique that combats the issue of
ambiguous alignments by combining information from probabilistic alignment with
positional information from paired-end reads. We demonstrate that our method
finds accurate split-alignments, and that this translates into improved
performance of variant-calling tools that rely on split-alignments. Availability
and implementation: An open-source implementation is freely available at:
https://bitbucket.org/splitpairedend/last-split-pe. Supplementary information:
Supplementary data are available at Bioinformatics online.
PMID- 29790903
TI - New formulation, new drug? The importance of assessing the safety of new
supportive care formulations in oncology.
PMID- 29790904
TI - Exploring drug space with ChemMaps.com.
AB - Motivation: Easily navigating chemical space has become more important due to the
increasing size and diversity of publicly-accessible databases such as DrugBank,
ChEMBL or Tox21. To do so, modelers typically rely on complex projection
techniques using molecular descriptors computed for all the chemicals to be
visualized. However, the multiple cheminformatics steps required to prepare,
characterize, compute and explore those molecules, are technical, typically
necessitate scripting skills, and thus represent a real obstacle for non
specialists. Results: We developed the ChemMaps.com webserver to easily browse,
navigate and mine chemical space. The first version of ChemMaps.com features more
than 8000 approved, in development, and rejected drugs, as well as over 47 000
environmental chemicals. Availability and implementation: The webserver is freely
available at http://www.chemmaps.com.
PMID- 29790905
TI - The hard road to patient-centered care: 3 or 6 months of adjuvant chemotherapy
for patients with stage III colon cancer?
PMID- 29790906
TI - bcSeq: an R package for fast sequence mapping in high-throughput shRNA and CRISPR
screens.
AB - Summary: CRISPR-Cas9 and shRNA high-throughput sequencing screens have abundant
applications for basic and translational research. Methods and tools for the
analysis of these screens must properly account for sequencing error, resolve
ambiguous mappings among similar sequences in the barcode library in a
statistically principled manner, and be computationally efficient. Herein we
present bcSeq, an open source R package that implements a fast and parallelized
algorithm for mapping high-throughput sequencing reads to a barcode library while
tolerating sequencing error. The algorithm uses a Trie data structure for speed
and resolves ambiguous mappings by using a statistical sequencing error model
based on Phred scores for each read. Availability and implementation: The package
source code and an accompanying tutorial are available at
http://bioconductor.org/packages/bcSeq/. Supplementary information: Supplementary
data are available at Bioinformatics online.
PMID- 29790907
TI - Application of network smoothing to glycan LC-MS profiling.
AB - Motivation: Glycosylation is one of the most heterogeneous and complex protein
post-translational modifications. Liquid chromatography coupled mass spectrometry
(LC-MS) is a common high throughput method for analyzing complex biological
samples. Accurate study of glycans require high resolution mass spectrometry.
Mass spectrometry data contains intricate sub-structures that encode mass and
abundance, requiring several transformations before it can be used to identify
biological molecules, requiring automated tools to analyze samples in a high
throughput setting. Existing tools for interpreting the resulting data do not
take into account related glycans when evaluating individual observations,
limiting their sensitivity. Results: We developed an algorithm for assigning
glycan compositions from LC-MS data by exploring biosynthetic network
relationships among glycans. Our algorithm optimizes a set of likelihood scoring
functions based on glycan chemical properties but uses network Laplacian
regularization and optionally prior information about expected glycan families to
smooth the likelihood and thus achieve a consistent and more representative
solution. Our method was able to identify as many, or more glycan compositions
compared to previous approaches, and demonstrated greater sensitivity with
regularization. Our network definition was tailored to N-glycans but the method
may be applied to glycomics data from other glycan families like O-glycans or
heparan sulfate where the relationships between compositions can be expressed as
a graph. Availability and implementation Built Executable:
http://www.bumc.bu.edu/msr/glycresoft/ and Source Code:
https://github.com/BostonUniversityCBMS/glycresoft. Supplementary information:
Supplementary data are available at Bioinformatics online.
PMID- 29790908
TI - Grass-legume mixtures sustain strong yield advantage over monocultures under cool
maritime growing conditions over a period of 5 years.
AB - Background and Aims: Grassland-based livestock systems in cool maritime regions
are commonly dominated by grass monocultures receiving relatively high levels of
fertilizer. The current study investigated whether grass-legume mixtures can
improve the productivity, resource efficiency and robustness of yield persistence
of cultivated grassland under extreme growing conditions over a period of 5
years. Methods: Monocultures and mixtures of two grasses (Phleum pratense and
Festuca pratensis) and two legumes (Trifolium pratense and Trifolium repens), one
of which was fast establishing and the other temporally persistent, were sown in
a field trial. Relative abundance of the four species in the mixtures was
systematically varied at sowing. The plots were maintained under three N levels
(20, 70 and 220 kg N ha-1 year-1) and harvested twice a year for five consecutive
years. Yields of individual species and interactions between all species present
were modelled to estimate the species diversity effects. Key Results: Significant
positive diversity effects in all individual years and averaged across the 5
years were observed. Across years, the four-species equi-proportional mixture was
71 % (N20: 20 kg N ha-1 year-1) and 51 % (N70: 70 kg N ha-1 year-1) more
productive than the average of monocultures, and the highest yielding mixture was
36 % (N20) and 39 % (N70) more productive than the highest yielding monoculture.
Importantly, diversity effects were also evident at low relative abundances of
either species group, grasses or legumes in the mixture. Mixtures suppressed
weeds significantly better than monocultures consistently during the course of
the experiment at all N levels. Conclusions: The results show that even in the
less productive agricultural systems in the cool maritime regions grass-legume
mixtures can contribute substantially and persistently to a more sustainable
agriculture. Positive grass-legume interactions suggest that symbiotic N2
fixation is maintained even under these marginal conditions, provided that
adapted species and cultivars are used.
PMID- 29790909
TI - EBIC: an evolutionary-based parallel biclustering algorithm for pattern
discovery.
AB - Motivation: Biclustering algorithms are commonly used for gene expression data
analysis. However, accurate identification of meaningful structures is very
challenging and state-of-the-art methods are incapable of discovering with high
accuracy different patterns of high biological relevance. Results: In this paper,
a novel biclustering algorithm based on evolutionary computation, a sub-field of
artificial intelligence, is introduced. The method called EBIC aims to detect
order-preserving patterns in complex data. EBIC is capable of discovering
multiple complex patterns with unprecedented accuracy in real gene expression
datasets. It is also one of the very few biclustering methods designed for
parallel environments with multiple graphics processing units. We demonstrate
that EBIC greatly outperforms state-of-the-art biclustering methods, in terms of
recovery and relevance, on both synthetic and genetic datasets. EBIC also yields
results over 12 times faster than the most accurate reference algorithms.
Availability and implementation: EBIC source code is available on GitHub at
https://github.com/EpistasisLab/ebic. Supplementary information: Supplementary
data are available at Bioinformatics online.
PMID- 29790910
TI - SoS Notebook: an interactive multi-language data analysis environment.
AB - Motivation: Complex bioinformatic data analysis workflows involving multiple
scripts in different languages can be difficult to consolidate, share and
reproduce. An environment that streamlines the entire processes of data
collection, analysis, visualization and reporting of such multi-language analyses
is currently lacking. Results: We developed Script of Scripts (SoS) Notebook, a
web-based notebook environment that allows the use of multiple scripting language
in a single notebook, with data flowing freely within and across languages. SoS
Notebook enables researchers to perform sophisticated bioinformatic analysis
using the most suitable tools for different parts of the workflow, without the
limitations of a particular language or complications of cross-language
communications. Availability and implementation: SoS Notebook is hosted at
http://vatlab.github.io/SoS/ and is distributed under a BSD license.
PMID- 29790911
TI - PANDA-view: an easy-to-use tool for statistical analysis and visualization of
quantitative proteomics data.
AB - Summary: Compared with the numerous software tools developed for identification
and quantification of -omics data, there remains a lack of suitable tools for
both downstream analysis and data visualization. To help researchers better
understand the biological meanings in their -omics data, we present an easy-to
use tool, named PANDA-view, for both statistical analysis and visualization of
quantitative proteomics data and other -omics data. PANDA-view contains various
kinds of analysis methods such as normalization, missing value imputation,
statistical tests, clustering and principal component analysis, as well as the
most commonly-used data visualization methods including an interactive volcano
plot. Additionally, it provides user-friendly interfaces for protein-peptide
spectrum representation of the quantitative proteomics data. Availability and
implementation: PANDA-view is freely available at
https://sourceforge.net/projects/panda-view/. Supplementary information:
Supplementary data are available at Bioinformatics online.
PMID- 29790912
TI - Pregnancy Screening and Monitoring of Albendazole Therapy for Neurocysticercosis.
PMID- 29790913
TI - Impact of Implementing Antibiotic Stewardship Programs in 15 Small Hospitals: A
Cluster-Randomized Intervention.
AB - Background: Studies on the implementation of antibiotic stewardship programs
(ASPs) in small hospitals are limited. Accreditation organizations now require
all hospitals to have ASPs. Methods: The objective of this cluster-randomized
intervention was to assess the effectiveness of implementing ASPs in
Intermountain Healthcare's 15 small hospitals. Each hospital was randomized to 1
of 3 ASPs of escalating intensity. Program 1 hospitals were provided basic
antibiotic stewardship education and tools, access to an infectious disease
hotline, and antibiotic utilization data. Program 2 hospitals received those
interventions plus advanced education, audit and feedback for select antibiotics,
and locally controlled antibiotic restrictions. Program 3 hospitals received
program 2 interventions plus audit and feedback on the majority of antibiotics,
and an infectious diseases-trained clinician approved restricted antibiotics and
reviewed microbiology results. Changes in total and broad-spectrum antibiotic use
within programs (intervention versus baseline) and the difference between
programs in the magnitude of change in antibiotic use (eg, program 3 vs 1) were
evaluated with mixed models. Results: Program 3 hospitals showed reductions in
total (rate ratio, 0.89; confidence interval, .80-.99) and broad-spectrum (0.76;
.63-.91) antibiotic use when the intervention period was compared with the
baseline period. Program 1 and 2 hospitals did not experience a reduction in
antibiotic use. Comparison of the magnitude of effects between programs showed a
similar trend favoring program 3, but this was not statistically significant.
Conclusions: Only the most intensive ASP intervention was associated with
reduction in total and broad-spectrum antibiotic use when compared with baseline.
Clinical Trials Registration: NCT03245879.
PMID- 29790914
TI - Females engage in stronger relationships: positive and negative effects of shrubs
are more intense for Poa ligularis females than for males.
AB - Background and Aims: Dioecious plants are of particular concern in view of global
environmental changes because reproductive females are more sensitive to abiotic
stresses, thus compromising population viability. Positive interactions with
other plants may counteract the direct effects of any abiotic environmental
stress, allowing them to thrive and maintain a viable population in suboptimal
habitats, although this process has not been tested for dioecious species.
Furthermore, almost no data are available on the outcome of such species
interactions and their link with local spatial patterns and sex ratios. Methods:
We set up a field experiment with Poa ligularis, a dioecious native grass from
the arid grasslands of South America. We studied the interaction of male and
female plants with cushion shrubs of contrasting ecological strategies. We
experimentally limited direct shrub-grass competition for soil moisture and
transplanted plants to evaluate the amelioration of abiotic stress by shrub
canopies (i.e. sun and wind) on grasses. We also studied the distribution of
naturally established female and male plants to infer process-pattern
relationships. Key Results: Positive canopy effects as well as negative below
ground effects were more intense for females than for males. Deep-rooted Mulinum
spinosum shrubs strongly facilitated survival, growth and reproduction of P.
ligularis females. Naturally established female plants tended to distribute more
closely to Mulinum than co-occurring males. Female growth suffered intensive
negative root competition from the shallow-rooted Senecio filaginoides shrub.
Conclusions: Interactions with other plants may reduce or enhance the effect of
abiotic stresses on the seemingly maladapted sex to arid environments. We found
that these biased interactions are evident in the current organization of sexes
in the field, confirming our experimental findings. Therefore, indirect effects
of climate change on population sex ratios may be expected if benefactor species
abundances are differentially affected.
PMID- 29790916
TI - Diagnosis and Treatment of Neurocysticercosis: Issues That Need to Be Addressed.
PMID- 29790915
TI - YAMDA: thousandfold speedup of EM-based motif discovery using deep learning
libraries and GPU.
AB - Motivation: Motif discovery in large biopolymer sequence datasets can be
computationally demanding, presenting significant challenges for discovery in
omics research. MEME, arguably one of the most popular motif discovery software,
takes quadratic time with respect to dataset size, leading to excessively long
runtimes for large datasets. Therefore, there is a demand for fast programs that
can generate results of the same quality as MEME. Results: Here we describe
YAMDA, a highly scalable motif discovery software package. It is built on
Pytorch, a tensor computation deep learning library with strong GPU acceleration
that is highly optimized for tensor operations that are also useful for motifs.
YAMDA takes linear time to find motifs as accurately as MEME, completing in
seconds or minutes, which translates to speedups over a thousandfold.
Availability and implementation: YAMDA is freely available on Github
(https://github.com/daquang/YAMDA). Supplementary information: Supplementary data
are available at Bioinformatics online.
PMID- 29790917
TI - Reply to Garg et al, Smith et al, and Persichino and Miller.
PMID- 29790919
TI - Antiparasitic Dosing Discrepancy in the 2017 Neurocysticercosis Guidelines.
PMID- 29790918
TI - Temporal and tissue-specific variability of SMN protein levels in mouse models of
spinal muscular atrophy.
AB - Spinal muscular atrophy (SMA) is a progressive motor neuron disease caused by
deleterious variants in SMN1 that lead to a marked decrease in survival motor
neuron (SMN) protein expression. Humans have a second SMN gene (SMN2) that is
almost identical to SMN1. However, due to alternative splicing the majority of
SMN2 messenger ribonucleic acid (mRNA) is translated into a truncated, unstable
protein that is quickly degraded. Because the presence of SMN2 provides a unique
opportunity for therapy development in SMA patients, the mechanisms that regulate
SMN2 splicing and mRNA expression have been elucidated in great detail. In
contrast, how much SMN protein is produced at different developmental time points
and in different tissues remains under-characterized. In this study, we addressed
this issue by determining SMN protein expression levels at three developmental
time points across six different mouse tissues and in two distinct mouse models
of SMA ('severe' Taiwanese and 'intermediate' Smn2B/- mice). We found that, in
healthy control mice, SMN protein expression was significantly influenced by both
age and tissue type. When comparing mouse models of SMA, we found that, despite
being transcribed from genetically different alleles, control SMN levels were
relatively similar. In contrast, the degree of SMN depletion between tissues in
SMA varied substantially over time and between the two models. These findings
offer an explanation for the differential vulnerability of tissues and organs
observed in SMA and further our understanding of the systemic and temporal
requirements for SMN with direct relevance for developing effective therapies for
SMA.
PMID- 29790920
TI - Elucidating the Role of the Maternal Embryonic Leucine Zipper Kinase in
Adrenocortical Carcinoma.
AB - Adrenocortical carcinoma (ACC) is an aggressive cancer with a 5-year survival
rate <35%. Mortality remains high due to lack of targeted therapies. Using
bioinformatic analyses, we identified maternal embryonic leucine zipper kinase
(MELK) as 4.1-fold overexpressed in ACC compared with normal adrenal samples.
High MELK expression in human tumors correlated with shorter survival and with
increased expression of genes involved in cell division and growth. We
investigated the functional effects of MELK inhibition using newly developed ACC
cell lines with variable MELK expression, CU-ACC1 and CU-ACC2, compared with
H295R cells. In vitro treatment with the MELK inhibitor, OTSSP167, resulted in a
dose-dependent decrease in rates of cell proliferation, colony formation, and
cell survival, with relative sensitivity of each ACC cell line based upon the
level of MELK overexpression. To confirm a MELK-specific antitumorigenic effect,
MELK was inhibited in H295R cells via multiple short hairpin RNAs. MELK silencing
resulted in 1.9-fold decrease in proliferation, and 3- to 10-fold decrease in
colony formation in soft agar and clonogenicity assays, respectively. In
addition, although MELK silencing had no effect on survival in normoxia, exposure
to a hypoxia resulted in a sixfold and eightfold increase in apoptosis as
assessed by caspase-3 activation and TUNEL, respectively. Together these data
suggest that MELK is a modulator of tumor cell growth and survival in a hypoxic
microenvironment in adrenal cancer cells and support future investigation of its
role as a therapeutic kinase target in patients with ACC.
PMID- 29790922
TI - Artificial intelligence for melanoma diagnosis: How can we deliver on the
promise?
PMID- 29790921
TI - MASCOT: parameter and state inference under the marginal structured coalescent
approximation.
AB - Motivation: The structured coalescent is widely applied to study demography
within and migration between sub-populations from genetic sequence data. Current
methods are either exact but too computationally inefficient to analyse large
datasets with many sub-populations, or make strong approximations leading to
severe biases in inference. We recently introduced an approximation based on
weaker assumptions to the structured coalescent enabling the analysis of larger
datasets with many different states. We showed that our approximation provides
unbiased migration rate and population size estimates across a wide parameter
range. Results: We extend this approach by providing a new algorithm to calculate
the probability of the state of internal nodes that includes the information from
the full phylogenetic tree. We show that this algorithm is able to increase the
probability attributed to the true sub-population of a node. Furthermore we use
improved integration techniques, such that our method is now able to analyse
larger datasets, including a H3N2 dataset with 433 sequences sampled from five
different locations. Availability and implementation: The presented methods are
part of the BEAST2 package MASCOT, the Marginal Approximation of the Structured
COalescenT. This package can be downloaded via the BEAUti package manager. The
source code is available at https://github.com/nicfel/Mascot.git. Supplementary
information: Supplementary data are available at Bioinformatics online.
PMID- 29790923
TI - Missed Opportunities to Prescribe Preexposure Prophylaxis in South Carolina, 2013
2016.
AB - Introduction: Expanding use of preexposure prophylaxis (PrEP) in ways that
address current racial/ethnic disparities is an important HIV prevention goal. We
investigated missed opportunities to provide PrEP during healthcare visits
occurring prior to HIV infection. Methods: This retrospective cohort study linked
South Carolina HIV case surveillance data to 3 statewide healthcare databases.
Characteristics of patients, health care visits and providers, sexually
transmitted diseases (STD), and other diagnoses, were assessed for medical
encounters occurring before an initial HIV diagnosis. Adjusted odds ratios were
used to identify correlates of missed opportunities for PrEP provision. Results:
Of 885 persons newly diagnosed during the study period, 586 (66%) had 4,029
visits to a health care facility prior to their HIV diagnosis (mean of 6.9
visits) with missed opportunities for provision of PrEP. Emergency medicine
trained clinicians conducted (61%) and primary care clinicians (family practice
or internal medicine) conducted. 10% of visits. 42% of visits were by persons who
were uninsured or self-paid, 36% had public insurance, and 18% had commercial
insurance In multivariable analyses, being female, African American, or < 30
years of age were statistically significant predictors of having prior health
care visits. Among persons at least one health care visit prior to their HIV
diagnosis, 28.5% had a diagnosis of gonorrhea, syphilis, or chlamydia at any
visit. Conclusion: Healthcare visits occurring among persons who would benefit
from provision of PrEP, especially persons with diagnosed STDs, should be
leveraged to increase use of PrEP and reduce the risk of HIV acquisition.
PMID- 29790924
TI - Typhoidal Salmonella serovars: ecological opportunity and the evolution of a new
pathovar.
AB - Typhoid and paratyphoid fever are severe systemic infections caused by human
adapted typhoidal Salmonella serovars that are indistinguishable in their
clinical presentation, but differ from human gastroenteritis caused by zoonotic
non-typhoidal Salmonella serovars. Typhoidal Salmonella serovars evolved from
ancestral gastrointestinal pathogens through genetic changes that supported a
change in pathogen ecology. Typhoidal Salmonella serovars share virulence
properties that were acquired through convergent evolution and therefore this
group is not defined by the presence of shared virulence genes that are absent
from non-typhoidal Salmonella serovars. One feature distinguishing typhoidal
Salmonella serovars from gastrointestinal pathogens is their ability to avert the
respiratory burst of neutrophils. Furthermore, typhoidal Salmonella serovars
possess several mechanisms to moderate intestinal inflammation, which are absent
from non-typhoidal Salmonella serovars. Collectively, these shared virulence
mechanisms enable typhoidal Salmonella serovars to breach an intact mucosal
barrier and reach the gall bladder, a new ecological niche that is important
because chronic gall bladder carriage promotes disease transmission. Thus, the
morbidity and mortality resulting from the severe systemic infection that enables
typhoidal Salmonella serovars to reach the gall bladder is coupled to their
capacity for infectious transmission, which is the principal driving force of
natural selection directing the emergence of this pathovar.
PMID- 29790926
TI - Bathyarchaeota: globally distributed metabolic generalists in anoxic
environments.
AB - Bathyarchaeota, formerly known as the Miscellaneous Crenarchaeotal Group, is a
phylum of global generalists that are widespread in anoxic sediments, which host
relatively high abundance archaeal communities. Until now, 25 subgroups have been
identified in the Bathyarchaeota. The distinct bathyarchaeotal subgroups diverged
to adapt to marine and freshwater environments. Based on the physiological and
genomic evidence, acetyl-coenzyme A-centralized heterotrophic pathways of energy
conservation have been proposed to function in Bathyarchaeota; these microbes are
able to anaerobically utilize (i) detrital proteins, (ii) polymeric
carbohydrates, (iii) fatty acids/aromatic compounds, (iv) methane (or short chain
alkane) and methylated compounds, and/or (v) potentially other organic matter.
Furthermore, bathyarchaeotal members have wide metabolic capabilities, including
acetogenesis, methane metabolism, and dissimilatory nitrogen and sulfur
reduction, and they also have potential interactions with anaerobic methane
oxidizing archaea, acetoclastic methanogens and heterotrophic bacteria. These
results have not only demonstrated multiple and important ecological functions of
this archaeal phylum, but also paved the way for a detailed understanding of the
evolution and metabolism of archaea as such. This review summarizes the recent
findings pertaining to the ecological, physiological and genomic aspects of
Bathyarchaeota, highlighting the vital role of this phylum in global carbon
cycling.
PMID- 29790925
TI - Effectiveness of 13-Valent Pneumococcal Conjugate Vaccine Against Hospitalization
for Community-Acquired Pneumonia in Older US Adults: A Test-Negative Design.
AB - Background: Following universal recommendation for use of 13-valent pneumococcal
conjugate vaccine (PCV13) in US adults aged >=65 years in September 2014, we
conducted the first real-world evaluation of PCV13 vaccine effectiveness (VE)
against hospitalized vaccine-type community-acquired pneumonia (CAP) in this
population. Methods: Using a test-negative design, we identified cases and
controls from a population-based surveillance study of adults in Louisville,
Kentucky, who were hospitalized with CAP. We analyzed a subset of CAP patients
enrolled 1 April 2015 through 30 April 2016 who were aged >=65 years and
consented to have their pneumococcal vaccination history confirmed by health
insurance records. Cases were defined as hospitalized CAP patients with PCV13
serotypes identified via culture or serotype-specific urinary antigen detection
assay. Remaining CAP patients served as test-negative controls. Results: Of 2034
CAP hospitalizations, we identified PCV13 serotypes in 68 (3.3%) participants
(ie, cases), of whom 6 of 68 (8.8%) had a positive blood culture. Cases were less
likely to be immunocompromised (29.4% vs 46.4%, P = .02) and overweight or obese
(41.2% vs 58.6%, P = .01) compared to controls, but were otherwise similar. Cases
were less likely to have received PCV13 than controls (3/68 [4.4%] vs 285/1966
[14.5%]; unadjusted VE, 72.8% [95% confidence interval, 12.8%-91.5%]). No
confounding was observed during adjustment for patient characteristics, including
immunocompromised status, body mass index, and history of influenza and
pneumococcal polysaccharide vaccination (adjusted VE range, 71.1%-73.3%).
Conclusions: Our study is the first to demonstrate real-world effectiveness of
PCV13 against vaccine-type CAP in adults aged >=65 years following introduction
into a national immunization program.
PMID- 29790928
TI - Using meshes for MeSH term enrichment and semantic analyses.
AB - Summary: Medical Subject Headings (MeSH) is the NLM controlled vocabulary used to
manually index articles for MEDLINE/PubMed. MeSH provides unique and
comprehensive annotations for life science. The meshes package implements
measurement of the semantic similarity of MeSH terms and gene products to help
using MeSH vocabulary in knowledge mining. Enrichment analysis to extract the
biological meanings from gene list, expression profile and genomic regions is
also provided using MeSH annotation. Meshes supports more than 70 species and
provides high quality visualization methods to help interpreting analysis
results. Availability and implementation: meshes is released under Artistic-2.0
License. The source code and documents are freely available through Bioconductor
(https://www.bioconductor.org/packages/meshes). Supplementary information:
Supplementary data are available at Bioinformatics online.
PMID- 29790927
TI - Syntrophin binds directly to multiple spectrin-like repeats in dystrophin and
mediates binding of nNOS to repeats 16-17.
AB - Mutation of the gene encoding dystrophin leads to Duchenne and Becker muscular
dystrophy (DMD and BMD). Currently, dystrophin is thought to function primarily
as a structural protein, connecting the muscle cell actin cytoskeleton to the
extra-cellular matrix. In addition to this structural role, dystrophin also plays
an important role as a scaffold that organizes an array of signaling proteins
including sodium, potassium, and calcium channels, kinases, and nitric oxide
synthase (nNOS). Many of these signaling proteins are linked to dystrophin via
syntrophin, an adapter protein that is known to bind directly to two sites in the
carboxyl terminal region of dystrophin. A search of the dystrophin sequence
revealed three additional potential syntrophin binding sites (SBSs) within the
spectrin-like repeat (SLR) region of dystrophin. Binding assays revealed that the
site at SLR 17 bound specifically to the alpha isoform of syntrophin while the
site at SLR 22 bound specifically to the beta-syntrophins. The SLR 17 alpha-SBS
contained the core sequence known to be required for nNOS-dystrophin interaction.
In vitro and in vivo assays indicate that alpha-syntrophin facilitates the nNOS
dystrophin interaction at this site rather than nNOS binding directly to
dystrophin as previously reported. The identification of multiple SBSs within the
SLR region of dystrophin demonstrates that this region functions as a signaling
scaffold. The signaling role of the SLR region of dystrophin will need to be
considered for effective gene replacement or exon skipping based DMD/BMD
therapies.
PMID- 29790930
TI - A Machine Learning Recommender System to Tailor Preference Assessments to Enhance
Person-Centered Care Among Nursing Home Residents.
AB - Background and Objectives: Nursing homes (NHs) using the Preferences for Everyday
Living Inventory (PELI-NH) to assess important preferences and provide person
centered care find the number of items (72) to be a barrier to using the
assessment. Research Design and Methods: Using a sample of n = 255 NH resident
responses to the PELI-NH, we used the 16 preference items from the MDS 3.0
Section F to develop a machine learning recommender system to identify additional
PELI-NH items that may be important to specific residents. Much like the Netflix
recommender system, our system is based on the concept of collaborative filtering
whereby insights and predictions (e.g., filters) are created using the interests
and preferences of many users. The algorithm identifies multiple sets of "you
might also like" patterns called association rules, based upon responses to the
16 MDS preferences that recommends an additional set of preferences with a high
likelihood of being important to a specific resident. Results: In the evaluation
of the combined apriori and logistic regression approach, we obtained a high
recall performance (i.e., the ratio of correctly predicted preferences compared
with all predicted preferences and nonpreferences) and high precision (i.e., the
ratio of correctly predicted rules with respect to the rules predicted to be
true) of 80.2% and 79.2%, respectively. Discussion and Implications: The
recommender system successfully provides guidance on how to best tailor the
preference items asked of residents and can support preference capture in busy
clinical environments, contributing to the feasibility of delivering person
centered care.
PMID- 29790929
TI - miR172 downregulates the translation of cleistogamy 1 in barley.
AB - Background and Aims: Floret opening in barley is induced by the swelling of the
lodicule, a trait under the control of the cleistogamy1 (cly1) gene. The product
of cly1 is a member of the APETALA2 (AP2) transcription factor family, which
inhibits lodicule development. A sequence polymorphism at the miR172 target site
within cly1 has been associated with variation in lodicule development and hence
with the cleistogamous phenotype. It was unclear whether miR172 actually
functions in cly1 regulation and, if it does, which miR172 gene contributes to
cleistogamy. It was also interesting to explore whether miR172-mediated cly1
regulation occurs at transcriptional level or at translational level. Methods:
Deep sequencing of small RNA identified the miR172 sequences expressed in barley
immature spikes. miR172 genes were confirmed by computational and expression
analysis. miR172 and cly1 expression profiles were determined by in situ
hybridization and quantitative expression analysis. Immunoblot analysis provided
the CLY1 protein quantifications. Definitive evidence of the role of miR172 in
cleistogamy was provided by a transposon Ds-induced mutant of Hv-miR172a. Key
Results: A small RNA analysis of the immature barley spike revealed three
isomers, miR172a, b and c, of which miR172a was the most abundant. In situ
hybridization analysis showed that miR172 and cly1 co-localize in the lodicule
primordium, suggesting that these two molecules potentially interact with one
another. Immunoblot analysis showed that the sequence polymorphism at the miR172
target site within cly1 reduced the abundance of the CLY1 protein, but not that
of its transcript. In a Ds-induced mutant of Hv-miR172a, which generates no
mature miR172a, the lodicules fail to grow, resulting in a very small lodicule.
Conclusions: Direct evidence is presented to show that miR172a acts to reduce the
abundance of the CLY1 protein, which enables open flowering in barley.
PMID- 29790931
TI - GSK3beta Modulates Timing-Dependent Long-Term Depression Through Direct
Phosphorylation of Kv4.2 Channels.
AB - Spike timing-dependent plasticity (STDP) is a form of activity-dependent
remodeling of synaptic strength that underlies memory formation. Despite its key
role in dictating learning rules in the brain circuits, the molecular mechanisms
mediating STDP are still poorly understood. Here, we show that spike timing
dependent long-term depression (tLTD) and A-type K+ currents are modulated by
pharmacological agents affecting the levels of active glycogen-synthase kinase 3
(GSK3) and by GSK3beta knockdown in layer 2/3 of the mouse somatosensory cortex.
Moreover, the blockade of A-type K+ currents mimics the effects of GSK3 up
regulation on tLTD and occludes further changes in synaptic strength.
Pharmacological, immunohistochemical and biochemical experiments revealed that
GSK3beta influence over tLTD induction is mediated by direct phosphorylation at
Ser-616 of the Kv4.2 subunit, a molecular determinant of A-type K+ currents.
Collectively, these results identify the functional interaction between GSK3beta
and Kv4.2 channel as a novel mechanism for tLTD modulation providing exciting
insight into the understanding of GSK3beta role in synaptic plasticity.
PMID- 29790932
TI - SELEX Screen for Zona Pellucida-Binding DNA Aptamers.
PMID- 29790933
TI - The Impact of Rotavirus Vaccines on Genotype Diversity: A Comprehensive Analysis
of 2 Decades of Australian Surveillance Data.
AB - Background: Introduction of rotavirus vaccines into national immunization
programs (NIPs) could result in strain selection due to vaccine-induced selective
pressure. This study describes the distribution and diversity of rotavirus
genotypes before and after rotavirus vaccine introduction into the Australian
NIP. State-based vaccine selection facilitated a unique comparison of diversity
in RotaTeq and Rotarix vaccine states. Methods: From 1995 to 2015, the Australian
Rotavirus Surveillance Program conducted genotypic analysis on 13051 rotavirus
positive samples from children <5 years of age, hospitalized with acute
gastroenteritis. Rotavirus G and P genotypes were determined using serological
and heminested multiplex reverse-transcription polymerase chain reaction assays.
Results: G1P[8] was the dominant genotype nationally in the prevaccine era (1995
2006). Following vaccine introduction (2007-2015), greater genotype diversity was
observed with fluctuating genotype dominance. Genotype distribution varied based
on the vaccine implemented, with G12P[8] dominant in states using RotaTeq, and
equine-like G3P[8] and G2P[4] dominant in states and territories using Rotarix.
Conclusions: The increased diversity and differences in genotype dominance
observed in states using RotaTeq (G12P[8]), and in states and territories using
Rotarix (equine-like G3P[8] and G2P[4]), suggest that these vaccines exert
different immunological pressures that influence the diversity of rotavirus
strains circulating in Australia.
PMID- 29790934
TI - Pediatric Pituitary Adenomas: Early and Long-Term Surgical Outcome in a Series of
85 Consecutive Patients.
AB - BACKGROUND: Young age has been reported as a negative prognostic factor for
pituitary adenomas (PAs). They are very uncommon in children and adolescents;
therefore, surgical outcomes are poorly described. OBJECTIVE: To report results
of microsurgical transsphenoidal approach (MTSA) in pediatric PAs. METHODS: The
study retrospectively analyzed 3040 PAs treated in our institute, according to
the adenoma subtype and then divided into pediatric (<=18 yr) and adult groups
(>18 yr). The average follow-up after surgery was 58 mo (n = 2906). RESULTS: In
the pediatric group, the majority of adenomas were hormone-secreting (89.5%) with
a female sex prevalence (78%) in prolactinomas and nonfunctioning pituitary
adenomas (NFPAs); the maximum diameter of growth hormone (GH)-secreting adenomas
was greater (28.1 +/- 4.1 mm) than in adults (18 +/- 0.3 mm, P = .002). Surgical
remission rate at 6 mo was similar in both groups for all adenoma subtypes: 72.1%
and 76% in pediatric and adult Cushing's disease, 69.3% and 59.3% in
prolactinomas, 55.6% and 61% in gigantism or acromegaly, 55.6% and 61.5% in
NFPAs. Recurrences after remission occurred more frequently in pediatric GH
secreting adenomas compared to adults (40.0% vs 5.3%, P = .028) despite similar
follow-up (38 +/- 17 and 48.1 +/- 2.2 mo, P = .7). Mortality was zero in the
pediatric and 0.2% in the adult group (P = .7); major morbidity was 2.4% and
2.2%, respectively (P = .8). CONCLUSION: MTSA was safe and effective in children
and adolescents as in adults, with the only exception of higher recurrence rate
in pediatric GH-secreting adenomas. No complications related to young age
appeared.
PMID- 29790935
TI - Intake and feed utilization in two breeds of pregnant beef cows fed forages with
high-fiber concentrations.
AB - Hereford and Charolais beef cows (n = 24 per breed) were used to study the effect
of breed and to evaluate late-cut reed canarygrass (RC) and whole-crop oats plus
urea (WCO) compared with late-cut timothy (TG) with respect to feed intake and
digestibility, rumination time, fecal particle size (PS) distribution, N
excretion, and ruminal microbial CP production (MCP). The TG and RC were cut at
flowering and WCO at hard dough stage of maturity. Cows were group-housed, 6
groups per breed, and fed 3 diets ad libitum in 3 periods. The study was designed
as two 3 * 3 Latin squares amalgamated to form a 3 * 6 rectangle for each breed.
All data were statistically analyzed on group level. Indigestible NDF (iNDF) and
urinary creatinine excretion were used as markers to estimate apparent diet
digestibility and daily urine volume, respectively. Fecal PS distribution was
determined by dry sieving, and ruminal MCP synthesis was estimated based on
urinary output of purine derivatives. The TG diet had a higher apparent
digestibility of OM and NDF (P < 0.001) than RC and WCO, which did not differ.
The TG diet resulted in the greatest daily DMI, followed by WCO and RC (P <
0.001). Intake of NDF (NDFI, kg/d and % of BW) was greatest for TG, followed by
RC and WCO (P < 0.001). Rumination time per kg DMI was longest for RC (P <
0.001), and RC and WCO resulted in longest rumination time per kg NDFI (P <
0.001). The WCO diet resulted in the largest geometric mean fecal PS and
proportion of large particles and in the smallest proportion of small particles,
whereas the opposite was found for RC, with TG being intermediate (P < 0.001).
Intakes in kg per day were higher for Charolais than for Hereford (P = 0.002),
but no breed effect was detected when intake was expressed in relation to BW.
Charolais ruminated longer per kg NDFI corrected for BW (P = 0.02) and had
smaller mean fecal PS (P = 0.049) than Hereford. Total N excretion was highest
for RC and lowest for WCO (P < 0.001). The TG diet stimulated MCP production to a
greater extent than RC and WCO (P < 0.001). The results indicate that late-cut RC
and WCO could be suitable alternatives to late-cut TG for ad libitum feeding of
early pregnant beef cows, and that intake was associated with cow BW, but not
with breed. The variations in NDF and iNDF concentrations between forage diets
were reflected in their effects on intake, rumination, apparent digestibility,
and fecal PS.
PMID- 29790936
TI - SimExTargId: a comprehensive package for real-time LC-MS data acquisition and
analysis.
AB - Summary: Liquid chromatography mass spectrometry (LC-MS) is the favored method
for untargeted metabolomic analysis of small molecules in biofluids. Here we
present SimExTargId, an open-source R package for autonomous analysis of
metabolomic data and real-time observation of experimental runs. This
simultaneous, fully automated and multi-threaded (optional) package is a wrapper
for vendor-independent format conversion (ProteoWizard), xcms- and CAMERA- based
peak-picking, MetMSLine-based pre-processing and covariate-based statistical
analysis. Users are notified of detrimental instrument drift or errors by email.
Also included are two shiny applications, targetId for real-time MS2 target
identification, and peakMonitor to monitor targeted metabolites. Availability and
implementation: SimExTargId is publicly available under GNU LGPL v3.0 license at
https://github.com/JosieLHayes/simExTargId, which includes a vignette with
example data. SimExTargId should be installed on a dedicated data-processing
workstation or server that is networked to the LC-MS platform to facilitate MS1
profiling of metabolomic data. Supplementary information: Supplementary data are
available at Bioinformatics online.
PMID- 29790937
TI - Test duration for water intake, ADG, and DMI in beef cattle.
AB - Water is an essential nutrient, but the effect it has on performance generally
receives little attention. There are few systems and guidelines for collection of
water intake (WI) phenotypes in beef cattle, which makes large-scale research on
WI a challenge. The Beef Improvement Federation has established guidelines for
feed intake (FI) and ADG tests, but no guidelines exist for WI. The goal of this
study was to determine the test duration necessary for collection of accurate WI
phenotypes. To facilitate this goal, individual daily WI and FI records were
collected on 578 crossbred steers for a total of 70 d using an Insentec system at
the Oklahoma State University Willard Sparks Beef Research Unit. Steers were fed
in five groups and were individually weighed every 14 d. Within each group,
steers were blocked by BW (low and high) and randomly assigned to one of four
pens containing approximately 30 steers per pen. Each pen provided 103.0 m2 of
shade and included an Insentec system containing six feed bunks and one water
bunk. Steers were fed a constant diet across groups and DMI was calculated using
the average of weekly percent DM within group. Average FI and WI for each animal
were computed for increasingly large test durations (7, 14, 21, 28, 35, 42, 49,
56, 63, and 70 d), and ADG was calculated using a regression formed from BW taken
every 14 d (0, 14, 28, 42, 56, and 70 d). Intervals for all traits were computed
starting from both the beginning (day 0) and the end of the testing period (day
70). Pearson and Spearman correlations were computed for phenotypes from each
shortened test period and for the full 70-d test. Minimum test duration was
determined when the Pearson correlations were greater than 0.95 for each trait.
Our results indicated that minimum test duration for WI, DMI, and ADG were 35,
42, and 70 d, respectively. No comparable studies exist for WI; however, our
results for FI and ADG are consistent with those in the literature. Although
further testing in other populations of cattle and areas of the country should
take place, our results suggest that WI phenotypes can be collected concurrently
with DMI, without extending test duration, even if following procedures for
decoupled intake and gain tests.
PMID- 29790939
TI - Nextstrain: real-time tracking of pathogen evolution.
AB - Summary: Understanding the spread and evolution of pathogens is important for
effective public health measures and surveillance. Nextstrain consists of a
database of viral genomes, a bioinformatics pipeline for phylodynamics analysis,
and an interactive visualization platform. Together these present a real-time
view into the evolution and spread of a range of viral pathogens of high public
health importance. The visualization integrates sequence data with other data
types such as geographic information, serology, or host species. Nextstrain
compiles our current understanding into a single accessible location, open to
health professionals, epidemiologists, virologists and the public alike.
Availability and implementation: All code (predominantly JavaScript and Python)
is freely available from github.com/nextstrain and the web-application is
available at nextstrain.org.
PMID- 29790938
TI - The Low-Threshold Calcium Channel Cav3.2 Mediates Burst Firing of Mature Dentate
Granule Cells.
AB - Mature granule cells are poorly excitable neurons that were recently shown to
fire action potentials, preferentially in bursts. It is believed that the
particularly pronounced short-term facilitation of mossy fiber synapses makes
granule cell bursting a very effective means of properly transferring information
to CA3. However, the mechanism underlying the unique bursting behavior of mature
granule cells is currently unknown. Here, we show that Cav3.2 T-type channels at
the axon initial segment are responsible for burst firing of mature granule cells
in rats and mice. Accordingly, Cav3.2 knockout mice fire tonic spikes and exhibit
impaired bursting, synaptic plasticity and dentate-to-CA3 communication. The data
show that Cav3.2 channels are strong modulators of bursting and can be considered
a critical molecular switch that enables effective information transfer from
mature granule cells to the CA3 pyramids.
PMID- 29790940
TI - PyCoTools: a Python toolbox for COPASI.
AB - Motivation: COPASI is an open source software package for constructing,
simulating and analyzing dynamic models of biochemical networks. COPASI is
primarily intended to be used with a graphical user interface but often it is
desirable to be able to access COPASI features programmatically, with a high
level interface. Results: PyCoTools is a Python package aimed at providing a high
level interface to COPASI tasks with an emphasis on model calibration. PyCoTools
enables the construction of COPASI models and the execution of a subset of COPASI
tasks including time courses, parameter scans and parameter estimations.
Additional 'composite' tasks which use COPASI tasks as building blocks are
available for increasing parameter estimation throughput, performing
identifiability analysis and performing model selection. PyCoTools supports
exploratory data analysis on parameter estimation data to assist with
troubleshooting model calibrations. We demonstrate PyCoTools by posing a model
selection problem designed to show case PyCoTools within a realistic scenario.
The aim of the model selection problem is to test the feasibility of three
alternative hypotheses in explaining experimental data derived from neonatal
dermal fibroblasts in response to TGF-beta over time. PyCoTools is used to
critically analyze the parameter estimations and propose strategies for model
improvement. Availability and implementation: PyCoTools can be downloaded from
the Python Package Index (PyPI) using the command 'pip install pycotools' or
directly from GitHub (https://github.com/CiaranWelsh/pycotools). Documentation at
http://pycotools.readthedocs.io. Supplementary information: Supplementary data
are available at Bioinformatics online.
PMID- 29790942
TI - Exposing the Science in Citizen Science: Fitness to Purpose and Intentional
Design.
AB - Citizen science is a growing phenomenon. With millions of people involved and
billions of in-kind dollars contributed annually, this broad extent, fine grain
approach to data collection should be garnering enthusiastic support in the
mainstream science and higher education communities. However, many academic
researchers demonstrate distinct biases against the use of citizen science as a
source of rigorous information. To engage the public in scientific research, and
the research community in the practice of citizen science, a mutual understanding
is needed of accepted quality standards in science, and the corresponding
specifics of project design and implementation when working with a broad public
base. We define a science-based typology focused on the degree to which projects
deliver the type(s) and quality of data/work needed to produce valid scientific
outcomes directly useful in science and natural resource management. Where
project intent includes direct contribution to science and the public is actively
involved either virtually or hands-on, we examine the measures of quality
assurance (methods to increase data quality during the design and implementation
phases of a project) and quality control (post hoc methods to increase the
quality of scientific outcomes). We suggest that high quality science can be
produced with massive, largely one-off, participation if data collection is
simple and quality control includes algorithm voting, statistical pruning, and/or
computational modeling. Small to mid-scale projects engaging participants in
repeated, often complex, sampling can advance quality through expert-led training
and well-designed materials, and through independent verification. Both
approaches-simplification at scale and complexity with care-generate more robust
science outcomes.
PMID- 29790941
TI - ASaiM: a Galaxy-based framework to analyze microbiota data.
AB - Background: New generations of sequencing platforms coupled to numerous
bioinformatics tools have led to rapid technological progress in metagenomics and
metatranscriptomics to investigate complex microorganism communities.
Nevertheless, a combination of different bioinformatic tools remains necessary to
draw conclusions out of microbiota studies. Modular and user-friendly tools would
greatly improve such studies. Findings: We therefore developed ASaiM, an Open
Source Galaxy-based framework dedicated to microbiota data analyses. ASaiM
provides an extensive collection of tools to assemble, extract, explore, and
visualize microbiota information from raw metataxonomic, metagenomic, or
metatranscriptomic sequences. To guide the analyses, several customizable
workflows are included and are supported by tutorials and Galaxy interactive
tours, which guide users through the analyses step by step. ASaiM is implemented
as a Galaxy Docker flavour. It is scalable to thousands of datasets but also can
be used on a normal PC. The associated source code is available under Apache 2
license at https://github.com/ASaiM/framework and documentation can be found
online (http://asaim.readthedocs.io). Conclusions: Based on the Galaxy framework,
ASaiM offers a sophisticated environment with a variety of tools, workflows,
documentation, and training to scientists working on complex microorganism
communities. It makes analysis and exploration analyses of microbiota data easy,
quick, transparent, reproducible, and shareable.
PMID- 29790943
TI - Mutalisk: a web-based somatic MUTation AnaLyIS toolKit for genomic,
transcriptional and epigenomic signatures.
AB - Somatic genome mutations occur due to combinations of various intrinsic/extrinsic
mutational processes and DNA repair mechanisms. Different molecular processes
frequently generate different signatures of somatic mutations in their own
favored contexts. As a result, the regional somatic mutation rate is dependent on
the local DNA sequence, the DNA replication/RNA transcription dynamics and
epigenomic chromatin organization landscape in the genome. Here, we propose an
online computational framework, termed Mutalisk, which correlates somatic
mutations with various genomic, transcriptional and epigenomic features in order
to understand mutational processes that contribute to the generation of the
mutations. This user-friendly tool explores the presence of localized
hypermutations (kataegis), dissects the spectrum of mutations into the maximum
likelihood combination of known mutational signatures and associates the mutation
density with numerous regulatory elements in the genome. As a result, global
patterns of somatic mutations in any query sample can be efficiently screened,
thus enabling a deeper understanding of various mutagenic factors. This tool will
facilitate more effective downstream analyses of cancer genome sequences to
elucidate the diversity of mutational processes underlying the development and
clonal evolution of cancer cells. Mutalisk is freely available at
http://mutalisk.org.
PMID- 29790944
TI - The reducing clade IIb polyketide synthase PKS14 acts as a virulence determinant
of the entomopathogenic fungus Beauveria bassiana.
AB - The reducing clade IIb polyketide synthase gene, pks14, is preserved throughout
the evolution of entomopathogenic fungi. We examined the functions of pks14 in
Beauveria bassiana using targeted gene disruption, and pks14 disruption was
verified by Southern blot and PCR analyses. The radial growth, cell dry weight
and conidial germination of Deltapks14 were comparable to that of the wild type.
Our sequence and gene expression analyses of the pks14 biosynthetic cluster
demonstrated: (i) cotranscription and constitutive expression of nearly all the
genes of the aforementioned cluster including the C2H2 zinc finger transcription
regulator gene, but not pks14 and the cytochrome P450 gene; (ii) expression of
the pks14 gene in the insect-containing culture condition only; and (iii) a KAR9
like gene in direct proximity with pks14 is the only gene showing co-regulation.
The Deltapks14-infected Spodoptera exigua larvae survived significantly longer
than those infected by the wild type, indicating a marked reduction in the
virulence of Deltapks14 against the insect. LT50 of Deltapks14 was increased by
1.55 days. Hyphal body formation was decreased in the hemolymph of insects
infected by Deltapks14 as compared with those inoculated by the wild type. Our
results suggest that PKS14-catalyzed polyketide enhances virulence and
pathogenicity of B. bassiana on insects.
PMID- 29790946
TI - A microbial endocrinology-based simulated small intestinal medium for the
evaluation of neurochemical production by gut microbiota.
AB - Microbial endocrinology represents the union of microbiology and neurobiology and
is concerned with the ability of neurochemicals to serve as an evolutionary-based
language between host and microbiota in health and disease. The recognition that
microorganisms produce, modify and respond to the same neurochemicals utilized in
the various signaling pathways of their mammalian hosts is increasingly being
recognized as a mechanism by which the host and microbiota may interact to
influence the progression of infectious disease as well as influence behavior
through the microbiota-gut-brain axis. While the capacity for bacteria to produce
neurochemicals has been recognized for decades, the degree to which this occurs
in the environment of the gastrointestinal tract is still poorly understood. By
combining techniques used in analytic chemistry, food science and environmental
microbiology, a novel culture-based method was developed which generates a medium
utilizing animal feed which resembles the contents of the small intestine. The
usage of this medium allows for the in vitro growth of bacteria native to the
gastrointestinal tract in an environment that is reflective of the small
intestinal host-based milieu. We describe a detailed protocol for the preparation
of this medium and the quantification of neurochemicals by microorganisms grown
therein. Catecholamines including dopamine and its precursor L-3,4
dihydroxyphenalanine (L-DOPA) as well as biogenic amines including tyramine and
its precursor tyrosine, serve as prototypical examples of neurochemicals that are
quantifiable with the methods described herein.
PMID- 29790945
TI - The effects of protein supplementation of fall calving beef cows on pre- and
postpartum plasma insulin, glucose and IGF-I, and postnatal growth and plasma
insulin and IGF-I of calves.
AB - Fall calving (September to October) cows (n = 189 calvings in 5 replications;
body weight [BW] = 626 +/- 6 kg, body condition score [BCS] = 4.76 +/- 0.06)
grazing native dormant range were used to determine the effects of protein
supplementation on performance and endocrine function of cows and calves. Cows
were individually fed either a control (CON; 1.82 kg/d of 38% crude protein [CP])
or restricted (RES; 0.2 kg/d of 8% CP) protein supplement from mid-November to
mid-March for 6 consecutive years. During each year, cows were reassigned dietary
treatments according to calving date and BCS, and half of the CON and half of the
RES cows remained on the same diets as the previous year and the other halves
were assigned to the other diet. Statistical analyses were performed with the
general linear model procedure utilizing a 2 * 2 factorial arrangement and a
complete randomized design. Cows on CON diets lost less BW from November to
January compared with RES cows (-25.9 +/- 2.6 and -45.0 +/- 2.6 kg, respectively;
P < 0.001). Protein supplementation increased plasma concentrations of insulin of
CON compared with RES cows during treatment (P < 0.05). Calf birth weight did not
differ between prenatal supplemention of CON and RES (P = 0.87). A prenatal *
postnatal effect was detected for BW of calves; prenatal RES and postnatal CON
calves (RES-CON; 189.4 +/- 4.2, P = 0.05) had greater 205-d adjusted weaning
weights compared with prenatal RES and postnatal RES (RES-RES) and prenatal CON
and postnatal RES (CON-RES) calves (163.0 +/- 4.2 and 177.8 +/- 4.2 kg,
respectively). There was a prenatal * postnatal effect on gain of calves from
January to weaning (P = 0.05); RES-CON gained more than RES-RES and CON-RES
calves. Adjusted yearling 365-d BW was least (P = 0.02) for RES-RES calves.
Prenatal protein supplementation of cows decreased (P = 0.03) final BW of calves
at harvest (23 mo). Prenatal and postnatal supplementation of cows did not
influence carcass characteristics of calves (P > 0.10). In conclusion, increasing
protein supplementation of fall calving beef cows from November to March, during
breeding and early pregnancy, reduced BW loss of cows, decreased the interval
from calving to pregnancy, increased plasma concentrations of insulin in
December, January, and March, and increased plasma insulin-like growth factor-I
in December without alteration in pregnancy rate. Reduced protein supplementation
prenatally increased BW of calves at harvest.
PMID- 29790947
TI - Antibodies Against Chlamydia trachomatis and Ovarian Cancer Risk in Two
Independent Populations.
AB - Background: Pelvic inflammatory disease (PID) has been associated with ovarian
cancer risk. To clarify the role of Chlamydia trachomatis and other infectious
agents in the development of ovarian cancer, we evaluated the association of
serologic markers with incident ovarian cancer using a staged approach in two
independent populations. Methods: Studies included: 1) a case-control study in
Poland (244 ovarian cancers/556 control subjects) and 2) a prospective nested
case-control study in the PLCO Cancer Screening Trial (160 ovarian cancers/159
control subjects). Associations of serologic marker levels with ovarian cancer
risk at diagnostic as well as higher thresholds, identified in Poland and
independently evaluated in PLCO, were estimated using multivariable adjusted
logistic regression. Results: In the Polish study, antibodies (based on
laboratory cut-point) against the chlamydia plasmid-encoded Pgp3 protein
(serological gold standard) were associated with increased ovarian cancer risk
(adjusted odds ratio [OR] = 1.63, 95% confidence interval [CI] = 1.20 to 2.22);
when a positive result was redefined at higher levels, ovarian cancer risk was
increased (cut-point 2: OR = 2.00, 95% CI = 1.38 to 2.89; cut-point 3 [max OR]:
OR = 2.19, 95% CI = 1.29 to 3.73). In the prospective PLCO study, Pgp3 antibodies
were associated with elevated risk at the laboratory cut-point (OR = 1.43, 95% CI
= 0.78 to 2.63) and more stringent cut-points (cut-point 2: OR = 2.25, 95% CI =
1.07 to 4.71); cut-point 3: OR = 2.53, 95% CI = 0.63 to 10.08). In both studies,
antibodies against other infectious agents measured were not associated with
risk. Conclusions: In two independent populations, antibodies against
prior/current C. trachomatis (Pgp3) were associated with a doubling in ovarian
cancer risk, whereas markers of other infectious agents were unrelated. These
findings lend support for an association between PID and ovarian cancer.
PMID- 29790948
TI - Cardioprotection Induced by Activation of GPER in Ovariectomized Rats With
Pulmonary Hypertension.
AB - Pulmonary hypertension (PH) is a disease of women (female-to-male ratio 4:1), and
is associated with cardiac and skeletal muscle dysfunction. Herein, the
activation of a new estrogen receptor (GPER) by the agonist G1 was evaluated in
oophorectomized rats with monocrotaline (MCT)-induced PH. Depletion of estrogen
was induced by bilateral oophorectomy (OVX) in Wistar rats. Experimental groups
included SHAM or OVX rats that received a single intraperitoneal injection of MCT
(60 mg/kg) for PH induction. Animals received s.c. injection of either vehicle or
G1, a GPER agonist, (400 ug/kg/day) for 14 days after the onset of disease. Rats
with PH exhibited exercise intolerance and cardiopulmonary alterations, including
reduced pulmonary artery flow, biventricular remodeling, and left ventricular
systolic and diastolic dysfunction. The magnitude of these PH-induced changes was
significantly greater in OVX versus SHAM rats. G1 treatment reversed both cardiac
and skeletal muscle functional aberrations caused by PH in OVX rats. G1 reversed
PH-related cardiopulmonary dysfunction and exercise intolerance in female rats, a
finding that may have important implications for the ongoing clinical evaluation
of new drugs for the treatment of the disease in females after the loss of
endogenous estrogens.
PMID- 29790949
TI - Fecal microbiota composition changes after a BW loss diet in Beagle dogs.
AB - In developed countries, dogs and cats frequently suffer from obesity. Recently,
gut microbiota composition in humans has been related to obesity and metabolic
diseases. This study aimed to evaluate changes in body composition, and gut
microbiota composition in obese Beagle dogs after a 17-wk BW loss program. A
total of six neutered adult Beagle dogs with an average initial BW of 16.34 +/-
1.52 kg and BCS of 7.8 +/- 0.1 points (9-point scale) were restrictedly fed with
a hypocaloric, low-fat and high-fiber dry-type diet. Body composition was
assessed with dual-energy X-ray absorptiometry scan, before (T0) and after (T1)
BW loss program. Individual stool samples were collected at T0 and T1 for the 16S
rRNA analyses of gut microbiota. Taxonomic analysis was done with amplicon-based
metagenomic results, and functional analysis of the metabolic potential of the
microbial community was done with shotgun metagenomic results. All dogs reached
their ideal BW at T1, with an average weekly proportion of BW loss of -1.07 +/-
0.03% of starting BW. Body fat (T0, 7.02 +/- 0.76 kg) was reduced by half (P <
0.001), while bone (T0, 0.56 +/- 0.06 kg) and muscle mass (T0, 8.89 +/- 0.80 kg)
remained stable (P > 0.05). The most abundant identified phylum was Firmicutes
(T0, 74.27 +/- 0.08%; T1, 69.38 +/- 0.07%), followed by Bacteroidetes (T0, 12.68
+/- 0.08%; T1, 16.68 +/- 0.05%), Fusobacteria (T0, 7.45 +/- 0.02%; T1, 10.18 +/-
0.03%), Actinobacteria (T0, 4.53 +/- 0.02%; T1, 3.34 +/- 0.01%), and
Proteobacteria (T0, 1.06 +/- 0.01%; T1, 1.40 +/- 0.00%). At genus level, the
presence of Clostridium, Lactobacillus, and Dorea, at T1 decreased (P = 0.028),
while Allobaculum increased (P = 0.046). Although the microbiota communities at
T0 and T1 showed a low separation level when compared (Anosim's R value = 0.39),
they were significantly biodiverse (P = 0.01). Those differences on microbiota
composition could be explained by 13 genus (alpha = 0.05, linear discriminant
analysis (LDA) score > 2.0). Additionally, differences between both communities
could also be explained by the expression of 18 enzymes and 27 pathways (alpha =
0.05, LDA score > 2.0). In conclusion, restricted feeding of a low-fat and high
fiber dry-type diet successfully modifies gut microbiota in obese dogs,
increasing biodiversity with a different representation of microbial genus and
metabolic pathways.
PMID- 29790951
TI - Internet Use and Preventive Health Behaviors Among Couples in Later Life:
Evidence from the Health and Retirement Study.
AB - Background and Objectives: The aim of this study was to examine the link between
internet use and preventive health behaviors. We focused on couples to examine
whether there were cross-partner associations between internet use and preventive
health behaviors. Research Design and Methods: The data for this study came from
the 2010 and 2012 waves of the Health and Retirement Study and the sample
consisted of 5,143 pairs of coupled-individuals. Preventive health behaviors
included cancer screenings (mammogram and prostate tests), cholesterol tests, and
flu shots. Logistic multilevel actor-partner interdependence models were employed
to test the study hypotheses. Results: Internet use was associated with a higher
likelihood of receiving prostate exams and cholesterol tests for husbands, net of
demographic and health characteristics, and insurance status. We found that
wives' internet use was associated with a higher likelihood of receiving flu
shots and prostate exams for husbands, but husbands' internet use was not
associated with wives' preventive health behaviors. Discussion and Implications:
Research linking internet use and preventive health behaviors is important
because such behaviors are associated not only with health of the older
population but also with substantial reductions in health care expenditures. Our
findings suggested that internet use of older adults is associated with their own
preventive health behaviors, as well as their spouses' preventive health
behaviors. Interventions and programs to facilitate older adults' preventive
health behaviors should consider couple-based approaches.
PMID- 29790950
TI - The research data management platform (RDMP): A novel, process driven, open
source tool for the management of longitudinal cohorts of clinical data.
AB - Background: The Health Informatics Centre at the University of Dundee provides a
service to securely host clinical datasets and extract relevant data for
anonymized cohorts to researchers to enable them to answer key research
questions. As is common in research using routine healthcare data, the service
was historically delivered using ad-hoc processes resulting in the slow provision
of data whose provenance was often hidden to the researchers using it. This paper
describes the development and evaluation of the Research Data Management Platform
(RDMP): an open source tool to load, manage, clean, and curate longitudinal
healthcare data for research and provide reproducible and updateable datasets for
defined cohorts to researchers. Results: Between 2013 and 2017, RDMP tool
implementation tripled the productivity of data analysts producing data releases
for researchers from 7.1 to 25.3 per month and reduced the error rate from 12.7%
to 3.1%. The effort on data management reduced from a mean of 24.6 to 3.0 hours
per data release. The waiting time for researchers to receive data after agreeing
a specification reduced from approximately 6 months to less than 1 week. The
software is scalable and currently manages 163 datasets. A total 1,321 data
extracts for research have been produced, with the largest extract linking data
from 70 different datasets. Conclusions: The tools and processes that encompass
the RDMP not only fulfil the research data management requirements of researchers
but also support the seamless collaboration of data cleaning, data
transformation, data summarization and data quality assessment activities by
different research groups.
PMID- 29790952
TI - Why, when and how should exposure be considered at the within-host scale? A
modelling contribution to PRRSv infection.
AB - Understanding the impact of pathogen exposure on the within-host dynamics and its
outcome in terms of infectiousness is a key issue to better understand and
control the infection spread. Most experimental and modelling studies tackling
this issue looked at the impact of the exposure dose on the infection probability
and pathogen load, very few on the within-host immune response. Our aim was to
explore the impact on the within-host response not only of the exposure dose, but
also of its duration and peak, for contrasted virulence levels. We used an
integrative modelling approach of the within-host dynamics at the between-cell
level. We focused on the porcine reproductive and respiratory syndrome virus, a
major concern for the swine industry. We quantified the impact of exposure and
virulence on the viral dynamics and immune response by global sensitivity
analyses and descriptive statistics. We found that the area under the viral
curve, an indicator of the infection severity, was fully determined by the
exposure intensity. The infection duration increased with the strain virulence
and, for a given strain, exhibited a positive linear correlation with the
exposure intensity logarithm and the exposure duration. Taking into account the
exposure intensity is hence necessary. Besides, representing the exposure due to
contacts by a single punctual dose would tend to underestimate the infection
duration. As the infection severity and duration both contribute to the pig
infectiousness, a prolonged exposure of the adequate intensity would be
recommended in an immuno-epidemiological context.
PMID- 29790953
TI - Identifying and avoiding off-target effects of RNase H-dependent antisense
oligonucleotides in mice.
AB - Antisense oligonucleotides that are dependent on RNase H for cleavage and
subsequent degradation of complementary RNA are being developed as therapeutics.
Besides the intended RNA target, such oligonucleotides may also cause degradation
of unintended RNA off-targets by binding to partially complementary target sites.
Here, we characterized the global effects on the mouse liver transcriptome of
four oligonucleotides designed as gapmers, two targeting Apob and two targeting
Pcsk9, all in different regions on their respective intended targets. This study
design allowed separation of intended- and off-target effects on the
transcriptome for each gapmer. Next, we used sequence analysis to identify
possible partially complementary binding sites among the potential off-targets,
and validated these by measurements of melting temperature and RNase H-cleavage
rates. Generally, our observations were as expected in that fewer mismatches or
bulges in the gapmer/transcript duplexes resulted in a higher chance of those
duplexes being effective substrates for RNase H. Follow-up experiments in mice
and cells show, that off-target effects can be mitigated by ensuring that gapmers
have minimal sequence complementarity to any RNA besides the intended target, and
that they do not have exaggerated binding affinity to the intended target.
PMID- 29790955
TI - Letter: Guidelines for the Use of Electrophysiological Monitoring for Surgery of
the Human Spinal Column and Spinal Cord.
PMID- 29790954
TI - Clinical use of intracoronary imaging. Part 1: guidance and optimization of
coronary interventions. An expert consensus document of the European Association
of Percutaneous Cardiovascular Interventions.
AB - This Consensus Document is the first of two reports summarizing the views of an
expert panel organized by the European Association of Percutaneous Cardiovascular
Interventions (EAPCI) on the clinical use of intracoronary imaging including
intravascular ultrasound (IVUS) and optical coherence tomography (OCT). The first
document appraises the role of intracoronary imaging to guide percutaneous
coronary interventions (PCIs) in clinical practice. Current evidence regarding
the impact of intracoronary imaging guidance on cardiovascular outcomes is
summarized, and patients or lesions most likely to derive clinical benefit from
an imaging-guided intervention are identified. The relevance of the use of IVUS
or OCT prior to PCI for optimizing stent sizing (stent length and diameter) and
planning the procedural strategy is discussed. Regarding post-implantation
imaging, the consensus group recommends key parameters that characterize an
optimal PCI result and provides cut-offs to guide corrective measures and
optimize the stenting result. Moreover, routine performance of intracoronary
imaging in patients with stent failure (restenosis or stent thrombosis) is
recommended. Finally, strengths and limitations of IVUS and OCT for guiding PCI
and assessing stent failures and areas that warrant further research are
critically discussed.
PMID- 29790957
TI - Cultivating Collaborations: Site Specific Design for Embodied Science Learning.
AB - Immersion in well-designed outdoor environments can foster the habits of mind
that enable critical and authentic scientific questions to take root in students'
minds. Here we share two design cases in which careful, collaborative, and
intentional design of outdoor learning environments for informal inquiry provide
people of all ages with embodied opportunities to learn about the natural world,
developing the capacity for understanding ecology and the ability to empathize,
problem-solve, and reflect. Embodied learning, as facilitated by and in well
designed outdoor learning environments, leads students to develop new ways of
seeing, new scientific questions, new ways to connect with ideas, with others,
and new ways of thinking about the natural world. Using examples from our
collaborative practises as experiential learning designers, we illustrate how
creating the habits of mind critical to creating scientists, science-interested,
and science-aware individuals benefits from providing students spaces to engage
in embodied learning in nature. We show how public landscapes designed in
creative partnerships between educators, scientists, designers, and the public
have potential to amplify science learning for all.
PMID- 29790958
TI - Corrigendum.
PMID- 29790956
TI - 5-Hydroxymethylcytosine alterations in the human postmortem brains of autism
spectrum disorder.
AB - Autism spectrum disorders (ASDs) include a group of syndromes characterized by
impaired language, social and communication skills, in addition to restrictive
behaviors or stereotypes. However, with a prevalence of 1.5% in developed
countries and high comorbidity rates, no clear underlying mechanism that unifies
the heterogeneous phenotypes of ASD exists. 5-hydroxymethylcytosine (5hmC) is
highly enriched in the brain and recognized as an essential epigenetic mark in
developmental and brain disorders. To explore the role of 5hmC in ASD, we used
the genomic DNA isolated from the postmortem cerebellum of both ASD patients and
age-matched controls to profile genome-wide distribution of 5hmC. We identified
797 age-dependent differentially hydroxymethylated regions (DhMRs) in the young
group (age <= 18), while no significant DhMR was identified in the groups over 18
years of age. Pathway and disease association analyses demonstrated that the
intragenic DhMRs were in the genes involved in cell-cell communication and
neurological disorders. Also, we saw significant 5hmC changes in the larger group
of psychiatric genes. Interestingly, we found that the predicted cis functions of
non-coding intergenic DhMRs strikingly associate with ASD and intellectual
disorders. A significant fraction of intergenic DhMRs overlapped with
topologically associating domains. These results together suggest that 5hmC
alteration is associated with ASD, particularly in the early development stage,
and could contribute to the pathogenesis of ASD.
PMID- 29790959
TI - Peripheral blood gene expression reveals an inflammatory transcriptomic signature
in Friedreich's ataxia patients.
AB - Transcriptional changes in Friedreich's ataxia (FRDA), a rare and debilitating
recessive Mendelian neurodegenerative disorder, have been studied in affected but
inaccessible tissues-such as dorsal root ganglia, sensory neurons and cerebellum
in animal models or small patient series. However, transcriptional changes
induced by FRDA in peripheral blood, a readily accessible tissue, have not been
characterized in a large sample. We used differential expression, association
with disability stage, network analysis and enrichment analysis to characterize
the peripheral blood transcriptome and identify genes that were differentially
expressed in FRDA patients (n = 418) compared with both heterozygous expansion
carriers (n = 228) and controls (n = 93 739 individuals in total), or were
associated with disease progression, resulting in a disease signature for FRDA.
We identified a transcriptional signature strongly enriched for an inflammatory
innate immune response. Future studies should seek to further characterize the
role of peripheral inflammation in FRDA pathology and determine its relevance to
overall disease progression.
PMID- 29790960
TI - ComplexContact: a web server for inter-protein contact prediction using deep
learning.
AB - ComplexContact (http://raptorx2.uchicago.edu/ComplexContact/) is a web server for
sequence-based interfacial residue-residue contact prediction of a putative
protein complex. Interfacial residue-residue contacts are critical for
understanding how proteins form complex and interact at residue level. When
receiving a pair of protein sequences, ComplexContact first searches for their
sequence homologs and builds two paired multiple sequence alignments (MSA), then
it applies co-evolution analysis and a CASP-winning deep learning (DL) method to
predict interfacial contacts from paired MSAs and visualizes the prediction as an
image. The DL method was originally developed for intra-protein contact
prediction and performed the best in CASP12. Our large-scale experimental test
further shows that ComplexContact greatly outperforms pure co-evolution methods
for inter-protein contact prediction, regardless of the species.
PMID- 29790962
TI - In response to letter: Opioids use in ICU: a causal agent for sleep apnea,
unmasking the undiagnosed sleep apnea or both in the ICU.
PMID- 29790961
TI - Increased vulnerability to attentional failure during acute sleep deprivation in
women depends on menstrual phase.
AB - Study Objectives: To investigate sex differences in the effect of sleep
deprivation on performance, accounting for menstrual phase in women. Methods: We
examined alertness data from 124 healthy women and men (40 women, 84 men; aged 18
30 years) who maintained wakefulness for at least 30 hr in a laboratory setting
using a constant routine protocol. Objective alertness was assessed every 2 hr
using a 10 min psychomotor vigilance task. Subjective alertness was assessed
every hour via the Karolinska Sleepiness Scale. Results: Women in the follicular
phase of the menstrual cycle demonstrated the poorest level of performance. This
poor performance was most pronounced at times corresponding to the typical sleep
episode, demonstrating a window of vulnerability at night during this menstrual
phase. At 24 hr awake, over 60 per cent of their responses were lapses of >500 ms
and over one-third of their responses were longer lapses of at least 3 s in
duration. Women in the luteal phase, however, were relatively protected from
alertness failure, performing similar or better than both follicular-phase women
and men. Conclusions: These results have important implications for education and
intervention programs for shift workers, specifically during times of
vulnerability to attentional failure that increase risk of injury.
PMID- 29790965
TI - Rotavirus Vaccines: Mind Your Ps and Gs.
PMID- 29790963
TI - The presenilin loop region is essential for glycogen synthase kinase 3 beta
(GSK3beta) mediated functions on motor proteins during axonal transport.
AB - Neurons require intracellular transport of essential components for function and
viability and defects in transport has been implicated in many neurodegenerative
diseases including Alzheimer's disease (AD). One possible mechanism by which
transport defects could occur is by improper regulation of molecular motors.
Previous work showed that reduction of presenilin (PS) or glycogen synthase
kinase 3 beta (GSK3beta) stimulated amyloid precursor protein vesicle motility.
Excess GSK3beta caused transport defects and increased motor binding to
membranes, while reduction of PS decreased active GSK3beta and motor binding to
membranes. Here, we report that functional PS and the catalytic loop region of PS
is essential for the rescue of GSK3beta-mediated axonal transport defects.
Disruption of PS loop (PSDeltaE9) or expression of the non-functional PS variant,
PSD447A, failed to rescue axonal blockages in vivo. Further, active GSK3beta
associated with and phosphorylated kinesin-1 in vitro. Our observations together
with previous work that showed that the loop region of PS interacts with GSK3beta
propose a scaffolding mechanism for PS in which the loop region sequesters
GSK3beta away from motors for the proper regulation of motor function. These
findings are important to uncouple the complex regulatory mechanisms that likely
exist for motor activity during axonal transport in vivo.
PMID- 29790966
TI - TCRmodel: high resolution modeling of T cell receptors from sequence.
AB - T cell receptors (TCRs), along with antibodies, are responsible for specific
antigen recognition in the adaptive immune response, and millions of unique TCRs
are estimated to be present in each individual. Understanding the structural
basis of TCR targeting has implications in vaccine design, autoimmunity, as well
as T cell therapies for cancer. Given advances in deep sequencing leading to
immune repertoire-level TCR sequence data, fast and accurate modeling methods are
needed to elucidate shared and unique 3D structural features of these molecules
which lead to their antigen targeting and cross-reactivity. We developed a new
algorithm in the program Rosetta to model TCRs from sequence, and implemented
this functionality in a web server, TCRmodel. This web server provides an easy to
use interface, and models are generated quickly that users can investigate in the
browser and download. Benchmarking of this method using a set of nonredundant
recently released TCR crystal structures shows that models are accurate and
compare favorably to models from another available modeling method. This server
enables the community to obtain insights into TCRs of interest, and can be
combined with methods to model and design TCR recognition of antigens. The
TCRmodel server is available at: http://tcrmodel.ibbr.umd.edu/.
PMID- 29790967
TI - Common Genetic Basis of Eye and Pigment Loss in Two Distinct Cave Populations of
the Isopod Crustacean Asellus aquaticus.
AB - Repeated evolution of similar phenotypes is a widespread phenomenon found
throughout the living world and it can proceed through the same or different
genetic mechanisms. Cave animals with their convergent traits such as eye and
pigment loss, as well as elongated appendages, are a striking example of the
evolution of similar phenotypes. Yet, few cave species are amenable to genetic
crossing and mapping techniques making it challenging to determine the genetic
mechanisms causing their similar phenotypes. To address this limitation, we have
been developing Asellus aquaticus, a freshwater isopod crustacean, as a genetic
model. Many of its cave populations originate from separate colonization events
and thus independently evolved their similar cave-related phenotypes which differ
from the still existent ancestral-like surface populations. In our prior work, we
identified genomic regions responsible for eye and pigment loss in a single cave
population from Slovenia. In this study we examined another, independently
evolved cave population, also from Slovenia, and asked whether the same or
different genomic regions are responsible for eye and pigment loss in the two
cave populations. We generated F2 and backcross hybrids with a surface
population, genotyped them for the previously identified genomic regions, and
performed a complementation test by crossing individuals from the two cave
populations. We found out that the same genomic regions are responsible for eye
and pigment loss and that at least one of the genes causing pigment loss is the
same in both cave populations. Future studies will identify the actual genes and
mutations, as well as examine additional cave populations to see if the same
genes are commonly associated with eye and pigment loss in this species.
PMID- 29790968
TI - "Macrovascular" Decompression of Dolichoectatic Vertebral Artery Causing
Hemifacial Spasm Using Goretex Sling: 2-Dimensional Operative Video.
AB - Hemifacial spasm is characterized by painless and involuntary spasms of the
muscles supplied by the facial nerve, most commonly involving the orbicularis
oculi. The most common cause of hemifacial spasm is compression of the facial
nerve's root by the anterior inferior, or posterior inferior, cerebellar arteries
(AICA or PICA). However, in <1% of cases, the compression can be due to a
dolichoectatic vertebral artery. Microvascular decompression using Teflon patties
may be sufficient when the offending artery is small (eg, AICA or PICA). However,
the size and tortuosity of the vertebral artery (especially one that is
dolichoectatic) may require a more robust means of decompression (ie,
"macrovascular decompression"). In this operative video we demonstrate our
technique for managing a patient with hemifacial spasm due to a dolicoectatic
vertebral artery. We use a Goretex(r) (W.L. Gore & Associates Inc, Newark,
Delaware) sling secured to the dura of the posterior petrous ridge to suspend the
vertebral and posterior inferior cerebellar arteries, thereby decompressing the
root entry zone of the facial nerve. Teflon felt pieces are added as a second
layer of security. Key steps to this technique include: (1) visualization of the
root entry zone, (2) extensive arachnoid dissection to allow adequate
mobilization of the vertebral artery, 12 and (3) securing the sling in a
trajectory that prevents kinking of the vertebral artery and its branches.
PMID- 29790964
TI - Evidence of evolutionary history and selective sweeps in the genome of Meishan
pig reveals its genetic and phenotypic characterization.
AB - Background: Meishan is a pig breed indigenous to China and famous for its high
fecundity. The traits of Meishan are strongly associated with its distinct
evolutionary history and domestication. However, the genomic evidence linking the
domestication of Meishan pigs with its unique features is still poorly
understood. The goal of this study is to investigate the genomic signatures and
evolutionary evidence related to the phenotypic traits of Meishan via large-scale
sequencing. Results: We found that the unique domestication of Meishan pigs
occurred in the Taihu Basin area between the Majiabang and Liangzhu Cultures,
during which 300 protein-coding genes have underwent positive selection. Notably,
enrichment of the FoxO signaling pathway with significant enrichment signal and
the harbored gene IGF1R were likely associated with the high fertility of Meishan
pigs. Moreover, NFKB1 exhibited strong selective sweep signals and positively
participated in hyaluronan biosynthesis as the key gene of NF-kB signaling, which
may have resulted in the wrinkled skin and face of Meishan pigs. Particularly,
three population-specific synonymous single-nucleotide variants occurred in
PYROXD1, MC1R, and FAM83G genes; the T305C substitution in the MCIR gene
explained the black coat of the Meishan pigs well. In addition, the shared
haplotypes between Meishan and Duroc breeds confirmed the previous Asian-derived
introgression and demonstrated the specific contribution of Meishan pigs.
Conclusions: These findings will help us explain the unique genetic and
phenotypic characteristics of Meishan pigs and offer a plausible method for their
utilization of Meishan pigs as valuable genetic resources in pig breeding and as
an animal model for human wrinkled skin disease research.
PMID- 29790969
TI - Microcatheter "First-Pass Effect" Predicts Acute Intracranial Artery
Atherosclerotic Disease-Related Occlusion.
AB - BACKGROUND: The differentiation between intracranial atherosclerotic stenosis
(ICAS) and intracranial embolism as the immediate cause of acute ischemic stroke
requiring endovascular therapy is important but challenging. In cases of ICAS, we
often observe a phenomenon we call the microcatheter "first-pass effect," which
is temporary blood flow through the occluded intracranial artery when the
angiographic microcatheter is initially advanced through the site of total
occlusion and immediately retrieved proximally. OBJECTIVE: To evaluate whether
this microcatheter first-pass effect can be used to differentiate ICAS from
intracranial embolism. METHODS: A total of 61 patients with acute ischemic stroke
resulting from large intracranial artery occlusion and in whom recanalization was
achieved by endovascular treatment were included in the study. The microcatheter
first-pass effect was tested in these patients. The sensitivity, specificity,
positive predictive values (PPV), and accuracy of the microcatheter first-pass
effect for prediction of ICAS were assessed. RESULTS: The microcatheter first
pass effect was more frequently observed in patients with ICAS than in those with
intracranial embolism (90.9% vs 12.8%, P < .001). For identifying ICAS,
sensitivity, specificity, PPV, and accuracy of the microcatheter first-pass
effect were 90.9%, 87.2%, 80.0%, 88.5%, respectively. CONCLUSION: The sensitivity
and PPV of the microcatheter first-pass effect are high for prediction of ICAS in
patients with acute symptoms.
PMID- 29790970
TI - Balloon Occlusion Testing to Assess Retinal Collateral and Predict Visual
Outcomes in the Management of a Fusiform Intraorbital Ophthalmic Artery Aneurysm:
Technical Note and Literature Review.
AB - BACKGROUND AND IMPORTANCE: Aneurysms of the ophthalmic artery (OA) within the
orbit and optic canal are extremely rare. Given the peripheral location of these
aneurysms and the fact that most are fusiform, parent artery occlusion is
preferred for symptomatic aneurysms. However, the impact of OA occlusion on
vision is not always innocuous. Balloon occlusion testing (BOT) of the OA has the
potential to gauge the possibility of visual compromise, in addition to assessing
collaterals. While BOT has been assessed for carotid artery occlusion, its role
in OA occlusion has not been well defined. CLINICAL PRESENTATION: We describe a
patient with a 6-mm intracanalicular OA aneurysm who was treated with
endovascular coil embolization of the aneurysm and occlusion of the parent
artery. We performed a 30-min balloon occlusion test, in which we verified intact
visual and neurological function. Collateral vascularity was confirmed. Complete
occlusion was achieved and the patient recovered with intact visual and
neurological function. We provide a review of literature pertaining to parent
artery occlusion of OA aneurysms that occur within optic canal and orbit. A brief
note on anatomy of OA and collaterals is provided. CONCLUSION: Endovascular
parent artery occlusion is an effective treatment for OA aneurysms that occur in
optic canal and orbit. Assessment of effect of occlusion on vision is important.
BOT can assess this and provide valuable information. Recovery or preservation of
visual function is highly dependent on preoperative visual status.
PMID- 29790971
TI - Brain Activity Associated With Attention Deficits Following Chemotherapy for
Childhood Acute Lymphoblastic Leukemia.
AB - Background: The impact of contemporary chemotherapy treatment for childhood acute
lymphoblastic leukemia on central nervous system activity is not fully
appreciated. Methods: Neurocognitive testing and functional magnetic resonance
imaging (fMRI) were obtained in 165 survivors five or more years postdiagnosis
(average age = 14.4 years, 7.7 years from diagnosis, 51.5% males). Chemotherapy
exposure was measured as serum concentration of methotrexate following high-dose
intravenous injection. Neurocognitive testing included measures of attention and
executive function. fMRI was obtained during completion of two tasks, the
continuous performance task (CPT) and the attention network task (ANT). Image
analysis was performed using Statistical Parametric Mapping software, with
contrasts targeting sustained attention, alerting, orienting, and conflict. All
statistical tests were two-sided. Results: Compared with population norms,
survivors demonstrated impairment on number-letter switching (P < .001, a measure
of cognitive flexibility), which was associated with treatment intensity (P =
.048). Task performance during fMRI was associated with neurocognitive
dysfunction across multiple tasks. Regional brain activation was lower in
survivors diagnosed at younger ages for the CPT (bilateral parietal and temporal
lobes) and the ANT (left parietal and right hippocampus). With higher serum
methotrexate exposure, CPT activation decreased in the right temporal and
bilateral frontal and parietal lobes, but ANT alerting activation increased in
the ventral frontal, insula, caudate, and anterior cingulate. Conclusions: Brain
activation during attention and executive function tasks was associated with
serum methotrexate exposure and age at diagnosis. These findings provide evidence
for compromised and compensatory changes in regional brain function that may help
clarify the neural substrates of cognitive deficits in acute lymphoblastic
leukemia survivors.
PMID- 29790972
TI - Isolation and biological characterization of mesenchymal stem cells from goose
dermis.
AB - The skin is a natural target of stem cell research because of its large size and
easy accessibility. Cutaneous mesenchymal stem cells have shown to be a promising
source of various adult stem cell or progenitor cell populations, which provide
an important source of stem cell-based investigation. Nowadays, much work has
been done on dermal-derived mesenchymal stem cells (DMSCs) from humans, mice,
sheep, and other mammals, but the literature on avian species has been rarely
reported. As an animal model, the goose is an endemic species abounding in dermal
tissues which is important in the global economy. In this study, we isolated and
established the mesenchymal stem cell line from dermis tissue of goose, which
were subcultured to passage 21 in vitro without loss of their functional
integrity in terms of morphology, renewal capacity, and presence of mesenchymal
stem cell markers. Cryopreservation and resuscitation were also observed in
different passages. To investigate the biological characteristics of goose DMSCs,
immunofluorescence, reverse transcription-polymerase chain reaction, and flow
cytometry were used to detect the characteristic surface markers. Growth curves
and the capacity of colony forming were performed to test the self-renew and
proliferative ability. Furthermore, the DMSCs are induced to osteoblasts,
adipocytes, and chondrocytes in vitro. Our results suggest that DMSCs isolated
from goose embryos possess similar biological characteristics to those from other
species. The methods in establishment and cultivation of goose DMSCs line
demonstrated a good self-renew and expansion potential in vitro, which provided a
technological platform for preserving the valuable genetic resources of poultry
and a great inspiration for in vitro investigation of avian MSCs.
PMID- 29790973
TI - Overestimation of Albumin Measured by Bromocresol Green vs Bromocresol Purple
Method: Influence of Acute-Phase Globulins.
AB - Background: Usually serum albumin is measured with dye-binding assay as
bromocresol green (BCG) and bromocresol purple (BCP) methods. The aim of this
paper was to examine the differences in albumin measurements between the
Advia2400 BCG method (AlbBCG), Dimension RxL BCP (AlbBCP) and capillary zone
electrophoresis (CZE). Methods: Albumin concentrations from 165 serum samples
were analysed using AlbBCG, AlbBCP and CZE. CZE was employed to estimate
different serum protein fractions. Influence of globulins on albumin
concentration discrepancies between methods was estimated as well as the impact
of the albumin method on aCa concentrations. Medcalc was employed for statistical
analysis, setting a value of P < 0.05 as significant. Results: Correlation of
AlbBCG and AlbBCP was r = 0.948 (p < 0.0001), but mean difference was large.
Bland-Altman plots showed greater bias at lower albumin concentrations. AlbBCG
were positively biased versus CZE (3.54 g/L). There was good agreement between
CZE and ALbBCP (< 1 g/L). The AlbBCG assay bias shows a good correlation with
alpha-1-globulin concentrations (r = 0.758); moderate and weak correlations were
observed with CRP (r = 0.729) and alpha-2-globulin (r = 0.585); we found no
correlation with beta-globulin (r = 0.120) or gamma-globulin (r = -0.303). Mean
aCa based on AlbBCG and AlbBCP methods were 2.34 +/- 0.15 mmol/L and 2.46 +/-
0.16 mmol/L (p < 0.01), with a mean BCG-BCP difference of -0.12. Conclusion:
Albumin results from the BCP and BCG methods may result in unacceptable
differences and clinical confusion, especially at lower albumin concentrations.
Serum acute phase proteins contribute to overestimating the albumin concentration
using AlbBCG.
PMID- 29790975
TI - In Reply: Guidelines for the Use of Electrophysiological Monitoring for Surgery
of the Human Spinal Column and Spinal Cord.
PMID- 29790974
TI - CRISPRCasFinder, an update of CRISRFinder, includes a portable version, enhanced
performance and integrates search for Cas proteins.
AB - CRISPR (clustered regularly interspaced short palindromic repeats) arrays and
their associated (Cas) proteins confer bacteria and archaea adaptive immunity
against exogenous mobile genetic elements, such as phages or plasmids.
CRISPRCasFinder allows the identification of both CRISPR arrays and Cas proteins.
The program includes: (i) an improved CRISPR array detection tool facilitating
expert validation based on a rating system, (ii) prediction of CRISPR orientation
and (iii) a Cas protein detection and typing tool updated to match the latest
classification scheme of these systems. CRISPRCasFinder can either be used online
or as a standalone tool compatible with Linux operating system. All third-party
software packages employed by the program are freely available. CRISPRCasFinder
is available at https://crisprcas.i2bc.paris-saclay.fr.
PMID- 29790976
TI - Cancer Dissemination, Hydrocephalus, and Survival After Cerebral Ventricular
Entry During High-Grade Glioma Surgery: A Meta-Analysis.
AB - BACKGROUND: The consequences of ventricular entry during resection of high-grade
gliomas (HGG) are uncertain and often not detectable clinically. OBJECTIVE: To
reveal odds of tumor dissemination, hydrocephalus, and mortality in adult
patients who had ventricular entry during surgical resection of HGG. METHODS:
Titles and abstracts of published journals in the NCBI/NLM PubMed and OVID EMBASE
databases were searched without language restriction and systematically screened.
Outcomes extracted included the odds of leptomeningeal dissemination and
hydrocephalus in patients with ventricular entry during HGG resection compared to
without. They were analyzed using a random-effects model to calculate summary
odds ratios (sORs). Overall survival data were also compared between patients
with and without ventricular entry. RESULTS: Twenty final studies with 2251 total
patients were included from the 6910 retrieved. Patients with ventricular entry
during HGG resection demonstrated higher odds of leptomeningeal dissemination
(sOR: 3.91 [95% confidence interval (CI): 1.89-8.10]; P = .0002; 86/410 vs 57/847
patients in 9 studies) and hydrocephalus (sOR: 7.78 [95% CI: 3.77-16.05]; P <
.00001; 58/431 vs 11/565 patients in 11 studies). They also had decreased
survival (median survival: 16.8 vs 19.1 mo; 413 vs 322 patients in 10 studies;
hazard ratio: 1.25 [95% CI: 1.05-1.48], P = .01). CONCLUSION: The association
between ventricular entry during HGG resection and tumor dissemination,
hydrocephalus, and decreased survival invites investigations to understand this
link. Neurosurgeons and neuro-oncologists must be aware of the consequences of
ventricular entry during surgery for HGG.
PMID- 29790977
TI - alpha-Synuclein aggregates in labial salivary glands of idiopathic rapid eye
movement sleep behavior disorder.
AB - Study Objectives: To assess whether biopsy of the labial minor salivary glands
safely detects phosphorylated alpha-synuclein (pAS) deposits in idiopathic rapid
eye movement sleep behavior disorder (IRBD), a condition that precedes the
cardinal manifestations of synuclein disorders associated with Lewy-type
pathology, namely, Parkinson's disease (PD) and dementia with Lewy bodies (DLB).
Methods: In a prospective study, labial biopsy of the minor salivary glands was
performed in 62 patients with IRBD, 13 patients with PD, and 10 patients with DLB
who were initially diagnosed with IRBD, and in 33 controls. Aggregates of pAS
were assessed by immunohistochemistry using antiserine 129-pAS antibody and the
conformation-specific 5G4 antibody. Results: Sufficient biopsy material
containing glandular parenchyma was obtained in all participants. Deposits of pAS
were found in 31 of 62 (50%) participants with IRBD, 7 of 13 (54%) with PD, 5 of
10 (50%) with DLB, and in one of the 33 (3%) controls. Participants with IRBD,
PD, and DLB with and without pAS immunoreactivity did not differ in demographic
and clinical features. Adverse events were lip bruising (9.2%), swelling (6.6%),
pain (2.4%), and numbness (1.7%) which were mild and transitory and did not
require treatment. Conclusions: Labial minor salivary glands biopsy proved to be
a safe and useful procedure to identify pAS in participants with IRBD, and in
participants with PD and DLB initially diagnosed with IRBD. The biopsy provides
direct histopathological evidence that IRBD represents a synucleinopathy and that
could be useful for histological confirmation of synuclein pathology in PD and
DLB.
PMID- 29790978
TI - Mobilization of the Anterior/Posterior Inferior Cerebellar Artery on the
Cerebellar Surface in Microvascular Decompression Surgery for Hemifacial Spasm:
Potential Effect on Hearing Preservation.
AB - BACKGROUND: The infrafloccular approach in microvascular decompression (MVD) for
hemifacial spasm (HFS) reduces the risk of postoperative hearing impairment.
However, location of the anterior/posterior inferior cerebellar artery
(AICA/PICA) on the cerebellar surface in the surgical route requires mobilization
to maintain the approach direction for the protection of hearing function.
OBJECTIVE: To evaluate the effectiveness of mobilization of the AICA/PICA on the
cerebellar surface in the surgical route. METHODS: Retrospective review of 101
patients dividing their cases into 2 groups, the mobilized group and nonmobilized
group. Surgical results, brainstem auditory evoked potentials (BAEPs), age, and
duration of microsurgery were compared. In the mobilized group, whether the
artery was responsible for the HFS or not, and whether the artery branched
perforators to the cerebellar surface or choroid plexus or not, were analyzed.
RESULTS: No permanent hearing impairment occurred in any patient. The AICA/PICA
was mobilized in 26 patients. No significant difference was found in surgical
results, BAEP findings, and duration of microsurgery between the 2 groups, but
age was younger in the mobilized group (P < .01). The mobilized artery was
responsible in 14 cases and branched perforators in 7 cases in the mobilized
group. The perforators did not obstruct mobilization. CONCLUSION: Mobilization of
the AICA/PICA from the cerebellar surface is a useful technique to maintain the
infrafloccular approach in MVD for HFS. This technique reduces the risk of
postoperative hearing impairment.
PMID- 29790979
TI - Petrosal Meningiomas: Factors Affecting Outcome and the Role of Intraoperative
Multimodal Assistance to Microsurgery.
AB - BACKGROUND: Petrous meningiomas (PMs) represent a subset of posterior fossa
tumors accounting for ~8% of all intracranial meningiomas. Surgical treatment of
PMs is challenging because of their relationships with vital neurovascular
structures of the cerebellopontine angle. OBJECTIVE: To investigate independent
pre- and intraoperative predictors of PM surgery outcome. METHODS: We reviewed
the surgical and outcome data of patients who underwent microsurgical resection
of PMs from 1997 to 2016. From 2007 onward, a multimodal intraoperative protocol
consisting of intraoperative neuromonitoring (IONM), endoscopy, and indocyanine
green (ICG) videoangiography was applied. Outcome variables included extent of
resection, Karnofsky performance status (KPS), overall survival, and progression
free survival (PFS). RESULTS: A total of 54 patients were included. Independent
predictors of gross total resection (GTR) included retromeatal location (P <
.0175; odds ratio [OR] 4.05), absence of brainstem compression (P < .02; OR
3.55), and histological WHO grade I (P < .001; OR 3.47). Nongiant size (P < .012;
OR 4.38), and WHO grade I (P < .0001; OR 7.7) were independent predictors of
stable or improved KPS. The use of multimodal intraoperative tools to assist
surgery independently predicted GTR (P < .002; OR 6.8) and good KPS (P < .018; OR
4.23). Nongiant size (P = .01) and WHO grade I (P = .002) were significantly
associated with increased PFS. CONCLUSION: Notwithstanding the limitations of a
retrospective study, our results suggest that support of microsurgery by a
combination of IONM, endoscopy, and ICG videoangiography may improve patient
outcome in PM surgery.
PMID- 29790981
TI - Economic Impact of Aging on the Initial Spine Care of Patients With Acute Spine
Trauma: From Bedside to Teller.
AB - BACKGROUND: Aging of the population has prompted an escalation of service
utilization and costs in many jurisdictions including North America. However,
relatively little is known on the economic impact of old age on the management of
acute spine trauma (AST). OBJECTIVE: To examine the potential effects of age on
the service utilization and costs of the management of patients with acute spine
trauma. METHODS: This retrospective cohort study included consecutive patients
with AST admitted to an acute spine care unit of a Canadian quaternary university
hospital between February, 2002 and September, 2007. The study population was
grouped into elderly (>=65 yr) and younger individuals. All costing data were
converted and updated to US dollars in June/2017. RESULTS: There were 55 women
and 91 men with AST (age range: 16-92 yr, mean age of 49.9 yr) of whom 37 were
elderly. The mean total hospital costs for initial admission after AST in the
elderly (USD $19 338 +/- $4892) were significantly greater than among younger
individuals (USD $13 775 +/- $1344). However, elderly people had significantly
lower per diem total, fixed, direct, and indirect costs for AST than younger
individuals. Both groups were comparable regarding the proportion of services
utilized in the acute care hospital. CONCLUSION: Given the escalating demand for
surgical and nonsurgical spine treatment in the age of aging population, the
timely results of this study underline key aspects of the economic impact of the
spine care of the elderly. Further investigations are needed to fulfill
significant knowledge gaps on the economics of caring for elderly with AST.
PMID- 29790982
TI - Peritumoral Edema Relative to Meningioma Size Predicts Functional Outcomes after
Resection in Older Patients.
AB - BACKGROUND: Resection of meningiomas in older adults is associated with increased
complications and postoperative functional deficits. Extent of peritumoral edema
(PTE), which has been associated with surgical prognosis, may represent a
preoperative risk marker for poorer outcomes in older adults. OBJECTIVE: To
quantitatively evaluate the relationship between preoperative PTE and
postresection outcomes in older meningioma patients. METHODS: One hundred twelve
older meningioma patients (age >= 60) with evidence of PTE on MRI were reviewed.
Extent of PTE, measured as a ratio of edema to tumor volume (edema index, EI)
using semiautomatic image-processing software, was correlated with postresection
outcomes. Other preoperative factors were included as covariates in multivariate
analyses. Results were compared to matched nonedema older patients. Receiver
operating characteristic (ROC) curve analysis was performed to identify cut-off
EI values to predict postoperative outcomes. RESULTS: EI was associated with
functional decline (as measured by Karnofsky Performance Status, KPS) at 6 mo, 1,
2 yr, and most recent follow-up (Ps < .05), but not among the nonedema matched
patients. Seizure or prior stroke additionally trended towards increasing the
likelihood of lower KPS at 2 yr (odds ratio = 3.06) and last follow-up (odds
ratio = 5.55), respectively. ROC curve analysis found optimal cut-off values for
EI ranging from 2.01 to 3.37 to predict lower KPS at each follow-up interval.
Sensitivities ranged from 60% to 80%, specificities from 78% to 89%, and positive
and negative predictive values from 38% to 58% and 80% to 97%. CONCLUSION:
Preoperative PTE may represent a significant marker of poor functional outcome
risk in older adults and provides a quantitative measurement to incorporate into
surgical decision-making.
PMID- 29790980
TI - Contrasting Patterns of Genomic Diversity Reveal Accelerated Genetic Drift but
Reduced Directional Selection on X-Chromosome in Wild and Domestic Sheep Species.
AB - Analyses of genomic diversity along the X chromosome and of its correlation with
autosomal diversity can facilitate understanding of evolutionary forces in
shaping sex-linked genomic architecture. Strong selective sweeps and accelerated
genetic drift on the X-chromosome have been inferred in primates and other model
species, but no such insight has yet been gained in domestic animals compared
with their wild relatives. Here, we analyzed X-chromosome variability in a large
ovine data set, including a BeadChip array for 943 ewes from the world's sheep
populations and 110 whole genomes of wild and domestic sheep. Analyzing whole
genome sequences, we observed a substantially reduced X-to-autosome diversity
ratio (~0.6) compared with the value expected under a neutral model (0.75). In
particular, one large X-linked segment (43.05-79.25 Mb) was found to show
extremely low diversity, most likely due to a high density of coding genes,
featuring highly conserved regions. In general, we observed higher nucleotide
diversity on the autosomes, but a flat diversity gradient in X-linked segments,
as a function of increasing distance from the nearest genes, leading to a
decreased X: autosome (X/A) diversity ratio and contrasting to the positive
correlation detected in primates and other model animals. Our evidence suggests
that accelerated genetic drift but reduced directional selection on X chromosome,
as well as sex-biased demographic events, explain low X-chromosome diversity in
sheep species. The distinct patterns of X-linked and X/A diversity we observed
between Middle Eastern and non-Middle Eastern sheep populations can be explained
by multiple migrations, selection, and admixture during the domestic sheep's
recent postdomestication demographic expansion, coupled with natural selection
for adaptation to new environments. In addition, we identify important novel
genes involved in abnormal behavioral phenotypes, metabolism, and immunity, under
selection on the sheep X-chromosome.
PMID- 29790984
TI - Co-translational protein targeting in bacteria.
AB - About 30% of all bacterial proteins execute their function outside of the cytosol
and have to be transported into or across the cytoplasmic membrane. Bacteria use
multiple protein transport systems in parallel, but the majority of proteins
engage two distinct targeting systems. One is the co-translational targeting by
two universally conserved GTPases, the signal recognition particle (SRP) and its
receptor FtsY, which deliver inner membrane proteins to either the SecYEG
translocon or the YidC insertase for membrane insertion. The other targeting
system depends on the ATPase SecA, which targets secretory proteins, i.e.
periplasmic and outer membrane proteins, to SecYEG for their subsequent ATP
dependent translocation. While SRP selects its substrates already very early
during their synthesis, the recognition of secretory proteins by SecA is believed
to occur primarily after translation termination, i.e. post-translationally. In
this review we highlight recent progress on how SRP recognizes its substrates at
the ribosome and how the fidelity of the targeting reaction to SecYEG is
maintained. We furthermore discuss similarities and differences in the SRP
dependent targeting to either SecYEG or YidC and summarize recent results that
suggest that some membrane proteins are co-translationally targeted by SecA.
PMID- 29790986
TI - Monitoring substrate enables real-time regulation of a protein localization
pathway.
AB - Protein localization machinery supports cell survival and physiology, suggesting
the potential importance of its expression regulation. Here, we summarize a
remarkable scheme of regulation, which allows real-time feedback regulation of
the machinery expression. A class of regulatory nascent polypeptides, called
monitoring substrates, undergoes force-sensitive translation arrest. The
resulting ribosome stalling on the mRNA then affects mRNA folding to expose the
ribosome-binding site of the downstream target gene and upregulate its
translation. The target gene encodes a component of the localization machinery,
whose physical action against the monitoring substrate leads to arrest
cancellation. Thus, this scheme of feedback loop allows the cell to adjust the
amount of the machinery to correlate inversely with the effectiveness of the
process at a given moment. The system appears to have emerged late in evolution,
in which a narrow range of organisms selected a distinct monitoring substrate
machinery combination. Currently, regulatory systems of SecM-SecA, VemP-SecDF2
and MifM-YidC2 are known to occur in different bacterial species.
PMID- 29790983
TI - Climate change and One Health.
AB - The journal The Lancet recently published a countdown on health and climate
change. Attention was focused solely on humans. However, animals, including
wildlife, livestock and pets, may also be impacted by climate change.
Complementary to the high relevance of awareness rising for protecting humans
against climate change, here we present a One Health approach, which aims at the
simultaneous protection of humans, animals and the environment from climate
change impacts (climate change adaptation). We postulate that integrated
approaches save human and animal lives and reduce costs when compared to public
and animal health sectors working separately. A One Health approach to climate
change adaptation may significantly contribute to food security with emphasis on
animal source foods, extensive livestock systems, particularly ruminant
livestock, environmental sanitation, and steps towards regional and global
integrated syndromic surveillance and response systems. The cost of outbreaks of
emerging vector-borne zoonotic pathogens may be much lower if they are detected
early in the vector or in livestock rather than later in humans. Therefore,
integrated community-based surveillance of zoonoses is a promising avenue to
reduce health effects of climate change.
PMID- 29790988
TI - Evaluation of a Music Therapy Social Skills Development Program for Youth with
Limited Resources.
AB - Background: Children living in low-resource communities are at risk for poorer
socio-emotional development and academic performance. Emerging evidence supports
use of group music therapy experiences to support social development through
community afterschool programming. Objective: To examine the potential benefit of
a music therapy social skills development program to improve social skills and
academic performance of school-aged children with limited resources in an
afterschool program. Method: We used a single-group pre/post-test design, and
recruited 20 students (11 females, 9 males), ages 5 to 11 years, from an
afterschool program. The music therapy social skills program consisted of eight
50-minute sessions, and we measured social competence and antisocial behavior
using the Home & Community Social Behavioral Scale (HCSBS; Merrell & Caldarella,
2008), and social skills, problem behaviors, and academic competence using the
Social Skills Improvement System (SSIS; Gresham & Elliot, 2008a, 2008b). Results:
Only students who attended a minimum of six sessions (N = 14) were included in
data analysis. Results showed no significant change in individual HBSC subscale
scores; however, the total number of low-performance/high-risk skills
significantly decreased. SSIS teacher results indicated significant improvement
in communication, significant decrease of hyperactivity, autistic behavioral
tendencies and overall problem behaviors, and marginal decreases in
internalization. Parent ratings mirrored, in part, those of the teacher.
Conclusions: Results indicated that music therapy has the potential of being an
effective intervention for promoting social competence of school-aged children
with limited resources, particularly in the areas of communication and low
performance/high-risk behaviors. Teaching skills through song lyrics and
improvisation emerged as salient interventions.
PMID- 29790987
TI - Structural accommodations accompanying splicing of a group II intron RNP.
AB - Group II introns, the putative progenitors of spliceosomal introns and
retrotransposons, are ribozymes that are capable of self-splicing and DNA
invasion. In the cell, group II introns form ribonucleoprotein (RNP) complexes
with an intron-encoded protein, which is essential to folding, splicing and
retromobility of the intron. To understand the structural accommodations
underlying splicing, in preparation for retromobility, we probed the endogenously
expressed Lactococcus lactis Ll.LtrB group II intron RNP using SHAPE. The
results, which are consistent in vivo and in vitro, provide insights into the
dynamics of the intron RNP as well as RNA-RNA and RNA-protein interactions. By
comparing the excised intron RNP with mutant RNPs in the precursor state,
confined SHAPE profile differences were observed, indicative of rearrangements at
the active site as well as disengagement at the functional RNA-protein interface
in transition between the two states. The exon-binding sequences in the intron
RNA, which interact with the 5' exon and the target DNA, show increased
flexibility after splicing. In contrast, stability of major tertiary and protein
interactions maintains the scaffold of the RNA through the splicing transition,
while the active site is realigned in preparation for retromobility.
PMID- 29790985
TI - The way is the goal: how SecA transports proteins across the cytoplasmic membrane
in bacteria.
AB - In bacteria, translocation of most soluble secreted proteins (and outer membrane
proteins in Gram-negative bacteria) across the cytoplasmic membrane by the Sec
machinery is mediated by the essential ATPase SecA. At its core, this machinery
consists of SecA and the integral membrane proteins SecYEG, which form a protein
conducting channel in the membrane. Proteins are recognised by the Sec machinery
by virtue of an internally encoded targeting signal, which usually takes the form
of an N-terminal signal sequence. In addition, substrate proteins must be
maintained in an unfolded conformation in the cytoplasm, prior to translocation,
in order to be competent for translocation through SecYEG. Recognition of
substrate proteins occurs via SecA-either through direct recognition by SecA or
through secondary recognition by a molecular chaperone that delivers proteins to
SecA. Substrate proteins are then screened for the presence of a functional
signal sequence by SecYEG. Proteins with functional signal sequences are
translocated across the membrane in an ATP-dependent fashion. The current
research investigating each of these steps is reviewed here.
PMID- 29790990
TI - Women's mental health in the perinatal period according to migrant status: the
French representative ELFE birth cohort.
AB - Background: Mental health problems in the perinatal period are common. We
examined associations between different categories of migrant status and region
of origin in relation to mental health during pregnancy and at 2 months post
partum. Methods: We analyzed data from the French nationally representative Etude
Longitudinale Francaise depuis l'Enfance birth cohort (n = 17 988). Migrant
status was divided into five categories: 'majority population', 'descendants with
one migrant parent', 'descendants with two migrant parents', 'naturalized
migrant' and 'non-naturalized migrant women'. Multivariate logistic regression
models were implemented to examine associations between migrant status and mental
health outcomes: persistent psychological difficulties during pregnancy as well
as mother's depression and poor self-reported health at 2 months post-partum.
Results: After adjusting for covariates, migrant status was not associated with
psychological difficulties during pregnancy. Descendants of migrants had
comparable mental health to the majority population. Non-naturalized migrant
women were more likely to experience depression (odd's ratio (OR)= 1.66,
95%confidence interval (CI): 1.27, 2.20) and poor self-reported health (OR =
1.45, 95%CI: 1.06, 1.98) during the post-partum period. The region of origin was
associated with post-partum health independently of migrant status, such that
women from Africa and Turkey were most likely to have depression or poor self
rated health. Conclusion: First, but not second, generation migrant women appear
to have high levels of mental health difficulties during the post-partum period.
Women from North Africa, Sub-Saharan Africa, and Turkey have higher levels of
distress than those from other regions. In particular, non-naturalized migrant
appear to be a vulnerable group; they may disproportionately face stressors that
increase their risk for post-partum depressive symptoms.
PMID- 29790989
TI - The Galaxy platform for accessible, reproducible and collaborative biomedical
analyses: 2018 update.
AB - Galaxy (homepage: https://galaxyproject.org, main public server:
https://usegalaxy.org) is a web-based scientific analysis platform used by tens
of thousands of scientists across the world to analyze large biomedical datasets
such as those found in genomics, proteomics, metabolomics and imaging. Started in
2005, Galaxy continues to focus on three key challenges of data-driven biomedical
science: making analyses accessible to all researchers, ensuring analyses are
completely reproducible, and making it simple to communicate analyses so that
they can be reused and extended. During the last two years, the Galaxy team and
the open-source community around Galaxy have made substantial improvements to
Galaxy's core framework, user interface, tools, and training materials. Framework
and user interface improvements now enable Galaxy to be used for analyzing tens
of thousands of datasets, and >5500 tools are now available from the Galaxy
ToolShed. The Galaxy community has led an effort to create numerous high-quality
tutorials focused on common types of genomic analyses. The Galaxy developer and
user communities continue to grow and be integral to Galaxy's development. The
number of Galaxy public servers, developers contributing to the Galaxy framework
and its tools, and users of the main Galaxy server have all increased
substantially.
PMID- 29790991
TI - Understanding delays in acute stroke care: a systematic review of reviews.
AB - Background: Stroke is the leading cause of adult long-term disability in Western
countries. Intravenous thrombolytic therapy with recombinant tissue plasminogen
activator is safe and effective within the first 4.5 h after the onset of stroke.
Various factors delaying acute stroke care have been identified in the
literature. This review aimed to provide an overview of factors delaying acute
stroke care and attempted to show how they interact in a synthetic framework.
Methods: We conducted a systematic review of literature reviews published in
Medline and DORIS until 2016 on factors influencing acute stroke pathway
timeframe. Results: We analyzed 31 reviews that cover all factors of delays from
stroke onset to treatment. We identified 27 factors that had a significant impact
on acute stroke care and can be categorized into four distinct categories:
patient-related factors, training, resources and lack of coordination. We also
reported associations between factors observed in both between categories (mainly
between patients and organizational/logistical factors) and within categories.
Conclusion: This review provides a wide overview of factors influencing acute
stroke pathway. Since it was observed that the identified factors were
interrelated, they needed to be analyzed in a systematic way. We hence created a
synthetic framework that combines several categories of factors while assuming
that factor weight varies from a study context to another. Better knowledge on
underlying mechanisms between factors would provide crucial improvement of the
interventions aiming at reducing delays in both pre-hospital and inhospital
stages. For future research, we recommend adopting a systemic perspective on
factors influencing acute stroke pathway.
PMID- 29790992
TI - Investing in public health-driving prosperity for the present and future
generations.
PMID- 29790993
TI - Sleep pirates-are we really living through a sleep deprivation epidemic and
what's stealing our sleep?
PMID- 29790995
TI - Late radiological changes after passive scattering proton beam therapy for Stage
I lung cancer.
AB - This study aimed to examine late radiological changes after proton beam therapy
(PBT) for early-stage non-small cell lung cancer (NSCLC) and to clarify
correlations between mass-like radiological changes and patient characteristics.
CT scans of patients who underwent passive scattering PBT for T1-2N0M0 NSCLC were
analyzed retrospectively. Patients were considered eligible if follow-up CT was
performed for at least 2 years, with no definite evidence of local recurrence.
The following five periods were defined: (i) 6-12 months, (ii) 12-24 months,
(iii) 24-36 months, (iv) 36-48 months and (v) 48-60 months after PBT. Late (>=6
months) radiological changes were scored by consensus of three radiation
oncologists according to classifications set forth by Koenig (Radiation injury of
the lung after three-dimensional conformal radiation therapy. AJR Am J Roentgenol
2002;178:1383-8.). CT scans of 113 patients (median follow-up, 36 months; range,
24-137 months) were evaluated. Late radiological changes during Periods (i),
(ii), (iii), (iv) and (v) included modified conventional pattern (80%, 79%, 72%,
58% and 56%, respectively), mass-like changes (8%, 9%, 14%, 22% and 18%,
respectively), scar-like changes (4%, 9%, 11%, 17% and 24%, respectively) and no
increased density (8%, 3%, 3%, 2% and 2%, respectively). Mass-like changes were
observed in 23 patients (20%). Among patients who developed mass-like changes,
the median interval between the initiation of PBT and the onset of mass-like
changes was 19 months (range, 6-62 months). In multivariate analysis, a
peripheral location was found to be a significant factor (P = 0.035; odds ratio:
4.44; 95% confidence interval: 1.12-21.28). In conclusion, mass-like changes were
observed in 20% of patients who underwent PBT. Patients with peripheral tumors
showed a higher incidence of mass-like changes.
PMID- 29790996
TI - DNA methylation and inflammation marker profiles associated with a history of
depression.
AB - Depression is a common and disabling disorder, representing a major social and
economic health issue. Moreover, depression is associated with the progression of
diseases with an inflammatory etiology including many inflammatory-related
disorders. At the molecular level, the mechanisms by which depression might
promote the onset of these diseases and associated immune-dysfunction are not
well understood. In this study we assessed genome-wide patterns of DNA
methylation in whole blood-derived DNA obtained from individuals with a self
reported history of depression (n = 100) and individuals without a history of
depression (n = 100) using the Illumina 450K microarray. Our analysis identified
six significant (Sidak corrected P < 0.05) depression-associated differentially
methylated regions (DMRs); the top-ranked DMR was located in exon 1 of the LTB4R2
gene (Sidak corrected P = 1.27 * 10-14). Polygenic risk scores (PRS) for
depression were generated and known biological markers of inflammation, telomere
length (TL) and IL-6, were measured in DNA and serum samples, respectively. Next,
we employed a systems-level approach to identify networks of co-methylated loci
associated with a history of depression, in addition to depression PRS, TL and IL
6 levels. Our analysis identified one depression-associated co-methylation module
(P = 0.04). Interestingly, the depression-associated module was highly enriched
for pathways related to immune function and was also associated with TL and IL-6
cytokine levels. In summary, our genome-wide DNA methylation analysis of
individuals with and without a self-reported history of depression identified
several candidate DMRs of potential relevance to the pathogenesis of depression
and its associated immune-dysfunction phenotype.
PMID- 29790998
TI - Association of Smokeless Tobacco Use and Oral Cancer: A Systematic Global Review
and Meta-Analysis.
AB - Introduction: Smokeless tobacco products have been linked to precancerous and
cancers of oral cavity for long. Evidence was available on the association
between smokeless tobacco (SLT) products and oral cancers at regional but not at
global level. Present meta-analysis is aimed to evaluate the risk of oral cancer
with the use of SLT products among "ever" versus "never" users. Method: Studies
published for the period (1960-2016) are retrieved using Pubmed, Indmed, EMBASE,
and Google Scholar search engines for the subject "ever" versus "never" users of
SLT products and estimated the risk association with oral cancer. Summary odds
ratios (relative risk) are estimated and meta-analysis was performed using random
effects model. Results: Thirty-seven studies from four of six WHO regions,
Southeast Asia region (SEAR), the Eastern Mediterranean Region (EMR), Europe, and
region of Americas (North and South) are included in the analysis. Significant
risk with SLT products with oral cancer was found for SEAR (4.44, 95% CI = 3.51
to 5.61) and for EMR (1.28, 95% CI = 1.04 to 1.56). Significantly higher risk (p
< .001) was found for females (5.83, 95% CI = 2.93 to 11.58). Product wise
analysis for different SLT products revealed various levels of risk viz. gutkha
(8.67, 95% CI = 3.59 to 20.93), pan tobacco / betel liquid (7.18, 95% CI = 5.48
to 9.41), oral snuff (4.18, 50% CI = 2.37 to 7.38), Mainpuri tobacco (3.32, 95%
CI = 1.32 to 8.36), and snus (0.86, 95% CI = 0.58 to 1.29). Conclusion: A
significant positive association was observed between SLT use and the risk of
oral cancer, in SEAR, EMRs, and among women users. Implications: The present meta
analysis demonstrates SLT product use and the risk of oral cancer at global
level. Moreover, the present analysis provided data on the risk associated with
individual SLT product. The results fulfil the gap in the data on independent
effect of individual SLT product use on the outcome of oral cancer at global
level, conclusively. Chewing SLT products was associated with higher risk of oral
cancer than other types of SLT. This can serve as a useful tool for policy makers
in forming strict policies in controlling SLT menace. Hence, we propose that in
addition to smoking, efforts should be directed towards SLT product cessation as
well in reducing oral cancer incidence.
PMID- 29790997
TI - A multi-institutional study of independent calculation verification in
inhomogeneous media using a simple and effective method of heterogeneity
correction integrated with the Clarkson method.
AB - In inhomogeneous media, there is often a large systematic difference in the dose
between the conventional Clarkson algorithm (C-Clarkson) for independent
calculation verification and the superposition-based algorithms of treatment
planning systems (TPSs). These treatment site-dependent differences increase the
complexity of the radiotherapy planning secondary check. We developed a simple
and effective method of heterogeneity correction integrated with the Clarkson
algorithm (L-Clarkson) to account for the effects of heterogeneity in the lateral
dimension, and performed a multi-institutional study to evaluate the
effectiveness of the method. In the method, a 2D image reconstructed from
computed tomography (CT) images is divided according to lines extending from the
reference point to the edge of the multileaf collimator (MLC) or jaw collimator
for each pie sector, and the radiological path length (RPL) of each line is
calculated on the 2D image to obtain a tissue maximum ratio and phantom scatter
factor, allowing the dose to be calculated. A total of 261 plans (1237 beams) for
conventional breast and lung treatments and lung stereotactic body radiotherapy
were collected from four institutions. Disagreements in dose between the on-site
TPSs and a verification program using the C-Clarkson and L-Clarkson algorithms
were compared. Systematic differences with the L-Clarkson method were within 1%
for all sites, while the C-Clarkson method resulted in systematic differences of
1-5%. The L-Clarkson method showed smaller variations. This heterogeneity
correction integrated with the Clarkson algorithm would provide a simple
evaluation within the range of -5% to +5% for a radiotherapy plan secondary
check.
PMID- 29790999
TI - Editorial: Reflections on Bioethics and Law: Yesterday, Today and Tomorrow.
PMID- 29791000
TI - Gross Negligence (Medical) Manslaughter and the Puzzling Implications of
Negligent Ignorance: Rose v R [2017] EWCA Crim 1168.
AB - This commentary explores the Court of Appeal's decision in the case of Rose1 in
order to assess the risk of liability for gross negligence manslaughter currently
faced by the medical profession in the event that negligence causes the death of
a patient. Subtly modifying the test established in Adomako,2Rose confirms that
in order to be potentially liable, there must be a serious risk of death that
was, rather than ought to have been, obvious/foreseeable to the defendant.
Consequently, in more complex cases where the serious risk of death is not
immediately obvious, negligently failing to assess risk seems to prevent
potential liability on the basis that the putative defendant was in a position of
negligent ignorance.
PMID- 29791001
TI - Plain language emergency alert codes: The importance of direct impact statements
in hospital emergency alerts.
AB - The nature of an emergency is not predictable, and no two emergencies are alike.
In response to this unpredictable nature, healthcare facilities across the nation
have adopted a system of emergency codes to notify staff of an emergent
situation, often without alerting patients and visitors to the crises. However,
the system of emergency codes varies significantly within most states and even
within healthcare coalition regions. This variation in codes leads to not only
the potential for staff confusion, considering many healthcare providers work
within multiple healthcare centers, but also decreases the amount of transparency
a healthcare center projects to its patients and visitors. The research conducted
as part of this study indicated that an overwhelming majority of healthcare
professionals would prefer voluntary plain language emergency code
standardization to the current individual code systems.
PMID- 29791002
TI - Optimizing stadium evacuation by integrating geocomputation and affordance
theory.
AB - Football is culturally and economically important in the United States, and
football stadiums are part of the country's critical infrastructure, thus
receiving government protection against hazard events. In this project, an agent
based evacuation model was implemented to optimize evacuation time from The
University of Southern Mississippi's M.M. Roberts Stadium (football) by
accounting for evacuees' age, gender, physical fitness, alcohol consumption, and
prior experience with hazard events. The findings revealed that (i) the age and
gender of an individual impact his/her locomotion speed and (ii) evacuation route
choice is influenced by evacuees' perception of its safety and effectiveness. The
estimated evacuation times for all evacuees to exit only the stadium and the
stadium plus the surrounding campus were 20.82 and 165.01 minutes, respectively.
Both of these times were shorter than the evacuation times determined by models
employing location-unspecific locomotion speeds. One-way analysis of variance
revealed that there were statistically significant differences between use of
location-specific and location-unspecific within-stadium evacuation times (p <=
0.001 with alpha = 0.05). These results suggest that using local data is vital to
accurately estimate evacuation time.
PMID- 29791003
TI - Evacuate or shelter in place: A view from the water's edge.
AB - Hospitals are faced with the difficult decision as whether to evacuate their
patients or shelter in place when a strong hurricane is predicted to affect the
facility. This decision must balance for patients the risk of transport with the
risk of staying. This article discusses the experience of a hospital faced with
this problem in two consecutive years. The approach taken differed and the
evaluation of the implications are discussed.
PMID- 29791004
TI - Stories after disaster survival: Preparing, heeding warnings, and self-reliance.
AB - OBJECTIVE: The purpose of the study was to examine the content of stories told by
people personally impacted by disasters. DESIGN: Semistructured, qualitative
interviews. SETTING: Northwest part of a mid-south state. PARTICIPANTS: Fourteen
disaster survivors who were recruited through their attendance at an emergency
preparedness-related fair. MAIN OUTCOME MEASURES: Interview schedule based on
previous research using the family resilience framework. RESULTS: Three themes
emerged: prior emergency preparation, heeding warnings of impending disaster, and
rural self-reliance. CONCLUSIONS: Participants had made prior emergency
preparedness plans, but their personal experiences led to them adjusting their
plans, or making more relevant plans for future disasters. Participants expressed
the importance of sharing their experiences with family and community members,
expressing hope that others would learn, vicariously rather than first-hand, from
their experiences.
PMID- 29791005
TI - No one left behind: Postevent experiences of differently abled individuals in
Denmark.
AB - PURPOSE: This descriptive study explored barriers and difficulties faced by
individuals with a physical disability (IPD) in Denmark in situations of disaster
and in everyday problems. METHODS: Semistructured interviews were conducted with
12 IPD who had experienced a possible traumatic event. Due to a lack of
participants who experienced a traumatic event, the authors expanded their
recruitment strategy to include IPD, who had experienced other critical
situations (eg, accidents and personal crises). RESULTS: Difficulties centered on
four major themes: (1) lack of guidelines and evacuation plans, (2) differences
in reaction to crisis, (3) a lack of empathy and special care from professionals
and a general lack of communication directly to the IPD, and (4) great inequality
in the municipals and no consistent rules for what a IPD is entitled to.
CONCLUSIONS: This study has identified significant gaps in predisaster and
postdisaster service provision for IPD. There is a need for general evacuation
guidelines and more specialized professional help to provide better aftercare
according to the different needs of the IPD. The results of this study can inform
policy makers to enhance existing services and/or develop new guidelines and
evacuation plans targeted at this population.
PMID- 29791006
TI - Examining the influence of professional emergency management coordinators driving
preparedness and resilience on university campuses.
AB - OBJECTIVE: To characterize the compliance with disaster management
recommendations of ensuring a full-time, dedicated professional is responsible
for coordinating disaster management programs. This research targets a subset of
institutions of higher education (IHEs) in the United States at risk of annual
hurricane threats or having experienced an active shooter incident near campus.
DESIGN: A comprehensive Web-based assessment was conducted to determine the Web
presence of emergency management of 265 IHEs with student enrollment greater than
2,000 in coastal states at risk of annual Atlantic Hurricane landfalls. Results
were displayed spatially using ArcGIS. RESULTS: Although the Web sites of 91
percent of IHEs with enrollment greater than 20,000 displayed easily accessible
information on a dedicated professional leading emergency management, only 42
percent of the Web sites of those IHEs with enrollment between 10,000 and 20,000
did so. As enrollment declined, the compliance rate declined. Compliance rates
for IHEs with different enrollments were as follows: 6,001-9,999, 30 percent;
3,001-6,000, 23 percent; 2,000-3,000, 13 percent. CONCLUSIONS: A full-time,
dedicated professional coordinating emergency preparedness is a best practice as
evidenced by various accrediting bodies, but this practice is not mandated for
IHEs. Our results suggest that proximity to significant annual hurricane threats
does not influence the adoption of this recommendation. Despite IHEs being core
stakeholders in assuring disaster resilience, gaps exist in preparedness
practice.
PMID- 29791007
TI - Should all patients with adrenal insufficiency or a subgroup of patients with
prediabetes be treated with dual-release hydrocortisone?
PMID- 29791008
TI - The Pharmacology, Pharmacokinetics, Efficacy, and Adverse Events Associated With
Kava.
AB - Kava is a plant with numerous kavapyrones that can induce pharmacologic effects
and drug interactions through the cytochrome P450 and P-glycoprotein systems.
Kava is used recreationally and for the treatment of anxiety. Clinical trials
verify anxiolytic effects in excess of placebo, but the effects are not seen
immediately and the optimal dose and dosing schedule needs to be determined.
Clinical trials usually lasting for 4 weeks found generally good tolerability and
safety; however, dermatologic, hepatologic, and cognitive adverse effects may
occur. Some of these adverse effects are known to occur from the kavapyrones
themselves, while others can be caused or exacerbated by use of substandard kava
products. There is tremendous variability in the constitution of a kava product
based on the parts of the plant that are being extracted and the extraction
method. The most commonly studied extract for the treatment of anxiety is the
acetone extract.
PMID- 29791009
TI - WITHDRAWN: Interventions for replacing missing teeth: 1- versus 2-stage implant
placement.
AB - BACKGROUND: Implants may be placed penetrating the oral mucosa (1-stage
procedure) or can be completely buried under the oral mucosa (2-stage procedure)
during the healing phase of the bone at the implant surface. With a 2-stage
procedure the risk of having unwanted loading onto the implants is minimized, but
a second minor surgical intervention is needed to connect the healing abutments
and more time is needed prior to start the prosthetic phase because of the wound
healing period required in relation to the second surgical intervention.
OBJECTIVES: To evaluate whether a 1-stage implant placement procedure is as
effective as a 2-stage procedure. SEARCH METHODS: The Cochrane Oral Health
Group's Trials Register, CENTRAL, MEDLINE and EMBASE were searched. Handsearching
included several dental journals. Authors of all identified trials, an Internet
discussion group and 55 dental implant manufacturers were contacted to find
unpublished randomised controlled trials (RCTs). The last electronic search was
conducted on 21 January 2009. SELECTION CRITERIA: All RCTs of osseointegrated
dental implants comparing the same dental implants placed according to 1- versus
2-stage procedures with a minimum follow up of 6 months after loading. Outcome
measures were: prosthesis failures, implant failures, marginal bone level changes
on intraoral radiographs, patient preference including aesthetics, aesthetics
evaluated by dentists, and complications. DATA COLLECTION AND ANALYSIS: Screening
of eligible studies, assessment of the methodological quality of the trials and
data extraction were conducted in duplicate and independently by two review
authors. Authors were contacted for missing information. Results were expressed
as random-effects models using mean differences for continuous outcomes and risk
ratios for dichotomous outcomes with 95% confidence intervals. MAIN RESULTS: Five
RCTs were identified and included reporting data on 239 patients in total. On a
patient, rather than per implant basis, the meta-analyses showed no statistically
significant differences for prosthesis and implant failures, though trends,
especially in fully edentulous patients, favoured 2-stage (submerged) implants.
AUTHORS' CONCLUSIONS: The number of patients included in the trials was too small
to draw definitive conclusions. The 1-stage approach might be preferable in
partially edentulous patients since it avoids one surgical intervention and
shortens treatment times, while a 2-stage submerged approach could be indicated
when an implant has not obtained an optimal primary stability or when barriers
are used for guided tissue regeneration, or when it is expected that removable
temporary prostheses could transmit excessive forces on the penetrating abutments
especially in fully edentulous patients.
PMID- 29791010
TI - New European Academy of Allergy and Clinical Immunology definition on pollen
season mirrors symptom load for grass and birch pollen-induced allergic rhinitis.
AB - BACKGROUND: The use of allergen immunotherapy (AIT) for allergic rhinitis and its
clinical efficacy in clinical trials depends on the effective determination of
pollen allergen exposure time periods. We evaluate pollen data from Germany to
examine the new definitions on pollen season and peak pollen period start and end
as proposed by the European Academy of Allergy and Clinical Immunology (EAACI) in
a recently published Position Paper. The aim was to demonstrate the ability of
these definitions to mirror symptom loads for grass and birch pollen-induced
allergic rhinitis based on real-life data. METHODS: Data coming from four pollen
monitoring stations in the Berlin and Brandenburg area in Germany and for 3 years
(2014-2016) were used to investigate the correlation of season definitions, birch
and grass pollen counts and total nasal symptom and mediation scores as reported
by patients in "Patients Hay fever Diaries" (PHDs). After the identification of
pollen periods on the basis of the EACCI criteria, a statistical analysis was
employed, followed by a detailed graphical investigation. RESULTS: The analysis
revealed that the definitions of pollen season as well as peak pollen period
start and end as proposed by the EAACI are correlated to symptom loads for grass
and birch pollen-induced allergic rhinitis reported by patients in PHDs.
CONCLUSION: Based on our analysis, the validity of the EAACI definitions on
pollen season is confirmed. Their use is recommended in future clinical trials on
AIT as well as in daily routine for optimal patient care.
PMID- 29791011
TI - A Pharmacokinetic and Pharmacogenetic Analysis of Osteosarcoma Patients Treated
With High-Dose Methotrexate: Data From the OS2006/Sarcoma-09 Trial.
AB - Growing evidence suggests that polymorphisms of genes coding for transporters or
enzymes may partially explain the large between subject variability reported for
methotrexate (MTX) pharmacokinetics (PK). This prospective study aimed to develop
a population PK-pharmacogenetic model to evaluate the part of between-subject
variability due to single-nucleotide polymorphisms (SNPs) in transporters and
enzyme genes implicated in MTX distribution and elimination. MTX concentrations
and 54 SNPs (located in ABCB1, ABCC1, ABCC2, ABCC3, ABCC4, ABCG2, SLC19A1,
SLCO1B1, and UGT1A1 genes) were analyzed in patients treated with MTX included in
the OS2006/sarcoma-09 trial (a multicenter, open-label, phase III trial,
ClinicalTrials.gov. Identifier: NCT00470223). PK data were analyzed using the
nonlinear mixed-effect modeling software program Monolix. The influence of each
SNP was evaluated using a stepwise procedure under additive, recessive, or
dominant genetic model. The likelihood ratio test was used to test the effect of
each SNP on PK parameters. Overall, 187 patients with 7898 MTX blood
concentrations were included in the PK-pharmacogenetic analysis. A 2-compartment
model adequately described the data. Although high-dose MTX dosing
recommendations in pediatric patients are currently based on body surface area,
body weight was more predictive of clearance between-subject variability than
body surface area. The most significant polymorphism associated with MTX
clearance was rs13120400 (on the ABCG2 gene) under the recessive genetic model (P
< .0001). GG genotype carriers for rs13120400 appeared to have a moderate
decrease in MTX exposure compared to AA or GA carriers.
PMID- 29791012
TI - A systematic mixed studies review of women's experiences of perineal trauma
sustained during childbirth.
AB - AIM: To explore the quantitative/qualitative literature on women's experiences of
perineal trauma sustained during childbirth and the impact it may have on
psychological/emotional wellbeing BACKGROUND: Obstetric complications during
childbirth can be a risk factor for postpartum psychological distress. Perineal
trauma is one of the most frequent obstetric complications and it is important to
understand any impact on psychological/emotional wellbeing. DESIGN: A convergent
qualitative design using a hybrid deductive-inductive thematic synthesis approach
to data transformation was used. DATA SOURCES: Web of knowledge, CINAHL, MEDLINE,
AMED, PsyArticles, PsycInfo until May 2017. REVIEW METHODS: Stage 1: transforming
findings from the qualitative, quantitative and mixed methods studies into themes
using thematic synthesis. Stage 2: integrating themes from the quantitative
studies into those derived from the qualitative studies RESULTS: Records (N=2152)
found of which 11 qualitative 22 quantitative and 1 mixed methods were included
in this review. Five themes were derived from thematic synthesis of qualitative
studies 'The mystery of perineal trauma', 'The misery of perineal suturing', 'The
postnatal perineum', 'Normalisation and feeling dismissed' and 'Adjusting to a
new normal - Coping and compromise' and five themes identified from the
quantitative studies, experience of birth (N=4), Sexual functioning (N=12),
Social functioning (N=2), Psychological health (N=8) and Quality of Life (N=5).
CONCLUSION: Perineal trauma can have a negative impact on psychological/emotional
wellbeing, however the literature is conflicted and in need of clarification.
Future research should clearly describe the perineal status of the women in the
sample, use validated measures and consider the timing of such measures. This
article is protected by copyright. All rights reserved.
PMID- 29791015
TI - Safety and efficacy of apixaban versus warfarin in patients with end-stage renal
disease: Meta-analysis.
PMID- 29791016
TI - Clarification-safety and efficacy of apixaban versus warfarin in patients with
end-stage renal disease: Meta-analysis.
PMID- 29791013
TI - Frontline Science: Buprenorphine decreases CCL2-mediated migration of CD14+ CD16+
monocytes.
AB - HIV infection of the CNS causes neuroinflammation and damage that contributes to
the development of HIV-associated neurocognitive disorders (HAND) in greater than
50% of HIV-infected individuals, despite antiretroviral therapy (ART). Opioid
abuse is a major risk factor for HIV infection. It has been shown that opioids
can contribute to increased HIV CNS pathogenesis, in part, by modulating the
function of immune cells. HIV enters the CNS within two weeks after peripheral
infection by transmigration of infected monocytes across the blood brain barrier
(BBB). CD14+ CD16+ monocytes are a mature subpopulation that is increased in
number in the peripheral blood of HIV-infected people. Mature monocytes can be
productively infected with HIV, and they transmigrate preferentially across the
BBB in response to CCL2, a chemokine elevated in the CNS and CSF of HIV-infected
people even with ART. Buprenorphine, an opioid derivate, is an opioid replacement
therapy for heroin addiction. It is a partial agonist of MU-opioid receptor and
full antagonist of kappa-opioid receptor. The effects of buprenorphine on CCL2
mediated CD14+ CD16+ monocytes transmigration across the BBB, a critical
mechanism that promotes neuroinflammation and HAND, have not been characterized.
We showed for the first time that buprenorphine decreases several steps of CCL2
mediated human mature monocyte transmigration. We propose that buprenorphine
treatment in the context of HIV infection could serve a dual purpose, to treat
opioid addiction and also to reduce neuroinflammation. Additionally,
buprenorphine may be used as a treatment for HAND not only in the context of
opioid abuse.
PMID- 29791014
TI - Interaction between ABCG2 421C>A polymorphism and valproate in their effects on
steady-state disposition of lamotrigine in adults with epilepsy.
AB - AIMS: To investigate the impact of glucuronidation enzyme (UGT1A4*3 142T>G,
UGT1A4*2 70C>A, UGT2B7 -161C>T) and transporter (MDR1/ABCB1 1236C>T, ABCG2
421C>A) polymorphisms on steady-state disposition of lamotrigine and on the
lamotrigine-valproate interaction. METHODS: Adults with epilepsy on lamotrigine
monotherapy (n = 131) or lamotrigine + valproate treatment (n = 74) were
genotyped and steady-state lamotrigine and valproate morning troughs were
determined as a part of routine therapeutic drug monitoring. RESULTS: No effect
of UGT and MDR1/ABCB1 polymorphisms was observed. In the entire cohort, ABCG2
421A allele had no effect however an interaction between the variant allele and
valproate was observed: (i) in lamotrigine-only patients, variant allele (vs.
wild type homozygosity) was independently (adjustments: age, sex, body mass
index, lamotrigine dose, other polymorphisms) associated with mildly lower
lamotrigine troughs [geometric means ratio (GMR) = 0.76, 95% confidence interval
(CI) 0.59-0.98], whereas in lamotrigine + valproate patients it was associated
with higher troughs (GMR = 1.72, 95%CI 1.14-2.62); (ii) valproate cotreatment was
overall associated with markedly higher troughs vs. lamotrigine monotherapy (GMR
= 3.49, 95%CI 2.73-4.44), but more so in variant allele carriers (GMR = 5.24,
95%CI 3.38-8.15) than in wild type homozygotes (GMR = 2.32, 95%CI 1.89-2.83);
(iii) variant allele effects in two treatment subsets and valproate effects in
two genotype subsets differed by 2.36-fold (95%CI 1.39-3.67); (iv) increase in
lamotrigine troughs associated with increasing valproate troughs was greater in
variant allele carriers than in wild type homozygotes, i.e. variant allele effect
increased with increasing valproate troughs. CONCLUSION: This study is first to
indicate a potentially relevant interaction between ABCG2 421C>A polymorphism and
valproate in their effects on lamotrigine disposition.
PMID- 29791017
TI - Addressing the vexed issue of authorship and author order: A discussion paper.
AB - AIMS: To review and discuss authorship and author order in the context of nursing
and midwifery publications and to present a set of principles to guide and
justify author order. BACKGROUND: Variation in author order trends is evident
across different authors, disciplines and countries. Confusion and conflict
between authors give rise to important issues concerning ethics and collaboration
and may delay publication. Lack of transparency in authorship practices also
impedes judgements when individual contributions are used in support of
employment, promotion, tenure and/or research funding applications. DESIGN:
Discussion paper. DATA SOURCES: A literature search of BioMed Central, Cumulative
Index to Nursing and Allied Health Literature (CINAHL), MEDLINE with Full Text
and PubMed for original peer-reviewed papers published in English between 2007 -
2017, in the disciplines of nursing and midwifery. IMPLICATIONS FOR NURSING: Much
is written about authorship practices across disciplines and countries. Despite
existing authorship guidelines, author order remains an area of confusion and
contention. Disputes about authorship and author order have the potential to
cause distrust and breakdowns in research relationships, thereby disrupting
nursing and midwifery scholarship and research. The main issues concern honorary
and ghost authorship, authorship versus acknowledgement, confusion about
collaboration, author order, research students as co-authors, equal author credit
and the need for explicit guidelines. CONCLUSION: Good communication and mutual
respect are crucial to the authorship process. However, clear instructions are
needed to guide decisions on authorship and author order. It is recommended that
the "first-last-author-emphasis" be adopted uniformly internationally across
nursing and midwifery research.
PMID- 29791018
TI - A rare case of a solitary fibrous tumour of bone showing NAB2-STAT6 exon 3-exon
19 fusion.
PMID- 29791019
TI - WITHDRAWN: Treatments for adults with prominent lower front teeth.
AB - BACKGROUND: Prominent lower front teeth may be associated with a large or
prognathic lower jaw (mandible) or a small or retrusive upper jaw (maxilla).
Edward Angle, who may be considered the father of modern orthodontics, classified
the malocclusion in this situation as Class III. The individual is described as
having a negative or reverse overjet as the lower front teeth are more prominent
than the upper front teeth. OBJECTIVES: The purpose of this systematic review was
to evaluate different treatments of Angle Class III malocclusion in adults.
SEARCH METHODS: The following databases were searched: Cochrane Oral Health Group
Trials Register (to 22 March 2012); CENTRAL (The Cochrane Library 2012, Issue 1);
MEDLINE via OVID (1950 to 22 March 2012); EMBASE via OVID (1980 to 22 March
2012); LILACs (1982 to 22 March 2012); BBO (1986 to 22 March 2012); and SciELO
(1997 to 22 March 2012). SELECTION CRITERIA: All randomized or quasi-randomized
controlled trials of treatments for adults with an Angle Class III malocclusion
were included. DATA COLLECTION AND ANALYSIS: Three review authors independently
assessed the eligibility of the identified reports. Two review authors
independently extracted data and assessed the risk of bias in the included
studies. The mean differences with 95% confidence intervals were calculated for
continuous data. MAIN RESULTS: Two randomized controlled trials were included in
this review. There are different types of surgery for this type of malocclusion
but only trials of mandible reduction surgery were identified. One trial compared
intraoral vertical ramus osteotomy (IVRO) with sagittal split ramus osteotomy
(SSRO) and the other trial compared vertical ramus osteotomy (VRO) with and
without osteosynthesis. Neither trial found any difference between the two
treatments. The trials did not provide adequate data for assessing effectiveness
of the techniques described. AUTHORS' CONCLUSIONS: There is insufficient evidence
from the two included trials, to conclude that one procedure is better or worse
than another. The included trials compared different interventions and were at
high risk of bias and therefore no implications for practice can be given.
Further high quality randomized controlled trials with long term follow-up are
required.
PMID- 29791020
TI - Discursive constructions of professional identity in policy and regulatory
discourse.
AB - AIM: To examine and describe disciplinary discourses conducted through
professional policy and regulatory documents in nursing and midwifery in Ireland.
BACKGROUND: A key tenet of discourse theory is that group identities are
constructed in public discourses and these discursively constructed identities
become social realities. Professional identities can be extracted from both the
explicit and latent content of discourse. Studies of nursing's disciplinary
discourse have drawn attention to a dominant discourse that confers nursing with
particular identities, which privilege the relational and affective aspects of
nursing and, in the process, marginalize scientific knowledge and the technical
and body work of nursing. DESIGN: We used critical discourse analysis to analyse
a purposive sample of nursing and midwifery regulatory and policy documents.
METHOD: We applied a four-part, sequential approach to analyse the selected
texts. This involved identifying key words, phrases and statements that indicated
dominant discourses that, in turn, revealed latent beliefs and assumptions. The
focus of our analysis was on how the discourses construct professional
identities. FINDINGS: Our analysis indicated recurring narratives that appeared
to confer nurses and midwives with three dominant identities: "the knowledgeable
practitioner," the "interpersonal practitioner" and the "accountable
practitioner." The discourse also carried assumptions about the form and content
of disciplinary knowledge. CONCLUSIONS: Academic study of identity construction
in discourse is important to disciplinary development by raising nurses' and
midwives' consciousness, alerting them to the ways that their own discourse can
shape their identities, influence public and political opinion and, in the
process, shape public policy on their professions.
PMID- 29791022
TI - The Very Little Lady...Who Wasn't So Little: Steve Olshansky,* "The Otter".
PMID- 29791021
TI - ImmTAC/Anti-PD-1 antibody combination to enhance killing of cancer cells by
reversing regulatory T-cell-mediated immunosuppression.
AB - Recently, bi-functional molecules that can redirect immune effectors to tumour
cells have emerged as potentially robust mediators of tumour regression in
clinical trials. Two modalities in particular, bi-specific antibodies for T-cell
redirection and activation (BiTe) and immune-mobilizing monoclonal T-cell
receptors against cancer (ImmTAC), are being evaluated in efficacy studies as
'off-the-shelf' reagents. Optimal therapy will require an understanding and means
to address regulatory mechanisms of limiting efficacy. In light of this, we
evaluated the impact of induced regulatory T (iTreg) cells on the efficacy of
tumour cell killing redirected by ImmTAC and demonstrated down-regulation of T
cell proliferation and expression of CD25, CD107a, Granzyme B and Perforin by
ImmTAC-redirected T cells. Significant recovery of ImmTAC potency, however, could
be achieved when combined with an anti-programmed cell death protein 1 monoclonal
antibody. Furthermore, we found that among lung cancer patients failing to
respond to ImmTAC therapy, there was a significantly higher fraction of Treg
cells in the peripheral blood mononuclear cells of lung cancer patients than in
healthy donors. These results provide in vitro evidence for an iTreg cell
mediated immunosuppression of ImmTAC-redirected T-cell responses. Whilst immune
checkpoint blockade can reverse the Treg cell suppression, it forms a rational
basis for a combination of the blockade with ImmTAC in clinical trials.
PMID- 29791024
TI - Implantable cardioverter-defibrillator bridging from temporariness to permanence.
PMID- 29791023
TI - Comparison of the Cockcroft-Gault, MDRD and CKD-EPI equations for estimating
ganciclovir clearance.
AB - AIMS: Accurately estimating kidney function is essential for the safe
administration of renally cleared drugs such as ganciclovir. Current practice
recommends adjusting renally eliminated drugs according to the Cockcroft-Gault
equation. There are no data on the utility of the Modification of Diet in Renal
Disease (MDRD) and Chronic Kidney Disease Epidemiology Collaboration (CKD-EPI)
equations in ganciclovir dosing. To evaluate which renal function equation best
predicts ganciclovir clearance. METHODS: The performance of the Cockcroft-Gault
equation, isotope dilution mass spectrometry (IDMS)-traceable 4-variable MDRD
study (MDRD4-IDMS) equation and CKD-EPI equation in determining ganciclovir
clearance were assessed retrospectively in patients treated with ganciclovir from
2004-2015. The MDRD4-IDMS and CKD-EPI equations adjusted to individual body
surface area (MDRD4-IDMS.BSA and CKD-EPI.BSA, respectively) were also evaluated.
Patients with intravenous ganciclovir peak and trough concentrations in their
medical records were included in the study. Ganciclovir clearance was calculated
from serum concentrations using a one-compartment model. The five equations were
compared based on their predictive ability, the coefficient of determination,
through a linear regression analysis. The results were validated in a group of
patients. RESULTS: One hundred patients were included in the final analysis.
Seventy-four patients were analysed in the learning group and 26 in the
validation group. The coefficient of determination was 0.281 for Cockcroft-Gault,
0.301 for CKD-EPI.BSA, 0.308 for MDRD4-IDMS.BSA, 0.324 for MDRD4-IDMS and 0.360
for CKD-EPI. Subgroup analysis also showed that CKD-EPI is a better predictor of
ganciclovir clearance. Analysis of the validation group confirmed these results.
CONCLUSIONS: The CKD-EPI equation correlates better with ganciclovir clearance
than the Cockcroft-Gault and MDRD4-IDMS equations, even the clinical difference
between the equations is scarce.
PMID- 29791025
TI - Factors associated with quality of life of dementia caregivers: Direct and
indirect effects.
AB - AIMS: To examine the direct and indirect relationships between the
characteristics of people with dementia and caregivers associated with
caregivers' quality of life. BACKGROUND: Complex relationships exist among the
factors associated with caregivers' quality of life. DESIGN: This study was a
retrospective cross-sectional design. METHODS: The data were retrospectively
extracted from participants who had visited the Center for Diagnosis of Dementia
at Kyoto Prefectural University of Medicine from April 2013-March 2016. The data
search was conducted on 21 April 2017. In total, 110 people with dementia and
their caregivers participated. The characteristics of people with dementia were
evaluated in terms of cognitive function, basic and instrumental activities of
daily living and neuropsychiatric symptoms. We also evaluated caregivers' quality
of life, depressive symptoms and care burden. Path analysis was used to
investigate direct and indirect relationships. RESULTS: The path analysis
revealed that care burden and depressive symptoms directly affected caregivers'
quality of life. Furthermore, declines in instrumental activities of daily living
among people with dementia indirectly affected caregivers' quality of life, while
declines in cognitive function of people with dementia also indirectly affected
caregivers' care burden and depressive symptoms. CONCLUSION: Caregiver quality of
life was directly and indirectly affected by the characteristics of caregivers
and people with dementia. The present findings provided evidence that factors
related to both people with dementia and caregivers should be considered when
nursing interventions are conducted.
PMID- 29791026
TI - CD99L2 deficiency inhibits leukocyte entry into the central nervous system and
ameliorates neuroinflammation.
AB - Leukocyte entry into the CNS is a crucial step in the development of multiple
sclerosis and its animal model experimental autoimmune encephalomyelitis (EAE).
Adhesion molecules mediating the docking of leukocytes to the endothelium of the
blood-brain barrier (BBB) represent valuable targets for interference with the
disease. However, little is known about the adhesion and signaling mechanisms in
endothelial cells that mediate the diapedesis through the BBB. Here, we show that
conditional Tie-2-Cre driven gene inactivation of CD99L2 inhibits leukocyte entry
into the CNS during active MOG35-55 -induced EAE and alleviates severity of the
disease. No detrimental effect on the immune response was observed. The number of
perivascular cuffs around vessels of the CNS was reduced, as was the number of
inflammatory foci, sites of demyelination and expression levels of pro
inflammatory cytokines. Three-dimensional analysis of vibratome sections of the
CNS revealed an accumulation of leukocytes between endothelial cells and the
underlying basement membrane, whereas leukocyte docking to the luminal surface of
the endothelium of the BBB was unaffected. Collectively, these results suggest
that CD99L2 participates in the development of EAE by supporting diapedesis of
leukocytes through the endothelial basement membrane of blood vessels of the BBB
in the CNS.
PMID- 29791027
TI - Phenotypic and molecular changes in nodule-in-nodule hepatocellular carcinoma
with pathogenetic implications.
AB - AIMS: Nodule-in-nodule (N/N) hepatocellular carcinoma (HCC) is a convincing proof
of multistep hepatocarcinogenesis. In this lesion, an inner HCC develops within
an outer, more differentiated, tumour, which can be rapidly taken over by the
former so that N/N HCC is rarely detected. METHODS AND RESULTS: Ten resected N/N
HCCs arising in cirrhotic background and characterized: (i) as outer lesions by
early (n = 3) and G1 (n = 7) HCC; (ii) as inner lesions by G1 (n = 3) and G2 (n =
7) HCC. The largest/smallest diameters of outer and inner nodules were,
respectively, 20/6 mm and 16/4 mm. We investigated vascular (CD34 and endocan),
hepatocellular VEGF, GS, GPC3, HSP70 and CHC) and molecular (TERT promoter and
beta-catenin) changes taking place from the outer neoplastic compartment to the
inner neoplastic compartment (INC). A diffuse pattern of CD34+ capillarized
vessels and focal endocan immunoreactivity were major distinctive features
acquired in the INC; VEGF immunoreactivity was inversely related to CD34
staining. A gain in the number of cells immunoreactive for GPC3, HSP70, and CHC,
but not of GS-immunoreactive cells, also occurred in the INC. TERT promoter
mutations were seen in half of the cases in both compartments, whereas beta
catenin mutations were more rarely detectable. CONCLUSIONS: Major phenotypic
changes take place in the INC of N/N HCC. TERT promoter mutations take place
frequently and very early, and, in contrast to beta-catenin mutations, do not
appear to be acquired during N/N growth. These findings suggest that inner
nodules represent a step further along the pathway of tumour progression, in
contrast to earlier, simply initiated, lesions, and that complete
neovascularisation predicts a change in HCC biology.
PMID- 29791028
TI - Response to letter "Implantable cardioverter-defibrillator bridging from
temporariness to permanence".
PMID- 29791029
TI - Development of a 3D ultrasound guidance system for permanent breast seed
implantation.
AB - PURPOSE: Permanent breast seed implantation (PBSI) is a promising radiotherapy
technique for early-stage breast cancer, completed in a single visit by
permanently implanting 103 Pd seeds using needles inserted through a template and
guided by two-dimensional (2D) ultrasound (US). However, operator dependence has
been highlighted as a limitation of this procedure. Consequently, we propose and
have developed an intraoperative guidance system using three-dimensional (3D) US
and an instrumented mechanical arm to provide intraoperative 3D imaging and
needle template tracking. METHODS: A mechatronic 3D US scanner reconstructs a 3D
image from 150 2D images. A tracked mechanical arm mounted to the scanner locates
four fiducial points on the template, registering the template to the 3D image.
3D reconstruction was validated for linear and volumetric measurement accuracy
using phantoms of known geometry. In vivo breast US image quality was evaluated
in a healthy volunteer. The encoded arm was calibrated and validated using a jig
with divots at known locations relative to the scanner and the scanner registered
to the 3D US image using intersecting strings in a fluid-filled test jig.
Template registration accuracy was assessed using a machined test jig. Tracking
accuracy was assessed in a liquid medium by comparing tracked and imaged needle
tip positions. Finally, the system was used to guide a mock procedure in a
patient-specific phantom and micro-CT imaging used to evaluate its accuracy.
RESULTS: Geometric validation showed median distances within +/-1.1% of expected
values and volumetric validation showed differences of <=4.1%. Tracking arm point
measurements showed an average error of 0.43 mm and 3D US volume registration
showed target registration error <=0.9 mm. Mean template registration accuracy in
each axis of translation/rotation was <=1.3 mm/1.0 degrees . Mean needle
targeting error was 2.5 mm and 1.6 degrees for needle tips and trajectories,
respectively. Mean needle tip and angular errors of the phantom procedure were
2.1 mm and 2.6 degrees . Modeled seed displacement of the phantom procedure
showed mean error of 2.6 mm and a maximum of 3.8 mm. CONCLUSIONS: A 3D US
guidance system for PBSI has been developed. Benchtop performance and image
quality in volunteer scans are satisfactory. A phantom PBSI procedure was
successfully delivered using the system with maximum seed error within dosimetric
benchmarks (<5 mm). Translation of the device into the clinic is forthcoming.
PMID- 29791030
TI - Antiepileptic drugs as prophylaxis for postcraniotomy seizures.
AB - BACKGROUND: This is an updated version of the Cochrane Review previously
published in Issue 3, 2015.The incidence of seizures following supratentorial
craniotomy for non-traumatic pathology has been estimated to be between 15% to
20%; however, the risk of experiencing a seizure appears to vary from 3% to 92%
over a five-year period. Postoperative seizures can precipitate the development
of epilepsy; seizures are most likely to occur within the first month of cranial
surgery. The use of antiepileptic drugs (AEDs) administered pre- or
postoperatively to prevent seizures following cranial surgery has been
investigated in a number of randomised controlled trials (RCTs). OBJECTIVES: To
determine the efficacy and safety of AEDs when used prophylactically in people
undergoing craniotomy and to examine which AEDs are most effective. SEARCH
METHODS: For the latest update we searched the following databases on 26 June
2017: Cochrane Epilepsy Group Specialized Register, the CENTRAL, MEDLINE,
ClinicalTrials.gov, and the WHO International Clinical Trials Registry Platform
(ICTRP). We did not apply any language restrictions. SELECTION CRITERIA: We
included RCTs of people with no history of epilepsy who were undergoing
craniotomy for either therapeutic or diagnostic reasons. We included trials with
adequate randomisation methods and concealment; these could either be blinded or
unblinded parallel trials. We did not stipulate a minimum treatment period, and
we included trials using active drugs or placebo as a control group. DATA
COLLECTION AND ANALYSIS: Three review authors (JW, JG, YD) independently selected
trials for inclusion and performed data extraction and risk of bias assessments.
We resolved any disagreements through discussion. Outcomes investigated included
the number of participants experiencing seizures (early (occurring within first
week following craniotomy), and late (occurring after first week following
craniotomy)), the number of deaths and the number of people experiencing
disability and adverse effects. Due to the heterogeneous nature of the trials, we
did not combine data from the included trials in a meta-analysis; we presented
the findings of the review in narrative format. Visual comparisons of outcomes
are presented in forest plots. MAIN RESULTS: We included 10 RCTs (N = 1815),
which were published between 1983 and 2015. Three trials compared a single AED
(phenytoin) with placebo or no treatment. One three-armed trial compared two AEDs
(phenytoin, carbamazepine) with no treatment. A second three-armed trial compared
phenytoin, phenobarbital with no treatment. Of these five trials comparing AEDs
with placebo or no treatment, two trials reported a statistically significant
advantage for AED treatment compared to controls for early seizure occurrence;
all other comparisons showed no clear or statistically significant differences
between AEDs and control treatment. None of the trials that were head-to-head
comparisons of AEDs (phenytoin versus sodium valproate, phenytoin versus
phenobarbital, levetiracetam versus phenytoin, zonisamide versus phenobarbital)
reported any statistically significant differences between treatments for either
early or late seizure occurrence.Incidences of death were reported in only five
trials. One trial reported statistically significantly fewer deaths in the
carbamazepine and no-treatment groups compared with the phenytoin group after 24
months of treatment, but not after six months of treatment. Incidences of adverse
effects of treatment were poorly reported; however, three trials did show that
significantly more adverse events occurred on phenytoin compared to valproate,
placebo, or no treatment. No trials reported any results relating to functional
outcomes such as disability.We considered the evidence to be of low quality for
all reported outcomes due to methodological issues and variability of comparisons
made in the trials. AUTHORS' CONCLUSIONS: There is limited, low-quality evidence
to suggest that AED treatment administered prophylactically is either effective
or not effective in the prevention of postcraniotomy (early or late) seizures.
The current evidence base is limited due to the different methodologies employed
in the trials and inconsistencies in the reporting of outcomes including deaths
and adverse events. Further evidence from good-quality, contemporary trials is
required in order to assess the clinical effectiveness of prophylactic AED
treatment compared to placebo or no treatment, or other AEDs in preventing
postcraniotomy seizures in this select group of patients.
PMID- 29791032
TI - Social egg freezing-Better early than never.
PMID- 29791031
TI - Ocean acidification stimulates particulate organic carbon accumulation in two
Antarctic diatom species under moderate and high natural solar radiation.
AB - Impacts of rising atmospheric CO2 concentrations and increased daily irradiances
from enhanced surface water stratification on phytoplankton physiology in the
coastal Southern Ocean remain still unclear. Therefore, in the two Antarctic
diatoms Fragilariopsis curta and Odontella weissflogii, the effects of moderate
and high natural solar radiation combined with either ambient or future pCO2 on
cellular particulate organic carbon (POC) contents and photophysiology were
investigated. Results showed that increasing CO2 concentrations had greater
impacts on diatom physiology than exposure to increasing solar radiation.
Irrespective of the applied solar radiation regime, cellular POC quotas increased
with future pCO2 in both diatoms. Lowered maximum quantum yields of
photochemistry in PSII (Fv /Fm ) indicated a higher photosensitivity under these
conditions, being counteracted by increased cellular concentrations of functional
photosynthetic reaction centers. Overall, our results suggest that both bloom
forming Antarctic coastal diatoms might increase carbon contents under future
pCO2 conditions despite reduced physiological fitness. This indicates a higher
potential for primary productivity by the two diatom species with important
implications for the CO2 sequestration potential of diatom communities in the
future coastal Southern Ocean.
PMID- 29791033
TI - Diabetes-related lower-extremity complications are a leading cause of the global
burden of disability.
AB - Globally ~435 million people have diabetes [1], and an estimated 19-34% (~83-148
million people) of those will be expected to develop foot ulcers in their
lifetime [2]. Foot ulcers are typically precipitated by other diabetes-related
lower-extremity complications, (DRLECs) including peripheral neuropathy and
peripheral vascular disease [2,3]. Collectively, DRLECs are a leading cause of
infection, hospitalization and amputation outcomes [2-5], yet, these outcomes are
readily preventable with evidence-based care [6,7]. This suggests the burden
caused by DRLECs is a large, yet reducible, cause of the global burden of
disease. This article is protected by copyright. All rights reserved.
PMID- 29791034
TI - High expression of CD10 in anaplastic thyroid carcinomas.
AB - AIMS: CD10 is an endopeptidase that degrades various bioactive peptides in the
extracellular matrix. In addition to enzymatic degradation, it affects multiple
intracellular signal transduction pathways. CD10 expression has been extensively
studied in human epithelial cancers of numerous organs and sites. However, its
presence in thyroid carcinomas, especially in anaplastic thyroid carcinoma (ATC),
has not been fully determined. An actual CD10 expression in thyroid lesions
including a large series of ATC was evaluated. METHODS AND RESULTS: We examined
CD10 by immunohistochemistry (IHC) in 152 thyroid lesions: nine adenomatous
goitres (AGs) and 143 tumours, including 47 anaplastic carcinomas. IHC showed
diffuse and strong positivity for CD10 in the epithelial components of almost all
ATCs. However, epithelia with squamous metaplasia and oncocytic change from AGs,
follicular adenomas and differentiated carcinomas had focal CD10 reactivity. Some
papillary thyroid carcinomas (PTCs), along with the PTC components of some ATCs,
showed CD10 positivity in fibroblast-like stromal cells and fibrous material.
CONCLUSION: Our results imply that the CD10 expression pattern depended on the
histotypes of thyroid lesions. When possible metastatic tumours and non
epithelial tumours are excluded, high CD10 expression may be useful in
determining whether a primary thyroid carcinoma includes an anaplastic component.
PMID- 29791035
TI - Uncovering cryptic diversity of Lyngbya: the new tropical marine cyanobacterial
genus Dapis (Oscillatoriales).
AB - Cyanobacteria comprise an extraordinarily diverse group of microorganisms and, as
revealed by increasing molecular information, this biodiversity is even more
extensive than previously estimated. In this sense, the cyanobacterial genus
Lyngbya is a highly polyphyletic group composed of many unrelated taxa with
morphological similarities. In this study, the new genus Dapis was erected from
the genus Lyngbya, based on a combined molecular, chemical, and morphological
approach. Herein, two new species of cyanobacteria are described: D. pleousa and
D. pnigousa. Our analyses found these species to be widely distributed and
abundant in tropical and subtropical marine habitats. Seasonally, both species
have the ability to form extensive algal blooms in marine habitats: D. pleousa in
shallow-water, soft bottom habitats and D. pnigousa on coral reefs below depths
of 10 m. Electron microscopy showed that D. pleousa contains gas vesicles, a
character not previously reported in Lyngbya. These gas vesicles, in conjunction
with a mesh-like network of filaments that trap oxygen released from
photosynthesis, provide this species with an unusual mechanism to disperse in
coastal marine waters, allowing D. pleousa to be present in both benthic and
planktonic forms. In addition, both D. pleousa and D. pnigousa contained nitrogen
fixing genes as well as bioactive secondary metabolites. Several specimens of D.
pnigousa biosynthesized the secondary metabolite lyngbic acid, a molecule that
has also been isolated from many other marine cyanobacteria. Dapis pleousa
consistently produced the secondary metabolite malyngolide, which may provide a
promising chemotaxonomic marker for this species.
PMID- 29791036
TI - Neuroplasticity in cholinergic neurons of the laterodorsal tegmental nucleus
contributes to the development of cocaine addiction.
AB - The laterodorsal tegmental nucleus (LDT) is a brainstem nucleus that sends
cholinergic, glutamatergic, and gamma-aminobutyric acid (GABA)-ergic projections
to the ventral tegmental area (VTA), a key brain region associated with reward
information processing and reinforcement learning, and thus, with addiction
induced by drugs of abuse, including cocaine. Recent studies have revealed that
the LDT, in addition to the VTA, plays important roles in the development and
expression of cocaine-induced addiction and stress-induced enhancement of
addictive behaviors. Additionally, neuroplasticity induced in LDT cholinergic
neurons by repeated cocaine administration critically contributes to these
behaviors. Elucidation of the underlying mechanisms of cocaine-induced
neuroplasticity in the LDT that influences reward circuit activity may lead to
the development of therapeutic strategies to treat cocaine addiction and stress
induced reinstatement of cocaine use. This review summarizes recent progress in
the study of the LDT, specifically neuroplasticity in LDT cholinergic neurons
induced by cocaine and its functional roles in the development and modulation of
addictive behaviors associated with cocaine.
PMID- 29791037
TI - Discrepancies Between Retrospective and Actual Self-Reports of Peer Victimization
Six Years Earlier.
AB - Retrospective peer victimization reports may be inaccurate. With an ethnically
and sexually diverse sample, this study compared adolescents' self-reported peer
victimization in 6th grade to their own retrospective reports of 6th-grade
victimization when they were in the 12th grade, controlling for past and current
adjustment. Overall, 12th graders' retrospective victimization reports correlated
with their own earlier 6th-grade self-reports and there was a general tendency to
underreport retrospectively. Underreporters were distinguished by worse past 6th
grade adjustment, whereas overreporters were distinguished by worse current (12th
grade) adjustment. Higher current depressive symptoms and social anxiety, and
lower current self-worth may be important control variables when collecting
retrospective reports of victimization because current adjustment may augment
participants' recollection of past experiences.
PMID- 29791038
TI - Special issue: Proceedings of 16th international conference on chiroptical
spectroscopy, Rennes 2017.
PMID- 29791039
TI - Racial differences in completion of the living kidney donor evaluation process.
AB - Racial disparities in living donor kidney transplantation (LDKT) persist but the
most effective target to eliminate these disparities remains unknown. One
potential target could be delays during completion of the live donor evaluation
process. We studied racial differences in progression through the evaluation
process for 247 African American (AA) and 664 non-AA living donor candidates at
our center between January 2011 and March 2015. AA candidates were more likely to
be obese (38% vs 22%: P < .001), biologically related (66% vs 44%: P < .001), and
live <=50 miles from the center (64% vs 37%: P < .001) than non-AAs. Even after
adjusting for these differences, AAs were less likely to progress from referral
to donation (aHR for AA vs non-AA: 0.26 0.47 0.83; P = .01). We then assessed
racial differences in completion of each step of the evaluation process and found
disparities in progression from medical screening to in-person evaluation (aHR:
0.41 0.620.94; P = .02) and from clearance to donation (aHR: 0.28 0.510.91; P =
.02), compared with from referral to medical screening (aHR: 0.78 1.021.33; P =
.95) and from in-person evaluation to clearance (aHR: 0.59 0.931.44; P = .54).
Delays may be a manifestation of the transplant candidate's social network, thus,
targeted efforts to optimize networks for identification of donor candidates may
help address LDKT disparities.
PMID- 29791040
TI - Presence of chronic diabetic foot ulcers is associated with more frequent and
more advanced retinopathy.
AB - AIMS: To clarify the frequency and severity of diabetic retinopathy in a group of
people with Type 2 diabetes and chronic diabetic foot ulcers, and to compare
visual acuity, levels of retinopathy and clinical significant macular oedema with
a matched control group of people with Type 2 diabetes without a history of
chronic diabetic foot ulcers. METHODS: Visual acuity and fundus imaging were
evaluated in 90 white people with at least 3 months' duration of full-thickness
diabetic foot ulcers below the ankle and the results compared with those in 180
white people with Type 2 diabetes without a history of chronic diabetic foot
ulcers (control group). Controls were matched for age, sex and duration of
diabetes. RESULTS: Despite similar age and diabetes duration, severe non
proliferative or proliferative diabetic retinopathy was present in 41% of the
people in the diabetic foot ulcer group as compared to 15% in the control group
(P<0.001). Only 6% in the diabetic foot ulcer group was without any diabetic
retinopathy as compared to 34% among controls. Proliferative diabetic retinopathy
was more common in the diabetic foot ulcer group (31% vs 8%; P<0.001), but time
to-proliferative diabetic retinopathy did not differ between groups. Clinically
significant macular oedema was more frequently present, and the diabetic foot
ulcer group exhibited significantly worse results in best and worst eye visual
acuity testing. CONCLUSIONS: In this northern European setting almost all people
with Type 2 diabetes and chronic diabetic foot ulcers had diabetic retinopathy.
Almost one-third had proliferative diabetic retinopathy as compared to <10% in
our matched control group. More advanced diabetic retinopathy was linked to worse
visual acuity.
PMID- 29791042
TI - Behavioural state-specific neurons in the mouse medulla involved in sleep-wake
switching.
AB - The medullary reticular formation (RF) is involved in the maintenance of several
vital physiological functions and level of vigilance. In this study, in
nonanesthetised, head-fixed mice, I examined the role of medullary RF neurons in
the control of sleep-wake states, that is, wakefulness (W), slow-wave sleep (SWS)
and paradoxical (or rapid eye movement) sleep (PS). I showed, for the first time,
that the mouse medullary RF contains presumed SWS-promoting, SWS-on neurons that
remain silent during W, display a sharp increase in discharge rate at sleep
onset, and discharge tonically and selectively during SWS. In addition, I showed
the presence in the medullary RF of both PS-on and PS-off neurons, which,
respectively, commence discharging or cease firing selectively just prior to, and
during, PS. PS-off neurons were located in the raphe nuclei and ventral medulla,
while PS-on neurons were found in both the lateral part of the ventral
gigantocellular reticular nucleus and the raphe nuclei, as were SWS-on neurons.
PS-off and SWS-on neurons appear to play an important role in both the W-SWS and
SWS-PS switches, while PS-on and PS-off neurons play an important role in the PS
W switch. The present findings on the trends in spike activity at the transitions
from SWS to PS and from PS to W are in line with the reciprocal interaction
hypothesis according to which PS occurs as a result of the cessation of discharge
of PS-off neurons, while PS ends as a result of the start of discharge of PS-off
neurons.
PMID- 29791041
TI - Polymorphism, Weak Interactions and Phase Transitions in Chalcogen-Phosphorus
Heterocycles.
AB - A series of P-E-containing heterocycles (E=chalcogen) with aromatic backbones
were synthesised and characterised by single-crystal and powder XRD,
microanalysis and mass spectrometry. Solution- and solid-state 31 P and 77 Se NMR
spectroscopy revealed significant differences between the NMR parameters in
solution and in the solid state, related to conformational changes in the
molecules. Many compounds were shown to exhibit a number of different polymorphic
structures (identified by single-crystal XRD), although the bulk material studied
by solid-state NMR spectroscopy often contained just one major polymorph. For the
unoxidised heterocycles, the presence of weak intermolecular J couplings was also
investigated by DFT calculations.
PMID- 29791043
TI - Infection with ibrutinib in patients with chronic lymphocytic leukemia: How
strong is the association?
PMID- 29791044
TI - What happens to offspring when parents are inbred, old or had a poor start in
life? Evidence for sex-specific parental effects.
AB - Parental effects on offspring performance have been attributed to many factors
such as parental age, size and condition. However, we know little about how these
different parental characteristics interact to determine parental effects, or the
extent to which their effect on offspring depends on either the sex of the parent
or that of the offspring. Here we experimentally tested for effects of variation
in parents' early diet and inbreeding levels, as well as effects of parental age,
and for potential interactive effects of these three factors on key aspects of
offspring development in the mosquitofish (Gambusia holbrooki). Older mothers
produced offspring that were significantly smaller at birth. This negative effect
of maternal age on offspring size was still evident at maturation as older
mothers had smaller daughters, but not smaller sons. The daughters of older
mothers did, however, reach maturity sooner. Paternal age did not affect
offspring body size, but it had a complex effect on their sons' relative genital
size. When initially raised on a food-restricted diet, older fathers sired sons
with relatively smaller genitalia, but when fathers were initially raised on a
control diet their sons had relatively larger genitalia. The inbreeding status of
mothers and fathers had no significant effects on any of the measured offspring
traits. Our results indicate that the manifestation of parental effects can be
complex. It can vary with both parent and offspring sex; can change over an
offspring's life; and is sometimes evident as an interaction between different
parental traits. Understanding this complexity will be important to predict the
role of parental effects in adaptation.
PMID- 29791045
TI - Person-specific validation and testing of functional relations in cognitive
behavioural case formulation: Guidelines and options.
AB - Although a number of manuals describe how to develop a cognitive-behavioural case
formulation (CBCF) to assist the clinician in developing and implementing
tailored CB treatment for complex or comorbid cases, methods to evaluate and test
functional hypotheses in the formulation for a particular case are not widely
known or used. Thus, formulation-based, tailored treatments for such cases are
likely to be guided by case formulations of uncertain validity. The present
article presents guidelines and choices available to the clinical trainee,
researcher, or practitioner planning to use a person-specific (PS) approach to
testing CBCF hypotheses about functional relations between triggers, cognitions,
and distress or dysfunction. This approach involves repeated assessments
completed by the client using an individualized questionnaire that includes both
nomothetic and idiographic items of greatest relevance to the client. Guidelines
and options for developing this questionnaire and for data collection within the
context of a collaborative therapist-client relationship are described. PS data
analyses are then used to test case formulation hypotheses that vary in
complexity from simple bivariate relations to complex dynamic mediation. A case
example using this PS approach is described. Simpler options for the PS
evaluation of a CBCF usable by practitioners and trainees as well as more complex
options for clinical researchers are included.
PMID- 29791046
TI - Impact of intensive dosing of mycophenolate on pancreas allograft survival.
AB - PURPOSE: To evaluate the effect of mycophenolate (mycophenolic acid, MPA) dose on
pancreas allograft survival following simultaneous pancreas kidney (SPK)
transplant. METHODS: This was an observational study of adult SPK recipients
transplanted between 1/1/2002 and 6/30/2015. Recipients were divided into cohorts
based on MPA dose at discharge: high dose (HD), 1000 mg three times daily
mycophenolate mofetil (MMF) and standard dose (SD), 1000 mg twice daily MMF.
Primary outcome was pancreas allograft survival. Secondary endpoints included
kidney allograft survival, pancreas allograft rejection, infection, time to
initial dose decrease, and patient survival (PS). RESULTS: In all, 453 patients
met inclusion criteria: 324 in HD-MPA group and 129 in SD-MPA group. HD-MPA
patients had higher rates of pancreas graft survival (P = .003). There were no
differences in rates of pancreas allograft rejection (P = .8), kidney graft
survival (P = .15), overall infection (P = .4), overall malignancy (P = .93),
time to first dose reduction (P = .35), or patient survival (P = .3). In a
multivariable analysis adjusted for differences between groups and known
confounders, dosing group continued to significantly affect incidence of pancreas
allograft failure (P = .02). CONCLUSIONS: HD-MPA significantly impacted pancreas
allograft survival in SPK recipients independent of graft rejection. Further
studies are warranted to investigate the etiology of this finding and determine
the optimal duration of HD-MPA associated with positive graft outcomes.
PMID- 29791047
TI - Cell-Surface Engineering for Advanced Cell Therapy.
AB - Stem cells opened great opportunity to overcome diseases that conventional
therapy had only limited success. Use of scaffolds made from biomaterials not
only helps handling of stem cells for delivery or transplantation but also
supports enhanced cell survival. Likewise, cell encapsulation can provide
stability for living animal cells even in a state of separateness. Although
various chemical reactions were tried to encapsulate stolid microbial cells such
as yeasts, a culture environment for the growth of animal cells allows only
highly biocompatible reactions. Therefore, the animal cells were mostly
encapsulated in hydrogels, which resulted in enhanced cell survival.
Interestingly, major findings of chemistry on biological interfaces demonstrate
that cell encapsulation in hydrogels have a further a competence for modulating
cell characteristics that can go beyond just enhancing the cell survival. In this
review, we present a comprehensive overview on the chemical reactions applied to
hydrogel-based cell encapsulation and their effects on the characteristics and
behavior of living animal cells.
PMID- 29791048
TI - Long-term course of inflammatory bowel disease after the Great East Japan
Earthquake.
AB - BACKGROUND AND AIM: This study analyzed inflammatory bowel disease activity for 2
years after the Great East Japan Earthquake. METHODS: We compared the relapse
rates of patients with ulcerative colitis or Crohn's disease 1 and 2 years after
the earthquake with rates immediately after the earthquake. To evaluate
continuous disease courses, we also performed multivariate time-to-event analyses
from the time of the earthquake to the onset of additional treatments. RESULTS:
Of 903 patients with ulcerative colitis or Crohn's disease in our previous study,
we could evaluate 2-year courses in 677 patients (394 ulcerative colitis and 283
Crohn's disease). Compared with the relapse rates of ulcerative colitis and
Crohn's disease immediately after the earthquake (15.8% and 7.0%, respectively),
those in the corresponding periods in 2012 (2.5% and 1.1%, respectively) and 2013
(2.3% and 2.5%, respectively) significantly decreased. There were 226 patients
who required additional treatments after the earthquake. Multivariate time-to
event analyses revealed that only patients who had experienced the death of
family members or friends were likely to need additional treatments (hazard ratio
= 1.77, 95% confidence interval = 1.25-2.47). No other factors had a significant
influence. CONCLUSIONS: The relapse rates 1 and 2 years after the earthquake
significantly decreased. The factors that influenced long-term relapse were
different from those that influenced short-term relapse.
PMID- 29791049
TI - 2-Benzoylpyridine Ligand Complexation with Gold Critical for Propargyl Ester
Based Protein Labeling.
AB - In previously reported work, AuIII complexes coordinated with 2-benzoylpyridine
ligand, BPy-Au, were prebound to a protein and used to discover a novel protein
directed labeling approach with propargyl ester functional groups. In this work,
further examination discovered that gold catalysts devoid of the 2
benzoylpyridine ligand (e.g., NaAuCl4) had significantly reduced levels of
protein labeling. Mechanistic investigations then revealed that BPy-Au and
propargyl esters undergo a rare example of C(sp2 )-C(sp) aryl-alkynyl cross
coupling, likely through spontaneous reductive elimination. Overall, these
observations appear to suggest that BPy-Au-mediated, propargyl ester-based
protein labeling acts via an activated ester intermediate, which contributes to
our understanding of this process and will aid the expansion/optimization of gold
catalyst usage in future bioconjugation applications, especially in vivo.
PMID- 29791050
TI - A novel CLCN5 pathogenic mutation supports Dent disease with normal endosomal
acidification.
AB - Dent disease is an X-linked recessive renal tubular disorder characterized by low
molecular-weight proteinuria, hypercalciuria, nephrolithiasis, nephrocalcinosis,
and progressive renal failure. Inactivating mutations of CLCN5, the gene encoding
the 2Cl- /H+ exchanger ClC-5, have been reported in patients with Dent disease 1.
In vivo studies in mice harboring an artificial mutation in the "gating
glutamate" of ClC-5 (c.632A > C, p.Glu211Ala) and mathematical modeling suggest
that endosomal chloride concentration could be an important parameter in
endocytosis, rather than acidification as earlier hypothesized. Here, we
described a novel pathogenic mutation affecting the "gating glutamate" of ClC-5
(c.632A>G, p.Glu211Gly) and investigated its molecular consequences. In HEK293T
cells, the p.Glu211Gly ClC-5 mutant displayed unaltered N-glycosylation and
normal plasma membrane and early endosomes localizations. In Xenopus laevis
oocytes and HEK293T cells, we found that contrasting with wild-type ClC-5, the
mutation abolished the outward rectification, the sensitivity to extracellular H+
and converted ClC-5 into a Cl- channel. Investigation of endosomal acidification
in HEK293T cells using the pH-sensitive pHluorin2 probe showed that the luminal
pH of cells expressing a wild-type or p.Glu211Gly ClC-5 was not significantly
different. Our study further confirms that impaired acidification of endosomes is
not the only parameter leading to defective endocytosis in Dent disease 1.
PMID- 29791051
TI - From learning to action: the integration of dorsal striatal input and output
pathways in instrumental conditioning.
AB - Considerable evidence suggests that the learning and performance of instrumental
actions depend on activity in basal ganglia circuitry; however, these two
functions have generally been considered independently. Whereas research
investigating the associative mechanisms underlying instrumental conditioning has
identified critical cortical and limbic input pathways to the dorsal striatum,
the performance of instrumental actions has largely been attributed to activity
in the dorsal striatal output pathways, with direct and indirect pathway
projection neurons mediating action initiation, perseveration and cessation.
Here, we discuss evidence that the dorsal striatal input and basal ganglia output
pathways mediate the learning and performance of instrumental actions,
respectively, with the dorsal striatum functioning as a transition point. From
this perspective, the issue of how multiple striatal inputs are integrated at the
level of the dorsal striatum and converted into relatively restricted outputs
becomes one of critical significance for understanding how learning is translated
into action. So too does the question of how learning signals are modulated by
recent experience. We propose that this occurs through recurrent
corticostriatothalamic feedback circuits that serve to integrate performance
signals by updating ongoing action-related learning.
PMID- 29791052
TI - High-performance liquid chromatography quantification of enantiomers of a
Dihydroxylated tetrahydrofuran natural product.
AB - Both enantiomers of petromyroxol are putative pheromones in sea lamprey
(Petromyzon marinus). Here, we describe the separation and quantification of the
petromyroxol enantiomers using high-performance liquid chromatography tandem mass
spectrometry. The separation was tested on a wide range of chiral columns with
normal phases, and effects of the chromatographic parameters such as mobile phase
and temperature on the separation were optimized. The AD-H column showed the best
separation of enantiomers with n-hexane and ethanol as the mobile phase. The
enantiomers were detected by multiple reaction monitoring with a positive
atmospheric-pressure chemical ionization on triple quadrupole mass spectrometer.
Validation revealed that the method was specific, accurate, and precise. The
validated method was applied to measure the amount of petromyroxol enantiomers in
water conditioned with sea lamprey larvae, the source of the putative pheromone.
This method will be applied in quantifying the natural scalemic petromyroxol
mixture, enabling further investigations of a rare non-racemic enantiomeric
pheromone mixture in a vertebrate species.
PMID- 29791053
TI - Impact of ruxolitinib pretreatment on outcomes after allogeneic stem cell
transplantation in patients with myelofibrosis.
AB - INTRODUCTION: Ruxolitinib is the first approved drug for treatment of
myelofibrosis, but its impact of outcome after allogeneic stem cell
transplantation (ASCT) is unknown. PATIENTS AND METHODS: We reported on 159
myelofibrosis patients (pts) with a median age of 59 years (r: 28-74) who
received reduced intensity ASCT between 2000 and 2015 in eight German centers
from related (n = 23), matched (n = 86) or mismatched (n = 50) unrelated donors.
Forty-six (29%) patients received ruxolitinib at any time point prior to ASCT.
The median daily dose of ruxolitinib was 30 mg (range 10-40 mg) and the median
duration of treatment was 4.9 months (range 0.4-39.1 months). RESULTS: Primary
graft failure was seen in 2 pts (4%) in the ruxolitinib and 3 (2%) in the non
ruxolitinib group. Engraftment and incidence of acute GVHD grade II to IV and
III/IV did not differ between groups (37% vs 39% and 19% vs 28%, respectively),
nor did the non-relapse mortality at 2 years (23% vs 23%). A trend for lower risk
of relapse was seen in the ruxolitinib group (9% vs 17%, P = .2), resulting in a
similar 2 year DFS and OS (68% vs 60% and 73% vs 70%, respectively). No
difference in any outcome variable could be seen between ruxolitinib responders
and those who failed or lost response to ruxolitinib. CONCLUSIONS: These results
suggest that ruxolitinib pretreatment in myelofibrosis patient does not
negatively influence outcome after allogeneic stem cell transplantation.
PMID- 29791054
TI - Incidence of childhood Type 1 diabetes mellitus in Crete.
AB - AIM: To investigate the epidemiology of childhood Type 1 diabetes mellitus in
Crete over the last 25 years and to evaluate incidence trends over time. METHODS:
The study included all children aged 0-14 years who live in Crete and were
diagnosed during the 25-year period from 1 January 1992 to 31 December 2016.
RESULTS: A total of 271 children were diagnosed with Type 1 diabetes during the
25-year period: 148 boys and 123 girls (boy:girl ratio 1.2). The median
(interquartile range) age at diagnosis was 8.3 (5.0-12.0) years for boys and 8.0
(5.3-11.3) years for girls. The standardized annual incidence rate was 10.5 per
100 000 children (95% CI 9.2 to 11.8). Incidence rates were higher in children
aged 5-9 years. During the 25-year study period an average 4.4% annual increase
in incidence was documented and was most prominent in the age group 5-14 years.
Incidence seemed to remain relatively stable for the age group 0-4 years in the
last decade. No seasonality of the clinical onset of Type 1 diabetes was
observed. CONCLUSIONS: The recent increase in Type 1 diabetes incidence places
Crete among regions with high incidence as per the World Health Organization
DiaMond project classification. The rising trends in incidence confirmed by this
study are in accordance with the reported global trends in Type 1 diabetes
incidence.
PMID- 29791055
TI - High birth weight was associated with increased radial artery intima thickness
but not with other investigated cardiovascular risk factors in adulthood.
AB - AIM: This study investigated whether a high birth weight was associated with
increased risk factors for cardiovascular disease when Swedish adults reached 34
40. METHODS: We studied 27 subjects born at Uppsala University Hospital in 1975
1979, weighing at least 4500 g, and compared them with 27 controls selected by
the Swedish National Board of Welfare with birth weights within +/-1 standard
deviations scores and similar ages and gender. The study included body mass index
(BMI), blood pressure, lipid profile, haemoglobin A1c (HbA1c), C-reactive protein
(CRP) and high-frequency ultrasound measurements of intima-media thickness,
intima thickness (IT) and intima:media ratio of the carotid and radial arteries.
RESULTS: Subjects with a high birth weight did not differ from controls with
regard to BMI, blood pressure, lipid profile, high-sensitivity CRP, HbA1c or
carotid artery wall dimensions. However, their radial artery intima thickness was
37% greater than the control group and their intima:media ratio was 44% higher.
CONCLUSION: Our findings indicate that a high birth weight was associated with
increased radial artery intima thickness, but not with other investigated
cardiovascular risk factors, at 34-40 years of age. The clinical implications of
these findings should be investigated further, especially in subjects born with a
very high birth weight.
PMID- 29791056
TI - Dactylomonas gen. nov., a Novel Lineage of Heterolobosean Flagellates with Unique
Ultrastructure, Closely Related to the Amoeba Selenaion koniopes Park, De
Jonckheere & Simpson, 2012.
AB - We report the discovery of a new genus of heterolobosean flagellates,
Dactylomonas gen. nov., with two species, D. venusta sp. nov. and D. crassa sp.
nov. Phylogenetic analysis of the SSU rRNA gene showed that Dactylomonas is
closely related to the amoeba Selenaion, the deepest-branching lineage of
Tetramitia. Dactylomonads possess two flagella, and ultrastructural studies
revealed an unexpected organization of the flagellar apparatus, which resembled
Pharyngomonada (the second lineage of Heterolobosea) instead of Tetramitia: basal
bodies were orthogonal to each other and a putative root R1 was present in the
mastigont. On the other hand, Dactylomonas displayed several features uncommon in
Heterolobosea: a microtubular corset, a distinctive rostrum supported by the main
part of the right microtubular root, a finger-like projection on the proximal
part of the recurrent flagellum, and absence of a ventral groove. In addition,
Dactylomonas is anaerobic and seems to have lost mitochondrial cristae.
Dactylomonas and Selenaion are accommodated in the family Selenaionidae fam. nov.
and order Selenionida ord. nov. The taxonomy of Tetramitia is partially revised,
and the family Neovahlkampfiidae fam. nov. is established.
PMID- 29791057
TI - Refining noninvasive support strategies to help babies breathe.
PMID- 29791058
TI - Gene flow does not prevent personality and morphological differentiation between
two blue tit populations.
AB - Understanding the causes and consequences of population phenotypic divergence is
a central goal in ecology and evolution. Phenotypic divergence among populations
can result from genetic divergence, phenotypic plasticity or a combination of the
two. However, few studies have deciphered these mechanisms for populations
geographically close and connected by gene flow, especially in the case of
personality traits. In this study, we used a common garden experiment to explore
the genetic basis of the phenotypic divergence observed between two blue tit
(Cyanistes caeruleus) populations inhabiting contrasting habitats separated by 25
km, for two personality traits (exploration speed and handling aggression), one
physiological trait (heart rate during restraint) and two morphological traits
(tarsus length and body mass). Blue tit nestlings were removed from their
population and raised in a common garden for up to 5 years. We then compared
adult phenotypes between the two populations, as well as trait-specific Qst and
Fst . Our results revealed differences between populations similar to those found
in the wild, suggesting a genetic divergence for all traits. Qst -Fst comparisons
revealed that the trait divergences likely result from dissimilar selection
patterns rather than from genetic drift. Our study is one of the first to report
a Qst -Fst comparison for personality traits and adds to the growing body of
evidence that population genetic divergence is possible at a small scale for a
variety of traits including behavioural traits.
PMID- 29791059
TI - Use of high-resolution colonic manometry to establish etiology and direct
treatment in patients with constipation: Case series with correlation to
histology.
AB - BACKGROUND AND AIM: Different clinical treatments are available to treat patients
with constipation. We aimed to study the etiology and direct treatment in a case
series of patients with constipation by the use of high-resolution colonic
manometry (HRCM). METHODS: High-resolution colonic manometry was used to record
the colorectal peristaltic contractions of the entire colon in patients. Based on
the results of HRCM, 151 patients with constipation were classified into groups
and received different clinical treatment such as a total or subtotal colectomy,
local excision, or conservative treatment. Paraffin-embedded samples obtained
after resection were studied using hematoxylin and eosin, as well as
immunohistochemical staining. RESULTS: All patients underwent HRCM over 24 h.
Based on the amplitude, intensity, and trends in peristaltic contractions
recorded by HRCM, we observed 117 patients with slow-transit constipation and 34
with functional outlet obstruction constipation. After an overall evaluation of
the results of HRCM and anorectal function, 26, 23, 27, and 75 patients were
treated with total colectomy, subtotal colectomy, local excision, and
conservative treatment, respectively. Furthermore, histological examination of
surgical samples showed vacuolar degeneration of nerve plexuses as well as of the
muscularis propria, which also showed fibrosis in its outer layers in patients
with constipation. CONCLUSION: Different types of constipation showed different
colonic motility patterns and morphological changes in the colonic wall. HRCM
plays an important role in the diagnosis and classification of patients with
constipation. Furthermore, HRCM can accurately identify the diseased colonic
segments and help to choose the appropriate treatment.
PMID- 29791060
TI - Implementing an innovated preservation technology: The American Society of
Transplant Surgeons' (ASTS) Standards Committee White Paper on Ex Situ Liver
Machine Perfusion.
AB - The pervasive shortage of deceased donor liver allografts contributes to
significant waitlist mortality despite efforts to increase organ donation. Ex
vivo liver perfusion appears to enhance preservation of donor organs, extending
viability and potentially evaluating function in organs previously considered too
high risk for transplant. These devices pose novel challenges for organ
allocation, safety, training, and finances. This white paper describes the
American Society of Transplant Surgeons' belief that organ preservation
technology is a vital advance, but its use should not change fundamental aspects
of organ allocation. Additional data elements need to be collected, made
available for organ assessment by transplant professionals to allow determination
of organ suitability in the case of reallocation and incorporated into risk
adjustment methodology. Finally, further work is needed to determine the optimal
strategy for management and oversight of perfused organs prior to
transplantation.
PMID- 29791061
TI - Antidiuretic hormone release associated with increased intracranial pressure
independent of plasma osmolality.
AB - OBJECTIVE: Introduce and evaluate a new model which explains the release of brain
antidiuretic hormone (ADH) independent of plasma osmolality. METHODS: Systematic
review and critical analysis of the professional literature. RESULTS: Primary
electronic database searches using key terms revealed 57,432 hits. Secondary
searches with application of specific inclusion and exclusion criteria and manual
inspection for completeness reduced the total number of studies to fourteen (N =
14). Twelve (N = 12) studies investigated human subjects in the hospital
settings, and two (N = 2) studies investigated animals (rhesus monkeys and dog)
under invasive experimental conditions. All fourteen studies included direct or
indirect indicators of intracranial pressure (ICP), measurements of plasma ADH,
and plasma osmolality or urine osmolality. Findings, in brief, reveal a stable
and positive association between increased intracranial pressure (ICP) and
increased ADH release, in patients with low or normal blood osmolality. Findings
are reliable and reproducible across human and animal populations. CONCLUSIONS:
Findings support the proposed model, which explains increase secretion of brain
ADH when plasma osmolality is low or within normal limits. Mechanical pressures
exerted on hypothalamic nuclei, especially paraventricular and supra-optic
nuclei, as a consequence of increased intracranial pressure, produce release of
ADH, independent of plasma osmolality. The mechanical pressure model explains
release of ADH previously unexplained by traditional plasma osmolality models.
Findings have important clinical implications for the medical and surgical
management of patients.
PMID- 29791062
TI - Antinocioceptive Effects of Spinal Cord Stimulation by Activation of
Periaqueductal Gray Matter and Rostral Ventromedial Medulla: A Mechanism Beyond
the Gate Control Theory.
PMID- 29791063
TI - Ten-year follow-up of dental implants used for immediate loading in the
edentulous mandible: A prospective clinical study.
AB - PURPOSE: The purpose of this study is to evaluate the 10-year outcome of 25
patients with immediate loading in the edentulous mandible. MATERIAL AND METHODS:
Twenty-five patients were consecutively treated with 5 immediately loaded
fluoride-modified implants in the edentulous mandible. Implant survival and bone
loss were evaluated by an external researcher comparing digital periapical
radiographs taken during recall visits with baseline (at implant insertion).
Statistical descriptive analysis and nonparametric tests were performed using
SPSS v23, multilevel analysis was performed by means of R version 3.1.0. to
identify risk factors for bone loss. RESULTS: Twenty-one patients (8 males, 13
females, mean age 68.4, range 49-84) responded to the 10-year recall invitation.
No implants were lost during follow-up, resulting in a 100% survival rate. After
10 years, bleeding on probing and plaque were present at 49.5% and 67.6% of the
sites, respectively. The mean pocket probing depth was 3.77 mm (SD 0.73, range
3.0-6.83). Bone loss on implant level after 3, 12, 24, and 120 months was 0.16 mm
(SD 0.33, range 0-1.75), 0.14 mm (SD 0.24, range 0-1.05), 0.17 mm (SD 0.27, range
0-1.5), and 0.49 mm (SD 1.08, range 0-7.8). Five implants were identified with or
at risk for progressive bone loss. Forty-seven percent of the implants did not
show any bone loss after 10 years in function and 87% lost less than 1 mm.
Multilevel statistical analysis identified 2-year bone loss as a predictor for
bone loss after 10 years of function. CONCLUSION: Immediate loading of 5 fluoride
modified dental implants with a fixed prosthetic rehabilitation is a predictable
and reliable treatment in the edentulous mandible, based on a 100% implant
survival and limited peri-implant bone loss. Implants used for immediate loading
in the edentulous mandible who are showing early bone loss may be at higher risk
to develop peri-implantitis.
PMID- 29791064
TI - Abdomino-perineal excision for low rectal cancer performed by double laparoscopy
approach with no position change - a video vignette.
PMID- 29791065
TI - Risk Factors for Valproic Acid-induced Hyperammonaemia in Chinese Paediatric
Patients with Epilepsy.
AB - This study was aimed at identifying genetic and non-genetic risk factors for
valproic acid (VPA)-induced hyperammonaemia in Chinese paediatric patients with
epilepsy. A total of 210 epileptic patients, treated with VPA as monotherapy,
were enrolled and classified into hyperammonaemia and control groups according to
their blood ammonia level (cut-off value 50 MUmol/L). Serum concentrations of VPA
and its major metabolites were simultaneously determined by ultrahigh-performance
liquid chromatography-tandem mass spectrometry. Six single nucleotide
polymorphisms in the candidate genes, CYP2C9, CYP2A6, CYP2B6 and CPS1, were
analysed by a matrix-assisted laser desorption ionization-time of flight mass
spectrometry method or nested PCR. Significant differences in age, aspartate
transaminase level and the incidence of liver injury were observed between
patients of hyperammonaemia and control groups. Genotype distributions of
CYP2C9*3, CYP2A6*4 and CPS1 4217C>A allelic variants were also significantly
different between the two groups. According to multiple regression analysis, a
significant negative correlation was detected between age and the blood ammonia
level, while liver injury, the concentration-dose ratio (CDR) of VPA and 2-propyl
4-pentenoic acid (4-ene VPA), and the presence of CYP2A6*4 or CPS1 4217C>A showed
positive correlations with the blood ammonia level. In addition, the risk factors
for hyperammonaemia identified by logistic regression analysis were as follows: a
younger age (odds ratio [OR] = 0.85; 95% confidence interval [CI] = 0.76-0.96; p
= 0.007), occurrence of liver injury (OR = 4.60; 95% CI = 1.27-16.74; p = 0.021),
higher CDR of 4-ene VPA (OR = 1.08; 95% CI = 1.03-1.14; p = 0.001), and carrying
mutant alleles of CYP2C9*3 (OR = 3.42; 95% CI = 1.15-10.19; p = 0.028), CYP2A6*4
(OR = 3.23; 95% CI = 1.40-7.48; p = 0.006) and CPS1 4217C>A (OR = 3.25; 95% CI =
1.52-6.94; p = 0.002). Our findings indicated that multiple genetic and non
genetic risk factors that were identified can be used to predict the development
of VPA-induced hyperammonaemia in Chinese paediatric patients with epilepsy.
PMID- 29791066
TI - Application of denaturing capillary electrophoresis for the detection of
prognostic mutations in isocitrate dehydrogenase 1 and isocitrate dehydrogenase 2
genes in brain tumors.
AB - Malignant transformation in gliomas is frequently supplemented by somatic
mutations in isocitrate dehydrogenase 1 and isocitrate dehydrogenase 2 genes. It
has recently emerged that mutations in these genes are associated with prolonged
survival and should be used as prognostic factor in management of brain cancer
patients. There are several approaches in use for the detection of isocitrate
dehydrogenase 1 and 2 mutations; however, these often exhibit shortcomings such
as convoluted protocols with long processing time, complex (and costly) dedicated
fluorescent probes, and/or demand on amounts of input DNA. Therefore, a simple
and rapid method would be highly desired. Here, we present development and
validation of simple and reliable isocitrate dehydrogenase 1 and 2 mutation
detection assay using denaturing capillary electrophoresis. The detection
sensitivity in terms of the limiting mutated allele fraction detectable estimated
from a series of dilution runs was 2.9%. The method was validated by comparing to
results obtained by a widely accepted detection technique, the multiplex ligation
dependent probe amplification, on a set of 85 brain tumors. The concordance of
both methods was 100%, but denaturing capillary electrophoresis assay required
fivefold lower input of DNA (1 versus 5 MUL of DNA at concentrations typically
between 10 and 30 ng/MUL).
PMID- 29791067
TI - Synergistic-Catalysis-Enabled Reaction of 2-Indolymethanols with Oxonium Ylides
for the Construction of 3-Indolyl-3-Alkoxy Oxindole Frameworks.
AB - A synergistic-catalysis-enabled reaction of 2-indolymethanols with oxonium ylides
has been established that makes use of a three-component reaction between 3
diazooxindoles, alcohols, and 2-indolymethanols under the cooperative catalysis
of a metal complex and a Bronsted acid. This reaction has not only provided a new
approach for the construction of 3-indolyl-3-alkoxy oxindole scaffolds by
utilizing the C3-electrophilicity of an indole, but it is also the first example
of a nucleophilic addition of a metal-associated ylide to 2-indolylmethanols. In
addition, this reaction also includes a rarely reported trapping of onium ylides
with aryl electrophiles.
PMID- 29791068
TI - CDPBC: A Software Application for Estimation of Concentration Dependent Plasma
Binding Capacity of Small Molecule.
AB - Drug-plasma binding (DPB) is an important aspect during pharmacokinetics (PK)
studies. DPB of small molecule cannot be evaluated through computational means.
Here we present CDPBC; a standalone application for evaluation of small molecule
for its capacity (concentration dependent) of binding with plasma proteins. This
application is freely available at URL (https://github.com/undwive di/CDPBC.git).
The application is enriched with evaluation of five major proteins of plasma.
Input for application is a docked complex against the suggested PDBs of plasma
proteins. As part of the analysis, software compares interaction of query
compound with control compound. Main output comes as plot as well as table
displaying percentage of binding in reference of concentration gradient of small
molecule used in docking. The application is an advanced add-on component to ADME
analysis of small molecules as well as lead optimization.
PMID- 29791069
TI - Rethinking performance benchmarks in kidney transplantation.
PMID- 29791070
TI - Role of oral examination in newly diagnosed multiple myeloma patients: A safe and
simple way to detect light chain amyloidosis.
AB - OBJECTIVE: Up to 30% of multiple myeloma (MM) patients have subclinical amyloid
deposits. These patients are under-recognized and are more susceptible to drug
toxicity, bleeding and death. Early diagnosis and adjustment of treatment are
crucial. Biopsies of oral mucosa might be a potentially useful diagnostic tool.
The objective of this study was to assess the prevalence and characteristics at
presentation of oral amyloidosis in a large cohort of MM patients. METHODS: The
prevalence and characteristics of oral amyloidosis in a large cohort of MM
patients who were referred for oral evaluation before and during bisphosphonate
therapy were assessed, retrospectively. RESULTS: Among 212 patients analysed, 13
(6%) were diagnosed with concomitant light chain (AL) amyloidosis. In 54% (n =
7), lesions in the oral cavity compatible with amyloid deposition were detected
by examination. CONCLUSIONS: The salient feature of this study is the high
prevalence of oral manifestations among MM patients with amyloidosis. These
results highlight the value of routine oral cavity examination and biopsy as a
safe and simple method for detecting light chain amyloidosis.
PMID- 29791071
TI - Plastic rates of development and the effect of thermal extremes on offspring
fitness in a cold-climate viviparous lizard.
AB - Populations at the climatic margins of a species' distribution can be exposed to
conditions that cause developmental stress, resulting in developmental
abnormalities. Even within the thermal range of normal development, phenotypes
often vary with developmental temperature (i.e., thermal phenotypic plasticity).
These effects can have significant consequences for organismal fitness and, thus,
population persistence. Reptiles, as ectotherms, are particularly vulnerable to
thermal effects on development and are, therefore, considered to be at
comparatively high risk from changing climates. Understanding the extent and
direction of thermal effects on phenotypes and their fitness consequences is
crucial if we are to make meaningful predictions of how populations and species
will respond as climates warm. Here, we experimentally manipulated the thermal
conditions experienced by females from a high-altitude, cold-adapted population
of the viviparous skink, Niveoscincus ocellatus, to examine the consequences of
thermal conditions at the margins of this population's normal temperature range.
We found strong effects of thermal conditions on the development of key
phenotypic traits that have implications for fitness. Specifically, we found that
offspring born earlier as a result of high temperatures during gestation had
increased growth over the first winter of life, but there was no effect on
offspring survival, nor was there an effect of developmental temperature on the
incidence of developmental abnormalities. Combined, our results suggest that
advancing birth dates that result from warming climates may have positive effects
in this population via increased growth.
PMID- 29791072
TI - Massive hemothorax after computed tomography-guided lung tumor biopsy: An unusual
but disastrous complication.
AB - Intercostal artery injury during transthoracic puncture is rare but is
accompanied by high rates of morbidity and mortality. We report a case with
metachronous double primary esophageal cancers and development of multiple lung
nodules. Tissue proof for the lung nodules is required to guide the following
treatment protocol. Our patient died soon after computed tomography-guided lung
tumor biopsy was performed, as a result of procedure-related massive and
uncontrolled hemothorax. The cause is likely intercostal artery injury related to
the transthoracic puncture. After review of our case and the wide variation in
intercostal artery courses, we identify several considerations that should be
included in procedural planning to further decrease the risk of intercostal
artery injury during transthoracic puncture, including avoiding choosing target
lesions at the posterior lung, keeping the puncture needle as close to the
superior rib margin as possible, and checking the density of new pleural fluid.
In addition, it is important to inform clinical doctors when the risk of
periprocedural vascular injury is high.
PMID- 29791073
TI - Elevated histone H3 acetylation is associated with genes involved in T lymphocyte
activation and glutamate decarboxylase antibody production in patients with type
1 diabetes.
AB - AIMS/INTRODUCTION: Genetic and epigenetic mechanisms have been implicated in the
pathogenesis of type 1 diabetes, and histone acetylation is an epigenetic
modification pattern that activates gene transcription. However, the genome-wide
histone H3 acetylation in new-onset type 1 diabetes patients has not been well
described. Accordingly, we aimed to unveil the genome-wide promoter acetylation
profile in CD4+ T lymphocytes from type 1 diabetes patients, especially for those
who are glutamate decarboxylase antibody-positive. MATERIALS AND METHODS: A total
of 12 patients with new-onset type 1 diabetes who were glutamate decarboxylase
antibody-positive were enrolled, and 12 healthy individuals were recruited as
controls. The global histone H3 acetylation level of CD4+ T lymphocytes from
peripheral blood was detected by western blot, with chromatin immunoprecipitation
linked to microarrays to characterize the promoter acetylation profile.
Furthermore, we validated the results of particular genes from chromatin
immunoprecipitation linked to microarrays by using chromatin immunoprecipitation
quantitative polymerase chain reaction, and analyzed the transcription level by
real-time quantitative polymerase chain reaction. RESULTS: Elevated global
histone H3 acetylation level was observed in type 1 diabetes patients, with 607
differentially acetylated genes identified between type 1 diabetes patients and
controls by chromatin immunoprecipitation linked to microarrays. The
hyperacetylated genes were enriched in biological processes involved in immune
cell activation and inflammatory response. Gene-specific assessments showed that
increased transcription of inducible T-cell costimulator was in concordance with
the elevated acetylation in its gene promoter, along with positive correlation
with glutamate decarboxylase antibody titer in type 1 diabetes patients.
CONCLUSIONS: The present study generates a genome-wide histone acetylation
profile specific to CD4+ T lymphocytes in type 1 diabetes patients who are
glutamic acid decarboxylase antibody-positive, which is instrumental in improving
our understanding of the epigenetic involvement in autoimmune diabetes.
PMID- 29791074
TI - Access to the Enantiopure Axially Chiral Cyclophane Isoplagiochin D through
Atropo-diastereoselective Heck Coupling.
AB - Macrocyclization is typically the key step in the syntheses of cyclophane-type
natural products. Considering cyclophanes with axially chiral biaryl moieties,
the control of atroposelectivity is essential with biological activity and is
synthetically challenging. We report an atroposelective approach involving Heck
cyclization, which for the first time enables the total synthesis of an
enantiopure macrocyclic bis(bibenzyl), namely isoplagiochin D. An enantiopure
sulfinyl auxiliary in the ortho position of a biaryl axis (still flexible) was
used to induce an atropo-diastereoselective Heck coupling (up to 98 % de). The
traceless character of the sulfinyl auxiliary enables the introduction of a
hydroxy group to give the target molecule with 98 % ee as well.
PMID- 29791075
TI - Erythrocyte sedimentation rate measured using microhemagglutination is not
elevated in monoclonal gammopathy compared with other diseases.
AB - BACKGROUND: The erythrocyte sedimentation rate (ESR) as measured using the
Westergren method is extremely elevated in patients with monoclonal gammopathy
(MG) owing to the abundance of positively charged paraproteins. However, it has
not been determined if the ESR is likewise high in patients with MG when measured
using alternate ESR methods. METHODS: The ESR was measured using both the
modified Westergren and microhemagglutination method (TEST1) in 36 patients with
MG and in 159 individuals with other diseases. RESULTS: Erythrocyte sedimentation
rates measured by the Westergren vs microhemagglutination methods showed
substantial, but not remarkably high correlation. ESR measured using the
Westergren method was higher in MG than in non-MG patients; however, ESR measured
using microhemagglutination was not different in the 2 groups, resulting in a
larger DeltaESR (microhemagglutination ESR-Westergren ESR) in MG patients. When
considered as continuous variables, none of the tested interfering plasma
proteins (C-reactive protein, globulin, or fibrinogen) showed substantial
correlations with Westergren or microhemagglutination ESRs. MG and low hematocrit
were the only factors independently associated with DeltaESR on multivariate
analysis. CONCLUSION: We demonstrated, for the first time, that the ESR as
measured by microhemagglutination is not elevated in patients with MG compared
with those without. The ESR does not correlate with a particular plasma protein,
showing that its measurement is multifactorial. The presence of MG is an
independent factor for DeltaESR.
PMID- 29791076
TI - Effects of endurance training on hippocampus DJ-1, cannabinoid receptor type 2
and blood glucose concentration in diabetic rats.
AB - AIMS/INTRODUCTION: To investigate the effect of endurance training on hippocampus
DJ-1 and cannabinoid receptor type 2 (CB2 ) protein and blood glucose
concentration in diabetic rats. MATERIALS AND METHODS: A total of 32 rats were
randomly divided into diabetic (D), diabetic and exercise (DE), exercise (E) and
control (C) groups. The endurance training was carried out five times per week
for 6 weeks. The hippocampus DJ-1 and CB2 were measured using an enzyme-linked
immunosorbent assay method. RESULTS: The level of DJ-1 in the D group was
significantly higher than the other groups (P <= 0.01). However, the level of DJ
1 was not significantly different between the C, E and DE groups. In addition,
the level of CB2 was significantly lower in the D group compared with the other
groups (P <= 0.01). Blood glucose was significantly higher in the D group
compared with the DE group (P <= 0.05). Furthermore, a significant positive
correlation between the level of DJ-1 and blood glucose was observed (r = 0.67, P
<= 0.001). There was also a significant inverse correlation between the level of
CB2 and blood glucose (r = -0.77, P <= 0.001). CONCLUSIONS: The results of this
study suggest that the level of DJ-1 and CB2 might change in response to
diabetes, and regular aerobic exercise could mediate the effect of DJ-1 and CB2
on diabetes-induced neurodegenerative diseases.
PMID- 29791077
TI - Alterations of brain network hubs in reflex syncope: Evidence from a graph
theoretical analysis based on DTI.
AB - OBJECTIVE: We evaluated global topology and organization of regional hubs in the
brain networks and microstructural abnormalities in the white matter of patients
with reflex syncope. METHODS: Twenty patients with reflex syncope and thirty
healthy subjects were recruited, and they underwent diffusion tensor imaging
(DTI) scans. Graph theory was applied to obtain network measures based on
extracted DTI data, using DSI Studio. We then investigated differences in the
network measures between the patients with reflex syncope and the healthy
subjects. We also analyzed microstructural abnormalities of white matter using
tract-based spatial statistics analysis (TBSS). RESULTS: Measures of global
topology were not different between patients with reflex syncope and healthy
subjects. However, in reflex syncope patients, the strength measures of the right
angular, left inferior frontal, left middle orbitofrontal, left superior medial
frontal, and left middle temporal gyrus were lower than in healthy subjects. The
betweenness centrality measures of the left middle orbitofrontal, left fusiform,
and left lingual gyrus in patients were lower than those in healthy subjects. The
PageRank centrality measures of the right angular, left middle orbitofrontal, and
left superior medial frontal gyrus in patients were lower than those in healthy
subjects. Regarding the analysis of the white matter microstructure, there were
no differences in the fractional anisotropy and mean diffusivity values between
the two groups. CONCLUSIONS: We have identified a reorganization of network hubs
in the brain network of patients with reflex syncope. These alterations in brain
network may play a role in the pathophysiologic mechanism underlying reflex
syncope.
PMID- 29791078
TI - Molecular subtype classification of urothelial carcinoma in Lynch syndrome.
AB - Lynch syndrome confers an increased risk for urothelial carcinoma (UC). Molecular
subtypes may be relevant to prognosis and therapeutic possibilities, but have to
date not been defined in Lynch syndrome-associated urothelial cancer. We aimed to
provide a molecular description of Lynch syndrome-associated UC. Thus, Lynch
syndrome-associated UCs of the upper urinary tract and the urinary bladder were
identified in the Danish hereditary nonpolyposis colorectal cancer (HNPCC)
register and were transcriptionally and immunohistochemically profiled and
further related to data from 307 sporadic urothelial carcinomas. Whole-genome
mRNA expression profiles of 41 tumors and immunohistochemical stainings against
FGFR3, KRT5, CCNB1, RB1, and CDKN2A (p16) of 37 tumors from patients with Lynch
syndrome were generated. Pathological data, microsatellite instability, anatomic
location, and overall survival data were analyzed and compared with sporadic
bladder cancer. The 41 Lynch syndrome-associated UC developed at a mean age of 61
years with 59% women. mRNA expression profiling and immunostaining classified the
majority of the Lynch syndrome-associated UC as urothelial-like tumors with only
20% being genomically unstable, basal/SCC-like, or other subtypes. The subtypes
were associated with stage, grade, and microsatellite instability. Comparison to
larger datasets revealed that Lynch syndrome-associated UC shares molecular
similarities with sporadic UC. In conclusion, transcriptomic and
immunohistochemical profiling identifies a predominance of the urothelial-like
molecular subtype in Lynch syndrome and reveals that the molecular subtypes of
sporadic bladder cancer are relevant also within this hereditary, mismatch-repair
defective subset.
PMID- 29791079
TI - Overexpression of Tet3 in donor cells enhances goat somatic cell nuclear transfer
efficiency.
AB - Ten-eleven translocation 3 (TET3) mediates active DNA demethylation of paternal
genomes during mouse embryonic development. However, the mechanism of DNA
demethylation in goat embryos remains unknown. In addition, aberrant DNA
methylation reprogramming prevalently occurs in embryos cloned by somatic cell
nuclear transfer (SCNT). In this study, we reported that TET3 is a key factor in
DNA demethylation in goat pre-implantation embryos. Knockdown of Tet3 hindered
DNA demethylation at the two- to four-cell stage in goat embryos and decreased
Nanog expression in blastocysts. Overexpression of Tet3 in somatic cells can
initiate DNA demethylation, reduce 5-methylcytosine level, increase 5
hydroxymethylcytosine level and promote the expression of key pluripotency genes.
After SCNT, overexpression of Tet3 in donor cells corrected abnormal DNA
hypermethylation of cloned embryos and significantly enhanced in vitro and in
vivo developmental rate (P < 0.05). We conclude that overexpression of Tet3 in
donor cells significantly improves goat SCNT efficiency.
PMID- 29791081
TI - The Sanguicolous Apostome Metacollinia luciensis Jankowski 1980 (Colliniidae,
Apostomatia, Ciliophora) Is Not Closely Related to Other Sanguicolous Apostomes.
AB - The apostome family Colliniidae includes species that are adapted to the
hemocoel/blood of various invertebrates, particularly crustaceans. To explore the
phylogeny of these sanguicolous apostomes, Metacollinia luciensis was collected
in August 2015 at Roscoff from the amphipod host, Orchestia gammarellus. Ciliates
were Protargol stained and DNA was extracted. The small subunit rRNA (SSUrRNA)
and cytochrome c oxidase subunit I (cox1) genes were amplified. Molecular
phylogenetic analyses of the SSUrRNA genes unambiguously grouped M. luciensis
with other apostomes with robust bootstrap support, but separated it distinctly
from the pseudocolliniid clade. While there are only cox1 sequences for a subset
of these apostomes, M. luciensis was also distant from the pseudocolliniids and
separated from them by species of the exuviotrophic apostome Hyalophysa. These
results confirm the distinctness of the families Colliniidae and
Pseudocolliniidae.
PMID- 29791080
TI - One-Step Lignocellulose Fractionation by using 2,5-Furandicarboxylic Acid as a
Biogenic and Recyclable Catalyst.
AB - To develop novel biorefinery concepts, the use of bio-based catalysts and
solvents must be aligned with the principles of green chemistry. In this context,
biogenic 2,5-furandicarboxylic acid (FDCA) is a very promising yet underused
molecule with high potential for application as an acid catalyst, combining
feasibility and sustainability with efficient and straightforward recovery. In
this study, FDCA was evaluated as a catalyst in the recently developed OrganoCat
pretreatment, a biphasic lignocellulose fractionation system. The catalyst was
investigated for the efficient fractionation of the three main components-lignin,
cellulose and noncellulosic sugars-with particular focus on the lignin quality,
on the effect on enzymatic hydrolysis of the cellulosic residue, and on the
noncellulosic sugar extraction. To address recovery of FDCA from the OrganoCat
system, a method was developed, leading to the recovery of >97 % of FDCA with a
spectroscopic purity of >99 %, maintaining full activity in consecutive runs.
PMID- 29791082
TI - Higher Acenes by On-Surface Dehydrogenation: From Heptacene to Undecacene.
AB - A unified approach to the synthesis of the series of higher acenes up to
previously unreported undecacene has been developed through the on-surface
dehydrogenation of partially saturated precursors. These molecules could be
converted into the parent acenes by both atomic manipulation with the tip of a
scanning tunneling and atomic force microscope (STM/AFM) as well as by on-surface
annealing. The structure of the generated acenes has been visualized by high
resolution non-contact AFM imaging and the evolution of the transport gap with
the increase of the number of fused benzene rings has been determined on the
basis of scanning tunneling spectroscopy (STS) measurements.
PMID- 29791083
TI - Structure and Biocatalytic Scope of Coclaurine N-Methyltransferase.
AB - Benzylisoquinoline alkaloids (BIAs) are a structurally diverse family of plant
secondary metabolites, which have been exploited to develop analgesics,
antibiotics, antitumor agents, and other therapeutic agents. Biosynthesis of BIAs
proceeds via a common pathway from tyrosine to (S)-reticulene at which point the
pathway diverges. Coclaurine N-methyltransferase (CNMT) is a key enzyme in the
pathway to (S)-reticulene, installing the N-methyl substituent that is essential
for the bioactivity of many BIAs. In this paper, we describe the first crystal
structure of CNMT which, along with mutagenesis studies, defines the enzymes
active site architecture. The specificity of CNMT was also explored with a range
of natural and synthetic substrates as well as co-factor analogues. Knowledge
from this study could be used to generate improved CNMT variants required to
produce BIAs or synthetic derivatives.
PMID- 29791085
TI - Sulfonylation of Benzylic C-H Bonds through the Reaction of Aryl(o
tolyl)methanones with Sulfonyl Hydrazides or Sulfonyl Chlorides.
AB - A sulfonylation of benzylic C-H bonds of aryl(o-tolyl)methanones with
arylsulfonyl hydrazides or arylsulfonyl chlorides has been developed.
Arylsulfonyl hydrazides and arylsulfonyl chlorides were employed as sulfonylating
reagents respectively to complete this transformation. During the reaction, enols
were generated in situ from aryl(o-tolyl)methanones under UV irradiation, and
subsequently reacted with sulfonyl radicals to provide a range of aryl(2
(arylsulfonylmethyl)aryl)methanones.
PMID- 29791084
TI - The Hospital Readmissions Reduction Program-learning from failure of a healthcare
policy.
AB - Heart failure is the leading cause of readmissions in patients aged >=65 years
with high associated societal and economic costs. The utilization metric of 30
day risk standardized readmission rates (RSRRs) has therefore become a target to
reduce healthcare costs. In this review, we discuss in detail the implementation,
effectiveness, and unintended consequences of the Hospital Readmissions Reduction
Program (HRRP)-the major healthcare policy approach in the U.S. to reduce
readmissions by financially penalizing hospitals with higher than average 30-day
RSRRs. The HRRP was enacted by the Patient Protection and Affordable Care Act of
2010 (popularly known as 'Obamacare'). The public reporting of RSRRs began in
June 2009 and the HRRP readmission penalties went into effect starting fiscal
year 2013. The policy had limited success in achieving its primary objective of
reducing readmissions as the achieved reduction in heart failure readmissions was
much smaller (~9%) than anticipated (~25%) with some of the reduction in RSRRs
attributable to the artifact of administrative upcoding post-HRRP rather than an
actual decline in readmissions. From the time of passage of this law, there have
been significant concerns regarding gaming of the system such as increase in
observation stays, delaying readmissions beyond discharge day 30, and
inappropriate triage strategies in emergency departments in order to achieve
lower readmission rates to avoid penalties. A series of independent reports have
now suggested that implementation of the HRRP was associated with an increase in
30-day, 90-day, and 1-year risk-adjusted heart failure mortality in the U.S. with
reversal in decade long trend of declining heart failure mortality. We review the
evidence behind effect of the HRRP on readmissions and mortality outcomes as well
as discuss various lessons to be learned from the design, implementation, and
consequences of this policy.
PMID- 29791086
TI - Modular In Situ Functionalization Strategy: Multicomponent Polymerization by
Palladium/Norbornene Cooperative Catalysis.
AB - Herein, we report a cooperatively palladium/norbornene-catalyzed polymerization,
which simplifies the synthesis of functional aromatic polymers, including
conjugated polymers. Specifically, an A2 B2 C-type multicomponent polymerization
that is based on an ortho amination/ipso alkynylation reaction was developed for
the preparation of various amine-functionalized arylacetylene-containing
polymers. Within a single catalytic cycle, the amine side chains are site
selectively installed in situ by C-H activation during the polymerization
process, which represents a major difference from conventional cross-coupling
polymerizations. This "in situ functionalization" strategy enables the modular
incorporation of functional side chains starting from simple monomers, thereby
conveniently affording a diverse range of functional polymers.
PMID- 29791087
TI - Sexual and somatic development of wood frog tadpoles along a thermal gradient.
AB - All amphibian species are known to have genetic sex determination. However, a
variety of environmental conditions can moderate sexual differentiation, in some
cases leading to sex reversal and skewed sex ratios. While there has been a
recent focus on chemically-induced sex reversal in amphibians, temperature can
also influence sexual differentiation. Building upon a classic 1929 study by Emil
Witschi, we assessed temperature-mediated sex reversal. Witschi found that the
wood frog sex ratio is 100% male at a high temperature (32 degrees C) compared to
a 50:50 sex ratio at 20 degrees C. This pattern is consistent with multiple
models of environmentally mediated sexual differentiation in vertebrates. To
better understand thermally mediated sex reversal, we raised wood frogs at
temperature increments of ~1 degrees C between 19 and 34 degrees C. Mirroring
earlier findings, wood frog metamorph sex ratios are indistinguishable from 50:50
at the lowest temperature and entirely male at the highest temperatures. In
between, sex ratios become increasingly male-dominated as temperatures increase,
implying a steadily increasing tendency toward female-to-male sex reversal in
warmer environments. There was no evidence of a threshold temperature effect on
reversal patterns. We also show that, compared to males, females metamorphose
larger and later in cooler conditions but earlier and smaller under warmer
conditions. While the ecological relevance in this species is unknown, these
results conform to the Charnov-Bull model of sex determination (in which female
to-male sex reversal can increase fitness to genetic females at higher
temperatures), suggesting the system would reward further study.
PMID- 29791088
TI - Healthcare Costs and Utilization Project (HCUP): Opportunities to Improve Mental
Health Care in Alabama.
PMID- 29791089
TI - Making Care Transitions Safer: The Pivotal Role of Nurses.
PMID- 29791090
TI - Electronic Nicotine Delivery Systems: Are You Prepared to Have a Conversation
With Your Patients?
PMID- 29791091
TI - Nurses Lead in Fighting Opioid Crisis.
PMID- 29791092
TI - Is It Against the Law for Any Nurse Other Than Public Health Nurses to Fill
Patients' Weekly Medication Containers.
PMID- 29791094
TI - Copperhead Snake Bites.
PMID- 29791093
TI - Nurse-Managed Clinic Paves the Way for an Interprofessional Student-Run Free
Clinic.
PMID- 29791096
TI - [MODULATION OF LACTATE PRODUCTION, TRANSPORT AND RECEPTION BY CELLS IN THE MODEL
OF BRAIN NEUROVASCUL. UNIT I.]
AB - Metabolic activity of cells within a neurovascular unit is among the factors
determining structural and functional integritY of the blood-brain barrier and
the an- giogenesis process. in order to verify the hypothesis about the role Of
g1YcolYtic activity in the perivascula astroglialcells associated with lactate
release in the development of functioning of cerebral microvessel endothelial
cells, we have used a three-component model of the brain neurovascular unit in
vitro. The cells o f n o n -en d o th elia l o rig in w ere in c u b a te d in th
e p rese n ce o f m o d u la to rs o f la c ta te pro d u c n ago ni glu c ose ta
a G ly c o s o) , bas t h e oe t a n t a at- blocker of monocarboxylate
transporters MCTlprCT and recepltiors of3Ctate0produasan (2-donisyoflactate G e8
breceptor) Iasa estbishe vthat that te suppression of lactate production and
transport, prdc o1,adrcpin(C-O-Aa n (2gdoxysgflucoase as a glycolysis inhibitor),
transport (phloretin as a sukr of lacaroduto transport ,
aswellasastimultionof3lactate receptors in astroglial cells, lead to aberrant
development of endothelial layer, ther by u g g e tin t h efor atio o f anti ngi
gencmi roen ircm ent for cerebral endothelium due to inappropriate lactate-m
ediated effects. KeYw.ords:-n-eur-ovascular unit; metabolism; glYcolysis;
lactate.
PMID- 29791095
TI - [COMPARATIVE STUDY OF THE INFLUENCE OF BENZIMIDAZOLE DERIVATIVE RU-1205,
DIAZEPAM, AND SODIUM VALPROATE ON THE SEIZURE THRESHOLD, ANTICONVULSANT
TOLERANCE, AND REBOUND EFFECTS.]
AB - We have studied the effects of acute administration of benzimidazole derivative
RU-1205 (9-(2-morpholinoethyl)-2-(4-fluorophenyl)imidazo(1,2-alpha)benzi-
midazole), diazepam, and sodium valproate on the threshold for myoclonic and
clonic seizures in response to i.v. infusion of pentylenetetrazole (PTZ) in mice.
Furthermore, the effects of chronic administrations of RU-1205 and diazepam on
the development of anticonvulsant tolerance and rebound phenomena were evaluated.
The TID50 values (the dose of anticonvulsant required to increase the PTZ seizure
threshold by 50%) of RU-1205, diazepam, and valproate for my- oclonic seizures
were 7.9, 120.4, and 1.2 mg/kg (i.p.), respectively. TID50 of RU-1205, diazepam,
and valproate for clonic seizures were 7.9, 116.9, and 1.3 mg/kg (i.p.),
respectively The chronic administration of RU-1205 (31 mg/kg, i.p., 28 days) did
not lead to the development of anticonvulsant tolerance or rebound effect after
discontinuation of treatment. The anticonvulsant effect of diazepam (5 mg/kg,
i.p., 28 days) in chronically treated mice was gradually abo- lished and the
rebound effect was observed after the discontinuation of treatment.
PMID- 29791098
TI - [INCREASING EFFICACY OF PREGRAVIDAR PREPARATION IN MARRIED COUPLES SUFFERING OF
INCREASED RISK OF PREGNANCY MISCARRIAGE.]
AB - This study was aimed at revealing clinical and laboratory peculiarities in the
health of married couples suffering of pregnancy miscarriage and the possibility
of correcting this state by preconception therapy with reamberin, based on
analysis of the results of observations and investigations for 196 married
couples. At the first stage, we have studied the influence of provoking factors
on the miscarriage during first trimester, including the presence and degree of
chronic nonspe- cific intoxication. The patients were divided into groups: first
(main) group including 40 couples, in which the pregnancy was complicated by the
risk of pre- gnancy termination in the first trimester and second (comparison)
group of 74 couples, in which abortion in the first trimester was followed by
complex precon- ception therapy. The control group included 82 couples with
normal course of pregnancy in the first trimester. At the second stage, we have
studied the efficacy of including reamberin in the scheme of therapy. For this
purpose, patients in the second (comparison) group were separated into subgroups.
In subgroup I, 50 male patients received reamberin (i.v., dropwise, 400 mL per
day at a rate of 60 - 70 drops/min, over 10 days), while 24 male patients of
subgroup II were treated according to commonly accepted schemes (involving
recommendations concerning regime and diet, psychological aid, and polyvitamins
in prophylac- tic doses). Analysis of the obtained data revealed the presence of
nonspecific intoxication in patients of the main group comparison groups, which
was related to the increased level of intermediate metabolism products and
osmotic state of plasma, and disorders in the hepatobiliary system as manifested
by hyperbiliru- binemia, increased level of transaminases, urea, creatinine, etc.
In addition, most patients also exhibited changes in spermograms, including
astenozospermia (75.9 ? 7.9%) and teratozospermia (69 ? 8.6%) on the background
of relative androgenous insufficiency, and bacterospermia in 26.7 ? 8.1% of
patients from couples where the pregnancy of females was complicated by risk of
miscarriage in the first trimester, and in 34.3 ? 5.8% of patients from couples
receiving re- habilitation care upon abortion in the first trimester. The
inclusion of reamberin in the scheme of complex preconception therapy of male in
pairs with miscarri- age problems increased the efficacy of therapy. This was
manifested by decrease in the parameters of intoxication (on the average by 21 %
(d = 0.017), positive dynamics of the main indices of spermograms, and
improvement of the general state, which led in turn to increased probability of
the onset and maintenance of pregnancy.
PMID- 29791097
TI - [EFFECT OF CAPTOPRIL ON THE ARTERIAL PRESSURE AND RHEOLOGICAL PROPERTIES OF
BLOOD, THE VASODILATOR ACTIVITY OF ENDOTHELIUM, AND RELATIONSHIP OF THESE INDICES
IN SHR RATS.]
AB - Prevention of cardiovascular complications is one of the objectives of
antihyperiensive therapy. However, the expected effect cannot be fully achieved
by me- ans of the blood pressure control only. The authors studied the effect of
captopril administration in SHR rats by monitoring the following parameters:
arterial blood pressure, rheological properties of blood, and endothelial index
of vasodilator activity (IVA), as well as their correlations. In SHR rats, the
systolic blood pressure (SBP) and diastolic blood pressure (DBP) were higher by
28 - 33% as compared to WKY rats. In comparison to normotensive animals, the
blood vis- cosity (BV) at shear rates from 30 to 450 sec -1 in SHR rats was
increased by 12 - 15% (p = 0.020), the aggregation of red blood cells was
increased by 22% (p = 0.012), and their plasticity in the range of shear stresses
within 3 - 20 Pa was decreased by 2 - 8% (p = 0.028). The IVA value in SHR rats
was lower by 26 % (p = 0.030) than that in WKY rats. The administration of
captopril decreased the SBP and DBP values down to the level in normotensive
animals, but did not influence the rheological properties of blood and its IVA
value. In WKY rats, the BV exhibited reliable correlation with IVA (with r from
+0.60 ai +0.67, p <0.05) at shear rates ranging within 30 - 450 sec -1, while the
rheological parameters were correlated with neither SBP nor DBP. In SHR rats, the
BV corre- lated with IVA only at 450 sec -1 (with r =+0.50, p = 0.025), and there
were relationships between SBP and BV (r = + 0.64, p = 0.008) and the aggregation
in- dex (r = +0.69, p = 0.003). Rats treated with captopril showed no
correlations between hemorheological and hemodynamic parameters. Therefore,
captopril decreases the arterial blood pressure, but it also violates the contour
of vascular tone regulation associated with changes in shear stress on the
vascular wall, which plays an important role in ensuring adequate local blood
flow.
PMID- 29791099
TI - [ANTIOXIDANT AND CYTOPROTECTOR PROPERTIES OF GENISTEIN - ISOFLAVONE WITH
ESTROGENIC ACTIVITY.]
AB - Phytoestrogen genistein can exhibit cytoprotective and antioxidant properties,
providing chemopreventive action, and produce cytotoxic effects on some tu- mors.
In this work, the cytotoxic, cytoprotective, and antioxidant properties of
genistein have been studied on model tumor cells (human cervical cancer HeLa
cells) and normal cells (rat dermal fibroblasts, RDF). For assessing the
cytotoxic effect of genistein (spectrophotometric MTT assay), the reference drug
was cis-diaminodichloroplatinum (cisplatin); for evaluating antioxidant action,
beta-estradiol was the reference drug. It is established that genistein produces
a cyto- toxic effect only at high concentrations, IC50 = 20 mM and 14 mM for RDF
and HeLa cells, respectively, which is 30 and 10 times higher than IC50 for
cisplatin on these cells. Genistein like estradiol, but unlike cisplatin, had no
effect on the mitochondrial pore induction from rat liver mitochondria. Thus,
genistein at physiological concentrations (up to 200 n) acts as a cytoprotective
agent. High antioxidant activity of genistein also suggests the possibility of
its use as a chemopreventive drug.
PMID- 29791101
TI - [SPECIFIC FEATURES AND PROSPECTS OF THE PHARMACOKINETIC STUDY OF HISTOCHROME.]
AB - The review summarizes available data on the pharmacokinetics of new Russian drug
histochrome, the active substance in which is a quinoid pigment of marine
invertebrates, echinochrome A (2,3,5,6,8-pentahydroxy-7-ethyl-1,4
naphthoquinone). Based on the modem notions about close connection of the
pharmacoki- netics and pharmacodynamics of drugs, the authors consider prospects
for studying the histochrome pharmacokinetics, including the issues of
echinochrome A metabolism and the probability of formation of a biologically
active metabolite. In assessing the pharmacokinetic aspects of the new drug, the
authors draw at- tention of researchers to profound study of histochrome
administration schemes and dosing regime in the context of improving its
therapeutic applications.
PMID- 29791100
TI - [EXPERIMENTAL MODELS OF ALCOHOLIC NEUROPATHY IN RATS.]
AB - The aim of this work was to study the behavioral and histopathomorphological
signs of peripheral neuropathy development in male Wistar rats on the model of
alcoholic neuropathy. Chronic consumption of ethanol solution with concentration
increasing from 7.47 to 26.2% (w/w) resulted in neuropathy (allodynia) de-
velopment after 8 weeks of chronic alcohol administration. The behavioral signs
of allodynia became significant on the 8th week and were retained up to the end
of experiment (15 weeks of ethanol administration). The reference drug gabapentin
effectively reduced the manifestation of allodinia. Histological exami- nation of
sciatic nerve preparations from animals killed after ethanol consumption for 5,
10 and 15 weeks revealed the development of histopathomorphological pattern with
increasing duration of chronic alcoholization. At the initial stage, the
morphological basis of observed behavioral manifestations was provided by excess
lipid deposition in peri/epineurium of nerve specimens). The further increase in
treatment duration (up to 10 and 15 weeks) was associated with demye- lination
and development of inflammation of the sciatic nerve. This experimental model
allows one to investigate the efficacy of new neuroprotective and ana- lgesic
substances - potential drugs for both prevention and management of neuropathy.
PMID- 29791102
TI - [STUDYING ANXIOLYTIC AND ANTIDEPRESSANT PROPERTIES OF 2,2,6,6
TETRAMETHYLPIPERIDONE DERIVATIVE.]
AB - Neuropharmacological properties of LK-998 (3,4,5-trimethoxy-N'-(2,2,6,6
tetramethylpiperidin-4-yliden)benzohydrazide), a 2,2,6,6-tetramethylpiperidone de
rivative have been studied. LK-998 exhibited anxiolytic activity in doses of 10
and 20 mg/kg, significantly increasing the duration of animal staying of in open
arms of the elevated plus maze as well as the number of arm entries. The
efficiency of drug tested in a dose of 10 mg/kg was comparable with that of
afobazole in a dose of 5 mg/kg. In marble burying test, it was also found that
animals treated with LK-998 at 10 mg/kg buried a close number of balls to that as
rodents treated with afobazole at 5 mg/kg. At the same time, LK-998 in doses 10
and 20 mg/kg did not produce any antidepressant action in the learned
helplessness test. Thus, LK-998 in a dose of 10 mg/kg has anxiolytic and
anticompulsive effects comparable to those of afobazole at a dose of 5 mg/kg. The
study of potenti- al side effects of LK- 998 in a dose of 200 mg/kg (i.e., 20
times the therapeutic dose of 10 mg/kg) showed that the drug tested caused
neither side effects nor symptoms of neurological deficiency within 24 hours and
on longer terms (4, 10 and 14 days after administration).
PMID- 29791103
TI - [ANALGESIC ACTIVITY OF SOME NEW DECAHYDROQUINOLINE DERIVATIVES.]
AB - The work was aimed at assessing in vivo the analgesic properties of ten
decahydroquinoline derivatives (pharmacologically active substances, PAS) and
deter- mining the role of opioid receptors in mechanism of their action. Among
the derivatives studied, pronounced analgesic properties at a dose of 1/4 LD50
was ob- served for two compounds (PAS-70 and PAS-71), while four compounds (PAS
66, PAS-69, PAS-74, PAS-76) produced weak and short anesthetic effects. PAS-70
and PAS-71 showed analgesic action even in a dose of 1/8 LD50. The maximum effect
of PAS-70 and PAS-71 was developed within 20 - 60 min after administration and
lasted for two hours (PAS-70 in a dose of 1/4 LD50, PAS-71 in doses of 1/4 and
1/8 LD50). The analgesic effect of PAS-70 (at 1/4 LD5,) and PAS-71 (at 1/4 and
1/8 LD50) significantly exceeds that of reference drugs metamizol (1/4 LD5) and
ketorolac (1/4 LD50). The mechanism of drug action is not related to opioid
receptors.
PMID- 29791104
TI - [EFFECT OF FLIXOTIDE ON ELECTRON-MICROSCOPIC CHANGES IN LUNG TISSUE OF GUINEA
PIGS WITH BRONCHIAL ASTHMA MODEL.]
AB - Chronic experiments on nonlinear short-hair guinea pigs with bronchial asthma
model caused by administration of ovalbumin without treatment showed the ap-
pearance of electron-microscopic changes of the lungs tissue in the form of
chronic allergic inflammation. Significant changes in air - blood barrier with a
loo- sening of intercellular contacts, degenerative changes in alveolocytes, and
circulatory disorders with symptoms of vascular dilatation and stasis of blood
cor- puscles were revealed. Treatment with inhaled fluticasone propionate in the
form of flixotide preparation (GlaxoSmithKline, UK) for 3 months (2 times a day
for 30 - 45 sec) partially reduced disorders of circulation and transcapillary
exchange, decreased edema and degenerative changes in the cells, and restored in-
tercellular contacts and pinocytic activity of the air - blood barrier. The
obtained results show the expediency of further studies for determining the
optimal du- ration of basic treatment during remission of bronchial asthma.
PMID- 29791105
TI - [NEW APPROACH TO COMPARING NSAID EFFICACY BY LONG-TERM MONITORING OF EXPERIMENTAL
INFLAMMATION MODELS.]
AB - Experiments on inflammatory edema modeling by sub-plantar injection of
carrageenan lambda (1 %) and formalin (2 %) showed substantial differences betwe-
en the two models during long-term observation, including irreversible damage
caused by formalin (at reversible carrageenan action) and high intensity of for-
malin edema (in contrast to carrageenan edema) in mice. We propose a new approach
to evaluation of the so-called total inflammatory burden (experimental analog of
disease outcome) by calculating the area under the inflammation intensity versus
time curve. With the use of this approach, we showed the absence of any effect of
conventional NSAIDs (naproxen, diclofenac. indomethacin) on the total
inflammatory burden induced by carrageenan or formalin injections in mice and rat
paw edema models. These results show the need for using new approaches in the
search for potential anti-inflammatory agents.
PMID- 29791106
TI - [EFFICACY OF ANTIARRHYTHMIC AGENTS UNDER CONDITIONS OF STIMULATION OF THE
SYMPATHETIC NERVOUS SYSTEM.]
AB - The problem of weakening of the action of antiarrhythmic drugs under conditions
of activation of the sympathetic nervous system draws increasing attention of
researchers. These conditions are frequently encountered in clinical practice in
cases of ischemia, myocardial infarction, and in patients with sustained
ventricular arrhythmia. In addition, sympathetic, hyperactivity is a
characteristic feature of serious cardiovascular diseases including heart
failure. The beta-adrenergic stimulation can significantly modulate the
electrophysiological action of antiarrhythmic drugs. There are significant
differences in the ability of antiarrhythmic drugs to retain efficacy on the
background of sympathetic stimulation. Additional administration of beta-blockers
to patients with ventricular tachycardia, cardiac insufficiency, cardiomyopathy
and patients after myocardial infarction is highly effective and is worth of
addition al investigation. The search for drugs whose effect is not affected by
sympathetic stimulation is still topical.
PMID- 29791107
TI - [PHARMACOGENETIC MARKERS OF THE EFFECTIVENESS OF TREATMENT OF CHILDREN WITH
ATOPIC BRONCHIAL ASTHMA.]
AB - Data on the pharmacogenetic markers of the effectiveness of treatment of children
with atopic asthma are presented, which reveal a variability of the response to
treatment with glucocorticosteroids, leukotriene receptor antagonists, and b2
adrenoreceptor agonists in children with bronchial asthma. The association of
genotype Gly/Glyl6 gene b2-adrenergic receptor with insufficient effect of the
therapy with short-acting b2-agonists is demonstrated. The Glyl6 allele, CYP2D6 -
1934GGd contributes to the formation of a phenotype with severe asthma and
tolerance to therapy with b2-agonists and inhaled corticosteroids.
PMID- 29791108
TI - [FOOD - DRUG INTERACTIONS: TYPES AND MECHANISMS.]
AB - Types and mechanisms of drug - food interactions are described. The most
frequently encountered interaction is of the pharmacokinetic type, by which food
components change the absorption of drugs from the gastrointestinal tract and
affect the biotransformation of drugs. The majority of such interactions is of
cli- nical significance and must be taken into account in recommendations
concerning methods of drug administration.
PMID- 29791109
TI - [ASSESSMENT OF SPECIFIC PHARMACOLOGIC ACTIVITY OF UNIFUSOL ON ENDOTHELIUM
DYSFUNCTION MODEL INDUCED BY N-NITRO-L-ARGININE METHYL ETHER.]
AB - Specific pharmacologic activity of sodium-L-arginine succinate (unifusol) was
studied on endothelium dysfunction model (EDM) in rats. EDM was induced by daily
administration of N-nitro-L-arginine methyl ether (L-NAME). The effectiveness of
experimental therapy with unifusol was assessed by changes in the arterial
pressure level, duration of endothelium-dependent and -independent vasodilation,
and the blood concentration of endothelial dysfunction markers including VEGF,
NO, endothelin-I and the number of desquamated endotheliocytes. Administration of
unifusol favors correction of blood vessel endothelium state manifested by
normalization of its functional activity and reduction of the apoptosis of
endotheliocytes. In addition, the obtained results unambiguously confirm
considerable vasodilating and antihypertensive effects of unifusol.
PMID- 29791110
TI - [National and international aspects of the medico-legal examination of injured
witnesses and defendants in criminal proceedings].
AB - Depending on the type of offence, the medico-legal examination of victims of
violence for the purpose of collecting evidence in criminal proceedings requires
prompt physical examination of the victim, the exact description and, wherever
possible, photographic documentation of the injuries and their forensically
correct interpretation. Examination, documentation and assessment have to meet
minimum standards. In addition it must be ensured that the examiner or expert has
an independent organisational, administrative and financial status. An
independent expert must not be an organisational part of the police force, the
public prosecution and the court. Legal regulations have to make sure that the
expert cannot be influenced "through official channels". His or her financial
independence must make attempted bribery at least improbable. The independence of
the expert is particularly important, if persons become victims of violence while
they are under the custody of the state, especially the police, in prisons and
after compulsory commitment to a psychiatric hospital.
PMID- 29791111
TI - [Matching bite marks using modeling wax plates].
AB - Bite marks are rarely seen in forensic medical examinations. In most cases one
can easily differentiate whether a bite mark is of human or animal origin due to
the arched shape and crushed character of human bite marks. From a forensic point
of view it is more interesting whether a dental imprint has been caused by an
adult or a child, because children are often abused for a defensive statement.
Two cases of bite injuries in children are presented. In the first case, a two
month-old infant was presented by pediatricians. The second case deals with a one
year-old boy who had allegedly been bitten several times by a two-year-old girl
in a nursery school. A comparison could be performed between the bite mark
impressions on the victim's skin (based on photographic documentation) and dental
imprints of single teeth measuring the tooth width on the one hand and the
intercanine distance on the other hand with the help of modeling wax plates. This
procedure showed good correlations between the bite marks on the victim and the
dental status of the biter. Especially for taking bite impressions on children,
modeling wax plates are a simple, fast and cheap alternative to dental impression
taking.
PMID- 29791112
TI - [Drinking study on the pharmacokinetics of the grappa congener 2-butanol].
AB - A drinking study on the pharmacokinetics of the typical grappa congeners 2
butanol and 2-butanone (methyl ethyl ketone) was performed. It was expected that
the concentration ratio might provide a means to estimate the time of ingestion
of a grappa beverage. Twelve subjects drank a volume of the grappa "Vecchio di
Prosecco" (42 vol%) to reach a blood alcohollevel of 1.20 %o. In the congener
analyses in serum, a median 2-butanol concentration of 0.79 mg/1 (range 0.45-1.34
mg/1) and of 1.01 mg/I (0.44-1.62 mg/1) for 2-butanone were measured. The
concentration-time curve was biphasic starting with a slow and plateau-like
elimination. However, considerable inter-individual differences were observed.
Only in 3 subjects, a 2-butanol : 2-butanone ratio below 1 suggested ingestion
within the last 6 hours. The majority of the subjects exhibited higher
concentrations of 2-butanone than of 2-butanol such that the ratio was always
smaller than 1. According to the present results the concentrations of 2-butanol
and 2-butanone or their ratio do not provide a reliable basis to draw conclusions
on the time of grappa ingestion.
PMID- 29791113
TI - [Rare causes of iatrogenic pericardial tamponade - 2 case reports].
AB - Two rare causes of iatrogenic pericardial effusions are presented. In the first
case, a 61-year-old woman who had undergone laparoscopic surgery for a
diaphragmatic hernia was resuscitated without success the next day. As cause of
death circulatory failure as a result of post-operative pulmonary embolism was
reported. Autopsy results showed that the pericardium and the heart had been sewn
to the diaphragm. The suture was torn from the tissue, which caused a hemorrhage
into the pericardium and the chest cavity, so that death was diagnosed to be due
to cardiac tamponade and hemothorax after an iatrogenic heart injury. In the
second case, a 62-year-old man who had developed a massive incisional hernia
after treatment of an abdominal gunshot wound underwent open herniotomy with mesh
repair. Postoperatively, the man complained about increasing pain and shortness
of breath. He was transferred to another hospital for further assessment, where a
cardiac tamponade was diagnosed. Autopsy results showed that three of the plastic
staples used to fix the mesh had perforated the diaphragm and the pericardium
thus injuring the adjacent right ventricle with subsequent perforation and
development of a hemopericardium.
PMID- 29791114
TI - [Stature estimation from teeth and jaw].
AB - After the discovery of skeletonized human remains, the estimation of stature is
an important element of the so-called "biological profile". Typically, long bone
lengths are used in regression formulas. If long bones are not available,
predictions of stature cannot be made. Human skulls are often the subject of
forensic osteological examination, sometimes even detached. Therefore, it seems
reasonable to search for skull measurements that have a sufficiently good
correlation to stature. The aim of the study presented was to measure odonto
stomatological parameters using post-mortem CT data to check whether the esti-
mation of stature was possible on this basis. Three classic tooth dimensions (MD,
BL, ZL), two diagonal tooth dimensions (MBDL, DBML) first described by Lund and
Mornstad in 1999, two tooth dimensions defined for the first time in this study
(MKDO, DKMO), the mesiodistal index (MDS) and also the palatal arch dimensions
(arch length, arch width, molar length and dental length) - each at maxilla and
mandible - were digitally measured on a total of 799 teeth of 48 virtual 3-D
reconstructed skulls (33 males and 15 females). For most of the aforementioned
measurements no statistically significant relation to stature was found. There
were positive correlations by Pearson at a significance level of 99 % (2-sided)
at three measurements: ZL 15, MKDO 14 and MKDO 15. Positive correlations by
Pearson at a significance level of 95 % (2-sided) could be determined for eight
measurements, but an applicable regression formula could not be calculated for
any of the parameters. Despite a statistical correlation of a few teeth
parameters with body length, they must be considered unsuitable for estimating
stature.
PMID- 29791115
TI - [A case of acrania?].
AB - The article reports on the case of a premature and stillborn child. As a first
step it had to be clarified whether the child had been alive. The pathological
examination of the placenta performed after autopsy could prove a retroplacental
hematoma as the cause of death. Furthermore the autopsy revealed a severe skull
deformity that would probably have made the survival of the child impossible.
PMID- 29791116
TI - Identification of novel alternative splicing variants within swine Setd8 gene and
their high mRNA expression in testis.
AB - SET domain containing (lysine methyltransferase) 8 (Setd8), a histone
modification enzyme, affects cell cycling, chromosome condensation, high
efficient repair of DNA double strand breaks and so on. The objective of this
study was to identify novel alternative splicing variants of pig Setd8 gene and
its mRNA expression. Four 180-day-old male Guanzhong Black (GZB) pigs and six
male Landrace piglets (including three 30-day-old and three 7-day-old pigs) were
collected to study Setd8 gene. Herein, two novel variants, Setd8a and Setd8b,
were found in pig. The entire sequences of Setd8a and Setd8b variants were 1,039
bp and 958 bp, respectively. qRT-PCR results showed that Setd8a and Setd8b were
highly expressed in brains and testes of 180-day-old GZB pigs. Moreover, the
expressions of the two Setd8 variants were significantly higher in testis than
brain of GZB pig (P < 0.05). Further study on testis showed that the mRNA
expression of Setd8a variant was significantly lower than Setd8b variant in 30
day-old and 7-day-old pigs (P < 0.05). The mRNA expression of Setd8a variant'was
lower than Setd8b variant in GZB pigs (P > 0.05). Moreover, the expressions of
the two Setd8 variants were significantly higher along with age enlargement. In
conclusion, Setd8a and Setd8b were firstly identified in pigs and both were
expressed in pig testis. Setd8b was the major splicing variant of pig Seid8 gene
transcript product. Moreover, the expressions of Setd8 variants were time
dependent. All these findings would enrich the study of Setd8 gene in pig testis.
PMID- 29791117
TI - Anti-neoplastic effects of topoisomerase inhibitors in canine mammary carcinoma,
melanoma, and osteosarcoma cell lines.
AB - Numerous topoisomerase inhibitors with proven efficacy have been used extensively
to treat various human neoplasms. However, among these, only doxorubicin has been
used and studied extensively in veterinary oncology. The current study was
performed to evaluate the responsiveness of canine osteosarcoma (cOSA), mammary
gland tumour (cMGT), and malignant melanoma (cMM) cell lines to several
topoisomerase inhibitors. In addition, the correlation between the sensitivity to
treatment and multi-drug resistant (MDR) factors was investigated. cOSA cell
lines exhibited higher sensitivity than cMGT and cMM cell lines to all the
topoisomerase inhibitors tested in vitro; this was associated with the levels of
multi-drug resistance protein 1 (MDR1) gene expression in the cOSA cell lines.
Treatment of cOSA (HMPOS) and cMGT cell line (CHMp) xenograft mouse models with
etoposide markedly delayed tumour progression in HMPOS xenografts, but failed to
elicit lasting anti-tumour effects on CHMp xenograft mice. The present findings
suggest that MDR1 represents a molecular signature for prediction of treatment
efficacy of topoisomerase inhibitors, especially that of etoposide, which may be
a clinically useful anti-tumour agent for cOSA; however, further study is
necessary to refine the treatment protocol.
PMID- 29791118
TI - Particle-Induced X-ray Emission Analysis of Zierum Trace and Major Elements in
Cattle with Acute Coliform Mastitis.
AB - The aim of the present study was to examine the applicability of the direct
determination of trace and major element concentrations in serum samples
collected from Holstein dairy cattle with acute coliform mastitis (n = 53)
compared with a healthy control group (n = 39). Twenty-eight elements (Na, Mg,
Al, Si, S, Cl, K, Ca, Ti, V, Cr, Mn, Fe, Ce, Ni, Cu, Zn, Ga, As, Se, Br, Rb, Sr,
Y, Zr, Nb, Mo, and Pb) were detected by particle-induced X-ray emission (PIXE).
Significant differences were observed in serum K, Fe, Zn, and Br concentrations,
but not in those of the remaining twenty-four elements. Furthermore, serum Fe
concentrations (0.751 +/- 0.583 MUg/ml, n = 18) were significantly lower in dairy
cattle with a poor prognosis than in those with a good prognosis (0.945 +/- 0.393
MUg/ml, n = 35, P < 0.05) and healthy controls (1.458 +/- 0.391 MUg/ml, n = 39, P
< 0.01). We proposed a diagnostic cut-off point for serum Fe concentrations of
<0.82 MUg/ml based on receiver operating characteristic (ROC) curves in order to
identify cattle with a poor prognosis. The results of the present study indicated
that assessing the elemental composition of serum, particularly iron, is a
promising prognostic tool for determining the outcomes of cattle with severe
acute coliform mastitis.
PMID- 29791119
TI - Antibody detection from Middendorf's vole (Microtus middendorffii) against Tula
virus captured in Mongolia.
AB - Mongolia in 2010 and 2011. A total of 76 voles belonging to the genera Myodes and
Microtus were captured. Most of the voles that were seropositive to Tula virus
antigen were Middendorf's voles (Microtus middendorffii (6/31)). Two of the 18
Myodes voles were also seropositive to Tula virus antigen. On the other hand,
only one vole was seropositive to Puumala virus antigen. The results suggest that
Tula virus was maintained in Middendorf's vole. This is the first report of
detection of anti-Tula virus antibody in the central part of the Eurasia
continent.
PMID- 29791120
TI - Amenorative effects of exogenous gonadotropins on reproductive profiles of
replacement gilts with delayed puberty in a farm in Thailand.
AB - This study was to investigate the effect of gonadotropins on reproductive
profiles of replacement gilts with delayed puberty. Totally, 136 Landrace X
Yorkshire crossbred gilts, were categorized into control (n = 58) and treatment
(n = 78) groups. Gonadotropins (400 U eCG plus 200 IU hCG) were administered in
treatment group only. The results revealed that gilts in treatment group had
higher number of gilts with estrus (92.3 vs 25.9%, P < 0.001), shorter onset to
estrus (4.7 +/- 0.3 vs 9.0 +/- 0.8 d, P < 0.001), higher number of dominant
follicles (18.0 +/- 0.2 vs 13.2 +/- 0.3 follicles, P < 0.001), and higher
farrowing rate (87.5 vs 53.3%, P = 0.002) than those in control group. In
conclusion, gonadotropins containing 400 IU eCG plus 200 IU hCG could improve
reproductive profiles in replacement gilts with delayed puberty.
PMID- 29791121
TI - Early and Midterm Results of the Arterial Switch Operation: A 9-Year, Single
Center Experience.
PMID- 29791122
TI - Daptomycin eosinophilic pneumonia: an adverse effect to be aware of.
PMID- 29791123
TI - [Candida parapsilosis infection in a corneal transplant patient].
PMID- 29791124
TI - [Unusual Gram-negative bacteria as etiologic agents of nosocomial infections in a
Neonatal Intensive Care Unit].
PMID- 29791125
TI - Pregnancies in perinatally HIV-infected women: antiretroviral treatment
strategies, obstetric and virologic outcomes.
PMID- 29791126
TI - A case of otitis externa caused by non-01/non-0139 Vibrio cholerae after exposure
at a Mediterranean bathing site.
PMID- 29791127
TI - What to know before raising a research question?
AB - With the purpose of establishing a research question adequately, it is necessary
to update the state of knowledge in scientific publications, in order to verify
the originality of the hypothesis; for this it is necessary to have acquired the
training that allows to read and adequately criticize the methodology and the
analysis of the medical literature.
PMID- 29791128
TI - Donor-Acceptor Supramolecular Organic Nanofibers as Visible-Light
Photoelectrocatalysts for Hydrogen Production.
AB - Perylene tetracarboxylic diimide (PTCDI) derivatives have been extensively
studied for one-dimensional (1D) self-assembled systems and for applications in
photocatalysis. Herein, we constructed a PTCDI-based donor-acceptor (D-A)
supramolecular system via in situ self-assembly on an indium tin oxide conductive
glass surface. The self-assembled PTCDI nanostructures exhibit well-defined
nanofibril morphologies and strong photocurrents. Interestingly, a strong and
reversible electrochromic color change was observed during cyclic voltammetry.
The color of the nanofibers changed from red to blue and then to violet as the
reduction progressed to the radical anion and then to the dianion. This series of
one-electron reductions was confirmed by UV absorption, electron paramagnetic
resonance spectroscopy, and hydrazine reduction. Most importantly, these PTCDI
nanofibers exhibit efficient photoelectrocatalytic hydrogen production with
remarkable stability under xenon lamp illumination (lambda >= 420 nm). Among the
three nanofibers prepared, the fibers assembled from PTCDI molecule 2 were found
to be the most effective catalyst with 30% Faradaic efficiency. In addition, the
nanofibers produced hydrogen at a steady-state for more than 8 h and produced
repeatable results in 3 consecutive testing cycles, giving them great potential
for practical industrial applications. Under an applied bias voltage, the 1D
intermolecular stacking along the long axis of the nanofibers affords efficient
separation and migration of photogenerated charge carriers, which play a crucial
role in the photoelectrocatalytic process. As a proof-of-concept, the D-A
structured PTCDI nanofibers presented herein may guide future research on
photoelectrocatalysis based on self-assembled supramolecular systems by providing
more options for material design of the catalysts to achieve greater
efficiencies.
PMID- 29791129
TI - Formation of Deep Electron Traps by Yb3+ Codoping Leads to Super-Long Persistent
Luminescence in Ce3+-Doped Yttrium Aluminum Gallium Garnet Phosphors.
AB - The Y3Al2Ga3O12:Ce3+-Cr3+ compound is one of the brightest persistent phosphors,
but its persistent luminescence duration is not so long because of the relatively
shallow Cr3+ electron trap. To compare the vacuum referred binding energy of the
electron trapping state by Cr3+ and lanthanide ions, we selected Yb3+ as a deeper
electron trapping center. The Y3Al2Ga3O12:Ce3+-Yb3+ phosphors show Ce3+:5d -> 4f
green persistent luminescence after blue light excitation. The formation of Yb2+
was confirmed by the increased intensity of absorption due to Yb2+:4f-5d at 585
nm during the charging process. This result indicates that the Yb3+ ions act as
electron traps by capturing an electron. From the thermoluminescence glow curves,
it was found that the Yb3+ trap makes a much deeper electron trap with a 1.01 eV
depth than the Cr3+ electron trap with a 0.81 eV depth. This deeper Yb3+ trap
provides a much slower detrapping rate of filled electron traps than the Cr3+
codoped persistent phosphor. In addition, by preparing transparent ceramics and
optimizing Ce3+ and Yb3+ concentrations, the Y3Al2Ga3O12:Ce3+(0.2%)-Yb3+(0.1%) as
made transparent ceramic phosphor showed super-long persistent luminescence for
over 138.8 h after blue light charging.
PMID- 29791130
TI - Quantification of Serum High Mobility Group Box 1 by Liquid Chromatography/High
Resolution Mass Spectrometry: Implications for Its Role in Immunity,
Inflammation, and Cancer.
AB - High mobility group box 1 (HMGB1) is a non-histone chromosomal protein, which can
be secreted through a variety of pathways and bind to pattern recognition
receptors to release pro-inflammatory cytokines. Previous studies have suggested
that HMGB1 is upregulated in numerous inflammatory diseases and that it could be
a biomarker for such diseases. However, these studies used immunoassay-based
methods to analyze serum HMGB1. Autoantibodies to HMGB1 in serum are found in
healthy control subjects as well as in patients with different diseases. HMGB1
also binds to haptoglobin, a highly abundant plasma protein. This means that
antibodies used in immunoassays must compete with binding of HMGB1 to endogenous
serum HMGB1 autoantibodies and haptoglobin. To overcome these potential problems,
we developed and validated a specific and sensitive assay based on stable isotope
dilution and immunopurification to quantify HMGB1 in plasma and serum using two
dimensional nano-ultra-high-performance liquid chromatography parallel reaction
monitoring/high-resolution mass spectrometry. Using this assay, we found that
serum HMGB1 in 24 healthy control subjects (6.0 +/- 2.1 ng/mL) was above the mean
concentration reported for 18 different diseases (5.4 +/- 2.8 ng/mL) where the
analyses were conducted with immunoassay methodology. In light of our finding,
the role of HMGB1 in these diseases will have to be re-evaluated. The
concentration of HMGB1 in citrated and EDTA-treated plasma from the same healthy
control subjects was below the limit of detection of our assay (1 ng/mL),
confirming that HMGB1 in serum arises when blood is allowed to clot. This means
that future studies on the role of HMGB1 in vivo should be conducted on plasma
rather than serum.
PMID- 29791131
TI - Sensitivity Enhancement of Forster Resonance Energy Transfer Immunoassays by
Multiple Antibody Conjugation on Quantum Dots.
AB - Quantum dots (QDs) are not only advantageous for color-tuning, improved
brightness, and high stability, but their nanoparticle surfaces also allow for
the attachment of many biomolecules. Because IgG antibodies (AB) are in the same
size range of biocompatible QDs and the AB orientation after conjugation to the
QD is often random, it is difficult to predict if few or many AB per QD will lead
to an efficient AB-QD conjugate. This is particularly true for homogeneous
Forster resonance energy transfer (FRET) sandwich immunoassays, for which the AB
on the QD must bind a biomarker that needs to bind a second AB-FRET-conjugate.
Here, we investigate the performance of Tb-to-QD FRET immunoassays against total
prostate specific antigen (TPSA) by changing the number of AB per QD while
leaving all the other assay components unchanged. We first characterize the AB-QD
conjugation by various spectroscopic, microscopic, and chromatographic techniques
and then quantify the TPSA immunoassay performance regarding sensitivity, limit
of detection, and dynamic range. Our results show that an increasing conjugation
ratio leads to significantly enhanced FRET immunoassays. These findings will be
highly important for developing QD-based immunoassays in which the concentrations
of both AB and QDs can significantly influence the assay performance.
PMID- 29791132
TI - Perturbation Theory/Machine Learning Model of ChEMBL Data for Dopamine Targets:
Docking, Synthesis, and Assay of New l-Prolyl-l-leucyl-glycinamide
Peptidomimetics.
AB - Predicting drug-protein interactions (DPIs) for target proteins involved in
dopamine pathways is a very important goal in medicinal chemistry. We can tackle
this problem using Molecular Docking or Machine Learning (ML) models for one
specific protein. Unfortunately, these models fail to account for large and
complex big data sets of preclinical assays reported in public databases. This
includes multiple conditions of assays, such as different experimental
parameters, biological assays, target proteins, cell lines, organism of the
target, or organism of assay. On the other hand, perturbation theory (PT) models
allow us to predict the properties of a query compound or molecular system in
experimental assays with multiple boundary conditions based on a previously known
case of reference. In this work, we report the first PTML (PT + ML) study of a
large ChEMBL data set of preclinical assays of compounds targeting dopamine
pathway proteins. The best PTML model found predicts 50000 cases with accuracy of
70-91% in training and external validation series. We also compared the linear
PTML model with alternative PTML models trained with multiple nonlinear methods
(artificial neural network (ANN), Random Forest, Deep Learning, etc.). Some of
the nonlinear methods outperform the linear model but at the cost of a notable
increment of the complexity of the model. We illustrated the practical use of the
new model with a proof-of-concept theoretical-experimental study. We reported for
the first time the organic synthesis, chemical characterization, and
pharmacological assay of a new series of l-prolyl-l-leucyl-glycinamide (PLG)
peptidomimetic compounds. In addition, we performed a molecular docking study for
some of these compounds with the software Vina AutoDock. The work ends with a
PTML model predictive study of the outcomes of the new compounds in a large
number of assays. Therefore, this study offers a new computational methodology
for predicting the outcome for any compound in new assays. This PTML method
focuses on the prediction with a simple linear model of multiple pharmacological
parameters (IC50, EC50, Ki, etc.) for compounds in assays involving different
cell lines used, organisms of the protein target, or organism of assay for
proteins in the dopamine pathway.
PMID- 29791133
TI - Design, Construction, and Validation of Histone-Binding Effectors in Vitro and in
Cells.
AB - Chromatin is a system of nuclear proteins and nucleic acids that plays a pivotal
role in gene expression and cell behavior and is therefore the subject of intense
study for cell development and cancer research. Biochemistry, crystallography,
and reverse genetics have elucidated the macromolecular interactions that drive
chromatin regulation. One of the central mechanisms is the recognition of post
translational modifications (PTMs) on histone proteins by a family of nuclear
proteins known as "readers". This knowledge has launched a wave of activity
around the rational design of proteins that interact with histone PTMs. Useful
molecular tools have emerged from this work, enabling researchers to probe and
manipulate chromatin states in live cells. Chromatin-based proteins represent a
vast design space that remains underexplored. Therefore, we have developed a
rapid prototyping platform to identify engineered fusion proteins that bind
histone PTMs in vitro and regulate genes near the same histone PTMs in living
cells. We have used our system to build gene activators with strong avidity for
the gene silencing-associated histone PTM H3K27me3. Here, we describe procedures
and data for cell-free production of fluorescently tagged fusion proteins, enzyme
linked immunosorbent assay-based measurement of histone PTM binding, and a live
cell assay to demonstrate that the fusion proteins modulate transcriptional
activation at a site that carries the target histone PTM. This pipeline will be
useful for synthetic biologists who are interested in designing novel histone PTM
binding actuators and probes.
PMID- 29791134
TI - Identification of YTH Domain-Containing Proteins as the Readers for N1
Methyladenosine in RNA.
AB - N1-methyladenosine (m1A) is an important post-transcriptional modification in
RNA; however, the exact biological role of m1A remains to be determined. By
employing a quantitative proteomics method, we identified multiple putative
protein readers of m1A in RNA, including several YTH domain family proteins. We
showed that YTHDF1-3 and YTHDC1, but not YTHDC2, could bind directly to m1A in
RNA. We also found that Trp432 in YTHDF2, a conserved residue in the hydrophobic
pocket of the YTH domain that is necessary for its binding to N6-methyladenosine
(m6A), is required for its recognition of m1A. An analysis of previously
published data revealed transcriptome-wide colocalization of YTH domain
containing proteins and m1A sites in HeLa cells, suggesting that YTH domain
containing proteins can bind to m1A in cells. Together, our results uncovered YTH
domain-containing proteins as readers for m1A in RNA and provided new insight
into the functions of m1A in RNA biology.
PMID- 29791135
TI - Optically Active CdSe-Dot/CdS-Rod Nanocrystals with Induced Chirality and
Circularly Polarized Luminescence.
AB - Ligand-induced chirality in semiconductor nanocrystals (NCs) has attracted
attention because of the tunable optical properties of the NCs. Induced circular
dichroism (CD) has been observed in CdX (X = S, Se, Te) NCs and their hybrids,
but circularly polarized luminescence (CPL) in these fluorescent nanomaterials
has been seldom reported. Herein, we describe the successful preparation of l-
and d-cysteine-capped CdSe-dot/CdS-rods (DRs) with tunable CD and CPL behaviors
and a maximum anisotropic factor ( glum) of 4.66 * 10-4. The observed CD and CPL
activities are sensitive to the relative absorption ratio of the CdS shell to the
CdSe core, suggesting that the anisotropic g-factors in both CD and CPL increase
to some extent for a smaller shell-to-core absorption ratio. In addition, the
molar ratio of chiral cysteine to the DRs is investigated. Instead of enhancing
the chiral interactions between the chiral molecules and DRs, an excess of
cysteine molecules in aqueous solution inhibits both the CD and CPL activities.
Such chiral and emissive NCs provide an ideal platform for the rational design of
semiconductor nanomaterials with chiroptical properties.
PMID- 29791136
TI - Precisely Striking Tumors without Adjacent Normal Tissue Damage via Mitochondria
Templated Accumulation.
AB - Ignored damage in adjacent normal tissue is fatal especially in some specific
tumor therapy such as brain tumors, but it remains a great challenge to conquer
due to random drug diffusion and tumor complexity. Herein, we show that
hyperthermia in mitochondria, an interparticle plasmonic coupling effect
activated nanoevent, selectively strikes tumor tissues without damaging adjacent
normal tissues. Spherical gold nanoparticles with a mitochondria-targeting
moiety, triphenyl phosphonium, preferentially accumulated inside tumor
mitochondria and reached the threshold to activate interparticle plasmonic
coupling effect among gold nanoparticles, realizing selective light-thermal
conversion and mitochondrial dysfunction in tumor, whereas little hyperthermia
and mitochondrial dysfunction were observed in adjacent normal tissues. In vivo
study revealed that the temperature increment in tumor tissue with irradiation
was nearly 4-fold that in adjacent normal tissue. This subcellular organelle
templated accumulation strategy provides a therapeutic model for highly selective
tumor therapy with negligible local side effects.
PMID- 29791137
TI - The Chemistry of Lyophilized Blood Products.
AB - With the development of new biologics and bioconjugates, storage and preservation
have become more critical than ever before. Lyophilization is a method of cell
and protein preservation by removing a solvent such as water from a substance
followed by freezing. This technique has been used in the past and still holds
promise for overcoming logistic challenges in safety net hospitals with limited
blood banking resources, austere environments such as combat, and mass casualty
situations where existing resources may be outstripped. This method allows for
long-term storage and transport but requires the bioconjugation of preservatives
to prevent cell destabilization. Trehalose is utilized as a bioconjugate in
platelet and red blood cell preservation to maintain protein thermodynamics and
stabilizing protein formulations in liquid and freeze-dried states. Biomimetic
approaches have been explored as alternatives to cryo- and lyopreservation of
blood components. Intravascular hemostats such as PLGA nanoparticles
functionalized with PEG motifs, topical hemostats utilizing fibrinogen or
chitosan, and liposomal encapsulated hemoglobin with surface modifications are
effectively stored long-term through bioconjugation. In thinking about the best
methods for storage and transport, we are focusing this topical review on blood
products that have the longest track record of preservation and looking at how
these methods can be applied to synthetic systems.
PMID- 29791138
TI - Three-Dimensional Printed Poly(vinyl alcohol) Substrate with Controlled On-Demand
Degradation for Transient Electronics.
AB - Electronics that degrade after stable operation for a desired operating time,
called transient electronics, are of great interest in many fields, including
biomedical implants, secure memory devices, and environmental sensors. Thus, the
development of transient materials is critical for the advancement of transient
electronics and their applications. However, previous reports have mostly relied
on achieving transience in aqueous solutions, where the transience time is
largely predetermined based on the materials initially selected at the beginning
of the fabrication. Therefore, accurate control of the transience time is
difficult, thereby limiting their application. In this work, we demonstrate
transient electronics based on a water-soluble poly(vinyl alcohol) (PVA)
substrate on which carbon nanotube (CNT)-based field-effect transistors were
fabricated. We regulated the structural parameters of the PVA substrate using a
three-dimensional (3D) printer to accurately control and program the transience
time of the PVA substrate in water. The 3D printing technology can produce
complex objects directly, thus enabling the efficient fabrication of a transient
substrate with a prescribed and controlled transience time. In addition, the 3D
printer was used to develop a facile method for the selective and partial
destruction of electronics.
PMID- 29791139
TI - Dynamic Ligand Presentation in Biomaterials.
AB - The native cell microenvironment is extraordinarily dynamic, with reciprocal
regulation pathways between cells and the extracellular matrix guiding many
physiological processes, such as cell migration, stem cell differentiation, and
tissue formation. Providing the correct sequence of biochemical cues to cells,
both in vivo and in vitro, is critical for triggering specific biological
outcomes. There has been a diversity of methods developed for exposing cells in
culture to spatiotemporally varying cues, many of which have centered on dynamic
control over cell-material interactions in an attempt to recapitulate the role of
the extracellular matrix in cell signaling. This review highlights several
mechanisms that have been employed to control bioactive ligand presentation in
biomaterials, and looks ahead toward the potential for genetically encoded
approaches to dynamically regulate material bioactivity using light.
PMID- 29791140
TI - Correlative Stimulated Emission Depletion and Scanning Ion Conductance
Microscopy.
AB - Correlation microscopy combining fluorescence and scanning probe or electron
microscopy is limited to fixed samples due to the sample preparation and
nonphysiological imaging conditions required by most probe or electron microscopy
techniques. Among the few scanning probe techniques that allow imaging of living
cells under physiological conditions, scanning ion conductance microscopy (SICM)
has been shown to be the technique that minimizes the impact on the investigated
sample. However, combinations of SICM and fluorescence microscopy suffered from
the mismatch in resolution due to the limited resolution of conventional light
microscopy. In the last years, the diffraction limit of light microscopy has been
circumvented by various techniques, one of which is stimulated emission depletion
(STED) microscopy. Here, we aimed at demonstrating the combination of STED and
SICM. We show that both methods allow recording a living cellular specimen and
provide a SICM and STED image of the same sample, which allowed us to correlate
the membrane surface topography and the distribution of the cytoskeletal protein
actin. Our proof-of-concept study exemplifies the benefit of correlating SICM
with a subdiffraction fluorescence method and might form the basis for the
development of a combined instrument that would allow the simultaneous recording
of subdiffraction fluorescence and topography information.
PMID- 29791141
TI - Fluorogenic Probing of Membrane Protein Trafficking.
AB - Methods to differentially label cell-surface and intracellular membrane proteins
are indispensable for understanding their function and the regulation of their
trafficking. We present an efficient strategy for the rapid and selective
fluorescent labeling of membrane proteins based on the chemical-genetic
fluorescent marker FAST (fluorescence-activating and absorption-shifting tag).
Cell-surface FAST-tagged proteins could be selectively and rapidly labeled using
fluorogenic membrane-impermeant 4-hydroxybenzylidene rhodanine (HBR) analogs.
This approach allows the study of protein trafficking at the plasma membrane with
various fluorometric techniques, and opens exciting prospects for the high
throughput screening of small molecules able to restore disease-related
trafficking defects.
PMID- 29791142
TI - Reassessment of the Transport Mechanism of the Human Zinc Transporter SLC39A2.
AB - The human zinc transporter SLC39A2, also known as ZIP2, was shown to mediate zinc
transport that could be inhibited at pH <7.0 and stimulated by HCO3-, suggesting
a Zn2+/HCO3- cotransport mechanism [Gaither, L. A., and Eide, D. J. (2000) J.
Biol. Chem. 275, 5560-5564]. In contrast, recent experiments in our laboratory
indicated that the functional activity of ZIP2 increases at acidic pH [Franz, M.
C., et al. (2014) J. Biomol. Screening 19, 909-916]. The study presented here was
therefore designed to reexamine the findings about the pH dependence and to
extend the functional characterization of ZIP2. Our current results show that
ZIP2-mediated transport is modulated by extracellular pH but independent of the
H+ driving force. Also, in our experiments, ZIP2-mediated transport is not
modulated by extracellular HCO3-. Moreover, a high extracellular [K+], which
induces depolarization, inhibited ZIP2-mediated transport, indicating that the
transport mechanism is voltage-dependent. We also show that ZIP2 mediates the
uptake of Cd2+ ( Km ~ 1.57 MUM) in a pH-dependent manner ( KH+ ~ 66 nM). Cd2+
transport is inhibited by extracellular [Zn2+] (IC50 ~ 0.32 MUM), [Cu2+] (IC50 ~
1.81 MUM), and to a lesser extent [Co2+], but not by [Mn2+] or [Ba2+]. Fe2+ is
not transported by ZIP2. Accordingly, the substrate selectivity of ZIP2 decreases
in the following order: Zn2+ > Cd2+ >= Cu2+ > Co2+. Altogether, we propose that
ZIP2 is a facilitated divalent metal ion transporter that can be modulated by
extracellular pH and membrane potential. Given that ZIP2 expression has been
reported in acidic environments [Desouki, M. M., et al. (2007) Mol. Cancer 6, 37;
Inoue, Y., et al. (2014) J. Biol. Chem. 289, 21451-21462; Tao, Y. T., et al.
(2013) Mol. Biol. Rep. 40, 4979-4984], we suggest that the herein described H+
mediated regulatory mechanism might be important for determining the velocity and
direction of the transport process.
PMID- 29791144
TI - Environmental Risks of Medium-Chain Chlorinated Paraffins (MCCPs): A Review.
AB - Chlorinated paraffins are industrial chemicals that can be subdivided into short
chain (SCCP), medium-chain (MCCP), and long-chain (LCCP) chlorinated paraffins.
The global production volumes of MCCPs are nowadays suspected to be much higher
than those of S- and LCCPs, and the few available studies on the environmental
occurrence of chlorinated paraffins report often higher MCCP concentrations than
S- or LCCP concentrations in the environment. The present review focuses,
therefore, on MCCPs specifically and provides a literature overview and a data
analysis of the production volumes, PBT properties (persistence, bioaccumulation
potential, and toxicity), and the worldwide measured concentrations of MCCP in
environmental samples, biota, and humans. Furthermore, we include our own
measurements of technical CP formulations from China, the major global producing
country, to estimate the global production amounts of MCCPs. The key findings
from this review are that (1) MCCPs are toxic to the aquatic environment, and the
available data suggest that they are also persistent; (2) available time trends
for MCCPs in soil, biota, and most of the sediment cores show increasing time
trends over the last years to decades; and (3) MCCP concentrations in sediment
close to local sources exceed toxicity thresholds (i.e., the PNEC). Our study
shows that overall, MCCPs are of growing concern, and regulatory actions should
be considered seriously.
PMID- 29791143
TI - Water-Soluble Silver(I) Complexes Featuring the Hemilabile 3,7-Dimethyl-1,3,5
triaza-7-phosphabicyclo[3.3.1]nonane Ligand: Synthesis, Characterization, and
Antimicrobial Activity.
AB - This paper describes the preparation and comprehensive characterization of a
series of water-soluble cationic silver(I)-centered complexes featuring the
hemilabile P, N-ligand known as 3,7-dimethyl-1,3,5-triaza-7
phosphabicyclo[3.3.1]nonane (herein abbreviated as PTN(Me)) and differing types
of monoanionic counterions including known biologically active sulfadiazine and
triclosan. The complexes primarily differed though the number of coordinating
PTN(Me) ligands. The bis-substituted Ag(I) complexes revealed P, N bidentate
coordination, while the only P-monocoordination of the metal center was observed
for the tris-substituted systems. The bis-ligated silver compounds were observed
to quickly degrade upon photoexposure or in contact with air. In contrast, the
tris-ligated complexes demonstrated greater stability, in particular, a high
resistance to photo-decomposition. Calculated geometry optimized models using the
density functional theory method (BP86) revealed for the bis-substituted PTN(Me)
Ag(I) species that the total enthalpy of the tetrahedral C2-symmetric structure
is marginally lower by -0.6 kcal mol-1 compared to the planar C2 h structure,
which is analogous for the corresponding [Au(PTN(Me))2]+ complex with Delta H =
0.5 kcal mol-1. Hence both types of complexes feature free rotation of the PTN
ligand about the M-P bond axis. This series of Ag(I) and bis-PTN(Me) Au(I)
complexes were evaluated using the agar well diffusion test for potential
antimicrobial and antifungal activity. The nature of the counterion was found to
have a strong correlation with the area of microbiological growth inhibition.
Silver(I) complexes bearing the deprotonated triclosan as the counterion
demonstrated the greatest activity, with large zones of growth inhibition, with
the tris-ligated triclosan complex obtaining of a high clearance of 42 mm against
the Gram-negative Escherichia coli. In contrast, the previously reported
[Au(PTN(Me))2]Cl complex demonstrated activity only against E. coli, which is
lower than that observed for the silver(I) PTN(Me) species.
PMID- 29791145
TI - Slow-Starter Enzymes: Role of Active-Site Architecture in the Catalytic Control
of the Biosynthesis of Taxadiene by Taxadiene Synthase.
AB - Taxadiene synthase (TXS) catalyzes the formation of natural product taxa
4(5),11(12)-diene (henceforth taxadiene). Taxadiene is the precursor in the
formation of Taxol, which is an important natural anticancer agent. In the
current study, we present a detailed mechanistic view of the biosynthesis of
taxadiene by TXS using a hybrid quantum mechanics-molecular mechanics potential
in conjunction with free energy simulation methods. The obtained free-energy
landscape displays initial endergonic steps followed by a stepwise downhill
profile, which is an emerging free-energy fingerprint for type I terpene
synthases. We identify an active-site Trp residue (W753) as a key feature of the
TXS active-site architecture and propose that this residue stabilized
intermediate cations via pi-cation interactions. To validate our proposed active
TXS model, we examine a previously reported W753H mutation, which leads to the
exclusive formation of side product cembrene A. The simulations of the W753H
mutant show that, in the mutant structure, the His side chain is in the perfect
position to deprotonate the cembrenyl cation en route to cembrene formation and
that this abortive deprotonation is an energetically facile process. On the basis
of the current model, we propose that an analogous mutation of Y841 to His could
possibly lead to verticillane. The current simulations stress the importance of
the precise positioning of key active-site residues in stabilizing intermediate
carbocations. In view of the great pharmaceutical importance of taxadiene, a
detailed understanding of the TXS mechanism can provide important clues toward a
synthetic strategy for Taxol manufacturing.
PMID- 29791146
TI - Effect of Cholesterol on Membrane Dipole Potential: Atomistic and Coarse-Grained
Molecular Dynamics Simulations.
AB - The effect of cholesterol on membrane dipole potential has been the subject of a
great number of experimental and theoretical investigations, but these studies
have yielded different findings and interpretations at high cholesterol
concentrations. This suggests that the underlying mechanism of the cholesterol
effect is not well addressed. Moreover, as far as we know, none of the previously
proposed coarse-grained (CG) models (including MARTINI and its improved versions)
have been successfully used to probe the effect of cholesterol on membrane dipole
potential, owing to either an inaccurate description of water-cholesterol
electrostatics or the neglect of the contribution of cholesterol to membrane
dipole potential. In our previous works, we proposed a CG model CAVS (charge
attached to virtual site) for lipid and water, showing the advantage of the CAVS
model in the calculations of membrane dipole potential as compared to the MARTINI
model. In this work, we present the CAVS model for cholesterol in order to enable
us to investigate the effect of cholesterol on membrane dipole potential at large
spatial scale. Our works showed that the CAVS and CHARMM models produced similar
results in the study of the effects of cholesterol on lipid bilayer structures
and membrane dipole potential. In particular, by combining the CHARMM and CAVS
simulations, we explicitly calculated the individual contributions of membrane
components (cholesterol, water, and lipid) to membrane dipole potential at
different cholesterol concentrations, and we discovered that an increase in
cholesterol content would result in a nonlinear variation of the individual
contributions of water and lipid with cholesterol concentration. On the other
side, we observed that the individual contribution of cholesterol to membrane
dipole potential would nonlinearly increase with increasing cholesterol
concentration. Thus, the effect of cholesterol on membrane dipole potential is
complicated owing to the different variation of individual contributions of
membrane components (water, lipid, and cholesterol) with cholesterol
concentration.
PMID- 29791147
TI - Investigations of the Magnetic and Spectroscopic Properties of V(III) and V(IV)
Complexes.
AB - Herein, we utilize a variety of physical methods including magnetometry (SQUID),
electron paramagnetic resonance (EPR), and magnetic circular dichroism (MCD), in
conjunction with high-level ab initio theory to probe both the ground and ligand
field excited electronic states of a series of V(IV) ( S = 1/2) and V(III) ( S =
1) molecular complexes. The ligand fields of the central metal ions are analyzed
with the aid of ab initio ligand-field theory (AILFT), which allows for a
chemically meaningful interpretation of multireference electronic structure
calculations at the level of the complete-active-space self-consistent field with
second-order N-electron valence perturbation theory. Our calculations are in good
agreement with all experimentally investigated observables (magnetic properties,
EPR, and MCD), making our extracted ligand-field theory parameters realistic. The
ligand fields predicted by AILFT are further analyzed with conventional angular
overlap parametrization, allowing the ligand field to be decomposed into
individual sigma- and pi-donor contributions from individual ligands. The results
demonstrate in VO2+ complexes that while the axial vanadium-oxo interaction
dominates both the ground- and excited-state properties of vanadyl complexes,
proximal coordination can significantly modulate the vanadyl bond covalency.
Similarly, the electronic properties of V(III) complexes are particularly
sensitive to the available sigma and pi interactions with the surrounding
ligands. The results of this study demonstrate the power of AILFT-based analysis
and provide the groundwork for the future analysis of vanadium centers in
homogeneous and heterogeneous catalysts.
PMID- 29791148
TI - Protein Folding Free Energy Landscape along the Committor - the Optimal Folding
Coordinate.
AB - Recent advances in simulation and experiment have led to dramatic increases in
the quantity and complexity of produced data, which makes the development of
automated analysis tools very important. A powerful approach to analyze dynamics
contained in such data sets is to describe/approximate it by diffusion on a free
energy landscape - free energy as a function of reaction coordinates (RC). For
the description to be quantitatively accurate, RCs should be chosen in an optimal
way. Recent theoretical results show that such an optimal RC exists; however,
determining it for practical systems is a very difficult unsolved problem. Here
we describe a solution to this problem. We describe an adaptive nonparametric
approach to accurately determine the optimal RC (the committor) for an
equilibrium trajectory of a realistic system. In contrast to alternative
approaches, which require a functional form with many parameters to approximate
an RC and thus extensive expertise with the system, the suggested approach is
nonparametric and can approximate any RC with high accuracy without system
specific information. To avoid overfitting for a realistically sampled system,
the approach performs RC optimization in an adaptive manner by focusing
optimization on less optimized spatiotemporal regions of the RC. The power of the
approach is illustrated on a long equilibrium atomistic folding simulation of
HP35 protein. We have determined the optimal folding RC - the committor, which
was confirmed by passing a stringent committor validation test. It allowed us to
determine a first quantitatively accurate protein folding free energy landscape.
We have confirmed the recent theoretical results that diffusion on such a free
energy profile can be used to compute exactly the equilibrium flux, the mean
first passage times, and the mean transition path times between any two points on
the profile. We have shown that the mean squared displacement along the optimal
RC grows linear with time as for simple diffusion. The free energy profile
allowed us to obtain a direct rigorous estimate of the pre-exponential factor for
the folding dynamics.
PMID- 29791149
TI - A Tricopper(I) Complex Competent for O Atom Transfer, C-H Bond Activation, and
Multiple O2 Activation Steps.
AB - Oxygenation of a tricopper(I) cyclophanate (1) affords reactive transients
competent for C-H bond activation and O atom transfer to various substrates
(including toluene, dihydroanthracene, and ethylmethylsulfide) based on 1H NMR,
gas chromatography/mass spectrometry (MS), and electrospray ionization (ESI)/MS
data. Low product yields (<1%) are determined for C-H activation substrates (e.g,
toluene, ethylbenzene), which we attribute to competitive ligand oxidation. The
combined stopped-flow UV/visible, electron paramagnetic resonance, ESI/MS, 1H
NMR, and density functional theory (DFT) results for reaction of 1 with O2 are
consistent with transient peroxo- and di(oxo)-bridged intermediates. DFT
calculations elucidate a concerted proton-coupled electron transfer from toluene
to the di(MU-oxo) intermediate and subsequent radical rebound as the C-H
activation mechanism. Our results support a multicopper oxidase-like mechanism
for O2 activation by 1, traversing species similar to the coplanar Cu3O2 unit in
the peroxy and native intermediates.
PMID- 29791150
TI - Elastin-like Polypeptide (ELP) Charge Influences Self-Assembly of ELP-mCherry
Fusion Proteins.
AB - Self-assembly of protein-polymer bioconjugates presents an elegant strategy for
controlling nanostructure and orientation of globular proteins in functional
materials. Recent work has shown that genetic fusion of globular protein mCherry
to an elastin-like polypeptide (ELP) yields similar self-assembly behavior to
these protein-polymer bioconjugates. In the context of studying protein-polymer
bioconjugate self-assembly, the mutability of the ELP sequence allows several
different properties of the ELP block to be tuned orthogonally while maintaining
consistent polypeptide backbone chemistry. This work uses this ELP sequence
tunability in combination with the precise control offered by genetic engineering
of an amino acid sequence to generate a library of four novel ELP sequences that
are used to study the combined effect of charge and hydrophobicity on ELP-mCherry
fusion protein self-assembly. Concentrated solution self-assembly is studied by
small-angle X-ray scattering (SAXS) and depolarized light scattering (DPLS).
These experiments show that fusions containing a negatively charged ELP block do
not assemble at all, and fusions with a charge balanced ELP block exhibit a weak
propensity for assembly. By comparison, the fusion containing an uncharged ELP
block starts to order at 40 wt % in solution and at all concentrations measured
has sharper, more intense SAXS peaks than other fusion proteins. These
experiments show that charge character of the ELP block is a stronger predictor
of self-assembly behavior than the hydrophobicity of the ELP block. Dilute
solution small-angle neutron scattering (SANS) on the ELPs alone suggests that
all ELPs used in this study (including the uncharged ELP) adopt dilute solution
conformations similar to those of traditional polymers, including polyampholytes
and polyelectrolytes. Finally, dynamic light scattering studies on ELP-mCherry
blends shows that there is no significant complexation between the charged ELPs
and mCherry. Therefore, it is proposed that the superior self-assembly of fusion
proteins containing uncharged ELP block is due to effective repulsions between
charged and uncharged blocks due to local charge correlation effects and, in the
case of anionic ELPs, repulsion between like charges within the ELP block.
PMID- 29791151
TI - Recent Advances and Progress on Melanin-like Materials and Their Biomedical
Applications.
AB - Melanins are well-known biopolymers that are ubiquitous in nature, distributed
widely in microorganisms, plants, and animals, and play significant physiological
roles. They are mostly biopolymers formed from phenolic compounds by
polymerization via quinones. Poly(dopamine) (PDA), a melanin-like material, is
similar in structure and properties to eumelanin and has attracted considerable
interest for various types of biological applications. This review outlines the
recent advances in the structure and synthesis of PDA and discusses applications
of PDA in many biological fields, such as biological imaging, photothermal
therapy, and drug delivery systems. The purpose of this review is to give a brief
overview of the synthesized procedures, structure, biomedical applications, and
prospects of melanin-like materials.
PMID- 29791152
TI - Improved Force Fields for Peptide Nucleic Acids with Optimized Backbone Torsion
Parameters.
AB - Peptide nucleic acids are promising nucleic acid analogs for antisense therapies
as they can form stable duplex and triplex structures with DNA and RNA.
Computational studies of PNA-containing duplexes and triplexes are an important
component for guiding their design, yet existing force fields have not been well
validated and parametrized with modern computational capabilities. We present
updated CHARMM and Amber force fields for PNA that greatly improve the stability
of simulated PNA-containing duplexes and triplexes in comparison with
experimental structures and allow such systems to be studied on microsecond time
scales. The force field modifications focus on reparametrized PNA backbone
torsion angles to match high-level quantum mechanics reference energies for a
model compound. The microsecond simulations of PNA-PNA, PNA-DNA, PNA-RNA, and PNA
DNA-PNA complexes also allowed a comprehensive analysis of hydration and ion
interactions with such systems.
PMID- 29791153
TI - A Probabilistic Framework for Constructing Temporal Relations in Replica Exchange
Molecular Trajectories.
AB - Knowledge of the structure and dynamics of biomolecules is essential for
elucidating the underlying mechanisms of biological processes. Given the
stochastic nature of many biological processes, like protein unfolding, it is
almost impossible that two independent simulations will generate the exact same
sequence of events, which makes direct analysis of simulations difficult.
Statistical models like Markov chains, transition networks, etc. help in shedding
some light on the mechanistic nature of such processes by predicting long-time
dynamics of these systems from short simulations. However, such methods fall
short in analyzing trajectories with partial or no temporal information, for
example, replica exchange molecular dynamics or Monte Carlo simulations. In this
work, we propose a probabilistic algorithm, borrowing concepts from graph theory
and machine learning, to extract reactive pathways from molecular trajectories in
the absence of temporal data. A suitable vector representation was chosen to
represent each frame in the macromolecular trajectory (as a series of interaction
and conformational energies), and dimensionality reduction was performed using
principal component analysis (PCA). The trajectory was then clustered using a
density-based clustering algorithm, where each cluster represents a metastable
state on the potential energy surface (PES) of the biomolecule under study. A
graph was created with these clusters as nodes with the edges learned using an
iterative expectation maximization algorithm. The most reactive path is conceived
as the widest path along this graph. We have tested our method on RNA hairpin
unfolding trajectory in aqueous urea solution. Our method makes the understanding
of the mechanism of unfolding in the RNA hairpin molecule more tractable. As this
method does not rely on temporal data, it can be used to analyze trajectories
from Monte Carlo sampling techniques and replica exchange molecular dynamics
(REMD).
PMID- 29791154
TI - High-Precision Megahertz-to-Terahertz Dielectric Spectroscopy of Protein
Collective Motions and Hydration Dynamics.
AB - The low-frequency collective vibrational modes in proteins as well as the protein
water interface have been suggested as dominant factors controlling the
efficiency of biochemical reactions and biological energy transport. It is thus
crucial to uncover the mystery of the hydration structure and dynamics as well as
their coupling to collective motions of proteins in aqueous solutions. Here, we
report dielectric properties of aqueous bovine serum albumin protein solutions as
a model system using an extremely sensitive dielectric spectrometer with
frequencies spanning from megahertz to terahertz. The dielectric relaxation
spectra reveal several polarization mechanisms at the molecular level with
different time constants and dielectric strengths, reflecting the complexity of
protein-water interactions. Combining the effective-medium approximation and
molecular dynamics simulations, we have determined collective vibrational modes
at terahertz frequencies and the number of water molecules in the tightly bound
and loosely bound hydration layers. High-precision measurements of the number of
hydration water molecules indicate that the dynamical influence of proteins
extends beyond the first solvation layer, to around 7 A distance from the protein
surface, with the largest slowdown arising from water molecules directly hydrogen
bonded to the protein. Our results reveal critical information of protein
dynamics and protein-water interfaces, which determine biochemical functions and
reactivity of proteins.
PMID- 29791155
TI - Deciphering Stability of Five-Membered Heterocyclic Radicals: Balancing Act
Between Delocalization and Ring Strain.
AB - Computational studies on five-membered heterocycles with single heteroatom and
their isomeric dehydro-borole 1a-1c, cyclopentadiene 2a-2c, pyrrole 3a-3c, furan
4b-4c, phosphole 5a-5c, and thiophene 6b-6c radicals have been carried out.
Geometrical aspects through ground state electronic structures and stability
aspects using bond dissociation energies (BDE) and radical stabilization energies
(RSE) have been envisaged in this regard. Spin densities, electrostatic
potentials (ESP), and natural bond orbital (NBO) analysis unveiled the extent of
spin delocalization. The estimated nucleus-independent chemical shifts (NICS)
values revealed the difference in aromaticity characteristics of radicals.
Particularly the heteroatom centered radicals exhibit odd electron pi-delocalized
systems with a quasi-antiaromatic character. Various factors such as, the
relative position of the radical center with respect to heteroatoms, resonance,
ring strain and orbital interactions influence the stability that follows the
order: heteroatom centered > beta-centered > alpha-centered radicals. Among the
influences of various factors, we confirmed the existence of a competition
between delocalization and the ring strain, and the interplay of both decides the
overall stability order.
PMID- 29791156
TI - Ab Initio Potential Energy Surfaces of C3 Collision with Proton and Quantum
Dynamics of Rotational Transition.
AB - New ab initio potential energy surfaces have been generated for the ground state
and low-lying excited states of the H+ + C3 system using the multireference
configuration interaction (MRCI) method with Dunning's augmented correlation
consistent polarized valence quadruple-zeta (aug-cc-pVQZ) basis sets. The ground
state and low-lying excited states show avoided crossing, indicating nonadiabatic
coupling. The anisotropy of the ground-state surface has been analyzed by
computing the multipolar expansion coefficients with the frozen C-C equilibrium
bond length. The asymptotic potential has been merged with the interaction
potential by spline fit. This potential is then used in the full close coupling
calculations of rotational excitation in C3 collision with the proton for
rotational levels j = 0, 2, 4, 6, 8 at very low collision energy. By averaging
the cross sections over a Boltzmann distribution of velocities of the incoming
atom, we obtain and discuss corresponding rate coefficients of C3 collision with
the proton in the interstellar medium.
PMID- 29791157
TI - Hybrid Correlation Energy (HyCE): An Approach Based on Separate Evaluations of
Internal and External Components.
AB - A novel hybrid correlation energy (HyCE) approach is proposed that determines the
total correlation energy via distinct computation of its internal and external
components. This approach evolved from two related studies. First, rigorous
assessment of the accuracies and size extensivities of a number of electron
correlation methods, that include perturbation theory (PT2), coupled-cluster
(CC), configuration interaction (CI), and coupled electron pair approximation
(CEPA), shows that the CEPA(0) variant of the latter and triples-corrected CC
methods consistently perform very similarly. These findings were obtained by
comparison to near full CI results for four small molecules and by charting
recovered correlation energies for six steadily growing chain systems. Second, by
generating valence virtual orbitals (VVOs) and utilizing the CEPA(0) method, we
were able to partition total correlation energies into internal (or nondynamic)
and external (or dynamic) parts for the aforementioned six chain systems and a
benchmark test bed of 36 molecules. When using triple-zeta basis sets it was
found that per orbital internal correlation energies were appreciably larger than
per orbital external energies and that the former showed far more chemical
variation than the latter. Additionally, accumulations of external correlation
energies were seen to proceed smoothly, and somewhat linearly, as the virtual
space is gradually increased. Combination of these two studies led to development
of the HyCE approach, whereby the internal and external correlation energies are
determined separately by CEPA(0)/VVO and PT2/external calculations, respectively.
When applied to the six chain systems and the 36-molecule benchmark test set it
was found that HyCE energies followed closely those of triples-corrected CC and
CEPA(0) while easily outperforming MP2 and CCSD. The success of the HyCE approach
is more notable when considering that its cost is only slightly more than MP2 and
significantly cheaper than the CC approaches.
PMID- 29791158
TI - Electronic Structure of OsSi Calculated by MS-NEVPT2 with Inclusion of the
Relativistic Effects.
AB - The electronic states of OsSi are calculated by multi-state N-electron valence
state second order perturbation theory (MS-NEVPT2) with all-electron basis sets.
The relativistic effects are considered comprehensively that allows us to
identify the X3Sigma0+- ground state. The theoretical equilibrium bond length
2.103 A is close to the experimental measurement of 2.1207 A while the
vibrational frequency 466 cm-1 is smaller than the experimental value of 516 cm
1. Two excited states, namely 3Pi1(I) and 3Pi1(II), are located at 15568 and
18316 cm-1 above the ground state, respectively. The 3Pi1(I) <- X3Sigma0+-
transition has been assigned to the experimental spectra at 15729 cm-1 and
3Pi1(II) <- X3Sigma0+- may produce the bands near 18469 cm-1. Although the latter
transition energy is in accord with the experimental spectra, theoretical
calculations give too small oscillator strength. Moreover, plenty of excited
states with considerable oscillator strengths are located that could serve as
reference data in future experiments. The four low-lying states of OsC are also
calculated for comparison.
PMID- 29791159
TI - Reaction Kinetics of Hydrogen Atom Abstraction from C4-C6 Alkenes by the Hydrogen
Atom and Methyl Radical.
AB - Alkenes are important ingredients of realistic fuels and are also critical
intermediates during the combustion of a series of other fuels including alkanes,
cycloalkanes, and biofuels. To provide insights into the combustion behavior of
alkenes, detailed quantum chemical studies for crucial reactions are desired.
Hydrogen abstractions of alkenes play a very important role in determining the
reactivity of fuel molecules. This work is motivated by previous experimental and
modeling evidence that current literature rate coefficients for the abstraction
reactions of alkenes are still in need of refinement and/or redetermination. In
light of this, this work reports a theoretical and kinetic study of hydrogen atom
abstraction reactions from C4-C6 alkenes by the hydrogen (H) atom and methyl
(CH3) radical. A series of C4-C6 alkene molecules with enough structural
diversity are taken into consideration. Geometry and vibrational properties are
determined at the B3LYP/6-31G(2df,p) level implemented in the Gaussian-4 (G4)
composite method. The G4 level of theory is used to calculate the electronic
single point energies for all species to determine the energy barriers.
Conventional transition state theory with Eckart tunneling corrections is used to
determine the high-pressure-limit rate constants for 47 elementary reaction rate
coefficients. To faciliate their applications in kinetic modeling, the obtained
rate constants are given in the Arrhenius expression and rate coefficients for
typical reaction classes are recommended. The overall rate coefficients for the
reaction of H atom and CH3 radical with all the studied alkenes are also
compared. Branching ratios of these reaction channels for certain alkenes have
also been analyzed.
PMID- 29791160
TI - Interaction of Zwitterionic and Ionic Monomers with Graphene Surfaces.
AB - Measurement of the interaction force between two materials provides important
information on various properties, such as adsorption, binding, or compatibility
for coatings, adhesion, and composites. The interaction forces of zwitterionic
and ionic monomers with graphite platelets (G) and reduced graphene oxide (rGO)
surfaces were systematically investigated by atomic force microscopy (AFM) in air
and water. The monomers examined were 2-(methacryloyloxy)ethyl 2
(trimethylammonio)ethyl phosphate (MPC), [2-(methacryloyloxy)ethyl]dimethyl-(3
sulfopropyl)ammonium hydroxide (SBE), [2-(acryloyloxy)ethyl]trimethylammonium
chloride (ATC), and 2-methyl-2-propene-1-sulfonic acid sodium (MSS). The AFM
studies revealed that MSS and SBE monomers with sulfonate units have stronger
interaction forces with G surface in air and that MPC and ATC monomers with
quaternary ammonium units have higher interaction forces in water. In the case of
rGO surface, the monomers with quaternary ammonium units showed stronger
interactions regardless of the medium. These interactions could be rationalized
by the interaction mechanism between the monomers with graphene surfaces, such as
cation-pi for MPC and ATC and anion-pi for MSS and SBE. Overall, cation-pi
interactions were effective in water, whereas anion-pi interactions are effective
in air with G surface. The adhesion values of MPC, SBE, ATC, and MSS on rGO were
lower than the values measured on G surface. Among the monomers, MPC showed the
highest dispersibility for aqueous graphene dispersions. Further, the adsorption
of MPC on G and rGO surfaces was verified by high-resolution transmission
electron microscopy and X-ray diffraction patterns.
PMID- 29791161
TI - A Tetrazine-Labile Vinyl Ether Benzyloxycarbonyl Protecting Group (VeZ): An
Orthogonal Tool for Solid-Phase Peptide Chemistry.
AB - The vinyl ether benzyloxycarbonyl (VeZ) protecting group is selectively cleaved
by treatment with tetrazines via an inverse electron-demand Diels-Alder reaction.
This represents a new orthogonal protecting group for solid-phase peptide
synthesis, with Fmoc-Lys(VeZ)-OH as a versatile alternative to Fmoc-Lys(Alloc)-OH
and Fmoc-Lys(Dde)-OH, as demonstrated by the synthesis of two biologically
relevant cyclic peptides.
PMID- 29791163
TI - Relationship between Excited State Lifetime and Isomerization Quantum Yield in
Animal Rhodopsins: Beyond the One-Dimensional Landau-Zener Model.
AB - We show that the speed of the chromophore photoisomerization of animal rhodopsins
is not a relevant control knob for their light sensitivity. This result is at
odds with the momentum-driven tunnelling rationale (i.e., assuming a one
dimensional Landau-Zener model for the decay: Zener, C. Non-Adiabatic Crossing of
Energy Levels. Proc. R. Soc. London, Ser. A 1932, 137 (833), 696-702) holding
that a faster nuclear motion through the conical intersection translates into a
higher quantum yield and, thus, light sensitivity. Instead, a model based on the
phase-matching of specific excited state vibrational modes should be considered.
Using extensive semiclassical hybrid quantum mechanics/molecular mechanics
trajectory computations to simulate the photoisomerization of three animal
rhodopsin models (visual rhodopsin, squid rhodopsin and human melanopsin), we
also demonstrate that phase-matching between three different modes (the reactive
carbon and hydrogen twisting coordinates and the bond length alternation mode) is
required to achieve high quantum yields. In fact, such "phase-matching" mechanism
explains the computational results and provides a tool for the prediction of the
photoisomerization outcome in retinal proteins.
PMID- 29791162
TI - Manganese-Catalyzed Direct Conversion of Ester to Amide with Liberation of H2.
AB - A simple and efficient Mn-catalyzed acylation of amines is achieved using both
acyl and alkoxy functions of unactivated esters with the liberation of molecular
hydrogen as a sole byproduct. The present protocol provides an atom-economical
and sustainable route for the synthesis of amides from esters by employing an
earth-abundant manganese salt and inexpensive phosphine-free tridentate ligand.
PMID- 29791164
TI - Preparation of Thioanisole Biscarbanion and C-H Lithiation/Annulation Reactions
for the Access of Five-Membered Heterocycles.
AB - The synthesis, isolation, and X-ray structure of a thioanisole-based trilithium
complex are reported. On the basis of the double-lithiation strategy, two novel
synthetic methodologies have been developed under mild reaction conditions (room
temperature): (1) reactions of lithiated thioanisoles with nitriles give
benzoisothiazoles via a [3 + 2]-type of approach with two new bond formations and
(2) formation of benzothiophenes from thioanisoles and amides through a [4 + 1]
pattern forming 4 new chemical bonds.
PMID- 29791165
TI - Effect of Polymer Chain Length on the Physical Stability of Amorphous Drug
Polymer Blends at Ambient Pressure.
AB - Rational selection of polymers for amorphous drug stabilization is necessary for
further successful development of solid dispersion technology. In this paper, we
investigate the effect of polymer chain length on the inhibition of amorphous
drug recrystallization. To consider this problem, we prepared a drug-polymer
blend (in 10:1 drug to polymer ratio) containing bicalutamide (BIC) and
polyvinylpyrrolidone (PVP) with different chain lengths K10, K30, and K90. We
applied broadband dielectric spectroscopy to compare the molecular dynamics of
investigated samples and thoroughly recognize their crystallization tendencies
from supercooled liquid state. Despite the lack of differences in molecular
dynamics, we noticed significant changes in their crystallization rates. To
rationalize such behavior, we performed positron annihilation lifetime
spectroscopy measurements. The results showed that the value of free volume was
the highest for blend with PVP K90, which at the same time was characterized by
the greatest tendency to crystallize. We postulate that the polymer chain,
depending on its length, can have different configurations in the space, leading
to better or worse sample stabilization. Our results highlight how important is
detailed understanding of physical properties of polymers for judicious selection
of the best stabilization approach.
PMID- 29791167
TI - Vibration-Assisted and Vibration-Hampered Excitonic Quantum Transport.
AB - The interplay between excitons and vibrations is considered to be a key factor in
determining the exciton-transfer properties in light-harvesting complexes. Here
we study this interplay theoretically in a model for exciton transport, composed
of two chromophores coupled to an exciton source and sink in the presence of
vibrations. We consider two cases that show qualitatively distinct transport
features. In the first, the vibrations are global and affect the two chromophores
simultaneously. In the second case, the vibrations are localized on each
chromophore. For global vibrations, the current exhibits antiresonances as a
function of the chromophore energy difference, which are due to exciton-polaron
interference. For local vibrations, on the contrary, the currents show tunneling
resonances at multiples of the vibration energy. Counterintuitively, both effects
increase with increasing temperature. Our results demonstrate that an environment
can either assist or hamper exciton transport and is in accord with the current
understanding of energy transfer in natural exciton-transfer complexes.
PMID- 29791166
TI - Intervalley Scattering of Interlayer Excitons in a MoS2/MoSe2/MoS2
Heterostructure in High Magnetic Field.
AB - Degenerate extrema in the energy dispersion of charge carriers in solids, also
referred to as valleys, can be regarded as a binary quantum degree of freedom,
which can potentially be used to implement valleytronic concepts in van der Waals
heterostructures based on transition metal dichalcogenides. Using magneto
photoluminescence spectroscopy, we achieve a deeper insight into the valley
polarization and depolarization mechanisms of interlayer excitons formed across a
MoS2/MoSe2/MoS2 heterostructure. We account for the nontrivial behavior of the
valley polarization as a function of the magnetic field by considering the
interplay between exchange interaction and phonon-mediated intervalley scattering
in a system consisting of Zeeman-split energy levels. Our results represent a
crucial step toward the understanding of the properties of interlayer excitons
with strong implications for the implementation of atomically thin valleytronic
devices.
PMID- 29791168
TI - Impact of surgery on quality of life in Crohn's disease patients: final results
of Czech cohort.
AB - INTRODUCTION: Crohns disease (CD) belongs to chronic diseases that highly affect
the patient's quality of life (QoL). The effect of the disease and impairment of
QoL in CD patients is already known. The aim was to assess how surgical treatment
influences the patients QoL and determine factors that can affect postoperative
QoL. METHODS AND PATIENTS: We compared the QoL before and after surgery in
patients who had undergone a bowel resection at our department due to CD between
2010-2016. The patients filled in a standardized QLQ-CR29 questionnaire to assess
QoL in the preoperative period and the postoperative period after a 2-month
interval. The control groups were CD patients who had not undergone surgical
treatment (bowel resection) and a healthy cohort. In the QoL evaluation, 132
patients with CD who had undergone surgery (bowel resection), 83 patients with CD
without an operation and 104 healthy subjects were enrolled. RESULTS: 104 of the
operated patients experienced a postoperative improvement of the overall QoL
(78.8 %), 2 patients did not register any changes in QoL (1.5 %) and 26 patients
(19.7 %) experienced a worsening of their postoperative QoL. The results were
statistically significant (p < 0.001). CONCLUSION: We detected a significant
improvement of the overall QoL after surgical resection in CD patients (measured
2 months after surgery). Gender was identified as the only statistically relevant
factor with influence on postoperative QoL.Key words: bowel resection - Crohn's
disease - Czech cohort - inflammatory bowel disease - quality of life - surgical
treatment.
PMID- 29791169
TI - [Epicardial fat and osteoprotegerin - does a mutual relation exist? Pilot study].
AB - INTRODUCTION: Epicardial fat (EPI) plays important role in development of
metabolic and cardiovascular diseases. According to population studies EPI
represents independent risk factor of cardiovascular diseases (CVD) and also for
neoplasms. Osteoprotegerin (OPG) is a glycoprotein which have role in regulation
of immune and cardiovascular systems. High serum levels of OPG are connected with
high cardiovascular risk. The aim of our study was to evaluate possible
correlation between EPI and OPG level in asymptomatic relatives of patients with
CVD. MATERIAL AND METHODS: 53 asymptomatic relatives (37 male) (median age 53
years) of patients with CVD (ischemic heart disease, cerebrovascular disease)
were included. Physical examination and biochemistry analysis were performed. GE
Vivid 7 (GE Medical) was used for echocardiography. EPI was measured according to
guidelines using parasternal long axis in diastole as a space in front of right
ventricle. RESULTS: EPI was present in 46 subjects (86.8 %) with mean value of
2.91 mm. In 10 subjects was the amount of EPI > 5 mm. Spearmann correlation
analysis found statistically significant correlation between EPI and OPG (r =
0.271; p = 0.05) and age (r = 0.500; p < 0.0001). We have not found correlation
between EPI, glycaemia and level of insulin, glycated Hb, total, LDL, HDL
cholesterol and triglycerides. CONCLUSION: We have found positive correlation
between EPI and OPG. More studies are needed to confirm applicability of this
correlation in risk stratification.Key words: cardiovascular risk - epicardial
fat - osteoprotegerin.
PMID- 29791170
TI - Effectiveness and safety of lixisenatide for treatment of diabetes in the real
world: data from the Monitoring Registry in a Real-Life Cohort in the Czech and
Slovak Republic.
AB - INTRODUCTION: GLP1 receptor agonist lixisenatide has demonstrated its efficacy in
numerous clinical trials, nevertheless its real-life effectiveness data is
limited. AIM: To describe effectiveness and safety of lixisenatide in routine
clinical practice in the Czech Republic and the Slovak Republic, as recorded by
the Registry-Based Observational Study. METHODS: Multinational, multicenter,
observational, non-interventional, 6-month prospective product registry of
patients with type 2 diabetes mellitus aged > 18 years who were initiating
therapy with lixisenatide. Patients were enrolled into this registry, provided
written informed consent, between 1 May 2013 and 31 December 2015. Evaluations
were performed at baseline and after 3 and 6 months of lixisenatide treatment.
The primary objective of the study was the absolute change in glycated hemoglobin
(HbA1c) from baseline to month 6 after lixisenatide initiation. The study was
approved by responsible ethics committees and performed in accordance with the
Helsinki Declaration. Informed consent was obtained from all patients before
enrolment in the study. RESULTS: Overall 772 eligible patients (51.4 % males),
mean age 56.7 (+/- 9.3) years, with mean diabetes duration 7.7 (+/- 5.5) years,
mean duration of treatment with oral antidiabetic drugs 6.8 (+/- 4.9) years, and
body mass index 37.6 (+/- 5.9) kg/m2 were enrolled in the study. Overall, 93.6 %
were obese, 86.3 % subject were treated for hypertension, and 76.0 % for
dyslipidemia. In total 96.1 % of patients completed the 6 months therapy.
Lixisenatide significantly reduced HbA1c (decrease by 9.7 +/- 14.4 mmol/mol [3.1
+/- 0.2 % DCCT] after 6 months in per protocol population), and body weight
(decrease by 3.5 +/- 5.4 kg). The best responders to the treatment were younger
patients with higher BMI, who had a shorter duration of diabetes. Overall safety
profile of lixisenatide was satisfactory in the study. The most frequent adverse
events were functional disorders affecting the gastrointestinal system. There was
no episode of severe hypoglycemia reported throughout the study. CONCLUSION: In a
real-life practice cohort of patients with type 2 diabetes mellitus 6 months
treatment with once-daily GLP1 receptor agonist lixisenatide significantly
improved glucose control and decreased body weight without increasing the risk of
symptomatic and/or severe hypoglycemia risk. FUNDING: Sanofi Czech Republic.Key
words: GLP1 receptor agonist - glycated hemoglobin - HbA1c - lixisenatide - oral
antidiabetic drugs (OAD) - observational study - hypoglycemia - type 2 diabetes
mellitus.
PMID- 29791171
TI - [Diagnosis of MODY - brief overview for clinical practice].
AB - Maturity Onset Diabetes of the Young (MODY) comprises inherited forms of diabetes
mellitus caused by the mutations in the genes involved in the development,
differentiation and function of beta-cells. The majority of patients with MODY
remains misdiagnosed and erroneously classified as type 1 or type 2 diabetic
patients. Correct MODY diagnosis is, however, essential since it enables
individualization of treatment, assessment of the prognosis and identification of
diabetes among patient's relatives. Clinical presentation of MODY is highly
variable and it could resemble other types of diabetes, thus identification of
MODY patients might be difficult. In this review, we describe typical clinical
presentation of the most common MODY subtypes, we summarize current diagnostic
guidelines in confirmation of MODY and we raise the question of possible need for
extension of current clinical criteria indicating a patient for molecular-genetic
testing.Key words: clinical course - diagnosis - differential diagnosis -
glucokinase - hepatocyte nuclear factors - MODY.
PMID- 29791172
TI - [Current treatment options in Maturity-Onset Diabetes of the Young].
AB - The discovery of MODY (Maturity-Onset Diabetes of the Young) and the elucidation
of its heritability enabled more precise clinical characteristics of different
MODY subtypes and led to understanding that glucokinase MODY (GCK-MODY) is not
associated with vascular complications in long term follow-up, whereas MODY of
transcription factors (e.g. HNF1A-MODY) is in case of bad metabolic control
connected with the acceleration of particularly microvascular complications.
There is a strong evidence of the needlessness of any specific antidiabetic
treatment in prognosticaly favourable GCK-MODY (except for the pregnancy). On the
contrary, in MODY of transcription factors, including the most common one - HNF1A
MODY, the treatment, traditionally based on sulphonylurea derivatives (and after
their failure on insulin) is required. Due to wider spectrum of available
antidiabetic agents offering individualization of the treatment, the question of
efficacy of other antidiabetic agents in MODY patients arises. This review
article summarizes current knowledge of therapeutic options in patients with
MODY.Key words: insulin - MODY - oral hypoglycemic drugs - treatment.
PMID- 29791173
TI - [Rotational thromboelastometry in therapy of life threatening bleeding].
AB - Bleeding and coagulopathy is a common problem in the fields of internal medicine.
In case of life-threatening bleeding, rotational thromboelastometry (ROTEM) has
critical role in diagnosis and therapy of coagulopathy. In contrast to commonly
used tests such as prothrombin time and activated partial thromboplastin time,
ROTEM evaluates coagulation of the whole blood as a bedside method. The use of
ROTEM for rapid diagnosis and targeted therapy of coagulopathy leads to reduction
in bleeding and thus to reduction in administration of blood transfusion
products. ROTEM is a viscoelastic method incorporated in current European and
Czech-Slovak guidelines for managing life-threatening bleeding. In this article,
we provide the reader with information on the method and also a simple scheme for
the treatment of coagulopathy guided by ROTEM.Key words: bleeding - coagulopathy
thromboelastometry.
PMID- 29791174
TI - [Drug and herbal hepatotoxicity: an overview of clinical classifications].
AB - Drug induced liver injury (DILI) is often underdiagnosed disease with increasing
incidence. In developed countries it belongs to the leading causes of acute liver
failure. Risk groups are women and persons older than 60 years. The work
summarizes the up to date information on diagnosis and mostly used
classifications on DILI. It is quite often and serious complication of medicament
therapy. DILI belongs to the most often cause of acute hepatic failure in the old
age in developed countries. Diagnostic procedure includes medical history (time
correlation with drug intake), clinical symptoms and blood tests. Laboratory
tests may be helpful to specify immunopathogenetic origin, but negative results
does not exclude diagnosis. The exclusion of other possible etiological factors
is the crucial condition of diagnosis statement. Key words: DILI/drug induced
liver toxicity - DILIn network - RUCAM classification.
PMID- 29791175
TI - [Dyslipidemia and hypertension - what to worry about more?]
AB - Dyslipidemia is present in every other patient with arterial hypertension. With
increasing blood pressure and cholesterol levels, the risk of cardiovascular
events increases proportionally. Treatment of dyslipidemia appears to lower
cardiovascular mortality to a greater extent than treatment of hypertension. A
significant proportion of patients with dyslipidemia indicated for drug therapy
are not treated at all or treated insufficiently and do not reach the target
values. Concurrent treatment of hypertension and dyslipidemia reduces the
incidence of cardiovascular events significantly more than treating only one of
these diseases. An even better efficacy of combined treatment of hypertension and
dyslipidemia can be achieved by improving patient adherence using fixed drug
combinations.Key words: arterial hypertension - cardiovascular events -
dyslipidemia - fixed combinations -treatment.
PMID- 29791176
TI - [A consensual therapeutic recommendation for type 2 diabetes mellitus by the
Slovak Diabetes Society (2018)].
AB - Type 2 diabetes mellitus is a heterogeneous medical condition involving multiple
pathophysiological mechanisms. Its successful treatment requires an
individualized approach and frequently combined therapy with utilizing its effect
on multiple levels. Current possibilities enable the employment of such
procedures to an incomparably greater extent than before. The effects of
different classes of oral antidiabetic drugs on the reduction of glycemia and
HbA1c is mutually comparable. However differences are observed in the proportions
of patients who met the required criteria, regarding the increase in weight,
incidence of hypoglycemia as well as the effect on cardiovascular, renal or
oncologic morbidity and mortality, and severity of specific adverse effects,
potential risks and contraindications. The presented text provides the reader
with the information about the Consensual therapeutic algorithm for the treatment
of type 2 diabetes mellitus in compliance with SPC, the ADA/EASD amended
indicative limitations and recommendations, formulated by the Committee of the
Slovak Diabetes Society.Key words: biguanides - gliflozins - gliptins -
glitazones - GLP-1-receptor agonists - insulin - sulfonylurea.
PMID- 29791177
TI - [Acquired hemophilia A: case report].
AB - Case report of a 74-year-old male with acquired hemophilia A, whose etiology was
not found, confirmed by hemocoagulation examinations, with clinical manifestation
of protracted macroscopic hematuria, spontaneous skin and intramuscular
bleedings. Treatment comprised conservative therapy administration of
hemostyptics, red blood cells transfusions application of recombinant human
factor VII (rFVII) and immunosuppression. Finally, patient died due to nosocomial
infection caused by multidrug-resistant pulmonary pathogen.Key words: acquired
hemophilia A - bleeding.
PMID- 29791178
TI - [Rare combination of Turner syndrome and congenital adrenal hyperplasia with 21
hydroxylase deficiency: case report].
AB - Combination of Turner syndrome (TS) and classic congenital adrenal hyperplasia
(CAH) is rare. Globally, the incidence of CAH, autosomal recessive disorder
caused by enzyme defect of steroidogenic pathway, is very low (1 : 10 000-16
000). 90 % of CAH cases are caused by 21-hydroxylase gene mutation (CYP21A2).
Globally, the incidencie of Turner syndrome reaches 1 : 2 500. Phenotypically,
females with TS may render wide spectrum of clinical features. Dominant symptoms
are lowered terminal height and gonadal dysgenesia, ultimately leading to absence
of puberty and infertility. Virilisation may be evident among TS women with
chromosome Y 45, X/46, XY. We present a 57 year old woman suffering from both TS
45, X/46, XX and 21-hydroxylase deficiency. Based on the intersex, she was
misdiagnosed as a male after the birth. Dominant signs were intrauterine growth
retardation and Prader 5 virilisation of the external genitalia. Testes were not
palpable. Laparoscopy at the age of 6 showed uterus and ovaries. After this
examination, clitoroplasty and vaginoplasty was performed. Karyotyping revealed a
45, X/46, XX pattern. The presence of virilising features at the time of puberty
however could not be explained with the diagnosis of Turner syndrome. Laboratory
tests revealed elevated level of 17-hydroxyprogesterone, dehydroepiandrosterone
with low cortisol concentration and elevated ACTH. With the genomic analysis
CYP21A2 gene, namely IN2G (IVS 2-13 A/C>G), large deletion/conversion was
detected. Glucocorticoid treatment was initiated. Due to increased plasma renin
concentration, fludrocortisone therapy was also initiated. Within this therapy,
patient's state improved significantly.Key words: congenital adrenal hyperplasia
CYP21A2 - Turner syndrome - 21-hydroxylase deficiency.
PMID- 29791179
TI - [Virilization as demonstration of hypertestosteronism by ovarian tumor: case
report].
AB - Hypertestosteronism as part of hyperandrogenic states in women is generally
defined as abundance of male hormones (in this case abundance of testosterone).
Spectrum of clinical symptoms include menstrual disorders, amenorrhoea, different
range of hirsutism and virilization. Statistically, most androgen secreting
tumors are ovarian aetiology (testosterone secreting tumors located in suprarenal
gland are very rare). This rare tumor may produce excess amounts of testosterone,
as well as its precursor androstenedione. The highest incidence is between 20-40
years and in postmenopausal period. The treatment is essentially surgical; with
gradual adjustment of the hormones.Key words: androgen secreting ovarian tumors -
hyperandrogenic states - testosterone - virilisation.
PMID- 29791180
TI - [Heart transplantation and follow-up treatment with AL-amyloidosis in 5
patients].
AB - The prognosis for patients with cardiac impairment due to AL-amyloid deposition
and severe cardiac insufficiency is poor, with a survival median in the order of
months. The classical treatment of AL-amyloidosis in combination with cardiac
insufficiency is very poorly tolerated and the treatment of such patients is
associated with considerably higher mortality than among other patients with AL
amyloidosis. If, however, patients with an isolated or another dominating cardiac
impairment, without severe damage to other organs and tissues, have a heart
transplant performed, their cardiovascular condition will significantly improve
as a result, along with their ability to tolerate any kind of treatment for AL
amyloidosis including that using high-dose chemotherapy with a transplant of
autologous hematopoietic stem cells. The achievement of complete remission of AL
amyloidosis is a precondition for long-term survival, since when not achieved,
amyloid deposition also arises in the transplanted heart. At the Centre for
Cardiovascular and Transplantation Surgery, Brno, the first heart transplant due
to its impairment by AL-amyloidosis was performed in 2010. By the year 2017 the
number of patients with AL-amyloidosis, who had first undergone a heart
transplant with subsequent treatment for AL-amyloidosis, increased to 5. The
median age at which a heart transplant was performed is 60 (48-65) years. Four
patients were men, one was a woman. The median monitoring equals 65 (88-15)
months. Complete remission of AL-amyloidosis was achieved in all the patients.
There were 5 lines of treatment needed for the first patient to attain it, of
that twice high-dose melphalan with autologous stem cell transplantation, for the
second patient a second-line treatment, high-dose melphalan and bortezomib-based
therapy. No specific therapy was needed for the third patient, as
immunosuppressive therapy following the heart transplant containing prednison led
to complete remission of AL-amyloidosis. In the fourth case, sustainable complete
remission was reached by high-dose melphalan and in the fifth case by one line of
bortezomib-based therapy. The aforementioned data illustrate that a heart
transplant is the first step which makes the patients with a severe heart
failure, not tolerating any efficient therapy of AL-amyloidosis, capable of
undergoing intense treatment of AL-amyloidosis. Sometimes one high-dose
chemotherapy is sufficient, while at other times multiple treatment lines are
needed to reach complete remission of AL-amyloidosis.Key words: AL-amyloidosis -
autologous hematopoietic stem cells transplantation - bortezomib - cardiomyopathy
- lenalidomide - thalidomide - heart transplantation.
PMID- 29791181
TI - [Cyclic Cushings syndrome: a case study and overview].
AB - Cushings syndrome and especially Cushing's disease represent diagnostically and
therapeutically complicated medical situations. In some patients, cyclic changes
in cortisol production additionally hamper the diagnosis in terms of source
identification and management of hormone overproduction. It may not be clear,
whether the patient is cured or not even years after the treatment. It is a rare
disorder variant, but we assume that it is underdiagnosed and it's incidence is
actually higher. The article deals with a complicated course of diagnosis and
treatment in a patient with cyclic Cushings syndrome.Key words: Cushing's disease
- cyclic Cushing's syndrome - hypercortisolism.
PMID- 29791182
TI - Season-Ending Shoulder Injuries in the National Collegiate Athletic Association:
Data From the NCAA Injury Surveillance Program, 2009-2010 Through 2013-2014.
AB - BACKGROUND: Examination of the incidence of shoulder season-ending injury (SEI)
in the collegiate athlete population is limited. PURPOSE: To determine the
incidence of shoulder SEI in the National Collegiate Athletic Association (NCAA)
and to investigate the risk factors for a shoulder injury ending an athlete's
season. STUDY DESIGN: Descriptive epidemiology study. METHODS: All shoulder
injuries from the NCAA Injury Surveillance Program database for the years 2009
2010 to 2013-2014 were extracted, and SEI status was noted. The incidences of SEI
and non-SEI were calculated for athlete, activity, and injury characteristics and
compared via univariable analysis and risk ratios to determine risk factors for
an injury being season ending. RESULTS: Shoulder injuries were season ending in
4.3% of cases. The overall incidence of shoulder SEI was 0.31 per 10,000 athlete
exposures (AEs), as opposed to 7.25 per 10,000 AEs for all shoulder injuries.
Shoulder instability constituted 49.1% of SEI, with an incidence of 0.15 per
10,000 AEs, while fractures had the highest rate of being season ending (41.9%).
Men's wrestling had the highest incidence of shoulder SEI (1.65 per 10,000 AEs),
while men's soccer had the highest proportion of shoulder injuries that ended a
season (14.6%). Overall, men had a 6.3-fold higher incidence of SEI than women
and a 2.4-fold increased likelihood that an injury would be season ending.
CONCLUSION: Injury to the shoulder of an NCAA athlete, while somewhat infrequent,
can have significant implications on time lost from play. Incidence of these
injuries varies widely by sport and injury, with a number of associated risk
factors. Athletes sustaining potentially season-ending shoulder injuries, with
their coaches and medical providers, may benefit from these data to best manage
expectations and outcomes.
PMID- 29791183
TI - A 2-Year Prospective Cohort Study of Overuse Running Injuries: The Runners and
Injury Longitudinal Study (TRAILS).
AB - BACKGROUND: The National Center for Injury Prevention and Control, noting flaws
in previous running injury research, called for more rigorous prospective designs
and comprehensive analyses to define the origin of running injuries. PURPOSE: To
determine the risk factors that differentiate recreational runners who remain
uninjured from those diagnosed with an overuse running injury during a 2-year
observational period. STUDY DESIGN: Cohort study; Level of evidence, 2. METHODS:
Inclusion criteria were running a minimum of 5 miles per week and being injury
free for at least the past 6 months. Data were collected at baseline on training,
medical and injury histories, demographics, anthropometrics, strength, gait
biomechanics, and psychosocial variables. Injuries occurring over the 2-year
observation period were diagnosed by an orthopaedic surgeon on the basis of
predetermined definitions. RESULTS: Of the 300 runners who entered the study, 199
(66%) sustained at least 1 injury, including 73% of women and 62% of men. Of the
injured runners, 111 (56%) sustained injuries more than once. In bivariate
analyses, significant ( P <= .05) factors at baseline that predicted injury were
as follows: Short Form Health Survey-12 mental component score (lower mental
health-related quality of life), Positive and Negative Affect Scale negative
affect score (more negative emotions), sex (higher percentage of women were
injured), and knee stiffness (greater stiffness was associated with injury);
subsequently, knee stiffness was the lone significant predictor of injury (odds
ratio = 1.18) in a multivariable analysis. Flexibility, quadriceps angle, arch
height, rearfoot motion, strength, footwear, and previous injury were not
significant risk factors for injury. CONCLUSION: The results of this study
indicate the following: (1) among recreational runners, women sustain injuries at
a higher rate than men; (2) greater knee stiffness, more common in runners with
higher body weights (>=80 kg), significantly increases the odds of sustaining an
overuse running injury; and (3) contrary to several long-held beliefs,
flexibility, arch height, quadriceps angle, rearfoot motion, lower extremity
strength, weekly mileage, footwear, and previous injury are not significant
etiologic factors across all overuse running injuries.
PMID- 29791185
TI - Safety of Ibuprofen for Postoperative Pain After Palatoplasty: A Pilot Study.
AB - OBJECTIVE: To determine the safety of ibuprofen used for postoperative pain
control following palatoplasty in pediatric patients. DESIGN: Retrospective chart
review. SETTING: Tertiary care, pediatric hospital. PARTICIPANTS: Patients who
received ibuprofen for postoperative pain control after palatoplasty. MAIN
OUTCOME MEASURES: Number of doses of ibuprofen given during hospitalization and
the presence of postoperative primary or secondary bleeding following
palatoplasty. Detection of postoperative hemorrhage was obtained from (1) chart
review from inpatient hospitalization after palatoplasty, (2) chart review of
each patient's 3-week postoperative clinic visit, and (3) phone call to
caretakers from primary author. RESULTS: Thirty-two patients underwent
palatoplasty who received ibuprofen for control of postoperative pain. Mean
number of inpatient doses given was 4.8 (range: 1-17). None (0%) experienced
hemorrhage in the hospital before discharge. Thirty-two (100%) patients were seen
at a 3-week follow-up and no (0%) episodes of postoperative hemorrhage were
noted. Seventeen (53%) caretakers of patients responded to contact by phone and
confirmed no subsequent bleeding. CONCLUSIONS: Ibuprofen may not increase
postoperative hemorrhage after palatoplasty. Further studies will be needed to
evaluate safety on a larger scale.
PMID- 29791186
TI - Markedly Elevated Intracranial Pressure Treated With Cranial Vault Expansion,
Instead of CSF Shunting, in a Child With Hurler-Scheie Syndrome and Multiple
Suture Craniosynostosis.
AB - Despite a known association of mucopolysaccharidoses (MPS) and craniosynostosis,
treatment of elevated intracranial pressure (ICP) in these patients is primarily
cerebrospinal fluid (CSF) shunting. We present a unique case of Hurler-Scheie
syndrome with multisuture craniosynostosis and elevated ICP, without
ventriculomegaly, where elevated ICP was successfully treated with extensive
cranial vault expansion and shunt placement was avoided. Patients with MPS should
be evaluated for craniosynostosis, and calvarial vault expansion may be
considered as a viable treatment alternative to CSF shunting for elevated ICP in
select patients.
PMID- 29791187
TI - A Single Lab Test to Aid Pierre Robin Sequence Severity Diagnosis.
AB - OBJECTIVE: The workup of patients with Pierre Robin sequence (PRS) consists of a
physical examination, O2 saturation, and polysomnography to determine the
severity of respiratory obstruction and need for surgery. We suggest that
capillary blood gas (CBG) may be a better physiologic representation of airway
obstruction and should be routinely used in the management of patients with PRS.
DESIGN: This is a multicenter study based on a retrospective review of medical
records. SETTING: The study was performed at tertiary care centers.
INTERVENTIONS: Patients with PRS <1 year old underwent mandibular distraction
osteogenesis. MAIN OUTCOME MEASURE: Using successful treatment outcome as a
reference standard, receiver operating characteristic (ROC) curve was used to
determine the accuracy of the diagnostic test and values for the best sensitivity
and specificity to determine the need for surgical intervention. RESULTS: Of 73
patients, 48 had sporadic PRS, 23 had syndromes, 2 had micrognathia, not
otherwise specified. Mandibular distraction osteogenesis was performed in 62
patients at a mean age of 39 days. The mean initial Apnea-Hypopnea Index (AHI) in
nonsurgical versus surgical groups was 10 versus 31 ( P = .063), pH 7.41 versus
7.34 ( P = .003), pCO2 43 versus 56 ( P < .001), and HCO3 27 versus 30 ( P =
.022). The ROC curve showed that pCO2 of 49.5 has the best specificity (100%) and
sensitivity (72.6%) profile in terms of need for definitive airway. CONCLUSION: A
simple CBG heel stick may better predict the physiologic effects of obstructive
apnea; therefore, it should be added to the algorithm of PRS workup.
PMID- 29791188
TI - The Effects of Cognitive and Visual Workload on Peripheral Detection in the
Detection Response Task.
AB - OBJECTIVE: The independent effects of cognitive and visual load on visual
Detection Response Task (vDRT) reaction times were studied in a driving simulator
by performing a backwards counting task and a simple driving task that required
continuous focused visual attention to the forward view of the road. The study
aimed to unravel the attentional processes underlying the Detection Response Task
effects. BACKGROUND: The claim of previous studies that performance degradation
on the vDRT is due to a general interference instead of visual tunneling was
challenged in this experiment. METHOD: vDRT stimulus eccentricity and stimulus
conspicuity were applied as within-subject factors. RESULTS: Increased cognitive
load and visual load both resulted in increased response times (RTs) on the vDRT.
Cognitive load increased RT but revealed no task by stimulus eccentricity
interaction. However, effects of visual load on RT showed a strong task by
stimulus eccentricity interaction under conditions of low stimulus conspicuity.
Also, more experienced drivers performed better on the vDRT while driving.
CONCLUSION: This was seen as evidence for a differential effect of cognitive and
visual workload. The results supported the tunnel vision model for visual
workload, where the sensitivity of the peripheral visual field reduced as a
function of visual load. However, the results supported the general interference
model for cognitive workload. APPLICATION: This has implications for the
diagnosticity of the vDRT: The pattern of results differentiated between visual
task load and cognitive task load. It also has implications for theory
development and workload measurement for different types of tasks.
PMID- 29791184
TI - A Narrative Review of Medical and Genetic Risk Factors among Children Age 5 and
Younger with Severe Obesity.
AB - Severe obesity defined as an age- and gender-specific body mass index >=120% of
the 95th percentile in children younger than 5 years is well recognized as a
significant challenge for prevention and treatment. This article provides an
overview of the prevalence, classification of obesity severity, patterns of
weight gain trajectory, medical and genetic risk factors, and comorbid disorders
among young children with an emphasis on severe obesity. Studies suggest rapid
weight gain trajectory in infancy, maternal smoking, maternal gestational
diabetes, and genetic conditions are associated with an increased risk for severe
obesity in early childhood. Among populations of young children with severe
obesity seeking care, co-morbid conditions such as dyslipidemia and fatty liver
disease are present and families report behavioral concerns and developmental
delays. Children with severe obesity by age 5 represent a vulnerable population
of children at high medical risk and need to be identified early and
appropriately managed.
PMID- 29791189
TI - Late-onset stress symptomatology (LOSS) scale - short form: development and
validation.
AB - OBJECTIVES: Late-onset stress symptomatology (LOSS) is a phenomenon observed in
older combat veterans who experience increased combat-related thoughts, feelings,
and reminiscences corresponding with the changes and challenges of aging.
Previously, we developed the LOSS Scale to assess LOSS. This paper describes the
development and validation of a LOSS Scale short form (LOSS-SF) to screen
veterans in various settings who may be actively re-examining their past wartime
experiences. METHOD: Three studies examined the reliability and validity of the
LOSS-SF in separate samples of male combat veterans age 55 and older (total N =
346). Veterans were administered measures via telephone and mail survey.
Correlation and regression analyses examined the reliability and validity of the
LOSS-SF. RESULTS: The LOSS-SF exhibited strong internal consistency (alpha =
.93), test-retest reliability (2 week interval on average; r = .88), and good
concurrent validity with the LOSS Scale (r = .81). Convergent and divergent
validity were supported by the pattern of correlations between the LOSS-SF and
other construct measures. CONCLUSION: The LOSS-SF is a reliable and valid measure
to quickly assess thoughts, feelings, and reminiscences about past combat
experiences in older veterans and identify those veterans in distress who may
benefit from psychological interventions..
PMID- 29791190
TI - The Comprehensive Primary Care Initiative: Effects On Spending, Quality,
Patients, And Physicians.
AB - The Comprehensive Primary Care Initiative (CPC), a health care delivery model
developed by the Centers for Medicare and Medicaid Services (CMS), tested whether
multipayer support of 502 primary care practices across the country would improve
primary care delivery, improve care quality, or reduce spending. We evaluated the
initiative's effects on care delivery and outcomes for fee-for-service Medicare
beneficiaries attributed to initiative practices, relative to those attributed to
matched comparison practices. CPC practices reported improvements in primary care
delivery, including care management for high-risk patients, enhanced access, and
improved coordination of care transitions. The initiative slowed growth in
emergency department visits by 2 percent in CPC practices, relative to comparison
practices. However, it did not reduce Medicare spending enough to cover care
management fees or appreciably improve physician or beneficiary experience or
practice performance on a limited set of Medicare claims-based quality measures.
As CMS and other payers increasingly use alternative payment models that reward
quality and value, CPC provides important lessons about supporting practices in
transforming care.
PMID- 29791191
TI - Effects of Bone Incorporation After Arthroscopic Stabilization Surgery for Bony
Bankart Lesion Based on Preoperative Glenoid Defect Size.
AB - BACKGROUND: Recurrent shoulder instability occurs more frequently after soft
tissue surgery when the glenoid defect is greater than 20%. However, for lesions
less than 20%, no scientific guidance is available regarding what size of bone
fragments may affect shoulder functional restoration after bone incorporation.
Purpose/Hypothesis: The purpose was to analyze how preoperative glenoid defect
size and bone fragment incorporation alter postoperative clinical outcomes, we
compared the functional outcomes of shoulders with and without bony Bankart
lesion. It was hypothesized that differences in postoperative clinical outcomes
between patients with and without bony fragments would be found only in patients
with a larger glenoid defect. STUDY DESIGN: Cohort study; Level of evidence, 3.
METHODS: A total of 223 patients who underwent arthroscopic stabilization surgery
for recurrent anterior shoulder instability were divided into two groups based on
the presence of anterior glenoid bone fragments. In each group, postoperative
shoulder functional outcomes, sports activity level, and recurrence rates were
evaluated according to preoperative glenoid defect size (small, <10%; medium, 10%
15% and 15%-20%; large, >20%). RESULTS: In patients with small or medium defects,
no significant differences were found in postoperative clinical outcomes and
sports activity levels between the two groups. However, in patients with a large
defect, the patients with bone fragments (mean +/- SD American Shoulder and Elbow
Surgeons [ASES] score, 92.3 +/- 2.7; Rowe score, 90.9 +/- 5.4) showed
significantly superior clinical outcomes compared with patients who did not have
fragments (ASES score, 87.3 +/- 6.2, P = .02; Rowe score, 84.8 +/- 7.3, P = .04).
Among patients without bone fragments, recurrence increased significantly with
increasing preoperative glenoid defect size (recurrence rates: 0% in small
defects, 7.4% in medium defects, 22.2% in large defects), whereas patients with
bone fragments showed no tendency for increasing or decreasing recurrence rates
(0% in small defects, 7.9% in medium defects, 5.9% in large defects). CONCLUSION:
In the treatment of bony Bankart lesion, the effect of bone fragment
incorporation was different according to preoperative glenoid defect size. In
patients with preoperative glenoid defects less than 20% of the glenoid width,
bone fragment incorporation after arthroscopic bony Bankart repair did not alter
clinical outcomes, sports activity levels, or recurrence rates, whereas in
patients with defects greater than 20% of the glenoid width, bone fragment
incorporation improved clinical outcomes and recurrence rates.
PMID- 29791192
TI - Perceived pubertal timing and borderline personality pathology in female
adolescent inpatients.
AB - Borderline personality pathology typically onsets during adolescence. An
important consideration in understanding adolescent psychopathology is pubertal
development. Perceived pubertal timing is one facet of puberty that is especially
relevant to adolescent psychopathology, especially when timing is perceived to be
early. Despite links between early pubertal timing and core features of
borderline personality disorder, and between early pubertal timing and
disruptions in the interpersonal context, perceived pubertal timing has yet to be
studied in relation to adolescent borderline pathology. This preliminary study
aimed to test the association between perceived pubertal timing and borderline
symptoms in adolescent girls, controlling for internalizing and externalizing
pathology. Forty-two female adolescent inpatients (ages 12-15, Mage = 14.02, 81%
White) completed measures of perceived pubertal development and borderline
symptoms and a diagnostic interview. Results indicated that earlier perceived
pubertal timing was uniquely associated with higher borderline symptoms even when
internalizing and externalizing disorders were covaried.
PMID- 29791193
TI - Shame and interpersonal sensitivity: Gender differences and the association
between internalized shame coping strategies and interpersonal sensitivity.
AB - The present study investigated gender differences in interpersonal sensitivity
and internalized shame coping strategies in 252 undergraduate students. To
measure interpersonal sensitivity and shame coping strategies, the self
assessment forms Interpersonal Sensitivity Measure and Compass of Shame Scale
were used. The analyses revealed that compared to men, women display
interpersonal sensitivity to a higher degree, and they use internalized shame
coping strategies to a greater extent. The results also showed that interpersonal
sensitivity is highly correlated with shame coping strategies. However, in
contrast to earlier research, no gender difference was found, and gender did not
significantly mediate the association between interpersonal sensitivity and
internalized shame coping. These results could aid clinicians and researchers in
promoting, designing, delivering, and evaluating treatments for patients with,
for example, depression, anxiety, and interpersonal and/or relational problems.
PMID- 29791194
TI - Word count as an indicator of suicide risk in an inpatient setting.
AB - The current study replicates a previously used methodology with a suicidal
inpatient sample regarding word counts generated by participants writing about
suicidal constructs. Word counts (i.e., the number of written words) on the
Suicide Status Form from initial sessions with suicidal inpatients were compared
to self-rated suicide risk scores as well as to continuous and repeated measures
of hopelessness and suicide ideation assessed over the course of inpatient care.
Results showed that higher word counts were associated with initially higher
suicide ideation scores that steadily declined over the course of treatment.
Lower word counts were associated with lower initial hopelessness scores that
increased during treatment before ultimately decreasing. In addition, word count
was not found to be a significant predictor of self-rated suicide risk. Clinical
implications of these data and future directions are discussed.
PMID- 29791196
TI - Determining Success or Failure After Foot and Ankle Surgery Using Patient
Acceptable Symptom State (PASS) and Patient Reported Outcome Information System
(PROMIS).
AB - BACKGROUND: As the role of generic patient-reported outcomes (PROs) expands,
important questions remain about their interpretation. In particular, how the
Patient Reported Outcome Measurement Instrumentation System (PROMIS) t score
values correlate with the patients' perception of success or failure (S/F) of
their surgery is unknown. The purposes of this study were to characterize the
association of PROMIS t scores, the patients' perception of their symptoms
(patient acceptable symptom state [PASS]), and determination of S/F after
surgery. METHODS: This retrospective cohort study contacted patients after the 4
most common foot and ankle surgeries at a tertiary academic medical center (n =
88). Patient outcome as determined by phone interviews included PASS and
patients' judgment of whether their surgery was a S/F. Assessment also included
PROMIS physical function (PF), pain interference (PI), and depression (D) scales.
The association between S/F and PASS outcomes was evaluated by chi-square
analysis. A 2-way analysis of variance (ANOVA) evaluated the ability of PROMIS to
discriminate PASS and/or S/F outcomes. Receiver operator curve (ROC) analysis was
used to evaluate the ability of pre- (n = 63) and postoperative (n = 88) PROMIS
scores to predict patient outcomes (S/F and PASS). Finally, the proportion of
individuals classified by the identified thresholds were evaluated using chi
square analysis. RESULTS: There was a strong association between PASS and S/F
after surgery (chi-square <0.01). Two-way ANOVA demonstrated that PROMIS t scores
discriminate whether patients experienced positive or negative outcome for PASS (
P < .001) and S/F ( P < .001). The ROC analysis showed significant accuracy (area
under the curve > 0.7) for postoperative but not preoperative PROMIS t scores in
determining patient outcome for both PASS and S/F. The proportion of patients
classified by applying the ROC analysis thresholds using PROMIS varied from 43.0%
to 58.8 % for PASS and S/F. CONCLUSIONS: Patients who found their symptoms and
activity at a satisfactory level (ie, PASS yes) also considered their surgery a
success. However, patients who did not consider their symptoms and activity at a
satisfactory level did not consistently consider their surgery a failure. PROMIS
t scores for physical function and pain demonstrated the ability to discriminate
and accurately predict patient outcome after foot and ankle surgery for 43.0% to
58.8% of participants. These data improve the clinical utility of PROMIS scales
by suggesting thresholds for positive and negative patient outcomes independent
of other factors. LEVEL OF EVIDENCE: II, prospective comparative series.
PMID- 29791195
TI - Psychometric evaluation of the Inventory of Interpersonal Problems 32.
AB - The Inventory of Interpersonal Problems 32 (IIP-32; Horowitz, Aiden, Wiggins, &
Pincus, 2000) is a brief, 32-item, self-report questionnaire used to screen for
interpersonal problems. While studies of the IIP-32's psychometric properties
have been conducted in other nations, and studies have examined the psychometric
properties of the IIP-32's circumplex structure, no studies have examined the
factor-analytic structure in the United States since the original standardization
sample. The aim of this study was to examine the psychometric properties of the
IIP-32 in American college women for the first time and explore its structural
validity as a circumplex measure and its concurrent validity with measures of
attachment. The current study found that internal consistency estimates and
interscale correlations were generally high and confirmed the proposed circumplex
structure. In addition, concurrent validity was evidenced by confirming theorized
relations between attachment and the IIP-32 subscales. However, IIP-32 subscales
were limited with regard to divergent validity.
PMID- 29791197
TI - Gender differences in psychosocial determinants of self-perceived health among
Portuguese older adults in nursing homes.
AB - OBJECTIVES: Self-perceived health declines with age, varies by gender and is a
predictor of mortality, morbidity, physical and psychological functioning.
However, gender differences in health and illness perception are complex and not
yet fully understood. This study aimed to explore gender-related differences in
psychosocial determinants of self-perceived health among older adults living in
nursing homes. METHOD: Nationwide face-to-face survey of the Portuguese
population aged 65 and over. A representative sample of nursing homes residents
was obtained through a multistage cluster random sampling of nursing homes,
stratified by main Portuguese administrative regions (NUTS II). RESULTS: Overall,
1186 nursing homes residents voluntarily enrolled in this study (participation
rate, 93%) and a total of 515 participants (70.1% women) were considered to have
adequate cognitive functioning to answer all questionnaires. A significant
association between self-rated health and gender was found: 90.6% of all women
(95% CI: 85.7-93.9) and 82.3% of all men (95% CI: 72.9-88.9) rated their health
as less than good (p = 0.023). Gender-stratified analyses showed differences in
psychosocial determinants of self-perceived health. While symptoms of depression
and loneliness feelings were the major psychosocial determinants of poor self
perceived health among women, age and subjective financial well-being were the
only determinants among men. CONCLUSION: Factors associated with perceived
health, as representative of healthy ageing, were identified by gender, leading
to future avenues for fruitful investigation. The acknowledgement of
interpersonal and socioeconomic factors that determine the experience of ageing
at a national level is crucial to improve the health of elders.
PMID- 29791198
TI - Updates on ultrasound research in implant dentistry: a systematic review of
potential clinical indications.
AB - OBJECTIVES: Ultrasonography has shown promising diagnostic value in dental
implant imaging research; however, exactly how ultrasound was used and at what
stage of implant therapy it can be applied has not been systematically evaluated.
Therefore, the aim of this review is to investigate potential indications of
ultrasound use in the three implant treatment phases, namely planning,
intraoperative and post-operative phase. METHODS: Eligible manuscripts were
searched in major databases with a combination of keywords related to the use of
ultrasound imaging in implant therapy. An initial search yielded 414 articles,
after further review, 28 articles were finally included for this systematic
review. RESULTS: Ultrasound was found valuable, though at various development
stages, for evaluating (1) soft tissues, (2) hard tissues (3) vital structures
and (4) implant stability. B-mode, the main function to image anatomical
structures of interest, has been evaluated in pre-clinical and clinical studies.
Quantitative ultrasound parameters, e.g. sound speed and amplitude, are being
developed to evaluate implant-bone stability, mainly in simulation and pre
clinical studies. Ultrasound could be potentially useful in all three treatment
phases. In the planning phase, ultrasound could evaluate vital structures, tissue
biotype, ridge width/density, and cortical bone thickness. During surgery, it can
provide feedback by identifying vital structures and bone boundary. At follow-up
visits, it could evaluate marginal bone level and implant stability. CONCLUSIONS:
Understanding the current status of ultrasound imaging research for implant
therapy would be extremely beneficial for accelerating translational research and
its use in dental clinics.
PMID- 29791199
TI - Localization of the inferior alveolar canal using ultralow dose CT with iterative
reconstruction techniques.
AB - OBJECTIVES:: To compare subjective and objective localization of the inferior
alveolar canal (IAC) on multidetector CT (MDCT) images obtained by ultralow doses
in combination with the reconstruction techniques of filtered backprojection
(FBP), adaptive statistical iterative reconstruction (ASIR), or model-based
iterative reconstruction (MBIR) as compared to standard dose MDCT and FBP.
METHODS:: Three cadavers were imaged with a reference standard dose MDCT
examination (volume CT dose index: 29.4 mGy) reconstructed with FBP and 5 low
dose protocols (LD1-5) (volumeCT dose index: 4.19, 2.64, 0.99, 0.53, 0.29 mGy)
reconstructed with FBP, ASIR 50, ASIR 100, and MBIR. Linear measurements from the
crest of the ridge to the roof of the IAC were recorded. The results from the
test protocols were compared with those from the reference using Bland-Altman
plots. RESULTS:: Only three test protocols allowed the identification of the
position of the IAC on all the sample sites: LD1/FBP and LD1/ASIR 100 and
LD2/FBP. All three protocols allowed identification of the IAC with comparable
results to the reference dose protocol; the 95% confidence interval limits for
the measurement differences were +/- 0.41 mm, but the differences were not
statistically significant. The calculated effective dose for the LD2 protocol,
for a scan length of 5 cm, was 27.7 uSv. CONCLUSIONS:: Using FBP, comparable IAC
measurements were achieved with 91% reduction in dose compared with a standard
exposure protocol. The use of ASIR and MBIR did not improve identification of the
IAC in MDCT low dose images.
PMID- 29791201
TI - Performance of an Additional Task During Level 2 Automated Driving: An On-Road
Study Comparing Drivers With and Without Experience With Partial Automation.
AB - OBJECTIVE: To investigate the influence of prior experience with Level 2
automation on additional task performance during manual and Level 2 partially
automated driving. BACKGROUND: Level 2 automation is now on the market, but its
effects on driver behavior remain unclear. Based on previous studies, we could
expect an increase in drivers' engagement in secondary tasks during Level 2
automated driving, but it is yet unknown how drivers will integrate all the
ongoing demands in such situations. METHOD: Twenty-one drivers (12 without, 9
with Level 2 automation experience) drove on a highway manually and with Level 2
automation (exemplified by Volvo Pilot Assist generation 2; PA2) while performing
an additional task. In half of the conditions, the task could be interrupted
(self-paced), and in the other half, it could not (system-paced). Drivers' visual
attention, additional task performance, and other compensatory strategies were
analyzed. RESULTS: Driving with PA2 led to decreased scores in the additional
task and more visual attention to the dashboard. In the self-paced condition, all
drivers looked more to the task and perceived a lower mental demand. The drivers
experienced with PA2 used the system and the task more than the novice group and
performed more overtakings. CONCLUSIONS: The additional task interfered more with
Level 2 automation than with manual driving. The drivers, particularly the
automation novice drivers, used some compensatory strategies. APPLICATIONS:
Automation designers need to consider these potential effects in the development
of future automated systems.
PMID- 29791200
TI - Evaluation of mandibular odontogenic keratocyst and ameloblastoma by panoramic
radiograph and computed tomography.
AB - OBJECTIVES:: The purpose of this study was to describe and compare the main
imagenological features of mandibular ameloblastomas and odontogenic keratocyst
(OKC) using panoramic radiograph (PR) and CT. METHODS:: The sample consisted of
nine cases of ameloblastomas and nine cases of OKC. PR and CT images were
analyzed according to shape, internal structure, borders, associated unerupted
tooth, root resorption, expansion and perforation of cortical bones. RESULTS:: PR
evaluation allowed the identification of the lesion's location, presence of
sclerosis in the periphery, presence of associated non-erupted tooth and
expansion of the mandible's lower border cortical bone. CT was more accurate than
PR in the assessment of the lesion shape, presence of inner bone septa, root
resorption, buccolingual expansion and rupture of cortical bone. Most cases of
ameloblastoma and OKC presented buccolingual expansion and erosion of cortical
bone. Only ameloblastomas showed tooth root resorption. CONCLUSIONS:: Although PR
is very helpful and widely used, CT provides more precise information on
buccolingual expansion, calcification, bone septa, perforation of cortical bones
and tooth resorption, features that are frequently underdescribed in the
literature, particularly in OKC.
PMID- 29791202
TI - Different MR features for differentiation of intrahepatic mass-forming
cholangiocarcinoma from hepatocellular carcinoma according to tumor size.
AB - OBJECTIVE: To identify reliable magnetic resonance (MR) features for
distinguishing mass-forming type of intrahepatic cholangiocarcinoma (IMCC) from
hepatocellular carcinoma (HCC) based on tumor size. METHODS: This retrospective
study included 395 patients with pathologically confirmed IMCCs (n = 180) and
HCCs (n = 215) who underwent pre-operative contrast-enhanced MRI including
diffusion-weighted imaging (DWI). MR features were evaluated and clinical data
were also recorded. All the characteristics were compared in small (<=3 cm) and
large tumor (>3 cm) groups by univariate analysis and subsequently calculated by
multivariable logistic regression analysis. RESULTS: Multivariable analysis
revealed that rim arterial phase hyperenhancement [odds ratios (ORs) = 13.16],
biliary dilation (OR = 23.42) and CA19-9 (OR = 21.45) were significant predictors
of large IMCCs (n = 138), and washout appearance (OR = 0.036), enhancing capsule
appearance (OR = 0.039), fat in mass (OR = 0.057), chronic liver disease (OR =
0.088) and alpha fetoprotein (OR = 0.019) were more frequently found in large
HCCs (n = 143). For small IMCCs (n = 42) and HCCs (n = 72), rim arterial phase
hyperenhancement (OR = 9.68), target appearance at DWI (OR = 12.51), alpha
fetoprotein (OR = 0.12) and sex (OR = 0.20) were independent predictors in
multivariate analysis. CONCLUSION: Valuable MR features and clinical factors
varied for differential diagnosis of IMCCs and HCCs according to tumor size.
Advances in knowledge: MR features for differential diagnosis of large IMCC and
HCC (>3 cm) are in keeping with that recommended by LI-RADS. However, for small
IMCCs and HCCs (<=3 cm), only rim enhancement on arterial phase and target
appearance at DWI are reliable predictors.
PMID- 29791203
TI - Short-term thermoneutral housing alters glucose metabolism and markers of adipose
tissue browning in response to a high-fat diet in lean mice.
AB - Systemic insulin resistance and glucose intolerance occur with as little as 3
days of a high-fat diet (HFD) in mice and humans; the mechanisms that initiate
acute insulin resistance are unknown. Most laboratories house mice at 22 degrees
C, which is below their thermoneutral temperature (~30 degrees C). Cold stress
has been shown to increase white adipose tissue (WAT) browning, alter lipid
trafficking, and impair immune function, whereas energy intake and expenditure
decrease with increasing ambient temperature; importantly, dysregulation of these
parameters has been strongly linked to obesity-induced insulin resistance.
Therefore, we compared acute changes in glucose metabolism and the metabolic
phenotype in lean mice in response to a control diet or HFD housed at standard
vivarium (22 degrees C) and thermoneutral (30 degrees C) temperatures. Glucose
intolerance occurred following 1 or 5 days of HFD and was independent of housing
temperature or adiposity; however, the reduction in tissue-specific glucose
clearance with HFD diverged by temperature with reduced brown adipose tissue
(BAT) glucose uptake at 22 degrees C but reduced soleus glucose uptake at 30
degrees C. Fasting glucose, food intake, and energy expenditure were
significantly lower at 30 degrees C, independent of diet. Additionally, markers
of browning in both BAT and inguinal subcutaneous WAT, but not perigonadal
epididymal WAT, decreased at 30 degrees C. Together, we find housing temperature
has a significant impact on the cellular pathways that regulate glucose tolerance
in response to an acute HFD exposure. Thus, even short-term changes in housing
temperature should be highly considered in interpretation of metabolic studies in
mice.
PMID- 29791204
TI - Role of adenosine signaling in coordinating cardiomyocyte function and coronary
vascular growth in chronic fetal anemia.
AB - Fetal anemia causes rapid and profound changes in cardiac structure and function,
stimulating proliferation of the cardiac myocytes, expansion of the coronary
vascular tree, and impairing early contraction and relaxation. Although hypoxia
inducible factor-1alpha is sure to play a role, adenosine, a metabolic byproduct
that increases coronary flow and growth, is implicated as a major stimulus for
these adaptations. We hypothesized that genes involved in myocardial adenosine
signaling would be upregulated in chronically anemic fetuses and that calcium
handling genes would be downregulated. After sterile surgical instrumentation
under anesthesia, gestationally timed fetal sheep were made anemic by
isovolumetric hemorrhage for 1 wk (16% vs. 35% hematocrit). At 87% of gestation,
necropsy was performed to collect heart tissue for PCR and immunohistochemical
analysis. Anemia increased mRNA expression levels of adenosine receptors ADORA 1,
ADORA2A, and ADORA2B in the left and right ventricles (adenosine receptor ADORA3
was unchanged). In both ventricles, anemia also increased expression of
ectonucleoside triphosphate diphosphohydrolase 1 and ecto-5'-nucleotidase. The
genes for both equilibrative nucleoside transporters 1 and 2 were expressed more
abundantly in the anemic right ventricle but were not different in the left
ventricle. Neither adenosine deaminase nor adenosine kinase cardiac levels were
significantly changed by chronic fetal anemia. Chronic fetal anemia did not
significantly change cardiac mRNA expression levels of the voltage-dependent L
type calcium channel, ryanodine receptor 1, sodium-calcium exchanger,
sarcoplasmic/endoplasmic reticulum calcium transporting ATPase 2, phospholamban,
or cardiac calsequestrin. These data support local metabolic integration of
vascular and myocyte function through adenosine signaling in the anemic fetal
heart.
PMID- 29791205
TI - Physiological hyperinsulinemia caused by acute hyperglycemia minimizes renal
sodium loss by direct action on kidneys.
AB - This study used acute, renal artery insulin infusion in conscious rats to test
the hypothesis that hyperinsulinemia attenuates glucose-induced natriuresis by a
direct renal mechanism. We reported previously that hyperinsulinemia was required
to prevent ad libitum eating or an acute glucose bolus from causing excessive
renal sodium loss. Rats were instrumented with renal artery, aortic, and femoral
vein catheters and Data Sciences International blood pressure telemeters and were
housed in metabolic cages. Insulin was clamped chronically at normal levels in
two groups [vehicle infused (irV) and insulin infused (irI)] by administering
streptozotocin and then infusing insulin intravenously 24 h/day to maintain
normal blood glucose. Bolus glucose administration was used as a meal substitute
to produce hyperglycemia that was not different between groups, and urinary
sodium excretion (UNaV) was measured over the next 4 h. In the irV and control
(C) rats, vehicle was infused in the renal artery during that period, whereas
insulin was infused in the renal artery of the irI rats. Plasma insulin increased
significantly in C rats but not in either of the clamped groups. UNaV in the irV
rats, which could not increase circulating insulin levels, was approximately
threefold greater than in C rats, similar to our previous report. However,
allowing the kidney of irI rats to experience hyperinsulinemia via the renal
artery insulin infusion completely prevented this, with no blood pressure
differences. These data support our hypothesis that meal-induced increases in
plasma insulin are a major component of normal sodium homeostasis, and that this
occurs by direct action of insulin on the kidney.
PMID- 29791206
TI - Effect of CT window settings on size measurements of the solid component in
subsolid nodules: evaluation of prediction efficacy of the degree of pathological
malignancy in lung adenocarcinoma.
AB - OBJECTIVE: To investigate the predictive value of size measurements of the solid
components in pulmonary subsolid nodules with different CT window settings and to
evaluate the degree of pathological malignancy in lung adenocarcinoma. Methods:
The preoperative chest CT images and pathological data of 125 patients were
retrospectively evaluated. The analysis included 127 surgically resected lung
adenocarcinomas that manifested as subsolid nodules. All subsolid nodules were
divided into two groups: 69 in group A, including 22 adenocarcinomas in situ
(AIS) and 47 minimally invasive adenocarcinomas (MIA); 58 in group B that
included invasive pulmonary adenocarcinomas (IPA). The size of the solid
component in the pulmonary subsolid nodules were calculated in one dimensional,
two dimensional and three dimensional views using lung and mediastinal windows
that were recorded as 1D-SCLW, 2D-SCLW, 3D-SCLW, 1D-SCMW, 2D-SCMW and 3D-SCMW,
respectively. Furthermore, the volume of solid component with a threshold of
300HU was measured using lung window (3D-SCT). All the quantitative features were
evaluated by the Mann-Whitney U test. Multivariate analysis was used to identify
the significant predictor of the degree of pathological malignancy. Results: The
1D-SCLW, 2D-SCLW, 3D-SCLW, 1D-SCMW, 2D-SCMW, 3D-SCMW and 3D-SCT views of group B
were significantly larger than those of group A (p < 0.001). The multivariate
logistic regression analysis indicated that 3D-SCT (OR = 1.018, 95%CI: 1.005 ~
1.03, p <0.05=was the independent predictive factor. The larger SCT was
significantly associated with IPAs. Conclusion: 3D-SCT of subsolid nodules
during preoperative CT can be used to predict the degree of pathological
malignancy in lung adenocarcinoma, which may provide a more objective and
convenient selection criterion for clinical application. Advances in knowledge:
Applying threshold of -300 HU with lung window setting would be better than other
window setting for the evaluation of solid component in subsolid nodules.
Computer-aided volumetry of the solid component in subsolid nodules can more
accurately predict the degree of pathological malignancy than the other
dimensional measurements.
PMID- 29791207
TI - Activation of TRPV4 stimulates transepithelial ion flux in a porcine choroid
plexus cell line.
AB - The choroid plexus (CP) epithelium plays a major role in the production of
cerebrospinal fluid (CSF). A polarized cell line, the porcine CP-Riems (PCP-R)
line, which exhibits many of the characteristics of the native epithelium, was
used to study the effect of activation of the transient receptor potential
vanilloid 4 (TRPV4) cation channel found in the PCP-R cells as well as in the
native epithelium. Ussing-style electrophysiological experiments showed that
activation of TRPV4 with a specific agonist, GSK1016790A, resulted in an
immediate increase in both transepithelial ion flux and conductance. These
changes were inhibited by either of two distinct antagonists, HC067047 or RN1734.
The change in conductance was reversible and did not involve disruption of
epithelial junctional complexes. Activation of TRPV4 results in Ca2+ influx,
therefore, we examined whether the electrophysiological changes were the result
of secondary activation of Ca2+-sensitive channels. PCP-R cells contain two Ca2+
activated K+ channels, the small conductance 2 (SK2) and the intermediate
conductance (IK) channels. Based on inhibitor studies, the former is not involved
in the TRPV4-mediated electrophysiological changes whereas one of the three
isoforms of the IK channel (KCNN4c) may play a role in the apical secretion of
K+. Blocking the activity of this IK isoform with TRAM34 inhibited the TRPV4
mediated change in net transepithelial ion flux and the increased conductance.
These studies implicate TRPV4 as a hub protein in the control of CSF production
through stimulation by multiple effectors resulting in transepithelial ion and
subsequent water movement.
PMID- 29791208
TI - Human soluble phospholipase A2 receptor is an inhibitor of the integrin-mediated
cell migratory response to collagen-I.
AB - Murine membrane-bound phospholipase A2 receptor 1 (PLA2R) is shed and released
into plasma in a soluble form that retains all of the extracellular domains.
Relatively little is known about human PLA2R. This study examined whether human
soluble PLA2R has biological functions and whether soluble PLA2R exists in human
plasma. Here, we showed that human recombinant soluble PLA2R (rsPLA2R) bound to
collagen-I and inhibited interaction of collagen-I with the extracellular domain
of integrin beta1 on the cell surface of human embryonic kidney 293 (HEK293)
cells. As a result, rsPLA2R suppressed integrin beta1-mediated migratory
responses of HEK293 cells to collagen-I in Boyden chamber experiments. Inhibition
of phosphorylation of FAK Tyr397 was also observed. Similar results were obtained
with experiments using soluble PLA2R released from HEK293 cells transfected with
a construct encoding human soluble PLA2R. rsPLA2R lacking the fibronectin-like
type II (FNII) domain had no inhibitory effects on cell responses to collagen-I,
suggesting an important role of the FNII domain in the interaction of rsPLA2R
with collagen-I. In addition, rsPLA2R suppressed the migratory response to
collagen-IV and binding of collagen-IV to the cell surface of human podocytes
that endogenously express membrane-bound, full-length PLA2R. Immunoprecipitation
and Western blotting showed the existence of immunoreactive PLA2R in human
plasma. In conclusion, human recombinant soluble PLA2R inhibits integrin beta1
mediated cell responses to collagens. Further studies are warranted to elucidate
whether immunoreactive PLA2R in human plasma has the same properties as rsPLA2R.
PMID- 29791209
TI - Binding of intercellular adhesion molecule 1 to beta2-integrin regulates distinct
cell adhesion processes on hepatic and cerebral endothelium.
AB - Flowing polymorphonuclear neutrophils (PMNs) are forced to recruit toward
inflamed tissue and adhere to vascular endothelial cells, which is primarily
mediated by the binding of beta2-integrins to ICAM-1. This process is distinct
among different organs such as liver and brain; however, the underlying kinetic
and mechanical mechanisms regulating tissue-specific recruitment of PMNs remain
unclear. Here, binding kinetics measurement showed that ICAM-1 on murine hepatic
sinusoidal endothelial cells (LSECs) bound to lymphocyte function-associated
antigen-1 (LFA-1) with higher on- and off-rates but lower effective affinity
compared with macrophage-1 antigen (Mac-1), whereas ICAM-1 on cerebral
endothelial cells (BMECs or bEnd.3 cells) bound to LFA-1 with higher on-rates,
similar off-rates, and higher effective affinity compared with Mac-1.
Physiologically, free crawling tests of PMN onto LSEC, BMEC, or bEnd.3 monolayers
were consistent with those kinetics differences between two beta2-integrins
interacting with hepatic sinusoid or cerebral endothelium. Numerical calculations
and Monte Carlo simulations validated tissue-specific contributions of beta2
integrin-ICAM-1 kinetics to PMN crawling on hepatic sinusoid or cerebral
endothelium. Thus, this work first quantified the biophysical regulation of PMN
adhesion in hepatic sinusoids compared with cerebral endothelium.
PMID- 29791211
TI - 'Knowing where I am': self-monitoring of blood glucose in diabetes.
AB - Although the prevalence of all types of chronic conditions is increasing,
diabetes is one of the few long-term metabolic disorders that individuals can
successfully manage, monitor and control on a day-to-day basis. Self-monitoring
of blood glucose (SMBG) is considered an essential component of diabetes self
care management. When used appropriately, SMBG can help to identify factors
associated with hyper- and hypoglycaemia, facilitate learning, and empower people
with diabetes to make changes to improve their glycaemic control. SMBG can be a
useful tool for healthcare providers, who can teach individuals to monitor
glucose at specific times to assess the effectiveness of medications and guide
medication management. However, there is an ongoing debate regarding whether, as
is the case with type 1 diabetes, all people with type 2 diabetes should also be
given the opportunity to learn about the value of, and skills required to,
monitor blood glucose as appropriate to their specific needs.
PMID- 29791212
TI - Indian novice nurses' perceptions of their role in caring for women who have
experienced intimate partner violence.
AB - BACKGROUND: intimate partner violence (IPV) is a significant health problem and a
gross violation of the human rights of women. Nurses play an important role in
providing support for these women. There is limited research on nurses'
understanding and perceptions of their role in caring for women with IPV issues
in India. AIM: to assess novice nurses' perceptions of self-efficacy, educational
preparedness and their role in this area. METHODS: this was a cross-sectional
descriptive survey carried out among a convenience sample of novice nurses (n=83)
at a tertiary care centre using self-reported questionnaires. RESULTS: a majority
of the subjects were confident and had adequate knowledge in dealing with women
who have experienced IPV. A significantly positive relationship was found between
educational preparedness and self-efficacy and attitudes towards nurses' roles in
caring for these women. CONCLUSION: novice nurses were confident and held
positive attitudes towards women who experienced IPV. Yet their self-efficacy in
caring for these patients could be improved through continuing education and
there is an urgent need to integrate comprehensive training on IPV to improve
clinical competencies, including how to refer women for further support.
PMID- 29791213
TI - Nurses' perspectives on pharmacology: why, what and at which point of the
curricula should education be delivered?
AB - AIMS AND OBJECTIVES: the study aimed to capture the views of nursing students and
qualified nurses about pharmacology education and at which point the subject
should be taught. BACKGROUND: drug errors are common in clinical practice.
However, the presence of pharmacology education in nursing curricula varies, with
few higher education institutes focusing on the topic in pre-registration years.
DESIGN: a cross-sectional survey was carried out with 46 pre-registration, 18
post-qualification and 15 post-qualification nurse prescribing course students.
RESULTS: most agreed that pharmacology education was crucial for practice. Post
qualification participants ranked the topics of ethics and law as applied to
pharmacology higher than pre-registration students. Qualified nurses ranked the
topic of pharmacokinetics statistically higher than pre-registration students.
Equal numbers of qualified participants favoured having pharmacology education as
part of pre- and post-qualification programmes, either as a discrete module or
incorporated in core modules. Pre-registration participants thought pharmacology
education should be integrated throughout the programme. Most participants
thought it should be taught face to face in the classroom. CONCLUSION:
pharmacology education is valued by all nurses. Respondents mostly favoured a
gradual integration of the subject in a programme of study, face to face.
PMID- 29791214
TI - National Diabetes Inpatient Audit: how can inpatient teams make patients feel
safer?
PMID- 29791215
TI - Non-pharmacological approaches to non-cognitive symptoms in dementia.
PMID- 29791216
TI - From staff nurse to nurse consultant: Continuing professional development part 2:
What opportunities do you have?
AB - John Fowler, Educational Consultant, explores continued professional development
for the clinically based nurse, looking at making the most of opportunities.
PMID- 29791217
TI - Prevention of dehydration in hospital patients.
AB - Dehydration is widely linked to increased risk of mortality in patients who are
acutely unwell, and it also increases the risk of further illness. Despite being
recognised nationwide as a cause for concern, 45% of hospital patients will
become dehydrated upon admission, suggesting that more needs to be done to
prevent dehydration. The use of bedside water devices allows patients to drink
freely without assistance. Access to these can reduce a patient's length of stay
in hospital and minimise the risk of developing a urinary tract infection.
However, further research is needed to fully assess the impact of having such
devices at the bedside.
PMID- 29791218
TI - Menace of predatory journals.
PMID- 29791219
TI - Approaches to leadership and managing change in the NHS.
AB - The NHS is continually changing as research evidence leads to new practices and
technology transforms the workplace. Resistance to some changes may occur because
of staff fears about adapting to and coping with new methods. This is where
change models and leadership are important. This article discusses changes taking
place in the NHS, and some theoretical models of change. Leadership styles are
also discussed, including those most useful for the nurse to use when leading a
team and implementing change.
PMID- 29791220
TI - Independent acute hospitals must act to improve patient safety.
AB - John Tingle, Associate Professor (Teaching and Scholarship), Nottingham Trent
University, discusses a Care Quality Commission (CQC) report on independent acute
hospitals.
PMID- 29791221
TI - An insight into living with diabetes.
AB - Laura Lennard, Children's Diabetes Nurse, West Hertfordshire Hospitals NHS Trust,
reflects on the challenges of encouraging behaviour change and the importance of
understanding patients' real-life experiences.
PMID- 29791222
TI - Celebrating contemporary nursing practice.
PMID- 29791223
TI - Flexible working in the NHS.
AB - Sam Foster, Chief Nurse, Oxford University Hospitals, questions whether NHS
policies around flexible working are fit for purpose.
PMID- 29791224
TI - Developing standards of care for children with ongoing health needs.
AB - Emeritus Professor Alan Glasper, from the University of Southampton, discusses a
recently published report from a consortium of health agencies led by the Royal
College of Paediatrics and Child Health.
PMID- 29791225
TI - My first experiences of nurse leadership.
AB - Alan Nobbs reflects on his early experiences of nurse leadership, most of which
have thankfully not stood the test of time.
PMID- 29791226
TI - CNO summit: professional collaboration and patient involvement are key.
AB - Ian Peate, Editor-in-Chief, British Journal of Nursing, reports on the issues
highlighted at the recent meeting of nursing and midwifery leaders, including
nurse-patient ratios, resilient leadership and integrated care.
PMID- 29791228
TI - Considering the numbers.
PMID- 29791227
TI - Jordanian oncology nurses' knowledge of managing chemotherapy-induced nausea and
vomiting.
AB - BACKGROUND: health practitioners, especially oncology nurses, play an important
role in assessing and managing chemotherapy-induced nausea and vomiting (CINV).
Oncology nurses need adequate knowledge and skills to optimally assess and manage
CINV in oncology settings. AIM: the study intended to assess Jordanian oncology
nurses' knowledge of assessing and managing CINV. METHODS: a cross-sectional
design was used to survey 229 oncology nurses working in oncology units in three
hospitals. FINDINGS: most participants were female (62.9%). The age rage was 21
55 years, with a mean age of 29.9 years (SD=6.2). The mean overall knowledge
score was low at 4.7 (SD=3.5) (95% CI=4.40-5.01). Poor knowledge of CINV
assessment and management were noted. CONCLUSION: oncology nurses' knowledge
about the assessment and management of CINV is inadequate, and improvements in
knowledge are needed. An educational intervention is recommended, which needs to
be tested to ensure that it is both effective and feasible to provide.
PMID- 29791229
TI - Can we give culturally competent care?
AB - Sophie Kelly, currently studying for MA Nursing (children and young people),
University of Salford, reflects on the challenges of providing care for families
with differing cultural backgrounds.
PMID- 29791230
TI - Assessing anxiety and depression in cancer patients.
AB - BACKGROUND: empirical evidence suggests that anxiety and depression in cancer
patients is underdiagnosed and subsequently this patient population receives
little or no support or intervention to address their psychosocial needs. It is
often difficult to distinguish between normal emotional distress experienced
following a cancer diagnosis and anxiety and depression, which can have a
significant impact on coping mechanisms and subsequent outcomes. AIM: a
qualitative study using the Hospital Anxiety and Depression Scale (HADS) was
undertaken in the National Centre for Cancer Care and Research in Qatar. The
driver for change was to provide evidence of the need for an assessment to be
incorporated into the nursing admission process as a means of early detection and
onward referral for more formal interventions if required. The sample size was
57. FINDINGS: the evidence from the outcome data supported the hypothesis that
anxiety and depression were present in a significant number of the sample group.
This would support the proposal of early screening and onward referral. A number
of patients surveyed expressed moderate to severe depression, which may impact
negatively on outcomes. CONCLUSION: screening for anxiety and depression in adult
cancer patients should form part of an early nursing assessment to identify those
who may benefit from more structured interventions. HADS is a useful screening
tool; however, further research is required on validating tools used to screen
for anxiety and depression in cancer and chronic disease in different cultures to
ensure validity and reliability of outcome data.
PMID- 29791231
TI - The Future Is Today.
PMID- 29791232
TI - The Role of Interleukin-31 Polymorphisms in Non-Small Cell Lung Cancer Genetic
Susceptibility and Clinical Outcome.
AB - BACKGROUND: Interleukin-31 (IL-31), a novel T helper type 2 effector cytokine, is
known to have an important effect on the pathogenesis of allergic disease and
tumors. However, the impact of IL-31 on human lung cancer remains unclear.
METHODS: This study explored the role of IL-31 polymorphisms in lung cancer and
sought to characterize how IL-31 polymorphisms correlate with lung cancer
tumorigenesis and patient survival rates. Using a single-nucleotide polymorphism
genotype assay, we examined the expression of two IL-31 polymorphisms (rs7977932
and rs4758680) in samples from 302 lung cancer patients and 493 control cases.
RESULTS: Lung cancer patients who were homozygous CC for rs7977932 or carried the
G allele of rs4758680 had significantly poorer prognoses compared to those who
did not have these genotypes. Moreover, the rs7977932 CC genotype was
significantly associated with metastasis and poor survival status in lung
adenocarcinoma. CONCLUSION: Our study indicates the importance of IL-31
polymorphisms for susceptibility and survival status in lung cancer.
PMID- 29791233
TI - Association of a Chromosome Locus 9p21.3 CDKN2B-AS1 Variant rs4977574 with
Hypertension: The TAMRISK Study.
AB - AIMS: Chromosome locus 9p21.3 CDKN2B antisense RNA 1 (CDKN2B-AS1) has been found
to contain multiple genetic markers for coronary artery disease (CAD) by genome
wide association studies (GWAS). Of these, the association of variants rs4977574,
rs10757274, and rs2383206 with hypertension was studied in the Tampere adult
population cardiovascular risk study (TAMRISK). MATERIALS AND METHODS: A Finnish
cohort of 336 subjects diagnosed with hypertension and 444 controls was analyzed.
Samples were genotyped for the CDKN2B-AS1 polymorphisms using Kompetitive Allele
Specific PCR (KASP) or TaqMan techniques. RESULTS: Individuals with the minor
genotype GG of rs4977574 had less hypertension compared to the other genotypes (p
= 0.048, OR 1.58, 95% CI 1.01-2.48). The variants rs2383206 and rs10757274 were
not associated with hypertension. CONCLUSIONS: Our findings suggest that the GG
genotype of the CDKN2B-AS1 gene variant rs4977574, which has been previously
associated with an increased CAD risk, is also associated with a decreased
susceptibility to the development of hypertension.
PMID- 29791234
TI - Searching for the Great Oxidation Event in North America: A Reappraisal of the
Huronian Supergroup by SIMS Sulfur Four-Isotope Analysis.
AB - Sedimentological observations from the Paleoproterozoic Huronian Supergroup are
suggested to mark the rise in atmospheric oxygen at that time, which is commonly
known as the Great Oxidation Event (GOE) and typically coupled with a transition
from mass-independent fractionation (MIF) to mass-dependent fractionation (MDF)
of sulfur isotopes. An early in situ study of S three-isotopes across the
Huronian Supergroup by Papineau et al. ( 2007 ) identified a weak MIF-MDF
transition. However, the interpretation and stratigraphic placement of this
transition is ambiguous. In this study, all four S isotopes were analyzed for the
first time in two Huronian drill cores by secondary ion mass spectrometer (SIMS),
and both Delta33S and Delta36S were calculated. Based on improved precision and
detailed petrography, we reinterpret the dominance of pyrrhotite in the studied
sections, which was previously proposed as "early authigenic" in origin, as
resulting from regional metamorphism. Small but analytically resolvable nonzero
values of Delta33S (from -0.070/00 to +0.380/00) and Delta36S (from -4.10/00 to
+1.00/00) persist throughout the lower Huronian Supergroup. Neither pronounced
MIF-S signals nor a MIF-MDF transition are seen in this study. Four scenarios are
proposed for the genesis of small nonzero Delta33S and Delta36S values in the
Huronian: homogenization by regional metamorphism, recycling from older pyrite,
dilution by magmatic fluids, and the occurrence of MDF. We argue that the precise
location of the MIF-MDF transition in the Huronian remains unsolved. This
putative transition may have been erased by postdepositional processes in the
lower Huronian Supergroup, or may be located in the upper Huronian Supergroup.
Our study highlights the importance of integrated scanning electron microscopy
and secondary ion mass spectrometry techniques in deep-time studies and suggests
that different analytical methods (bulk vs. SIMS) and diagenetic history (primary
vs. metamorphic) among different basins may have caused inconsistent
interpretations of S isotope profiles of the GOE successions at a global scale.
Key Words: Great Oxidation Event (GOE)-Secondary ion mass spectrometer (SIMS)
Paleoproterozoic-Sulfur isotopes-Mass independent fractionation (MIF).
Astrobiology 18, 519-538.
PMID- 29791235
TI - Long-Term Planetary Habitability and the Carbonate-Silicate Cycle.
AB - The potential habitability of an exoplanet is traditionally assessed by
determining whether its orbit falls within the circumstellar "habitable zone" of
its star, defined as the distance at which water could be liquid on the surface
of a planet (Kopparapu et al., 2013 ). Traditionally, these limits are determined
by radiative-convective climate models, which are used to predict surface
temperatures at user-specified levels of greenhouse gases. This approach ignores
the vital question of the (bio)geochemical plausibility of the proposed chemical
abundances. Carbon dioxide is the most important greenhouse gas in Earth's
atmosphere in terms of regulating planetary temperature, with the long-term
concentration controlled by the balance between volcanic outgassing and the
sequestration of CO2 via chemical weathering and sedimentation, as modulated by
ocean chemistry, circulation, and biological (microbial) productivity. We
developed a model that incorporates key aspects of Earth's short- and long-term
biogeochemical carbon cycle to explore the potential changes in the CO2
greenhouse due to variance in planet size and stellar insolation. We find that
proposed changes in global topography, tectonics, and the hydrological cycle on
larger planets result in proportionally greater surface temperatures for a given
incident flux. For planets between 0.5 and 2 R?, the effect of these changes
results in average global surface temperature deviations of up to 20 K, which
suggests that these relationships must be considered in future studies of
planetary habitability. Key Words: Planets-Atmospheres-Carbon dioxide
Biogeochemistry. Astrobiology 18, 469-480.
PMID- 29791236
TI - The Anthropocene Generalized: Evolution of Exo-Civilizations and Their Planetary
Feedback.
AB - We present a framework for studying generic behaviors possible in the interaction
between a resource-harvesting technological civilization (an exo-civilization)
and the planetary environment in which it evolves. Using methods from dynamical
systems theory, we introduce and analyze a suite of simple equations modeling a
population which consumes resources for the purpose of running a technological
civilization and the feedback those resources drive on the state of the host
planet. The feedbacks can drive the planet away from the initial state the
civilization originated in and into domains that are detrimental to its
sustainability. Our models conceptualize the problem primarily in terms of
feedbacks from the resource use onto the coupled planetary systems. In addition,
we also model the population growth advantages gained via the harvesting of these
resources. We present three models of increasing complexity: (1) Civilization
planetary interaction with a single resource; (2) Civilization-planetary
interaction with two resources each of which has a different level of planetary
system feedback; (3) Civilization-planetary interaction with two resources and
nonlinear planetary feedback (i.e., runaways). All three models show distinct
classes of exo-civilization trajectories. We find smooth entries into long-term,
"sustainable" steady states. We also find population booms followed by various
levels of "die-off." Finally, we also observe rapid "collapse" trajectories for
which the population approaches n = 0. Our results are part of a program for
developing an "Astrobiology of the Anthropocene" in which questions of
sustainability, centered on the coupled Earth-system, can be seen in their proper
astronomical/planetary context. We conclude by discussing the implications of our
results for both the coupled Earth system and for the consideration of exo
civilizations across cosmic history. Key Words: Anthropocene-Astrobiology
Civilization-Dynamical system theory-Exoplanets-Population dynamics. Astrobiology
18, 503-518.
PMID- 29791237
TI - The future of chronic lymphocytic leukemia: potential directions from ASH 2017.
AB - INTRODUCTION: Novel targeted therapies - including ibrutinib, venetoclax, and
idelalisib - have revolutionized the treatment landscape of chronic lymphocytic
leukemia (CLL). Therefore, studying combinations of novel agents (NAs) with a
distinct mechanism of action and nonoverlapping toxicities is challenging. Area
covered: The 2017 American Society Hematology Annual Meeting has represented a
showcase for several trials combining NAs. These studies are currently evaluating
the efficacy NA plus anti-CD20 monoclonal antibody, NA plus NA (with or without
anti-CD20 monoclonal antibody), and NA plus chemo-immunotherapy. Expert
commentary: The development of well-tolerated, highly effective combination
strategies with curative potential for patients with CLL is becoming a realistic
goal in CLL. From a practical standpoint, it is hard to determine which regimen
will be most beneficial. Until studies of association will provide more mature
results, the sequencing of NA remains a reasonable approach.
PMID- 29791238
TI - Low-Dose Ketamine Infusion for Adjunct Management during Vaso-occlusive Episodes
in Adults with Sickle Cell Disease: A Case Series.
AB - The optimal management of recurrent painful episodes in individuals living with
sickle cell disease (SCD) remains unclear. Currently, the primary treatment for
these episodes remains supportive, using fluids and intravenous opioid and anti
inflammatory medications. Few reports have described the use of adjunct
subanesthetic doses of ketamine to opioids for treatment of refractory pain in
SCD. This article reports a retrospective case series of five patients admitted
to the intensive care unit (ICU) with prolonged vaso-occlusive episodes (VOEs).
Patients were treated with a continuous-infusion of low-dose ketamine (up to 5
ug/kg/min) after insufficient pain control with opioid analgesic therapy.
Outcomes studied included impact on opioid analgesic use, a description of
ketamine dosing strategy, and an analysis of adverse events due to opioid or
ketamine analgesia. Descriptive statistics are provided. During ketamine
infusion, patients experienced a lower reported pain score (mean numeric rating
scale [NRS] score 7.2 vs. 6.4), reduced opioid-induced adverse effects, and
decreased opioid dosing requirements (median reduction of 90 mg morphine
equivalents per patient). The average duration of severe pain during admission
prior to ketamine therapy was 8 days. Only one of five patients reported an
adverse effect (vivid dreams) secondary to ketamine infusion. The Richmond
Agitation Sedation Scale (RASS) was assessed throughout therapy, with only one
patient experiencing light drowsiness. Low-dose ketamine infusion may be
considered as an adjunct analgesic agent in patients with vaso-occlusive episodes
who report continued severe pain despite high-dose opioid therapy, particularly
those experiencing opioid-induced adverse effects.
PMID- 29791243
TI - AMCP Partnership Forum: Managing Care in the Wave of Precision Medicine.
AB - Precision medicine, the customization of health care to an individual's genetic
profile while accounting for biomarkers and lifestyle, has increasingly been
adopted by health care stakeholders to guide the development of treatment
options, improve treatment decision making, provide more patient-centered care,
and better inform coverage and reimbursement decisions. Despite these benefits,
key challenges prevent its broader use and adoption. On December 7-8, 2017, the
Academy of Managed Care Pharmacy convened a group of stakeholders to discuss
these challenges and provide recommendations to facilitate broader adoption and
use of precision medicine across health care settings. These stakeholders
represented the pharmaceutical industry, clinicians, patient advocacy, private
payers, device manufacturers, health analytics, information technology, academia,
and government agencies. Throughout the 2-day forum, participants discussed
evidence requirements for precision medicine, including consistent ways to
measure the utility and validity of precision medicine tests and therapies,
limitations of traditional clinical trial designs, and limitations of value
assessment framework methods. They also highlighted the challenges with evidence
collection and data silos in precision medicine. Interoperability within and
across health systems is hindering clinical advancements. Current medical coding
systems also cannot account for the heterogeneity of many diseases, preventing
health systems from having a complete understanding of their patient population
to inform resource allocation. Challenges faced by payers, such as evidence
limitations, to inform coverage and reimbursement decisions in precision
medicine, as well as legal and regulatory barriers that inhibit more widespread
data sharing, were also identified. While a broad range of perspectives was
shared throughout the forum, participants reached consensus across 2 overarching
areas. First, there is a greater need for common definitions, thresholds, and
standards to guide evidence generation in precision medicine. Second, current
information silos are preventing the sharing of valuable data. Collaboration
among stakeholders is needed to support better information sharing, awareness,
and education of precision medicine for patients. The recommendations brought
forward by this diverse group of experts provide a set of solutions to spur
widespread use and application of precision medicine. Taken together, successful
adoption and use of precision medicine will require input and collaboration from
all sectors of health care, especially patients. DISCLOSURES This AMCP
Partnership Forum and the development of the proceedings document were supported
by Amgen, Foundation Medicine, Genentech, Gilead, MedImpact, National
Pharmaceutical Council, Precision for Value, Sanofi, Takeda, and Xcenda.
PMID- 29791242
TI - Liver-targeted delivery of insulin-loaded nanoparticles via enterohepatic
circulation of bile acids.
AB - Liver is the primary acting site of insulin. In this study, we developed
innovative nanoparticles for oral and liver-targeted delivery of insulin by using
enterohepatic circulation of bile acids. The nanoparticles were produced from
cholic acid and quaternary ammonium modified chitosan derivative and
hydroxypropyl methylcellulose phthalate (HPMCP). The nanoparticles had a diameter
of 239 nm, an insulin loading efficiency of 90.9%, and a loading capacity of
18.2%. Cell culture studies revealed that the cholic acid groups effectively
enhanced the transport of the nanoparticles through Caco-2 cell monolayer and
greatly increased the absorption of the nanoparticles in HepG-2 cells via bile
acid transporter mechanism. Ex vivo fluorescence images of ileum section,
gastrointestinal tract, and liver demonstrated that the HPMCP increased the
mucoadhesion of the nanoparticles in ileum, and the cholic acid groups
facilitated the absorptions of the nanoparticles in both ileum and liver by use
of bile acid transporters via enterohepatic circulation of bile acids. The
therapy for diabetic mice displayed that the oral nanoparticle group could
maintain hypoglycemic effect for more than 24 h and its pharmacological
availability was about 30% compared with the insulin injection group. For the
first time, this study demonstrates that using enterohepatic circulation of bile
acids is an effective strategy for oral delivery of insulin.
PMID- 29791241
TI - Neutrophil-mediated delivery of pixantrone-loaded liposomes decorated with
poly(sialic acid)-octadecylamine conjugate for lung cancer treatment.
AB - Poly(sialic acid) (PSA) is a natural hydrophilic biodegradable and non
immunogenic biopolymer, receptors for its monomer are expressed on peripheral
blood neutrophils (PBNs), which plays important roles in the progression and
invasion of tumors. A poly(sialic acid)-octadecylamine conjugate (PSA-ODA) was
synthesized and then anchor it on the surface of liposomal pixantrone (Pix-PSL),
to achieve an improved anticancer effect. The liposomes were prepared using a
remote loading method via a pH gradient, and then assessed for particle size,
zeta potential encapsulation efficiency, in vitro release, and in vitro
cytotoxicity. Simultaneously, in vitro and in vivo cellular uptake studies
confirmed that PSA-decorated liposomes provided an enhanced accumulation of
liposomes in PBNs. An in vivo study presented that the anti-tumor activity of Pix
PSL was superior to that of other Pix formulations, probably due to the efficient
targeting of PBNs by Pix-PSL, after which PBN containing Pix-PSL (Pix-PSL/PBNs)
in the blood circulation are recruited by the tumor microenvironment. These
findings suggest that PSA-decorated liposomal Pix may provide a neutrophil
mediated drug delivery system (DDS) for the eradication of tumors, which
represents a promising approach for the tumor targeting of chemotherapeutic
treatments.
PMID- 29791244
TI - The need for mapping personal goals to exercise dosage in community-based
exercise programs for people with Parkinson's disease.
AB - PURPOSE: Community-based exercise can support long-term management of Parkinson's
disease, although it is not known if personal goals are met in these programs.
The objectives of this study were to: (1) examine the goals of community based
exercise programs from the participant and instructor perspectives; (2) establish
the extent to which these programs meet self-described exercise outcomes; and (3)
explore participant and instructor perspectives on barriers to meeting exercise
expectations. MATERIALS AND METHODS: This study explores the experiences of
people with Parkinson's disease participating in a structured exercise program at
six community sites. A mixed-methods approach was used, including participant and
instructor interviews, assessment of exercise intensity, and mapping of exercise
dosage to participant goals. Twenty-four exercise participants provided
interview, quality of life, and exercise intensity data. RESULTS: Twenty-one
participants exercised for primary management of their Parkinson's disease. None
met the exercise dosage necessary to meet this primary objective, although 60%
met exercise dosage required to prevent disuse deconditioning. Participants and
instructors did not describe similar goals for the community-based exercise
program. CONCLUSIONS: Community-based exercise programs could be optimized by
better aligning participant goals and exercise intensity.
PMID- 29791245
TI - PI(3,5)P2 controls vacuole potassium transport to support cellular
osmoregulation.
AB - Lysosomes are dynamic organelles with critical roles in cellular physiology. The
lysosomal signaling lipid phosphatidylinositol 3,5-bisphosphate (PI(3,5)P2) is a
key regulator that has been implicated to control lysosome ion homeostasis, but
the scope of ion transporters targeted by PI(3,5)P2 and the purpose of this
regulation is not well understood. Through an unbiased screen in Saccharomyces
cerevisiae, we identified loss-of-function mutations in the vacuolar H+-ATPase (V
ATPase) and in Vnx1, a vacuolar monovalent cation/proton antiporter, as
suppressor mutations that relieve the growth defects and osmotic swelling of
vacuoles (lysosomes) in yeast lacking PI(3,5)P2. We observed that depletion of
PI(3,5)P2 synthesis in yeast causes a robust accumulation of multiple cations,
most notably an ~85 mM increase in the cellular concentration of potassium, a
critical ion used by cells to regulate osmolarity. The accumulation of potassium
and other cations in PI(3,5)P2-deficient yeast is relieved by mutations that
inactivate Vnx1 or inactivate the V-ATPase and by mutations that increase the
activity of a vacuolar cation export channel, Yvc1. Collectively, our data
demonstrate that PI(3,5)P2 signaling orchestrates vacuole/lysosome cation
transport to aid cellular osmoregulation.
PMID- 29791246
TI - Curative Stereotactic Ablative Radiotherapy for a Locally Advanced Basal Cell
Carcinoma in an Elderly Patient.
PMID- 29791248
TI - ddY Mice Fed 10% Fat Diet Exhibit High p27KIP Expression and Delayed Hepatocyte
DNA Synthesis During Liver Regeneration.
AB - BACKGROUND: Excessive intake of a high-calorie diet has been implicated in the
development of non-alcoholic fatty liver disease (NAFLD). Several studies have
investigated the effect of NAFLD on liver regeneration, but the effects of simple
steatosis have been found to be inconsistent. We aimed to assess whether the
initial phase of diet-induced lipid accumulation, induced by a diet containing
moderate levels of fat, impairs liver regeneration after partial hepatectomy
(PHx) of mice. METHODS: Male ddY mice are prone to obesity, even when fed a
relatively low-fat diet (FD). A model of early simple steatosis was created by
feeding a 10% FD for 6 weeks to male ddY mice. Liver regeneration rate, DNA
synthesis in hepatocytes, and DNA damage were then assessed. RESULTS: FD-fed mice
had a slightly higher body mass (44.5 +/- 2.6 grams vs. 48.1 +/- 3.6 grams, P <
0.05), but not liver mass (2.55 +/- 0.37 grams vs. 2.69 +/- 0.26 grams). Lipid
droplets appeared in FD-fed mouse hepatocytes and Oil Red O staining was five
times as intense as in control mice. In FD-fed mice, liver regeneration rate
after two-thirds PHx was lower and impaired DNA replication was also observed. FD
induced the expression of the cyclin-dependent kinase inhibitor p27KIP, but not
p21CIP. Moreover, greater histone 2A.X phosphorylation was observed, indicating
that FD caused DNA damage. CONCLUSIONS: Even short-term feeding of a moderately
high FD to male ddY mice results in lipid accumulation in hepatocytes, DNA
damage, and greater expression of p27KIP, implying lower DNA synthesis.
PMID- 29791247
TI - A Randomized Trial of a Family-Support Intervention in Intensive Care Units.
AB - BACKGROUND: Surrogate decision makers for incapacitated, critically ill patients
often struggle with decisions related to goals of care. Such decisions cause
psychological distress in surrogates and may lead to treatment that does not
align with patients' preferences. METHODS: We conducted a stepped-wedge, cluster
randomized trial involving patients with a high risk of death and their
surrogates in five intensive care units (ICUs) to compare a multicomponent family
support intervention delivered by the interprofessional ICU team with usual care.
The primary outcome was the surrogates' mean score on the Hospital Anxiety and
Depression Scale (HADS) at 6 months (scores range from 0 to 42, with higher
scores indicating worse symptoms). Prespecified secondary outcomes were the
surrogates' mean scores on the Impact of Event Scale (IES; scores range from 0 to
88, with higher scores indicating worse symptoms), the Quality of Communication
(QOC) scale (scores range from 0 to 100, with higher scores indicating better
clinician-family communication), and a modified Patient Perception of Patient
Centeredness (PPPC) scale (scores range from 1 to 4, with lower scores indicating
more patient- and family-centered care), as well as the mean length of ICU stay.
RESULTS: A total of 1420 patients were enrolled in the trial. There was no
significant difference between the intervention group and the control group in
the surrogates' mean HADS score at 6 months (11.7 and 12.0, respectively; beta
coefficient, -0.34; 95% confidence interval [CI], -1.67 to 0.99; P=0.61) or mean
IES score (21.2 and 20.3; beta coefficient, 0.90; 95% CI, -1.66 to 3.47; P=0.49).
The surrogates' mean QOC score was better in the intervention group than in the
control group (69.1 vs. 62.7; beta coefficient, 6.39; 95% CI, 2.57 to 10.20;
P=0.001), as was the mean modified PPPC score (1.7 vs. 1.8; beta coefficient,
0.15; 95% CI, -0.26 to -0.04; P=0.006). The mean length of stay in the ICU was
shorter in the intervention group than in the control group (6.7 days vs. 7.4
days; incidence rate ratio, 0.90; 95% CI, 0.81 to 1.00; P=0.045), a finding
mediated by the shortened mean length of stay in the ICU among patients who died
(4.4 days vs. 6.8 days; incidence rate ratio, 0.64; 95% CI, 0.52 to 0.78;
P<0.001). CONCLUSIONS: Among critically ill patients and their surrogates, a
family-support intervention delivered by the interprofessional ICU team did not
significantly affect the surrogates' burden of psychological symptoms, but the
surrogates' ratings of the quality of communication and the patient- and family
centeredness of care were better and the length of stay in the ICU was shorter
with the intervention than with usual care. (Funded by the UPMC Health System and
the Greenwall Foundation; PARTNER ClinicalTrials.gov number, NCT01844492 .).
PMID- 29791249
TI - Keeping Your Cool - Doing Ebola Research during an Emergency.
PMID- 29791250
TI - Interview: The New Silk Road-Health as Soft Power.
PMID- 29791251
TI - Prevalence of CTX-M-Type Extended-Spectrum beta-Lactamase-Producing Escherichia
coli B2-O25-ST131 H30R Among Residents in Nonacute Care Facilities in Japan.
AB - We investigated the prevalence and characteristics of extended-spectrum beta
lactamase (ESBL)-producing Escherichia coli among 258 residents of long-term care
facilities (LTCFs) in Japan. Out of 258 fecal samples collected from nine LTCFs
between November 2015 and March 2017, we recovered 59 ESBL-producing E. coli
isolates. All isolates carried blaCTX-M genes, mainly blaCTX-M-27 (42.4%), blaCTX
M-14 (23.7%), and blaCTX-M-15 (18.6%). The isolates showed 7 serotypes (STs),
including ST131 (n = 49, 83.1%) and ST38 (n = 4, 6.8%), and 47 (79.7%) out of 49
isolates belonging to ST131 were identified as H30R. The 59 ESBL producers were
divided into four groups, B2 (86.4%), D (8.5%), A (3.4%), and C (1.7%); 44
(74.6%) were epidemic clone B2-O25-ST131 H30R, of which 21, 11, and 6 harbored
blaCTX-M-27, blaCTX-M-15, and blaCTX-M-14, respectively. Most plasmids were of
IncF replicon types (n = 33), and 22 blaCTX-M-27-carrying plasmids showed
multiple replicon types, including IncFII, FIA, and FIB. The ESBL producers were
susceptible to imipenem, amikacin, and fosfomycin, but resistant to ceftazidime
(49.2%), and ciprofloxacin (88.1%); in particular, the isolates harboring the
blaCTX-M-15 gene showed significantly high resistance rate to ceftazidime (p <
0.01). Our findings indicate that a considerable proportion of the examined LTCF
residents carried ESBL-producing E. coli isolates in feces and had high
prevalence of epidemic clone B2-O25-ST131. Furthermore, continuous investigations
would be very necessary to monitor actual carriage states of ESBL-producers among
the LTCF residents from the viewpoint of both public health and healthcare
viewpoints.
PMID- 29791252
TI - Immediate effects of a distal gait modification during stair descent in
individuals with patellofemoral pain.
AB - BACKGROUND: Knee pain during stair managing is a common complaint among
individuals with PFP and can negatively affect their activities of daily living.
Gait modification programs can be used to decrease patellofemoral pain. Immediate
effects of a stair descent distal gait modification session that intended to
emphasize forefoot landing during stair descent are described in this study.
OBJECTIVES: To analyze the immediate effects of a distal gait modification
session on lower extremity movements and intensity of pain in women with
patellofemoral pain during stair descent. METHOD: Nonrandomized controlled trial.
Sixteen women with patellofemoral pain were allocated into two groups: (1) Gait
Modification Group (n = 8); and 2) Control Group (n = 8). The intensity of pain
(visual analog scale) and kinematics of knee, ankle, and forefoot (multi
segmental foot model) during stair descent were assessed before and after the
intervention. RESULTS: After the gait modification session, there was an increase
of forefoot eversion and ankle plantarflexion as well as a decrease of knee
flexion. An immediate decrease in patellofemoral pain intensity during stair
descent was also observed. CONCLUSION: The distal gait modification session
changed the lower extremity kinetic chain strategy of movement, increasing foot
and ankle movement contribution and decreasing knee contribution to the task. An
immediate decrease in patellofemoral pain intensity during stair descent was also
observed. To emphasize forefoot landing may be a useful intervention to
immediately relieve pain in patients with patellofemoral pain during stair
descent. Clinical studies are needed to verify the gait modification session
effects in medium and long terms.
PMID- 29791253
TI - Nurse-Led Communication in the Intensive Care Unit.
PMID- 29791255
TI - The Calorie-Labeling Saga - Federal Preemption and Delayed Implementation of
Public Health Law.
PMID- 29791256
TI - NLRP6 Induces Pyroptosis by Activation of Caspase-1 in Gingival Fibroblasts.
AB - NLRP6, a member of the nucleotide-binding domain, leucine-rich repeat-containing
(NLR) innate immune receptor family, has been reported to participate in
inflammasome formation. Activation of inflammasome triggers a caspase-1-dependent
programming cell death called pyroptosis. However, whether NLRP6 induces
pyroptosis has not been investigated. In this study, we showed that NLRP6
overexpression activated caspase-1 and gasdermin-D and then induced pyroptosis of
human gingival fibroblasts, resulting in release of proinflammatory mediators
interleukin (IL)-1beta and IL-18. Moreover, NLRP6 was highly expressed in
gingival tissue of periodontitis compared with healthy controls. Porphyromonas
gingivalis, which is a commensal bacterium and has periodontopathic potential,
induced pyroptosis of gingival fibroblasts by activation of NLRP6. Together, we,
for the first time, identified that NLRP6 could induce pyroptosis of gingival
fibroblasts by activation of caspase-1 and may play a role in periodontitis.
PMID- 29791254
TI - A genome-wide microRNA screen identifies regulators of tetraploid cell
proliferation.
AB - Tetraploid cells, which are most commonly generated by errors in cell division,
are genomically unstable and have been shown to promote tumorigenesis. Recent
genomic studies have estimated that ~40% of all solid tumors have undergone a
genome-doubling event during their evolution, suggesting a significant role for
tetraploidy in driving the development of human cancers. To safeguard against the
deleterious effects of tetraploidy, nontransformed cells that fail mitosis and
become tetraploid activate both the Hippo and p53 tumor suppressor pathways to
restrain further proliferation. Tetraploid cells must therefore overcome these
antiproliferative barriers to ultimately drive tumor development. However, the
genetic routes through which spontaneously arising tetraploid cells adapt to
regain proliferative capacity remain poorly characterized. Here, we conducted a
comprehensive gain-of-function genome-wide screen to identify microRNAs (miRNAs)
that are sufficient to promote the proliferation of tetraploid cells. Our screen
identified 23 miRNAs whose overexpression significantly promotes tetraploid
proliferation. The vast majority of these miRNAs facilitate tetraploid growth by
enhancing mitogenic signaling pathways (e.g., miR-191-3p); however, we also
identified several miRNAs that impair the p53/p21 pathway (e.g., miR-523-3p), and
a single miRNA (miR-24-3p) that potently inactivates the Hippo pathway via down
regulation of the tumor suppressor gene NF2. Collectively, our data reveal
several avenues through which tetraploid cells may regain the proliferative
capacity necessary to drive tumorigenesis.
PMID- 29791257
TI - The use of direct oral anticoagulants in the treatment of acute venous
thromboembolism in cancer patients.
AB - INTRODUCTION: After the CLOT study, LMWHs (low-molecular weight heparins) have
gradually replaced warfarin as the treatment of choice for VTE (venous
thromboembolism) in cancer patients. Randomized controlled studies comparing
DOACs (direct oral anticoagulants) to LMWHs in cancer patients are still limited.
However, new emerging data are supporting the use of DOACs in cancer-associated
thrombosis. Areas covered: This review will discuss the recent studies that
addressed the utilization of such agents in the treatment of VTE in cancer
patients. It will also address challenges that can be encountered while using
these agents particularly in cancer patients. Expert commentary: Up until the
Hokusai VTE Cancer study, data on the use of DOACs in cancer patients have been
limited but supportive of their use in such patients. The Hokusai VTE Cancer
study shows that edoxaban is non-inferior to dalteparin in prevention of
recurrent VTE but at expense of higher major bleeding namely in patients with
gastrointestinal cancer. Although further studies involving other DOACs may
reinforce the efficacy of DOACs in this population of patients, studies looking
at subpopulation of cancer patients may be of more clinical value to clinicians
who are trying to balance between treatment of thrombosis and risks of bleeding.
PMID- 29791260
TI - Assessment of the Power Required for Optimal Use of Current Inhalation Devices.
AB - BACKGROUND: Inhalation of medications is the cornerstone in the treatment of
patients with lung diseases. A variety of inhalation devices exists and each
device has specific requirements to achieve optimum inhalation of the drug. The
goal of this study was to establish a clear overview on performance requirements
of standard inhalation devices that should be met by the patient's breathing
power and to develop a new method to measure the individual performance data.
MATERIALS AND METHODS: An optimum and still acceptable required breathing power
(P in watts) was calculated for each device with the aid of individual device
flow rates (determined by a literature search) and the flow resistances (by
measuring the pressure drop over the different inhalation devices). For the in
vivo part of the study, peak inspiratory flow and peak inspiratory pressure drop
were measured in 21 adult patients with asthma or chronic obstructive pulmonary
disease and healthy volunteers and the peak inspiratory power (PIPO in watts) was
calculated. RESULTS: Nearly no power is needed to achieve optimum results when
using pressurized metered dose inhalers. For dry powder inhalers, the required
power depends on the specific inhalation device. CONCLUSIONS: Inhalation devices
impose differing demands on the inspiratory breathing power of patients. To
ensure adequate use of the different devices, a cheap and simple assessment of
patients' PIPO may be one option.
PMID- 29791259
TI - A Pragmatic Trial of E-Cigarettes, Incentives, and Drugs for Smoking Cessation.
AB - BACKGROUND: Whether financial incentives, pharmacologic therapies, and electronic
cigarettes (e-cigarettes) promote smoking cessation among unselected smokers is
unknown. METHODS: We randomly assigned smokers employed by 54 companies to one of
four smoking-cessation interventions or to usual care. Usual care consisted of
access to information regarding the benefits of smoking cessation and to a
motivational text-messaging service. The four interventions consisted of usual
care plus one of the following: free cessation aids (nicotine-replacement therapy
or pharmacotherapy, with e-cigarettes if standard therapies failed); free e
cigarettes, without a requirement that standard therapies had been tried; free
cessation aids plus $600 in rewards for sustained abstinence; or free cessation
aids plus $600 in redeemable funds, deposited in a separate account for each
participant, with money removed from the account if cessation milestones were not
met. The primary outcome was sustained smoking abstinence for 6 months after the
target quit date. RESULTS: Among 6131 smokers who were invited to enroll, 125
opted out and 6006 underwent randomization. Sustained abstinence rates through 6
months were 0.1% in the usual-care group, 0.5% in the free cessation aids group,
1.0% in the free e-cigarettes group, 2.0% in the rewards group, and 2.9% in the
redeemable deposit group. With respect to sustained abstinence rates, redeemable
deposits and rewards were superior to free cessation aids (P<0.001 and P=0.006,
respectively, with significance levels adjusted for multiple comparisons).
Redeemable deposits were superior to free e-cigarettes (P=0.008). Free e
cigarettes were not superior to usual care (P=0.20) or to free cessation aids
(P=0.43). Among the 1191 employees (19.8%) who actively participated in the trial
(the "engaged" cohort), sustained abstinence rates were four to six times as high
as those among participants who did not actively engage in the trial, with
similar relative effectiveness. CONCLUSIONS: In this pragmatic trial of smoking
cessation, financial incentives added to free cessation aids resulted in a higher
rate of sustained smoking abstinence than free cessation aids alone. Among
smokers who received usual care (information and motivational text messages), the
addition of free cessation aids or e-cigarettes did not provide a benefit.
(Funded by the Vitality Institute; ClinicalTrials.gov number, NCT02328794 .).
PMID- 29791258
TI - Licorice root extract and magnesium isoglycyrrhizinate protect against triptolide
induced hepatotoxicity via up-regulation of the Nrf2 pathway.
AB - Triptolide, the predominant biologically active component of the Chinese herb
Tripterygium wilfordii Hook f., possesses numerous pharmacological activities,
including anti-inflammatory, anti-fertility, anti-neoplastic, and
immunosuppressive effects. However, toxicity and severe adverse effects,
particularly hepatotoxicity, limit the clinical application of triptolide.
Licorice root extract contains various bioactive compounds and is potent
hepatoprotective. Magnesium isoglycyrrhizinate, a magnesium salt of the 18alpha
glycyrrhizic acid stereoisomer of glycyrrhizic acid, is used clinically in China
to treat chronic viral hepatitis and acute drug-induced liver injury. The aim of
this study was to investigate the role of the factor erythroid 2-related factor 2
pathway in the protective effects of LE and MIG against triptolide-induced
hepatotoxicity. Hepatotoxicity models were established in L-02 cells and rats
using triptolide, and the protective effects of LE and MIG were investigated in
vitro and in vivo, respectively. LE and MIG significantly protected against
triptolide-induced cytotoxicity. Additionally, triptolide decreased the mRNA and
protein levels of Nrf2 and down-regulated Nrf2 target genes, including UGT1A,
BSEP, and MRP2, while pretreatment with LE and MIG reversed these effects.
Finally, Nrf2-involved antioxidant responses were activated in the presence of LE
and MIG.
PMID- 29791261
TI - A systematic review of grounded theory studies in physiotherapy.
AB - AIM: This systematic review aimed at appraising the methodological rigor of
grounded theory research published in the field of physiotherapy to assess how
the methodology is understood and applied. A secondary aim was to provide
research implications drawn from the findings to guide future grounded theory
methodology (GTM) research. METHODS: A systematic search was conducted in
MEDLINE, CINHAL, SPORT Discus, Science Direct, PubMed, Scopus, and Web of Science
to identify studies in the field of physiotherapy that reported using GTM and/or
methods in the study title and/or abstract. The descriptive characteristics and
methodological quality of eligible studies were examined using grounded theory
methodology assessment guidelines. FINDINGS: The review included 68 studies
conducted between 1998 and 2017. The findings showed that GTM is becoming
increasingly used by physiotherapy researchers. Thirty-six studies (53%)
demonstrated a good understanding and appropriate application of GTM. Thirty-two
studies (47%) presented descriptive findings and were considered to be of poor
methodological quality. CONCLUSIONS: There are several key tenets of GTM that are
integral to the iterative process of qualitative theorizing and need to be
applied throughout all research practices including sampling, data collection,
and analysis.
PMID- 29791262
TI - Flow Recirculation in Cartilaginous Ring Cavities of Human Trachea Model.
AB - BACKGROUND: Despite the prevailing assumption of "smooth trachea walls" in
respiratory fluid dynamics research, recent investigations have demonstrated that
cartilaginous rings in the trachea and main bronchi have a significant effect on
the flow behavior and in particle deposition. However, there is not enough
detailed information about the underlying physics of the interaction between the
cartilage rings and the flow. MATERIALS AND METHODS: This study presents an
experimental observation of a simplified Weibel-based model of the human trachea
and bronchi with cartilaginous rings. A transparent model and refractive index
matching methods were used to observe the flow, particularly near the wall. The
flow was seeded with tracers to perform particle image velocimetry and particle
tracking velocimetry to quantify the effect the rings have on the flow near the
trachea and bronchi walls. The experiments were carried out with a flow rate
comparable with a resting state (trachea-based Reynolds number of ReD = 2650).
RESULTS: The results present a previously unknown phenomenon in the cavities
between the cartilaginous rings: a small recirculation is observed in the
upstream side of the cavities throughout the trachea. This recirculation is due
to the adverse pressure gradient created by the expansion, which traps particles
within the ring cavity, thus affecting the treatment of patients suffering from
lung disease and other respiratory conditions. CONCLUSIONS: The detection of
recirculation zones in the cartilage ring cavities sheds light on the particle
deposition mechanism and helps explain results from previous studies that have
observed an enhancement of particle deposition in models with cartilage rings.
These results bring to light the importance of including cartilage rings in
experimental, numerical, and theoretical models to better understand particle
deposition in the trachea and bronchi. In addition, the results provide
scientists and medical staff with new insights for improving drug delivery.
PMID- 29791263
TI - Transgender Embodiment: A Lacanian Approach.
AB - The author uses Lacanian psychoanalysis to conceptualize transgender embodiment,
focusing on the Lacanian concept "objet a" to analyze how transpeople may be
uniquely attuned to a fundamental lack in being endemic to all subjects of
language. Objet a is central to the Imaginary register where body images and sex
morphology intermingle. The author discusses objet a in relation to the mirror
(and the Other's cisgender gaze), anxiety, postsurgical scars, linguistics, and
Thing-like feelings of monstrosity (born of transphobia). For those who are
transgender, the a may register as an embodied disjunction between gender
identity and natal sex assignment.
PMID- 29791264
TI - The Economic Problem of Candidacy.
AB - Candidates frequently accept one or multiple low-fee cases as part of their
training experience. Although the practical and unconscious meanings of the
formerly taboo topic of money have recently been discussed in the literature, the
candidate's experience in regard to the fee is rarely discussed. The author
argues that the candidate is positioned to face a Gordian knot of personal,
training, and clinical intensity in the duration of training that impacts
casework. This paper discusses two prototypical characterological constellations
related to the fee. Psychoanalytic training involves immersion, and thereby
differs from training in psychoanalytic psychotherapy programs or from a
residency in psychiatry. The candidate's economic experience is unique and under
recognized in today's practice climate. The present article recommends open
discussion about the fee among psychoanalysts and candidates.
PMID- 29791266
TI - Building Castles Made of Pleasure.
AB - To outlive the suicide of one's beloved, an ineffable trauma, entails a
complicated grieving process. This paper illustrates the importance of
understanding body and self as malleable, invested objects. The treatment of
traumatized patients involves redrawing body frontiers, and subsequent
reassurance that the body, once delineated and inhabited, won't betray its host.
The concepts of objet a and transitional objects help distinguish anxieties
related to external loss from anxieties related to the incorporation or
reabsorption of an object whose cruel proximity threatens the internal integrity
of body experience. The movement toward mourning can be complicated by
melancholic incorporation of the deceased, especially in cases of suicide; the
volitional nature of such acts retroactively disrupts life narratives, forcing
one to create new answers to questions of who and what one was for the other who
chose death and to give new connotations to one's prior encounters with death.
PMID- 29791268
TI - Differences in Firearm Suicides by Residential Location in Texas, 2006-2015.
AB - This study used Texas state vital statistics records, 2006-2015, to examine
firearm use rates among 28,010 suicide decedents by residential location
(urbanized vs. all others). Firearms were responsible for 44% of all teenage
suicides and 76-90% and 50-60% of suicides of men and women aged 60 + years,
respectively, and firearm use rates remained steady for both genders during the
study period. Logistic regression analysis showed a significantly higher firearm
use rate (AOR = 1.35, 95% CI = 1.28-1.42) among decedents who resided in
nonurbanized areas. Differences in firearm use rates by residential location
likely reflect higher firearm ownership in smaller communities and rural than
urban areas. The findings underscore the importance of community- and individual
level suicide prevention strategies.
PMID- 29791267
TI - Jealousy as a Driving Force for Murder.
AB - This essay draws on analytic concepts and artistic examples in order to explore
murder as the ultimate fate of jealousy. The paper first explores two seemingly
neurotic forms of possessive fury that result in a crime of passion. Both cases
probe the criminal potential of a supposedly normal subject and question the
frontiers of narcissism and self-love, while discussing gender stereotypes. The
author then examines criminal jealousy from the vantage point of the specular
stakes at play: the enamoration of the double pervaded with aggressiveness that
stems from the pre-oedipal fraternal complex and leads to outbursts of psychotic
allure. Furthermore, this contribution appraises the hedonistic possibilities
created by crimes of passion that are "beyond the pleasure principle." Finally,
the figure of the jealous criminal is understood as a radicalization of a
universal logic, according to which primary narcissistic wounds are felt to be an
unbearable injustice that requires reparation through an infringement of the law.
PMID- 29791269
TI - The cystic dilation of ventriculus terminalis with neurological symptoms: Three
case reports and a literature review.
AB - CONTEXT: The ventriculus terminalis (VT) is a very small ependymal-lined residual
lumen in the conus medullaris. It is normally present in all subjects during
fetal development. VT in adults appears as an unusual pathology with an uncertain
pathogenesis. FINDINGS: In this paper, we described three case reports of
symptomatic fifth ventricle cystic dilations. All of them were female and their
mean age was 59 years. We treated them surgically and all three patients were
improved based on clinical and imaging assessments. CONCLUSION: Our cases
suggested that surgical decompression was a safe and effective treatment in
symptomatic patients and the neurosurgeons should be aware of such rare
situations. A complete list of differential diagnosis about other cystic
dilations of the conus medullaris should be emphasized to select the correct
clinical approach.
PMID- 29791270
TI - Potential for Spread of Hand Infection after Elastic Exsanguination.
PMID- 29791271
TI - Language Skills, but Not Frequency Discrimination, Predict Reading Skills in
Children at Risk of Dyslexia.
AB - This study evaluated the claim that auditory processing deficits are a cause of
reading and language difficulties. We report a longitudinal study of 245 children
at family risk of dyslexia, children with preschool language impairments, and
control children. Children with language impairments had poorer frequency
discrimination thresholds than controls at 5.5 years, but children at family risk
of dyslexia did not. A model assessing longitudinal relationships among frequency
discrimination, reading, language, and executive function skills showed that
frequency discrimination was predicted by executive skills but was not a
longitudinal predictor of reading or language skills. Our findings contradict the
hypothesis that frequency discrimination is causally related to dyslexia or
language impairment and suggest that individuals at risk for dyslexia or who have
language impairments may perform poorly on auditory processing tasks because of
comorbid attentional difficulties.
PMID- 29791272
TI - Are Bullying Perpetration and Victimization Associated with Adolescent Deliberate
Self-Harm? A Meta-Analysis.
AB - This study sought to appraise internationally published literature investigating
bullying in its association with deliberate self-harm (DSH). A systematic review
and series of meta-analyses using random effects models were conducted. A total
of 68 effect sizes, analyzing data from 156,284 adolescents aged 11-19 years were
examined. Results showed significant associations between both traditional
bullying perpetration (OR 1.81, 95% CI [1.33, 2.47], p < .0001) and victimization
(OR 2.34, 95% CI [1.89, 2.89], p < .0001) and DSH. Cyber-bullying victimization
(OR 3.55, 95% CI [2.71, 4.65], p < .0001) and the co-occurrence of traditional
and cyber-bullying victimization (OR 3.39, 95% CI [1.56, 7.37], p = .002) were
also associated with DSH. Moderator analyses showed variation in results based on
methodological- and sample-level variables. Findings suggested that exposure to
bullying, either as perpetrators or victims, poses risks for DSH.
PMID- 29791273
TI - Survivorship care needs among LGBT cancer survivors.
AB - OBJECTIVE: To better understand survivorship care needs among LGBT cancer
survivors. DESIGN: We administered an anonymous online survey. SAMPLE: LGBT
cancer survivors living in the United States. METHODS: Participants were
recruited via the National LGBT Cancer Project. The survey measured
sociodemographic characteristics, social support, posttraumatic stress, and
survivorship care needs. RESULTS: Approximately 72% of our 114 participants were
cisgender male and 87% were white. Almost all participants reported at least some
unmet survivorship care needs (73%), with over half of participants reporting
unmet psychological and sexuality care needs. Participants who reported their
oncologist was not LGBT-competent had greater unmet needs (t(82) = 2.5, p = 0.01)
and greater posttraumatic stress (t(91) = 2.1, p = 0.035). CONCLUSIONS: LGBT
cancer survivors have significant unmet survivorship care needs, and lack of
oncologist LGBT-competence is associated with unmet needs. Implications for
Psychosocial Providers: Our results suggest the need for LGBT competency training
for providers.
PMID- 29791274
TI - Cathodal tDCS of the Left Posterior Parietal Cortex Increases Proprioceptive
Drift.
AB - In aiming movements the limb position drifts away from the defined target after
some trials without visual feedback, a phenomenon defined as proprioceptive drift
(PD). There are no studies investigating the association between the posterior
parietal cortex (PPC) and PD in aiming movements. Therefore, cathodal and sham
transcranial direct current stimulation (tDCS) were applied to the left PPC
concomitantly with the performance of movements with or without vision. Cathodal
tDCS applied without vision produced a higher level of PD and higher rates of
drift accumulation while it decreased peak velocity and maintained the number of
error corrections, not affecting movement amplitude. The proprioceptive
information seems to produce an effective reference to movement, but with PPC
stimulation it causes a negative impact on position.
PMID- 29791276
TI - Isolating the Association of Sleep, Depressive State, and Other Independent
Indicators for Suicide Ideation in United States Teenagers.
AB - According to the Centers for Disease Control and Prevention, suicide is the
second leading cause of death in American teenagers, and is a growing public
health concern. This study uses multivariable logistic regression to investigate
the independent relationship between suicide ideation and sleep duration in
teenagers using the 2015 Youth Behavior Risk Surveillance Study, controlling for
demographic, behavioral, and other factors found to be associated. Sleep
durations of 4-5 hours and 6-7 hours per night were associated with approximately
75-80% and 20-40% increased adjusted odds of suicide ideation, as compared to
teens sleeping the recommended 8 hours or more, and should be considered as a
potential important indicator for adolescent suicidal ideation in primary-care
screens.
PMID- 29791277
TI - Peer Rejection and Internalizing Behavior: The Mediating Role of Peer
Victimization in Preschool.
AB - The author examined the relationship among peer rejection, peer victimization,
and internalizing behaviors. The author hypothesized that physical and relational
victimization would have a different indirect effect on the relationship between
peer rejection and internalizing behaviors. Participants were 94 preschool
children (37 girls; average age 49.97 months) from two university preschools
located in the northern part of the United States. The results indicated that
internalizing behaviors predicted the mediating variables only regarding
relational victimization. Relational victimization indirectly affected the
association between peer rejection and internalizing behaviors. The study
provides evidence of the mediating effect of victimization behaviors on the
relationship among peer rejection, victimization, and internalizing behaviors.
PMID- 29791275
TI - Effects of web-based instruction and patient preferences on patient-reported
outcomes and learning for women with advanced ovarian cancer: A randomized
controlled trial.
AB - A randomized controlled trial was conducted of a web-based intervention to
improve advanced care planning in women with ovarian cancer. A secondary analysis
of 35 randomized women focused on changes in distress and knowledge about ovarian
cancer through distress monitoring and information tailored to patients'
cognitive coping style (monitoring, blunting). Pre-/postresults indicated the
Intervention group demonstrated lower distress (p = 0.06); blunting was
associated with lower depression (p = 0.04); knowledge in both groups was
unchanged. Women in the Intervention vs. Control group reported their family was
less likely to be upset by cancer information (p = 0.0004). This intervention
reduced distress while incorporating patient preferences.
PMID- 29791278
TI - Specificity of Postural Control: Comparing Expert and Intermediate Dancers.
AB - The expert-novice approach is inappropriate for studying postural control in
sport and dance when novices are completely unable to perform relevant postural
tasks and experts cannot demonstrate specific skills on everyday postural tasks.
We tested expertise-specific differences on 6 static everyday and 5 dynamic dance
like postural tasks of varying difficulty in 13 professional and 12 intermediate
nonprofessional dancers. Results showed a clear expert advantage on sway area for
dance-like postural tasks, but not for static everyday tasks. This effect was
also found for the control parameter of root mean square (RMS) velocity and
partly for RMS amplitude of the difference signal between CoP and CoG line
location. Results indicate that the expert advantage is task-specific and deliver
new insights into the specificity of experts' postural performance.
PMID- 29791279
TI - Loneliness in senior housing communities.
AB - There are many studies on loneliness among community-dwelling older adults;
however, there is limited research examining the extent and correlates of
loneliness among older adults who reside in senior housing communities. This
study examines the extent and correlates of loneliness in three public senior
housing communities in the St. Louis area. Data for this project was collected
with survey questionnaires with a total sample size of 148 respondents.
Loneliness was measured using the Hughes 3-item loneliness scale. Additionally,
the questionnaire contained measures on socio-demographics, health/mental health,
social engagement, and social support. Missing data for the hierarchical
multivariate regression models were imputed using multiple imputation methods.
Results showed approximately 30.8% of the sample was not lonely, 42.7% was
moderately lonely, and 26.6% was severely lonely. In the multivariate analyses,
loneliness was primarily associated with depressive symptoms. Contrary to popular
opinion, our study found the prevalence of loneliness was high in senior housing
communities. Nevertheless, senior housing communities could be ideal locations
for reducing loneliness among older adults. Interventions should focus on
concomitantly addressing both an individual's loneliness and mental health.
PMID- 29791280
TI - The Effects of Publicized Suicide Deaths on Subsequent Suicide Counts in Israel.
AB - This study investigated associations between indiscriminate media reporting of
suicides and later inflated suicide counts among Israel's general population
between the years 2008 and 2012. Self-inflicted deaths that received post-suicide
media exposure (referred to as "publicized suicides") were selected via Google
news search-hit appraisals. Distributions of suicides were inspected and risk
ratios (RRs) estimated by comparing population suicide rates 4 weeks before and 4
weeks after each publicized suicide ("reference" vs. "affected" periods,
respectively). Poisson time series regression was employed to account also for
secular trends and seasonality. A total of 2,119 people died by suicide, 13 of
whom received noticeable media attention throughout the study. No meaningful
impact following the 13 deaths on subsequent suicide counts during the
observation window (affected vs. reference phase) was found. Poisson regression
confirmed that suicide counts following publicized suicides were independent of
media coverage. Given the pronounced search hits following the publicized
suicides developing regulation practices that constrain indiscreet media
reporting should officially be included as part of suicide prevention practices.
Future research should focus on imitation suicide effects as a function of post
suicide media exposure, while including both risk and protective factors.
PMID- 29791281
TI - Disparities in psychological well-being based on subjective and objective eating
disorder recovery statuses, and recovery status concordance.
AB - This research examines how eudaimonic psychological well-being (PWB) relates to
variant levels of eating disorder (ED) recovery (full, partial, active ED), both
self-defined and objectively determined, and to subjective-objective recovery
status concordance. Participants (N = 132; Mage = 30.1) completed an online
survey targeting ED symptomology, PWB, and recovery. MANOVAs revealed
idiosyncratic relationships between recovery and PWB when objective criteria,
subjective perceptions, and concordance were considered. Generally, superior
levels of recovery were associated with superior PWB. PWB and clients'
perceptions of recovery should be considered during treatment and in establishing
a uniform definition of ED recovery.
PMID- 29791283
TI - Understanding the Expanding Roles of Interferon and Cytokines in Health and
Disease.
PMID- 29791282
TI - Quantitation of Plasmacytoid Dendritic Cells in Chronic Hepatitis B Patients with
HBeAg Positivity During PEG-IFN and Entecavir Therapy.
AB - Plasmacytoid dendritic cells (pDCs) are crucial for control of chronic hepatitis
B (CHB) virus infection. In this study, we evaluated the frequencies of pDCs and
expression of functional molecules on pDCs in patients treated with PEG-IFN-alpha
2a or entecavir (ETV) and investigated changes during treatment. The mean
fluorescence intensity of CD86 (CD86MFI) on the surface of pDCs and frequencies
of pDCs and CD86+ pDCs in peripheral blood were measured. Compared with baseline,
CD86+ pDC% and CD86MFI increased obviously after PEG-IFN-alpha-2a treatment for
12 and 24 weeks. For patients treated with ETV, only pDC% increased observably
after treatment weeks 12 and 24 (P < 0.001) compared with baseline. Hepatitis B
surface antigen (HBsAg) decline was significantly associated with elevated CD86+
pDC% (r = 0.348, P = 0.015) during PEG-IFN-alpha-2a treatment. In the HBsAg
response group, CD86+ pDC% and CD86MFI (P < 0.001) increased observably after PEG
IFN-alpha-2a therapy, whereas only CD86MFI had a statistically significant
difference after therapy compared with baseline (12 weeks versus 0 weeks, P =
0.022; 24 weeks versus 0 weeks, P = 0.015) in the HBsAg nonresponse group. CD86+
pDC% between the 2 groups had statistically significant differences at baseline
(P = 0.001) and at the treatment time points of 12 and 24 weeks (P < 0.001),
respectively. For patients receiving ETV therapy, pDC% increased observably, but
CD86+ pDC% decreased significantly (P < 0.001) in the HBV DNA nonresponse group
during early treatment with ETV. In CHB patients, HBsAg response in PEG-IFN-alpha
2a therapy correlated with the increase of CD86+ pDC% and HBV DNA nonresponse in
ETV treatment correlated with the decrease of CD86+ pDC%.
PMID- 29791284
TI - Risk-Imaging Mismatch in Cardiac Imaging Practices for Women Receiving Systemic
Therapy for Early-Stage Breast Cancer: A Population-Based Cohort Study.
AB - Purpose To assess prechemotherapy cardiac imaging practices in relation to
patients' heart failure (HF) risk. Methods We performed a population-based
retrospective cohort study of women receiving chemotherapy for early-stage breast
cancer in Ontario between 2007 and 2012. We surveyed for baseline cardiac imaging
6 months before chemotherapy or within 30 days thereafter. The proportion of
patients who underwent imaging and cumulative incidence of major adverse cardiac
event (MACE) rates was determined based on chemotherapy regimen and HF risk
factors. Logistic regression was used to assess predictors of pretreatment
cardiac imaging. Results We studied 18,444 women who had been treated with
chemotherapy (median age, 55 years). There was near-universal imaging of women
treated with trastuzumab-containing regimens, including those without additional
HF risk factors. Women who received anthracyclines without trastuzumab underwent
imaging more frequently if they had additional HF risk factors (73.3% v 62.6%; P
< .001). The 5-year incidence of MACE was two to six times higher in patients
with HF risk factors across all treatment regimens. Patients with HF risk factors
who received anthracyclines without trastuzumab had a higher 5-year incidence of
MACE (4.5%) than patients without HF risk factors who received trastuzumab
without anthracyclines (2.6%). However, cardiac imaging was less frequent in the
former group (73.3% v 93.6%; P < .001). Logistic regression indicated that most
variation in baseline imaging was related to chemotherapy, followed by physician
level factors. The odds of imaging were doubled with female physicians. Patient
specific factors, including HF risk factors, made minimal contribution to
variation in imaging. Conclusion Baseline cardiac imaging was driven by
chemotherapy regimen rather than HF risk. This risk-imaging mismatch is an
impetus to reconsider current cardiac imaging practices in patients who receive
chemotherapy for breast cancer.
PMID- 29791286
TI - Metastatic Pancreatic Cancer: ASCO Clinical Practice Guideline Update.
AB - Purpose In 2016, ASCO published a guideline to assist in clinical decision making
in metastatic pancreatic cancer for initial assessment after diagnosis, first-
and second-line treatment options, palliative and supportive care, and follow-up.
The purpose of this update is to incorporate new evidence related to second-line
therapy for patients who have experienced disease progression or intolerable
toxicity during first-line therapy. Methods ASCO convened an Expert Panel to
conduct a systematic review of the literature on second-line therapy published
between June 2015 and January 2018. Recommendations on other topics covered in
the 2016 Metastatic Pancreatic Cancer Guideline were endorsed by the Expert
Panel. Results Two new studies were found that met the inclusion criteria.
Recommendations For second-line therapy, gemcitabine plus nanoparticle albumin
bound paclitaxel should be offered to patients with first-line treatment with
FOLFIRINOX (leucovorin, fluorouracil, irinotecan, and oxaliplatin), an Eastern
Cooperative Oncology Group performance status (ECOG PS) of 0 to 1, and a
favorable comorbidity profile; fluorouracil plus nanoliposomal irinotecan can be
offered to patients with first-line treatment with gemcitabine plus NAB
paclitaxel, an ECOG PS of 0 to 1, and a favorable comorbidity profile;
fluorouracil plus irinotecan or fluorouracil plus oxaliplatin may be offered when
there is a lack of availability of fluorouracil plus nanoliposomal irinotecan;
gemcitabine or fluorouracil should be offered to patients with either an ECOG PS
of 2 or a comorbidity profile that precludes other regimens. Testing select
patients for mismatch repair deficiency or microsatellite instability is
recommended, and pembrolizumab is recommended for patients with mismatch repair
deficiency or high microsatellite instability tumors. Endorsed recommendations
from the 2016 version of this guideline for computed tomography, baseline
performance status and comorbidity profile, defining goals of care, first-line
therapy, and palliative care are also contained within the full guideline text.
Additional information is available at www.asco.org/gastrointestinal-cancer
guidelines .
PMID- 29791285
TI - Cardiac Structure Injury After Radiotherapy for Breast Cancer: Cross-Sectional
Study With Individual Patient Data.
AB - Purpose Incidental cardiac irradiation can cause cardiac injury, but little is
known about the effect of radiation on specific cardiac segments. Methods For 456
women who received breast cancer radiotherapy between 1958 and 2001 and then
later experienced a major coronary event, information was obtained on the
radiotherapy regimen they received and on the location of their cardiac injury.
For 414 women, all with documented location of left ventricular (LV) injury,
doses to five LV segments were estimated. For 133 women, all with documented
location of coronary artery disease with >= 70% stenosis, doses to six coronary
artery segments were estimated. For each segment, numbers of women with left
sided and right-sided breast cancer were compared. Results Of women with LV
injury, 243 had left-sided breast cancer and 171 had right-sided breast cancer
(ratio of left v right, 1.42; 95% CI, 1.17 to 1.73), reflecting the higher
typical LV radiation doses in left-sided cancer (average dose left-sided, 8.3 Gy;
average dose right-sided, 0.6 Gy; left minus right dose difference, 7.7 Gy). For
individual LV segments, the ratios of women with left- versus right-sided
radiotherapy were as follows: inferior, 0.94 (95% CI, 0.70 to 1.25); lateral,
1.42 (95% CI, 1.04 to 1.95); septal, 2.09 (95% CI, 1.37 to 3.19); anterior, 1.85
(95% CI, 1.39 to 2.46); and apex, 4.64 (95% CI, 2.42 to 8.90); corresponding left
minus-right dose differences for these segments were 2.7, 4.9, 7.2, 10.4, and
21.6 Gy, respectively ( Ptrend < .001). For women with coronary artery disease,
the ratios of women with left- versus right-radiotherapy for individual coronary
artery segments were as follows: right coronary artery proximal, 0.48 (95% CI,
0.26 to 0.91); right coronary artery mid or distal, 1.69 (95% CI, 0.85 to 3.36);
circumflex proximal, 1.46 (95% CI, 0.72 to 2.96); circumflex distal, 1.11 (95%
CI, 0.45 to 2.73); left anterior descending proximal, 1.89 (95% CI, 1.07 to
3.34); and left anterior descending mid or distal, 2.33 (95% CI, 1.19 to 4.59);
corresponding left-minus-right dose differences for these segements were -5.0,
2.5, 1.6, 3.5, 9.5, and 38.8 Gy ( Ptrend = .002). Conclusion For individual LV
and coronary artery segments, higher radiation doses were strongly associated
with more frequent injury, suggesting that all segments are sensitive to
radiation and that doses to all segments should be minimized.
PMID- 29791288
TI - Strikingly Heterogeneous Results Among Studies on Interim Fluorodeoxyglucose
Positron Emission Tomography-Adapted Treatment in Advanced-Stage Hodgkin
Lymphoma.
PMID- 29791289
TI - Minimally Invasive Lung Cancer Surgery Performed by Thoracic Surgeons as
Effective as Thoracotomy.
AB - Purpose The prevalence of minimally invasive lung cancer surgery using video
assisted thoracic surgery (VATS) has increased dramatically over the past decade,
yet recent studies have suggested that the lymph node evaluation during VATS
lobectomy is inadequate. We hypothesized that the minimally invasive approach to
lobectomy for stage I lung cancer resulted in a longitudinal outcome that was not
inferior to thoracotomy. Patients and Methods Patients > 65 years of age who had
undergone lobectomy for stage I lung cancer between 2002 and 2013 were analyzed
within the Society of Thoracic Surgeons General Thoracic Surgery Database, which
had been linked to Medicare data, as part of a retrospective-cohort,
noninferiority study. Results A total of 10,597 patients with clinical stage I
lung cancer who underwent lobectomy were evaluated (4,448 patients underwent
thoracotomy, and 6,149 underwent VATS). VATS patients had a more favorable
distribution of all health-related variables, including pulmonary function (59%
of VATS patients had intact spirometry v 51% of thoracotomy patients; P < .001).
Cox proportional hazards models were performed over two eras to account for an
evolving practice standard. The mortality risk associated with the VATS approach
was not greater than thoracotomy in either the earlier era (2002 to 2008; hazard
ratio, 0.97; 95% CI, 0.87 to 1.09; P = .62) or the more recent era (2009 to 2013;
hazard ratio, 0.84; 95% CI, 0.75 to 0.93; P < .001). Kaplan-Meier survival
estimates of 2,901 propensity-matched VATS-thoracotomy pairs demonstrated that
the 4-year survival associated with VATS (68.6%) was modestly superior to
thoracotomy (64.8%; P = .003). The analyses detailed above were replicated in a
separate cohort of pathologic stage I patients with similar findings. Conclusion
The long-term efficacy of lobectomy for stage I lung cancer performed using the
VATS approach by board-certified thoracic surgeons does not seem to be inferior
to that of thoracotomy.
PMID- 29791287
TI - BRCA1/2 Mutations and Bevacizumab in the Neoadjuvant Treatment of Breast Cancer:
Response and Prognosis Results in Patients With Triple-Negative Breast Cancer
From the GeparQuinto Study.
AB - Purpose BRCA1/2 mutations are frequent in patients with triple-negative breast
cancer (TNBC). These patients are often treated with primary systemic
chemotherapy. The aim of this study was to analyze the effects of BRCA1/2
mutations on pathologic complete response (pCR) and disease-free survival (DFS)
in a cohort of patients with TNBC treated with anthracycline and taxane
containing chemotherapy, with or without bevacizumab. Patients and Methods
Germline DNA was sequenced to identify mutations in BRCA1 and BRCA2 in 493
patients with TNBC from the GeparQuinto study. The pCR rates were compared in
patients with and without mutation, as well as in patients treated with and
without bevacizumab. In addition, the influence of BRCA1/2 mutation status and
pCR status on DFS was evaluated relative to treatment. Results BRCA1/2 mutations
were detected in 18.3% of patients with TNBC. Overall, patients with mutations
had a pCR rate of 50%, compared with 31.5% in patients without a mutation (odds
ratio [OR], 2.17; 95% CI, 1.37 to 3.46; P = .001). The pCR rate among patients
treated with bevacizumab was 61.5% for BRCA1/2 mutation carriers and 35.6% for
those without mutations (OR, 2.90; 95% CI, 1.43 to 5.89; P = .004). pCR was a
strong predictor of DFS for patients without BRCA1/2 mutations (hazard ratio,
0.18; 95% CI, 0.11 to 0.31) but not for patients with BRCA1/2 mutations (hazard
ratio, 0.74; 95% CI, 0.32 to 1.69). Conclusion The addition of bevacizumab may
increase the pCR after standard neoadjuvant chemotherapy for patients with TNBC
with BRCA1/2 mutations. In patients treated with anthracycline and taxane-based
chemotherapy (with or without bevacizumab), pCR was a weaker predictor of DFS for
BRCA1/2 mutation carriers than for patients without mutations.
PMID- 29791291
TI - Additional Evidence That End-of-Treatment Fluorodeoxyglucose-Positron Emission
Tomography Evaluation Is Necessary in Advanced Hodgkin Lymphoma.
PMID- 29791290
TI - Reply to H.J.A. Adams et al and C. Mesguich et al.
PMID- 29791292
TI - Sepsis Caused by Streptococcus suis Serotype 2 in a Eurasian River Otter ( Lutra
lutra) in the Republic of Korea.
AB - An adult male Eurasian river otter ( Lutra lutra) was diagnosed with systemic
infection. Microbiologic findings identified Streptococcus suis serotype 2,
clonal complex 28, and sequence type 629. Genetic analysis strongly suggested the
transmission of S. suis isolate from pigs to wild animals through environmental
contamination.
PMID- 29791293
TI - Thelazia callipaeda, an Endemic Parasite of Red Foxes ( Vulpes vulpes) in Western
Romania.
AB - Thelazia callipaeda (Spirurida, Thelaziidae) is a vector-borne zoonotic nematode
parasite of the conjunctival sac of a large spectrum of hosts, currently having
an expanding distribution range throughout Europe. In Romania, the parasite has a
relatively large distribution range and host spectrum. However, the presence of
T. callipaeda in red foxes ( Vulpes vulpes) has not yet been confirmed. The aim
of the present study was to assess the prevalence and geographical distribution
of T. callipaeda in red foxes from Romania. A total of 514 animals originating
from 10 counties of western Romania were evaluated for the presence of T.
callipaeda. Overall, 151 red foxes (29.38%) were positive. No significant
differences were noticed between sex and age groups of positive foxes. In total,
3,350 adult nematodes were recovered. The intensity of infection had a mean value
of 23.2, while the sex ratio was 2.2:1 in favor of females. In 91 foxes, both
eyes were affected, while 60 harbored unilateral infection. Sequence analysis of
the cox 1 gene of one nematode from each positive fox ( n=151) revealed the
exclusive presence of haplotype h1. We report a relatively high prevalence and
distribution range of T. callipaeda infection in red foxes in Romania,
highlighting their potential role as a wildlife reservoir.
PMID- 29791295
TI - ULTRASONOGRAPHIC DETECTION OF INGESTED FISHING LINES IN LOGGERHEADS ( CARETTA
CARETTA).
AB - Loggerhead sea turtles ( Caretta caretta) are among the most frequent victims of
bycatch in drifting longlines, and the ingestion of fish hooks and fishing lines
is one of the most frequent causes of death of sea turtles. The aim of this study
was to evaluate whether coelomic ultrasound (US) can be decisive, not only for
diagnosis but also to optimize surgical planning based on preoperative evaluation
of the bowel conditions and, in addition, to see if there are characteristic
sonographic findings in sea turtles associated with the ingestion of fishing
lines. Physical examination, hematology, blood chemistry, radiographs, and US
examination were performed in 37 loggerhead sea turtles with suspected or known
ingestion of fish hooks or monofilament fishing lines. During the
ultrasonographic examinations, the loggerhead sea turtles were placed in dorsal
recumbency and the prefemoral left and right acoustic windows were used. Nine
wild loggerheads had sonographic findings of intestinal and coelomic
abnormalities, and the sonographic images were compared with the surgical
findings. Ultrasonography positively identified the foreign body in 89% (8/9)
animals. The presence of intestinal plication (in all loggerhead turtles) and
ultrasonographic visualization of the linear foreign body was always consistent
with the ingestion of a fishing line. In sea turtles, fishing lines cause a
corrugated appearance in the small intestine due to increased/unproductive
peristalsis. The affected small bowel loops are usually dilated with fluid. In
the present study, coelomic US allowed us to make a thorough evaluation of the
characteristics, number, and severity of the bowel wall lesions in the animals,
thus ensuring the planning of a correct surgical procedure. We suggest that US
examination of the coelomic cavity should be complementary to radiographic survey
in cases of suspected ingestion of fish hooks and fishing lines by sea turtles.
PMID- 29791294
TI - A SURVEY OF PARASITE LESIONS IN WILD RED DEER ( CERVUS ELAPHUS) FROM ARGENTINA.
AB - In Argentina there is little information about diseases that affect exotic
ungulates and the health risks that they pose to native wildlife, livestock, and
humans. The aim of this study was to evaluate the health status of red deer (
Cervus elaphus) in the Nahuel Huapi National Park and surrounding areas in
Patagonia, Argentina. During three consecutive hunting seasons, necropsies were
performed on 101 red deer, and tissues were examined histologically. The most
common lesions were those associated with hepatic and pulmonary parasites.
Fasciola hepatica was observed in 15 red deer and was associated with
cholangiohepatitis (8%) and/or cholangitis (10%). Dictyocaulus sp. (likely
Dictyocaulus eckerti) was associated with interstitial pneumonia (5%), bronchitis
(5%), pulmonary emphysema (13%), and bronchus-associated lymphoid tissue
hyperplasia (13%). Other findings included Sarcocystis spp. cysts in the
myocardium (89%) associated with interstitial, focal, lymphoplasmacytic
myocarditis (8%); periportal lymphoplasmacytic hepatitis (8%); hepatic
centrilobular necrosis (6%); lymphoplasmacytic interstitial nephritis (25%); and
follicular hyperplasia in mediastinal, prescapular, and prefemoral lymph nodes
(86%). Our report of lesions caused by endemic parasites of livestock in free
ranging exotic red deer in Patagonia sets the foundation for a health-monitoring
and -surveillance system of wildlife in this region, which is essential for the
sustainable management of threatened Argentinean native fauna.
PMID- 29791296
TI - Attending to What and Where: Background Connectivity Integrates Categorical and
Spatial Attention.
AB - Top-down attention prioritizes the processing of goal-relevant information
throughout visual cortex based on where that information is found in space and
what it looks like. Whereas attentional goals often have both spatial and
featural components, most research on the neural basis of attention has examined
these components separately. Here we investigated how these attentional
components are integrated by examining the attentional modulation of functional
connectivity between visual areas with different selectivity. Specifically, we
used fMRI to measure temporal correlations between spatially selective regions of
early visual cortex and category-selective regions in ventral temporal cortex
while participants performed a task that benefitted from both spatial and
categorical attention. We found that categorical attention modulated the
connectivity of category-selective areas, but only with retinotopic areas that
coded for the spatially attended location. Similarly, spatial attention modulated
the connectivity of retinotopic areas only with the areas coding for the attended
category. This pattern of results suggests that attentional modulation of
connectivity is driven both by spatial selection and featural biases. Combined
with exploratory analyses of frontoparietal areas that track these changes in
connectivity among visual areas, this study begins to shed light on how different
components of attention are integrated in support of more complex behavioral
goals.
PMID- 29791297
TI - Late Positive Component Event-related Potential Amplitude Predicts Long-term
Classroom-based Learning.
AB - It is difficult to predict whether newly learned information will be retrievable
in the future. A biomarker of long-lasting learning, capable of predicting an
individual's future ability to retrieve a particular memory, could positively
influence teaching and educational methods. ERPs were investigated as a potential
biomarker of long-lasting learning. Prior ERP studies have supported a dual
process model of recognition memory that categorizes recollection and familiarity
as distinct memorial processes with distinct ERP correlates. The late positive
component is thought to underlie conscious recollection and the frontal N400
signal is thought to reflect familiarity [Yonelinas, A. P. Components of episodic
memory: The contribution of recollection and familiarity. Philosophical
Transactions of the Royal Society of London, Series B, Biological Sciences, 356,
1363-1374, 2001]. Here we show that the magnitude of the late positive component,
soon after initial learning, is predictive of subsequent recollection of
anatomical terms among medical students 6 months later.
PMID- 29791298
TI - The Influence of Dopamine on Cognitive Flexibility Is Mediated by Functional
Connectivity in Young but Not Older Adults.
AB - Dopaminergic signaling in striatum is strongly implicated in executive functions
including cognitive flexibility. However, there is a paucity of multimodal
research in humans defining the nature of relationships between endogenous
dopamine, striatal network activity, and cognition. Here, we measured dopamine
synthesis capacity in young and older adults using the PET tracer 6-[18F]fluoro-l
m-tyrosine and examined its relationship with cognitive performance and
functional connectivity during an fMRI study of task switching. Aging is
associated with alteration in dopamine function, including profound losses in
dopamine receptors but an apparent elevation in dopamine synthesis. A
compensatory benefit of upregulated dopamine synthesis in aging has not been
established. Across young and older adults, we found that cognitive flexibility
(low behavioral switch cost) was associated with stronger task-related functional
connectivity within canonical fronto-striato-thalamic circuits connecting left
inferior frontal gyrus, dorsal caudate nucleus (DCA) and ventral lateral/ventral
anterior thalamic nuclei. In young adults, functional connectivity mediated the
influence of DCA dopamine synthesis capacity on switch cost. For older adults,
these relationships were modified such that DCA synthesis capacity and
connectivity interacted to influence switch cost. Older adults with most elevated
synthesis capacity maintained the pattern of connectivity-cognition relationships
observed in youth, whereas these relationships were not evident for older adults
with low synthesis capacity. Together, these findings suggest a role of dopamine
in tuning striatal circuits to benefit executive function in young adults and
clarify the functional impact of elevated dopamine synthesis capacity in aging.
PMID- 29791299
TI - Spatial Attention Enhances the Neural Representation of Invisible Signals
Embedded in Noise.
AB - Recent evidence suggests that voluntary spatial attention can affect neural
processing of visual stimuli that do not enter conscious awareness (i.e.,
invisible stimuli), supporting the notion that attention and awareness are
dissociable processes [Wyart, V., Dehaene, S., & Tallon-Baudry, C. Early
dissociation between neural signatures of endogenous spatial attention and
perceptual awareness during visual masking. Frontiers in Human Neuroscience, 6, 1
14, 2012; Watanabe, M., Cheng, K., Murayama, Y., Ueno, K., Asamizuya, T., Tanaka,
K., et al. Attention but not awareness modulates the BOLD signal in the human V1
during binocular suppression. Science, 334, 829-831, 2011]. To date, however, no
study has demonstrated that these effects reflect enhancement of the neural
representation of invisible stimuli per se, as opposed to other neural processes
not specifically tied to the stimulus in question. In addition, it remains
unclear whether spatial attention can modulate neural representations of
invisible stimuli in direct competition with highly salient and visible stimuli.
Here we developed a novel EEG frequency-tagging paradigm to obtain a continuous
readout of human brain activity associated with visible and invisible signals
embedded in dynamic noise. Participants ( n = 23) detected occasional contrast
changes in one of two flickering image streams on either side of fixation. Each
image stream contained a visible or invisible signal embedded in every second
noise image, the visibility of which was titrated and checked using a two
interval forced-choice detection task. Steady-state visual-evoked potentials were
computed from EEG data at the signal and noise frequencies of interest. Cluster
based permutation analyses revealed significant neural responses to both visible
and invisible signals across posterior scalp electrodes. Control analyses
revealed that these responses did not reflect a subharmonic response to noise
stimuli. In line with previous findings, spatial attention increased the neural
representation of visible signals. Crucially, spatial attention also increased
the neural representation of invisible signals. As such, the present results
replicate and extend previous studies by demonstrating that attention can
modulate the neural representation of invisible signals that are in direct
competition with highly salient masking stimuli.
PMID- 29791300
TI - Which Chart Elements Accurately Identify Emergency Department Visits for Suicidal
Ideation or Behavior?
AB - OBJECTIVE: In an emergency department (ED) sample, we investigated the
concordance between identification of suicide-related visits through standardized
comprehensive chart review versus a subset of three specific chart elements: ICD
9-CM codes, free-text presenting complaints, and free-text physician discharge
diagnoses. METHODS: Review of medical records for adults (>=18 years) at eight
EDs across the United States. RESULTS: A total of 3,776 charts were reviewed. A
combination of the three chart elements (ICD-9-CM, presenting complaints, and
discharge diagnoses) provided the most robust data with 85% sensitivity, 96%
specificity, 92% PPV, and 92% NPV. CONCLUSIONS: These findings highlight the use
of key discrete fields in the medical record that can be extracted to facilitate
identification of whether an ED visit was suicide-related.
PMID- 29791301
TI - The Significance of Interleukin-6 in the Early Detection of Surgical Site
Infections after Definitive Operation for Gastrointestinal Fistulae.
AB - BACKGROUND: Surgical site infections (SSIs) are among the most common
complications after definitive treatment for intestinal fistulae. Serum
inflammatory markers including white blood cell count (WBC), C-reactive protein
(CRP), interleukin-6 (IL-6), as well as procalcitonin (PCT) have been used to
help diagnosis post-operative complications. OBJECTIVE: The goal of this study
was to assess the clinical value of inflammatory markers, specifically IL-6, in
predicting SSIs after intestinal fistulae resection. METHODS: A total of 184
consecutive patients who underwent elective intestinal fistula resection were
enrolled prospectively. All patients were screened to exclude patients with
existing clinical infection. Plasma IL-6 concentrations, serum PCT, and CRP
concentrations were measured pre-operatively and on post-operative days one,
three, and seven. The predictive value of each laboratory marker for SSI was
calculated. RESULTS: The incidence of SSI after elective intestinal fistula
resection was 26.7%. Interleukin-6, PCT, and CRP concentrations were higher in
patients with SSIs compared with patients without. In contrast, there was no
statistical difference for WBC counts between the two groups. Receiver operating
characteristic curves demonstrated that IL-6 had the highest diagnostic
effectiveness for post-operative SSI on post-operative day one, with an area
under the curve of 0.77, and a sensitivity of 85.7% and specificity of 63.9%.
CONCLUSION: A concentration of IL-6 above 95.6 ng/L on post-operative day one and
52.5 ng/L on post-operative day three, and a concentration of PCT exceeding 0.61
mcg/L predict the occurrence of SSI after definitive operations for
gastrointestinal fistulae.
PMID- 29791302
TI - Preferences of adults with spinal cord injury for widely used health-related
quality of life and subjective well-being measures.
AB - OBJECTIVE: To describe preferences for survey instruments on health-related
quality of life (HRQOL) and subjective well-being (SWB) among adults with spinal
cord injury (SCI), and compare perspectives on the instruments between the United
States and the United Kingdom. DESIGN: We conducted 20 in-depth interviews.
SETTING: Participants were interviewed in their homes, some in person and some
via Skype. PARTICIPANTS: A convenience sample of 20 adults with SCI (10 in the US
and 10 in the UK) were recruited via print and on-line advertisements.
INTERVENTIONS: Not applicable. MAIN OUTCOME MEASURES: Interviewees reviewed six
instruments and rated how important it was for their medical providers to know
answers to each survey. Two coders analyzed verbatim transcriptions independently
using an inductive approach. Keyword-in-context (KWIC) analysis identified the
most frequently used words by interviewees to discuss the merits of each
instrument. RESULTS: Participants in both samples identified the Fatigue Severity
Scale (FSS) as "vital" that their medical providers know about it. This was
followed by the Spinal Cord Injury Independence Measure III, and a stand-alone
Eudaimonic Well-Being question. The KWIC analysis showed that the most
distinctive words used to discuss FSS were "fatigue" and "pain." CONCLUSIONS:
Understanding what HRQOL and SWB measures are valued by adults living with SCI
can lead to selection of informative instruments, which could help clinicians to
complement and tailor established care and rehabilitation protocols for
individual needs. Participants identified fatigue as a significant issue, and the
FSS as a vitally important instrument to share with medical providers.
PMID- 29791303
TI - Suicide Timing in 18 States of the United States from 2003-2014.
AB - OBJECTIVES: We investigated suicide timing over different time periods by age,
sex and race/ethnicity. METHODS: 122,107 suicide deaths were identified from the
population-based U.S. National Violent Death Reporting System in 18 U.S. States
from 2003 through 2014. RESULTS: Suicides significantly increased (p < 0.05) from
March to peak in September before falling, the first week of the month, and early
in the week. Suicides also significantly increased (p < 0.05) in the morning,
mainly peaking during the afternoon, although suicides in adolescents peaked in
the evening and in those 65 years and older peaked in the morning. CONCLUSIONS:
Comprehensive prevention efforts should focus on those at overall increased
suicide risk and at peaks of high suicide frequency, especially among those
vulnerable subgroups.
PMID- 29791304
TI - Common Pathways to NSSI and Suicide Ideation: The Roles of Rumination and Self
Compassion.
AB - We investigated whether rumination and self-compassion moderate and/or mediate
the relationships between negative affect and both non-suicidal self-injury
(NSSI) and suicide ideation. Undergraduate university students (n = 415)
completed well-validated measures of negative affect, rumination, self
compassion, NSSI, and suicide ideation. Neither rumination nor self-compassion
moderated associations between negative affect and NSSI and suicide ideation.
However, both rumination and self-compassion mediated associations between
negative affect and lifetime history of NSSI and suicide ideation. Self
compassion additionally mediated the association between negative affect and both
12-month NSSI and suicide ideation. The salience of self-compassion, particularly
in predicting recent NSSI and suicide ideation, offers promise for early
intervention initiatives focusing on less judgmental or self-critical means of
self-relation.
PMID- 29791305
TI - Commercial Frozen Mice Used by Owners to Feed Reptiles are Highly Externally
Contaminated with Salmonella Enteritidis PT8.
AB - Salmonella remains one of the most prevalent zoonoses worldwide. Although
salmonellosis is commonly associated with the consumption of contaminated food,
it has been estimated that up to 11% of Salmonella infections overall are
acquired from direct or indirect contact with animals, including reptiles. In
2016, an outbreak of Salmonella Enteritidis involving multiple cases, especially
children, associated with reptile contact and contaminated feeder mice was
reported in the United Kingdom. The aim of this study was to investigate
Salmonella external and internal contamination of stored commercial frozen feeder
mice used to feed reptiles and obtained from the same supplier involved in the
outbreak. In this study a total of 295 mice were tested (60 pinkies, 60 fuzzies,
60 small, 60 large, and 55 extra large). In this study, both external
(integument) and internal (selected organs) contamination were evaluated.
Salmonella Enteritidis PT8 and PT13 were isolated from 28.8% (n = 17) of the 59
batches tested, with the exception of the large mice category. Positive mice were
mostly contaminated externally (92.3% vs. 26.9% for carcass wash and viscera,
respectively). All isolates were sensitive to all 16 antimicrobials tested. The
high level of external contamination of the rodent carcasses might have played a
role in the human outbreak in 2016. Reptile owner management of the rodent
carcasses at home could be an important source of salmonellosis outbreaks.
Collaboration among public health officials, pet industry, veterinarians, and
reptile owners is needed to help prevent the risk of salmonellosis associated
with animal-based food intended for reptiles.
PMID- 29791306
TI - Cause of Caregiver Death and Surviving Caregiver Coping Style Predict Thwarted
Belongingness in Bereaved Youth.
AB - Both bereavement and thwarted belongingness serve as risk markers for youth
suicide-related behaviors. This study examined candidate predictors of thwarted
belongingness among bereaved youth, including caregiver cause of death and
surviving caregiver coping style. A group of 43 caregiver-bereaved families (58
children aged 7-13-years-old) participated in a longitudinal study investigating
associations between hypothesized bereavement-related contextual factors and
youth thwarted belongingness. Cause of caregiver deaths included anticipated
deaths preceded by illness (37%; n = 16), sudden natural deaths (25.6%; n = 11),
accidental deaths (20.9%; n = 9), death by suicide (9.3%; n = 4), and death from
drug overdose (7.0%; n = 3). Children's thwarted belongingness was significantly
higher among youth bereaved by suicide compared to youth bereaved by sudden
natural death, accident, and anticipated death by illness. Surviving caregivers'
use of behavioral disengagement and positive reinterpretation and growth as
coping strategies at Time 1 predicted lower thwarted belongingness in children at
Time 2. Implications for risk screening and assessment of suicide risk among
bereaved youth are discussed.
PMID- 29791307
TI - Symptomatic pneumocephalus: A rare complication of discal herniation's surgery.
AB - Context We report the case of a 40-year-old woman with no pathological history,
operated from an L4-L5 disc herniation by a left unilateral approach. The dura
mater enveloping the left L5 root was accidentally injured at its lateral face
causing a breach with CSF leakage. This breach could not be sutured. A few hours
after waking, the patient presented an agitation followed by three generalized
tonico-clonic seizures. Cerebral imaging revealed pneumocephalus. The patient was
hospitalized in an intensive care unit. The symptoms gradually faded and the
patient was discharged 3 days after surgery. Findings Pneumocephalus is defined
by the presence of air inside the skull. The symptoms of pneumocephalus are
generally non-specific and varied, and this complication should also be kept in
mind to prevent potentially severe course. The prevention of postoperative
pneumocephalus depends on a well-defined strategy in the case of iatrogenic dural
tear. Conclusions Symptomatic pneumocephalus is a very rare complication in the
course of lumbar surgery. Conservative therapy may be appropriate even in severe
symptomatic manifestations.
PMID- 29791308
TI - Optimal level and order detection in wavelet decomposition for PCG signal
denoising.
AB - The recorded phonocardiogram (PCG) signal is often contaminated by different
types of noises that can be seen in the frequency band of the PCG signal, which
may change the characteristics of this signal. Discrete wavelet transform (DWT)
has become one of the most important and powerful tools of signal representation,
but its effectiveness is influenced by the issue of the selected mother wavelet
and decomposition level (DL). The selection of the DL and the mother wavelet are
the main challenges. This work proposes a new approach for finding an optimal DL
and optimal mother wavelet for PCG signal denoising. Our approach consists of two
algorithms designed to tackle the problems of noise and variability caused by PCG
acquisition in a real clinical environment for different categories of patients.
The results obtained are evaluated by examining the coherence analysie (Coh)
correlation coefficient (Corr) and the mean square error (MSE) and signal-to
noise ratio (SNR) in simulated noisy PCG signals. The experimental results show
that the proposed method can effectively reduce noise.
PMID- 29791309
TI - Retraction of: External Quality Assessment Programs in the US with an emphasis on
urinary sediment testing: the College of American Pathologists experience.
PMID- 29791310
TI - Ways of Knowing as a Framework for Developing Reflective Practice among Nursing
Students.
AB - : Abstract Background:Nursing students face the challenge of developing a
comprehensive understanding of the complex role of the registered nurse across
various clinical settings. Frameworks such as the "ways of knowing", help
students conceptualize and critically reflect upon important processes in their
professional learning. However, the academic language used to describe frameworks
can be challenging. PURPOSE: This manuscript has two purposes. First, to briefly
describe the historical climate influencing the development of nursing as a
discipline. Second, two clinical practicum scenarios in acute care and community
based care are explored with the application of Carper's four fundamental ways of
knowing in nursing (empirical, aesthetic, self-knowledge, ethical), and Chinn and
Kramer's fifth, emancipatory, knowing as foundational to developing reflexivity
in clinical practice. CONCLUSION: These practical descriptions and concrete
examples can assist students in incorporating the five ways of knowing into their
reflective practice and thereby more fully develop their construct of nursing.
PMID- 29791311
TI - Protective effects of persian honey, Apis Mellifera Meda Skorikov on side effects
of chemotherapy and ischemia/reperfusion induced testicular injury.
AB - Introduction The aim of the present study was to survey the protective effect of
pretreatment with Persian honey on amelioration of side effects of chemotherapy
and ischemia/reperfusion induced testicular injury. Materials and methods Forty
adult's male wistar rats were divided into four groups of ischemia-reperfusion
(IR), honey + ischemia-reperfusion (HIR), Busulfan (B) and Busulfan
intraperitoneally+ honey (BH). The seminiferous tubules were rated for their
modified spermatogenesis index (SI) by Johnsons score. Detection of single- and
double-stranded DNA breaks at the early stages of apoptosis was performed using
the in-situ cell death detection kit. Total serum concentration of Follicle
stimulating hormone (FSH) , Luteinizing hormone (LH) and testosterone was
measured using ELISA. All data were expressed as mean +/- SD and significance was
set at p<=0.05. Results Honey improved SI in the HIR and BH groups and serum
levels of FSH and LH in the BH and HIR groups (p<0.001). Also, serum levels of
testosterone were significantly higher in BH and HIR groups. But, apoptotic cells
in IR and B groups significantly increased (p<0.001), while in HIR and BH groups,
the number of apoptotic cells decreased and the positive cells of TUNEL (TdT
mediated dUTP-X nick end labelling) staining were detected in spermatocytes and
spermatid. Discussion Pretreatment with honey protect testis against chemotherapy
and testicular IR injury, increase FSH and LH and testosterone and decrease the
cellular damage and apoptosis. Honey can decrease the side effects of
chemotherapy on reproductive system and prevent sterility.
PMID- 29791312
TI - African crocus (Curculigo pilosa) and wonderful kola (Buchholzia coriacea) seeds
modulate critical enzymes relevant to erectile dysfunction and oxidative stress.
AB - Background The seeds of African crocus (AC) (Curculigo pilosa) and wonderful kola
(WK) (Buchholzia coriacea) are commonly used in folklore medicine in managing
erectile dysfunction (ED) without the full understanding of the possible
mechanism of actions. This study investigated and compared the effects of aqueous
extracts from the seeds of AC and WK on arginase and acetylcholinesterase (AChE)
activities and some pro-oxidant [FeSO4 and sodium nitroprusside (SNP)]-induced
lipid peroxidation in rat penile homogenate in vitro. Method Aqueous extracts of
AC and WK were prepared, and their effects on arginase and AChE activities as
well as FeSO4- and SNP-induced lipid peroxidation in rat penile homogenate were
assessed. Furthermore, phenolic constituents of the extract were determined using
high-performance liquid chromatography coupled with diode-array detector (HPLC
DAD). Results Both extracts exhibited concentration-dependent inhibition on
arginase (AC, IC50=0.05 mg/mL; WK, IC50=0.22 mg/mL) and AChE (AC, IC50=0.68
mg/mL; WK, IC50=0.28 mg/mL) activities. The extracts also inhibited FeSO4- and
SNP-induced lipid peroxidation in rat penile homogenate. HPLC-DAD analysis
revealed the presence of phenolic acids (gallic, caffeic, ellagic and coumaric
acids) and flavonoids (catechin, quercetin and apigenin) in AC and WK. AC had
higher arginase inhibitory and antioxidative activities but lower AChE inhibitory
properties when compared with WK. Conclusions These effects could explain the
possible mechanistic actions of the seeds in the management/treatment of ED and
could be as a result of individual and/or synergistic effect of the constituent
phenolic compounds of the seeds.
PMID- 29791313
TI - Acute and subacute toxicity of aqueous extract of the tuber of Kedrostis africana
(L.) Cogn in Wistar rats.
AB - Kedrostis africana (L.) Cogn (Cucurbitaceae) is used in South African traditional
medicine and pharmacopoeia as an emetic, purgative and diuretic, and it is used
against dropsy in the management of obesity. Aim of the study In this study,
acute and subacute toxicity of aqueous extract of K. africanatuber was evaluated
in male and female Wistar rats in order to assess its safety profile. Materials
and methods In acute toxicity, the effects of a single oral dose (2,000 and 5,000
mg/kg) of aqueous extract was determined in both sexes. General behavior, adverse
effects and mortality were determined for 3 h and then periodically for 14 days.
The subchronic toxicity test was performed in rats. The effects of the extract in
daily single oral administration at the doses of 200, 400 and 600 mg/kg for 28
days were determined. Food and water intakes were monitored daily while body
weight was monitored on a weekly bases. Hematological, biochemical and organ
parameters were determined at the end of the 28-day administration. Results In
the acute study, a single administration of the aqueous extract at the doses of
2,000 and 5,000 mg/kg did not induce mortality. Thus, the LD50 of the aqueous
extract of K. africana (AEKA) has been estimated to be higher than 5,000 mg/kg.
In the subchronic study, daily oral administration of the AEKA did not result in
death of the rats or significant changes in hematological or biochemical
parameters at the highest dose of 600 mg/kg. No alteration was observed in body
weight, food and water intake. Liver, kidney and heart histopathology did not
reveal morphological alteration. Conclusions The results showed that the aqueous
tuber extract of K. africana did not cause any death, nor did it cause
abnormalities in necropsy and histopathology findings. There were no acute or
subchronic toxicity observed, and this indicates that the plant extract could be
considered safe for oral medication.
PMID- 29791314
TI - Analgesic, anti-inflammatory and anti-arthritic properties of aqueous and
methanolic stem bark extracts from Nauclea pobeguinii (Rubiacee) in rats.
AB - Background Nauclea pobeguinii is a plant species found in the centre region of
Cameroon. The stem bark of this plant is traditionally used to ease pain and cure
inflammation. Method This study was undertaken to evaluate the effects of doses
150 and 300 mg/kg of the aqueous and methanolic stem bark extracts from Nauclea
pobeguinii on acute pain, acute and chronic inflammation induced by formalin and
arthritis induced by zymosan A in rats. Oxidative stress parameters such as
catalase, malondialdehyde and nitric oxide were measured in rats subjected to
chronic inflammation. The standard used was diclofenac at 5 mg/kg. Results
Aqueous extract as well as methanolic extract of Nauclea pobeguinii led to a
significant reduction in the second phase of formalin induced pain with 54.22 and
48.02% of inhibition percentage, respectively. The formalin-induced inflammatory
oedema was reduced by both extracts, and this effect remains significant until
the tenth day of treatment. Equally, extracts significantly increased the
catalase activity and inhibited the production of malondialdehyde (MDA) in serum,
brain and spinal cord and NO reduction only in serum. Both extracts significantly
reduced the articular oedema induced by zymosan A for 6 h and for 5 days.
Furthermore, the histological study of the articulations shows a non-altered
synovial membrane and a small cartilage in all treated animals versus negative
control group. Conclusions From these results, it can be concluded that pain,
inflammation and arthritic healing activities of both stem bark extracts were
expressed in rats and could conciliate the use of this vegetable by traditional
African healers.
PMID- 29791316
TI - Roles of the exon junction complex components in the central nervous system: a
mini review.
AB - The exon junction complex (EJC) consists of four core proteins: Magoh, RNA
binding motif 8A (Rbm8a, also known as Y14), eukaryotic initiation factor 4A3
(eIF4A3, also known as DDX48), and metastatic lymph node 51 (MLN51, also known as
Casc3 or Barentsz), which are involved in the regulation of many processes
occurring between gene transcription and protein translation. Its main role is to
assemble into spliceosomes at the exon-exon junction of mRNA during splicing. It
is, therefore, a range of functions concerning post-splicing events such as mRNA
translocation, translation, and nonsense-mediated mRNA decay (NMD). Apart from
this, proteins of the EJC control the splicing of specific pre-mRNAs, for
example, splicing of the mapk transcript. Recent studies support essential
functions of EJC proteins in oocytes and, after fertilization, in all stages of
zygote development, as well as the growth of the embryo, including the
development of the nervous system. During the development of the central nervous
system (CNS), the EJC controls mitosis, regulating both symmetric and asymmetric
cell divisions. Reduced levels of EJC components cause microcephaly. In the adult
brain, Y14 and eIF4A3 appear to be involved in synaptic plasticity and in
learning and memory. In this review, we focus on the involvement of EJC
components in brain development and its functioning under normal conditions.
PMID- 29791318
TI - The inhibitors - a challenge for the management of patients with hereditary
haemophilia A.
AB - INTRODUCTION: Our research strategy was aimed at evaluating the possible
implication of the type of factor VIII product administered as substitution
treatment to haemophilia A patients in the occurrence of inhibitors and their
consequences on the management. METHODS: Scientific articles from July 2015 to
July 2017 were searched using the PubMed and PubMed Central databases. The used
search terms included "haemophilia A", "inhibitors", "plasma-derived factor VIII"
and "recombinant factor VIII". RESULTS: The risk factors for inhibitors
occurrence may be patients-related (genetic and nongenetic) and treatment
related. The possibility of a correlation between the increased purity of factor
VIII given as substitution treatment and the occurrence of inhibitors is
discussed in the light of literature data. Plasma-derived factor VIII is less
immunogenic, but not entirely safe from the point of view of the possibility of
transmitting biological agents. It is obvious that there is not enough plasma
derived factor VIII for the planet's needs. Recombinant factor VIII products have
revolutionized the treatment of patients with haemophilia A over the past 3
decades by the disappearance of transfusion-related infections and their
complications. They are safer in terms of pathogens and the new long-acting
factor VIII products are based on recombinant DNA technology. CONCLUSION: Plasma
derived or recombinant factor VIII products must co-exist on the market for the
benefit of haemophilic patients. Future solutions could be: less immunogenic
factor VIII products, nonfactor replacement strategies, or bispecific antibody
that mimics the function of coagulation factor VIII.
PMID- 29791319
TI - Malignancy in a retrospective cohort of 17 patients with Dermatomyositis or
Polymyositis in southern Tunisia.
AB - INTRODUCTION: The prognosis of dermatomyositis (DM)/ polymyositis (PM) in adults
is partly related to their association with neoplasia. The aim of our study was
to report the epidemiologic, clinical, paraclinical, therapeutic and evolutionary
aspects of DM associated with malignancy in patients from Sfax, south eastern of
Tunisia. METHODS: A retrospective cohort study of patients with DM or PM admitted
in Dermatology and Internal Medicine Departments of Hedi Chaker University
Hospital of Sfax between 1996 and 2015. Cases of DM or PM associated with
malignancy were retained. RESULTS: Seventeen cases (13.5%) of DM or PM associated
with malignancy were noted. Fourteen patients had DM and 3 patients had PM. The
Sex ratio M / F was 0.3 and the mean age at diagnosis was 56.5 years. In DM
patients, malignancy preceded the myositis in 64.2% of cases. In PM patients,
only one patient was known to have breast cancer and the myositis revealed the
cancer for 2 others. Treatment consisted of corticosteroids associated with
methotrexate in 4 cases. Outcome was fatal in 5 cases (29.4%), due to the
underlying cancer in 3 cases. Swallowing disorders related to DM/PM were
responsible for death in 2 cases. CONCLUSION: There are no specific clinical or
biological features in paraneoplastic DM. In our series, breast neoplasm
represented the first cancer associated with DM. Cancers of nasopharynx, colon
and urinary tract had the second position.
PMID- 29791320
TI - Modelling the cerebral haemodynamic response in the physiological range of PaCO2.
AB - OBJECTIVE: Arterial CO2 (PaCO2) has a strong effect on cerebral blood flow (CBF),
but its influence on CBF regulatory mechanisms and circulatory systemic variables
has not been fully described over the entire physiological range of PaCO2.
APPROACH: CBF velocity (CBFV, transcranial Doppler), blood pressure (BP,
Finometer) and end-tidal CO2 (EtCO2, capnography) were measured in 45 healthy
volunteers (19 male, mean age 37.5 years, range 21-71) at baseline, and in
response to hypo- (-5 mm Hg and -10 mm Hg below baseline) and hypercapnia (5%
and 8% CO2), applied in random order. MAIN RESULTS: CBFV, cerebral dynamic
autoregulation index (ARI), heart rate (HR), arterial blood pressure (ABP),
critical closing pressure (CrCP) and resistance-area product (RAP) changed
significantly (all p < 0.0001) for hypo- and hyper-capnia. These parameters
were shown to follow a logistic curve relationship representing a 'dose-response'
curve for the effects of PaCO2 on the cerebral and systemic circulations. The
four logistic model parameters describing each 'dose-response' curve were
specific to each of the modelled variables (ANOVA p < 0.0001). SIGNIFICANCE:
The ability to model the CBFV, ARI, HR, ABP, CrCP and RAP dependency of PaCO2
over its entire physiological range is a powerful tool for physiological and
clinical studies, including the need to perform adjustments in disease
populations with differing values of baseline PaCO2.
PMID- 29791317
TI - Romanian Registry of Hypertrophic Cardiomyopathy - overview of general
characteristics and therapeutic choices at a national level.
AB - INTRODUCTION: Hypertrophic cardiomyopathy (HCM) is a disease with increased left
ventricular (LV) wall thickness not solely explained by abnormal loading
conditions, with great heterogeneity regarding clinical expression and prognosis.
The aim of the present study was to collect data on HCM patients from different
centres across the country, in order to assess the general characteristics and
therapeutic choices in this population. METHODS: Between December 2014 and April
2017, 210 patients from 11 Romanian Cardiology centres were enrolled in the
National Registry of HCM. All patients had to fulfil the diagnosis criteria for
HCM according to the European Society of Cardiology guidelines. Clinical,
electrocardiographic, imaging and therapeutic characteristics were included in a
predesigned online file. RESULTS: Median age at enrolment was 55 +/- 15 years
with male predominance (60%). 43.6% of the patients had obstructive HCM, 50% non
obstructive HCM, while 6.4% had an apical pattern. Maximal wall thickness was
20.3 +/- 4.8 mm (limits 15-37 mm) while LV ejection fraction was 60 +/- 8%. Heart
failure symptoms dominated the clinical picture, mainly NYHA functional class II
(51.4%). Most frequent arrhythmias were atrial fibrillation (28.1%) and non
sustained ventricular tachycardia (19.9%). Mean sudden cardiac death risk score
(SCD-RS) was 3.0 +/- 2.3%, with 10.4% of the patients with high risk of SCD.
However, only 5.7% received an ICD. Patients were mainly treated with beta
blockers (72.9%), diuretics (28.1%) and oral anticoagulants (28.6%). Invasive
treatment of LVOT obstruction was performed in a small number of patients: 22
received myomectomy and 13 septal ablation. Cardiac magnetic resonance was
reported in only 14 patients (6.6%). CONCLUSIONS: The Romanian registry of HCM
illustrates patient characteristics at a national level as well as the gaps in
management which need improvement - accessibility to high-end diagnostic tests
and invasive methods of treatment.
PMID- 29791315
TI - Incidence of early-onset sepsis in infants born to women with clinical
chorioamnionitis.
AB - Objective To determine the frequency of sepsis and other adverse neonatal
outcomes in women with a clinical diagnosis of chorioamnionitis. Methods We
performed a secondary analysis of a multi-center placebo-controlled trial of
vitamins C/E to prevent preeclampsia in low risk nulliparous women. Clinical
chorioamnionitis was defined as either the "clinical diagnosis" of
chorioamnionitis or antibiotic administration during labor because of an elevated
temperature or uterine tenderness in the absence of another cause. Early-onset
neonatal sepsis was categorized as "suspected" or "confirmed" based on a clinical
diagnosis with negative or positive blood, urine or cerebral spinal fluid
cultures, respectively, within 72 h of birth. Adjusted odds ratios (ORs) and 95%
confidence intervals (CIs) were estimated by logistic regression. Results Data
from 9391 mother-infant pairs were analyzed. The frequency of chorioamnionitis
was 10.3%. Overall, 6.6% of the neonates were diagnosed with confirmed (0.2%) or
suspected (6.4%) early-onset sepsis. Only 0.7% of infants born in the setting of
chorioamnionitis had culture-proven early-onset sepsis versus 0.1% if
chorioamnionitis was not present. Clinical chorioamnionitis was associated with
both suspected [OR 4.01 (3.16-5.08)] and confirmed [OR 4.93 (1.65-14.74)] early
onset neonatal sepsis, a need for resuscitation within the first 30 min after
birth [OR 2.10 (1.70-2.61)], respiratory distress [OR 3.14 (2.16-4.56)], 1 min
Apgar score of <=3 [OR 2.69 (2.01-3.60)] and 4-7 [OR 1.71 (1.43-2.04)] and 5 min
Apgar score of 4-7 [OR 1.67 (1.17-2.37)] (vs. 8-10). Conclusion Clinical
chorioamnionitis is common and is associated with neonatal morbidities. However,
the vast majority of exposed infants (99.3%) do not have confirmed early-onset
sepsis.
PMID- 29791321
TI - Innovative multi-site photoplethysmography measurement and analysis demonstrating
increased arterial stiffness in paediatric heart transplant recipients.
AB - OBJECTIVE: It has been documented that heart transplantation in children is often
complicated by arterial hypertension and increased arterial stiffness. We use
innovative multi-site photoplethysmography (MPPG) pulse measurement and analysis
technology to assess changes in arterial stiffness in paediatric heart transplant
recipients (HTRs) in comparison with healthy control (HC) children. APPROACH: A
group of 20 HTRs (median age 13.5 years, eight male) were compared to an overall
age- and gender-matched group of 161 HCs (median age 11.6 years, 74 male).
Peripheral pulse was recorded bilaterally using MPPG at the ear lobe, index
finger and great toe sites, along with an electrocardiogram cardiac timing
reference. Segmental pulse arrival times between peripheral sites (finger-ear,
PATf-e; toe-finger, PATt-f; and toe-ear PATt-e) were calculated as arterial
stiffness measures, and differences between subject groups were tested using
multivariate analysis. Normalised ear, finger and toe pulse shapes were also
studied and compared between groups. MAIN RESULTS: After correction for heart
rate and diastolic and mean arterial blood pressures, the HTR group was found to
have significantly lower segmental PATt-e and PATt-f measurements, with median
values of 150 ms versus 172 ms in the HC group (p = 0.02), and 104 ms versus
118 ms in the HC group (p = 0.01), respectively, consistent with increased
arterial stiffness in the patient group. The normalised ear, finger and toe sites
showed only a mild elongation in each pulse rise time for the transplant group.
SIGNIFICANCE: This study shows that innovative and easy-to-do MPPG gives further
evidence for increased arterial stiffness in children who have undergone
successful cardiac transplantation.
PMID- 29791322
TI - A low-complexity algorithm for detection of atrial fibrillation using an ECG.
AB - OBJECTIVES: We present a method for automatic processing of single-lead
electrocardiogram (ECG) with duration of up to 60 s for the detection of atrial
fibrillation (AF). The method categorises an ECG recording into one of four
categories: normal, AF, other and noisy rhythm. For training the classification
model, 8528 scored ECG signals were used; for independent performance assessment,
3658 scored ECG signals. APPROACH: Our method was based on features derived from
RR interbeat intervals. The features included time domain, frequency domain and
distribution features. We assessed the performance of three different classifiers
(linear and quadratic discriminant analysis, and quadratic neural network (QNN))
on the training set using 100-fold cross-validation. The QNN was selected as the
highest performing classifier, and a further performance assessment on the test
data made. MAIN RESULTS: On the test set, our method achieved an F1 score for the
normal, AF, other and noisy classes of 0.90, 0.75, 0.68 and 0.32, respectively.
The overall F1 score was 0.78. SIGNIFICANCE: The computational cost of our
algorithm is low as all features are derived from RR intervals and are processed
by a single hidden layer neural network. This makes it potentially suitable for
low-power devices.
PMID- 29791324
TI - Unimorph mirror for adaptive optics in space telescopes.
AB - This paper presents a unimorph deformable mirror intended to be used as secondary
corrector in space telescopes. The deformable mirror consists of a single-crystal
silicon wafer (76.2 mm diameter, 500 MUm thickness) covered with an optical
coating on the front side and an array of 25 independent piezoelectric transducer
(PZT) actuators acting in d31 mode on the back side. The mirror is mounted on an
isostatic support with three position linear actuators controlling the rigid-body
motion. The first part of the paper presents the experimental results obtained
with the manufactured prototype. The mirror was tested in terms of root mean
square (RMS) wavefront error, open-loop long-term stability, voltage budget for
active control, rigid-body actuation, reflectivity, and dynamic response. The
prototype is fully compliant with the requirements set by the European Space
Agency (ESA). The second part of the paper, purely based on numerical
simulations, presents a robust way to face thermal distortion, inherent to
unimorph architecture.
PMID- 29791323
TI - Male and Female Characteristics of Facial Soft Tissue Thickness in Different
Orthodontic Malocclusions Evaluated by Cephalometric Radiography.
AB - BACKGROUND The facial profile is determined by the facial soft tissue thickness
(FSTT) and dentoskeletal characteristics. The aim of this study was to compare
male and female characteristics of FSTT in different orthodontic malocclusions
using cephalometric radiography. MATERIAL AND METHODS One hundred and twenty
lateral cephalometric radiography-derived cephalograms of adult male (n=47) and
female (n=73) orthodontic patients, aged between 16-22 years were classified
according to their dentoskeletal relationships as Class I (n=30), Class II
Division 1 (n=30), Class II Division 2 (n=30), Class III (n=30). Burstone
analysis of seven linear dimensions of FSTT was used. RESULTS Men had a thicker
FSTT in dentoskeletal relationships Class I, Class II Division 2, and Class III.
Sex differences varied from significant (t=2.056; p<0.05) for the sub-nasal area
in Class II Division 2, to highly significant (t=3.772; p<0.001) for the upper
lip sulcus in Class II Division 2. Women in Class II Division 1 had significantly
thicker FSTT in the lower jaw area (t=2.800; p<0.01) and for the lower lip sulcus
and the chin area (t=3.961; p<0.001). CONCLUSIONS Men with orthodontic
malocclusions were characterized by thicker facial soft tissue compared with
female patients in Class I and Class II Division 2; female patients in Class II
Division 1 were characterized by thicker facial soft tissue of the mentolabial
sulcus and chin. Men and women with a skeletal jaw relationship in Class III
showed no significant difference in their FSTT.
PMID- 29791325
TI - Design of an ultrasensitive SPR biosensor based on a graphene-MoS2 hybrid
structure with a MgF2 prism.
AB - We propose, to the best of our knowledge, a new configuration of a biosensor
based on the graphene-MoS2 hybrid structure by adopting the lower refractive
index MgF2 prism in order to improve the sensitivity and the figure of merit
(FOM). We can obtain an ultrasensitive sensor with values of sensitivity and FOM
as high as 540.8 degrees /RIU and 145/RIU, respectively, by modulating the
parameters in the configuration and comparatively choosing a different absentee
layer material. The proposed structure is applicable in the realization of an
integrated device for the surface plasmon resonance biosensor.
PMID- 29791326
TI - Gamma and gamma-coupled beams.
AB - A new class of scalar, rotationally symmetric Gaussian-like beams is introduced.
The slowly varying amplitudes of such beams are represented as analytical
solutions to the paraxial wave equation, described in terms of the incomplete
gamma functions and their products with quadratic exponential and power functions
of different kinds. The specific functional forms of these solutions give rise to
such names as gamma, gamma-Gaussian, gamma-parabolic, and gamma-anti-Gaussian
beams. It is established that, within a focal volume specified by a waist size
and the depth of field of about three Rayleigh lengths of the fundamental
Gaussian beam of the same waist size, the parametrically optimized zero-order
gamma and gamma-coupled beams possess more stabilized transverse sizes, very weak
transverse irradiance sidelobes, more uniform axial irradiance distributions, and
more steep controllable fall-offs of the last distributions relative to those
that are inherent in the above fundamental Gaussian beam and the Bessel-Gauss
beams with linear and quadratic radial dependence and the same waist size.
PMID- 29791327
TI - Signature of phase singularities in diffusive regimes in disordered waveguide
lattices: interplay and qualitative analysis.
AB - Coexistence and interplay between mesoscopic light dynamics with singular optics
in spatially disordered waveguide lattices are reported. Two CW light beams of a
1.55 MUm operating wavelength are launched as inputs to 1D waveguide lattices
with controllable weak disorder in a complex refractive index profile. Direct
observation of phase singularities in the speckle pattern along the length is
numerically demonstrated. Quantitative analysis of the onset of such singular
behavior and diffusive wave propagation is analyzed for the first time, to the
best of our knowledge.
PMID- 29791328
TI - Silicon microsphere whispering gallery modes excited by femtosecond-laser
inscribed glass waveguides.
AB - We report on the coupling of whispering gallery modes in a 500-MUm-radius silicon
microsphere to a femtosecond-laser-inscribed glass optical waveguide. The shallow
glass waveguide with a large mode field diameter in the near-infrared is written
at a depth of 25 MUm below the glass surface, resulting in a high excitation
impact parameter of 525 MUm for the microsphere. The excited whispering gallery
modes of the silicon microsphere have quality factors of approximately 105 in the
90 degrees elastic scattering and 0 degrees transmission. Integration of such
spherical silicon microresonators on femtosecond-laser-inscribed glass waveguides
is promising for photonic communication, computation, and sensing applications.
PMID- 29791329
TI - Polarization-insensitive, ultra-broadband, and compact metamaterial-inspired
optical absorber via wide-angle and highly efficient performances.
AB - Because of exhibiting extraordinary features, metamaterial absorbers have
captured considerable attention in recent years, especially at visible
frequencies. In this paper, a new design of a metamaterial-inspired perfect
visible absorber (MIPVA) is investigated, which exhibits ultra-broadband,
polarization-independent, and wide-angle performances. The proposed MIPVA
provides a flat and near unity absorbance (>99%) in an ultra-broad range of
radiation wavelengths from lambda=500 to 625 nm, while retaining its convincing
absorptivity over the entire visible wavelengths. A comprehensive parametric
study is accomplished to demonstrate the effects of structural parameters on the
absorptivity of the designed MIPVA. To clarify the physical mechanism of
absorption, the electric field and surface current distributions of MIPVA are
also monitored and elaborately discussed throughout the paper. The results show
that the proposed MIPVA exhibits a polarization-insensitive absorption behavior
in a wide range of incident wave angles. The interference theory is also utilized
to verify the results. In addition, our MIPVA has a compact and low-profile
design, while its ability to absorb solar radiation is significantly improved
with respect to preceding studies in terms of both the frequency bandwidth and
absorptivity; thereby, it is a worthy candidate to play an essential role in
different visible-range applications.
PMID- 29791330
TI - Quantitative measurement of thin phase objects: comparison of speckle
deflectometry and defocus-variant lateral shear interferometry.
AB - The two techniques of lateral shear interferometry and speckle deflectometry are
analyzed in a common optical system for their ability to measure phase gradient
fields of a thin phase object. The optical system is designed to introduce a
shear in the frequency domain of a telecentric imaging system that gives a
sensitivity of both techniques in proportion to the defocus introduced. In this
implementation, both techniques successfully measure the horizontal component of
the phase gradient field. The response of both techniques scales linearly with
the defocus distance, and the precision is comparative, with a random error in
the order of a few rad/mm. It is further concluded that the precision of the two
techniques relates to the transverse speckle size in opposite ways. While a large
spatial coherence width, and correspondingly a large lateral speckle size, makes
lateral shear interferometry less susceptible to defocus, a large lateral speckle
size is detrimental for speckle correlation. The susceptibility for the magnitude
of the defocus is larger for the lateral shear interferometry technique as
compared to the speckle deflectometry technique. The two techniques provide the
same type of information; however, there are a few fundamental differences.
Lateral shear interferometry relies on a special hardware configuration in which
the shear angle is intrinsically integrated into the system. The design of a
system sensitive to both in-plane phase gradient components requires a more
complex configuration and is not considered in this paper. Speckle deflectometry,
on the other hand, requires no special hardware, and both components of the phase
gradient field are given directly from the measured speckle deformation field.
PMID- 29791331
TI - Investigation on surface/subsurface deformation mechanism and mechanical
properties of GGG single crystal induced by nanoindentation.
AB - In this paper, nanoindentation tests of GGG single crystal are performed on an
Agilent G200 nanoindenter. The surface morphology and subsurface deformation
mechanism induced by the nanoindentation are analyzed by a scanning electron
microscope and a transmission electron microscope (TEM), respectively. The
ductile deformation mechanism of GGG single crystal induced by the
nanoindentation is a combination of "polycrystalline nanocrystallites" and
"amorphous transformation." In addition, the relationships between the normal
force and elastic recovery, microhardness, elastic modulus, and fracture
toughness of GGG single crystal are researched. Due to the size effect caused by
the tip radius of the indenter, the elastic recovery rate and fracture toughness
decrease first and then tend to be stable as the normal force increases, while
the microhardness and elastic modulus increase first and then decrease to be
stable as the normal force increases. The stress-strain curve of GGG single
crystal is developed by using the nanoindentation test with a spherical indenter.
When GGG single crystal deforms from the elastic regime into the ductile regime,
the original single crystal is changed into "polycrystalline nanocrystallites"
and "amorphous transformation" structures verified by TEM. Therefore, the
material strength decreases, which results in a discontinuity of the stress
strain curve for GGG single crystal.
PMID- 29791332
TI - Polarization-dependent wide-angle color filter incorporating meta-dielectric
nanostructures.
AB - A metadielectric nanostructure with narrow cavities is proposed, behaving as a
reflective color filter for TM-polarized light while as a broadband reflector for
TE-polarized light. By varying the cavity depth or changing the incident light
polarization, reflective colors of the proposed structure cover the entire
visible spectrum conveniently. In particular, the reflections of this proposed
structure show good angular tolerance up to 50 degrees for both polarizations.
Furthermore, it can display colors even with two grating slits, which shows high
printing resolution up to 70555 dpi, having great potential for applications of a
large area color imaging and anticounterfeiting devices.
PMID- 29791333
TI - Modeling of microjoule and millijoule energy LIDARs with PMT/SiPM/APD detectors:
a sensitivity analysis.
AB - This paper demonstrates a renewed concept and applications of the generalized
methodology for atmospheric light detection and ranging (LIDAR) capability
prediction as a continuation of a series of our previous works, where the
dimensionless parameterization appeared as a tool for comparing systems of a
different scale, design, and applications. The modernized concept applied to
microscale and milliscale LIDARs with relatively new silicon photomultiplier
detectors and traditional photomultiplier tube and avalanche photodiode detectors
allowed prediction of the remote sensing instruments' performance and
limitations. Such a generalized, uniform, and objective concept is applied for
evaluation of the increasingly popular class of limited-energy LIDARs using the
best optical detectors, operating on different targets (back-scatter or
topographic, static or dynamic) and under intense sky background conditions. It
can be used in the LIDAR community to compare different instruments and select
the most suitable and effective ones for specific applications.
PMID- 29791334
TI - Improved force prediction model for grinding Zerodur based on the comprehensive
material removal mechanism.
AB - There have been few investigations dealing with the force model on grinding
brittle materials. However, the dynamic material removal mechanisms have not yet
been sufficiently explicated through the grain-workpiece interaction statuses
while considering the brittle material characteristics. This paper proposes an
improved grinding force model for Zerodur, which contains ductile removal force,
brittle removal force, and frictional force, corresponding to the ductile and
brittle material removal phases, as well as the friction process, respectively.
The critical uncut chip thickness agc of brittle-ductile transition and the
maximum uncut chip thickness agmax of a single abrasive grain are calculated to
identify the specified material removal mode, while the comparative result
between agmax and agc can be applied to determine the selection of effective
grinding force components. Subsequently, indentation fracture tests are carried
out to acquire accurate material mechanical properties of Zerodur in establishing
the brittle removal force model. Then, the experiments were conducted to derive
the coefficients in the grinding force prediction model. Simulated through this
model, correlations between the grinding force and grinding parameters can be
predicted. Finally, three groups of grinding experiments are carried out to
validate the mathematical grinding force model. The experimental results indicate
that the improved model is capable of predicting the realistic grinding force
accurately with the relative mean errors of 6.04% to the normal grinding force
and 7.22% to the tangential grinding force, respectively.
PMID- 29791335
TI - Paraxial design of an optical element with variable focal length and fixed
position of principal planes.
AB - In this article, we analyze the problem of the paraxial design of an active
optical element with variable focal length, which maintains the positions of its
principal planes fixed during the change of its optical power. Such optical
elements are important in the process of design of complex optical systems (e.g.,
zoom systems), where the fixed position of principal planes during the change of
optical power is essential for the design process. The proposed solution is based
on the generalized membrane tunable-focus fluidic lens with several membrane
surfaces.
PMID- 29791336
TI - Optimization of optical properties of photonic crystal fibers infiltrated with
carbon tetrachloride for supercontinuum generation with subnanojoule femtosecond
pulses.
AB - A photonic crystal fiber (PCF) made of fused silica glass, infiltrated with
carbon tetrachloride (CCl4), is proposed as a new source of supercontinuum (SC)
light. Guiding properties in terms of effective refractive index, attenuation,
and dispersion of the fundamental mode are studied numerically. As a result, two
optimized structures are selected and verified against SC generation in detail.
The dispersion characteristic of the first structure has the zero-dispersion
wavelength at 1.252 MUm, while the dispersion characteristic of the second
structure is all-normal and equals -4.37 ps.nm-1.km-1 at 1.55 MUm. SC generation
was demonstrated for the wavelengths 1.064 MUm, 1.35 MUm, and 1.55 MUm. We prove
the possibility of coherent, octave-spanning SC generation with 300 fs pulses
with only 0.8 nJ of energy in-coupled into the core with each of the studied
structures. Proposed fibers are fully compatible with all-silica fiber systems
and PCFs with wide mode area, and can also be used for all-fiber SC sources. The
proposed solution may lead to new low-cost all-fiber optical systems.
PMID- 29791337
TI - Applicability of a model with average inversion level to a cladding-pumped
multicore erbium-doped fiber amplifier.
AB - This paper investigates the applicability of a model of a cladding-pumped
multicore erbium-doped fiber amplifier (CP-MC-EDFA) that employs the average
inversion level of an erbium ion. The model is modified to include the effects of
multiple cores for modifications of the background loss coefficient of the pump
light. The model is validated experimentally by measurements of the pump power,
gain transient, and intercore cross-gain modulation of a cladding-pumped 12-core
EDFA in which the pump light is uniformly distributed in the inner cladding. The
calculated and measured pump power, gain transient response, and gain change
caused by the intercore cross-gain modulation agree well, suggesting the modified
model is useful for characterizing a CP-MC-EDFA.
PMID- 29791338
TI - Imaging photonic crystals using hemispherical digital condensers and phase
recovery techniques.
AB - We describe experiments where Fourier ptychographic microscopy (FPM) and dual
space microscopy (DSM) are implemented for imaging photonic crystals using a
hemispherical digital condenser (HDC). Phase-recovery imaging simulations show
that both techniques should be able to image photonic crystals with a period
below the Rayleigh resolution limit. However, after processing the experimental
images using both phase-recovery algorithms, we found that DSM can, but FPM
cannot, image periodic structures with a period below the diffraction limit. We
studied the origin of this apparent contradiction between simulations and
experiments, and we concluded that the occurrence of unwanted reflections in the
HDC is the source of the apparent failure of FPM. We thereafter solved the
problem of reflections by using a single-directional illumination source and
showed that FPM can image photonic crystals with a period below the Rayleigh
resolution limit.
PMID- 29791339
TI - Rapid measurement and compensation method of eccentricity in automatic profile
measurement of the ICF capsule.
AB - In this paper, we propose a new measurement and compensation method for the
eccentricity of the inertial confinement fusion (ICF) capsule, which combines
computer vision and the laser differential confocal method to align the capsule
in rotation measurement. This technique measures the eccentricity of the capsule
by obtaining the sub-pixel profile with a moment-based algorithm, then performs
the preliminary alignment by the two-dimensional adjustment. Next, we use the
laser differential confocal sensor to measure the height data of the equatorial
surface of the capsule by turning it around, then obtain and compensate the
remaining eccentricity ultimately. This method is a non-contact, automatic,
rapid, high-precision measurement and compensation technique of eccentricity for
the capsule. Theoretical analyses and preliminary experiments indicate that the
maximum measurement range of eccentricity of this proposed method is 1.8 mm for
the capsule with a diameter of 1 mm, and it could eliminate the eccentricity to
less than 0.5 MUm in 30 s.
PMID- 29791340
TI - Design of a delayed XOR phase detector for an optical phase-locked loop toward
high-speed coherent laser communication.
AB - Optical phase-locked loops are an effective detection method in high-speed and
long-distance laser communication. Although this method can detect weak signal
light and maintain a small bit error rate, it is difficult to perform because
identifying the phase difference between the signal light and the local
oscillator accurately has always been a technical challenge. Thus, a series of
studies is conducted to address this issue. First, a delayed exclusive or gate
(XOR) phase detector with multi-level loop compound control is proposed. Then, a
50 ps delay line and relative signal-to-noise ratio control at 15 dB are produced
through theoretical derivation and simulation. Thereafter, a phase discrimination
module is designed on a 15 cm*5 cm printed circuit board board. Finally, the
experiment platform is built for verification. Experimental results show that the
phase discrimination range is -1.1 to 1.1 GHz, and the gain is 0.82 mV/MHz. Three
times the standard deviation, that is, 0.064 V, is observed between the test and
theoretical values. The accuracy of phase detection is better than 0.07 V, which
meets the design standards. A coherent carrier recovery test system is
established. The delayed XOR gate has good performance in this system. When the
communication rate is 5 Gbps, the system realizes a bit error rate of 1.55*10-8
when the optical power of the signal is -40.4 dBm. When the communication rate
is increased to 10 Gbps, the detection sensitivity drops to -39.5 dBm and still
shows good performance in high-speed communications. This work provides a
reference for future high-speed coherent homodyne detection in space. Ideas for
the next phase of this study are presented at the end of this paper.
PMID- 29791341
TI - Fabrication of a silica-based complex Fourier-transform integrated-optic spatial
heterodyne spectrometer incorporating 120 degrees optical hybrid couplers.
AB - We demonstrate a silica-based planar waveguide spatial heterodyne spectrometer
incorporating 120 degrees optical hybrid 3*3 MMI couplers as the output couplers
in 32 MZIs, which enabled us to derive the spectrum without use of the previously
reported dynamic phase shifting. The free spectral range was 640 GHz, and the
spectral resolution was 14 GHz. We used a CO2 laser irradiation method to
calibrate the light powers from all the output ports of the couplers and to
measure the optical phases and amplitudes at the individual MZIs. We solved the
resultant system of three linear equations at each MZI and performed a complex
Fourier transformation to derive a narrowband light spectrum. The background
noise level of the retrieved spectrum was 0.05 with respect to the peak even when
no window function was applied.
PMID- 29791342
TI - Solution of dispersion relations of multilayer optical fibers: a comprehensive
study.
AB - The exact solution of the modal dispersion relation of multilayer optical fibers
is very critical and complicated, especially in the case of complex refractive
indices of some layers added to the fiber. In this paper, a different methodology
is proposed to solve the complex dispersion relations for cladding modes, based
on the well-defined proper expressions of electromagnetic fields in the different
layers of optical fibers. An optical fiber, coated by a dielectric nonlinear
layer, is analyzed using the exact four-layer model, and the results obtained are
compared with those analyzed in the literature based on the approximate three
layer model, where the effect of the coating layer is neglected when solving the
dispersion relation. The results obtained show a remarkable difference between
the exact and the approximate values of the effective refractive indices of the
cladding modes. Inappropriate values of the effective refractive indices strongly
affect phase matching and coupling between modes, which are required in different
applications such as second-harmonic generation. The proposed approach for
solving general dispersion relations is also employed to obtain complex values of
the effective refractive indices of the cladding modes for a five-layer optical
fiber with a metallic thin film inserted between the nonlinear layer and the
fiber cladding. Using the appropriate expressions that describe the electric
field in the different dielectric and metallic layers of optical fibers, field
distributions are displayed for some cladding modes.
PMID- 29791343
TI - Research on a surface-relief optical waveguide augmented reality display device.
AB - Recently, an optical waveguide display device that has light weight, high
transparency, and full color has become more and more popular in the wearable
augmented reality display application. But existing waveguide display devices are
less than satisfactory because of cost, safety, and mass production. Therefore, a
type of surface-relief optical waveguide display device is proposed in this
paper. First, the geometrical relationship of a waveguide display device
structure is confirmed according to the design method of the optical waveguide
display device. Then, the influence of the waveguide structure for the image
quality is analyzed, and the rationality of the surface-relief waveguide scheme
is verified by simulation. Finally, the prototype of the surface-relief optical
waveguide display device with polycarbonate materials, field of view of 38
degrees , thickness of 4.5 mm, and transmittance of about 80% is fabricated and
demonstrated.
PMID- 29791344
TI - Analytical-performance improvement of laser-induced breakdown spectroscopy for
the processing degree of wheat flour using a continuous wavelet transform.
AB - Quality and safety of food are two of the most important matters in our lives.
Wheat is one of the most important products in the modern agricultural processing
industry. Issues of mislabeling and adulteration are of increasingly serious
concern in the grain market. They threaten the credibility of producers and
traders and the rights of the consumers. Therefore, it is very significant to
guarantee the processing degree of wheat flour. In this work, two different
spectral peak recognition methods, i.e., artificial spectral peak recognition and
automatic spectral peak recognition, are carried out to study the adulteration
problem in the food industry. Three grades of the processing degree of wheat
flour from northern China are classified by laser-induced breakdown spectroscopy
(LIBS). To search for an automatic classification model, continuous wavelet
transform is used for the automatic recognition of the LIBS spectrum peak.
Principal component analysis is used to reduce the collinearity of LIBS spectra
data. First, 20 principal components were selected to represent the spectral data
for the following discrimination analysis by a support vector machine. The
results showed that the classification accuracies of automatic spectral peak
recognition are better than those of artificial spectral peak recognition. The
classification accuracies of artificial spectral peak recognition and automatic
spectral peak recognition are 95.33% and 98.67%; the fivefold cross-validation
classification accuracies are 94.67% and 96.67%; and the operation times were 240
min and 2 min, respectively. It can be concluded that LIBS can provide simpler
and faster classification without the use of any chemical reagent, which
represents a decisive advantage for applications dedicated to rapidly detecting
the processing degree of wheat flour and other cereals.
PMID- 29791345
TI - Piezo-, elasto- and acousto-optic properties of Tl3AsS4 crystals.
AB - Complete matrices of piezo-optic and elasto-optic tensors are experimentally
determined for Tl3AsS4 crystals. It is revealed that the piezo-optic coefficients
are very high, ~10-11 N/m2 in the order of magnitude. This implies that Tl3AsS4
can be referred to the best piezo-optic materials. The same concerns the elasto
optic coefficients, of which absolute values are in the interval 0.28-0.54. It is
also found that, at the anisotropic and isotropic interactions with the slowest
transverse and longitudinal acoustic waves, the acousto-optic figure of merit
reaches extremely high values (1.99*10-12 s3/kg and 9.45*10-13 s3/kg,
respectively). In other words, the Tl3AsS4 crystals can be referred to as one of
the best acousto-optic materials for the visible and infrared spectral ranges.
PMID- 29791346
TI - Characterization of the focusing performance of axial line-focused spiral zone
plates.
AB - Axial line-focused spiral zone plates were developed for operation at optical
wavelengths. The design, fabrication, and diffraction properties of the proposed
element are presented. Numerical results showed that hollow beams could be
generated, and that the element can be employed for a multi-wavelength operation.
The hollow beam within the focal depth was demonstrated experimentally, using a
charge-coupled device camera and sliding guide. The results were consistent with
those obtained by the simulations. The proposed optical device exhibits
significant potential for various applications including optical manipulation and
lithography.
PMID- 29791347
TI - Freeform characterization based on nanostructured diffraction gratings.
AB - The in-line characterization of freeform optical elements during the production
cycle is challenging. Recently, we presented a compact sensor setup for the
characterization of the wavefront generated by freeform optical elements in
transmission. The sensor is based on a common-path interferometer consisting of
diffractive components and Fourier filtering being adapted to the subsequent
numerical post processing. Additionally, it offers several degrees of freedom for
enlarging the measurement range of the wavefront gradients. In this contribution,
we propose an advanced sensor setup for the measurement of wavefronts generated
by freeform elements in reflection. The main advantage is the uni-axial
illumination of the test object and the measuring system without the need for
conventional beamsplitters. Due to this uni-axial arrangement, the main challenge
is to avoid the effect of stray light and back reflections on the measurement
signal-to-noise ratio. This is achieved by implementing a highly absorbing
amplitude grating based on nanostructured silicon. We demonstrate the
experimentally realized measurement system and compare its performance to a
commercial Shack-Hartmann sensor.
PMID- 29791348
TI - Detection of iron atoms by emission spectroscopy and laser-induced fluorescence
in solid propellant flames.
AB - Planar laser-induced fluorescence on atomic iron is investigated in this paper,
and a measurement strategy is proposed to monitor the fluorescence of iron atoms
with good sensitivity. A model is proposed to fit the experimental fluorescence
spectra, and good agreement is found between simulated and experimental spectra.
Emission and laser-induced fluorescence measurements are performed in the flames
of ammonium perchlorate composite propellants containing iron-based catalysts. A
fluorescence signal from iron atoms after excitation at 248 nm is observed for
the first time in propellant flames. Images of the spatial distribution of iron
atoms are recorded in the flame in which turbulent structures are generated. Iron
fluorescence is detected up to 1.0 MPa, which opens the way to application in
propellant combustion.
PMID- 29791349
TI - Polarimetric learning: a Siamese approach to learning distance metrics of algal
Mueller matrix images.
AB - Polarimetric measurements are becoming increasingly accurate and fast to perform
in modern applications. However, analysis on the polarimetric data usually
suffers from its high-dimensional nature spatially, temporally, or spectrally.
This paper associates polarimetric techniques with metric learning algorithms,
namely, polarimetric learning, by introducing a distance metric learning method
called Siamese network that aims to learn good distance metrics of algal Mueller
matrix images in low-dimensional feature spaces. As an experimental example,
12,162 Mueller matrix images of eight algal species are measured via a forward
Mueller matrix microscope. Eight classical metric learning algorithms, including
principle component analysis, multidimensional scaling, isometric feature
mapping, t-distributed stochastic neighbor embedding, Laplacian eigenmaps,
locally linear embedding, linear discriminant analysis, and metric learning to
rank, are considered, by which the algal Mueller matrix images are mapped to two
dimensional (2D) feature spaces with different distance metrics. Support-vector
machine-based holdout sample classification accuracies of the 2D feature vectors
are provided in a supervised manner for quantitative comparisons of the low
dimensional distance metrics, including the results of the eight metric learning
algorithms and 16 Siamese architectures with varying convolution, inception, and
full connection modules. This study shows that the Siamese approach is an
effective metric learning algorithm that can adaptively extract features
exhibiting empirical correlations with the fast-axis-orientation-dependent and
spatially variant algal retardance induced by the algal microstructures.
PMID- 29791350
TI - Compact InGaAsP/InP nonblocking 4 * 4 trench-coupler-based Mach-Zehnder photonic
switch fabric.
AB - The existing photonic switch matrices usually show the drawbacks of either a
large footprint or high cross talk. Here, we propose a compact InGaAsP/InP
rearrangeable nonblocking 4*4 photonic switch fabric based on 2*2 Mach-Zehnder
interferometer (MZI) switch elements in a Benes architecture. Each switch element
consists of two frustrated total internal reflection (TIR) couplers and TIR
mirrors serving as 90 degrees waveguide bends, forming the square layout
configuration of the 2*2 MZI switches. We investigate the design parameters of
the switch element and 4*4 photonic switch matrix by using FDTD and transfer
matrix methods, respectively. Our analysis results show that the proposed device
exhibits an ultracompact chip size of ~340 MUm*120 MUm, the minimum cross talk
of -23 dB in the 1.5~1.6 MUm wavelength range, the total insertion loss of ~3.9
dB, the low electrical energy of ~0.4 pJ/bit, and an operation speed up to 50
GHz. Experimentally, we can demonstrate the feasibility of fabricating 2*2 MZI
switches and an operational low-loss trench-coupler. The enabling component of
the 2*2 MZI switch can scale its pattern in the 2D directions. This as-formed
compact 4*4 switch fabric can be potentially applied in large-scale InP-based
photonic integrated circuits.
PMID- 29791351
TI - Ultrashort polarization beam splitter based on liquid-filled dual-core photonic
crystal fiber.
AB - An ultrashort polarization beam splitter (PBS) is proposed based on liquid-filled
dual-core photonic crystal fiber (DCPCF). The two cores of DCPCF are formed by
two side elliptical holes and a central circular hole in the horizontal
direction. The properties of the PBS are analyzed first with a non-filled DCPCF
by the finite element method. Then, the performances of the PBS are discussed
when the DCPCF is filled with liquids in the central hole. As a result, an
ultrashort PBS is realized with a length of 78 MUm when glycerol solution with a
concentration of 37% is filled in the central hole. In this case, an extinction
ratio of 87 dB is obtained at 1550 nm wavelength. The significantly short device
shows a great advantage when being integrated in ultra-compact optical systems.
PMID- 29791352
TI - Analyzing characteristics of spiral vector beams generated by mixing of
orthogonal LP11 modes in a few-mode optical fiber.
AB - We have demonstrated a method for the generation of spiral vector beams using a
few-mode optical fiber. The beams are generated due to the combination of
orthogonal linearly polarized modes with a tilted polarization vector in the few
mode optical fiber. The excitation of these modes is controlled by the incident
state of polarization and coupling conditions at the input end of the fiber. The
beams with clockwise and counterclockwise nature of spiral polarization are
generated, and switching between them is demonstrated. The obtained results
emphasize the strong dependency of polarization of generated spiral vector beams
and other orthogonal linearly polarized modes on the input state of polarization.
The simulated results of mode mixing are verified experimentally with good
agreement.
PMID- 29791353
TI - Deep-learning-generated holography.
AB - We present a method for computer-generated holography based on deep learning. The
inverse process of light propagation is regressed with a number of
computationally generated speckle data sets. This method enables noniterative
calculation of computer-generated holograms (CGHs). The proposed method was
experimentally verified with a phase-only CGH.
PMID- 29791354
TI - Improved three-dimensional reconstruction algorithm from a multifocus microscopic
image sequence based on a nonsubsampled wavelet transform.
AB - In the multifocus microscopic image measurement method, the distortion of the
three-dimensional (3D) reconstruction model has always been an important factor
affecting the measurement result. In spatial domains, the focus measure algorithm
is based on the gradient change of the pixel point to determine the degree of
focus of the pixel. So it will be difficult to accurately extract the focus of
the pixel in the areas where color difference is not obvious, resulting in 3D
model distortion. According to the optical principle, the high-frequency
coefficients of the clear image are larger than the high-frequency coefficients
of the blurred image. Based on this characteristic, this paper proposes a new
multifocus microscopic image 3D reconstruction algorithm using a nonsubsampled
wavelet transform (NSWT). The NSWT does not consider the downsampling in wavelet
decomposition and has translational invariance. Therefore, the wavelet transform
value of each pixel can be calculated in the image, so the high-frequency
coefficient of each pixel can be obtained; then the convolution calculation is
performed on the high-frequency coefficients of the pixel points in the fixed
window as the focus measure value of the pixel point. Compared with the
traditional algorithm, the algorithm proposed in this paper can show better
unimodal and antinoise performance on the focusing measure curve. In this paper,
the reconstruction of the experimental object is Alicona standard block
triangular and semicylindrical. The proposed algorithm and the traditional
algorithm for comprehensive measure use the root mean square error, peak signal
to noise ratio, and correlation coefficient as the measure index. The
experimental results and comparative analysis prove the correctness of the
proposed algorithm and enable more accurate reconstruction of 3D models based on
multifocus microscopic images.
PMID- 29791355
TI - Laser coarse-fine coupling tracking by cascaded rotation Risley-prism pairs.
AB - Rotation Risley prisms are increasingly used for laser tracking due to high
precision and good dynamic performance. In this paper, a novel laser coarse-fine
coupling tracking method based on two pairs of rotation Risley prisms is proposed
to perform the forward and inverse tracking function. The second pair of rotation
Risley prisms with narrower wedge angle can achieve higher precision tracking
with narrower field of view than the first one, enriching the coarse-fine
coupling tracking trajectory patterns. Moreover, an inverse algorithm based on
the two-step method and Newton's iterative method is applied to solve the inverse
issue for laser coarse-fine coupling tracking. Two cases further demonstrate that
the motion switching strategy executed with the inverse solutions can steer the
beam to track the desired motion trajectory. An experiment validates the
availability of the cascaded rotation Risley-prism pairs to perform the laser
coarse-fine coupling tracking function.
PMID- 29791356
TI - Linewidth in saturated absorption spectroscopy for two-level atoms: an empirical
formula.
AB - We present an empirical formula for linewidth in saturated absorption
spectroscopy for two-level atoms with cycling transition lines, taking the
coherence term in the Doppler-broadened limit into account. The full width at
half-maximum is obtained as (1+(1+as0)b)gammat, where s0 is the on-resonance
saturation parameter, gammat is the transverse decay rate, and a and b are the
parameters that depend on gammat. We find that as gammat increases, a and b
approach 1 and 1/2, respectively. These are the typical values in the case
without the coherence term.
PMID- 29791357
TI - Synthetic model of nonlinearity errors in laser heterodyne interferometry.
AB - The development of laser heterodyne interferometry raises the requirements of
measurement resolution and accuracy. However, periodic nonlinearity errors mainly
suppress the accuracy of laser heterodyne interferometry. Based on the generation
mechanism of nonlinearity errors, the sources of nonlinearity errors in laser
heterodyne interferometry are first analyzed in this paper. Then, a synthetic
model is established to analyze the influences of various nonlinearity error
sources on the first- and second-harmonic nonlinearity errors. The first-harmonic
nonlinearity errors can be reduced and suppressed by adjusting the orientation
error of optical elements in a heterodyne interferometer. Furthermore, the
azimuthal misalignment of the polarization beam splitter (PBS) is the main source
of the second-harmonic nonlinearity errors. Therefore, when in heterodyne
interferometer, the azimuthal misalignment of the PBS should be avoided if
possible. This study provides theoretical basis for reducing and compensating
nonlinearity errors in a laser heterodyne interferometer.
PMID- 29791358
TI - Partial saturation-aided resolution enhancement for digital holography.
AB - Because of the limited dynamic range of common detectors, high-frequency
components weaker than the threshold of the detector used are lost in digital
holography (DH) even with the aid of coherent diffraction imaging (CDI)-based
iterative computation, and this makes DH less preferable for many interesting
applications. To overcome this problem, the partially saturated diffraction
pattern was adopted to enhance the resolution of DH iteratively. Since more high
frequency components were retrieved in comparison with our former CDI-based
digital holographic method, the resolution of DH was improved further. The
feasibility of the proposed method is demonstrated both numerically and
experimentally.
PMID- 29791359
TI - Efficient high power, narrow linewidth 1.9 MUm fiber hydrogen Raman amplifier.
AB - We report here an efficient, high power, narrow linewidth 1.9 MUm gas Raman
amplifier by means of a hydrogen-filled hollow-core fiber. A 1.9 MUm narrow
linewidth continuous wave seed laser is coupled into the hollow-core fiber
together with a high power pulsed 1064 nm MOPA laser through a shortpass dichroic
mirror, and then amplified by stimulated Raman scattering of hydrogen. With 2 m
fiber length and 4.5 bar gas pressure, the maximum average 1908 nm Stokes power
of 570 mW is obtained, a record average power level for such experiments. The
maximum peak power is about 50 kW, the linewidth is about 1 GHz, and the quantum
efficiency is about 51%. This work has demonstrated the potential to get a high
average power gas Raman laser in a hollow-core fiber, and it further provides the
possibility to achieve a high average power 4 MUm midinfrared fiber laser by
cascaded gas stimulated Raman scattering.
PMID- 29791360
TI - High-speed focal-distance-modulated fiber-coupled confocal sensor for coordinate
measuring systems.
AB - This article presents a new robust, precise, high-frequency focal-distance
modulated confocal point sensor for probing in coordinate measuring systems
(CMSs). While maintaining the known advantages of the confocal measurement
principle, the sensor represents an innovative combination of a fiber-coupled
confocal illumination and detection with a tuneable, acoustically driven gradient
index fluid lens for modulation of the focus distance and a novel signal
processing utilizing a lock-in amplifier. The new arrangement is able to achieve
an approximately linear characteristic curve for the optimized feedback control
of the CMS in scanning sample mode. This article emphasizes the optical
application and the signal processing of the setup.
PMID- 29791361
TI - No-reference stereoscopic image quality assessment based on hue summation
difference mapping image and binocular joint mutual filtering.
AB - The no-reference (NR) quality assessment for stereoscopic images plays a
significant role in 3D technology, but it also faces great challenges. In this
paper, a novel NR stereo image quality assessment (SIQA) method is proposed.
Based on the human visual system, this method mimics the summation and difference
channels, which consider the binocular interactive perception property, to
process the visual information. Especially, the summation and difference images
are calculated by the contrast of hue and luminance in color patches. Meanwhile,
considering the interactive filtering between the left and right viewpoints, this
method uses the filtered information as the weighting factor to integrate the
visual information of the summation and difference channels to form the summation
difference mapping image (SDMI). Then, energy entropy, bivariate generalized
Gaussian distribution for the joint distribution of SDMI and the depth map
subband coefficients, and the local log-Euclidean multivariate Gaussian
descriptor are detected as the feature descriptors. Support vector regression,
trained by the features, is utilized to predict the quality of stereoscopic
images. Experimental results demonstrate that the proposed algorithm achieves
high consistency with subjective assessment on four SIQA databases.
PMID- 29791362
TI - Real-time super-resolved 3D in turbid water using a fast range-gated CMOS camera.
AB - We present a range-gated camera system designed for real-time (10 Hz) 3D
estimation underwater. The system uses a fast-shutter CMOS sensor (1280*1024)
customized to facilitate gating with 1.67 ns (18.8 cm in water) delay steps
relative to the triggering of a solid-state actively Q-switched 532 nm laser. A
depth estimation algorithm has been carefully designed to handle the effects of
light scattering in water, i.e., forward and backward scattering. The raw range
gated signal is carefully filtered to reduce noise while preserving the signal
even in the presence of unwanted backscatter. The resulting signal is
proportional to the number of photons that are reflected during a small time unit
(range), and objects will show up as peaks in the filtered signal. We present a
peak-finding algorithm that is robust to unwanted forward scatter peaks and at
the same time can pick out distant peaks that are barely higher than peaks caused
by sensor and intensity noise. Super-resolution is achieved by fitting a parabola
around the peak, which we show can provide depth precision below 1 cm at high
signal levels. We show depth estimation results when scanning a range of 8 m
(typically 1-9 m) at 10 Hz. The results are dependent on the water quality. We
are capable of estimating depth at distances of over 4.5 attenuation lengths when
imaging high albedo targets at low attenuation lengths, and we achieve a depth
resolution (sigma) ranging from 0.8 to 9 cm, depending on signal level.
PMID- 29791363
TI - Design of a portable phantom device to simulate tissue oxygenation and blood
perfusion.
AB - We propose a portable phantom system for calibration and validation of medical
optical devices in a clinical setting. The phantom system comprises a perfusion
module and an exchangeable tissue-simulating phantom that simulates tissue
oxygenation and blood perfusion. The perfusion module consists of a peristaltic
pump, two liquid storage units, and two pressure suppressors. The tissue
simulating phantom is fabricated by a three-dimensional (3D) printing process
with microchannels embedded to simulate blood vessels. Optical scattering and
absorption properties of biologic tissue are simulated by mixing graphite powder
and titanium dioxide powder with clear photoreactive resin at specific ratios.
Tissue oxygen saturation (StO2) and blood perfusion are simulated by circulating
the mixture of blood and intralipid at different oxygenation levels and flow
rates. A house-made multimodal imaging system that combines multispectral imaging
and laser speckle imaging are used for non-invasive detection of phantom
oxygenation and perfusion, and the measurements are compared with those of a
commercial Moor device as well as numerical simulation. By acquiring multimodal
imaging data from one phantom and applying the calibration factors in different
settings, we demonstrate the technical feasibility to calibrate optical devices
for consistent measurements. By simulating retina tissue vasculature and
acquiring functional images at different tissue oxygenation and blood perfusion
levels, we demonstrate the clinical potential to simulate tissue anomalies. Our
experiments imply the clinical potential of a portable, low-cost, and traceable
phantom standard to calibrate and validate medical optical devices for improved
performance.
PMID- 29791364
TI - Synchronization of pairs of nanosecond pulses from a laser with two gain crystals
pumped with two different sources.
AB - We report a laser that emits two Q-switched pulses, one at 1.047 MUm and the
other at 1.064 MUm, generated by a Nd:YLF and a Nd:YVO4, respectively. The
crystals are pumped by two fiber-coupled diode lasers (808 nm and 880 nm); the
delay between the pulses can be controlled by adjusting the power of the pumps.
Two kinds of Q-switching techniques are reported, passive (Cr:YAG saturable
absorber) and active (electro-optic modulator). We model both the active and
passive Q switching and make a comparison between numerical simulations and
experiments. We show experimentally and theoretically that in both cases the
pulses can be synchronized; however, the stability of the synchronization
(sensitivity to pump power fluctuations) is better for active than for passive Q
switching. We also report that under certain experimental conditions a third
wavelength is obtained, 1156 nm, which corresponds to the first Stokes shift of
the 1047 nm pulse produced by stimulated Raman scattering from the Nd:YVO4
crystal.
PMID- 29791365
TI - 3D-printed polymer antiresonant waveguides for short-reach terahertz
applications.
AB - In this work, we present a 3D-printed waveguide that provides effective
electromagnetic guidance in the THz regime. The waveguide is printed using low
cost polycarbonate and a conventional fused deposition modeling printer. Light
guidance in the hollow core is achieved through antiresonance, and it improves
the energy effectively transported to the receiver compared to free space
propagation. Our demonstration adds to the field of 3D-printed terahertz
components, providing a low-cost way of guiding terahertz radiation.
PMID- 29791366
TI - Optimization of all-dielectric structures for color generation.
AB - In this work, we propose an inversion scheme to tailor the chromatic response of
an all-dielectric structure. To this end, we couple, through a previously defined
objective functional involving the concept of color difference, a forward solver
with an optimization algorithm. The former is based on the differential method,
whereas the latter is based on particle swarm optimization. The optimal
geometrical parameters of the structure that generates a specific color are
obtained through the solution of an approximation problem. We illustrate the
performance of our inversion scheme through examples and discuss its limitations
and potential applications.
PMID- 29791367
TI - Improved space object detection using short-exposure image data with daylight
background.
AB - Space object detection is of great importance in the highly dependent yet
competitive and congested space domain. The detection algorithms employed play a
crucial role in fulfilling the detection component in the space situational
awareness mission to detect, track, characterize, and catalog unknown space
objects. Many current space detection algorithms use a matched filter or a
spatial correlator on long-exposure data to make a detection decision at a single
pixel point of a spatial image based on the assumption that the data follow a
Gaussian distribution. Long-exposure imaging is critical to detection performance
in these algorithms; however, for imaging under daylight conditions, it becomes
necessary to create a long-exposure image as the sum of many short-exposure
images. This paper explores the potential for increasing detection capabilities
for small and dim space objects in a stack of short-exposure images dominated by
a bright background. The algorithm proposed in this paper improves the
traditional stack and average method of forming a long-exposure image by
selectively removing short-exposure frames of data that do not positively
contribute to the overall signal-to-noise ratio of the averaged image. The
performance of the algorithm is compared to a traditional matched filter detector
using data generated in MATLAB as well as laboratory-collected data. The results
are illustrated on a receiver operating characteristic curve to highlight the
increased probability of detection associated with the proposed algorithm.
PMID- 29791368
TI - Design and analysis for a bend-resistant and large-mode-area photonic crystal
fiber with hybrid cladding.
AB - In this paper, an asymmetric large-mode-area photonic crystal fiber (LMA-PCF)
with low bending loss at a smaller bending radius is designed. The finite-element
method with a perfectly matched layer boundary is used to analyze the performance
of the PCF. To achieve LMA-PCF with low bending loss, the air holes with double
lattice constants and different sizes at the core are designed. Numerical results
show that this structure can achieve low bending loss and LMA with a smaller
bending radius at the wavelength of 1.55 MUm. The effective mode area of the
fundamental mode is larger than 1000 MUm2 when the bending radius is >=10 cm.
The bending loss of the fundamental mode is just 0.0113 dB/m, and the difference
between the fundamental and high-order modes of the bending loss is larger than
103 when the bending radius is 10 cm. Simulation results show this novel PCF can
achieve LMA and have effective single-mode operation when the bending orientation
angle ranges in +/-110 degrees . This novel photonic crystal has potential
application in high-power fiber lasers.
PMID- 29791369
TI - Three-dimensional shape measurement using a structured light system with dual
projectors.
AB - This paper introduces a structured light system with two projectors and one
camera for three-dimensional (3D) shape measurement to alleviate problems created
by a single projector such as the shadow problem. In particular, we developed (1)
a system calibration framework that can accurately calibrate each such camera
projector system; (2) a residual error correction method based on the system
error function; and (3) a data fusion method utilizing the angle between the
projection direction and surface normal. Experimental results demonstrate that
the proposed dual-projector structured light system improves the measurement
accuracy besides extending the measurement range of a single projector system.
PMID- 29791370
TI - Band optimization of passive methane gas leak detection based on uncooled
infrared focal plane array.
AB - Current methane gas leak detection technology uses infrared imaging in the medium
wave (MW) or long wave (LW) bands, essentially applying cooled infrared
detectors. In this study, a simplified three-layer radiative transfer model is
adopted based on methane gas detection theory, considering background radiation,
atmospheric infrared absorption, gas absorption, and emission characteristics to
analyze the contrast of methane gas thermography in different infrared bands. The
analysis results suggest that under certain conditions, the 6.6-8.6 MUm LW band
provides higher contrast compared to the 3-5 MUm MW band. The optimal imaging
wavelength band is selected according to imaging contrast advantages and
disadvantages, and infrared optical systems and infrared filters are designed and
optimized. We build a passive methane gas leak detection system based on uncooled
infrared focal plane array detectors. By collecting gas images under different
conditions, the imaging detection capabilities for methane gas leaks in the MW
and LW bands in a laboratory environment are compared. Finally, the developing
trends in methane gas detection technology are analyzed.
PMID- 29791371
TI - Ultrahigh sensitivity refractive index sensor of a D-shaped PCF based on surface
plasmon resonance.
AB - We propose a D-shaped photonic crystal fiber (PCF) refractive index sensor with
ultrahigh sensitivity and a wide detection range. The gold layer is deposited on
the polished surface, avoiding filling or coating inside the air holes of the
PCF. The influences of the gold layer thickness and the diameter of the larger
air holes are investigated. The sensing characteristics of the proposed sensor
are analyzed by the finite element method. The maximum sensitivity can reach
31,000 nm/RIU, and the refractive index detection range is from 1.32 to 1.40.
Our proposed PCF has excellent sensing characteristics and is competitive in
sensing devices.
PMID- 29791372
TI - Theoretical compensation of static deformations of freeform multimirror
substrates.
AB - Varying temperatures influence the figure errors of freeform metal mirrors by
thermal expansion. Furthermore, different materials lead to thermo-elastic
bending effects. The paper presents a derivation of a compensation approach for
general static loads. Utilizing perturbation theory, this approach works for
shape compensation of substrates that operate in various temperature
environments. Verification is made using a finite element analysis, which is
further used to produce manufacturable CAD models. The remaining low spatial
frequency errors are deterministically correctable using diamond turning or
polishing techniques.
PMID- 29791373
TI - Broadband TE-pass slot waveguide polarizer using an asymmetrical directional
coupler.
AB - A compact TE-pass polarizer for silicon-based slot waveguides is numerically
proposed based on a directional coupler where an asymmetrical slot waveguide
(ASW) and hybrid plasmonic waveguide (HPW) are involved. The input section is
linearly tapered to an ASW to markedly enlarge the modal birefringence.
Beneficially, only the TM mode can be coupled into the adjacent HPW and
attenuated while the injected TE mode just passes through the slot waveguide
without coupling by properly choosing the dimensions. As a consequence, an
efficient TE-pass polarizer can be implemented. From the simulation results, the
proposed polarizer has an extinction ratio (ER) of 45 dB and insertion loss (IL)
of 0.44 dB at 1.55 MUm, and its bandwidth exceeds 130 nm with the ER>30 dB and
IL<1 dB. In addition, fabrication tolerances to the key structural parameters
are analyzed and field evolution along the propagation distance is also
demonstrated.
PMID- 29791374
TI - Enhancement of Goos-Hanchen shift due to a Rydberg state.
AB - This paper hints at the Goos-Hanchen shift properties of a cavity containing an
ensemble of atoms using a four-level atomic system involving a Rydberg state. By
means of the stationary phase theory and density matrix formalism in quantum
optics, we study theoretically the Goos-Hanchen shifts in both reflected and
transmitted light beams. It is realized that as a result of the interaction
between Rydberg and excited states in such a four-level atom-light coupling
scheme the maximum positive and negative Goos-Hanchen shifts can be obtained in
reflected and transmitted light beams owning to the effect of the Rydberg
electromagnetically induced transparency (EIT) or Rydberg electromagnetically
induced absorption. In particular, when the switching field is absent and the
Rydberg EIT is dominant in the medium, a giant Goos-Hanchen shift can be achieved
for both reflected and transmitted light beams.
PMID- 29791375
TI - Tunable double-mode sensor for multi-gas detection based on the external-cavity
diode laser.
AB - An optoelectronic sensor system based on a thermoelectrically cooled (TEC)
continuous-wave (CW) double-mode external-cavity diode laser (ECDL) is
demonstrated for a multi-gas analysis in this paper. An ECDL that can
simultaneously emit at two different wavelengths in the range from 1275 to 1340
nm was utilized as the excitation source for molecule absorption. Each wavelength
can be independently tuned. The ECDL was combined with an off-axis cavity
enhanced absorption spectroscopy (OA-CEAS) cell to perform multi-gas sensing. It
was shown that the sensor system can be used for detection of multiple trace
gases (H2O, CO2, and CH4). The advantages of the reported sensor system are that
a single laser and detector system are utilized and that there is no overlapping
between the two modes on the mirror surfaces because of the single fiber output
from the ECDL.
PMID- 29791376
TI - TM01 mode in a cylindrical hybrid plasmonic waveguide with large propagation
length.
AB - This study reports on a cylindrical hybrid plasmonic waveguide (CHPW) consisting
of a high-index dielectric core, a sandwiched low-index dielectric layer, and a
metal cladding. The CHPW supports the TM01 mode with a radially polarized
transverse component of the electric field. Optical fields can be significantly
enhanced in the sandwiched low-index dielectric region with nanoscale thickness
down to 5 nm, and tight mode confinement with the same order of the normalized
mode area compared with that of the plasmonic waveguide is achieved. Moreover,
the mode propagation loss is well compensated by adjusting dimensions of the
waveguide to obtain a longer propagation distance. The calculated figure of merit
reaches a value several times greater than that in the similarly reported
structure. The results indicate that this novel type of hybrid structure can
support the limited propagation of a radially polarized mode with good
confinement and low loss, consummate the whole system of manipulating the
cylindrical vector beams, and show great potential of applications for various
integrated nano-photonic devices.
PMID- 29791377
TI - 27.5 W/m2 collection efficiency solar laser using a diffuse scattering cooling
liquid.
AB - We report a solar pumped solid state laser using a 20 mm long, 3 mm diameter
neodymium-doped yttrium aluminum garnet laser rod. This rod was placed in a
liquid cooling chamber using a water-white-emulsion-paint mix. This mix provides
cooling for the laser crystal and also doubles as a diffuse light scattering
liquid. This enhances sunlight scattering and leads to a greater absorption in
the laser rod. We numerically model the solar absorption in the laser rod using a
ray-tracing model and predict a 2.6 times enhancement in absorption when a 98%
reflective diffuse scatter is modelled compared to 0% scattering. We
experimentally demonstrated this, showing a 2.58 times increase in average output
power of the solar laser compared to the use of pure water as a cooling liquid.
Using the water-white-paint scattering cooling liquid, we demonstrated a laser
with an output power of 2.3 W and with a collection efficiency of 27.5 W/m2.
PMID- 29791378
TI - Design of an adjustable bipod flexure for a large-aperture mirror of a space
camera.
AB - An adjustable bipod flexure (ABF) technique for a large-aperture mirror of a
space camera is presented. The proposed flexure mount can decrease the surface
distortions caused by the machining error and the assembly error of the mirror
assembly (MA) in a horizontal optical testing layout. Through the analysis of the
compliance matrix of conventional bipod flexure, the positional relationship
between the rotation center and the apex of the flexure is investigated. Then,
the principle of the adjustable flexure, known as the trapezoidal switching
principle, is proposed based on the analysis result. The structure and
application of the flexure are also described. The optical performance of the
mirror mounted by the adjustable flexures in different misalignments was
performed using finite element methods. The result shows that the astigmatic
aberration due to gravity is effectively reduced by adjusting the mount, and the
root-mean-square value of the mirror can be minimized with the misalignment
between the flexure pivot and the neutral plane minimized. New monolithic bipod
flexures, based on the optimal regulating variable Deltau according to the
measurement results, are manufactured to replace the ABFs to secure the mirror's
safety against launch loads. Modal analysis verified the mechanical safety of the
MA with respect to the new monolithic flexures.
PMID- 29791379
TI - Decision feedback equalizer for holographic data storage.
AB - Holographic data storage (HDS) has attracted much attention as a next-generation
storage medium. Because HDS suffers from two-dimensional (2D) inter-symbol
interference (ISI), the partial-response maximum-likelihood (PRML) method has
been studied to reduce 2D ISI. However, the PRML method has various drawbacks. To
solve the problems, we propose a modified decision feedback equalizer (DFE) for
HDS. To prevent the error propagation problem, which is a typical problem in
DFEs, we also propose a reliability factor for HDS. Various simulations were
executed to analyze the performance of the proposed methods. The proposed methods
showed fast processing speed after training, superior bit error rate performance,
and consistency.
PMID- 29791380
TI - Polarization-sensitive laser feedback interferometry for specular reflection
removal.
AB - Specular reflection from the surface of targets or prepared specimens represents
a significant problem in optical microscopy and related optical imaging
techniques as usually the surface reflection does not contribute to the desired
signal. Solutions exist for many of these imaging techniques; however, remedial
techniques for imaging based on laser feedback interferometry (LFI) are absent.
We propose a reflection cancellation technique based on crossed-polarization
filtering that is tailored for a typical LFI configuration. The technique is
validated with three experimental designs, and a significant improvement of about
40 dB in the ratio of the diffuse and specular LFI signal is observed.
Applications of this principle extend from specular reflection removal to
characterization of target materials in industrial to biomedical domains.
PMID- 29791381
TI - Fringe projection system for high-temperature workpieces-design, calibration, and
measurement.
AB - In the Collaborative Research Centre 1153, Tailored Forming, the geometry of hot
measurement objects needs to be captured quickly, areally, and with high
precision. The documentation of the hybrid components' shrinkage behavior
directly after the forming process can yield insight into the development of
residual stresses. In this paper, we present a fringe projection measurement
setup designed for the topography measurement of high-temperature steel shafts,
comprising two cameras with different lenses and a projector. In order to
separate the measurement signal from light by self-radiation, a green bandpass
filter is installed in front of the measurement camera's sensor. The optical
sensors are protected from the measurement object's temperature and possible
scale by a glass panel and a working distance of at least 250 mm. High-resolution
measurements are guaranteed due to a telecentric measurement camera and a
triangulation angle of about 30 degrees . The triangulation angle requires an
additional entocentric calibration camera to provide a highly accurate projector
model estimation. Special attention is therefore devoted to the developed
calibration routine, the glass panel effect, and the applied distortion models.
The quality of the calibration routine is validated by a reference sphere
measurement. Furthermore, the geometry data of a red-glowing heating rod
(approximately 1020 degrees C) is acquired to demonstrate the performance of the
presented system. In future applications, the presented setup will be used with a
force-controlled clamping unit to enable secure and position stable topography
acquisition of hot measurement objects.
PMID- 29791382
TI - Polychromatic wave-optics models for image-plane speckle. 1. Well-resolved
objects.
AB - Polychromatic laser light can reduce speckle noise in wavefront-sensing and
imaging applications that use direct-detection schemes. To help quantify the
achievable reduction in speckle, this paper investigates the accuracy and
numerical efficiency of three separate wave-optics methods. Each method simulates
the active illumination of extended objects with polychromatic laser light. In
turn, this paper uses the Monte Carlo method, the depth-slicing method, and the
spectral-slicing method, respectively, to simulate the laser-object interaction.
The limitations and sampling requirements of all three methods are discussed.
Further, the numerical efficiencies of the methods are compared over a range of
conditions. The Monte Carlo method is found to be the most efficient, while
spectral slicing is more efficient than depth slicing for well-resolved objects.
Finally, Hu's theory is used to quantify method accuracy when possible (i.e., for
well-resolved objects). In general, the theory compares favorably to the
simulation methods.
PMID- 29791383
TI - Polychromatic wave-optics models for image-plane speckle. 2. Unresolved objects.
AB - Polychromatic laser light can reduce speckle noise in many wavefront-sensing and
imaging applications. To help quantify the achievable reduction in speckle noise,
this study investigates the accuracy of three polychromatic wave-optics models
under the specific conditions of an unresolved object. Because existing theory
assumes a well-resolved object, laboratory experiments are used to evaluate model
accuracy. The three models use Monte-Carlo averaging, depth slicing, and spectral
slicing, respectively, to simulate the laser-object interaction. The experiments
involve spoiling the temporal coherence of laser light via a fiber-based, electro
optic modulator. After the light scatters off of the rough object, speckle
statistics are measured. The Monte-Carlo method is found to be highly inaccurate,
while depth-slicing error peaks at 7.8% but is generally much lower in
comparison. The spectral-slicing method is the most accurate, always producing
results within the error bounds of the experiment.
PMID- 29791384
TI - Copying of holograms by spot scanning approach.
AB - To replicate holograms, contact copying has conventionally been used. In this
approach, a photosensitive material is fixed together with a master hologram and
illuminated with a coherent beam. This method is simple and enables high-quality
copies; however, it requires a large optical setup for large-area holograms. In
this paper, we present a new method of replicating holograms that uses a
relatively compact optical system even for the replication of large holograms. A
small laser spot that irradiates only part of the hologram is used to reproduce
the hologram by scanning the spot over the whole area of the hologram. We report
on the results of experiments carried out to confirm the copy quality, along with
a guide to design scanning conditions. The results show the potential
effectiveness of the large-area hologram replication technology using a
relatively compact apparatus.
PMID- 29791386
TI - Single-frequency 3D synthetic aperture imaging with dynamic metasurface antennas.
AB - Through aperture synthesis, an electrically small antenna can be used to form a
high-resolution imaging system capable of reconstructing three-dimensional (3D)
scenes. However, the large spectral bandwidth typically required in synthetic
aperture radar systems to resolve objects in range often requires costly and
complex RF components. We present here an alternative approach based on a hybrid
imaging system that combines a dynamically reconfigurable aperture with synthetic
aperture techniques, demonstrating the capability to resolve objects in three
dimensions (3D), with measurements taken at a single frequency. At the core of
our imaging system are two metasurface apertures, both of which consist of a
linear array of metamaterial irises that couple to a common waveguide feed. Each
metamaterial iris has integrated within it a diode that can be biased so as to
switch the element on (radiating) or off (non-radiating), such that the
metasurface antenna can produce distinct radiation profiles corresponding to
different on/off patterns of the metamaterial element array. The electrically
large size of the metasurface apertures enables resolution in range and one cross
range dimension, while aperture synthesis provides resolution in the other cross
range dimension. The demonstrated imaging capabilities of this system represent a
step forward in the development of low-cost, high-performance 3D microwave
imaging systems.
PMID- 29791385
TI - Airborne lidar detection and mapping of invasive lake trout in Yellowstone Lake.
AB - The use of airborne lidar to survey fisheries has not yet been extensively
applied in freshwater environments. In this study, we investigated the
applicability of this technology to identify invasive lake trout (Salvelinus
namaycush) in Yellowstone Lake, Yellowstone National Park, USA. Results of
experimental trials conducted in 2004 and in 2015-16 provided lidar data that
identified groups of fish coherent with current knowledge and models of lake
trout spawning sites, and one identified site was later confirmed to have lake
trout.
PMID- 29791387
TI - Shape reconstruction based on zero-curl gradient field estimation in a fringe
reflection technique.
AB - A novel shape reconstruction method based on zero-curl gradient field estimation
is presented in this paper. Zero-curl field estimation makes the most of curl
information to obtain the ideal gradient data, and achieves the reconstruction
with the quality map path integration method. In the estimation process, an
algebraic approach is adopted to enforce integrability, which maintains the local
information well. Moreover, we use the residual gradients of surface obtained
from the Southwell zonal reconstruction algorithm as the raw gradient data in
zero-curl field estimation, which has a stable tradeoff between smoothness and
local shape confinement. The performance of the proposed method over antinoise
capability is discussed and demonstrated by the simulations. The measurement
experiment of an ultraprecision sphere mirror identifies the validity over
general shapes, and the reconstruction results of hyperbolic surface with a local
shape map demonstrate the better performance on local details retention.
Therefore, this method performs well in handling complex objects with local
mutation regions and high accuracy requirement of local information in practical
measurement.
PMID- 29791388
TI - Calibration of focusing lens artifacts in a dual rotating-compensator Mueller
matrix ellipsometer.
AB - A focusing lens consisting of two or more elements is widely used in
ellipsometers for spatial resolution. In a typical ellipsometer layout, a lens is
placed before the sample to focus light emerging to the sample, and another after
the sample to collect the scattered light from the sample. Accurately calibrating
the artifacts of the focusing lens is of great importance. In this paper, a
method to improve calibration accuracy is proposed. A general analytical model is
deduced to describe the artifacts of the focusing lens. This model can be applied
to a system model of a dual rotating-compensator Mueller matrix ellipsometer. By
adding a uniaxial crystal to calibration samples, with its optical axis neither
parallel nor perpendicular to the incident plane, we can better separate
artifacts from the lens before and after the sample. The system model also
includes depolarization effects due to a finite numerical aperture (NA) that is
related to the focusing lens. Similar effects due to the finite spectral
bandwidth are also considered. Our simulation's results have validated the
proposed method.
PMID- 29791390
TI - Rapid optical imaging of polycrystalline material structures.
AB - The physical properties of polycrystalline materials that are widely used in
various optical applications are determined by their structure, wherein grain
size and form and grain boundaries play a crucial role. Currently, there is no
simple and fast method to generate a direct image of polycrystalline material
structures. In this study, we propose a method for direct optical imaging of a
crystalline material structure based on the difference between the optical
properties of the grains and grain boundaries near the fundamental absorption
edge of the material. Our results indicate the possible utility of the method in
diverse imaging applications.
PMID- 29791389
TI - Gaussian probe beam with high spherical aberration for glucose concentration
measurement.
AB - We demonstrate that an optical probe beam with high spherical aberration used for
glucose concentration measurements gives better sensitivity compared to a probe
beam free of aberrations, under similar conditions. We place a singlet focusing
lens at a large distance from a laser source with a Gaussian intensity profile to
obtain a spherically aberrated probe beam with negligible truncation. The
aberrated probe beam propagates through a transparent liquid sample. Intensity
profiles of the transmitted beam are recorded by means of a homodyne profiler to
perform the glucose concentration measurements accurately.
PMID- 29791391
TI - Effects of aberrations on effective point spread function in STED microscopy.
AB - Like other imaging techniques, stimulated emission depletion (STED) microscopy
suffers from aberrations. While their effects on depletion patterns have been
explicitly investigated, the study on how aberrations affect the effective point
spread function (PSF) in STED microscopy is still missing. For STED researchers,
however, this study is beneficial, as it directly bridges image qualities and
aberrations. In this paper, we quantitatively analyze the effects of primary
aberrations, including astigmatism, coma, trefoil, and spherical aberration, in
two-dimensional (2D) and three-dimensional (3D) STED microscopy, and further
discuss the corresponding aberration tolerance. Specifically, attention is given
to the modification of the shape, the size, and the peak intensity of the
effective PSF in the presence of these aberrations.
PMID- 29791392
TI - Wide field-of-view crossed Dragone optical system using anamorphic aspherical
surfaces.
AB - A side-fed crossed Dragone telescope provides a wide field of view. This type of
telescope is commonly employed in the measurement of cosmic microwave background
polarization, which requires an image-space telecentric telescope with a large
focal plane over broadband coverage. We report the design of a wide field-of-view
crossed Dragone optical system using anamorphic aspherical surfaces with
correction terms up to the 10th order. We achieved a Strehl ratio larger than
0.95 over 32*18 square degrees at 150 GHz. This design is an image-space
telecentric and fully diffraction-limited system below 400 GHz. We discuss the
optical performance in the uniformity of the axially symmetric point-spread
function and telecentricity over the field of view. We also address the analysis
to evaluate the polarization properties, including the instrumental polarization,
extinction rate, and polarization angle rotation. This work is a part of a
program to design a compact multi-color wide-field-of-view telescope for
LiteBIRD, which is a next-generation cosmic microwave background (CMB)
polarization satellite.
PMID- 29791393
TI - On the use of deep neural networks in optical communications.
AB - Information transfer rates in optical communications may be dramatically
increased by making use of spatially non-Gaussian states of light. Here, we
demonstrate the ability of deep neural networks to classify numerically
generated, noisy Laguerre-Gauss modes of up to 100 quanta of orbital angular
momentum with near-unity fidelity. The scheme relies only on the intensity
profile of the detected modes, allowing for considerable simplification of
current measurement schemes required to sort the states containing increasing
degrees of orbital angular momentum. We also present results that show the
strength of deep neural networks in the classification of experimental
superpositions of Laguerre-Gauss modes when the networks are trained solely using
simulated images. It is anticipated that these results will allow for an
enhancement of current optical communications technologies.
PMID- 29791394
TI - Optical measurement of high-temperature melt flow rate.
AB - This paper presents an optical method and system for contactless measurement of
the mass flow rate of melts by digital cameras. The proposed method is based on
reconstruction of melt stream geometry and flow velocity calculation by cross
correlation, and is very cost-effective due its modest hardware requirements.
Using a laboratory test rig with a small inductive melting pot and reference mass
flow rate measurement by weighing, the proposed method was demonstrated to have
an excellent dynamic response (0.1 s order of magnitude) while producing
deviations from the reference of about 5% in the steady-state flow regime.
Similar results were obtained in an industrial stone wool production line for two
repeated measurements. Our method was tested in a wide range of melt flow rates
(0.05-1.2 kg/s) and did not require very fast cameras (120 frames per second
would be sufficient for most industrial applications).
PMID- 29791395
TI - Fiber-optic Fabry-Perot pressure sensor based on low-temperature co-fired ceramic
technology for high-temperature applications.
AB - In this study, a novel batch-producible fiber-optic Fabry-Perot (FP) pressure
sensor based on a low-temperature co-fired ceramic technology is proposed and
experimentally demonstrated for high-temperature applications. The sensor is
fabricated by inserting a well-cut single-mode fiber (SMF) into a zirconia fiber
ferrule, followed by insertion of the overall structure into an alumina sensor
head. The FP cavity in the sensor is formed by placing the end face of the SMF in
parallel to the diaphragm. The external pressure can be detected by demodulating
the FP cavity length of the sensor. A theoretical analysis indicates that the
pressure sensitivity can be designed flexibly by adjusting the parameters of the
ceramic diaphragm, radius, and thickness. Experimental results demonstrate that
the pressure sensor exhibits a high linear sensitivity of approximately 0.1
MUm/kPa at room temperature in the pressure range up to 160 kPa. The
repeatability error and nonlinear error of three repeatable experiments are
approximately 2.60% and smaller than 0.101%, respectively. The temperature
coefficient and coefficient of the pressure-sensitivity changes with temperature
are 0.023 MUm/ degrees C and 0.205 nm/(kPa degrees C) in the temperature range of
20 degrees C-300 degrees C.
PMID- 29791396
TI - Effective freeform TIR lens designed for LEDs with high angular color uniformity.
AB - Most LED luminaires face the problem of color nonuniformity in illumination
fields, which seriously degrades their lighting quality. To address this issue,
we proposed a freeform TIR lens, which could be applied to the most widely
adopted phosphor-converted LEDs and multicolor LED arrays. Based on light pattern
overlap and energy mapping, the novel TIR lens comprised the freeform panel and
the collimator. Analysis of angular color uniformity (ACU), based on a phosphor
converted white LED model, proved that the normalized standard deviation (NSD) of
the LED integrated with the freeform TIR lens significantly decreased from 0.792
to 0.232, compared with the NSD of the traditional TIR lens. Moreover, the
proposed TIR lens was also applied to a multiple LED array for color mixing. Both
the theoretical analysis and simulation results demonstrated their capability to
enhance the ACU. These results demonstrated the effectiveness of the proposed
method to improve the uniformity of the LED sources regardless of single or
multiple LEDs. The improved lighting quality guarantees good employment of LEDs,
especially in the fields of entertainment and architectural lighting.
PMID- 29791397
TI - High-repetition-rate single-frequency Ho:YAG MOPA system.
AB - A stable, 2.09 MUm single-frequency Ho:YAG master oscillator and power amplifier
(MOPA) system at a pulse repetition frequency of 1.25 kHz is demonstrated. The
maximum output energy of the single-frequency injection-seeded laser is 13.76 mJ,
and the corresponding pulse width is 178.9 ns. The seed laser is a continuous
wave Ho:YAG non-planar ring oscillator laser. The half-width of the pulse
spectrum is measured to be 2.65 MHz by using the heterodyne technique, which is
about 1.06 times Fourier transform limited.
PMID- 29791398
TI - Ultralow chirp photonic crystal fiber Mach-Zehnder interferometer.
AB - A photonic crystal fiber Mach-Zehnder interferometer design was optimized to
obtain high performance and ultralow chirp. Two long-period gratings were used to
excite the cladding modes, and the rich structure of the cladding was tailored to
obtain a slightly chirped free spectral range, as required by the
Telecommunication Standardization Sector of the International Telecommunication
Union (ITU-T) Norm G.694.1. Finally, a fabrication tolerance analysis was
performed. The advantages of the proposed device are an ultralow chirp, high
bandwidth, and fabrication robustness tolerance.
PMID- 29791399
TI - Highly efficient in situ oxidization of metal ions in porous media with high
power laser.
AB - Metal ions in ground are hard to remove and constitute a serious environmental
challenge. This paper reports a new laser-based method for in situ soil
decontamination at high efficiency, in which a focused CO2 laser is used to
oxidize metal contaminants from soil and fuse them with silica (base materials of
soil), thus preventing undesired transport of metal ions within soil. Three types
of metal ions (copper, nickel, and cadmium) adsorbed on porous silica plates are
exposed to continuous laser irradiation. The lithographic mode of operation
allows the accurate quantitation of laser effects. The effects of power, speed,
frequency, and energy consumption on the efficiency of oxidation have been
examined with high accuracy. The affected area increases with increases in laser
power and decreases in scan speed and frequency. This method is promising for
large scale in situ soil recovery due to high efficient oxidation of metal ions
by high power laser.
PMID- 29791400
TI - Temperature-independent hygrometry using micromachined photonic crystal fiber.
AB - An in-fiber Mach-Zehnder interferometer (MZI) is proposed and experimentally
demonstrated for relative humidity (RH) and temperature measurements. The MZI is
formed by a grapefruit-shaped photonic crystal fiber (G-PCF) cascaded with a
short section of multimode fiber that serves as a mode coupler. To enhance
sensitivity to humidity, femtosecond laser micromachining was performed to remove
a portion of cladding of the G-PCF to expose its core to the ambient medium. The
output interference spectrum is fast Fourier transformed to produce a spatial
frequency spectrum that describes the intensity composition of the cladding modes
in the MZI. In our investigation, it was observed that the interference dip
intensity has a sensitivity of -0.077 dB/% RH to the change of RH in the range
of 25%-80% RH, whereas the dip wavelength has a temperature sensitivity of ~3.3
pm/ degrees C in the range of 25 degrees C-70 degrees C. In addition, the dip
intensity was insensitive to temperature. These characteristics have provided
convenience in eliminating temperature cross talk and achieving accurate humidity
measurement.
PMID- 29791401
TI - Investigation of index change in compression molding of As40Se50S10 chalcogenide
glass.
AB - Chalcogenide glasses are emerging as alternative materials for low-cost and high
volume glass molding processes for infrared optics. In precision glass molding,
it is well documented that the refractive index variation in the molded elements
can lead to substantial amounts of aberrations. The variation has such a
significant effect that the optical designs with molded lenses need to be
carefully considered and compensated for index variation to achieve targeted
optical performance. This research is aimed to evaluate the refractive index
change of a chalcogenide glass during the molding process by both finite element
method-based simulation and optical experiment. First, a set of mold inserts was
designed and machined by high-speed single-point diamond milling. The structure
of the lower mold insert was semiclosed and detachable, which facilitated the
molded infrared prisms' release from the mold. Second, finite element method
simulation was implemented to predict the refractive index change during the
cooling phase by using the Tool-Narayanaswamy-Moynihan model for structural
relaxation behavior. It was confirmed that refractive index variation occurred
inside the molded wedge due to rapid thermal cycling. However, the amount of
variation in the molded element indicates that the refractive index change during
the molding process was not uniform. Finally, the refractive index of the molded
wedge was measured by an optical setup. The results showed that the index shift
is approximately -0.0226 for As40Se50S10, which matched the numerical result by
simulation. Compared with oxide glass materials, the index drop of As40Se50S10
has a significant effect on optical performance of molded optics, and the
postmolding refractive index should be taken into account in the optical design.
In summary, the results presented in this article provided reliable references
for refractive index change of As40Se50S10 glass, crucial for precision glass
molding or similar applications.
PMID- 29791402
TI - Determination of the damage growth threshold of multilayer dielectric gratings by
picosecond laser pulses based on saturation damage size analysis.
AB - We propose two efficient methods of determining damage growth threshold (DGT)
based on the saturation damage size analysis (SDSA) for multilayer dielectric
gratings by picosecond pulsed lasers. The damage size at laser fluences above DGT
increases with the shot number and finally saturates due to the Gaussian focal
spot. The DGT is extracted by mapping the boundary of a saturation damage site
obtained at single fluence to the beam profile, which is called the monofluence
SDSA method. Meanwhile, the saturation damage size decreases when reducing laser
fluence. The fitting and extrapolation of the saturation damage sizes at
different fluences are also useful to accurately determine the DGT, which is
called the multifluence SDSA method. Although the saturation damage site is
asymmetric, the DGTs measured with two SDSA methods are almost identical for the
same axis, and both are in very good agreement with those obtained with the
growth probability method. The underlying mechanisms and advantages of two SDSA
methods are extensively discussed. The consistence of two SDSA methods in
determining DGT is attributed to the same morphology of the initial damage and
the saturation damage boundary, as well as the local damage dynamics. The
relation of the lifetime damage threshold and DGT obtained with the SDSA method
is also revealed.
PMID- 29791403
TI - Quality enhancement and GPU acceleration for a full-color holographic system
using a relocated point cloud gridding method.
AB - The calculation of realistic full-color holographic displays is hindered by the
high computational cost. Previously, we suggested a point cloud gridding (PCG)
method to calculate monochrome holograms of real objects. In this research, a
relocated point cloud gridding (R-PCG) method is proposed to enhance the
reconstruction quality and accelerate the calculation speed in GPU for a full
color holographic system. We use a depth camera to acquire depth and color
information from the real scene then reconstruct the point cloud model virtually.
The R-PCG method allows us to classify groups of object points with the same
depth values into grids in the red, green, and blue (RGB) channels. Computer
generated holograms (CGHs) are obtained by applying a fast Fourier transform
(FFT) diffraction calculation to the grids. The feasibility of the R-PCG method
is confirmed by numerical and optical reconstruction.
PMID- 29791404
TI - Third-order aberration coefficients of a thick lens with a given value of its
focal length.
AB - The formulas are derived for calculation of the third-order aberration (Seidel)
coefficients for a thick spherical lens in air with a given value of its focal
length and for an object at infinity in this paper. The explicit analytic
dependence of individual aberration coefficients on a lens thickness is derived.
Equations were described for the re-calculation of aberration coefficients for a
different value of focal length and different values of entrance pupil and object
positions. Such formulas make it possible to analyze analytically an influence of
the lens thickness on lens aberration properties and the replacement of a thin
lens optical system by a thick lens model.
PMID- 29791405
TI - Local blur analysis and phase error correction method for fringe projection
profilometry systems.
AB - We introduce a flexible error correction method for fringe projection
profilometry (FPP) systems in the presence of local blur phenomenon. Local blur
caused by global light transport such as camera defocus, projector defocus, and
subsurface scattering will cause significant systematic errors in FPP systems.
Previous methods, which adopt high-frequency patterns to separate the direct and
global components, fail when the global light phenomenon occurs locally. In this
paper, the influence of local blur on phase quality is thoroughly analyzed, and a
concise error correction method is proposed to compensate the phase errors. For
defocus phenomenon, this method can be directly applied. With the aid of
spatially varying point spread functions and local frontal plane assumption,
experiments show that the proposed method can effectively alleviate the system
errors and improve the final reconstruction accuracy in various scenes. For a
subsurface scattering scenario, if the translucent object is dominated by
multiple scattering, the proposed method can also be applied to correct
systematic errors once the bidirectional scattering-surface reflectance
distribution function of the object material is measured.
PMID- 29791406
TI - Controllable long focal length microlens based on thermal expansion.
AB - A novel method to fabricate a microlens array with a long focal length has been
developed in this paper. It is based on the fabricating and heating of a
microlens consisting of two materials with a great difference in coefficient of
thermal expansion. A thermal expansion process leads to considerable deformation
of the microlens surface and significant increase in focal length, which could be
controlled by altering the processing temperature. Cylindrical polymeric
microlens arrays with different focal lengths were successfully fabricated. By
measuring the focal length and temperature dependence of the cylindrical
microlens geometry, the formation mechanism was analyzed and validated. While the
temperature is ranged from 20 degrees C to 50 degrees C, the focal length of the
cylindrical microlens has been extended by 38.2% and the longest focal length was
obtained up to 6.6 mm for the microlens with a linewidth of 240 MUm.
PMID- 29791407
TI - Stokes polarimeter performance: general noise model and analysis.
AB - We calculate the photometric Stokes parameter covariance matrices and SNRs
estimated by polarimeters exposed to general noise distributions, such as mixed
Poisson-Gaussian (PG) noise. The measurement model includes the effects of
optical losses and detector quantum efficiency, enabling quantitative comparison
of instruments that have different photometric efficiencies. We demonstrate this
capability by comparing the performance of many common polarimeter
configurations, including diattenuator-based systems, such as Azzam's four
detector polarimeter [Opt. Lett.10, 309 (1985)OPLEDP0146
959210.1364/OL.10.000309] and Kudenov's stacked photovoltaic polarimeter [Opt.
Express24, 14737 (2016)OPEXFF1094-408710.1364/OE.24.014737]. Working with the
full covariance matrix under mixed PG noise, we also show that instruments
optimized under assumptions of Gaussian noise simultaneously exhibit optimal
behavior under Poisson noise.
PMID- 29791408
TI - Correction of phase-delay distortion for alpha-beta circular scanning.
AB - alpha-beta circular scanning with a large scanning field of view and high
reliability can be widely applied in laser scanning imaging systems and laser
processing. However, mechanical inertia of the galvanometers introduces phase
delay and ultimately leads to scanning distortions in alpha-beta circular
scanning in both constant angular velocity scanning (CAVS) and constant line
velocity scanning (CLVS). To compensate for the phase-delay distortions, two
correction models are respectively proposed for CAVS and CLVS, which utilize
phase-frequency relationships based on the galvanometer's transfer function.
Experimental results show that the presented models can effectively correct
rotation distortion in CAVS and tortuosity distortion in CLVS. The correction of
phase-delay distortion can improve the image quality and refine positioning
accuracy in laser scanning systems.
PMID- 29791409
TI - Measurement of three-dimensional wavefronts using the Ichikawa-Lohmann-Takeda
solution to the irradiance transport equation.
AB - In this paper, we use the irradiance transport equation and the Fourier transform
based experimental solution given by Ichikawa-Lohmann-Takeda. We analyze
experimental factors such as the digital filter, the introduced error for the
rotation and period of the Ronchi ruling, and a new method is demonstrated for
the measurement of 3D wavefront information.
PMID- 29791410
TI - Fluorescence resonance energy-transfer-based fluoride ion sensor.
AB - The present work describes an energy-transfer-based fluoride sensor using the
highly photo-stable Coumarin 540a (C540a)-Rhodamine 6g (Rh6g) dye pair. Rh6g
exhibits a decrease in fluorescence emission, whereas C540a shows no change in
response to fluoride. The increase in fluoride concentration decreases the energy
transfer efficiency between the C540a donor and Rh6g acceptor in acetonitrile,
leading to a subsequent recovery of fluorescence emission from C540a molecules.
The sensing mechanism using fluorescence resonance energy transfer is found to be
highly specific towards fluoride detection when compared to the response towards
other anions. The fluorescence emission of both dyes is monitored to enable
fluoride detection within a broad range.
PMID- 29791411
TI - Optical nondestructive dynamic measurements of wafer-scale encapsulated
nanofluidic channels.
AB - Nanofluidic channels are of great interest for DNA sequencing, chromatography,
and drug delivery. However, metrology of embedded or sealed nanochannels and
measurement of their fill-state have remained extremely challenging. Existing
techniques have been restricted to optical microscopy, which suffers from
insufficient resolution, or scanning electron microscopy, which cannot measure
sealed or embedded channels without cleaving the sample. Here, we demonstrate a
novel method for accurately extracting nanochannel cross-sectional dimensions and
monitoring fluid filling, utilizing spectroscopic ellipsometric scatterometry,
combined with rigorous electromagnetic simulations. Our technique is capable of
measuring channel dimensions with better than 5-nm accuracy and assessing channel
filling within seconds. The developed technique is, thus, well suited for both
process monitoring of channel fabrication as well as for studying complex
phenomena of fluid flow through nanochannel structures.
PMID- 29791413
TI - Measurement of optical fiber parameters and thermal core diffusion
characteristics by digital image processing.
AB - The simultaneous measurement of the numerical aperture (NA) and mode field
diameter (MFD) of single-mode fibers was performed on several commercial fibers
by means of digital image processing of the output light projected into a screen.
In addition, the changes induced by core diffusion in an ultra-high (UH) NA fiber
at several heat depositions from a CO2 laser source were measured. The
measurement method was demonstrated to be useful to monitor the adiabaticity of
this process, by taking the product of the NA and MFD to verify if it remains
constant. The method provides a cheap alternative to measure these optical fiber
parameters by using simple optomechanical elements and a readily available
smartphone camera, and it can be easily implemented in the laboratory.
PMID- 29791412
TI - Shock-wave imaging by density recovery from intensity measurements.
AB - A method for quantitative estimation of density variation in high-speed flow,
which uses light as an interrogating tool, is described. The wavefront distortion
of the interrogating beam induced by the compressible flow field is estimated
quantitatively, in which the density gradient of the flow field is seen as
refractive-index gradient by the probing beam. The distorted wavefront is
measured quantitatively by using the cross-sectional intensities of the distorted
wavefront along the optical axis. Iterative algorithms are developed using both
deterministic (Gauss-Newton) and stochastic (ensemble Kalman filter) update
strategies to recover unknown parameters such as the phase of the wavefront or
the refractive index distribution in the flow directly from the measured
intensities. With phase recovered in the first step, a ray tomography algorithm
is used to obtain the refractive index and density distributions in the flow from
the phase. Experiments are conducted to quantitatively visualize the shock-wave
induced flow field in a shock-tunnel facility. The reconstructed density cross
sections, obtained using different reconstruction methods, are presented and
compared with those obtained by solving the Navier-Stokes equation using
computational fluid dynamic routines. It is observed that the iterative
algorithms always outperform those depending on solution of the transport-of
intensity equation. In particular, when using the iterative algorithms, the
stochastic search scheme outperforms the Gauss-Newton method.
PMID- 29791414
TI - Calibrating a paracatadioptric camera by the property of the polar of a point at
infinity with respect to a circle.
AB - Two methods are presented for calibrating a paracatadioptric camera. A sphere in
3D space is projected to two parallel circles on which two tangents of a point
and its antipode are parallel on the unit viewing sphere and intersect at the
point at infinity. The direction of the polar of the point at infinity is
orthogonal to the direction of the point at infinity with respect to the circle.
The imaged circular points and orthogonal vanishing points can be obtained to
solve the intrinsic camera parameters. Simulations and real data were used to
demonstrate the effectiveness of the two algorithms.
PMID- 29791416
TI - Oncological outcomes in extended time intervals betweenpreoperative
chemoradiotherapy with capecitabine and surgery inoperable rectal adenocarcinoma.
AB - OBJECTIVE: To assess whether extended time intervals (8-12, 13-20 and >20 weeks)
between the end of neoadjuvant chemoradiotherapy and surgery affect overall
survival, disease-free survival. MATERIALS AND METHODS: Retrospective study in
120 patients with rectal adenocarcinoma without evidence of metastasis (T1-4/N0
2/M0) at the time of diagnosis that underwent surgery with curative intent after
neoadjuvant chemoradiotherapy with capecitabine and obtained R0 or R1 resection
between January 2010 to December 2014 at the National Cancer Institute of Peru.
Dates were evaluated by Kaplan-Meier method, log- rank test and Cox regression
analysis. RESULTS: Of the 120 patients, 70 were women (58%). The median age was
63(26-85) years. All received neoadjuvant chemoradiotherapy. No significant
difference was found between the association of the median radial (0.6, 0.7 and
0.8 cm; p=0.826) and distal edge (3.0, 3.5 and 4.0 cm; p=0.606) with time
interval groups and similarly the mean resected (18.8, 19.1 and 16.0; p=0.239)
and infiltrated nodules (1.05, 1.29 and 0.41); p=0.585). The median follow-up
time of overall survival and desease free survival was 40 and 37 months,
respectively. No significant differences were observed in overall survival
(79.0%, 74.6% and 71.1%; p=0.66) and disease-free survival (73.7%, 68.1% and
73.6%; p=0.922) according to the three groups studied at the 3-year of follow-up.
CONCLUSIONS: We found that widening the time intervals between the end of
neoadjuvant chemoradiotherapy and surgery at 24 weeks does not affect the overall
survival, disease-free survival and pathological outcomes. It allows to extend
the intervals of time for future studies that finally will define the best time
interval for the surgery.
PMID- 29791418
TI - [ERCP success after 72 hours of pre-cut].
AB - INTRODUCTION: Despite the advances of bile duct catheterization, its success is
still not guaranteed. Few studies have been published regarding a second ERCP
attempt, however those reports enhance the catheterization success Objective: To
determine whether an ERCP performed 72 hours after a first precut papillotomy
enhances the bile duct catheterization. MATERIAL AND METHODS: A cohort study was
performed including all patients that had ERCP with precut papilotomy without
catheterization of the bile duct and 72 hours later were programmed to a new ERCP
between September 2015 and September 2016. These patients did not have any
distinctive characteristic such as age, gender or anatomy that were associated
with the failure to catheterize the bile duct, compared to the general
population. RESULT: 16 patients were included with a mean age of 61,3 years (SD:
10,6), bile duct catheterization was successful in 14 cases. No complications
presented after precut papilotomy. Both failures went to surgery. CONCLUSIONS:
Our experience about an 87% successful bile duct catheterization, 72 hours after
precut papillotomy allows us to suggest it as an alternative before considering
surgery.
PMID- 29791417
TI - [Accuracy of ASGE predictors in diagnosis of coledocolithiasis in a public
hospital of Lima, Peru].
AB - BACKGROUND: The predictors proposed by the American Society of Gastrointestinal
Endoscopy (ASGE) are commonly used topredict the presence and management of
choledocholithiasis. OBJECTIVE: To evaluate the performance and precision of
thepredictors of choledocholithiasis proposed by ASGE. MATERIALS AND METHODS:
Prospective and longitudinal study performed ata third level hospital during
January 2015 to June 2017. All patients with high and intermediate probability of
choledocholithiasiswho underwent endoscopic retrograde cholangiopancreatography
(ERCP) were included according to the criteria proposedby the ASGE. RESULTS: A
total of 246 patients with suspected choledocholithiasis were analyzed. Of the
228 patients withhigh probability criteria 144 (63.2% = performance) had
choledocholithiasis in ERCP with an accuracy of 62% (sensitivity:94.1% and
specificity: 9.7%). Among the 18 patients with intermediate probability criteria,
9 (50% = performance) hadcholedocholithiasis with an accuracy of 38%
(sensitivity: 5.9% and specificity: 90.3%). In the multivariate analysis, the
presenceof stone in the bile duct by ultrasonography (OR: 1.937, 95% CI 1.048
3.580, p=0.035) and age 55 and over (OR: 2.121, 95%CI, 1.101-4.088, p=0.025) were
the strongest predictors for choledocholithiasis. CONCLUSIONS: The application of
the criteriaof the ASGE to predict the probability of choledocholithiasis, in our
population has a performance greater than 50%, however,it is necessary to improve
these parameters to avoid an unnecessary performance of ERCP.
PMID- 29791419
TI - [Endoscopic treatment of rectal neuroendocrine tumors: multicentre study in
Peru].
AB - INTRODUCTION: The incidental detection of rectal neuroendocrine tumors (NET) has
increased but there is no proper consensus about treatment. OBJECTIVE: Evaluate
the efficacy and safety of endoscopic treatment for rectal NET less than 20 mm,
with emphasis in "standardized treatment". MATERIAL AND METHODS: From January
2012 to April 2016, we included patients with rectal NET less than 20 mm resected
by conventional EMR, EMR-B and ESD. We considered as "standardized treatment" the
one that has precise indication and technical rigor (EMR-B for lesions <= 10 mm
and ESD for lesions between 11 and 20 mm). We evaluate complete resection rates
and incidence of complications. RESULTS: 23 patients with 23 rectal NET were
included. The mean age was 52.96 +/- 12.44 years. The mean tumor diameter was 8.8
+/- 3.4 mm. The complete resection rates by conventional EMR, EMR-Band ESD were
50 (3/6), 92.8 (13/14) and 100% (3/3), respectively; while by standardized and
conventional treatment were 100 (14/14) and 55.5% (5/9), respectively.
Complications occurred in 4 cases (17.4%), bleeding in 2 and perforation in 2,
all of them solved by endoscopy. CONCLUSIONS: Endoscopic treatment by EMR-B and
ESD is efficacious and safe for rectal NET <= 20 mm. Standardized treatment
improve the efficacy of endoscopic treatment.
PMID- 29791420
TI - Upper gastrointestinal endoscopy applied in pediatrics: endoscopic and
histological findings, including Helicobacter pylori.
AB - OBJECTIVE: To present and discuss the endoscopic and histological results, as
well as the incidence of Helicobacter pylori and other diseases, indications and
characteristics of upper digestive endoscopies performed in children. MATERIAL
AND METHODS: Twenty-five endoscopies were performed in children aged six months
to 11 years (mean 7.69 years), from February 2013 to January 2016. In 200
patients, endoscopies were diagnostic and serial biopsies were performed
(esophagus, stomach and duodenum), in 120 of them. RESULTS: The indication of
endoscopy was diagnosed in 88.89% of the patients, and in 26 patients, a
therapeutic procedure was performed. The most frequent endoscopic findings were
esophagitis in 49 patients, gastritis in 84 and duodenitis in 16 patients. Four
duodenal ulcers were diagnosed. In the therapeutic endoscopies, six gastrostomies
were performed, 14 foreign body withdrawals, five nasoenteral tube passages and
esophageal dilatation. The H. pylori survey was performed by anatomopathological
method and was positive in 26 (13%) of the 200 patients in whom it was searched.
CONCLUSION: pediatric endoscopy is an important niche of the digestive endoscopy,
where it is important to emphasize the relevance of the institutional structure
that performs these procedures, in order to conduct them safely, being able to
treat possible and feasible complications.
PMID- 29791421
TI - [Autoimmune hepatitis recurrence after liver transplantation: 15 years experience
in Peru].
AB - INTRODUCTION: The recurrence of autoimmune hepatitis (HAI) following liver
transplantation occurs between 8-68%. In our experience, autoimmune liver
diseases are a major indication of liver transplantation. OBJECTIVE: To determine
the recurrence of autoimmune hepatitis in 15 years of experience and to evaluate
the risk factors associated with the recurrence of HAI. MATERIALS AND METHODS:
Retrospective, descriptive and cross-sectional study. From March 2000 to December
2015; 200 transplants were performed on 190 liver transplants; 36 patients were
diagnosed for hepatic cirrhosis by HAI and underwent liver biopsy if transaminase
(TGP-TGO >2 ULN) in 2 consecutive measurements. The diagnosis was made by
histological criteria. RESULTS: The indication for hepatic transplantation for
HAI was 19%. Mean age was 35 years (range 16-64 years) relationship between Sex F
/ M 2.2, the most common HAI subtype is type 1 (89%). The HAI histological
recurrence was 31% (11/36). The mean follow-up was 54 months (range 8-169
months). CONCLUSIONS: Autoimmune hepatitis is the most frequent indication of
liver transplantation in our experience, accounting for 19%. The recurrence of
post-transplant HAI was 31%. No association was found between the degree of
severity of histological activity in the explants and the type of HLA in the
presentation of the recurrence.
PMID- 29791422
TI - Prevalence of non A to E hepatitis in Mumbai, India.
AB - INTRODUCTION: Acute viral hepatitis is a common problem in India. World wide data
shows that 5 to 20 percent of this is caused by non A-E hepatitis. There is no
data in India regarding non A-E hepatitis. We carried out this study to evaluate
the epidemiology, clinical features, risk factors and outcome of non A-E
hepatitis. MATERIAL AND METHODS: In this single centre study, we evaluated all
patients admitted with features of acute viral hepatitis at our hospital between
the period of February to July 2015. A detailed history about the epidemiology,
risk factors and clinical features was done. Patients were evaluated with
bilirubin, transaminases and prothrombin time. Each patient was investigated for
IgM HAV, IgM HEV, HBsAg and Antibody against hepatitis C. Patients turning out
negative were investigated for presence of autoimmune hepatitis or Wilson's
disease. All viral markers were repeated a week later to confirm non A-E status.
RESULTS: A total 265 patients were included of which 41 (15.4%) patients were non
A-E hepatitis. They had higher age (28.55 vs 34.99, p<0.05) but similar gender
and sub urban location. Median SEC classification was A2 in hepatitis A/E group
as compared to A3 in non A-E group. The duration of symptoms and clinical
features between the two groups were similar with Anorexia, Malasie,
Nausea/vomiting being most common. The risk factors between the two groups were
similar. The bilirubin and transaminases were non significantly lower than
hepatitis A/E patients while albumin levels were significantly lower. The
outcomes of both groups were similar with no mortality or fulminant hepatitis.
CONCLUSION: Non A-E hepatitis patients tends to be older, lower SEC class and had
lower albumin levels as compared to hepatitis A/E.
PMID- 29791424
TI - [Primary biliary cholangitis. Part 2. State of the art, diagnosis, associated
diseases, treatment and prognosis].
AB - Primary biliary cholangitis (PBC) is a chronic autoimmune cholangiopathy
characterized by a selective destruction of biliary epithelial cells of small and
medium caliber hepatic ducts, which mainly affects women. The main symptoms are
fatigue and pruritus, however, a large proportion of patients may be
asymptomatic. The diagnosis is based on AMA titers >1:40, alkaline phosphatase
>1.5 times the upper limit for more than 24 weeks and compatible liver histology.
It is associated with multiple autoimmune diseases mainly extrahepatic, thyroid
diseases, bone diseases, among others. The first line treatment is
ursodeoxycholic acid (UDCA), that improves liver function tests and delay the
progression to cirrhosis. Currently, there are new treatments and adjuvant
therapies on study. The purpose of this review is to offer an update in this
topic, which is very important in gastroenterology and internal medicine. We
formed an interdisciplinary team to search in the data base Medline thorough
PubMed with the keywords describe below, we made a critical lecture of the titles
and abstracts of each article to write this paper.
PMID- 29791423
TI - [Helicobacter pylori: How do we treat it in 2018?]
AB - This work is a review on the basic aspects of the treatment of Helicobacter
pylori, highlighting the causes of treatment failure and strategies exist to
optimize the treatment according to the best evidence posted. Stands out the
antimicrobial resistance as the main cause of treatment failure, as well as the
different compartments where the microorganism is hosted. Shows major schemes
currently available and how to choose therapies first, second, third line and
rescue therapies.
PMID- 29791425
TI - [Endoscopic submucosal dissection of a transpyloric early gastric cancer. A case
report and literature review].
AB - The endoscopic sumucosal disection (ESD) is an advanced endoscopic technique that
achieves the curative resection of superficial neoplasms of the gastrointestinal
tract, getting block exeresis with margins free of neoplasia avoiding surgery.
However, tumors located in the esophagogastric junction, or pylorus, are
technically more complex to resect by ESD. When a neoplasm settles in the
pylorus, the anatomical characteristics of this region can affect the adequate
assessment of the margins and the performance of the procedure. We present the
case of a 70-year-old male patient who, in a high digestive endoscopy, showed a
flat multilobulated lesion of 18 mm x 10 mm, located mostly in the lower 2
quadrants of the pyloric canal and minimal compromise of the upper-posterior
quadrant, it mainly covers the gastric side of the pyloric channel and extends
into the duodenum. Therefore, it was decided to perform en bloc resection of the
lesion with the endoscopic submucosal dissection technique. The ERBEJET system
was used with a knife type Hybrid knife, saline injection with methylene blue
diluted 0.04% on the distal margin, submucosal injection being complemented with
0.4% hyaluronic acid. A "capsule" or straight transparent distal plastic device
was used to be able to pull the lesion and allow anterograde visualization of the
margins of resection, including the intraduodenal portion, allowing adequate
submucosal dissection. Histopathology showed a moderately differentiated tubular
adenocarcinoma with surgical edges free of injury. Thanks to the DSE strategy
used, our patient could benefit from the curative resection of his gastric cancer
with preservation of the organ and with perfect functionality. This case, the
first described in the country, shows the progress of national therapeutic
endoscopy.
PMID- 29791426
TI - [Familiar adenomatous polyposis: report of 2 cases].
AB - Familial Adenomatous polyposis (FAP) it is based on an autosomal dominant
mutation which results in loss of function of theAPC tumor suppressor gene. On
the other hand, Gardner syndrome is a type of FAP and is characterized for
multiple colonic adenomatous polyps and extracolonic abnormalities as desmoid
tumors, osteomas, lipomas, dental abnormalities, dermoid cysts and duodenal
adenomas. This report aims to present two patients with FAP: The first one is a
patient who presented with osteomas and hematochezia, being diagnosed with
Gardner Syndrome after the colonoscopy. The second patient has a family history
of colon cancer, who is diagnosed with FAP with tubular adenocarcinoma. We decide
to report both cases due to the absence of previous reports in Peru.
PMID- 29791427
TI - [Insulinoma found in patient with apparent mental disorder: a case report].
AB - Pancreatic Insulinoma is a neuroendocrine tumor of the beta cells of the islets
of Langerhans, has an incidence of 4 cases per 1 000 000 persons. We report the
case of a 36-year-old woman with altered behavior associated with melancholy.
Psychiatry describes an abnormal mental examination and diagnosed double
depression; however, the patient had the Whipple triad and insulin/glucose ratio
higher than 0.3. The insulinoma was localized by magnetic resonance imaging and
treated with surgery. Insulinomas can mimic mental disorders, making early
diagnosis difficult. We compare health care data in our locality with others
obtained from the global literature. We conclude that despite the technical
limitations, insulinoma should be included in the differential diagnosis of
mental disorders with hypoglycemia.
PMID- 29791428
TI - [Acute liver failure as presentation of adult T-cell leukemia in an HTLV-1
patient].
AB - Acute liver failure is the development of severe hepatic injury with
deterioration of liver synthesis function and encephalopathy. Among all the
variety of causes, neoplastic infiltration represents less than 0.5%. We present
the case of a male patient with a past medical history of HTLV-1 infection, who
reports symptoms of acute hepatitis, being diagnosed with Adult T-cell
leukemia/lymphoma. Unfortunately, the patient had a rapid deterioration and
passed away a few days after admission.
PMID- 29791429
TI - [Clinical practice guideline for the evaluation and management of upper
gastrointestinal bleeding for the Peruvian Social Security (EsSalud)].
AB - OBJECTIVE: To provide evidence-based clinical recommendations for the evaluation
and management of patients with upper gastrointestinal bleeding (UGB) in the
Peruvian Social Security (EsSalud). MATERIALS AND METHODS: A local guideline
development group (local GDG) was established, including specialists in
gastroenterology and methodologists. The local GDG formulated 11 clinical
questions to be answered by this clinical practice guide (CPG). We searched and
selected CPG of UGB published from 2012, which answered the posed questions and
obtained a score higher than 60% in domains 1 and 3 of the Appraisal of
Guidelines for Research and Evaluation II (AGREE-II) tool. During September 2017,
bibliographic searches were conducted in Pubmed, to update 9 clinical questions
of the preselected CPGs, and to answer 2 de novo questions. The quality of the
evidence was evaluated using the Grading of Recommendations Assessment,
Development, and Evaluation (GRADE) methodology. In periodic work meetings, the
local GDG reviewed the evidence and formulated the recommendations, points of
good clinical practice and the flowchart of evaluation and management, using the
GRADE methodology Lastly, the CPG was approved with Resolucion N degrees 80
IETSI-ESSALUD-2017. RESULTS: This CPG addressed 11 clinical questions, divided
into four themes: risk assessment, initial management, management of non-variceal
UGB, and management of variceal UGB. Based on these questions, 10 recommendations
(7 strong recommendations and 3 weak recommendations), 24 points of good clinical
practice, and 2 flow charts were formulated. CONCLUSION: This article is the
summary of the EsSalud' CPG, where the available scientific evidence on
evaluation and management of UGB was evaluated.
PMID- 29791431
TI - Pseudo porphyria or porphyria cutanea tarda?
PMID- 29791430
TI - Ex vivos models to teaching therapeutic endoscopic ultrasound (T-EUS).
AB - BACKGROUND: Endoscopic ultrasound training has a learning curve greater than the
other endoscopic therapeutic techniques. One of the preclinical teaching methods
is the use of ex vivo porcine models. AIM: To describe five ex vivo porcine
models for training in therapeutic echoendoscopic procedures. MATERIALS AND
METHODS: Using porcine digestive tract containing esophagus, stomach, duodenum,
spleen, liver and gallbladder, five models for therapeutic echoendoscopy training
were described. With other segments of the porcine pieces (such as the bladder,
spleen segment and omentum segment) and with easily accessible materials (such as
grape and ultrasound gel), lesions were simulated to be treated. These models
were applied in the Hands on course at the IRCAD (Institut de recherche contre
les cancers de l'appareil digestif) Barretos of 2017. Endoscopic equipment and
instruments are the same as those used in clinical practice. RESULT: The models
are easily reproducible and do not require exchange during the hands on course
period. Endoscopic and echographic imaging and tactile sensitivity are similar to
the real one. CONCLUSION: The models described in this study demonstrated to be
realistic, easy to reproduce and allow repetition during the same session.
However, comparative studies are necessary to verify the real impact on teaching.
PMID- 29791432
TI - Logistical constraints lead to an intermediate optimum in outbreak response
vaccination.
AB - Dynamic models in disease ecology have historically evaluated vaccination
strategies under the assumption that they are implemented homogeneously in space
and time. However, this approach fails to formally account for operational and
logistical constraints inherent in the distribution of vaccination to the
population at risk. Thus, feedback between the dynamic processes of vaccine
distribution and transmission might be overlooked. Here, we present a spatially
explicit, stochastic Susceptible-Infected-Recovered-Vaccinated model that
highlights the density-dependence and spatial constraints of various diffusive
strategies of vaccination during an outbreak. The model integrates an agent-based
process of disease spread with a partial differential process of vaccination
deployment. We characterize the vaccination response in terms of a diffusion rate
that describes the distribution of vaccination to the population at risk from a
central location. This generates an explicit trade-off between slow diffusion,
which concentrates effort near the central location, and fast diffusion, which
spreads a fixed vaccination effort thinly over a large area. We use stochastic
simulation to identify the optimum vaccination diffusion rate as a function of
population density, interaction scale, transmissibility, and vaccine intensity.
Our results show that, conditional on a timely response, the optimal strategy for
minimizing outbreak size is to distribute vaccination resource at an intermediate
rate: fast enough to outpace the epidemic, but slow enough to achieve local herd
immunity. If the response is delayed, however, the optimal strategy for
minimizing outbreak size changes to a rapidly diffusive distribution of
vaccination effort. The latter may also result in significantly larger outbreaks,
thus suggesting a benefit of allocating resources to timely outbreak detection
and response.
PMID- 29791433
TI - The Belfast Youth Development Study (BYDS): A prospective cohort study of the
initiation, persistence and desistance of substance use from adolescence to
adulthood in Northern Ireland.
AB - BACKGROUND: Substance misuse persists as a major public health issue worldwide
with significant costs for society. The development of interventions requires
methodologically sound studies to explore substance misuse causes and
consequences. This Cohort description paper outlines the design of the Belfast
Youth Development (BYDS), one of the largest cohort studies of its kind in the
UK. The study was established to address the need for a long-term prospective
cohort study to investigate the initiation, persistence and desistance of
substance use, alongside life course processes in adolescence and adulthood. The
paper provides an overview of BYDS as a longitudinal data source for
investigating substance misuse and outlines the study measures, sample retention
and characteristics. We also outline how the BYDS data have been used to date and
highlight areas ripe for future work by interested researchers. METHODS: The
study began in 2000/1 when participants (n = 3,834) were pupils in their first
year of post-primary education (age 10/11 years, school year 8) from over 40
schools in Northern Ireland. Children were followed during the school years: Year
9 (in 2002; aged 12; n = 4,343), Year 10 (in 2003; aged 13; n = 4,522), Year 11
(in 2004; aged 14; n = 3,965) and Year 12 (in 2005; aged 15; n = 3,830) and on
two more occasions: 2006/07 (aged 16/17; n = 2,335) and 2010/11 (aged 20/21; n =
2,087). Data were collected on substance use, family, schools, neighbourhoods,
offending behaviour and mental health. The most novel aspect of the study was the
collection of detailed social network data via friendship nominations allowing
the investigation of the spread of substance use via friendship networks. In 2004
(school year 11; respondents aged 14), a sub-sample of participants' parents (n =
1,097) and siblings (n = 211) also completed measures on substance use and family
dynamics. RESULTS: The most recent wave (in 2010/2011; respondents aged 20/21
years) indicated lifetime use of alcohol, tobacco and cannabis among the cohort
was 94, 70 and 45 per cent, respectively. The paper charts the development of
drug use behaviour and some of the key results to date are presented. We have
also identified a number of key areas ripe for analysis by interested researchers
including sexual health and education. CONCLUSIONS: We have established a cohort
with detailed data from adolescence to young adulthood, supplemented with parent
and sibling reports and peer network data. The dataset, allowing for
investigation of trajectories of adolescent substance use, associated factors and
subsequent long-term outcomes, constitutes an important resource for longitudinal
substance misuse research. A planned further wave as the cohort enter their late
twenties and potential to link to administrative data sources, will further
enrich the datasets.
PMID- 29791434
TI - Food fraud and the perceived integrity of European food imports into China.
AB - BACKGROUND/AIMS: Persistent incidents of food fraud in China have resulted in low
levels of consumer trust in the authenticity and safety of food that is
domestically produced. We examined the relationship between the concerns of
Chinese consumers regarding food fraud, and the role that demonstrating
authenticity may play in relieving those concerns. METHODS: A two-stage mixed
method design research design was adopted. First, qualitative research (focus
groups n = 7) was conducted in three Chinese cities, Beijing, Guangzhou and
Chengdu to explore concerns held by Chinese consumers in relation to food fraud.
A subsequent quantitative survey (n = 850) tested hypotheses derived from the
qualitative research and theoretical literature regarding the relationship
between attitudinal measures (including risk perceptions, social trust, and
perceptions of benefit associated with demonstrating authenticity), and
behavioral intention to purchase "authentic" European products using structural
equation modelling. RESULTS: Chinese consumers perceive food fraud to be a hazard
that represents a food safety risk. Food hazard concern was identified to be
geographically influenced. Consumers in Chengdu (tier 2 city) possessed higher
levels of hazard concern compared to consumers in Beijing and Guangzhou (tier 1).
Structural trust (i.e. trust in actors and the governance of the food supply
chain) was not a significant predictor of attitude and intention to purchase
authenticated food products. Consumers were shown to have developed 'risk
relieving' strategies to compensate for the lack of trust in Chinese food and the
dissonance experienced as a consequence of food fraud. Indexical and iconic
authenticity cues provided by food manufacturers and regulators were important
elements of product evaluations, although geographical differences in their
perceived importance were observed. CONCLUSIONS: Targeted communication of
authenticity assurance measures, including; regulations; enforcement; product
testing; and actions taken by industry may improve Chinese consumer trust in the
domestic food supply chain and reduce consumer concerns regarding the food safety
risks associated with food fraud. To support product differentiation and retain
prestige, European food manufactures operating within the Chinese market should
recognise regional disparities in consumer risk perceptions regarding food fraud
and the importance of personal risk mitigation strategies adopted by Chinese
consumers to support the identification of authentic products.
PMID- 29791435
TI - Immunogenicity and efficacy following sequential parenterally-administered doses
of Salmonella Enteritidis COPS:FliC glycoconjugates in infant and adult mice.
AB - In sub-Saharan Africa, invasive nontyphoidal Salmonella (iNTS) infections with
serovars S. Enteritidis, S. Typhimurium and I 4,[5],12:i:- are widespread in
children < 5 years old. Development of an efficacious vaccine would provide an
important public health tool to prevent iNTS disease in this population.
Glycoconjugates of S. Enteritidis core and O-polysaccharide (COPS) coupled to the
homologous serovar phase 1 flagellin protein (FliC) were previously shown to be
immunogenic and protected adult mice against death following challenge with a
virulent Malian S. Enteritidis blood isolate. This study extends these
observations to immunization of mice in early life and also assesses protection
with partial and full regimens. Anti-COPS and anti-FliC serum IgG titers were
assessed in infant and adult mice after immunization with 1, 2 or 3 doses of S.
Enteritidis COPS:FliC alone or co-formulated with aluminum hydroxide or
monophosphoryl lipid A (MPL) adjuvants. S. Enteritidis COPS:FliC was immunogenic
in both age groups, although the immune responses were quantitatively lower in
infants. Kinetics of antibody production were similar for the native and
adjuvanted formulations after three doses; conjugates formulated with MPL
elicited significantly increased anti-COPS IgG titers in adult but not infant
mice. Nevertheless, robust protection against S. Enteritidis challenge was seen
for all three formulations when three doses were given either during infancy or
as adults. We further found that significant protection could be achieved with
two COPS:FliC doses, despite elicitation of modest serum anti-COPS IgG antibody
titers. These findings guide potential immunization strategies that may be
translated to develop a human pediatric iNTS vaccine for sub-Saharan Africa.
PMID- 29791437
TI - A randomized controlled trial on the effect of a silver carboxymethylcellulose
dressing on surgical site infections after breast cancer surgery.
AB - BACKGROUND: The incidence of surgical site infections (SSIs) after breast cancer
surgery is relatively high; ranging from 3 to 19%. The role of wound dressings in
the prevention of SSI after breast cancer surgery is unclear. This study compares
a silver carboxymethylcellulose dressing (AQUACEL Ag Surgical (Aquacel) with
standard wound dressing in SSI rate after breast cancer surgery. PATIENTS AND
METHODS: A single-centre randomized controlled trial among women >=18 years,
diagnosed with breast cancer, undergoing breast conserving or ablative surgery,
was conducted in a combined in and outpatient setting. The intervention was the
use of Aquacel, compared with standard gauze dressing. Primary outcome measure
was SSI following CDC criteria. RESULTS: A total of 230 patients were analysed:
106 in the Aquacel group and 124 controls. Seven patients (6.6%) developed SSI in
the Aquacel group and 16 patients (12.9%) in the control group (RR 0.51 [95%
Confidence Interval (CI): 0.22-1.20]; p = 0.112; adjusted OR 0.49 [0.19-1.25] p =
0.135)). Unplanned exploratory subgroup analysis of breast conserving surgery
patients showed that SSI rate was 1/56 (1.8%) in the Aquacel group vs. 7/65
(10.8%) in controls; adjusted OR 0.15 [0.02-1.31] p = 0.087. The Aquacel group
showed better patient satisfaction (median 8 vs. 7 on a Numerical Rating Scale, p
= 0.006), fewer dressing changes within 48 hours(adjusted OR 0.12 [0.05-0.27]
p<0.001), fewer re-operations (0% vs. 3.2%, p = 0.062), and lower mean wound
related treatment costs, both in a high (?265.42 (SD = 908) vs. ?470.65 (SD =
1223) [p<0.001]) and low (?59.12 (SD = 129) vs. ?67.55 (SD = 172) [p<0.001])
attributable costs of SSI model. CONCLUSION: In this randomized controlled trial
in women undergoing surgery for breast cancer, the use of AQUACEL Ag Surgical
wound dressing did not significantly reduce the occurrence of SSIs compared to
standard gauze dressing. The use of Aquacel resulted in significantly improved
patient satisfaction, reduced dressing changes and reduced wound-related costs.
TRIAL REGISTRATION: www.trialregister.nl: NTR5840.
PMID- 29791438
TI - Identity-by-descent analyses for measuring population dynamics and selection in
recombining pathogens.
AB - Identification of genomic regions that are identical by descent (IBD) has proven
useful for human genetic studies where analyses have led to the discovery of
familial relatedness and fine-mapping of disease critical regions. Unfortunately
however, IBD analyses have been underutilized in analysis of other organisms,
including human pathogens. This is in part due to the lack of statistical
methodologies for non-diploid genomes in addition to the added complexity of
multiclonal infections. As such, we have developed an IBD methodology, called
isoRelate, for analysis of haploid recombining microorganisms in the presence of
multiclonal infections. Using the inferred IBD status at genomic locations, we
have also developed a novel statistic for identifying loci under positive
selection and propose relatedness networks as a means of exploring shared
haplotypes within populations. We evaluate the performance of our methodologies
for detecting IBD and selection, including comparisons with existing tools, then
perform an exploratory analysis of whole genome sequencing data from a global
Plasmodium falciparum dataset of more than 2500 genomes. This analysis identifies
Southeast Asia as having many highly related isolates, possibly as a result of
both reduced transmission from intensified control efforts and population
bottlenecks following the emergence of antimalarial drug resistance. Many signals
of selection are also identified, most of which overlap genes that are known to
be associated with drug resistance, in addition to two novel signals observed in
multiple countries that have yet to be explored in detail. Additionally, we
investigate relatedness networks over the selected loci and determine that one of
these sweeps has spread between continents while the other has arisen
independently in different countries. IBD analysis of microorganisms using
isoRelate can be used for exploring population structure, positive selection and
haplotype distributions, and will be a valuable tool for monitoring disease
control and elimination efforts of many diseases.
PMID- 29791436
TI - The genomic landscape at a late stage of stickleback speciation: High genomic
divergence interspersed by small localized regions of introgression.
AB - Speciation is a continuous process and analysis of species pairs at different
stages of divergence provides insight into how it unfolds. Previous genomic
studies on young species pairs have revealed peaks of divergence and
heterogeneous genomic differentiation. Yet less known is how localised peaks of
differentiation progress to genome-wide divergence during the later stages of
speciation in the presence of persistent gene flow. Spanning the speciation
continuum, stickleback species pairs are ideal for investigating how genomic
divergence builds up during speciation. However, attention has largely focused on
young postglacial species pairs, with little knowledge of the genomic signatures
of divergence and introgression in older stickleback systems. The Japanese
stickleback species pair, composed of the Pacific Ocean three-spined stickleback
(Gasterosteus aculeatus) and the Japan Sea stickleback (G. nipponicus), which co
occur in the Japanese islands, is at a late stage of speciation. Divergence
likely started well before the end of the last glacial period and crosses between
Japan Sea females and Pacific Ocean males result in hybrid male sterility. Here
we use coalescent analyses and Approximate Bayesian Computation to show that the
two species split approximately 0.68-1 million years ago but that they have
continued to exchange genes at a low rate throughout divergence. Population
genomic data revealed that, despite gene flow, a high level of genomic
differentiation is maintained across the majority of the genome. However, we
identified multiple, small regions of introgression, occurring mainly in areas of
low recombination rate. Our results demonstrate that a high level of genome-wide
divergence can establish in the face of persistent introgression and that gene
flow can be localized to small genomic regions at the later stages of speciation
with gene flow.
PMID- 29791439
TI - Multi-biomarker disease activity score as a predictor of disease relapse in
patients with rheumatoid arthritis stopping TNF inhibitor treatment.
AB - OBJECTIVE: Successfully stopping or reducing treatment for patients with
rheumatoid arthritis (RA) in low disease activity (LDA) may improve cost
effectiveness of care. We evaluated the multi-biomarker disease activity (MBDA)
score as a predictor of disease relapse after discontinuation of TNF inhibitor
(TNFi) treatment. METHODS: 439 RA patients who were randomized to stop TNFi
treatment in the POET study were analyzed post-hoc. Three indicators of disease
relapse were assessed over 12 months: 1) restarting TNFi treatment, 2) escalation
of any DMARD therapy and 3) physician-reported flare. MBDA score was assessed at
baseline. Associations between MBDA score and disease relapse were examined using
univariate analysis and multivariate logistic regression. RESULTS: At baseline,
50.1%, 35.3% and 14.6% of patients had low (<30), moderate (30-44) or high (>44)
MBDA scores. Within 12 months, 49.9% of patients had restarted TNFi medication,
59.0% had escalation of any DMARD and 57.2% had >=1 physician-reported flare.
MBDA score was associated with each indicator of relapse. At least one indicator
of relapse was observed in 59.5%, 68.4% and 81.3% of patients with low, moderate
or high MBDA scores, respectively (P = 0.004). Adjusted for baseline DAS28-ESR,
disease duration, BMI and erosions, high MBDA scores were associated with
increased risk for restarting TNFi treatment (OR = 1.85, 95% CI 1.00-3.40), DMARD
escalation (OR = 1.99, 95% CI 1.01-3.94) and physician-reported flare (OR = 2.00,
95% 1.06-3.77). CONCLUSION: For RA patients with stable LDA who stopped TNFi, a
high baseline MBDA score was independently predictive of disease relapse within
12 months. The MBDA score may be useful for identifying patients at risk of
relapse after TNFi discontinuation.
PMID- 29791440
TI - Cost-effectiveness of dog rabies vaccination programs in East Africa.
AB - BACKGROUND: Dog rabies annually causes 24,000-70,000 deaths globally. We built a
spreadsheet tool, RabiesEcon, to aid public health officials to estimate the cost
effectiveness of dog rabies vaccination programs in East Africa. METHODS:
RabiesEcon uses a mathematical model of dog-dog and dog-human rabies transmission
to estimate dog rabies cases averted, the cost per human rabies death averted and
cost per year of life gained (YLG) due to dog vaccination programs (US 2015
dollars). We used an East African human population of 1 million (approximately
2/3 living in urban setting, 1/3 rural). We considered, using data from the
literature, three vaccination options; no vaccination, annual vaccination of 50%
of dogs and 20% of dogs vaccinated semi-annually. We assessed 2 transmission
scenarios: low (1.2 dogs infected per infectious dog) and high (1.7 dogs
infected). We also examined the impact of annually vaccinating 70% of all dogs
(World Health Organization recommendation for dog rabies elimination). RESULTS:
Without dog vaccination, over 10 years there would a total of be approximately
44,000-65,000 rabid dogs and 2,100-2,900 human deaths. Annually vaccinating 50%
of dogs results in 10-year reductions of 97% and 75% in rabid dogs (low and high
transmissions scenarios, respectively), approximately 2,000-1,600 human deaths
averted, and an undiscounted cost-effectiveness of $451-$385 per life saved. Semi
annual vaccination of 20% of dogs results in in 10-year reductions of 94% and 78%
in rabid dogs, and approximately 2,000-1,900 human deaths averted, and cost $404
$305 per life saved. In the low transmission scenario, vaccinating either 50% or
70% of dogs eliminated dog rabies. Results were most sensitive to dog birth rate
and the initial rate of dog-to-dog transmission (Ro). CONCLUSIONS: Dog rabies
vaccination programs can control, and potentially eliminate, dog rabies. The
frequency and coverage of vaccination programs, along with the level of dog
rabies transmission, can affect the cost-effectiveness of such programs.
RabiesEcon can aid both the planning and assessment of dog rabies vaccination
programs.
PMID- 29791441
TI - The impact of young maternal age at birth on neonatal mortality: Evidence from 45
low and middle income countries.
AB - OBJECTIVES: This study explores the impact of early motherhood on neonatal
mortality, and how this differs between countries and regions. It assesses
whether the risk of neonatal mortality is greater for younger adolescent mothers
compared with mothers in later adolescence, and explores if differences reflect
confounding socio-economic and health care utilisation factors. It also examines
how the risks differ for first or subsequent pregnancies. METHODS: The analysis
uses 64 Demographic and Health Surveys collected between 2005 and 2015 from 45
countries to explore the relationship between adolescent motherhood
(disaggregated as <16 years, 16/17 years and 18/19 years) and neonatal mortality.
Both unadjusted bivariate association and logistic regression are used. Regional
level multivariate models that adjust for a range of socio-economic, demographic
and health service utilisation variables are estimated. Further stratified models
are created to examine the excess risk for first and subsequent births
separately. FINDINGS: The risk of neonatal mortality in all regions was markedly
greater for infants with mothers under 16 years old, although there was marked
heterogeneity in patterns between regions. Adjusting for socio-economic,
demographic and health service utilisation variables did not markedly change the
odds ratios associated with age. The increased risks associated with adolescent
motherhood are lowest for first births. CONCLUSION: Our findings particularly
highlight the importance of reducing adolescent births among the youngest age
group as a strategy for addressing the problem of neonatal mortality, as well
ensuring pregnant adolescents have access to quality maternal health services to
protect the health of both themselves and their infants. The regional differences
in increased risk are a novel finding which requires more exploration.
PMID- 29791444
TI - Correction: Solving the influence maximization problem reveals regulatory
organization of the yeast cell cycle.
AB - [This corrects the article DOI: 10.1371/journal.pcbi.1005591.].
PMID- 29791442
TI - Bacillus cereus, a serious cause of nosocomial infections: Epidemiologic and
genetic survey.
AB - Bacillus cereus is the 2nd most frequent bacterial agent responsible for food
borne outbreaks in France and the 3rd in Europe. In addition, local and systemic
infections have been reported, mainly describing individual cases or single
hospital setting. The real incidence of such infection is unknown and information
on genetic and phenotypic characteristics of the incriminated strains is
generally scarce. We performed an extensive study of B. cereus strains isolated
from patients and hospital environments from nine hospitals during a 5-year
study, giving an overview of the consequences, sources and pathogenic patterns of
B. cereus clinical infections. We demonstrated the occurrence of several hospital
cross-contaminations. Identical B. cereus strains were recovered from different
patients and hospital environments for up to 2 years. We also clearly revealed
the occurrence of inter hospital contaminations by the same strain. These cases
represent the first documented events of nosocomial epidemy by B. cereus
responsible for intra and inter hospitals contaminations. Indeed, contamination
of different patients with the same strain of B. cereus was so far never shown.
In addition, we propose a scheme for the characterization of B. cereus based on
biochemical properties and genetic identification and highlight that main genetic
signatures may carry a high pathogenic potential. Moreover, the characterization
of antibiotic resistance shows an acquired resistance phenotype for rifampicin.
This may provide indication to adjust the antibiotic treatment and care of
patients.
PMID- 29791443
TI - Traceability, reproducibility and wiki-exploration for "a-la-carte"
reconstructions of genome-scale metabolic models.
AB - Genome-scale metabolic models have become the tool of choice for the global
analysis of microorganism metabolism, and their reconstruction has attained high
standards of quality and reliability. Improvements in this area have been
accompanied by the development of some major platforms and databases, and an
explosion of individual bioinformatics methods. Consequently, many recent models
result from "a la carte" pipelines, combining the use of platforms, individual
tools and biological expertise to enhance the quality of the reconstruction.
Although very useful, introducing heterogeneous tools, that hardly interact with
each other, causes loss of traceability and reproducibility in the reconstruction
process. This represents a real obstacle, especially when considering less
studied species whose metabolic reconstruction can greatly benefit from the
comparison to good quality models of related organisms. This work proposes an
adaptable workspace, AuReMe, for sustainable reconstructions or improvements of
genome-scale metabolic models involving personalized pipelines. At each step,
relevant information related to the modifications brought to the model by a
method is stored. This ensures that the process is reproducible and documented
regardless of the combination of tools used. Additionally, the workspace
establishes a way to browse metabolic models and their metadata through the
automatic generation of ad-hoc local wikis dedicated to monitoring and
facilitating the process of reconstruction. AuReMe supports exploration and
semantic query based on RDF databases. We illustrate how this workspace allowed
handling, in an integrated way, the metabolic reconstructions of non-model
organisms such as an extremophile bacterium or eukaryote algae. Among relevant
applications, the latter reconstruction led to putative evolutionary insights of
a metabolic pathway.
PMID- 29791445
TI - The search for instantaneous vection: An oscillating visual prime reduces vection
onset latency.
AB - Typically it takes up to 10 seconds or more to induce a visual illusion of self
motion ("vection"). However, for this vection to be most useful in virtual
reality and vehicle simulation, it needs to be induced quickly, if not
immediately. This study examined whether vection onset latency could be reduced
towards zero using visual display manipulations alone. In the main experiments,
visual self-motion simulations were presented to observers via either a large
external display or a head-mounted display (HMD). Priming observers with visually
simulated viewpoint oscillation for just ten seconds before the main self-motion
display was found to markedly reduce vection onset latencies (and also increase
ratings of vection strength) in both experiments. As in earlier studies,
incorporating this simulated viewpoint oscillation into the self-motion displays
themselves was also found to improve vection. Average onset latencies were
reduced from 8-9s in the no oscillating control condition to as little as 4.6 s
(for external displays) or 1.7 s (for HMDs) in the combined oscillation condition
(when both the visual prime and the main self-motion display were oscillating).
As these display manipulations did not appear to increase the likelihood or
severity of motion sickness in the current study, they could possibly be used to
enhance computer generated simulation experiences and training in the future, at
no additional cost.
PMID- 29791446
TI - Nitrogen limitation, toxin synthesis potential, and toxicity of cyanobacterial
populations in Lake Okeechobee and the St. Lucie River Estuary, Florida, during
the 2016 state of emergency event.
AB - Lake Okeechobee, FL, USA, has been subjected to intensifying cyanobacterial
blooms that can spread to the adjacent St. Lucie River and Estuary via natural
and anthropogenically-induced flooding events. In July 2016, a large, toxic
cyanobacterial bloom occurred in Lake Okeechobee and throughout the St. Lucie
River and Estuary, leading Florida to declare a state of emergency. This study
reports on measurements and nutrient amendment experiments performed in this
freshwater-estuarine ecosystem (salinity 0-25 PSU) during and after the bloom. In
July, all sites along the bloom exhibited dissolved inorganic nitrogen-to
phosphorus ratios < 6, while Microcystis dominated (> 95%) phytoplankton
inventories from the lake to the central part of the estuary. Chlorophyll a and
microcystin concentrations peaked (100 and 34 MUg L-1, respectively) within Lake
Okeechobee and decreased eastwards. Metagenomic analyses indicated that genes
associated with the production of microcystin (mcyE) and the algal neurotoxin
saxitoxin (sxtA) originated from Microcystis and multiple diazotrophic genera,
respectively. There were highly significant correlations between levels of total
nitrogen, microcystin, and microcystin synthesis gene abundance across all
surveyed sites (p < 0.001), suggesting high levels of nitrogen supported the
production of microcystin during this event. Consistent with this, experiments
performed with low salinity water from the St. Lucie River during the event
indicated that algal biomass was nitrogen-limited. In the fall, densities of
Microcystis and concentrations of microcystin were significantly lower, green
algae co-dominated with cyanobacteria, and multiple algal groups displayed
nitrogen-limitation. These results indicate that monitoring and regulatory
strategies in Lake Okeechobee and the St. Lucie River and Estuary should consider
managing loads of nitrogen to control future algal and microcystin-producing
cyanobacterial blooms.
PMID- 29791447
TI - Development of an RP-UHPLC-PDA method for quantification of free gossypol in
cottonseed cake and fungal-treated cottonseed cake.
AB - Cottonseed cake biomass, which is a residue of oil extraction, is potentially
appropriate for use as animal feed, given the high mineral, fibre and protein
content. The presence of free gossypol, however, a toxic pigment in the glands of
the cotton plant, limits use of this biomass for monogastric livestock. A
promising method to detoxify cottonseed cake relies on fermentation by fungi,
which can eliminate up to 100% of gossypol. In order to quantify trace levels of
free gossypol in different cotton materials, including cottonseed cake treated
with macrofungi, a simple and rapid chromatographic detection method was
developed and validated. Under optimized conditions, extraction was performed
using 70% acetone. The extract was then analysed by Ultra High-Performance Liquid
Chromatography (UHPLC), with gradient elution on a C18 reverse phase column
KINETEX(r) (100 x 2.10 mm, 2.6 MUm). Methanol-0.1% TFA aqueous solution was
employed as mobile phase and PDA detection conducted at 254 nm. The optimized
method was validated by analysis of specificity, linearity and range, limit of
detection, limit of quantification, precision and accuracy. Detection and
quantification limits were observed at 0.2 and 0.5 MUg/mL, respectively. With
good reproducibility, with precision (RSD)<10% and recovery greater than 94%, the
developed assay was appropriate for quantification of low quantities of free
gossypol. The validated method was successfully applied to determine trace levels
of free gossypol cottonseed treated with a macrofungus.
PMID- 29791448
TI - Abundance and distribution of microplastics within surface sediments of a key
shellfish growing region of Canada.
AB - The abundance and distribution of microplastics within 5 sediment size classes
(>5000 MUm, 1000-5000 MUm, 250-1000 MUm, 250-0.63 MUm and < 0.63 MUm) were
determined for 16 sites within Lambert Channel and Baynes Sound, British
Columbia, Canada. This region is Canada's premier growing area for the Pacific
oyster (Crassostrea gigas). Microplastics were found at all sampling locations
indicating widespread contamination of this region with these particles. Three
types of microplastics were recovered: microbeads, which occurred in the greatest
number (up to 25000/kg dry sediment) and microfibers and microfragments, which
were much less in number compared with microbeads and occurred in similar amounts
(100-300/kg dry sediment). Microbeads were recovered primarily in the < 0.63 MUm
and 250-0.63 MUm sediment size class, whereas microfragments and microfibers were
generally identified in all 5 sediment size classes. Abundance and distribution
of the three types of microplastics were spatially dependent with principal
component analysis (PCA) indicating that 84 percent of the variation in abundance
and distribution was due to the presence of high numbers of microbeads at three
locations within the study region. At these sites, microbeads expressed as a
percent component of the sediment by weight was similar to key geochemical
components that govern trace metal behavior and availability to benthic
organisms. Microbeads have been shown to accumulate metals from the aquatic
environment, hence in addition to the traditional geochemical components such as
silt and organic matter, microplastics also need to be considered as a sediment
component that can influence trace metal geochemistry. Our findings have shown
that BC's premier oyster growing region is highly contaminated with
microplastics, notably microbeads. It would be prudent to assess the degree to
which oysters from this region are ingesting microplastics. If so, it would have
direct implications for Canada's oyster farming industry with respect to the
health of the oyster and the quality of product that is being farmed and sets an
example for other shellfish growing regions of the world.
PMID- 29791449
TI - Impact of involvement of non-formal health providers on TB case notification
among migrant slum-dwelling populations in Odisha, India.
AB - BACKGROUND: Migrant labourers living in the slums of urban and industrial patches
across India make up a key sub-population so far controlling Tuberculosis (TB) in
the country is concerned. This is because many TB patients from these communities
remain under reached by the Revised National Tuberculosis Control Programme
(RNTCP) of India. This marginalized community usually seeks early-stage
healthcare from "friendly neighbourhood" non-formal health providers (NFHPs).
Because, RNTCP has limited capacity to involve the NFHPs, an implementation
research project was conceived, whereby an external partner would engage with the
NFHPs to enable them to identify early TB symptomatics from this key sub
population who would be then tested using Xpert MTB/RIF technology. Diagnosed TB
cases among them would be referred promptly to RNTCP for treatment. This paper
aimed to describe the project and its impact. METHODS: Adopting a quasi
experimental before-after design, four RNTCP units from two major urban
industrial areas of Odisha were selected for intervention, which spanned five
quarters and covered 151,400 people, of which 30% were slum-dwelling migrants.
Two similar units comprised the control population. The hypothesis was, reaching
the under reached in the intervention area through NFHPs would increase TB
notification from these traditionally under-notifying units. RNTCP notification
data during intervention was compared with pre-intervention era, adjusted for
contemporaneous changes in control population. RESULTS: The project detected 488
Xpert+ TB cases, of whom 466 were administered RNTCP treatment. This translated
into notification of additional 198 new bacteriologically positive cases to
RNTCP, a 30% notification surge, after adjustment for 2% decline in control. This
meant an average quarterly increase in notification of 41.20(20.08, 62.31;
p<0.001) cases. The increase was immediate, evident from the rise in level in the
time series analysis by 50.42(10.28, 90.55; p = 0.02) cases. CONCLUSION:
Engagement with NFHPs contributed to an increase in TB notification to RNTCP from
key under reached, slum-dwelling migrant populations.
PMID- 29791450
TI - Significant acceleration of emergency response using smartphone geolocation data
and a worldwide emergency call support system.
AB - IMPORTANCE: When patients are disorientated or experience language barriers, it
is impossible to activate the emergency response system. In these cases, the
delay for receiving appropriate help can extend to several hours. OBJECTIVES: A
worldwide emergency call support system (ECSS), including geolocation of modern
smartphones (GPS, WLAN and LBS), was established referring to E911 and eCall
systems. The system was tested for relevance in quickly forwarding abroad
emergency calls to emergency medical services (EMS). DESIGN: To verify that
geolocation data from smartphones are exact enough to be used for emergency
cases, the accuracy of GPS (global positioning system), Wi-Fi (wireless LAN
network) and LBS (location based system) was tested in eleven different countries
and compared to actual location. The main objective was analyzed by simulation of
emergencies in different countries. The time delay in receiving help in
unsuccessful emergency call cases by using the worldwide emergency call support
system (ECSS) was measured. RESULTS: GPS is the gold standard to locate patients
with an average accuracy of 2.0 +/- 3.3 m. Wi-Fi can be used within buildings
with an accuracy of 7.0 +/- 24.1 m. Using ECSS, the emergency call leads to a
successful activation of EMS in 22.8 +/- 10.8 min (Median 21 min). The use of a
simple app with one button to touch did never cause any delay. CONCLUSIONS AND
RELEVANCE: The worldwide emergency call support system (ECSS) significantly
improves the emergency response in cases of disorientated patients or language
barriers. Under circumstances without ECSS, help can be delayed by 2 or more
hours and might have relevant lifesaving effects. This is the first time that Wi
Fi geolocation could prove to be a useful improvement in emergencies to enhance
GPS, especially within or close to buildings.
PMID- 29791451
TI - Exploring adverse parent-child relationships from the perspective of convicted
child murderers: A South African qualitative study.
AB - Child homicide is the most extreme form of violence against children. Within
South Africa, children face the highest risk of homicide by parents/caregivers.
It is suggested that prolonged exposure to adverse relationships with one's own
parents may be linked to committing child homicide as it may lead to
psychological damage and disturb neurological functioning. This paper explores
the adverse parent-child relationships of 22 men and women incarcerated for the
murder of either a biological child, a stepchild or a child in their care and
draws on 49 in-depth interviews with these participants. We illustrate that
traumatic parent-child experiences in the form of absent parents, neglect and
abuse have a profound impact on establishing unhealthy attachment styles and
emphasize the importance of early adverse parent-child bonds in setting the tone
for future bonds as adults. The pathway to adopting an adverse attachment with
one's own child is argued to be influenced by these early traumatic emotional
experiences within the home. This study highlights the need to acknowledge the
impact that adverse parent-child experiences have on the formation of violent
forms of parental behavior. It is imperative to reduce children's emotional
vulnerabilities by implementing strategies to strengthen current parenting
practices, to promote the development of less violent parent-child relationships
and to work towards resolving parents' experiences of trauma in reducing child
homicide.
PMID- 29791452
TI - Validity and reliability of two alternate versions of the Montreal Cognitive
Assessment (Hong Kong version) for screening of Mild Neurocognitive Disorder.
AB - OBJECTIVE: Repeated testing using the Montreal Cognitive Assessment (MoCA)
increases risks for practice effects which may bias measurements of cognitive
change. The objective of this study is to develop two alternate versions of the
MoCA (Hong Kong version; HK-MoCA) and to investigate the validity and reliability
of the alternate versions in patients with DSM-5 Mild Neurocognitive Disorder
(Mild NCD) and cognitively healthy controls. METHODS: Concurrent validity and
inter-scale agreement were examined by Pearson correlation of the total scores
between the original and alternate versions and the Bland-Altman Method.
Criterion validity of the two alternate versions in differentiating patients with
Mild NCD was tested using receiver operating characteristic curve (ROC) analysis.
One-month test-retest and inter-rater reliability were examined in 20
participants. Internal consistency of the alternate versions was measured by the
Cronbach's alpha. RESULTS: 30 controls (age 73.4 [4.5] years, 60% female) and 30
patients (age 75.4 [5.5] years, 73% female) with Mild NCD were recruited. Both
alternate versions significantly correlated with the original version (r = 0.79
0.87, p<0.001). Mean differences of 0.17 and -0.40 points were found between the
total scores of the alternate with the original versions with a consistent level
of agreement observed throughout the range of cognitive abilities. Both alternate
versions significantly differentiated patients with Mild NCD from healthy
controls (area under ROC 0.922 and 0.724, p<0.001) and showed good one-month test
retest reliability (intra-class correlation [ICC] = 0.92 and 0.82) and inter
rater reliability (ICC = 0.99 and 0.87) and high internal consistency (Cronbach
alpha = 0.79 and 0.75). CONCLUSION: The two alternate versions of the HK-MoCA are
useful for Mild NCD screening.
PMID- 29791453
TI - The association between food insecurity and incident type 2 diabetes in Canada: A
population-based cohort study.
AB - BACKGROUND: A pervasive and persistent finding is the health disadvantage
experienced by those in food insecure households. While clear associations have
been identified between food insecurity and diabetes risk factors, less is known
about the relationship between food insecurity and incident type 2 diabetes. The
objective of this study is to investigate the association between household food
insecurity and the future development of type 2 diabetes. METHODS: We used data
from Ontario adult respondents to the 2004 Canadian Community Health Survey,
linked to health administrative data (n = 4,739). Food insecurity was assessed
with the Household Food Security Survey Module and incident type 2 diabetes cases
were identified by the Ontario Diabetes Database. Multivariable adjusted Cox
proportional hazards models were used to estimate hazard ratios (HRs) and 95%
confidence intervals (CIs) for type 2 diabetes as a function of food insecurity.
RESULTS: Canadians in food insecure households had more than 2 times the risk of
developing type 2 diabetes compared to those in food secure households [HR =
2.40, 95% CI = 1.17-4.94]. Additional adjustment for BMI attenuated the
association between food insecurity and type 2 diabetes [HR = 2.08, 95% CI =
0.99, 4.36]. CONCLUSIONS: Our findings indicate that food insecurity is
independently associated with increased diabetes risk, even after adjustment for
a broad set of measured confounders. Examining diabetes risk from a broader
perspective, including a comprehensive understanding of socioeconomic and
biological pathways is paramount for informing policies and interventions aimed
at mitigating the future burden of type 2 diabetes.
PMID- 29791454
TI - Development and validation of a short food questionnaire to screen for low
protein intake in community-dwelling older adults: The Protein Screener 55+
(Pro55+).
AB - In old age, sufficient protein intake is important to preserve muscle mass and
function. Around 50% of older adults (65+ y) consumes <=1.0 g/kg adjusted body
weight (BW)/day (d). There is no rapid method available to screen for low protein
intake in old age. Therefore, we aimed to develop and validate a short food
questionnaire to screen for low protein intake in community-dwelling older
adults. We used data of 1348 older men and women (56-101 y) of the LASA study
(the Netherlands) to develop the questionnaire and data of 563 older men and
women (55-71 y) of the HELIUS study (the Netherlands) for external validation. In
both samples, protein intake was measured by the 238-item semi-quantitative
HELIUS food frequency questionnaire (FFQ). Multivariable logistic regression
analysis was used to predict protein intake <=1.0 g/kg adjusted BW/d (based on
the HELIUS FFQ). Candidate predictor variables were FFQ questions on frequency
and amount of intake of specific foods. In both samples, 30% had a protein intake
<=1.0 g/kg adjusted BW/d. Our final model included adjusted body weight and 10
questions on the consumption (amount on average day or frequency in 4 weeks) of:
slices of bread (number); glasses of milk (number); meat with warm meal (portion
size); cheese (amount and frequency); dairy products (like yoghurt) (frequency);
egg(s) (frequency); pasta/noodles (frequency); fish (frequency); and nuts/peanuts
(frequency). The area under the receiver operating characteristic curve (AUC) was
0.889 (95% CI 0.870-0.907). The calibration slope was 1.03 (optimal slope 1.00).
At a cut-off of <=0.8 g/kg adjusted BW/d, the AUC was 0.916 (96% CI 0.897-0.936).
Applying the regression equation to the HELIUS sample, the AUC was 0.856 (95% CI
0.824-0.888) and the calibration slope 0.92. Regression coefficients were
therefore subsequently shrunken by a linear factor 0.92. To conclude, the short
food questionnaire (Pro55+) can be used to validly screen for protein intake
<=1.0 g/kg adjusted BW/d in community-dwelling older adults. An online version
can be found at www.proteinscreener.nl. External validation in other countries is
recommended.
PMID- 29791455
TI - National patterns of physician management of sleep apnea and treatment among
patients with hypertension.
AB - STUDY OBJECTIVES: Sleep apnea is associated with hypertension, and treatment may
improve outcomes. We examine national burden of sleep apnea, rates of sleep apnea
treatment, and whether racial/ethnic disparities exist among patients with
hypertension. METHODS: Data from the National Ambulatory Medical Care
Survey/National Hospital Ambulatory Medical Care Survey (NAMCS/NHAMCS), 2005
2012, were analyzed (N = 417,950). We identified hypertension patient visits
where sleep apnea diagnosis or complaint was recorded. Primary outcome measures
were sleep study, medication, or behavioral therapy (diet, weight loss, or
exercise counseling). We used multivariate logistic regression to examine
treatment by demographic/clinical factors. RESULTS: Among patients with
hypertension, sleep apnea was identified in 11.2-per-1,000 visits. Overall,
patients with hypertension and a sleep disorder were referred for sleep study in
14.4% of visits, prescribed sleep medication in 11.2% of visits, and offered
behavioral therapy in 34.8% of visits. Adjusted analyses show behavioral therapy
more likely to be provided to obese patients than normal/overweight (OR = 4.96,
95%CI[2.93-8.38]), but less likely to be provided to smokers than nonsmokers (OR
= 0.54, 95%CI[0.32-0.93]). Non-Hispanic blacks were less likely to receive
medications than non-Hispanic whites (OR = 0.19, 95% CI[0.06-0.65]). CONCLUSIONS:
In the U.S., sleep apnea were observed in a small proportion of hypertension
visits, a population at high-risk for the disorder. One explanation for the low
prevalence of sleep apnea observed in this patient population at high risk for
the disorder is under-diagnosis of sleep related breathing disorders. Behavioral
therapy was underutilized, and non-Hispanic Blacks were less likely to receive
medications than non-Hispanic Whites.
PMID- 29791457
TI - A feasibility study of colorectal cancer diagnosis via circulating tumor DNA
derived CNV detection.
AB - Circulating tumor DNA (ctDNA) has shown great promise as a biomarker for early
detection of cancer. However, due to the low abundance of ctDNA, especially at
early stages, it is hard to detect at high accuracies while keeping sequencing
costs low. Here we present a pilot stage study to detect large scale somatic copy
numbers variations (CNVs), which contribute more molecules to ctDNA signal
compared to point mutations, via cell free DNA sequencing. We show that it is
possible to detect somatic CNVs in early stage colorectal cancer (CRC) patients
and subsequently discriminate them from normal patients. With 25 normal and 24
CRC samples, we achieve 100% specificity (lower bound confidence interval: 86%)
and ~79% sensitivity (95% confidence interval: 63% - 95%,), though the
performance should be considered with caution given the limited sample size. We
report a lack of concordance between the CNVs detected via cfDNA sequencing and
CNVs identified in parent tissue samples. However, recent findings suggest that a
lack of concordance is expected for CNVs in CRC because of their sub-clonal
nature. Finally, the CNVs we detect very likely contribute to cancer progression
as they lie in functionally important regions, and have been shown to be
associated with CRC specifically. This study paves the path for a larger scale
exploration of the potential of CNV detection for both diagnoses and prognoses of
cancer.
PMID- 29791456
TI - Multi-species suppression of herbivores through consumptive and non-consumptive
effects.
AB - Most studies investigating the importance of non-consumptive interactions for
herbivore suppression focus on pairwise interactions between one predator and one
prey, ignoring any community context. Further, the potential for non-consumptive
interactions to arise between herbivores and non-enemy organisms is commonly
overlooked. We investigated the relative contributions of consumptive and non
consumptive effects to aphid suppression by a wasp assemblage containing both
enemies and non-enemies. We examined the suppression of two aphid species with
different defensive strategies, pea aphids (Acyrthosiphon pisum), which drop from
their host plant to the ground, and green peach aphids (Myzus persicae), which
remain on the plant and merely walk away. The expectation was that riskier
defensive behaviors, like abandoning the plant, would result in larger non
consumptive effects. We found that the outcome of multi-species interactions
differed depending on the mechanism of suppression, with interference among wasps
in their consumptive effects and additivity in their non-consumptive effects. We
also found that, despite differences in defensive strategies, the non-consumptive
effects of wasps on aphid abundance were significant for both aphid species.
Furthermore, when part of a multi-species assemblage, non-enemies enhanced aphid
suppression via complementary non-consumptive effects with lethal enemies, but
this increase in suppression was offset by disruption in the consumptive
suppression of aphids by lethal enemies. We conclude that non-consumptive effects
arise from interactions with both enemy and non-enemy species and that both can
contribute to herbivore suppression when part of a broader community. We predict
that encouraging the presence of non-enemy organisms may provide insurance
against fluctuations in the size of consumptive enemy populations and buffer
against herbivore outbreaks.
PMID- 29791458
TI - Incidence of lung cancer histologic cell-types according to neighborhood factors:
A population based study in California.
AB - BACKGROUND: The relationships between neighborhood factors (i.e., neighborhood
socioeconomic status (nSES) and ethnic enclave) and histologic subtypes of lung
cancer for racial/ethnic groups, particularly Hispanics and Asian
American/Pacific Islanders (AAPIs), are poorly understood. METHODS: We conducted
a population-based study of 75,631 Californians diagnosed with lung cancer from
2008 through2012. We report incidence rate ratios (IRRs) for lung cancer
histologic cell-types by nSES among racial/ethnic groups (non-Hispanic (NH)
Whites, NH Blacks, Hispanics and AAPIs) and according to Hispanic or Asian
neighborhood ethnic enclave status among Hispanics and AAPIs, respectively. In
addition, we examined incidence jointly by nSES and ethnic enclave. RESULTS:
Patterns of lung cancer incidence by nSES and ethnic enclave differed across
race/ethnicity, sex, and histologic cell-type. For adenocarcinoma, Hispanic males
and females, residing in both low nSES and high nSES neighborhoods that were low
enclave, had higher incidence rates compared to those residing in low nSES, high
enclave neighborhoods; males (IRR, 1.17 [95% CI, 1.04-1.32] and IRR, 1.15 [95%
CI, 1.02-1.29], respectively) and females (IRR, 1.29 [95% CI, 1.15-1.44] and IRR,
1.51 [95% CI, 1.36-1.67], respectively). However, AAPI males residing in both low
and high SES neighborhoods that were also low enclave had lower adenocarcinoma
incidence. CONCLUSIONS: Neighborhood factors differentially influence the
incidence of lung cancer histologic cell-types with heterogeneity in these
associations by race/ethnicity and sex. For Hispanic males and females and AAPI
males, neighborhood ethnic enclave status is strongly associated with lung
adenocarcinoma incidence.
PMID- 29791459
TI - Species identification and connectivity of marine amphipods in Canada's three
oceans.
AB - Monitoring the distribution of marine biodiversity is a crucial step to better
assess the impacts of global changes. Arctic marine fauna is dominated by
amphipods in terms of abundance and biomass. These peracarids are an important
marine order of crustaceans but the number of species found in the different
Canadian oceans is currently unknown. Furthermore, most species are difficult to
identify due to poor taxonomic descriptions and morphological convergence. The
aim of this study was to assess the species diversity of marine amphipods in the
three Canadian oceans using DNA barcoding. To do so, we produced a database of
DNA barcodes of amphipods from the three Canadian Oceans publicly available from
the BOLD website to which we added 310 new sequences from the Canadian Arctic
Archipelago. We first delimited amphipod species based on barcode gap detection
techniques and tree based method (bPTP) and then compared the composition of
amphipods among the three oceans in order to assess the influence of past
transarctic exchanges on Arctic diversity. Our analysis of 2309 sequences which
represent more than 250 provisional species revealed a high connectivity between
the Atlantic and Arctic Oceans. Our results also suggest that a single threshold
to delimitate species is not suitable for amphipods. This study highlights the
challenges involved in species delimitation and the need to obtain complete
barcoding inventories in marine invertebrates.
PMID- 29791460
TI - Are saving appearance responses typical communication patterns in Alzheimer's
disease?
AB - INTRODUCTION: To keep up appearances, people with dementia sometimes pretend to
know the correct answer, as seen during administration of neuropsychological
tests such as the Mini-Mental State Examination (MMSE). These saving appearance
responses (SARs) of people with dementia often lead to caregivers and/or medical
staff underestimating the severity of dementia and impede proper early initiation
of treatment. However, most descriptions of SARs are based on empirical knowledge
of clinicians. In this study, we investigated whether SARs are typical
communication patterns in people with Alzheimer's disease (AD), compared with
mild cognitive impairment (MCI) or dementia with Lewy bodies (DLB). METHODS: The
participants were 107 outpatients with AD, 16 with mixed AD with cerebrovascular
dementia, 55 with MCI, and 30 with DLB. We assessed the occurrence of SARs during
the MMSE. The relationships between the SARs and AD were examined by the chi2
test and logistic regression analysis. RESULTS: People with AD who showed SARs
were 57.9%, whereas those with MCI were 18.2% and DLB were 20.0% (P with
Bonferroni correction < 0.05). Although there were significant differences in
some variables in each group of diagnosis, logistic regression analysis showed
that people with AD were more likely to show SARs than those with MCI (Odds ratio
= 3.48, 95% Confidential Interval = 1.18-10.28) and DLB (Odds ratio = 4.24, 95%
Confidential Interval = 1.50-12.01), even after controlling for sex, estimated
disease duration, MMSE, and frontal assessment battery scores. CONCLUSION: The
occurrence of SARs could be found most frequently in people with AD. Clinicians
should develop a respectful attitude toward dementia patients with SARs because
SARs imply conflicted feelings about questions that patients cannot answer
correctly.
PMID- 29791461
TI - A discrete mathematical model for the aggregation of beta-Amyloid.
AB - Dementia associated with the Alzheimer's disease is thought to be correlated with
the conversion of the beta - Amyloid (Abeta) peptides from soluble monomers to
aggregated oligomers and insoluble fibrils. We present a discrete-time
mathematical model for the aggregation of Abeta monomers into oligomers using
concepts from chemical kinetics and population dynamics. Conditions for the
stability and instability of the equilibria of the model are established. A
formula for the number of monomers that is required for producing oligomers is
also given. This may provide compound designers a mechanism to inhibit the Abeta
aggregation.
PMID- 29791462
TI - Using within-day hive weight changes to measure environmental effects on honey
bee colonies.
AB - Patterns in within-day hive weight data from two independent datasets in Arizona
and California were modeled using piecewise regression, and analyzed with respect
to honey bee colony behavior and landscape effects. The regression analysis
yielded information on the start and finish of a colony's daily activity cycle,
hive weight change at night, hive weight loss due to departing foragers and
weight gain due to returning foragers. Assumptions about the meaning of the
timing and size of the morning weight changes were tested in a third study by
delaying the forager departure times from one to three hours using screen
entrance gates. A regression of planned vs. observed departure delays showed that
the initial hive weight loss around dawn was largely due to foragers. In a
similar experiment in Australia, hive weight loss due to departing foragers in
the morning was correlated with net bee traffic (difference between the number of
departing bees and the number of arriving bees) and from those data the payload
of the arriving bees was estimated to be 0.02 g. The piecewise regression
approach was then used to analyze a fifth study involving hives with and without
access to natural forage. The analysis showed that, during a commercial
pollination event, hives with previous access to forage had a significantly
higher rate of weight gain as the foragers returned in the afternoon, and, in the
weeks after the pollination event, a significantly higher rate of weight loss in
the morning, as foragers departed. This combination of continuous weight data and
piecewise regression proved effective in detecting treatment differences in
foraging activity that other methods failed to detect.
PMID- 29791463
TI - Recurrent spatio-temporal modeling of check-ins in location-based social
networks.
AB - Social networks are getting closer to our real physical world. People share the
exact location and time of their check-ins and are influenced by their friends.
Modeling the spatio-temporal behavior of users in social networks is of great
importance for predicting the future behavior of users, controlling the users'
movements, and finding the latent influence network. It is observed that users
have periodic patterns in their movements. Also, they are influenced by the
locations that their close friends recently visited. Leveraging these two
observations, we propose a probabilistic model based on a doubly stochastic point
process with a periodic-decaying kernel for the time of check-ins and a time
varying multinomial distribution for the location of check-ins of users in the
location-based social networks. We learn the model parameters by using an
efficient EM algorithm, which distributes over the users, and has a linear time
complexity. Experiments on synthetic and real data gathered from Foursquare show
that the proposed inference algorithm learns the parameters efficiently and our
method models the real data better than other alternatives.
PMID- 29791464
TI - The influence of increased distal loading on metabolic cost, efficiency, and
kinematics of roller ski skating.
AB - The purpose of the present study was to examine the influence of increased
loading of the roller ski on metabolic cost, gross efficiency, and kinematics of
roller ski skating in steep and moderate terrain, while employing two incline
specific techniques. Ten nationally ranked male cross-country skiers were
subjected to four 7-minute submaximal intervals, with 0, 0.5, 1.0, and 1.5 kg
added beneath the roller-ski in a randomized order. This was done on two separate
days, with the G2 skating at 12% incline and 7 km/h speed and G3 skating at 5%
incline and 14 km/h speed, respectively. At 12% incline, there was a significant
increase in metabolic rate and a decrease in gross efficiency with added weight
(P<0.001 and P = 0.002). At 5% incline, no change in metabolic rate or gross
efficiency was found (P = 0.89 and P = 0.11). Rating of perceived exertion (RPE)
increased gradually with added weight at both inclines (P>0.05). No changes in
cycle characteristics were observed between the different ski loadings at either
incline, although the lateral and vertical displacements of the foot/skis were
slightly altered at 12% incline with added weight. In conclusion, the present
study demonstrates that increased loading of the ski increases the metabolic cost
and reduces gross efficiency during steep uphill roller skiing in G2 skating,
whereas no significant effect was revealed when skating on relatively flat
terrain in G3. Cycle characteristics remained unchanged across conditions at both
inclines, whereas small adjustments in the displacement of the foot coincided
with the efficiency changes in uphill terrain. The increased RPE values with
added ski-weight at both inclines indicates that other factors than those
measured here could have influenced effort and/or fatigue when lifting a heavier
ski.
PMID- 29791466
TI - Understanding health advocacy in family medicine and psychiatry curricula and
practice: A qualitative study.
AB - BACKGROUND: We explored understanding and experiences of health advocacy among
psychiatry and family medicine residents and faculty and the implications for
clinical care and teaching through the lens of relationship-centred care.
METHODS: This qualitative study was conducted in the psychiatry and family
medicine departments at a large urban university. We interviewed 19 faculty
members and conducted two focus groups with 18 residents. Semi-structured
questions explored the relational meaning of health advocacy, how residents and
faculty learned about the role and ethical considerations involved in
incorporating advocacy work into clinical practice within a relationship-centred
care framework. RESULTS: Four themes emerged from the interviews and focus
groups: 1) health advocacy as an extension of the relationship to self; 2) health
advocacy and professional boundaries in the physician-patient relationship; 3)
health advocacy within a team-based approach; and 4) health advocacy and the
physician-community/organization relationship. Participants described
implications for practice of the challenges of health advocacy, including
perceived institutional risks, professional boundaries and the appropriation of
patient voice. CONCLUSIONS: Our study provides insights into the relational
complexities of the health advocate role in residency curriculum and clinical
practice. All participants described health advocacy as a broad spectrum of
actions that are guided by relationships among patients, health care
professionals and communities. Our analysis revealed that some challenges that
participants identified with a health advocacy role could be addressed by
anchoring the role within a specific theoretical framework. This would better
enable us to create a culture of advocacy in the training and development of
physicians.
PMID- 29791465
TI - Predictors of subclinical carotid atherosclerosis in middle-aged women.
AB - BACKGROUND: Traditional strategies for primary cardiovascular prevention have
been insufficient in reducing the high rates of coronary ischemic events in
women, probably because these women are often stratified into low-risk groups.
However, cardiovascular diseases continue to be the main cause of morbidity and
mortality in women worldwide. We hypothesized that carotid atherosclerosis (CA)
is common in middle-aged women. METHODS: We prospectively evaluated asymptomatic
peri- and post-menopausal women with no cardiovascular diseases or the use of
hormone therapy from two gynecologic clinics. All the patients underwent full
clinical and laboratory evaluation and underwent a B-mode ultrasound for carotid
evaluations. The presence of CA was defined as the presence of plaque and/or
carotid intima-media thickness (CIMT)>1.00 mm. We performed logistic regression
to evaluate independent predictors of CA. RESULTS: We studied 823 women (age:
54.4+/-5.4 years; body mass index-BMI: 28.5+/-4.9 kg/m2; diabetes:10%;
hypertension: 58%). The prevalence of CA was 12.7% for the entire population and
11% for the low-risk sub-group as defined by a Framingham risk score <5%. In the
multivariate model, age: odds ratio (OR) = 1.54, 95% confidence interval (CI) =
1.25-1.89,p<0.001; current smoker status: OR = 2.69, 95% CI = 1.48-4.91, p =
0.001; total cholesterol: OR = 1.13, 95% CI = 1.03-1.24, p = 0.008; and systolic
blood pressure: OR = 1.01, 95% CI = 1.00-1.02, p = 0.030 remained independently
associated with CA. CONCLUSION: Subclinical CA is common among asymptomatic
middle-aged women, and traditional risk factors are independently associated with
CA. These findings are particularly relevant for improving cardiovascular health
in women.
PMID- 29791468
TI - Do altmetrics correlate with the quality of papers? A large-scale empirical study
based on F1000Prime data.
AB - In this study, we address the question whether (and to what extent, respectively)
altmetrics are related to the scientific quality of papers (as measured by peer
assessments). Only a few studies have previously investigated the relationship
between altmetrics and assessments by peers. In the first step, we analyse the
underlying dimensions of measurement for traditional metrics (citation counts)
and altmetrics-by using principal component analysis (PCA) and factor analysis
(FA). In the second step, we test the relationship between the dimensions and
quality of papers (as measured by the post-publication peer-review system of
F1000Prime assessments)-using regression analysis. The results of the PCA and FA
show that altmetrics operate along different dimensions, whereas Mendeley counts
are related to citation counts, and tweets form a separate dimension. The results
of the regression analysis indicate that citation-based metrics and readership
counts are significantly more related to quality, than tweets. This result on the
one hand questions the use of Twitter counts for research evaluation purposes and
on the other hand indicates potential use of Mendeley reader counts.
PMID- 29791467
TI - Scan patterns during scene viewing predict individual differences in clinical
traits in a normative sample.
AB - The relationship between viewer individual differences and gaze control has been
largely neglected in the scene perception literature. Recently we have shown a
robust association between individual differences in viewer cognitive capacity
and scan patterns during scene viewing. These findings suggest other viewer
individual differences may also be associated with scene gaze control. Here we
expand our findings to quantify the relationship between individual differences
in clinical traits and scene viewing behavior in a normative sample. The present
study used Successor Representation Scanpath Analysis (SRSA) to quantify the
strength of the association between individual differences in scan patterns
during real-world scene viewing and individual differences in viewer attention
deficit disorder, autism spectrum disorder, and dyslexia scores. The SRSA results
revealed individual differences in vertical scan patterns that explained more
than half of the variance in attention-deficit scores, a third of the variance in
autism quotient scores, and about a quarter of the variance in dyslexia scores.
These results suggest that individual differences in attention-deficit disorder,
autism spectrum disorder, and dyslexia scores are most strongly associated with
vertical scanning behaviors when viewing real-world scenes. More importantly, our
results suggest scene scan patterns have promise as potential diagnostic tools
and provide insight into the types of vertical scan patterns that are most
diagnostic.
PMID- 29791469
TI - Ffuzz: Towards full system high coverage fuzz testing on binary executables.
AB - Bugs and vulnerabilities in binary executables threaten cyber security. Current
discovery methods, like fuzz testing, symbolic execution and manual analysis,
both have advantages and disadvantages when exercising the deeper code area in
binary executables to find more bugs. In this paper, we designed and implemented
a hybrid automatic bug finding tool-Ffuzz-on top of fuzz testing and selective
symbolic execution. It targets full system software stack testing including both
the user space and kernel space. Combining these two mainstream techniques
enables us to achieve higher coverage and avoid getting stuck both in fuzz
testing and symbolic execution. We also proposed two key optimizations to improve
the efficiency of full system testing. We evaluated the efficiency and
effectiveness of our method on real-world binary software and 844 memory
corruption vulnerable programs in the Juliet test suite. The results show that
Ffuzz can discover software bugs in the full system software stack effectively
and efficiently.
PMID- 29791470
TI - Quality of community basic medical service utilization in urban and suburban
areas in Shanghai from 2009 to 2014.
AB - Urban areas usually display better health care services than rural areas, but
data about suburban areas in China are lacking. Hence, this cross-sectional study
compared the utilization of community basic medical services in Shanghai urban
and suburban areas between 2009 and 2014. These data were used to improve the
efficiency of community health service utilization and to provide a reference for
solving the main health problems of the residents in urban and suburban areas of
Shanghai. Using a two-stage random sampling method, questionnaires were completed
by 73 community health service centers that were randomly selected from six
districts that were also randomly selected from 17 counties in Shanghai.
Descriptive statistics, principal component analysis, and forecast analysis were
used to complete a gap analysis of basic health services utilization quality
between urban and suburban areas. During the 6-year study period, there was an
increasing trend toward greater efficiency of basic medical service provision,
benefits of basic medical service provision, effectiveness of common chronic
disease management, overall satisfaction of community residents, and two-way
referral effects. In addition to the implementation effect of hypertension
management and two-way referral, the remaining indicators showed a superior
effect in urban areas compared with the suburbs (P<0.001). In addition, among the
seven principal components, four principal component scores were better in urban
areas than in suburban areas (P = <0.001, 0.004, 0.036, and 0.022). The urban
comprehensive score also exceeded that of the suburbs (P<0.001). In summary, over
the 6-year period, there was a rapidly increasing trend in basic medical service
utilization. Comprehensive satisfaction clearly improved as well. Nevertheless,
there was an imbalance in health service utilization between urban and suburban
areas. There is a need for the health administrative department to address this
imbalance between urban and suburban institutions and to provide the required
support to underdeveloped areas to improve resident satisfaction.
PMID- 29791471
TI - Brown bear (Ursus arctos) attacks resulting in human casualties in Scandinavia
1977-2016; management implications and recommendations.
AB - Human persecution and habitat loss have endangered large carnivore populations
worldwide, but some are recovering, exacerbating old conflicts. Carnivores can
injure and kill people; the most dramatic form of wildlife-human conflict. In
Scandinavia, the brown bear (Ursus arctos) population increased from ~500 bears
in 1977 to ~3300 in 2008, with an increase in injuries, fatalities, and public
fear of bear attacks. We reviewed media coverage and interviewed victims to
explore how bear population trends, hunter education, and other factors may have
influenced the number of injuries and fatalities in Scandinavia from 1977 to
2016. We found 42 incidents with 42 injuries and 2 fatalities; 42 were adult men,
one was an adult woman conducting forestry work, and one was a boy skiing off
piste. Thirty-three adult men were hunting bears, moose, or small game, often
with a hunting dog, and 26 had shot at the bear at 8+/-11 m before injury. Eleven
nonhunters were conducting forestry work, inspecting a hunting area, picking
berries, tending livestock, hiking, harassing a denned bear, and one person was
killed outside his house at night. Eight of the 11 incidents of nonhunters
involved female bears with cubs; three of these family groups were in dens and
two were on carcasses. The annual number of hunters injured/killed was mostly
influenced by the increase in the bear population size. The pattern was similar
regarding injuries/fatalities to other outdoor users, but the relation with the
bear population size was weaker than for hunters, and the null model was equally
supported. Bear physiology at denning may make encounters with bears more risky
in the fall, when bears show prehibernation behavior. Awareness and education
efforts, especially among hunters, seem important to ensure human safety.
Recreationists and forestry workers should avoid dense vegetation or make noise
to warn bears of their presence.
PMID- 29791474
TI - Growth differentiation factor-15 levels and the risk of contrast induced
nephropathy in patients with acute myocardial infarction undergoing percutaneous
coronary intervention: A retrospective observation study.
AB - AIMS: To investigate the association between growth differentiation factor-15
(GDF-15) and contrast-induced nephropathy (CIN) in patients with acute myocardial
infarction (AMI) undergoing percutaneous coronary intervention (PCI). METHODS: A
total of 311 patients with AMI were studied retrospectively. All patients were
divided into two groups according to the occurrence of CIN after PCI. Baseline
clinical data were compared between two groups. Multivariate logistic regression
analysis was used to identify the risk factors for CIN. Cox regression analysis
was used to identify the association between GDF-15, CIN and short-term outcome.
RESULTS: There were 80 patients in CIN group (average age was 71.60 +/- 13.00
years; 67.5% male) and 231 patients in non-CIN group (average age was 63.80 +/-
11.70 years; 71.9%male). The concentration of GDF-15 in CIN group was higher than
that of non-CIN group (1232 +/- 366.6 ng/L vs. 939.20 +/- 309.6 ng/L, P <0.001).
According to GDF-15 quartiles, patients were divided into four groups.
Multivariate logistic model indicated that the highest quartile(Q4) was
significantly associated with an increased risk of CIN compared with lower level
of GDF-15 (Q1, Q2 and Q3) (OR : 3.572, 1.803-7.078, P < 0.001). Of 243 patients
who could calculate the ACEF risk score, area under the curve (AUC) of GDF-15 was
0.793, 95%CI: 0.729-0.856, P < 0.001, while AUC of ACEF was 0.708, 95%CI: 0.630
0.786, P < 0.001. Using 10% and 30% as arbitrary thresholds to define patients at
low, intermediate, and high risk, GDF-15 achieved a net reclassification
improvement (NRI) of 0.32 (95%CI: 0.123-0.518, P = 0.001) compared with the ACEF
risk score. Cox regression model showed that high concentration of GDF-15 (Q4)
was significantly associated with an increased risk of all-cause mortality and
major adverse clinical events (MACE) (HR: 8.434, 95%CI: 2.650-26.837, P <0.001;
HR: 3.562, 95%CI: 1.658-7.652, P = 0.001) compared with low level of GDF-15 (Q1,
Q2 and Q3). CIN was an independent predictor of all-cause mortality and MACE in
AMI patients (HR: 3.535, 95%CI: 1.135-11.005, P = 0.029; HR: 5.154, 95%CI: 2.228
11.925, P <0.001). CONCLUSION: GDF-15 levels increased in CIN group in AMI
patients underwent PCI. GDF-15 was an independent risk factor for CIN in AMI
patients underwent PCI. GDF-15 level and CIN are independent risk factors for all
cause mortality and MACE in short-term follow-ups.
PMID- 29791472
TI - Pioglitazone abolishes autistic-like behaviors via the IL-6 pathway.
AB - Autism is characterized by social deficits, communication abnormalities, and
repetitive behaviors. The risk factors appear to include genetic and
environmental conditions, such as prenatal infections and maternal dietary
factors. Previous investigations by our group have demonstrated that prenatal
exposure to lipopolysaccharide (LPS), which mimics infections by gram-negative
bacteria, induces autistic-like behaviors. No effective treatment yet exists for
autism. Therefore, we used our rat model to test a possible treatment for autism.
We selected pioglitazone to block or ease the impairments induced by LPS because
although this drug was designed as an anti-diabetic drug (it has an insulin
effect), it also exerts anti-inflammatory effects. Juvenile offspring were
treated daily with pioglitazone, and the main behaviors related to autism,
namely, socialization (play behavior) and communication (50-kHz ultrasonic
vocalizations), were studied. Biomarkers linked to autism and/or pioglitazone
were also studied to attempt to understand the mechanisms involved, namely, IL-6,
TNF-alpha, MCP-1, insulin, and leptin. Prenatal LPS exposure induced social
deficits and communicational abnormalities in juvenile rat offspring as well as
elevated plasma IL-6 levels. Daily postnatal pioglitazone treatment blocked the
impairments found in terms of the time spent on social interaction, the number of
vocalizations (i.e., autistic-like behaviors) and the elevated plasma IL-6
levels. Thus, pioglitazone appears to be a relevant candidate for the treatment
of autism. The present findings may contribute to a better understanding and
treatment of autism and associated diseases.
PMID- 29791475
TI - Ten-year experience with ophthalmic artery chemosurgery: Ocular and recurrence
free survival.
AB - PURPOSE: To report associations between disease- and treatment-related variables
and rates of recurrence-free survival and ocular survival in eyes treated with
ophthalmic artery chemosurgery (OAC) for retinoblastoma. DESIGN: Pre-post study.
SUBJECTS: All eyes treated with OAC for retinoblastoma at Memorial Sloan
Kettering Cancer Center between May 2006 and February 2017. METHODS: This
retrospective review included 452 retinoblastoma eyes treated with OAC. The
Kaplan-Meier method was used to estimate recurrence-free survival (RFS),
progression-free survival (PFS) and ocular survival (OcS), and Cox regression was
used to estimate hazard ratios. Eyes treated in the pre-intravitreous
chemotherapy era were analyzed separately from eyes treated in the intravitreal
era. MAIN OUTCOME MEASURES: Recurrence-free survival, ocular survival,
associations with risk of recurrence. RESULTS: Disease and treatment
characteristics were recorded over a median 23.6 month follow-up. One-year OcS,
PFS and RFS were 96% (95% CI 93-99%), 88% (95% CI 88-94%) and 74% (95% CI 67-81%)
in the pre-intravitreal era and 96% (95% CI 94-99%), 93% (95% CI 89-96%) and 78%
(95% CI 72-83%) in the intravitreal era, respectively. Presence of vitreous seeds
was associated with increased risk of recurrence in the pre-intravitreal era but
not in the intravitreal era. Longer time interval between OAC sessions was
associated with increased risk of recurrence and majority OAC access via the
ophthalmic artery was associated with decreased risk of recurrence in both eras.
CONCLUSIONS: Approximately a quarter of eyes initially treated with ophthalmic
artery chemosurgery develop recurrent disease, with the majority of recurrences
within the first year following completion of OAC. Despite this, these eyes have
a very good chance of salvage. In eyes with vitreous seeds at presentation,
intravitreal injections are useful in minimizing future vitreous recurrence. Eyes
that receive the majority of drug infusions via non-ophthalmic artery routes or
greater interval between OAC are more likely to recur and might warrant closer
monitoring.
PMID- 29791473
TI - The fecal bacterial microbiota of bats; Slovenia.
AB - METHODS: Fecal samples were collected from 92 bats in Slovenia, consisting of 12
different species, and the bacterial microbiota was assessed via next generation
sequencing of the 16S rRNA gene V4 region. RESULTS: Sequences were assigned to 28
different phyla, but only Proteobacteria, Firmicutes, Bacteroidetes and
Actinobacteria accounted for >=1% of sequences. One phylum (Proteobacteria), one
class (Gammaproteobacteria), three orders (Pseudomonadales, Lactobacillales,
Bacillales), four families (Enterobacteriaceae, Pseudomonadaceae,
Staphylococcaceae, Carnobacteriaceae), and five genera (Pseudomonas,
Staphylococcus, Carnobacterium, an unclassified Enterobacteriaceae,
Acinetobacter) accounted for 50% of sequences. There were no significant
differences in the relative abundances of any phyla between bat species, but
various differences were noted at lower taxonomic levels, such as
Enterobacteriaceae (P = 0.007, most abundant in M. blythii), Pseudomonadaceae (P
= 0.007, most abundant in Rhinolophus hipposideros) and Chlamydiaceae (P = 0.04,
most abundant in Myotis myotis). There were significant differences in richness
between species in both adults and juveniles/subadults, but there was no impact
of sex on any alpha diversity index. When only adults are considered, there were
significant differences in community membership between M. blythii and M.
emarginatus (P = 0.011), and M. blythii and R. hipposideros (P = 0.004). There
were also significant differences in community structure between M. blythii and
M. emarginatus (P = 0.025), and M. blythii and R. hipposideros (P = 0.026). When
adults of the four main species were compared, 14 OTUs were identified as
differentially abundant using LEfSe. Only one difference was identified when
comparing R. hipposideros adults and juvenile/subadults, with Klebsiella over
represented in the younger bats. CONCLUSIONS: Bats have a complex and diverse
microbiota with a high relative abundance of Proteobacteria. The relevance of
this difference is unclear and requires further study. Differences in the
microbiota were observed between bat species, perhaps reflecting different diets
and environmental exposures.
PMID- 29791476
TI - Identifying county characteristics associated with resident well-being: A
population based study.
AB - BACKGROUND: Well-being is a positively-framed, holistic assessment of health and
quality of life that is associated with longevity and better health outcomes. We
aimed to identify county attributes that are independently associated with a
comprehensive, multi-dimensional assessment of individual well-being. METHODS: We
performed a cross-sectional study examining associations between 77 pre-specified
county attributes and a multi-dimensional assessment of individual US residents'
well-being, captured by the Gallup-Sharecare Well-Being Index. Our cohort
included 338,846 survey participants, randomly sampled from 3,118 US counties or
county equivalents. FINDINGS: We identified twelve county-level factors that were
independently associated with individual well-being scores. Together, these
twelve factors explained 91% of the variance in individual well-being scores, and
they represent four conceptually distinct categories: demographic (% black);
social and economic (child poverty, education level [
0.24 mg/dl (median SCr absolute increase), delta NGAL 0-6 hours and 6-hours NGAL
values were higher compared with patients with SCr elevation below the defined
threshold (p = 0.049 and p = 0.056). The ROC analysis showed that a 6 hours NGAL
value > 96 ng/ml significantly predicted an absolute SCr increase > 0.24 mg/dl
after contrast exposure with sensitivity of 53% and specificity of 74% (AUC
0.819, 95% CI: 0.656 to 0.983, p = 0.005). The use of bedside NGAL assessment may
significantly hasten diagnosis and treatment of CI-AKI, with remarkable clinical
prognostic consequences.
PMID- 29791498
TI - A systematic approach to parameter selection for CAD-virtual reality data
translation using response surface methodology and MOGA-II.
AB - Advanced graphics capabilities have enabled the use of virtual reality as an
efficient design technique. The integration of virtual reality in the design
phase still faces impediment because of issues linked to the integration of CAD
and virtual reality software. A set of empirical tests using the selected
conversion parameters was found to yield properly represented virtual reality
models. The reduced model yields an R-sq (pred) value of 72.71% and an R-sq
(adjusted) value of 86.64%, indicating that 86.64% of the response variability
can be explained by the model. The R-sq (pred) is 67.45%, which is not very high,
indicating that the model should be further reduced by eliminating insignificant
terms. The reduced model yields an R-sq (pred) value of 73.32% and an R-sq
(adjusted) value of 79.49%, indicating that 79.49% of the response variability
can be explained by the model. Using the optimization software MODE Frontier
(Optimization, MOGA-II, 2014), four types of response surfaces for the three
considered response variables were tested for the data of DOE. The parameter
values obtained using the proposed experimental design methodology result in
better graphics quality, and other necessary design attributes.
PMID- 29791496
TI - PBP2b plays a key role in both peripheral growth and septum positioning in
Lactococcus lactis.
AB - Lactococcus lactis is an ovoid bacterium that forms filaments during planktonic
and biofilm lifestyles by uncoupling cell division from cell elongation. In this
work, we investigate the role of the leading peptidoglycan synthase PBP2b that is
dedicated to cell elongation in ovococci. We show that the localization of a
fluorescent derivative of PBP2b remains associated to the septal region and
superimposed with structural changes of FtsZ during both vegetative growth and
filamentation indicating that PBP2b remains intimately associated to the division
machinery during the whole cell cycle. In addition, we show that PBP2b-negative
cells of L. lactis are not only defective in peripheral growth; they are also
affected in septum positioning. This septation defect does not simply result from
the absence of the protein in the cell growth machinery since it is also observed
when PBP2b-deficient cells are complemented by a catalytically inactive variant
of PBP2b. Finally, we show that round cells resulting from beta-lactam treatment
are not altered in septation, suggesting that shape elongation as such is not a
major determinant for selection of the division site. Altogether, we propose that
the specific PBP2b transpeptidase activity at the septum plays an important role
for tagging future division sites during L. lactis cell cycle.
PMID- 29791497
TI - Xenin is a novel anorexigen in goldfish (Carassius auratus).
AB - Xenin, a highly conserved 25 amino acid peptide cleaved from the N-terminus of
the coatomer protein alpha (COPA), is emerging as a food intake regulator in
mammals and birds. To date, no research has been conducted on xenin biology in
fish. This study aims to identify the copa mRNA encoding xenin in goldfish
(Carassius auratus) as a model, to elucidate its regulation by feeding, and to
describe the role of xenin on appetite. First, a partial sequence of copa cDNA, a
region encoding xenin, was identified from goldfish brain. This sequence is
highly conserved among both vertebrates and invertebrates. RT-qPCR revealed that
copa mRNAs are widely distributed in goldfish tissues, with the highest levels
detected in the brain, gill, pituitary and J-loop. Immunohistochemistry confirmed
also the presence of COPA peptide in the hypothalamus and enteroendocrine cells
on the J-loop mucosa. In line with its anorexigenic effects, we found important
periprandial fluctuations in copa mRNA expression in the hypothalamus, which were
mainly characterized by a gradually decrease in copa mRNA levels as the feeding
time was approached, and a gradual increase after feeding. Additionally, fasting
differently modulated the expression of copa mRNA in a tissue-dependent manner.
Peripheral and central injections of xenin reduce food intake in goldfish. This
research provides the first report of xenin in fish, and shows that this peptide
is a novel anorexigen in goldfish.
PMID- 29791500
TI - Retraction: Calcium Channel Blockers and Risk of Breast Cancer: A Meta-Analysis
of 17 Observational Studies.
PMID- 29791499
TI - Perturbation of the metabolic network in Salmonella enterica reveals cross-talk
between coenzyme A and thiamine pathways.
AB - Microorganisms respond to a variety of metabolic perturbations by repurposing or
recruiting pathways to reroute metabolic flux and overcome the perturbation.
Elimination of the 2-dehydropantoate 2-reductase, PanE, both reduces total
coenzyme A (CoA) levels and causes a conditional HMP-P auxotrophy in Salmonella
enterica. CoA or acetyl-CoA has no demonstrable effect on the HMP-P synthase,
ThiC, in vitro. Suppressors aimed at probing the connection between the
biosynthesis of thiamine and CoA contained mutations in the gene encoding the
ilvC transcriptional regulator, ilvY. These mutations may help inform the
structure and mechanism of action for the effector-binding domain, as they
represent the first sequenced substitutions in the effector-binding domain of
IlvY that cause constitutive expression of ilvC. Since IlvC moonlights as a 2
dehydropantoate 2-reductase, the resultant increase in ilvC transcription
increased synthesis of CoA. This study failed to identify mutations overcoming
the need for CoA for thiamine synthesis in S. enterica panE mutants, suggesting
that a more integrated approach may be necessary to uncover the mechanism
connecting CoA and ThiC activity in vivo.
PMID- 29791501
TI - Stillbirths in urban Guinea-Bissau: A hospital- and community-based study.
AB - BACKGROUND: Stillbirth rates remain high in many low-income settings, with fresh
(intrapartum) stillbirths accounting for a large part due to limited obstetrical
care. We aimed to determine the stillbirth rate and identify potentially
modifiable factors associated with stillbirth in urban Guinea-Bissau. METHODS:
The study was carried out by the Bandim Health Project (BHP), a Health and
Demographic Surveillance System site in the capital Bissau. We assessed
stillbirth rates in a hospital cohort consisting of all deliveries at the
maternity ward at the National Hospital Simao Mendes (HNSM), and in a community
cohort, which only included women from the BHP area. Stillbirth was classified as
fresh (FSB) if fetal movements were reported on the day of delivery. RESULTS:
From October 1 2007 to April 15 2013, a total of 38164 deliveries were registered
at HNSM, among them 3762 stillbirths (99/1000 births). Excluding deliveries
referred to the hospital from outside the capital (9.6%), the HNSM stillbirth
rate was 2786/34490 births (81/1000). During the same period, 15462 deliveries
were recorded in the community cohort. Of these, 768 were stillbirths (50/1000).
Of 11769 hospital deliveries among women from Bissau with data on fetal movement,
866 (74/1000) were stillbirths, and 609 (70.3%) of these were FSB, i.e.
potentially preventable. The hospital FSB rate was highest in the evening from 4
pm to midnight (P = 0.04). In the community cohort, antenatal care (ANC)
attendance correlated strongly with stillbirth reduction; the stillbirth rate was
71/1000 if the mother attended no ANC consultations vs. 36/1000 if she attended
>=7 consultations (P<0.001). CONCLUSION: In Bissau, the stillbirth rate is
alarmingly high. The majority of stillbirths are preventable FSB. Improving
obstetrical training, labour management (including sufficient intrapartum
monitoring and timely intervention) and hospital infrastructure is urgently
required. This should be combined with proper community strategies and additional
focus on antenatal care.
PMID- 29791502
TI - Anthropometry-based 24-h urinary creatinine excretion reference for Chinese
children.
AB - To establish 24-h urinary creatinine excretion reference ranges based on
anthropometry in healthy Chinese children, a cross-sectional survey was conducted
using twice-sampled 24-h urine and anthropometric variables. Age- and sex
specific 24-h creatinine excretion reference ranges (crude and related to
individual anthropometric variables) were derived. During October 2013 and May
2014, urine samples were collected. Anthropometric variables were measured in the
first survey. Data of 710 children (377 boys and 333 girls) aged 8-13 years who
completed the study were analyzed. No significant difference was observed in 24-h
urine volumes between the two samples (median [interquartile range): 855.0 [600.0
1272.0) mL vs. 900.0 [660.0-1220.0) mL, P = 0.277). The mean 24-h urine
creatinine excretion was regarded as representative of absolute daily creatinine
excretion in children. Sex-specific, body-weight-adjusted creatinine excretion
reference values were 15.3 mg/kg/day (0.1353 mmol/kg/day) for boys and 14.3
mg/kg/day (0.1264 mmol/kg/day) for girls. Differences were significant between
boys and girls within the same age group but not across different age groups
within the same sex. Ideal 24-h creatinine excretion values for height were
derived for potential determination of the creatinine height index. These data
can serve as reference ranges to calculate ratios of analyte to creatinine. The
creatinine height index can be used to assess somatic protein status.
PMID- 29791504
TI - Periconceptional maternal dairy-rich dietary pattern is associated with prenatal
cerebellar growth.
AB - BACKGROUND: Maternal nutrition during pregnancy has been related to intrauterine
brain development and neurodevelopmental disabilities in adult life. We aim to
investigate associations between periconceptional maternal dietary patterns and
prenatal cerebellar growth from the first trimester onwards. MATERIALS AND
METHODS: 126 women with singleton non-malformed pregnancies were enrolled before
8 weeks of gestation in the Rotterdam periconceptional cohort between 2013 and
2015. Periconceptional maternal dietary patterns were extracted from food
frequency questionnaires and associated with blood biomarkers and micronutrient
intakes. Serial two-dimensional and three-dimensional ultrasound scans were
performed at 9, 11, 22, 26 and 32 weeks of gestation for transcerebellar diameter
(TCD) measurement. Linear mixed models were estimated to investigate associations
between periconceptional maternal dietary patterns and longitudinal TCD
measurements as a function of gestational age. RESULTS: We performed a median of
4 scans per pregnancy, resulting in 570 total datasets. The success rate of TCD
measurements was 87% (range 65-100%), depending on gestational age. The
Mediterranean, Western, egg-rich and dairy-rich dietary patterns were extracted,
explaining 37.2% of the overall variance of food intake in this population. The
dairy-rich dietary pattern was positively associated with cerebellar growth
trajectories (beta = 0.02 (95% CI: 0.01; 0.03) ?mm, p = 0.01). Maternal strong
adherence to this dietary pattern increased TCD measurements by 0.8 standard
deviation scores (SDs) compared to weak adherence, reflected in increased TCD
estimates of 0.44 mm at 9 weeks (+6.8%), 0.88 mm at 22 weeks (+3.6%), and 1.17 mm
at 32 weeks (+2.8%). No significant associations were detected for the
Mediterranean, Western and egg-rich dietary patterns. CONCLUSIONS: This study
shows a positive association between periconceptional maternal adherence to a
dairy-rich dietary pattern and human prenatal TCD measurements as a proxy of
cerebellar growth. Next step is the investigation of the impact on
neurodevelopmental outcomes in the offspring.
PMID- 29791503
TI - [18F]FDG and [18F]FLT PET for the evaluation of response to neo-adjuvant
chemotherapy in a model of triple negative breast cancer.
AB - RATIONALE: Pathological response to neo-adjuvant chemotherapy (NAC) represents a
commonly used predictor of survival in triple negative breast cancer (TNBC) and
the need to identify markers that predict response to NAC is constantly
increasing. Aim of this study was to evaluate the potential usefulness of PET
imaging with [18F]FDG and [18F]FLT for the discrimination of TNBC responders to
Paclitaxel (PTX) therapy compared to the response assessed by an adapted Response
Evaluation Criteria In Solid Tumors (RECIST) criteria based on tumor volume
(Tumor Volume Response). METHODS: Nu/nu mice bearing TNBC lesions of different
size were evaluated with [18F]FDG and [18F]FLT PET before and after PTX
treatment. SUVmax, Metabolic Tumor Volume (MTV) and Total Lesion Glycolysis (TLG)
and Proliferation (TLP) were assessed using a graph-based random walk algorithm.
RESULTS: We found that in our TNBC model the variation of [18F]FDG and [18F]FLT
SUVmax similarly defined tumor response to therapy and that SUVmax variation
represented the most accurate parameter. Response evaluation using Tumor Volume
Response (TVR) showed that the effectiveness of NAC with PTX was completely
independent from lesions size at baseline. CONCLUSIONS: Our study provided
interesting results in terms of sensitivity and specificity of PET in TNBC,
revealing the similar performances of [18F]FDG and [18F]FLT in the identification
of responders to Paclitaxel.
PMID- 29791505
TI - Rapid direct aperture optimization via dose influence matrix based piecewise
aperture dose model.
AB - In the traditional two-step procedure used in intensity-modulated radiation
therapy, fluence map optimization (FMO) is performed first, followed by use of a
leaf sequencing algorithm (LSA). By contrast, direct aperture optimization (DAO)
directly optimizes aperture leaf positions and weights. However, dose calculation
using the Monte Carlo (MC) method for DAO is often time-consuming. Therefore, a
rapid DAO (RDAO) algorithm is proposed that uses a dose influence matrix based
piecewise aperture dose model (DIM-PADM). In the proposed RDAO algorithm, dose
calculation is based on the dose influence matrix instead of MC. The dose
dependence of aperture leafs is modeled as a piecewise function using the DIM.
The corresponding DIM-PADM-based DAO problem is solved using a simulated
annealing algorithm.The proposed algorithm was validated through application to
TG119, prostate, liver, and head and neck (H&N) cases from the common
optimization for radiation therapy dataset. Compared with the two-step FMO-LSA
procedure, the proposed algorithm resulted in more precise dose conformality in
all four cases. Specifically, for the H&N dataset, the cost value for the planned
target volume (PTV) was decreased by 32%, whereas the cost value for the two
organs at risk (OARs) was decreased by 60% and 92%. Our study of the proposed
novel DIM-PADM-based RDAO algorithm makes two main contributions: First, we
validate the use of the proposed algorithm, in contrast to the FMO-LSA framework,
for direct optimization of aperture leaf positions and show that this method
results in more precise dose conformality. Second, we demonstrate that compared
to MC, the DIM-PADM-based method significantly reduces the computational time
required for DAO.
PMID- 29791506
TI - SHMT2 and the BRCC36/BRISC deubiquitinase regulate HIV-1 Tat K63-ubiquitylation
and destruction by autophagy.
AB - HIV-1 Tat is a key regulator of viral transcription, however little is known
about the mechanisms that control its turnover in T cells. Here we use a novel
proteomics technique, called DiffPOP, to identify the molecular target of JIB-04,
a small molecule compound that potently and selectively blocks HIV-1 Tat
expression, transactivation, and virus replication in T cell lines. Mass
spectrometry analysis of whole-cell extracts from 2D10 Jurkat T cells revealed
that JIB-04 targets Serine Hydroxymethyltransferase 2 (SHMT2), a regulator of
glycine biosynthesis and an adaptor for the BRCC36 K63Ub-specific deubiquitinase
in the BRISC complex. Importantly, knockdown of SHMT1,2 or BRCC36, or exposure of
cells to JIB-04, strongly increased Tat K63Ub-dependent destruction via
autophagy. Moreover, point mutation of multiple lysines in Tat, or knockdown of
BRCC36 or SHMT1,2, was sufficient to prevent destruction of Tat by JIB-04. We
conclude that HIV-1 Tat levels are regulated through K63Ub-selective autophagy
mediated through SHMT1,2 and the BRCC36 deubiquitinase.
PMID- 29791508
TI - Does arterial hypertension influence the onset of Huntington's disease?
AB - Huntington's disease (HD) age of onset (AO) is mainly determined by the length of
the CAG repeat expansion in the huntingtin gene. The remaining AO variability has
been attributed to other little-known factors. A factor that has been associated
with other neurodegenerative diseases is arterial hypertension (AHT). The aim of
this study is to evaluate the contribution of AHT to the AO of HD. We used data
from a cohort of 630 European HD patients with adult onset collected by the
REGISTRY project of the European Huntington's Disease Network. Multiple linear
regression and ANOVA, controlling for the CAG repeat number of the expanded
allele (CAGexp) of each patient, were performed to assess the association between
the AHT condition and the AO of the motor symptoms (mAO). The results showed a
significant association between AHT and mAO, especially when we only considered
the patients diagnosed with AHT prior to manifesting any HD signs (pre-HD AHT).
Remarkably, despite the low number of cases, those patients developed motor
symptoms 5-8 years later than normotensive patients in the most frequent CAGexp
range (40-44). AHT is an age-related condition and consequently, the age of the
patient at the time of data collection could be a confounder variable. However,
given that most pre-HD AHT patients included in our study had started treatment
with antihypertensive drugs prior to the onset of HD, and that antihypertensive
drugs have been suggested to confer a neuroprotective effect in other
neurodegenerative diseases, raises the interest in elucidating the impact of AHT
and/or AHT treatment in HD age of onset in further studies. A confirmation of our
results in a larger sample set would open the possibility to significantly
improve HD management.
PMID- 29791507
TI - A novel mouse model of Campylobacter jejuni enteropathy and diarrhea.
AB - Campylobacter infections are among the leading bacterial causes of diarrhea and
of 'environmental enteropathy' (EE) and growth failure worldwide. However, the
lack of an inexpensive small animal model of enteric disease with Campylobacter
has been a major limitation for understanding its pathogenesis, interventions or
vaccine development. We describe a robust standard mouse model that can exhibit
reproducible bloody diarrhea or growth failure, depending on the zinc or protein
deficient diet and on antibiotic alteration of normal microbiota prior to
infection. Zinc deficiency and the use of antibiotics create a niche for
Campylobacter infection to establish by narrowing the metabolic flexibility of
these mice for pathogen clearance and by promoting intestinal and systemic
inflammation. Several biomarkers and intestinal pathology in this model also
mimic those seen in human disease. This model provides a novel tool to test
specific hypotheses regarding disease pathogenesis as well as vaccine development
that is currently in progress.
PMID- 29791509
TI - Health outcomes and adherence to a healthy lifestyle after a multimodal
intervention in people with multiple sclerosis: Three year follow-up.
AB - BACKGROUND: Modifiable risk factors such as smoking and sedentary lifestyle
adversely affect multiple sclerosis (MS) progression. Few multimodal behavioural
interventions have been conducted for people with MS, and follow-up beyond 1 year
is rare for lifestyle interventions. This study assessed adoption and adherence
to healthy lifestyle behaviours and health outcomes 3 years after a lifestyle
modification intervention, using generalized estimating equation models to
account for within-participant correlation over time. METHODS: 95 people with MS
completed baseline surveys before participating in 5-day MS lifestyle risk-factor
modification workshops. 76 and 78 participants completed the 1-year and 3-year
follow-up surveys respectively. Mean age at 3-year follow-up was 47 years, 72%
were female, most (62.8%) had MS for 5 years or less, and 73% had relapsing
remitting MS (RRMS). RESULTS: Compared to baseline, participants reported
clinically meaningful increases in physical (mean difference (MD): 8.0, 95%
Confidence Interval (CI): 5.2-10.8) and mental health (MD: 9.2, CI: 5.8-12.6)
quality of life (QOL) at 1-year, and physical (MD: 8.7, CI: 5.3-12.2) and mental
health (MD: 8.0, CI: 4.2-11.8) QOL at 3-year follow-up. There was a small
decrease in disability from baseline to 1-year follow-up (MD: 0.9, CI: 0.9,1.0)
and to 3-year follow-up (MD: 1.0, CI: 0.9,1.0), which was not clinically
meaningful. Of those with RRMS, compared to baseline, fewer had a relapse during
the year before 1-year follow-up (OR: 0.1, CI 0.0-0.2) and 3-year follow-up (OR:
0.15, CI 0.06-0.33). Participants' healthy diet score, the proportion meditating
>=1 hours a week, supplementing with >= 5000IU vitamin D daily, and supplementing
with omega-3 flaxseed oil increased at 1-year follow-up and was sustained,
although slightly lower at 3-year follow-up. However, there was no evidence for a
change in physical activity and not enough smokers to make meaningful
comparisons. Medication use increased at 1-year follow-up and at 3-year follow
up. CONCLUSION: The results provide evidence that lifestyle risk factor
modification is feasible and sustainable over time, in a small self-selected and
motivated sample of people with MS. Furthermore, participation in a lifestyle
intervention is not associated with a decrease in MS medication use.
PMID- 29791510
TI - The importance of manager support for the mental health and well-being of
ambulance personnel.
AB - Interventions to enhance mental health and well-being within high risk industries
such as the emergency services have typically focused on individual-level
factors, though there is increasing interest in the role of organisational-level
interventions. The aim of this study was to examine the importance of different
aspects of manager support in determining the mental health of ambulance
personnel. A cross-sectional survey was completed by ambulance personnel across
two Australian states (N = 1,622). Demographics, manager support and mental
health measures were assessed. Hierarchical multiple linear regressions were
conducted to determine the explanatory influence of the employee's perception of
the priority management places upon mental health issues (manager psychosocial
safety climate) and managers' observed behaviours (manager behaviour) on employee
common mental disorder and well-being within ambulance personnel. Of the 1,622
participants, 123 (7.6%) were found to be suffering from a likely mental
disorder. Manager psychosocial safety climate accounted for a significant amount
of the variance in levels of employee common mental health disorder symptoms
(13%, p<0.01) and well-being (13%, p<0.01). Manager behaviour had a lesser, but
still statistically significant influence upon symptoms of common mental disorder
(7% of variance, p<0.01) and well-being (10% of variance, p<0.05). The perceived
importance management places on mental health and managers' actual behaviour are
related but distinct concepts, and each appears to impact employee mental health.
While the overall variance explained by each factor was limited, the fact that
each is potentially modifiable makes this finding important and highlights the
significance of organisational and team-level interventions to promote employee
well-being within emergency services and other high-risk occupations.
PMID- 29791511
TI - Caspase-11-dependent pyroptosis of lung epithelial cells protects from
melioidosis while caspase-1 mediates macrophage pyroptosis and production of IL
18.
AB - Infection with Burkholderia pseudomallei or B. thailandensis triggers activation
of the NLRP3 and NLRC4 inflammasomes leading to release of IL-1beta and IL-18 and
death of infected macrophages by pyroptosis, respectively. The non-canonical
inflammasome composed of caspase-11 is also activated by these bacteria and
provides protection through induction of pyroptosis. The recent generation of
bona fide caspase-1-deficient mice allowed us to reexamine in a mouse model of
pneumonic melioidosis the role of caspase-1 independently of caspase-11 (that was
also absent in previously generated Casp1-/- mice). Mice lacking either caspase-1
or caspase-11 were significantly more susceptible than wild type mice to
intranasal infection with B. thailandensis. Absence of caspase-1 completely
abolished production of IL-1beta and IL-18 as well as pyroptosis of infected
macrophages. In contrast, in mice lacking caspase-11 IL-1beta and IL-18 were
produced at normal level and macrophages pyroptosis was only marginally affected.
Adoptive transfer of bone marrow indicated that caspase-11 exerted its protective
action both in myeloid cells and in radio-resistant cell types. B. thailandensis
was shown to readily infect mouse lung epithelial cells triggering pyroptosis in
a caspase-11-dependent way in vitro and in vivo. Importantly, we show that lung
epithelial cells do not express inflammasomes components or caspase-1 suggesting
that this cell type relies exclusively on caspase-11 for undergoing cell death in
response to bacterial infection. Finally, we show that IL-18's protective action
in melioidosis was completely dependent on its ability to induce IFNgamma
production. In turn, protection conferred by IFNgamma against melioidosis was
dependent on generation of ROS through the NADPH oxidase but independent of
induction of caspase-11. Altogether, our results identify two non-redundant
protective roles for caspase-1 and caspase-11 in melioidosis: Caspase-1 primarily
controls pyroptosis of infected macrophages and production of IL-18. In contrast,
caspase-11 mediates pyroptosis of infected lung epithelial cells.
PMID- 29791512
TI - CPR-IR is an insulin resistance index that is minimally affected by hepatic
insulin clearance-A preliminary research.
AB - BACKGROUND: Increased hepatic insulin clearance (HIC) is important in the
pathophysiology of type 2 diabetes mellitus (T2DM). The aim of this study is to
analyze an effective insulin resistance (IR) index that is minimally affected by
HIC. METHODS: Our study involved 20 participants with T2DM and 21 healthy
participants without diabetes (Non-DM). Participants underwent a meal tolerance
test from which plasma glucose, insulin and serum C-peptide immunoreactivity
(CPR) were measured, and HOMA-IR and HIC were calculated. Participants then
underwent a hyperinsulinemic-euglycemic clamp from which the glucose disposal
rate (GDR) was measured. RESULTS: The index CPR-IR = 20/(fasting CPR * fasting
plasma glucose) was correlated more strongly with GDR, than was HOMA-IR, and CPR
IR could be used to estimate GDR. In T2DM participants with HIC below the median,
HOMA-IR and CPR-IR were equally well correlated with GDR. In T2DM with high HIC,
CPR-IR correlated with GDR while HOMA-IR did not. In Non-DM, CPR-IR and HOMA-IR
were equally well correlated with GDR regardless of HIC. The mean HIC value in
T2DM was significantly higher than that of Non-DM. CONCLUSIONS: CPR-IR could be a
simple and effective index of insulin resistance for patients with type 2
diabetes that is minimally affected by HIC.
PMID- 29791515
TI - Comparison of oscillometric, Doppler and invasive blood pressure measurement in
anesthetized goats.
AB - Arterial blood pressure (BP) can be measured directly using an invasive intra
arterial method. This method is considered a gold standard, however it is
potentially hazardous and requires expensive equipment and professional skills.
Therefore, two non-invasive methods-Doppler ultrasonic sphygmomanometry and
oscillometry-have been introduced in veterinary medicine. Their accuracy has so
far been reliably evaluated in various animal species, however only one study
included a small group of goats. Therefore, we carried out a large-scale study
which aimed to evaluate agreement between the two non-invasive methods and
invasive intra-arterial BP measurement in anesthetized goats at various age. The
study included 122 goats of two Polish local breeds (Polish White Improved and
Polish Fawn Improved): 67 adult females, 35 adult males, and 20 two-month-old
female kids. Goats were anesthetized with the intravenous mixture of xylazine and
ketamine. BP was measured simultaneously with the three methods in each goat with
7 measurements on average taken. The study showed that according to the criteria
of the American College of Veterinary Internal Medicine (ACVIM) oscillometric
method yielded BP measurements sufficiently consistent with invasive intra
arterial method in anesthetized adult goats- 95% of oscillometric BP measurements
were expected to differ from invasive BP measurements by at most +/-20-25 mmHg.
The agreement was worse in goat kids-oscillometry significantly overestimated
invasive BP measurements, which resulted in highly asymmetrical 95% limits of
agreement. Doppler systolic BP very poorly conformed to invasive systolic BP both
in adult goats and in kids and all the ACVIM criteria were violated. Concluding,
oscillometry, but not Doppler ultrasonic sphygmomanometry, may be regarded as an
alternative to invasive BP measurement in large-scale scientific studies
involving adult goats, however, individual oscillometric BP measurements should
be treated with caution as estimated 95% limits of agreement were wide.
PMID- 29791513
TI - Vaccine-induced antibodies to herpes simplex virus glycoprotein D epitopes
involved in virus entry and cell-to-cell spread correlate with protection against
genital disease in guinea pigs.
AB - Herpes simplex virus type 2 (HSV-2) glycoprotein D (gD2) subunit antigen is
included in many preclinical candidate vaccines. The rationale for including gD2
is to produce antibodies that block crucial gD2 epitopes involved in virus entry
and cell-to-cell spread. HSV-2 gD2 was the only antigen in the Herpevac Trial for
Women that protected against HSV-1 genital infection but not HSV-2. In that
trial, a correlation was detected between gD2 ELISA titers and protection against
HSV-1, supporting the importance of antibodies. A possible explanation for the
lack of protection against HSV-2 was that HSV-2 neutralization titers were low,
four-fold lower than to HSV-1. Here, we evaluated neutralization titers and
epitope-specific antibody responses to crucial gD2 epitopes involved in virus
entry and cell-to-cell spread as correlates of immune protection against genital
lesions in immunized guinea pigs. We detected a strong correlation between
neutralizing antibodies and protection against genital disease. We used a high
throughput biosensor competition assay to measure epitope-specific responses to
seven crucial gD2 linear and conformational epitopes involved in virus entry and
spread. Some animals produced antibodies to most crucial epitopes while others
produced antibodies to few. The number of epitopes recognized by guinea pig
immune serum correlated with protection against genital lesions. We confirmed the
importance of antibodies to each crucial epitope using monoclonal antibody
passive transfer that improved survival and reduced genital disease in mice after
HSV-2 genital challenge. We re-evaluated our prior study of epitope-specific
antibody responses in women in the Herpevac Trial. Humans produced antibodies
that blocked significantly fewer crucial gD2 epitopes than guinea pigs, and
antibody responses in humans to some linear epitopes were virtually absent.
Neutralizing antibody titers and epitope-specific antibody responses are
important immune parameters to evaluate in future Phase I/II prophylactic human
vaccine trials that contain gD2 antigen.
PMID- 29791514
TI - High prevalence of syndemic health problems in patients seeking post-exposure
prophylaxis for sexual exposures to HIV.
AB - INTRODUCTION: The standard clinical approach to non-occupational HIV post
exposure prophylaxis (nPEP) focuses on biomedical aspects of the intervention,
but may overlook co-occurring or 'syndemic' psychosocial problems that reinforce
future vulnerability to HIV. We therefore sought to determine the prevalence of
syndemic health problems in a cohort of Ontario nPEP patients, and explored the
relationship between syndemic burden and HIV risk. METHODS: Between 07/2013
08/2016, we distributed a self-administered questionnaire to patients presenting
to three clinics in Toronto and Ottawa seeking nPEP for sexual HIV exposures. We
used validated screening tools to estimate the prevalence of depression (CES-D
score >=16), harmful alcohol use (AUDIT >=8), problematic drug use (DUDIT >=6
men/>=2 women), and sexual compulsivity (SCS >=24) among men who have sex with
men (MSM) respondents. In exploratory analyses, we examined the relationships
between syndemic conditions using univariable logistic regression models, and the
relationship between syndemic count (total number of syndemic conditions per
participant) and HIV risk, as estimated by the HIRI-MSM score, using linear
regression models. RESULTS: The 186 MSM included in the analysis had median age
31 (IQR = 26-36), including 87.6% having a college/undergraduate degree or
higher. Overall, 53.8% screened positive for depression, 34.4% for harmful
alcohol use, 30.1% for problematic drug use, and 16.1% for sexual compulsivity.
Most participants (74.2%) had at least one syndemic condition and 46.8% had more
than one. Exploratory analyses suggested positive associations between depression
and harmful alcohol use (OR = 2.11, 95%CI = 1.13, 3.94) and between harmful
alcohol use and problematic drug use (OR = 1.22, 95%CI = 0.65, 2.29). Syndemic
count was associated with increased HIRI-MSM risk scores in univariable (2.2,
95%CI = 1.0, 3.3 per syndemic condition) and multivariable (2.1, 95%CI = 0.6,
3.6) linear regression models. CONCLUSIONS: The prevalence of syndemic conditions
in MSM seeking nPEP for sexual exposure is alarmingly high, and is associated
with underlying HIV risk. Routine screening for these conditions may identify
opportunities for intervention and could alleviate future vulnerability to HIV.
PMID- 29791517
TI - Costs of cold acclimation on survival and reproductive behavior in Drosophila
melanogaster.
AB - Fitness is determined by the ability of an organism to both survive and
reproduce; however, the mechanisms that lead to increased survival may not have
the same effect on reproductive success. We used nineteen natural Drosophila
melanogaster genotypes from the Drosophila Genetic Reference Panel to determine
if adaptive plasticity following short-term acclimation through rapid cold
hardening (RCH) affects mating behavior and mating success. We confirmed that
exposure to the acclimation temperature is beneficial to survival following cold
stress; however, we found that this same acclimation temperature exposure led to
less efficient male courtship and a significant decrease in the likelihood of
mating. Cold tolerance and the capacity to respond plastically to cold stress
were not correlated with mating behavior following acclimation, suggesting that
the genetic control of the physiological effects of the cold temperature exposure
likely differ between survival and behavioral responses. We also tested whether
the exposure of males to the acclimation temperature influenced courtship song.
This exposure again significantly increased courtship duration; however,
courtship song was unchanged. These results illustrate costs of short-term
acclimation on survival and reproductive components of fitness and demonstrate
the pronounced effect that short-term thermal environment shifts can have on
reproductive success.
PMID- 29791516
TI - The relevance of restrained eating behavior for circadian eating patterns in
adolescents.
AB - BACKGROUND: Restrained Eating, i.e. the tendency to restrict dietary intake to
control body-weight, often emerges during adolescence and may result in changes
in circadian eating patterns. OBJECTIVE: The objective of the present
investigation was to determine the cross-sectional relevance of restrained eating
for characteristics of circadian eating pattern in adolescents and whether
changes in restrained eating are accompanied by concurrent changes in circadian
eating pattern over the course of adolescence. METHODS: Two questionnaires
assessing restrained eating (Score 0-30) with parallel 3-day weighed dietary
records from two different time points were available from 209 (?:101, ?:108) 11
18 year old adolescents of the DONALD study. Mixed linear regression models were
used to analyze whether restrained eating was associated with eating occasion
frequency, snack frequency and morning and evening energy intake [in % of daily
energy intake, %E]. Linear regression models were used to examine whether changes
in restrained eating were associated with changes in the mentioned variables.
RESULTS: Among girls, greater restrained eating was cross-sectionally associated
with higher morning energy intake (p = 0.03). Further, there was a tendency
towards lower evening energy intake with higher levels of restrained eating for
the whole sample (p = 0.06). No cross-sectional associations were found with
eating occasion or snack frequency. Each one-point increase in restrained eating
during adolescence was related to a concurrent decrease in eating occasion
frequency by 0.04 (95% CI -0.08; -0.01, p = 0.02) and in evening energy intake by
0.36%E (95% CI -0.70; -0.03, p = 0.04). A tendency towards decreasing snack
frequency with increasing restrained eating was observed (beta = -0.03, 95% CI
0.07; 0.00, p = 0.07). No association was found between changes in restrained
eating and concurrent changes in morning energy intake. CONCLUSION: We found
indications for cross-sectional and prospective associations between restrained
eating and chronobiological aspects of food intake in adolescents. Our results
suggest that restrained eating should be considered a relevant determinant of
circadian eating patterns.
PMID- 29791519
TI - Physical quality of seeds of Moquiniastrum polymorphum.
AB - This study aimed to analyze the physical properties of the seeds of Moquiniastrum
polymorphum when processed by mini-SAS, X-ray and seed blower systems. The seeds
were purchased from Seeds Caicara, located in Penapolis-SP. After purchase, the
seed lot was characterized by standard tests. In the X-ray test the seeds were
classified according to their internal morphology as filled, unfilled or
malformed. The seed blower was set at six opening settings, resulting in light
and heavy fractions. The evaluations were performed by weighing the seeds that
remained in the blower (heavy fraction) and by the amount of filled seeds
indicated by the X-ray test. The germination tests were composed of four
replicates of 50 seeds. The mini-SAS was used for external evaluation. It was
concluded that the combined use of the seed blower and the X-ray test was
efficient for the evaluation and determination of the physical quality of the
seeds of M. polymorphum. The seed blower at opening settings 5 resulted in the
best lot quality. The external parameters were not able to qualitatively evaluate
the seeds of M. polymorphum.
PMID- 29791520
TI - Use of direct oral anticoagulants for chronic thromboembolic pulmonary
hypertension.
AB - OBJECTIVES: Chronic thromboembolic pulmonary hypertension is one of the most
prevalent forms of pulmonary hypertension and is a major complication of acute
pulmonary embolism. One mainstay of chronic thromboembolic pulmonary hypertension
treatment is lifelong anticoagulation. The recent advent of direct oral
anticoagulants for acute pulmonary embolism treatment has provided a viable and
effective alternative for treating this condition. However, little is known about
the efficacy of this new class of drugs for treating chronic thromboembolic
pulmonary hypertension. We aimed to evaluate the safety and efficacy of direct
oral anticoagulants in the treatment of chronic thromboembolic pulmonary
hypertension. METHODS: A cohort of chronic thromboembolic pulmonary hypertension
patients who initiated treatment with direct oral anticoagulants between June
2015 and November 2016 were enrolled in this study. RESULTS: Sixteen patients
used rivaroxaban, three used dabigatran and one used apixaban for a mean follow
up of 20.9 months. The mean age was 51 years, and eighteen patients were
classified as functional class II/III. Eight patients underwent a pulmonary
endarterectomy and exhibited clinical, hemodynamic and functional improvement and
currently continue to use direct oral anticoagulants. No episode of venous
thromboembolism recurrence was identified during the follow-up period, but there
was one episode of major bleeding after a traumatic fall. CONCLUSIONS: Although
direct oral anticoagulants appear to be a safe and effective alternative for
treating chronic thromboembolic pulmonary hypertension, larger studies are needed
to support their routine use.
PMID- 29791518
TI - Multi-Operational Selective Computer-Assisted Targeting of hepatocellular
carcinoma-Evaluation of a novel approach for navigated tumor ablation.
AB - OBJECTIVE: To facilitate precise local ablation of hepatocellular carcinoma (HCC)
in a setting of combined ablation and transarterial chemoembolization (TACE), we
evaluated accuracy and efficiency of a novel technique for navigated positioning
of ablation probes using intrahepatic tumor referencing and electromagnetic (EM)
guidance, in a porcine model. METHODS: An angiographic wire with integrated EM
reference sensor at its tip was inserted via a transarterial femoral access and
positioned in the vicinity of artificial liver tumors. The resulting offset
distance between the tumor center and the intrahepatic endovascular EM reference
was calculated. Subsequently, EM tracked ablation probes were inserted
percutaneously and navigated toward the tumor center, relying on continuous EM
guidance via the intrahepatic reference. Targeting accuracy was assessed as the
Euclidean distance between the tip of the ablation probe and the tumor center
(Target Positioning Error, TPE). Procedural efficiency was assessed as time
efforts for tumor referencing and tumor targeting. RESULTS: In 6 animals, 124
targeting measurements were performed with an offset distance < 30 mm (clinically
most feasible position), resulting in a mean TPE of 2.9 +/- 1.6 mm. No
significant correlation between the TPE and different intrahepatic offset
distances (range 21 to 61 mm, n = 365) was shown as long as the EM reference was
placed within the liver. However, the mean TPE increased when placing the EM
reference externally on the animal skin (p < 0.01). TPE was similar when
targeting under continuous ventilation or in apnea (p = 0.50). Mean time for
tumor referencing and navigated targeting was 6.5 +/- 3.8 minutes and 14 +/- 8
seconds, respectively. CONCLUSION: The proposed technique allows precise and
efficient navigated positioning of ablation probes into liver tumors in the
animal model. We introduce a simple approach suitable for combined ablation and
TACE of HCC in a single treatment session.
PMID- 29791522
TI - Improving the Management of Hereditary Angioedema.
PMID- 29791521
TI - Specific questionnaire detects a high incidence of intra-operative
hypersensitivity reactions.
AB - OBJECTIVE: To assess the incidence of intra-operative immediate hypersensitivity
reactions and anaphylaxis. METHODS: A cross-sectional observational study was
conducted at the Department of Anesthesiology, University of Sao Paulo School of
Medicine, Hospital das Clinicas, Sao Paulo, Brazil, from January to December
2010. We developed a specific questionnaire to be completed by anesthesiologists.
This tool included questions about hypersensitivity reactions during anesthesia
and provided treatments. We included patients with clinical signs compatible with
immediate hypersensitivity reactions. Hhypersensitivity reactions were
categorized according to severity (grades I-V). American Society of
Anesthesiologists physical status classification (ASA 1-6) was analyzed and
associated with the severity of hypersensitivity reactions. RESULTS: In 2010,
21,464 surgeries were performed under general anesthesia. Anesthesiologists
answered questionnaires on 5,414 procedures (25.2%). Sixty cases of intra
operative hypersensitivity reactions were reported. The majority patients (45,
75%) had hypersensitivity reactions grade I reactions (incidence of 27.9:10,000).
Fifteen patients (25%) had grade II, III or IV reactions (intra-operative
anaphylaxis) (incidence of 7:10,000). No patients had grade V reactions. Thirty
patients (50%) were classified as ASA 1. The frequency of cardiovascular shock
was higher in patients classified as ASA 3 than in patients classified as ASA 1
or ASA 2. Epinephrine was administered in 20% of patients with grade III
hypersensitivity reactions and in 50% of patients with grade II hypersensitivity
reactions. CONCLUSIONS: The majority of patients had hypersensitivity reactions
grade I reactions; however, the incidence of intra-operative anaphylaxis was
higher than that previously reported in the literature. Patients with ASA 3 had
more severe anaphylaxis; however, the use of epinephrine was not prescribed in
all of these cases. Allergists and anesthesiologists should implement preventive
measures to reduce the occurrence of anaphylaxis.
PMID- 29791523
TI - einstein (Sao Paulo) an innovative way to publish your research.
PMID- 29791524
TI - All publishers are predatory - some are bigger than others.
PMID- 29791525
TI - 2,3,8-Trisubstituted Quinolines with Antimalarial Activity.
AB - Combination therapy drugs are considered a fundamental way to control malaria as
it mimimizes the risk of emergence of resistance to the individual partner drugs.
Consequently, this type of therapy constitutes a driving force for the discovery
of new drugs with different modes of action, since this will provide options for
combining different drugs to achieve the optimum antimalarial treatment. In this
context, a 2,3,8-trisubstitued quinoline compound was found in a high throughput
screen (HTS) to show an excellent inhibition of P. falciparum NF54 (IC50 = 22 nM)
and low cytotoxicity. We performed a detailed evaluation of the substituents to
improve the metabolic stability and solubility liabilities of the original hit
and identified derivatives with enhanced physicochemical and/or PK properties and
that maintained biological activity. However the high potency was not retained on
testing against drug resistant plasmodium strains.
PMID- 29791526
TI - Geomorphology-based unit hydrograph models for flood risk management: case study
in Brazilian watersheds with contrasting physiographic characteristics.
AB - Heavy rainfall in conjunction with an increase in population and intensification
of agricultural activities have resulted in countless problems related to
flooding in watersheds. Among the techniques available for direct surface runoff
(DSR) modeling and flood risk management are the Unit Hydrograph (UH) and
Instantaneous Unit Hydrograph (IUH). This study focuses on the evaluation of
predictive capability of two conceptual IUH models (Nash and Clark), considering
their original (NIUH and CIUH) and geomorphological approaches (NIUHGEO and
CIUHGEO), and their advantages over two traditional synthetics UH models -
Triangular (TUH) and Dimensionless (DUH), to estimate DSR hydrographs taking as
reference two Brazilian watersheds with contrasting geomorphological and climatic
characteristics. The main results and conclusions were: i) there was an impact of
the differences in physiographical characteristics between watersheds, especially
those parameters associated with soil; the dominant rainfall patterns in each
watershed had an influence on flood modeling; and ii) CIUH was the most
satisfactory model for both watersheds, followed by NIUH, and both models had
substantial superiority over synthetic models traditionally employed; iii)
although geomorphological approaches for IUH had performances slightly better
than TUH and DUH, they should not be considered as standard tools for flood
modeling in these watersheds.
PMID- 29791527
TI - Characteristics of women who do not consult a doctor: a population-based study.
AB - OBJECTIVE To analyze the prevalence of not consulting a doctor within a year.
METHODS Cross-sectional population-based study, including women aged 20-60 years,
living in the urban area of Sao Leopoldo, state of Rio Grande do Sul, in 2015.
The association between variables and outcome was assessed using prevalence
ratios and 95% confidence intervals (95%CI). The adjusted analysis was performed
using Poisson regression with robust variance. RESULTS Among the 1,127 women
participating in the study, 954 (84.6%, 95%CI 82.5-86.7) reported having
consulted a physician in the year prior to the interview, 173 (15.4%, 95%CI 13.2
17.5) did not. Women belonging to lower income classes D and E, younger, and
smokers had higher prevalences of no medical visits. The participants with
hypertension had a higher prevalence of consultations. CONCLUSIONS There was no
expected evolution in the local health system, despite the emergence of the
policies implemented in this period. It is necessary to provide care for those in
less favored socioeconomic conditions and for younger women.
PMID- 29791528
TI - Offer of primary care services and detection of tuberculosis incidence in Brazil.
AB - OBJECTIVE To evaluate the association between the health services offered by
primary care teams and the detection of new tuberculosis cases in Brazil. METHODS
This was an ecological study covering all Brazilian municipalities that
registered at least one new tuberculosis case (diagnosed between 2012 to 2014 and
notified in the Information System of Notifiable Diseases) and with at least one
primary care team evaluated by the second cycle of the National Program for
Improving Access and Quality of Primary Care (PMAQ-AB). The variables of the PMAQ
AB were classified as proximal or distal, according to their relation with the
tuberculosis diagnosis. Then, they were tested hierarchically in multiple models
(adjusted by States) using negative binomial regression. RESULTS An increase of
10% in the primary health care coverage was associated with a decrease of 2.24%
in the tuberculosis detection rate (95%CI -3.35- -1.11). Regarding the proximal
variables in relation to diagnosis, in the multiple model, the detection of
tuberculosis was associated with the proportion of teams that conduct contact
investigation (increase in Incidence Rate Ratio [IRR] = 2.97%, 95%CI 2.41-3.53),
carry out tuberculosis active case finding (increase in IRR = 2.17%, 95%CI 1.48
2.87), and request culture for mycobacteria (increase in IRR = 1.87%, 95%CI 0.98
2.76). CONCLUSIONS The variables related to the search actions were positively
associated with the detection of new tuberculosis cases, which suggests a
significant contribution to the strengthening of the sensitivity of the
surveillance system. On the other hand, primary care coverage was inversely
associated with the tuberculosis detection rate, which could represent the
overall effect of the primary care on transmission control, probably from the
identification and early treatment of cases.
PMID- 29791529
TI - Prevalence and correlates of insufficient physical activity in school adolescents
in Peru.
AB - OBJECTIVE To assess the prevalence and correlates of insufficient physical
activity in adolescents in Peru. METHODS We used a self-administered
questionnaire developed from Global school-based Student Health Survey to collect
information from secondary school students in North Lima and Callao in 2015. We
carried out Poisson regression with robust variance using generalized linear
models to estimate the crude and adjusted prevalence ratios (APR) with 95%
confidence intervals (95%CI) of insufficient physical activity for its
correlates. RESULTS We have found that 78% of the adolescents did not meet the
global recommendation of the World Health Organization on physical activity in
the last week before the survey. Female respondents (APR = 1.13, 95%CI 1.04
1.21), respondents who perceived themselves as overweight (APR = 1.10, 95%CI 1.03
1.18), and respondents who consumed insufficient vegetables and fruits [no
vegetables (APR = 1.30, 95%CI 1.06-1.59), no fruits (APR = 1.15, 95%CI 1.00-1.31)
as compared to those who consumed >= 2 servings every day in the last seven days]
were more likely to report insufficient physical activity. Adolescents who worked
after school (APR = 0.92, 95%CI 0.84-0.99), had physical education classes five
times per week (APR = 0.94, 95%CI 0.88-0.99), and had parental supervision (APR =
0.92, 95%CI 0.87-0.98) were less likely to report insufficient physical activity.
CONCLUSIONS Sex, work after school, perceived body weight, physical education
class, parental support, and healthy dietary behaviors were associated with
insufficient physical activity. Attempts to improve physical activity should look
for ways to enhance leisure-time physical activity, parental support, physical
education classes, healthy dietary behaviors, and normal body weight maintenance
in adolescents with integrated efforts from the family and school.
PMID- 29791530
TI - Consumption of vegetables and their relation with ultra-processed foods in
Brazil.
AB - OBJECTIVE To characterize the household purchase and the individual consumption
of vegetables in Brazil and to analyze their relation with the consumption of
ultra-processed foods. METHODS We have used data on the purchase of food for
household consumption and individual consumption from the 2008-2009 Brazilian
Household Budget Survey. The Brazilian Household Budget Survey studied the
purchase of food of 55,970 households and the food consumption of 34,003
individuals aged 10 years and over. The foods of interest in this study were
vegetables (excluding roots and tubers) and ultra-processed foods. We have
described the amount of vegetables (grams) purchased and consumed by all
Brazilians and according to the quintiles of caloric intake of ultra-processed
food. To this end, we have calculated the crude and predicted values obtained by
regression models adjusted for sociodemographic variables. We have analyzed the
most commonly purchased types of vegetables (% in the total amount) and, in
relation to individual food consumption, the variety of vegetables consumed
(absolute number), the participation (%) of the types of culinary preparations
based on vegetables, and the time of consumption. RESULTS The adjusted mean
household purchase of vegetables was 42.9 g/per capita/day. The adjusted mean
individual consumption was 46.1 g. There was an inverse relation between
household purchase and individual consumption of vegetables and ultra-processed
foods. Ten types of vegetables account for more than 80% of the total amount
usually purchased. The variety consumed was, on average, 1.08 type/per
capita/day. Approximately 60% of the vegetables were eaten raw, and the amount
consumed at lunch was twice that consumed at dinner; individuals with higher
consumption of ultra-processed foods tended to consume even less vegetables at
dinner. CONCLUSIONS The consumption of vegetables in Brazil is insufficient, and
this is worse among individuals with higher consumption of ultra-processed foods.
The most frequent habit was to consume raw vegetables at lunch and with limited
variety.
PMID- 29791532
TI - Meanings of HIV/AIDS prevention and sexuality for young Catholics.
AB - OBJECTIVE To analyze the the social representations of young Catholics about
HIV/AIDS prevention and sexuality. METHOD Mixed study, based on the theory of
social representations, held in 2015 with 84 young Catholics participating in the
group "World Youth Day" on Facebook. The data were collected through the
technique of free association of words and in-depth interview. For the
information analysis, the software Tri-Deux-Mots and Alceste were used. RESULTS
Sexuality is processed in the representational field of young people as being
associated with the sexual practice. In turn, the prevention of HIV, a phenomenon
represented as intrinsic to sexuality, occurs through the use of condoms or
fidelity within marriage. CONCLUSION Young Catholics represent the prevention of
HIV/AIDS as a transverse phenomenon to sexuality, whose sexual practices are
anchored both in hegemonic and progressive discourses.
PMID- 29791533
TI - Complementary therapies as resources for mental health in Primary Health Care.
AB - OBJECTIVE To verify the knowledge of Primary Care professionals about Integrative
and Complementary Practices (PIC - "Praticas Integrativas e Complementares") and
if they perceive these Practices as a care resource in Mental Health. METHOD
Quantitative study carried out with 70 professionals from a Basic Unit of Health
in the city of Sao Paulo between May and June of 2016. The data were collected
through a questionnaire elaborated by the researchers. For statistical analysis,
the frequency distribution of the variables and the Fisher test were considered.
RESULTS The professionals said that they were aware of some PIC (73.9%), that
users of the service with Mental Health issues would benefit from them (94.2%),
that they would like to receive training (91.3%), and that they consider the
practices a possible resource for care in Mental Health (92.8%). CONCLUSION The
professionals' knowledge needs to be deepened. Still, they consider PIC as a
resource for Mental Health in Primary Care.
PMID- 29791534
TI - Biosafety in beauty centers: knowledge and practices in a state capital in
Northeast Brazil.
AB - OBJECTIVE To evaluate the knowledge and biosafety practices adopted by
professionals of the beauty segment. METHODS Descriptive study, of survey type.
238 professionals of the beauty segment were interviewed between August 2014 and
2015. The variables were expressed by means of absolute and relative frequencies,
as well as average and standard deviation. RESULTS 62.6% of the interviwed
professionals reported having had contact with blood from customers when they
were not wearing gloves; 74.4% said they washed their hands before and after each
service, and only 16.8% of the respondents reported reusing nonsterilizable
materials. None of them was able to inform the correct number of sets of tools
needed , and 32.8% of the respondents did not use Personal Protective Equipment
during their work activities. CONCLUSIONS The most frequently reported diseases
associated with the risk of infection and transmission in the work activities
were viral hepatitis, HIV and fungi. Regarding the biosafety procedures adopted,
autoclave is the least used method for sterilizing devices.
PMID- 29791531
TI - Educational interventions in health services and oral health: systematic review.
AB - OBJECTIVE To analyze the effectiveness of educational interventions performed in
health services in the improvement of clinical behaviors and outcomes in oral
health. METHODS We have carried out a systematic review of the literature
searching the PubMed, Lilacs, and SciELO databases. We have included studies that
have investigated interventions performed by health professionals working in
health services and who have used educational actions as main approach to improve
behavioral and clinical outcomes in oral health. RESULTS The search amounted to
832 articles and 14 of them met all the inclusion criteria. Five studies have
only exclusively evaluated the effectiveness of interventions on caries
reduction, three have exceptionally evaluated oral health behaviors, and the
other articles have evaluated the effectiveness of interventions for both
clinical outcomes (dental caries and periodontal conditions) and behaviors in
oral health. Most of the studies (n = 9) were based on randomized controlled
trials; the other ones have evaluated before and after the intervention. Five
studies have reported a significant reduction of dental caries, and five of the
six studies evaluating behavioral outcomes have found some positive change.
CONCLUSIONS Most studies evaluating behavioral and periodontal outcomes have
shown significant improvements in favor of interventions. All studies evaluating
caries have shown a reduction in new lesions or cases of the disease in the
groups receiving the interventions, although only five of the eleven articles
have found a statistically significant difference. Educational interventions
carried out by health professionals in the context of their practice have the
potential to promote oral health in the population.
PMID- 29791535
TI - Safe patient care in the preparation and administration of medicines.
AB - OBJECTIVE To evaluate the compliance with the assistance and the adhesion of
nursing professionals for the safe administration of drugs in an Intensive Care
Unit of a public hospital in Sergipe, Brazil. METHOD Quantitative, descriptive
and cross-sectional study carried out by direct non-participant observation. Data
collection performed in 2015. Non-probabilistic sample, for convenience,
consisting in the observation of 557 doses of prepared and administered drugs.
For data analysis, descriptive statistics were used for data analysis. RESULTS
The items classified as safe care were: correct via (85.7%) and correct form
(100%). The items classified as undesirable care were: correct patient (33.3%),
correct medication (66.67%), correct dose (50%), correct register (33.33%),
correct orientation (0%), and correct time (50%). CONCLUSION The practice was
evaluated according to Carte's positivity index as undesirable care, considering
that six of the eight items had low adhesion. The found weaknesses compromised
the whole process of drug administration.
PMID- 29791536
TI - The parental care partnership in the view of parents of children with special
health needs.
AB - OBJECTIVE To understand how the care partnership was experienced by parents of
children with special healthcare needs. METHOD Qualitative, descriptive and
exploratory study, carried out from December 2013 to February 2014. The method
used was the narrative. The population corresponded to parents of children
admitted to a medical service of a Pediatric Hospital in Portugal. The sample
consisted of 10 parents. The data collection included the sociodemographic
characterization and the etnobiografic orientation interview. Once transcribed,
the interviews yielded 10 narratives of partnership experiences between the
parents and the nursing team. RESULTS It was noted, as partnership opportunities,
the training of parents and the collaborative decision-making, established in a
dynamic, unique and ongoing relational process. CONCLUSION The opportunities for
partnership are key assumptions for the care delivery focusing on the child and
on the parents as their resources.
PMID- 29791537
TI - The family of the child with cancer: socioeconomic needs.
AB - OBJECTIVE To identify the socioeconomic variables which influence the families of
the child with cancer. METHODS Quantitative, descriptive, correlational research
with 128 families of children with cancer. Three instruments were used:
"Questionnaire assessing the impact on the family of children with cancer",
"Social Support Satisfaction Scale", and "Graffar Scale". RESULTS Families report
increased economic spending due to the disease, with the displacements to
hospital and medication. The loss of income by one of the parents also
exacerbates the economic impact of the disease. Families with greater support
needs and lower social support present greater economic impact. CONCLUSION The
social support assumes an important role in the decrease of the economic needs
incited by the disease. Nurses must identify the economic needs of the families
and become part of the support network of them, being a source of support so they
can strengthen themselves in caring.
PMID- 29791538
TI - Complications in pregnancy in women aged 35 or older.
AB - OBJECTIVE To verify the frequency and factors associated with complications
during pregnancy and the association between complications with premature birth
and type of delivery in pregnant women aged 35 years or older. METHODS This is a
cross-sectional study based on the records of pregnant women admitted between
January and July 2012, totalling 430 pregnant women. To identify possible factors
associated with complications during pregnancy, data were subjected to univariate
analysis using the Poisson regression model. The chi-squared test was used to
study the association of complications with premature birth ad type of delivery.
RESULTS Complications occurred in 77.7% of the cases. Complications in pregnancy
as an explanatory variable for premature birth (p < 0.001) and C-section (p =
0.002) was statistically significant. CONCLUSION The factors younger age, the
absence of prenatal care, and the non-occurrence of morbidity prior to gestation
were associated with complications in pregnancy.
PMID- 29791539
TI - Therapeutic communication in the interaction between health workers and
hypertensive patients in the family health strategy.
AB - OBJECTIVE To analyze the therapeutic communication in the interaction between
health professionals and hypertensive patients in the Family Health Strategy.
METHODS Descriptive study with qualitative approach. The sample consisted of 14
hypertensive patients and two health professionals of the Family Health Strategy
(ESF - "Estrategia Saude Familia") in a city of the state of Ceara, Brazil, in
2016. In the data collection, a checklist was used for non-participant systematic
observation containing the strategies of therapeutic communication, namely:
expression, clarity, validation, and a field diary, being these subjected to
content analysis. RESULTS It was noted that ESF professionals do not adequately
use therapeutic communication, indicating the need of investment in this device,
which acts as a bridge for users, enhances care practices and opens paths that
instrumentalize interpersonal relationships. CONCLUSIONS It was realized that
health professionals are not fully exploring therapeutic communication
strategies, therefore being necessary to develop skills to use these techniques
correctly when caring for hypertensive patients.
PMID- 29791540
TI - Strengthening the leadership of the Commission on Education-Service Integration
for permanent health education.
AB - OBJECTIVE To know the performance of universities in the Permanent Commission of
Education-Service Integration in the West Region of Santa Catarina, with a view
to strengthening its role in the development of continuing education initiatives
in health. METHODOLOGY Convergent Care Research developed in the first half of
2014, involving interviews with university professors, workshops with the
Technical Board of the Permanent Commission of Education-Service Integration and
participation in meeting with representatives of the Region. RESULTS Three
categories were identified concerning the role of universities in the development
of health education actions, as well as the role of the Commission as a
favourable space to the articulation of these institutions. FINAL THOUGHTS
Universities play a key role in the development of permanent education actions in
health, considering the reality of the territory and services, as well as demands
and potential of the actors involved, which favours the teaching-service
integration in the Region.
PMID- 29791541
TI - Maintenance of tobacco withdrawal by former smokers: a phenomenological study.
AB - OBJECTIVE To understand the experience of former smokers maintaining tobacco
abstinence. METHODS Qualitative research using the theoretical-methodological
reference of the Social Phenomenology, as defined by Alfred Schutz. The
phenomenological interview was carried out in 2016 with 12 former smokers from a
city in the state of Minas Gerais, Brazil. The statements were organized into
categories and discussed based on the literature. RESULTS The maintenance of
tobacco abstinence was revealed in the categories: "Health, sociability, and
financial gains"; "Living with the urge to smoke and with the increase of body
weight"; "Self-determination and use of replacement strategies"; and "Staying
away from cigarettes." CONCLUSIONS These results alert health professionals to
the need to provide support to former smokers so they can remain smoke-free. This
implies considering not only the negative effects of the tobacco abstinence, but
also the social context in which former smokers are inserted that might have an
impact on the maintenance or not of the cigarette withdrawal.
PMID- 29791543
TI - Rapidly growing pulmonary ground-glass nodule caused by metastatic melanoma
lacking uptake on 18F-FDG PET-CT.
PMID- 29791542
TI - Impact of smoking on sputum culture conversion and pulmonary tuberculosis
treatment outcomes in Brazil: a retrospective cohort study.
AB - OBJECTIVE: To evaluate the impact of smoking on pulmonary tuberculosis (PTB)
treatment outcomes and the two-month conversion rates for Mycobacterium
tuberculosis sputum cultures among patients with culture-confirmed PTB in an area
with a moderate incidence of tuberculosis in Brazil. METHODS: This was a
retrospective cohort study of PTB patients diagnosed and treated at the Thoracic
Diseases Institute of the Federal University of Rio de Janeiro between 2004 and
2012. RESULTS: Of the 298 patients diagnosed with PTB during the study period,
174 were included in the outcome analysis: 97 (55.7%) were never-smokers, 31
(17.8%) were former smokers, and 46 (26.5%) were current smokers. Smoking was
associated with a delay in sputum culture conversion at the end of the second
month of TB treatment (relative risk = 3.58 &091;95% CI: 1.3-9.86&093;; p =
0.01), as well as with poor treatment outcomes (relative risk = 6.29 &091;95% CI:
1.57-25.21&093;; p = 0.009). The association between smoking and a positive
culture in the second month of treatment was statistically significant among the
current smokers (p = 0.027). CONCLUSIONS: In our sample, the probability of a
delay in sputum culture conversion was higher in current smokers than in never
smokers, as was the probability of a poor treatment outcome.
PMID- 29791544
TI - Tuberculosis: where are we?
PMID- 29791545
TI - Knowledge and perceptions of tuberculosis transmission and prevention among
physicians and nurses in three Brazilian capitals with high incidence of
tuberculosis.
PMID- 29791546
TI - Rapid molecular test for tuberculosis: impact of its routine use at a referral
hospital.
AB - OBJECTIVE: To evaluate the impact of the use of the molecular test for
Mycobacterium tuberculosis and its resistance to rifampin (Xpert MTB/RIF), under
routine conditions, at a referral hospital in the Brazilian state of Bahia.
METHODS: This was a descriptive study using the database of the Mycobacteriology
Laboratory of the Octavio Mangabeira Specialized Hospital, in the city of
Salvador, and georeferencing software. We evaluated 3,877 sputum samples
collected from symptomatic respiratory patients, under routine conditions,
between June of 2014 and March of 2015. All of the samples were submitted to
sputum smear microscopy and the Xpert MTB/RIF test. Patients were stratified by
gender, age, and geolocation. RESULTS: Among the 3,877 sputum samples evaluated,
the Xpert MTB/RIF test detected M. tuberculosis in 678 (17.5%), of which 60
(8.8%) showed resistance to rifampin. The Xpert MTB/RIF test detected M.
tuberculosis in 254 patients who tested negative for sputum smear microscopy,
thus increasing the diagnostic power by 59.9%. CONCLUSIONS: The use of the Xpert
MTB/RIF test, under routine conditions, significantly increased the detection of
cases of tuberculosis among sputum smear-negative patients.
PMID- 29791548
TI - The role of the Brazilian Tuberculosis Research Network in national and
international efforts to eliminate tuberculosis.
PMID- 29791547
TI - Predictors of mortality among intensive care unit patients coinfected with
tuberculosis and HIV.
AB - OBJECTIVE: To identify factors predictive of mortality in patients admitted to
the ICU with tuberculosis (TB)/HIV coinfection in the Manaus, Amazon Region.
METHODS: This was a retrospective cohort study of TB/HIV coinfected patients over
18 years of age who were admitted to an ICU in the city of Manaus, Brazil,
between January of 2011 and December of 2014. Sociodemographic, clinical, and
laboratory variables were assessed. To identify factors predictive of mortality,
we employed a Cox proportional hazards model. RESULTS: During the study period,
120 patients with TB/HIV coinfection were admitted to the ICU. The mean age was
37.0 +/- 11.7 years. Of the 120 patients evaluated, 94 (78.3%) died and 62
(66.0%) of those deaths having occurred within the first week after admission.
Data on invasive mechanical ventilation (IMV) and ARDS were available for 86 and
67 patients, respectively Of those 86, 75 (87.2%) underwent IMV, and, of those
67, 48 (71.6%) presented with ARDS. The factors found to be independently
associated with mortality were IMV (p = 0.002), hypoalbuminemia (p = 0.013), and
CD4 count < 200 cells/mm3 (p = 0.002). CONCLUSIONS: A high early mortality rate
was observed among TB/HIV coinfected ICU patients. The factors predictive of
mortality in this population were IMV, hypoalbuminemia, and severe
immunosuppression.
PMID- 29791549
TI - Nontuberculous mycobacterial lung disease in a high tuberculosis incidence
setting in Brazil.
AB - OBJECTIVE: The incidence of lung disease caused by nontuberculous mycobacteria
(NTM) has been increasing worldwide. In Brazil, there are few studies about
nontuberculous mycobacterial lung disease (NTMLD), and its prevalence is yet to
be known. Our objective was to determine the specific etiology of the disease in
the state of Rio Grande do Sul, Brazil, as well as the frequency and diversity of
NTM species in our sample of patients. METHODS: This is a retrospective analysis
of the medical records of patients diagnosed with NTMLD treated in a referral
center located in the city of Porto Alegre, Brazil, between 2003 and 2013.
RESULTS: Our sample comprised 100 patients. The most prevalent NTM species were
Mycobacterium avium complex (MAC), in 35% of the cases; M. kansasii, in 17%; and
M. abscessus, in 12%. A total of 85 patients had received previous treatment for
tuberculosis. Associated conditions included structural abnormalities in the
lungs, such as bronchiectasis, in 23% of the cases; COPD, in 17%; and
immunosuppressive conditions, such as AIDS, in 24%. CONCLUSIONS: MAC and M.
kansasii were the most prevalent species involved in NTMLD in the state,
similarly to what occurs in other regions of Brazil. Data on regional
epidemiology of NTMLD, its specific etiology, and associated conditions are
essential to establish appropriate treatment, since each species requires
specific regimens. Most patients with NTMLD had received previous tuberculosis
treatment, which might lead to development of resistance and late diagnosis.
PMID- 29791550
TI - Inclusion and exclusion criteria in research studies: definitions and why they
matter.
PMID- 29791551
TI - Eliminating tuberculosis in Latin America: making it the point.
PMID- 29791553
TI - Epidemiological aspects, clinical manifestations, and prevention of pediatric
tuberculosis from the perspective of the End TB Strategy.
AB - Tuberculosis continues to be a public health priority in many countries. In 2015,
tuberculosis killed 1.4 million people, including 210,000 children. Despite the
recent progress made in the control of tuberculosis in Brazil, it is still one of
the countries with the highest tuberculosis burdens. In 2015, there were 69,000
reported cases of tuberculosis in Brazil and tuberculosis was the cause of 4,500
deaths in the country. In 2014, the World Health Organization approved the End TB
Strategy, which set a target date of 2035 for meeting its goals of reducing the
tuberculosis incidence by 90% and reducing the number of tuberculosis deaths by
95%. However, to achieve those goals in Brazil, there is a need for collaboration
among the various sectors involved in tuberculosis control and for the
prioritization of activities, including control measures targeting the most
vulnerable populations. Children are highly vulnerable to tuberculosis, and there
are particularities specific to pediatric patients regarding tuberculosis
development (rapid progression from infection to active disease), prevention (low
effectiveness of vaccination against the pulmonary forms and limited availability
of preventive treatment of latent tuberculosis infection), diagnosis (a low rate
of bacteriologically confirmed diagnosis), and treatment (poor availability of
child-friendly anti-tuberculosis drugs). In this review, we discuss the
epidemiology, clinical manifestations, and prevention of tuberculosis in
childhood and adolescence, highlighting the peculiarities of active and latent
tuberculosis in those age groups, in order to prompt reflection on new approaches
to the management of pediatric tuberculosis within the framework of the End TB
Strategy.
PMID- 29791554
TI - Chest X-ray and chest CT findings in patients diagnosed with pulmonary
tuberculosis following solid organ transplantation: a systematic review.
AB - The objective of this systematic review was to select articles including chest X
ray or chest CT findings in patients who developed pulmonary tuberculosis
following solid organ transplantation (lung, kidney, or liver). The following
search terms were used: "tuberculosis"; "transplants"; "transplantation";
"mycobacterium"; and "lung". The databases used in this review were PubMed and
the Brazilian Biblioteca Virtual em Saude (Virtual Health Library). We selected
articles in English, Portuguese, or Spanish, regardless of the year of
publication, that met the selection criteria in their title, abstract, or body of
text. Articles with no data on chest CT or chest X-ray findings were excluded, as
were those not related to solid organ transplantation or pulmonary tuberculosis.
We selected 29 articles involving a collective total of 219 patients. The largest
samples were in studies conducted in Brazil and South Korea (78 and 35 patients,
respectively). The imaging findings were subdivided into five common patterns.
The imaging findings varied depending on the transplanted organ in these
patients. In liver and lung transplant recipients, the most common pattern was
the classic one for pulmonary tuberculosis (cavitation and "tree-in-bud"
nodules), which is similar to the findings for pulmonary tuberculosis in the
general population. The proportion of cases showing a miliary pattern and lymph
node enlargement, which is most similar to the pattern seen in patients
coinfected with tuberculosis and HIV, was highest among the kidney transplant
recipients. Further studies evaluating clinical data, such as immunosuppression
regimens, are needed in order to improve understanding of the distribution of
these imaging patterns in this population.
PMID- 29791552
TI - Risk factors for tuberculosis: diabetes, smoking, alcohol use, and the use of
other drugs.
AB - Tuberculosis continues to be a major public health problem. Although efforts to
control the epidemic have reduced mortality and incidence, there are several
predisposing factors that should be modified in order to reduce the burden of the
disease. This review article will address some of the risk factors associated
with tuberculosis infection and active tuberculosis, including diabetes, smoking,
alcohol use, and the use of other drugs, all of which can also contribute to poor
tuberculosis treatment results. Tuberculosis can also lead to complications in
the course and management of other diseases, such as diabetes. It is therefore
important to identify these comorbidities in tuberculosis patients in order to
ensure adequate management of both conditions.
PMID- 29791555
TI - Who are the patients with tuberculosis who are diagnosed in emergency facilities?
An analysis of treatment outcomes in the state of Sao Paulo, Brazil.
AB - OBJECTIVE: Early tuberculosis diagnosis and treatment are determinants of better
outcomes and effective disease control. Although tuberculosis should ideally be
managed in a primary care setting, a proportion of patients are diagnosed in
emergency facilities (EFs). We sought to describe patient characteristics by
place of tuberculosis diagnosis and determine whether the place of diagnosis is
associated with treatment outcomes. A secondary objective was to determine
whether municipal indicators are associated with the probability of tuberculosis
diagnosis in EFs. METHODS: We analyzed data from the Sao Paulo State Tuberculosis
Control Program database for the period between January of 2010 and December of
2013. Newly diagnosed patients over 15 years of age with pulmonary,
extrapulmonary, or disseminated tuberculosis were included in the study. Multiple
logistic regression models adjusted for potential confounders were used in order
to evaluate the association between place of diagnosis and treatment outcomes.
RESULTS: Of a total of 50,295 patients, 12,696 (25%) were found to have been
diagnosed in EFs. In comparison with the patients who had been diagnosed in an
outpatient setting, those who had been diagnosed in EFs were younger and more
socially vulnerable. Patients diagnosed in EFs were more likely to have
unsuccessful treatment outcomes (adjusted OR: 1.54; 95% CI: 1.42-1.66), including
loss to follow-up and death. At the municipal level, the probability of
tuberculosis diagnosis in EFs was associated with low primary care coverage,
inequality, and social vulnerability. In some municipalities, more than 50% of
the tuberculosis cases were diagnosed in EFs. CONCLUSIONS: In the state of Sao
Paulo, one in every four tuberculosis patients is diagnosed in EFs, a diagnosis
of tuberculosis in EFs being associated with poor treatment outcomes. At the
municipal level, an EF diagnosis of tuberculosis is associated with structural
and socioeconomic indicators, indicating areas for improvement.
PMID- 29791556
TI - Clinical aspects in patients with pulmonary infection caused by mycobacteria of
the Mycobacterium abscessus complex, in the Brazilian Amazon.
AB - OBJECTIVE: To describe the clinical manifestations of patients with pulmonary
infection caused by mycobacteria of the Mycobacterium abscessus complex (MABSC),
and to compare these manifestations with those of patients infected with other
nontuberculous mycobacteria (NTM). METHODS: This was a retrospective cohort study
involving 43 patients divided into two groups: the MABSC group, consisting of
patients with pulmonary infection caused by MABSC (n = 17); and the NTM group,
consisting of patients with pulmonary infection caused by NTM other than MABSC (n
= 26). Patients were previously treated with a regimen of rifampin, isoniazid,
pyrazinamide, and ethambutol before the diagnosis of NTM was confirmed by two
culture-positive sputum samples. The nucleotide sequences of the hsp65, 16S rRNA,
and/or rpoB genes were analyzed to identify the mycobacteria. Data were collected
on demographic, clinical, and radiological characteristics, as well as on
treatment responses and outcomes. RESULTS: Loss of appetite was the only clinical
manifestation that was significantly more common in the MABSC group than in the
NTM group (p = 0.0306). The chance of having to use a second treatment regimen
was almost 12 times higher in the MABSC group than in the NTM group. Treatment
success was significantly higher in the NTM group than in the MABSC group (83.2%
vs. 17.6%; p < 0.0001). The chance of recurrence was approximately 37 times
higher in the MABSC group than in the NTM group. CONCLUSIONS: In the study
sample, treatment response of pulmonary disease caused by MABSC was less
favorable than that of pulmonary disease caused by other NTM.
PMID- 29791558
TI - Giant pulmonary artery aneurysm in a patient with schistosomiasis-associated
pulmonary arterial hypertension.
PMID- 29791557
TI - New and repurposed drugs to treat multidrug- and extensively drug-resistant
tuberculosis.
AB - Multidrug-resistant and extensively drug-resistant tuberculosis (MDR-TB and XDR
TB, respectively) continue to represent a challenge for clinicians and public
health authorities. Unfortunately, although there have been encouraging reports
of higher success rates, the overall rate of favorable outcomes of M/XDR-TB
treatment is only 54%, or much lower when the spectrum of drug resistance is
beyond that of XDR-TB. Treating M/XDR-TB continues to be a difficult task,
because of the high incidence of adverse events, the long duration of treatment,
the high cost of the regimens used, and the drain on health care resources.
Various trials and studies have recently been undertaken (some already published
and others ongoing), all aimed at improving outcomes of M/XDR-TB treatment by
changing the overall approach, shortening treatment duration, and developing a
universal regimen. The objective of this review was to summarize what has been
achieved to date, as far as new and repurposed drugs are concerned, with a
special focus on delamanid, bedaquiline, pretomanid, clofazimine, carbapenems,
and linezolid. After more than 40 years of neglect, greater attention has
recently been paid to the need for new drugs to fight the "white plague", and
promising results are being reported.
PMID- 29791559
TI - Sequential analysis as a tool for detection of amikacin ototoxicity in the
treatment of multidrug-resistant tuberculosis.
AB - OBJECTIVE: To investigate early detection of amikacin-induced ototoxicity in a
population treated for multidrug-resistant tuberculosis (MDR-TB), by means of
three different tests: pure-tone audiometry (PTA); high-frequency audiometry
(HFA); and distortion-product otoacoustic emission (DPOAE) testing. METHODS: This
was a longitudinal prospective cohort study involving patients aged 18-69 years
with a diagnosis of MDR-TB who had to receive amikacin for six months as part of
their antituberculosis drug regimen for the first time. Hearing was assessed
before treatment initiation and at two and six months after treatment initiation.
Sequential statistics were used to analyze the results. RESULTS: We included 61
patients, but the final population consisted of 10 patients (7 men and 3 women)
because of sequential analysis. Comparison of the test results obtained at two
and six months after treatment initiation with those obtained at baseline
revealed that HFA at two months and PTA at six months detected hearing threshold
shifts consistent with ototoxicity. However, DPOAE testing did not detect such
shifts. CONCLUSIONS: The statistical method used in this study makes it possible
to conclude that, over the six-month period, amikacin-associated hearing
threshold shifts were detected by HFA and PTA, and that DPOAE testing was not
efficient in detecting such shifts.
PMID- 29791560
TI - Tuberculosis series.
PMID- 29791561
TI - Lymph node calcifications.
PMID- 29791562
TI - Critical analyses when modeling tree biomass to ensure additivity of its
components.
AB - It is presented the theme additivity of biomass of tree components. To evaluate
and discuss this context, experimental information collected in forests of Acacia
mearnsii De Wild. was used. Equations for components (stem and crown) and total
biomass were fitted by means of two procedures: 1) generalized nonlinear least
squares and 2) weighted-nonlinear seemingly unrelated regressions. Analyzing the
performance of the estimators, it can be concluded that the two tested procedures
are equivalent. On the other hand, this conclusion differs when evaluated the
consistency and efficiency of the estimators. Fitting equations for the
components and for the total biomass by an independent way is not realistic,
because from a biological point of view the estimates of biomass are
inconsistent, i.e., are not additive. The biomass estimates of the components and
of the total, resulting from equations adjusted by means of systems of equations,
provided narrower confidence intervals in relation to the equations adjusted
independently, and is therefore more efficient. The second procedure presents
better biological properties and statistics to estimate allometric equations for
biomass of the components and for the total when compared with the independent
estimation, thus it should be the method to be used.
PMID- 29791563
TI - Insects galls of Pantanal areas in the State of Mato Grosso do Sul, Brazil:
characterization and occurrence.
AB - Two Pantanal areas were investigated in Corumba, Mato Grosso do Sul. The field
works were carried out in five trails, in August and December/2011 and
April/2012. Seventy-six gall morphotypes were found in 52 host plants, 22 of them
were identified at species level and 30 at genus. Fabaceae, Myrtaceae and
Sapindaceae were the plant families with the greatest richness of gall. Leaves
were the plant organ with the greatest gall richness. Six gall shapes were found
globoid, lenticular, fusiform, cylindrical, conical, and marginal roll. The
three first were the most common. Five colors were observed - green, brown, red,
yellow, and black. Green and brown predominated. Most were glabrous and one
chambered. These features have been indicated in several Brazilian biomes.
Diptera (Cecidomyiidae) were the most frequent gallers. The associated fauna
comprised parasitoids (Eulophidae, Braconidae, Eupelmidae, Eurytomidae,
Platygastridae, and Pteromalidae), inquilines (Cecidomyiidae: Trotteria Kieffer,
1902, Hymenoptera: Tanaostigmoides Ashmead, 1896, Hemiptera, Lepidoptera and
Thysanoptera) and predators (Pseudoscorpionida: Olpiolum medium Beier, 1931,
Cecidomyiidae: Lestodiplosini and Hymenoptera: Formicidae). Parasitoids were the
most frequent. Multiparatism was observed. Our data represent the first
contribution to the knowledge of the associated fauna in the Pantanal.
PMID- 29791564
TI - Morphology and morphometry of upland lakes over lateritic crust, Serra dos
Carajas, southeastern Amazon region.
AB - High-resolution satellite images, digital elevation models, bathymetric and
sedimentological surveys coupled with statistical analysis were used to
understand the physical environment and discuss their influence on water quality
of the five upland lakes of Serra Sul dos Carajas, southeast Amazonia. The lakes
have mid-altitude ranges (elevation), very small (catchment) and shallow to very
shallow (central basins). Based on the length, area and volume, Violao and TI
(Tres Irmas)-3 lakes may present large vertical movements of the water due to
wind action and weakly stratified waters. Trophic conditions based on depth and
shore development (Ld) parameters must be used with caution, since Amendoim Lake
is relatively deep, but it is oligotrophic to ultra-oligotrophic. Ld values
suggest that the lakes are circular to subcircular and are likely formed by
solution process, as also suggested by volume development. TI-2 Lake is only
presenting convex central basin and has highest dynamic ratio (DR), thus it may
have high sedimentation and erosion rates. Based on the relationship between
studied parameters, morphometric index and DR likely influence temperature and
dissolved oxygen of waters of TI-2 Lake due to its depth profile and wind-induced
surface mixing. Nevertheless, water quality parameters are controlled by
catchment characteristics of the lakes.
PMID- 29791565
TI - A giant on the ground: another large-bodied Atractus (Serpentes: Dipsadinae) from
Ecuadorian Andes, with comments on the dietary specializations of the goo-eaters
snakes.
AB - Body-size is significantly correlated with the number of vertebrae (pleomerism)
in multiple vertebrate lineages, indicating that somitogenesis process is an
important factor dictating evolutionary change associated to phyletic allometry
and, consequently, species fitness and diversification. However, the role of the
evolution of extreme body sizes (dwarfism and gigantism) remains elusive in
snakes, mainly with respect to postnatal ontogeny in dietary preferences
associated with evolution of gigantism in many lineages. We described herein a
new species in the highly diversified and species-rich genus Atractus on the
basis of four specimens from the southeastern slopes of the Ecuadorian Andes. The
new species is morphologically similar and apparently closely related to two
other allopatric giant congeners (A. gigas and A. touzeti), from which it can be
distinguished by their distinct dorsal and ventral coloration, the number of
supralabial and infralabial scales, the number of maxillary teeth, and relative
width of the head. In addition, we discuss on the ontogenetic trajectories
hypotheses and dietary specializations related to evolution of gigantism in the
goo-eaters genus Atractus.
PMID- 29791566
TI - Cytidine-phosphate-guanosine oligodeoxynucleotides in combination with CD40
ligand decrease periodontal inflammation and alveolar bone loss in a TLR9
independent manner.
AB - : Local administration of toll-like receptor 9 (TLR9), agonist cytidine-phosphate
guanosine oligodeoxynucleotide (CpG ODNs), and CD40 ligand (CD40L) can decrease
ligature-induced periodontal inflammation and bone loss in wild type (WT) mouse.
OBJECTIVE: This study aimed to explore whether such effect is dependent on TLR9
signaling. MATERIAL AND METHODS: Purified spleen B cells isolated from WT
C57BL/6J mice and TLR9 knockout (KO) mice were cultured for 48 hours under the
following conditions: CD40L, CpG+CD40L, CpG at low, medium and high doses. We
determined B cell numbers using a hemocytometer at 24 h and 48 h. Percentages of
CD1dhiCD5+ B cells were detected by flow cytometry. Interleukin-10 (IL-10) mRNA
expression and protein secretion were measured by quantitative real-time
polymerase chain reaction (qRT-PCR) and by ELISA, respectively. The silk ligature
was tied around the maxillary second molars for 14 days, during which the
CpG+CD40L mixture or PBS was injected into palatal gingiva on days 3, 6, and 9.
RESULTS: For both WT and TLR9 KO mice, CpG significantly induced B cell
proliferation, increased IL-10 mRNA expression and protein secretion of IL-10 but
reduced CD1dhiCD5+ B cells population; local injection of CpG+CD40L mixture
significantly decreased alveolar bone loss and the number of TRAP-positive cells
adjacent to the alveolar bone surface, and significantly increased the gingival
mRNA expression of IL-10 and decreased RANKL and IFN-gamma mRNA expression.
CONCLUSIONS: These results indicated that CpG plus CD40L decreased periodontal
inflammation and alveolar bone loss in a TLR9-independent manner in ligature
induced experimental periodontitis.
PMID- 29791567
TI - Immunohistological study of the effect of vascular Endothelial Growth Factor on
the angiogenesis of mature root canals in rat molars.
AB - Tissue bioengineering has been applied to Endodontics to seek a more biological
treatment. The presence of blood vessels is crucial for cell nutrition during
tissue formation. Objective This study analysed the application of vascular
endothelial growth factor (VEGF) in the angiogenesis of mature root canals.
Material and methods Upper first molars of twelve 13-week old Wistar male rats
were used. The root pulp of the mesiobuccal canal was removed and the root canal
instrumented with K-files up to size #25. Periapical bleeding was induced into
the root canal by introducing a #15 K-file beyond the apex. The teeth on the
right side of the arch were filled up with blood clot (G1), whereas those on the
left side were filled up with blood clot plus 50 ng/ml of VEGF (G2). Teeth were
sealed with light-curing glass-ionomer cement and the animals were sacrificed
after 60 days. The maxilla was dissected and fixed before obtaining serial
sections for histological processing with haematoxylin-eosin (HE) and
immunohistochemical factor-VIII. Immunohistochemical labelling was evaluated
using scores for statistical analysis. Results Immunohistological analysis
demonstrated the presence of angiogenesis in both groups, but with higher
angiogenic maturation in G2 during the experimental period (p<0.05). HE staining
showed connective tissue with absence of odontoblasts in all specimens.
Conclusions It can be concluded that it is possible to obtain angiogenesis in
mature root canals with or without the use of VEGF, although the latter tends to
accelerate blood vessel formation.
PMID- 29791569
TI - Solubility, porosity and fluid uptake of calcium silicate-based cements.
AB - OBJECTIVE: To evaluate the absorption/fluid uptake, solubility and porosity of
White mineral trioxide aggregate (MTA) Angelus, Biodentine (BIO), and zinc oxide
eugenol (ZOE). MATERIAL AND METHODS: Solubility was evaluated after immersion in
distilled water for 7 and 30 days. Porosity was evaluated using digital inverted
microscope, scanning electron microscope (SEM) and micro-computed tomography
(micro-CT). For the fluid uptake test, specimens were immersed in Hank's balanced
salt solution (HBSS) for 1, 7, 14 and 28 days. Fluid absorption, solubility and
porosity of the materials were measured after each period. Statistical evaluation
was performed using one-way analysis of variance (ANOVA) and Tukey tests, with a
significance level at 5%. RESULTS: After 7 and 30 days, BIO showed the highest
solubility (p<0.05). All methods demonstrated that MTA had total porosity higher
than BIO and ZOE (p<0.05). Micro-CT analysis showed that MTA had the highest
porosity at the initial period, after its setting time (p<0.05). After 7 and 30
days, ZOE had porosity lower than MTA and BIO (p<0.05). Absorption was similar
among the materials (p>0.05), and higher fluid uptake and solubility were
observed for MTA in the fluid uptake test (p<0.05). CONCLUSIONS: BIO had the
highest solubility in the conventional test and MTA had higher porosity and fluid
uptake. ZOE had lower values of solubility, porosity and fluid uptake.
Solubility, porosity and fluid uptake are related, and the tests used provided
complementary data.
PMID- 29791568
TI - Assessing the association between hypoxia during craniofacial development and
oral clefts.
AB - Objectives To evaluate the association between hypoxia during embryo development
and oral clefts in an animal model, and to evaluate the association between
polymorphisms in the HIF-1A gene with oral clefts in human families. Material and
Methods The study with the animal model used zebrafish embryos at 8 hours post
fertilization submitted to 30% and 50% hypoxia for 24 hours. At 5 days post
fertilization, the larvae were fixed. The cartilage structures were stained to
evaluate craniofacial phenotypes. The family-based association study included 148
Brazilian nuclear families with oral clefts. The association between the genetic
polymorphisms rs2301113 and rs2057482 in HIF-1A with oral clefts was tested. We
used real time PCR genotyping approach. ANOVA with Tukey's post-test was used to
compare means. The transmission/disequilibrium test was used to analyze the
distortion of the inheritance of alleles from parents to their affected
offspring. Results For the hypoxic animal model, the anterior portion of the
ethmoid plate presented a gap in the anterior edge, forming a cleft. The hypoxia
level was associated with the severity of the phenotype (p<0.0001). For the
families, there was no under-transmitted allele among the affected progeny
(p>0.05). Conclusion Hypoxia is involved in the oral cleft etiology, however,
polymorphisms in HIF-1A are not associated with oral clefts in humans.
PMID- 29791571
TI - Better Technology, More Spending, Worse Outcomes.
PMID- 29791570
TI - Osteoradionecrosis of the jaws: case series treated with adjuvant low-level laser
therapy and antimicrobial photodynamic therapy.
AB - BACKGROUND: Osteoradionecrosis of the jaw (ORNJ) is the most severe and complex
sequel of head and neck radiotherapy (RT) because of the bone involved, it may
cause pain, paresthesia, foul odor, fistulae with suppuration, need for extra
oral communication and pathological fracture. We treated twenty lesions of ORNJ
using low-level laser therapy (LLLT) and antimicrobial photodynamic therapy
(aPDT). The objective of this study was to stimulate the affected area to
homeostasis and to promote the healing of the oral mucosa. METHODS: We performed
aPDT on the exposed bone, while LLLT was performed around the bone exposure (red
spectrum) and on the affected jaw (infrared spectrum). Monitoring and clinical
intervention occurred weekly or biweekly for 2 years. RESULTS: 100% of the sample
presented clinical improvement, and 80% presented complete covering of the bone
exposure by intact oral mucosa. CONCLUSION: LLLT and aPDT showed positive results
as an adjuvant therapy to treat ORNJ.
PMID- 29791573
TI - Infections in Heart Failure - Impact on Mortality.
PMID- 29791572
TI - Is Lipid Accumulation Product Associated with an Atherogenic Lipoprotein Profile
in Brazilian Subjects?
AB - BACKGROUND: Lipid accumulation product (LAP), a simple and low-cost tool, is a
novel biomarker of central lipid accumulation and represents a potential
surrogate marker for atherogenic lipoprotein profile. However, its association
with lipoprotein subfractions has not been described in the literature.
OBJECTIVE: To determine whether LAP index could be used as a marker of low- and
high-density lipoprotein (LDL and HDL) size in Brazilian individuals. METHODS:
This cross-sectional study included patients (n = 351) of both sexes and age
between 30-74 years. Clinical and sociodemographic data and family history of
diseases were evaluated. Lipoprotein size, and levels of total cholesterol (TC),
lipoproteins, apolipoprotein AI and B (APO AI/APO B), glucose, insulin, insulin
resistance index (HOMA-IR) and non-esterified fatty acids (NEFA) were assessed in
blood samples. LAP was calculated by the formulas [(waist circumference[cm]-58) *
(triglycerides[mmol/L]) for women and (waist circumference [cm]-65) *
(triglycerides [mmol/L]) for men]. The association between LAP and metabolic
parameters were tested by linear trend (general linear model, GLM test) before
and after multiple adjustments for potential confounders (sex, age, smoking,
statin, fibrate, and hypoglycemic drugs) at significant level p < 0.05. RESULTS:
LAP was positively associated with TC, APO B, NEFA, glucose, insulin and HOMA-IR
values, and negatively associated with HDL-C. Higher central lipid accumulation
was corelated with higher percentage of intermediate HDL and of small LDL and HDL
and less amount of large HDL. LDL size was also reduced in greater LAP index
values. The negative impact of LAP was maintained after adjustment for multiple
variables. CONCLUSION: LAP was robustly associated with atherogenic profile of
lipoprotein subfractions, independently of multiple confounders.
PMID- 29791574
TI - Applicability of Longitudinal Strain of Left Ventricle in Unstable Angina.
AB - BACKGROUND: Unstable angina (UA) is a common cause of hospital admission; risk
stratification helps determine strategies for treatment. OBJECTIVE: To determine
the applicability of two-dimensional longitudinal strain (SL2D) for the
identification of myocardial ischemia in patients with UA. METHODS: Cross
sectional, descriptive, observational study lasting 60 days. The sample consisted
of 78 patients, of which fifteen (19.2%) were eligible for longitudinal strain
analysis. The value of p < 0.05 was considered significant. RESULTS: The group of
ineligible patients presented: a lower proportion of women, a higher prevalence
of diabetes mellitus (DM), use of ASA, statins and beta-blockers and larger
cavity diameters. The main causes of non-applicability were: presence of previous
infarction (56.4%), previous CTA (22.1%), previous MRI (11.5%) or both (16.7%)
and the presence of specific electrocardiographic abnormalities (12.8%). SL2D
assessment revealed a lower global strain value in those with stenosis greater
than 70% in some epicardial coronary arteries (17.1 [3.1] versus 20.2 [6.7], with
p = 0.014). Segmental strain assessment showed an association between severe CX
and RD lesions with longitudinal strain reduction of lateral and inferior walls
basal segments; (14 [5] versus 21 [10], with p = 0.04) and (12.5 [6] versus 19
[8], respectively). CONCLUSION: There was very low SL2D applicability to assess
ischemia in the studied population. However, the global strain showed a
correlation with the presence of significant coronary lesion, which could be
included in the UA diagnostic arsenal in the future.
PMID- 29791576
TI - Catheter Ablation for Atrial Fibrillation in Patients with Heart Failure.
PMID- 29791575
TI - Primary Ventricular Fibrillation in a Patient with Mild Hypercalcemia.
PMID- 29791577
TI - Speckle-Tracking Echocardiography - Ready for Use in Acute Coronary Syndrome?
PMID- 29791578
TI - High-Intensity Interval Training for Early Post-Acute Myocardial Infarction - A
Promising Approach for Rats, but what about Human Beings?
PMID- 29791579
TI - Case 2/2018 - 73-Year-Old Male with Ischemic Cardiomyopathy, Cachexia and Shock.
PMID- 29791580
TI - Influence of Aerobic Training on The Mechanics of Ventricular Contraction After
Acute Myocardial Infarction: A Pilot Study.
AB - The study of myocardial contractility, based on the new anatomical concepts that
govern cardiac mechanics, represents a promising strategy of analysis of
myocardial adaptations related to physical training in the context of post
infarction. We investigated the influence of aerobic training on physical
capacity and on the evaluation parameters of left ventricular contraction
mechanics in patients with myocardial infarction. Thirty-one patients (55.1 +/-
8.9 years) who had myocardial infarction in the anterior wall were prospectively
investigated in three groups: interval training group (ITG) (n = 10), moderate
training group (MTG) n = 10) and control group (CG) (n = 10). Before and after 12
weeks of clinical follow-up, patients underwent cardiopulmonary exercise testing
and cardiac magnetic resonance imaging. The trained groups performed supervised
aerobic training on treadmill, in two different intensities. A statistically
significant increase in peak oxygen uptake (VO2) was observed in the ITG (19.2 +/
5.1 at 21.9 +/- 5.6 ml/kg/min, p < 0.01) and in the MTG 18.8 +/- 3.7 to 21.6 +/-
4.5 ml/kg/min, p < 0.01). The GC did not present a statistically significant
change in peak VO2. A statistically significant increase in radial strain (STRAD)
was observed in the CG: basal STRAD (57.4 +/- 16.6 to 84.1 +/- 30.9%, p < 0.05),
medial STRAD (57.8 +/- 27, 9 to 74.3 +/- 36.1%, p < 0.05) and apical STRAD (38.2
+/- 26.0 to 52.4 +/- 29.8%, p < 0.01). The trained groups did not present a
statistically significant change of the radial strain. The present study points
to a potential clinical application of the parameters of ventricular contraction
mechanics analysis, especially radial strain, to discriminate post-infarction
myocardial adaptations between patients submitted or not to aerobic training
programs.
PMID- 29791581
TI - Simultaneous Dual Coronary Fistulas.
PMID- 29791582
TI - Incidence of Atrial High-Rate Episodes in Chagas Disease Patients.
PMID- 29791584
TI - Risk factors for early-onset ventilator-associated pneumonia in aneurysmal
subarachnoid hemorrhage patients.
AB - This study aimed to investigate the risk factors related to ventilator-acquired
pneumonia (VAP) in aneurysmal subarachnoid hemorrhage (SAH) patients. From
January 2011 to December 2015, a single-center retrospective study including 200
SAH patients requiring mechanical ventilation (MV) >=48 h was performed. The
clinical data of these patients were collected and analyzed. The age range of the
patients were 41-63 and 72 (36%) were male. The Glasgow coma scale score range
was 5-15 and the Simplified Acute Physiology Score II range was 31-52. One
hundred and forty-eight (74%) patients had a World Federation of Neurosurgeons
(WNFS) score >=III. Aneurysm was secured with an endovascular coiling procedure
in 168 (84%) patients and 94 (47%) patients presented VAP. Male gender (OR=2.25,
95%CI=1.15-4.45), use of mannitol (OR=3.02, 95%CI=1.53-5.94) and enteral feeding
above 20 kcal.kg-1.day-1 (OR=2.90, 95%CI=1.26-6.67) after day 7 were independent
factors for VAP. Patients with early-onset VAP had a longer duration of sedation
(P=0.03), MV (P=0.001) and ICU length of stay (P=0.003) and a worse Glasgow
Outcome Scale score (P<0.001), but did not have a higher death rate.
PMID- 29791583
TI - What we know and do not know about women and kidney diseases; Questions
unanswered and answers unquestioned: Reflection on World Kidney Day and
International Woman's Day.
AB - Chronic kidney disease affects approximately 10% of the world's adult population:
it is within the top 20 causes of death worldwide, and its impact on patients and
their families can be devastating. World Kidney Day and International Women's Day
in 2018 coincide, thus offering an opportunity to reflect on the importance of
women's health and specifically women's kidney health on the community and the
next generations, as well as to strive to be more curious about the unique
aspects of kidney disease in women so that we may apply those learnings more
broadly. Girls and women, who make up approximately 50% of the world's
population, are important contributors to society and their families. Gender
differences continue to exist around the world in access to education, medical
care, and participation in clinical studies. Pregnancy is a unique state for
women, offering an opportunity for diagnosis of kidney disease, but also a state
in which acute and chronic kidney diseases may manifest, and which may impact
future generations with respect to kidney health. Various autoimmune and other
conditions are more likely to impact women, with profound consequences for child
bearing and the fetus. Women have different complications on dialysis than men,
and are more likely to be donors than recipients of kidney transplants. In this
editorial, we focus on what we know and do not know about women, kidney health,
and kidney disease, and what we might learn in the future to improve outcomes
worldwide.
PMID- 29791585
TI - Protective effect and mechanism of Lactobacillus on cerebral ischemia reperfusion
injury in rats.
AB - The present study was designed to investigate the protective effects and
mechanism of inactivated lactobacillus (ILA) on cerebral ischemia reperfusion
injury (CIRI) in rats. In this experiment, 30 male Sprague Dawley rats were
randomly divided into control group, IRI groups, and ILA group. A middle cerebral
artery occlusion and reperfusion model was prepared. The rats were killed after
24 hours of recovery of blood flow of cerebral ischemia resulting from 60-min
occlusion. The cerebral infarction volume and neurological scores were assayed by
staining and behavioral observation. Malondialdehyde (MDA) and superoxide
dismutase (SOD) levels were assayed by biochemical kits. Cell apoptosis was
assayed by Tunnel and the Toll-like receptor (TLR)-4, IkB, and A20 were assayed
by western blot. The neurobehavioral scores in IRI rats were significantly lower
compared to the control group while ILA improved the neurobehavioral scores of
the ILA groups. The cerebral infarction volume and neural cell apoptosis of rats
in the ILA groups decreased significantly compared with those in the IRI group.
In addition, MDA level in the ILA groups decreased whereas SOD activity increased
compared to the IRI group. Moreover, ILA also inhibited the expression of TLR-4
and promoted the expression of IkB and A20. ILA inhibited the apoptosis of neural
cells, decreased cerebral infarction volume, and reduced oxidative stress through
inhibition of TLR-4/NF-kappa B signaling, improving neurobehavioral scores. Thus
from the present study it was concluded that ILA has protective effect on CIRI.
PMID- 29791587
TI - Association study of AFF1 rs340630 polymorphism with genetic susceptibility to
rheumatoid arthritis in Chinese population.
AB - This study was performed to examine whether the AF4/FMR2 family, member 1 (AFF1)
rs340630 polymorphism is involved in the genetic background of rheumatoid
arthritis (RA) in a Chinese population. Two different study groups of RA patients
and controls (328 RA patients and 449 healthy controls in the first study group;
232 RA patients and 313 controls in the second study group) were included in our
study. Overall, there was no significant difference in either genotype (P=0.71
and 0.64 in the first and second study group, respectively) nor allele (in the
first study group: A vs G, P=0.65, OR=1.05, 95%CI=0.85-1.29; in the second study
group: G vs A, P=0.47, OR=1.10, 95%CI=0.86-1.40) frequencies of AFF1 rs340630
polymorphism between RA patients and controls. Our study represents the first
report assessing the association of AFF1 rs340630 polymorphism with RA risk. No
significant evidence was found for the dominant or recessive models. Further case
control studies with larger sample sizes and fine-mapping studies are needed to
clarify the role of AFF1 in the genetic basis of RA.
PMID- 29791586
TI - Hypoxia-induced hyperpermeability of rat glomerular endothelial cells involves
HIF-2alpha mediated changes in the expression of occludin and ZO-1.
AB - This study aimed to investigate the role of hypoxia-inducible factor-2alpha (HIF
2alpha) in the expression of tight junction proteins and permeability alterations
in rat glomerular endothelial cells (rGENCs) under hypoxia conditions. The
expression level of HIF-2alpha and tight junction proteins (occludin and ZO-1) in
rGENCs were examined following 5% oxygen density exposure at different treatment
times. HIF-2alpha lentivirus transfection was used to knockdown HIF-2alpha
expression. Cells were divided into four groups: 1) control group (rGENCs were
cultured under normal oxygen conditions), 2) hypoxia group (rGENCs were cultured
under hypoxic conditions), 3) negative control group (rGENCs were infected with
HIF-2alpha lentivirus negative control vectors and cultured under hypoxic
conditions), and 4) Len group (rGENCs were transfected with HIF-2alpha lentivirus
and cultured under hypoxic conditions). The hypoxia, negative control, and Len
groups were kept in a hypoxic chamber (5% O2, 5% CO2, and 90% N2) for 24 h and
the total content of occludin and ZO-1, and the permeability of rGENCs were
assessed. With increasing hypoxia time, the expression of HIF-2alpha gradually
increased, while the expression of occludin decreased, with a significant
difference between groups. ZO-1 expression gradually decreased under hypoxia
conditions, but the difference between the 24 and 48 h groups was not
significant. The permeability of cells increased following 24-h exposure to
hypoxia compared to the control group (P<0.01). The knockdown of HIF-2alpha
expression significantly increased occludin and ZO-1 content compared with
hypoxia and negative control groups (P<0.01), while permeability was reduced
(P<0.01). Hypoxia increased HIF-2alpha content, inducing permeability of rGENCs
through the reduced expression of occludin and ZO-1.
PMID- 29791588
TI - MicroRNA-451a, microRNA-34a-5p, and microRNA-221-3p as predictors of response to
antidepressant treatment.
AB - Aberrant expression of microRNAs (miRNAs) has been shown to be involved in early
observations of depression. The aim of this study was to determine if serum
levels of miRNA-451a, miRNA-34a-5p, and miRNA-221-3p can serve as indicators of
disease progression or therapeutic efficacy in depression. We collected data from
84 depressed patients and 78 control volunteers recruited from the medical staff
at the West China Hospital. Depression severity was rated using the 24-item
Hamilton Depression Scale (HAMD). Serum miRNA-451a, miRNA-34a-5p, and miRNA-221
3p levels were determined in samples from the depressed patients before and 8
weeks after antidepressant treatment as well as in samples from controls.
Compared with the controls, the patients had lower miRNA-451a levels, higher
miRNA-34a-5p and miRNA-221-3p levels, and increased HAMD scores whether they
underwent antidepressant treatment or not. Eight weeks after antidepressant
treatment, the patients exhibited increased miRNA-451a levels, decreased miRNA
34a-5p and miRNA-221-3p levels, and reduced HAMD scores. The serum level of miRNA
451a was negatively correlated with HAMD scores of the patients, while the serum
levels of miRNA-34a-5p and miRNA-221-3p were positively correlated with HAMD
scores whether the patients underwent antidepressant treatment or not. Paroxetine
was markedly effective in 50 patients who also displayed an increased level of
miRNA-451a but reduced levels of miRNA-34a-5p and miRNA-221-3p. In contrast,
paroxetine was moderately effective or ineffective in 34 patients. In conclusion,
depressed patients had lower serum miRNA-451a but higher serum miRNA-34a-5p and
miRNA-221-3p, and these miRNAs are potential predictors of the efficacy of
antidepressants.
PMID- 29791589
TI - Non-invasive messenger RNA transcriptional evaluation in human kidney allograft
dysfunction.
AB - The aim of the present study was to evaluate messenger RNA expression in kidney
allograft recipients. Forty-four kidney transplant recipients were evaluated up
to three months after grafting. After transplantation, peripheral blood samples
were drawn sequentially for real-time polymerase chain reaction analyses of
perforin and TIM-3 genes. Biopsies were obtained to evaluate acute graft
dysfunction and interpreted according to the Banff classification. Eight patients
presented episodes of acute rejection. Recipients with rejection had
significantly higher levels of TIM-3 mRNA transcripts compared to those without
rejection (median gene expression 191.2 and 36.9 mRNA relative units,
respectively; P<0.0001). Also, perforin gene expression was higher in patients
with rejection (median gene expression 362.0 and 52.8 mRNA relative units;
P<0.001). Receiver operating characteristic curves showed that the area under the
curve (AUC) for the TIM-3 gene was 0.749 (95%CI: 0.670-0.827). Perforin gene mRNA
expression provided an AUC of 0.699 (95%CI: 0.599 to 0.799). Overall accuracy of
gene expression was 67.9% for the TIM-3 gene and 63.6% for the perforin gene.
Combined accuracy was 76.8%. Negative predictive values were 95.3% for the TIM-3
gene, 95.5% for the perforin gene, and 95.4% in the combined analyses. Gene
expression was significantly modulated by rejection treatment decreasing 64.1%
(TIM-3) and 90.9% (perforin) compared to the median of pre-rejection samples. In
conclusion, the longitudinal approach showed that gene profiling evaluation might
be useful in ruling out the diagnosis of acute rejection and perhaps evaluating
the efficacy of treatment.
PMID- 29791590
TI - lncRNA CCAT1 promotes cell proliferation, migration, and invasion by down
regulation of miR-143 in FTC-133 thyroid carcinoma cell line.
AB - Thyroid cancer is a common malignant tumor. Long non-coding RNA colon cancer
associated transcript 1 (lncRNA CCAT1) is highly expressed in many cancers;
however, the molecular mechanism of CCAT1 in thyroid cancer remains unclear.
Hence, this study aimed to investigate the effect of CCAT1 on human thyroid
cancer cell line FTC-133. FTC-133 cells were transfected with CCAT1 expressing
vector, CCAT1 shRNA, miR-143 mimic, and miR-143 inhibitor, respectively. After
different treatments, cell viability, proliferation, migration, invasion, and
apoptosis were measured. Moreover, the regulatory relationship of CCAT1 and miR
143, as well as miR-143 and VEGF were tested using dual-luciferase reporter
assay. The relative expressions of CCAT1, miR-143, and VEGF were tested by qRT
PCR. The expressions of apoptosis-related factors and corresponding proteins in
PI3K/AKT and MAPK pathways were analyzed using western blot analysis. The results
suggested that CCAT1 was up-regulated in the FTC-133 cells. CCAT1 suppression
decreased FTC-133 cell viability, proliferation, migration, invasion, and miR-143
expression, while it increased apoptosis and VEGF expression. CCAT1 might act as
a competing endogenous RNA (ceRNA) for miR-143. Moreover, CCAT1 activated
PI3K/AKT and MAPK signaling pathways through inhibition of miR-143. This study
demonstrated that CCAT1 exhibited pro-proliferative and pro-metastasis functions
on FTC-133 cells and activated PI3K/AKT and MAPK signaling pathways via down
regulation of miR-143. These findings will provide a possible target for clinical
treatment of thyroid cancer.
PMID- 29791591
TI - Searching for the best real-time RT-PCRs to detect Zika virus infections: the
importance of comparing several protocols.
AB - Clinical manifestations of Zika, dengue, and chikungunya virus infections are
very similar, making it difficult to reach a diagnosis based only on clinical
grounds. In addition, there is an intense cross-reactivity between antibodies
directed to Zika virus and other flaviviruses, and an accurate Zika diagnosis is
best achieved by real-time RT-PCR. However, some real-time RT-PCR show better
performance than others. To reach the best possible Zika diagnosis, the analytic
sensitivity of some probe-based real-time RT-PCR amplifying Zika virus RNA was
evaluated in spiked and clinical samples. We evaluated primers and probes to
detect Zika virus, which had been published before, and tested sensitivity using
serum spiked and patient samples by real-time RT-PCR. When tested against spiked
samples, the previously described primers showed different sensitivity, with very
similar results when samples from patients (serum and urine) were analyzed. Real
time RT-PCR designed to amplify Zika virus NS1 showed the best analytical
sensitivity for all samples.
PMID- 29791592
TI - Pathogenesis of gallbladder adenomyomatosis and its relationship with early-stage
gallbladder carcinoma: an overview.
AB - The exact pathogenesis of gallbladder adenomyomatosis is still lacking and some
controversies over its diagnosis and treatment exist. Originally recognized as a
precancerous lesion, adenomyomatosis is currently recognized by recent studies as
a benign alteration of the gallbladder that is often associated with
cholecystitis and cholecystolithiasis. Gallbladder carcinoma is an extremely
malignant disease with a 5-year survival rate of less than 5%. Therefore, it is
important to diagnose, differentiate, and confirm the relationship between
adenomyomatosis and early-stage gallbladder carcinoma. However, the early
clinical symptoms of adenomyomatosis are extremely similar to those of
gallbladder stones and cholecystitis, increasing the difficulty to identify and
treat this disease. This article summarizes the research progress on gallbladder
adenomyomatosis, aiming to improve the understanding of the pathogenesis of
adenomyomatosis and further provide insight for its clinical diagnosis and
treatment.
PMID- 29791593
TI - Efficacy of cognitive behavioral therapy in children and adolescents with
insomnia: a systematic review and meta-analysis.
AB - Insomnia is highly prevalent in children and adolescents. However, the efficacy
of cognitive behavioral therapy for insomnia (CBT-i) in children and adolescents
remains controversial. Therefore, this systematic review and meta-analysis aimed
to assess the efficacy of CBT-i in children and adolescents. We conducted a
search of PubMed, EMBASE, the Cochrane Central Register of Controlled Trials,
CINAHL, and PsycINFO to select primary studies evaluating CBT-i in children and
adolescents that were primarily diagnosed through standardized diagnostic
criteria. The primary outcomes of the meta-analysis included sleep onset latency
(SOL), wake after sleep onset (WASO), total sleep time (TST), and sleep
efficiency (SE%). Six randomized controlled trials and four open-label trials met
all inclusion criteria. A total of 464 participants (ranging from 5-19 years of
age) were included. Based on the results from sleep logs, a significant pooled
effect size was observed for SOL and SE%. However, no significant pooled effect
size was found for WASO or TST. Results from actigraphy were consistent with the
sleep logs. A significant pooled effect size was observed for SOL and SE%, and no
significant pooled effect size was found for WASO or TST. CBT-i might be
effective in the treatment of children and adolescents with insomnia.
PMID- 29791594
TI - Low-protein diet does not alter reproductive, biochemical, and hematological
parameters in pregnant Wistar rats.
AB - The aim of this study was to investigate the reproductive, biochemical, and
hematological outcomes of pregnant rats exposed to protein restriction. Wistar
rat dams were fed a control normal-protein (NP, 17% protein, n=8) or a low
protein (LP, 8% protein, n=14) diet from the 1st to the 20th day of pregnancy. On
the 20th day, the clinical signs of toxicity were evaluated. The pregnant rats
were then anesthetized and blood samples were collected for biochemical
hematological analyses, and laparotomy was performed to evaluate reproductive
parameters. No sign of toxicity, or differences (P>0.05) in body weight gain and
biochemical parameters (urea, creatinine, albumin, globulin, and total protein)
between NP and LP pregnant dams were observed. Similarly, hematological data,
including red blood cell count, white blood cell count, hemoglobin, hematocrit,
red blood cell distribution width (coefficient of variation), mean corpuscular
volume, mean corpuscular hemoglobin, mean corpuscular hemoglobin concentration, %
lymphocytes, absolute lymphocyte count, platelet count, and mean platelet volume
were similar (P>0.05) at the end of pregnancy. Reproductive parameters (the dam
offspring relationship, ovary mass, placenta mass, number of corpora lutea,
implantation index, resorption index, and the pre- and post-implantation loss
rates) were also not different (P>0.05) between NP and LP pregnant dams. The
present data showed that a protein-restricted diet during pregnancy did not alter
reproductive, biochemical, and hematological parameters and seems not to have any
toxic effect on pregnant Wistar rats.
PMID- 29791595
TI - microRNA-3129 promotes cell proliferation in gastric cancer cell line SGC7901 via
positive regulation of pRb.
AB - Several microRNAs (miRNAs) have been reported as oncogenes or tumor suppressors
in many cancers, including gastric cancer (GC). However, the role and molecular
mechanism of miR-3129 in GC is largely unknown. We aimed to explore the function
and the underlying molecular mechanism of miR-3129 in GC. Cancer tissues and
corresponding adjacent tissues were collected from 50 patients with GC, and the
expression of miR-3129 was detected by RT-qPCR. The expression of miR-3129 and
pRb in human GC cell line SCG7091 was altered by transient transfection.
Thereafter, MTT and flow cytometry assays were used to analyze cell viability and
cell cycle. The expression of cyclin E, CDK2, CDK2 inhibitors (p16 and 21), and
pRb were detected by RT-qPCR and western blot. A significant up-regulation of miR
3129 was observed in GC tissues compared to adjacent tissues. Overexpression of
miR-3129 significantly improved cell viability after 4 days of post-transfection.
Flow cytometry assay results showed that the miR-3129 overexpression arrested
more SGC7901 cells at S phase. Moreover, overexpression of miR-3129 down
regulated the expression of CDK2 inhibitors while it up-regulated the expression
levels of cyclin E, CDK2, and pRb. Interestingly, we found that pRb inhibition
reversed the effect of miR-3129 inhibitor on cell proliferation in SGC7901 cells,
increased cell viability, reduced cells at G0/1 phase, and modulated the
expression of proliferation-related factors. Our results revealed that miR-3129
functioned as an oncogene through positive regulation of pRb and may prove to be
a promising option for molecular therapy of GC.
PMID- 29791597
TI - Retraction notice for: Therapeutic effect and potential mechanism of pioglitazone
in rats with severe acute pancreatitis. [Braz J Med Biol Res (2018) 51(2):
e6812].
PMID- 29791596
TI - Treatment with Ilex paraguariensis (yerba mate) aqueous solution prevents hepatic
redox imbalance, elevated triglycerides, and microsteatosis in overweight adult
rats that were precociously weaned.
AB - Early weaning (EW) leads to overweight, visceral obesity, hyperleptinemia, and
insulin resistance in adulthood. Treatment with Ilex paraguariensis (yerba mate)
improves obesity and insulin resistance in these animals. Here, we evaluated the
effects of chronic treatment with yerba mate on the redox balance and liver
morphology of overweight early-weaned rats. To induce EW, we wrapped the dams
with bandages to interrupt milk access during the last 3 days of lactation.
Control pups (C) had free access to maternal milk for the full 21 days of
lactation. On postnatal day (PN) 150, EW offspring were subdivided into the EW+YM
group, which received the aqueous extract of yerba mate (1 g/kg bw by gavage once
a day for 30 days) and the EW group, which received water by gavage for the same
period. All rats were euthanized on PN180. The EW group showed higher bound
carbonyl (a marker of total protein oxidation), higher TBARS levels (a marker of
lipid peroxidation), and lower superoxide dismutase (SOD) activity in liver
tissue than the C group, as well as higher triglyceride content and
microsteatosis. In plasma, the EW offspring showed higher TBARS levels. One month
of yerba mate treatment normalized these parameters. Thus, we have shown evidence
that yerba mate improved antioxidant defenses and mitigated liver dysfunction in
overweight adult rats that were weaned prematurely.
PMID- 29791598
TI - Chemical characterization, antioxidant and antimicrobial activity of propolis
obtained from Melipona quadrifasciata quadrifasciata and Tetragonisca angustula
stingless bees.
AB - In this study, we investigated the chemical composition, and antioxidant and
antibacterial properties of ethanolic extracts of propolis (EEP) from Melipona
quadrifasciata quadrifasciata and Tetragonisca angustula. Chemical composition of
EEP was determined by colorimetry and chromatographic (HPLC-DAD and UPLC-Q/TOF
MS/MS) analysis. Antimicrobial activity of EEP was evaluated against gram
positive (S. aureus, methicillin-resistant S. aureus, E. faecalis) and gram
negative (E. coli and K. pneumoniae) bacteria by the minimal inhibitory
concentration (MIC) test using the microdilution method. Furthermore, the growth
curve and integrity of cell membrane of S. aureus and E. coli were investigated
using standard microbiological methods. HPLC-DAD analysis showed that the EEP of
M. quadrifasciata quadrifasciata has a more complex chemical composition than the
EEP of T. angustula. Moreover, UPLC-MS analyses of M. quadrifasciata
quadrifascita indicated flavonoids and terpenes as major constituents. The
bactericidal activity of both EEPs was higher against gram-positive bacteria than
for gram-negative bacteria. The EEP from M. quadrifasciata quadrifasciata
presented MIC values lower than the EEP from T. angustula for all tested
bacteria. The EEP from M. quadrifasciata quadrifasciata caused lysis of the
bacterial wall and release of intracellular components from both E. coli and S.
aureus. Our findings indicate that the chemical composition of propolis from
stingless bees is complex and depends on the species. The extract from M.
quadrifasciata quadrifascita was more effective against gram-positive than gram
negative strains, especially against S. aureus and methicillin-resistant S.
aureus compared to T. angustula extract, by a mechanism that involves disturbance
of the bacterial cell membrane integrity.
PMID- 29791599
TI - Ultra-short-term heart rate variability during resistance exercise in the
elderly.
AB - Despite the appeal of ultra-short-term heart rate variability (HRV) methods of
analysis applied in the clinical and research settings, the number of studies
that have investigated HRV by analyzing R-R interval (RRi) recordings shorter
than 5 min is still limited. Moreover, ultra-short-term HRV analysis has not been
extensively validated during exercise and, currently, no indications exist for
its applicability during resistance exercise. The aim of the present study was to
compare ultra-short-term HRV analysis with standard short-term HRV analysis
during low-intensity, dynamic, lower limb resistance exercise in healthy elderly
subjects. Heart rate (HR) and RRi signals were collected from 9 healthy elderly
men during discontinuous incremental resistance exercise consisting of 4-min
intervals at low intensities (10, 20, 30, and 35% of 1-repetition maximum). The
original RRi signals were segmented into 1-, 2-, and 3-min sections. HRV was
analyzed in the time domain (root mean square of the of differences between
adjacent RRi, divided by the number of RRi, minus one [RMSSD]), RRi mean value
and standard deviation [SDNN] (percentage of differences between adjacent NN
intervals that are greater than 50 ms [pNN50]), and by non-linear analysis (short
term RRi standard deviation [SD1] and long-term RRi standard deviation [SD2]). No
significant difference was found at any exercise intensity between the results of
ultra-short-term HRV analysis and the results of standard short-term HRV
analysis. Furthermore, we observed excellent (0.70 to 0.89) to near-perfect (0.90
to 1.00) concordance between linear and non-linear parameters calculated over 1-
and 2-min signal sections and parameters calculated over 3-min signal sections.
Ultra-short-term HRV analysis appears to be a reliable surrogate of standard
short-term HRV analysis during resistance exercise in healthy elderly subjects.
PMID- 29791600
TI - Unilateral spatial neglect in the acute phase of ischemic stroke can predict long
term disability and functional capacity.
AB - OBJECTIVE: The aim of this study was to assess the relationship between the
degree of unilateral spatial neglect during the acute phase of stroke and long
term functional independence. METHODS: This was a prospective study of right
ischemic stroke patients in which the independent variable was the degree of
spatial neglect and the outcome that was measured was functional independence.
The potential confounding factors included sex, age, stroke severity, topography
of the lesion, risk factors, glycemia and the treatment received. Unilateral
spatial neglect was measured using the line cancellation test, the star
cancellation test and the line bisection test within 48 hours of the onset of
symptoms. Functional independence was measured using the modified Rankin and
Barthel scales at 90 days after discharge. The relationship between unilateral
spatial neglect and functional independence was analyzed using multiple logistic
regression that was corrected for confounding factors. RESULTS: We studied 60
patients with a median age of 68 (34-89) years, 52% of whom were male and 74% of
whom were Caucasian. The risk for moderate to severe disability increased with
increasing star cancellation test scores (OR=1.14 [1.03-1.26], p=0.01) corrected
for the stroke severity, which was a confounding factor that had a statistically
positive association with disability (OR=1.63 [1.13-2.65], p=0.01). The best
chance of functional independence decreased with increasing star cancellation
test scores (OR=0.86 [0.78-0.96], p=0.006) corrected for the stroke severity,
which was a confounding factor that had a statistically negative association with
independence (OR=0.66 [0.48-0.92], p=0.017). CONCLUSION: The severity of
unilateral spatial neglect in acute stroke worsens the degree of long-term
disability and functional independence.
PMID- 29791602
TI - Noninvasive Follicular Thyroid Neoplasm With Papillary-Like Nuclear Features
(NIFTP): Achieving Better Agreement By Refining Diagnostic Criteria.
PMID- 29791601
TI - Resting spontaneous baroreflex sensitivity and cardiac autonomic control in
anabolic androgenic steroid users.
AB - OBJECTIVES: Misuse of anabolic androgenic steroids in athletes is a strategy used
to enhance strength and skeletal muscle hypertrophy. However, its abuse leads to
an imbalance in muscle sympathetic nerve activity, increased vascular resistance,
and increased blood pressure. However, the mechanisms underlying these
alterations are still unknown. Therefore, we tested whether anabolic androgenic
steroids could impair resting baroreflex sensitivity and cardiac sympathovagal
control. In addition, we evaluate pulse wave velocity to ascertain the arterial
stiffness of large vessels. METHODS: Fourteen male anabolic androgenic steroid
users and 12 nonusers were studied. Heart rate, blood pressure, and respiratory
rate were recorded. Baroreflex sensitivity was estimated by the sequence method,
and cardiac autonomic control by analysis of the R-R interval. Pulse wave
velocity was measured using a noninvasive automatic device. RESULTS: Mean
spontaneous baroreflex sensitivity, baroreflex sensitivity to activation of the
baroreceptors, and baroreflex sensitivity to deactivation of the baroreceptors
were significantly lower in users than in nonusers. In the spectral analysis of
heart rate variability, high frequency activity was lower, while low frequency
activity was higher in users than in nonusers. Moreover, the sympathovagal
balance was higher in users. Users showed higher pulse wave velocity than
nonusers showing arterial stiffness of large vessels. Single linear regression
analysis showed significant correlations between mean blood pressure and
baroreflex sensitivity and pulse wave velocity. CONCLUSIONS: Our results provide
evidence for lower baroreflex sensitivity and sympathovagal imbalance in anabolic
androgenic steroid users. Moreover, anabolic androgenic steroid users showed
arterial stiffness. Together, these alterations might be the mechanisms
triggering the increased blood pressure in this population.
PMID- 29791603
TI - Evaluation Instruments for Quality of Life Related to Melasma: An Integrative
Review.
AB - The aim of this study was to analyze scientific production concerning the
validation and cultural adaptation of quality of life evaluation instruments for
patients with melasma and to offer a critical reflection on these methods. A
literature review was performed based on a search of the Web of Science, Bireme,
PubMed, Elsevier Scopus, and Google Scholar databases. All published articles
from indexed periodicals in these electronic databases up to December 2015 were
included. Eight articles were identified, of which only one (12.5%) referred to
the development and validation of a specific instrument for evaluation of the
quality of life of melasma patients. An additional six articles (75%) referred to
transcultural adjustment and validation of the same instrument in other
languages, and another (12.5%) article reported the development of a generic
instrument for evaluation of quality of life in patients with pigment disorders.
This review revealed only one specific instrument developed and validated in
different cultures. Despite being widely used, this instrument did not follow the
classic construction steps for psychometric instruments, which paves the way for
future studies to develop novel instruments.
PMID- 29791604
TI - Translation and cultural adaptation of the stroke impact scale 2.0 (SIS): a
quality-of-life scale for stroke.
AB - BACKGROUND: No specific quality-of-life scale for stroke patients has previously
been translated and evaluated for reproducibility, for use in the Portuguese
language. Internationally, the instrument for this purpose is the Stroke Impact
Scale 2.0 (SIS). Use of of SIS enables comprehensive analysis on the impact of
mild and moderate stroke on patients' lives. The aims here were to translate SIS
into Portuguese, adapt it culturally, evaluate its reproducibility and correlate
it with SF-36 among stroke patients. DESIGN AND SETTING: Translation and
validation study. METHODS: The process of initial and retrograde translation was
performed, in addition to cultural adaptation to the Brazilian language and
culture. SIS was applied to 40 patients, who answered the questions three times.
On the first day, the scale was applied twice by two independent researchers (to
evaluate interobserver reproducibility). Fifteen days later, the scale was
applied for a third time by another researcher (intraobserver reproducibility).
The intraclass correlation coefficient (ICC) was used to measure the
reproducibility of the SIS scale. RESULTS: The reproducibility of the whole scale
was very good (ICC: 0.73 to 0.99). Intraobserver reproducibility in all domains
was also very good (ICC: 0.85 to 0.95). Comparison of SIS with SF-36 showed that
the domains of strength, mobility and activities of daily living (ADLs)
correlated moderately with the functional capacity domain, as did the ADL domain
with general health status. The other correlations were weak. The depression
domain showed a moderate negative correlation with the memory and communication
domains. CONCLUSION: The translation of the SIS 2.0 scale was easy to understand
and it had good reproducibility among stroke patients.
PMID- 29791606
TI - Evidence-based medicine.
PMID- 29791605
TI - Athlete's heart in a Brazilian paralympic judo team. Case series study.
AB - BACKGROUND: Athlete's heart is a term describing the cardiovascular effects of
long-term conditioning among highly trained athletes. It is a variation of normal
standards. DESIGN AND SETTING: Case series study at the cardiology division of a
public university hospital. METHODS: We studied 14 visually handicapped
paralympic athletes (8 men) in the national judo team. They were 26.3 +/- 6.4
years old, with body mass index 25 +/- 14, and had been practicing judo for 9.2
+/- 7.9 years. Clinical evaluations, electrocardiograms, exercise testing and
echocardiograms were performed by independent observers. RESULTS: Signs of
athlete's heart were found in all athletes, comprising left ventricular
hypertrophy (5 cases), sinus bradycardia (5), T-wave juvenile pattern (3), T wave
juvenile pattern (3), left atrial hypertrophy (2) and increased left ventricular
volume (9 cases; 62.22 +/- 6.46 ml/m2). There were very strong correlations
between left ventricular mass/body surface and endurance time (r: 0.91) and
estimated peak oxygen uptake (r: 0.8). The correlations between left ventricular
internal diastolic dimension and endurance time (r: 0.91) and estimated peak
oxygen uptake (r: 0.8) were strong. Despite increased left ventricular dimensions
(4 cases), atrial dimensions (1) and relative wall thickness (4), all athletes
had normal left ventricular mass/body surface (89.98 +/- 21.93 g/m2). The
exercise testing was normal: exercise duration 706 +/- 45 seconds and estimated
peak oxygen uptake 62.70 +/- 9.99 mlO2/min. CONCLUSIONS: Signs of athlete's heart
were seen frequently in the paralympic judo team. These demonstrated the presence
of mild cardiac adaptations to training.
PMID- 29791607
TI - Arteriosclerosis in Brazil. Findings from the Brazilian Longitudinal Study of
Adult Health (ELSA-Brasil).
PMID- 29791608
TI - HIV-1 genetic diversity and resistance to antiretroviral drugs among pregnant
women in Ribeirao Preto (SP), Brazil. Cross-sectional study.
AB - BACKGROUND: Increasing genetic diversity of HIV-1 and emergence of drug-resistant
mutations may reduce the efficacy of antiretroviral therapy and prophylaxis that
are used to prevent mother-to-child transmission. The aim of this study was to
assess the genetic diversity and prevalence of drug-resistant mutations among HIV
infected pregnant women. DESIGN AND SETTING: Cross-sectional study at an
outpatient clinic for infectious diseases within gynecology and obstetrics.
METHODS: This study evaluated the dynamics of HIV-1 subtypes and the prevalence
of transmitted and acquired drug-resistant mutations among 38 HIV-infected
pregnant women (20 previously exposed to antiretroviral therapy and 18 naive), in
Ribeirao Preto (SP), Brazil, between 2010 and 2011. Genotyping was performed by
means of molecular sequencing of the protease and reverse transcriptase regions
of the HIV-1 pol gene. RESULTS: Subtype B was identified in 84.2% of the samples,
recombinant forms between B and F in 7.9%, subtype F1 in 5.3% and the recombinant
form K/F in 2.6%. No mutation associated with transmitted drug resistance was
detected in the samples from the naive pregnant women, whereas mutations
associated with acquired drug resistance were found in 35.0% of the pregnant
women previously exposed to antiretroviral therapy. CONCLUSION: The results
showed that subtype B predominated, while there was low prevalence of sequences
with transmitted drug resistance.
PMID- 29791609
TI - Association between multidrug resistance-1 C3435T gene polymorphism and right
ventricular dysfunction in patients with chronic obstructive pulmonary disease:
cross-sectional study.
AB - BACKGROUND: Right ventricular (RV) dysfunction may develop over the course of
chronic obstructive pulmonary disease (COPD) and is an important predictor of
morbidity and mortality. Polymorphism of the multidrug resistance-1 (MDR-1) gene
has been correlated with worse clinical findings among patients with COPD. Our
aim here was to investigate the relationship between MDR-1 C3435T gene
polymorphism and RV dysfunction in COPD patients. DESIGN AND SETTING: This was a
cross-sectional study investigating the relationship between RV dysfunction and
genetic defects in COPD patients. METHODS: Forty-one consecutive patients
diagnosed with COPD and hospitalized due to acute exacerbation were enrolled.
Polymorphism was analyzed using the strip assay technique. RV parameters were
evaluated, and RV dysfunction was identified via transthoracic echocardiography.
Patients were categorized into three groups according to gene polymorphism: MDR-1
CC (wild type, n = 9), MDR-1 CT (heterozygote mutant, n = 21) or MDR-1 TT
(homozygote mutant, n = 11). RESULTS: The study included 14 males and 27 females
(mean age 65 +/- 11 years). The mean systolic pulmonary artery pressure was 31.4
+/- 8 mmHg in the wild-type group, 42.2 +/- 12 mmHg in the heterozygote mutant
group and 46.5+/-14 mmHg in the homozygote mutant group (P = 0.027). Presence of
RV dilatation was significantly different among the three groups (33%, 71%, and
100%, respectively; P = 0.005). In multiple logistic regression analysis, MDR-1
C3435T gene polymorphism (OR = 9.000, P = 0.019) was an independent predictor of
RV dysfunction after adjustment for potential confounders. CONCLUSION: MDR-1
C3435T gene polymorphism was associated with RV dysfunction in patients with
COPD.
PMID- 29791610
TI - What do Cochrane systematic reviews say about cardiac arrest management?
AB - CONTEXT AND OBJECTIVE: Cardiac arrest is associated with high morbidity and
mortality and imposes a significant burden on the healthcare system. Management
of cardiac arrest patients is complex and involves approaches with multiple
interventions. Here, we aimed to summarize the available evidence regarding the
interventions used in cardiac arrest cases. DESIGN AND SETTING: Review of
systematic reviews (SRs), conducted in the Discipline of Evidence-Based Medicine,
Escola Paulista de Medicina, Universidade Federal de Sao Paulo. METHODS: A
systematic search was conducted to identify all Cochrane SRs that fulfilled the
inclusion criteria. Titles and abstracts were screened by two authors. RESULTS:
We included nine Cochrane SRs assessing compression techniques or devices (three
SRs), defibrillation (two SRs) and other interventions (two SRs on hypothermia
interventions, one on airway management and one on pharmacological intervention).
The reviews included found qualities of evidence ranging from unknown to high,
regarding the benefits of these interventions. CONCLUSION: This review included
nine Cochrane systematic reviews that provided a diverse range of qualities of
evidence (unknown to high) regarding interventions that are used in management of
cardiac arrest. High-quality evidence was found by two systematic reviews as
follows: (a) increased survival until hospital discharge with continuous
compression, compared with interrupted chest compression, both administered by an
untrained person and (b) no difference regarding the return of spontaneous
circulation, comparing aminophylline and placebo, for bradyasystolic patients
under cardiac arrest. Further studies are needed in order to reach solid
conclusions.
PMID- 29791611
TI - Effective scientific communication: reflections and tips.
PMID- 29791612
TI - Application of a Brazilian test of expressive vocabulary in European Portuguese
children.
AB - Objective to investigate the performance of European Portuguese children in a
Brazilian test of expressive vocabulary, seeking to identify differences between
age groups and gender, and to verify its applicability in this population.
Methods the sample consisted of 150 typical developed children, of both genders,
between the ages of 5 and 6. All children attended public schools in the north
area of Portugal. To assess the semantic performance, the expressive vocabulary
sub-test of the language test (ABFW) was used, considering the percentage of
usual verbal assignments and the classification (adequate/inadequate) according
to the Brazilian reference values. Results the performance of the European
Portuguese children indicated that at age 6 they have a higher percentage of
correct answers in expressive vocabulary. As for the gender, there were only
occasional differences: the girls showed a greater dominance in the semantic
fields of clothing (both ages) and furniture and utensils (at age 5), whereas the
boys showed more dominance in the semantic field means of transportation (6
years). Regarding classification, there was no difference between age groups in
overall performance. Only the semantic field shapes and colors had more
individuals of 6 years with inadequate performance. Conclusion the reference
values adopted in the Brazilian population for semantic performance indicated
that more than 80% of the children of each age group could have their performance
classified as adequate. Such evidence suggests that this tool shows potential as
an instrument of quantitative vocabulary's assessment of 5 and 6-years old
children in European Portuguese.
PMID- 29791613
TI - Speech-language pathology program for reading comprehension and orthography:
effects on the spelling of dyslexic individuals.
AB - Purpose Prepare a Speech-language Pathology Program for Reading Comprehension and
Orthography and verify its effects on the reading comprehension and spelling of
students with Developmental Dyslexia. Methods The study sample was composed of
eleven individuals (eight males), diagnosed with Developmental Dyslexia, aged 09
11 years. All participants underwent a Speech-language Pathology Program in
Reading Comprehension and Orthography comprising 16 individual weekly sessions.
In each session, tasks of reading comprehension of texts and orthography were
developed. At the beginning and end of the Program, the participants were
submitted to a specific assessment (pre- and post-test). Results The individuals
presented difficulty in reading comprehension, but the Cloze technique proved to
be a useful remediation tool, and significant improvement in their performance
was observed in the post-test evaluation. The dyslexic individuals showed poor
performance for their educational level in the spelling assessment. At the end of
the program, their performance evolved, but it remained below the expected,
showing the same error pattern at the pre- and post-tests, with errors in both
natural and arbitrary spelling. Conclusion The proposed Speech-language Pathology
Program for Reading Comprehension and Orthography produced positive effects on
the reading comprehension, spelling, and motivation to reading and writing of the
participants. This study presents an unprecedented contribution by proposing
joint stimulation of reading and writing by means of a program easy to apply and
analyze in individuals with Developmental Dyslexia.
PMID- 29791614
TI - Correlation between the Voice Handicap and Swallowing Quality of Life in patients
with laryngeal cancer submitted to chemoradiotherapy.
AB - Purpose To verify the correlation between the voice handicap and swallowing
quality of life in individuals submitted to chemoradiotherapy for laryngeal
cancer treatment. Methods Cross-sectional, observational and quantitative study.
Fourteen male individuals diagnosed with laryngeal cancer were submitted to
exclusive chemoradiotherapy treatment. The individuals completed the Voice
Handicap Index (VHI) and the Swallowing Quality of Life (SWAL-QOL)
questionnaires. Data were submitted to descriptive and inferential analysis using
Spearman's Correlation Test to verify possible correlations among the scores of
these instruments. Values of p <= 0.05 were considered statistically significant.
Results No significant correlation was found between the total VHI and overall
SWAL-QOL scores. However, there was significant correlation between the domain
Functional of the VHI and the domains Fatigue and Social of the SWAL-QOL, between
the domain Organic in the VHI and the SWAL-QOL domain Social, and total VHI score
and SWAL-QOL domain Swallowing as a burden. Furthermore, chemoradiotherapy
treatment had a greater impact on the voice than on the swallowing for the
studied individuals. Conclusion The data showed a significant correlation between
voice handicap and the impact of quality of life involving swallowing in
individuals with laryngeal cancer submitted to chemoradiotherapy. This may affect
individuals' emotional and social aspects, impacting their overall quality of
life.
PMID- 29791615
TI - Health literacy and self-rated health in adults primary care patients.
AB - Purpose To verify the association between health literacy, social determinants
and self-rated health in adult's primary health care patients. Methods this is an
Observational cross-sectional study in which a total of 380 patients of the
Unified Health System in the context of primary health care were interviewed. The
sample was probabilistic, stratified by gender, age, and Basic Health Unit.
Health literacy was evaluated by an instrument of analysis of the perception of
adults about the understanding of health orientations and possible difficulties
in this process (Health Literacy Scale). Descriptive and association analyses
were performed (Pearson's chi-square test, p<=0.05). Results It was verified that
the majority of the interviewees belongs to classes C1 and C2 and attended high
school (complete or incomplete). Regarding self-rated health, to be considered
healthy and with good health were the predominant perceptions. In the Health
Literacy Scale, it was verified that most patients reported never presenting
difficulties in the situations of this instrument, except understanding written
orientations. It was observed the association with a statistical significance of
the better perception of health literacy with higher educational level and
economic classification, as well as with self-rated of good health. Conclusion
There was a statistical association between health literacy, social determinants,
and self-rated health in the analyzed adults. It is noteworthy the contribution
of the Health Literacy Scale for emphasizing the perception of difficulties in
everyday health situations. It is necessary to develop dialogic relationships
that build more robust communication processes between professionals and
healthcare patients to favor health literacy skills.
PMID- 29791616
TI - Short- and long-latency auditory evoked potentials in individuals with vestibular
dysfunction.
AB - Purpose Evaluate the auditory pathway at the brainstem and cortical levels in
individuals with peripheral vestibular dysfunction. Methods The study sample was
composed 19 individuals aged 20-80 years that presented exam results suggestive
of Peripheral Vestibular Disorder (PVD) or Vestibular Dysfunction (VD).
Participants underwent evaluation of the auditory pathway through Brainstem
Auditory Evoked Potentials (BAEP) (short latency) and P1, N1, P2, N2, and P300
cortical potentials (long latency). Results Nine individuals presented diagnosis
of VD and 10 participants were diagnosed with PVD. The overall average of the
long latency potentials of the participants was within the normal range, whereas
an increased mean was observed in the short latency of waves III and V of the
left ear, as well as in the I - III interpeak interval of both ears. Association
of the auditory potentials with VD and PVD showed statistically significant
correlation only in the III - V interpeak interval of the right ear for short
latency. Comparison between the long and short latencies in the groups showed
differences between VD and PVD, but without statistical significance. Conclusion
No statistically significant correlation was observed between VD/PVD and the
auditory evoked potentials; however, for the long latency potentials, individuals
with VD presented higher latency in P1, N1, P2, and N2, where as participants
with PVD showed higher latency in P300. In the short latency potentials, there
was an increase in the absolute latencies in the VD group and in the interpeak
intervals in the PVD group.
PMID- 29791617
TI - Speech perception task with pseudowords.
AB - Purpose Prepare a list of pseudowords in Brazilian Portuguese to assess the
auditory discrimination ability of schoolchildren and investigate the internal
consistency of test items and the effect of school grade on discrimination
performance. Methods Study participants were 60 schoolchildren (60% female)
enrolled in the 3rd (n=14), 4th (n=24) and 5th (n=22) grades of an elementary
school in the city of Sao Paulo, Brazil, aged between eight years and two months
and 11 years and eight months (99 to 136 months; mean=120.05; SD=10.26), with
average school performance score of 7.21 (minimum 5.0; maximum 10; SD=1.23).
Forty-eight minimal pairs of Brazilian Portuguese pseudowords distinguished by a
single phoneme were prepared. The participants' responses (whether the elements
of the pairs were the same or different) were noted and analyzed. The data were
analyzed using the Cronbach's Alpha Coefficient, Spearman's Correlation
Coefficient, and Bonferroni Post-hoc Test at significance level of 0.05. Results
Internal consistency analysis indicated the deletion of 20 pairs. The 28 items
with results showed good internal consistency (alpha=0.84). The maximum and
minimum scores of correct discrimination responses were 34 and 16, respectively
(mean=30.79; SD=3.68). No correlation was observed between age, school
performance, and discrimination performance, and no difference between school
grades was found. Conclusion Most of the items proposed for assessing the
auditory discrimination of speech sounds showed good internal consistency in
relation to the task. Age and school grade did not improve the auditory
discrimination of speech sounds.
PMID- 29791618
TI - Performance of children with speech sound disorders in the dynamic evaluation of
motor speech skills.
AB - Purpose To compare performance between children with typical speech acquisition,
phonological disorders, and childhood apraxia of speech for the variables overall
articulatory accuracy and consistency of the Dynamic Evaluation of Motor Speech
Skill - Brazilian Portuguese version (DEMSS-BR). Methods Study participants were
18 children of both genders aged 4 years and 6 months to 5 years and 8 months.
The sample was divided into three groups: six children with typical speech
acquisition (TSA), six children with phonological disorder (PD), and six
individuals with childhood apraxia of speech (CAS). All participants were
submitted to the DEMSS-BR and had their subscores of speech accuracy and
consistency measured. Performance comparison between the groups was statistically
evaluated using the Mann-Whitney U Test. Results Participants in the group with
CAS presented poorer performance for the variables overall articulatory accuracy
and consistency compared with those of participants in the other two groups.
Conclusion The group of children with childhood apraxia of speech presented
poorer performance on the DEMSS-BR compared with those of the groups with typical
speech acquisition and phonological disorders. The study demonstrated that the
DEMSS-BR assists with differential diagnosis of children with speech sound
disorders.
PMID- 29791619
TI - Temporal ordering and reading competence of words and pseudowords: a preliminary
study.
AB - Purpose Analyze the association of simple and complex auditory temporal ordering
skills with reading competence tasks in students. Methods Pilot phase of a cross
sectional study conducted with a non-probabilistic sample of 22 schoolchildren
aged eight to ten years. Instruments for evaluation of reading competence tasks
and simple and complex auditory temporal ordering abilities were used. Results
Most participants obtained adequate results in the tests of simple auditory
temporal ordering (SATO) and reading competence (RC), but inadequate results in
the test of complex auditory temporal ordering (CATO). The association of reading
competence with temporal processing showed no statistical significance.
Conclusion The study evidenced the importance to conduct more robust studies on
the theme and the viability of data collection in the school scenario.
PMID- 29791620
TI - Intervention time until discharge for newborns on transition from gavage to
exclusive oral feeding.
AB - Purpose Measure the intervention time required for transition from gavage to
exclusive oral feeding, comparing newborns exposed exclusively to the mother's
breast with those who, in addition to breastfeeding, received supplementation
using a cup or baby bottle. Methods Analytical, longitudinal, cohort study
conducted with 165 newborns (NB) divided into groups according to severity of
medical complications (G1-with no complications; G2-with significant
complications), and into subgroups according to feeding mechanism (A and B). All
NBs were low birth weight, on Kangaroo Mother Care, and breast stimulated
according to medical prescription and hospital routine. Regarding feeding
pattern, subgroup A comprised NBs exclusively breastfed at hospital discharge,
whereas subgroup B was composed of NBs fed through cup/bottle at some time during
hospitalization. The number of days spent in each stage of transition was
recorded for each NB. Results History of clinical complications significantly
influenced total intervention time. Study participants in subgroups G1-A (10
days), G1-B (9 days), and G2-A (12 days) displayed greater chances of early
discharge compared with those in subgroup G2-B (16 days). Conclusion NBs with no
important history of clinical complications displayed greater chances of early
hospital discharge. NBs with significant history of clinical complications that
underwent gavage to exclusive breastfeeding transition presented smaller
intervention time than those that required supplementation using cup/bottle.
Feeding transition using the gavage-to-exclusive oral feeding technique is
recommended for Speech-language Pathology practice in Neonatology.
PMID- 29791621
TI - Speech-language pathology aspects in a pediatric case of head and neck
arthrogryposis.
AB - Arthrogryposis is a rare, multiple, congenital syndrome of non-progressive nature
characterized by a series of genetic malformations, as well as stiffness and
joint contractures. This is a clinical case study whose objective is to describe
speech-language pathology disorders through the evaluation process in a case of
arthrogryposis in Pediatrics. The medical records of a patient were analyzed from
birth. A complete clinical evaluation of pediatric dysphagia was performed,
establishing a diagnosis of severe oropharyngeal dysphagia evidenced by
functional and structural impairments. Hearing loss was detected in association
with this condition.
PMID- 29791622
TI - Profile of the body mass index and associated factors in active elderlies.
AB - OBJECTIVE: Identifying the profile of Body Mass Index and associated factors in
active elderlies. METHOD: This is an analytical type of research with cross
sectional design and quantitative approach, with sample represented by 105
elderly people. The research instrument consisted of Cognitive assessment,
Sociodemographic data, Health conditions and Motor performance evaluation. The
data were analyzed by using descriptive analysis and binary logistic regression.
RESULTS: The elderlies without partners have 7.753 times the chance of presenting
excess weight when compared to those with partners. Having an income higher than
a minimum wage represents 6.014 times the chance of being overweight. Not having
health problems amounts to 0.015 times the chance of being overweight. In the
motorperformance, not presenting limitation of balance represents 6.785 times the
possibility of being affected by excess weight.
PMID- 29791623
TI - Social representation of elderly people on falls: structural analysis and in the
light of Neuman.
AB - OBJECTIVE: To understand the symbolic elements and the hierarchical system of
representations of elderly people on falls, according to Abric's structural
analysis and Neuman's theory. METHOD: Abric structural approach developed at the
home of primary care users in a city of Minas Gerais. A free evocation technique
of images triggered by images was performed in 2016 with elderly individuals
(>=65 years old). Data treated by dictionary of equivalent terms; processed in
Evoc 2000 software converging, analytically, according to Neuman. Ethical/legal
criteria were met. RESULTS: 195 people participated, 78.5% were women, and 45.1%
were aged >=75 years. Summarized 897 words; 155 different ones. Central nucleus
containing cognates: dizziness-vertigo-labyrinthitis and slipper-shoes
(behavioral and objective dimension). The word disease integrated the area of
contrast. Environmental and personal stressors were identified according to
Neuman. Final considerations: Objects and risk behaviors for falls integrated the
representations, although environmental and personal stressors indicate the need
for preventive interventions in the environment and in the intrapersonal
dimension.
PMID- 29791624
TI - The meaning of religion/religiosity for the elderly.
AB - OBJECTIVE: To understand the meaning of religion/religiosity for the elderly.
METHOD: A qualitative, phenomenological study, based on Martin Heidegger.
Thirteen older women registered in an Urban Social Center of Salvador, Bahia,
Brazil aged between 60 and 84 years participated in the study. The collection of
testimonies was carried out from November 2013 to May 2014 through
phenomenological interviews. RESULTS: Hermeneutics has unveiled the unit of
meaning: Meanings of religion/religiosity in the daily life of the elderly.
Religion/religiosity offers comfort and well-being to the elderly person, helping
to overcome changes arising from the aging process. Final considerations: The
nurse, while providing care, should expand his/her vision in relation to the
subjectivity of the elderly, in order to understand that religion/religiosity
gives meaning to their existence.
PMID- 29791625
TI - Self-care of elderly people after the diagnosis of acquired immunodeficiency
syndrome.
AB - OBJECTIVE: to characterize the seropositive elderly for the Human
Immunodeficiency Virus and Acquired Immunodeficiency Syndrome (HIV/AIDS) in their
socio-demographic aspects; to understand how the elderly take care of themselves
from the diagnosis of HIV/AIDS. METHOD: Qualitative, descriptive, exploratory
research conducted at a Voluntary Counseling and Testing Center with 10 elderly
people receiving treatment for HIV/AIDS. The data were analyzed according to the
content analysis. RESULTS: Data show the elderly people's lack of knowledge about
HIV/AIDS transmission, the experience of being elderly and having HIV/AIDS,
caring for oneself and life after diagnosis of HIV/AIDS in their daily lives.
Final considerations: The diagnosis of HIV/AIDS seropositivity in the elderly
generates a blend of feelings and fears that lead to food changes, adherence to
treatment and the renunciation of daily and social habits, manifested as ways of
self-care.
PMID- 29791626
TI - Aging and quality of life of elderly people in rural areas.
AB - OBJECTIVE: To evaluate the quality of life and health of elderly in rural areas
of Minas Gerais State's center-west. METHOD: Cross-sectional study, in four
municipalities of Minas Gerais State, by interviewing elderly people.
Associations between socio-demographic and quality of life variables were tested,
separated into "satisfactory"/"unsatisfactory" with values from the median of
positive answers. It was used the chi-square test, Fisher's test and regression.
RESULTS: 182 elderly answered the questions and showed a relation with the
"satisfactory" quality of life - bivariate (p < 0.05): age by 69 years (61.6%),
married (61.7%), living by 54 years in rural areas (68%), with no financial
support (59.5%), living with someone else (61%), non-smoker (60%), presenting
good health (76.7%), satisfied with life (69.6%); regression: not having
financial support, living with someone else and not smoking. CONCLUSION: Elderly
people in rural areas present good quality of life/health in the cognitive
aspect, access to services, goods, habits, but awareness must be constant due to
their weakness.
PMID- 29791627
TI - Emergency care units and dimensions of accessibility to health care for the
elderly.
AB - OBJECTIVE: to understand the conception of the elderly and their caregivers about
the accessibility to health mediated by the service in Emergency Care Units.
Methodo: a qualitative study conducted with 25 elderly patients and caregivers at
Emergency Care Units in a city of Parana, using Grounded Theory as a
methodological reference. RESULTS: According to the participants, the resources
available in these services guarantee medical consultation and provide access to
exams and medicines. Such resources have attracted patients and caused excess
demand, which implies a set of compromising factors for the quality of care in
these services. Final considerations: Investments in the restructuring of the
care network, especially in primary care, with an increase in the number of
consultations and the creation of a bond, can contribute to the emergency care
units achieving the goal of access to qualified assistance to the elderly
population.
PMID- 29791628
TI - Validation of the Falls Efficacy Scale - International in a sample of Portuguese
elderly.
AB - OBJECTIVE: to translate and adapt Falls Efficacy Scale - International (FES-I).
To analyze the psychometric properties of the FES-I Portugal version. METHOD:
psychometric study. Sample consisting of 170 elderly people residing in the
Autonomous Region of Madeira. A two- part form was used (sociodemographic
characterization and FES-I Portugal). The cross-cultural adaptation was performed
and the following psychometric properties were evaluated: validity (construct,
predictive, and discriminant), reliability (Cronbach's alpha), and inter-rater
reliability. RESULTS: the results allow us to verify a dimension of less
demanding physical activities and another of more demanding physical activities.
The inter-rater reliability study was 0.62, with an interclass correlation
coefficient of 0.859, for a 95% confidence interval. The internal consistency of
the Portuguese version was 0.962. CONCLUSION: the validity and reliability of the
FES-I Portugal are consistent with the original version and proved to be
appropriate instruments for evaluating the "impaired walking" and "risk of falls"
nursing diagnoses in the older people.
PMID- 29791629
TI - Self-perceived health and clinical-functional vulnerability of the elderly in
Belo Horizonte/Minas Gerais.
AB - OBJECTIVE: To determine the self-perceived health status and clinical-functional
vulnerability of the elderly attended at a Reference Center of Minas Gerais,
Brazil and to evaluate the association between these variables through the
Clinical-Functional Vulnerability Index (IVCF-20) instrument. METHOD: This is an
epidemiological, retrospective study of 311 medical records. Statistical analyses
were performed using the Stata program; the evaluations were by Pearson's Chi
square test and Poisson regression models. RESULTS: The majority of the elderly
presented negative self-perceived health status(70.10%); there was statistical
significance between negative self-perceived health and the variables of mood and
recent hospitalization. CONCLUSION: Perceived health status influences the
morbidity and mortality of the elderly. Mood disorders and recent
hospitalizations directly interfere with active aging.
PMID- 29791630
TI - Violence against the Brazilian elderlies: an analysis of hospitalizations.
AB - OBJECTIVE: to identify the prevalence of physical aggression and neglect and
abandonment in the hospitalizations of Brazilian elderly people for violence and
assault from 2008 to 2013 and the association of these causes with socio
demographic variables related to hospitalization. METHOD: quantitative,
descriptive, cross-sectional study with elderlies hospitalized for assault.
INCLUSION CRITERIA: to be 60 years old or over, to have been hospitalized in the
Unified Health System (SUS) for assault or neglect and abandonment, between 2008
and 2013. The data were collected in February 2016, in Datasus database and
descriptive and inferentially, using the Chi-square distribution, in the Epi Info
3.5.4 program. RESULTS: the prevalence of hospitalizations due to assaults and
violence prevailed among 60 and 69 years old men in the public sector. For
abandonment and neglect, there was a higher prevalence in women, over 80 years
old, in the public sector. CONCLUSION: nurses must be able to identify and
prevent violence against the elderly.
PMID- 29791631
TI - Educational technology: a facilitating instrument for the elderly care.
AB - OBJECTIVE: To develop educational technology with caregivers of older people
based on the needs, difficulties and concerns related to the elderly care
expressed by the caregivers themselves. METHOD: Research of qualitative nature,
with participant observation, based on concepts used by Paulo Freire. Data
collection and analysis used the "World Cafe" methodology and the thematic
content analysis, respectively. RESULT: The needs of these caregivers refer to
their training and information on aging. The difficulties highlighted are
deterrents to quality assistance to older adults, such as: insufficient
resources, environmental factor and relationship with the family. The interests
are evident in relation to the care and to its more subjective relationship.
Final considerations: Educational technologies, printed matter and media,
developed along with the caregivers, contribute to orientation and information of
caregiver, population and professionals as facilitating instruments, regarding
elderly care.
PMID- 29791632
TI - Population aging and the Nursing commitment.
PMID- 29791633
TI - Elderly and caregiver demand: proposal for a care need classification.
AB - OBJECTIVE: To propose a care need classification for elderly people by
identifying their functional demands. METHOD: Cross-sectional study carried out
in Sao Paulo, in 2006, with 1,413 elderly (>= 60 years old), participants in the
Health, Well-being and Aging study (SABE - Saude, Bem Estar e Envelhecimento).
For the care need classification, we used the Guttman Scaling method e the
frequency of assistance required by the elderly. RESULTS: The hierarchy of
activities of daily living had good internal consistency (alpha = 0.92) and
satisfactory coefficients of reproducibility (98%), scalability (84%) and minimum
marginal reproducibility (87%). Care need was categorized into: no need (requires
no caregiver), minimum need (requires caregiver sporadically), moderate need
(requires caregiver intermittently) and maximum need (requires full-time
caregiver). CONCLUSION: This classification will allow identifying elderly that
need assistance in everyday activities and will orientante health professionals
in the development of a line of care.
PMID- 29791634
TI - Effects of health education in the elderly with mild cognitive impairment.
AB - OBJECTIVE: to analyze the effects of health education on both cognition and
depressive/anxiety symptoms in the elderly with Mild Cognitive Impairment (MCI).
METHOD: this is a randomized and controlled clinical trial. Participants (n=22)
were recruited from a specialized outpatient clinic, and assigned into two
groups: a Health Education Group (HEG) (n=10) and a Control Group (CG) (n=12).
The participants were evaluated before and after the intervention, which was
composed of classes and dynamics. The intervention consisted of 20 meetings, over
a period of five months. The assessment was performed by means of the
Addenbrooke's Cognitive Examination - Revised (ACER), the Mini-Mental State
Examination to access participant's cognitive state, and the Beck's Scale to
access depressive/anxiety symptoms. A Memory Complaints Scale (EQM) was also
used. The analysis was carried out using the Student's t test for paired samples.
RESULTS: the HEG group demonstrated an improvement in attention/orientation (p=
0,026), memory (p=0.001), language (p= 0.033), and ACE-R (p= 0.003). On the other
hand, the CG did not present improvement. CONCLUSION: the results highlight the
importance of non-pharmacological interventions in older adults with MCI to
reduce cognitive deficits.
PMID- 29791635
TI - Elderly people with mental disorders: experiencing the use of psychotropic
medicines.
AB - OBJECTIVE: To interpret the experience of the elderly with mental disorder in the
use of psychotropic medicines. METHOD: Qualitative study in the interpretative
modality, supported by the Grounded Theory. It was carried out from interviews
with 16 elderly people with mental disorder and six relatives, totaling 22
participants. RESULTS: In the experience of the use of psychotropic medicines,
the elderly with mental disorders become aware of their condition, attribute
meaning and establish strategies for the correct use. On the other hand, they
express their dissatisfaction with being dependent on psychotropic medicines to
live without symptoms, face their side effects and do not always use them
correctly. CONCLUSION: The use of psychotropic medicines is a priority in the
life of the elderly and, in view of the fragilities found, it is necessary a
continuous monitoring of health professionals.
PMID- 29791636
TI - Family health strategy: relevance to the functional capacity of older people.
AB - OBJECTIVE: to identify the functional capacity of older people based on their
performance on basic activities of daily living. METHOD: a cross-sectional study,
carried out through the record of the Family Health teams working in the urban
area of a city in northern Minas Gerais, in 2015. To assess the functional
capacity of 373 older people, the Katz Scale was applied, which includes
dimensions on the performance of daily living activities. The interviews took
place in the participants' households. RESULTS: of the total participants, 6.9%
had some degree of dependence. Those aged over 80 years old and were living
without a partner had about three times more chances of presenting higher levels
of functional dependence. CONCLUSION: most older people enrolled in a Family
Health Strategy had their functional capacity preserved.
PMID- 29791637
TI - Board games: gerotechnology in nursing care practice.
AB - OBJECTIVE: To create a board game as a form of gerotechnology to promote active
and healthy aging. METHOD: This was a qualitative, convergent care study
conducted with 32 older adults. Individual interviews, creativity and sensitivity
techniques, group discussions, and participant observations guided by Paulo
Freire's theoretical framework of problematization were used to produce data. The
participants and researchers assessed the entire production process at the end of
the study, after the gerotechnology was implemented in the groups. French
discourse analysis was adopted. RESULTS: Patented under registration no. BR
1020160107725, the game was created as a technological product based on the
discussions with older adults, considering the conceptions, knowledge and
practices shared by them about aging. Final considerations: The gerotechnology
implemented in clinical nursing practices functioned as a playful resource to
exercise self-determination and independence among older adults, boosting memory,
self-esteem, socialization processes, exchanging experiences and shared learning.
PMID- 29791638
TI - Assessment of ulceration risk in diabetic individuals.
AB - OBJECTIVE: To identify the risk factors for foot ulceration through the tracing
of diabetic peripheral neuropathy and peripheral arterial disease in individuals
with type I and II diabetes, who were assisted in reference centers of the
Federal District, Brazil. METHOD: a cross-sectional and analytical study, with
the assessment of 117 individuals in outpatient clinics of the Federal District.
Continuous variables were compared through Mann-Whitney test, and categorized
variables, through Chi-square test for univariate analysis and Logistics
regression test for multivariate analysis. RESULTS: painful diabetic peripheral
neuropathy was present in 37 (75.5%) of the individuals with neuropathy.
Deformities and loss of protective plant sensibility were related to neuropathy
(p=0.014 and p=0.001, respectively). Of the 40 (34.2%) individuals in the sample
who presented peripheral arterial disease, 26 (65%) presented calcification risk.
CONCLUSION: signs of painful peripheral polyneuropathy, peripheral arterial
disease, deformities, loss of protective plantar sensibility, and dry skin were
identified as risk factors for ulceration.
PMID- 29791639
TI - Validity and reliability of the Brazilian version of the Caregiver Reaction
Assessment.
AB - OBJECTIVE: To analyze the validity based on the internal structure and
reliability of the Brazilian version of the Caregiver Reaction Assessment (CRA)
applied to informal caregivers of dependent elderly. METHOD: A methodological
study with 120 informal caregivers of the elderly. Validity based on the internal
structure evaluated by exploratory factorial analysis, with extraction of main
factorial axes, varimax orthogonal rotation and retention of factors by parallel
analysis. Reliability assessed by Cronbach's alpha. RESULTS: The instrument
revealed a factorial structure different from the original version: three factors
were identified, equivalent to subscales, self-esteem, daily activities and
family support, with explained variance of 42%. The values of the Cronbach alpha
for the items belonging to each subscale were, respectively: 0.71, 0.81 and 0.83.
CONCLUSION: The Brazilian version of CRA presents good evidence of validity based
on internal structure and is reliable, and can be used to assess the overload of
informal caregivers of dependent elderly.
PMID- 29791640
TI - Factors associated to the dependence of older adults with diabetes mellitus type
2.
AB - OBJECTIVE: to identify factors associated to the dependence of older adults with
Diabetes Mellitus, Type 2 Method: analytical and epidemiological study with 99
older adults. The Brazilian Multidimensional Functional Assessment Questionnaire
and a questionnaire composed by socio-demographic and clinical variables were
used. The independent variables were described and compared to the level of
dependence obtained by the chi-square test or the Fisher test, the strength of
association was estimated by Odds Ratio and multiple regression. RESULTS: the
level of dependence prevailed in 79.8% of the sample. Higher chances of
dependence were associated to: cutting toenails; performing household chores;
presenting geriatric problems; urinary incontinence; time of diagnosis of
diabetes; falls in the past year; and physical inactivity. CONCLUSION:
considering the positive association of diabetes mellitus to the increased chance
of dependence among older adults, there is need for direction and adoption of
effective measures to ensure the functionality and quality of life of older
adults with diabetes mellitus in a fair and democratic way.
PMID- 29791641
TI - Suicidal ideation and attempt of older women in Northeastern Brazil.
AB - OBJECTIVE: to associate the life experiences of older women from the Northeast of
Brazil with their suicidal ideation and attempts. METHOD: Qualitative study with
fourteen older adults from Piripiri (PI), Teresina (PI), Fortaleza (CE) and
Recife (PE) who were interviewed between November 2013 and July 2014. The
organization of data originated the themes: experiences of abuse throughout their
lives; marital fractures and social isolation; weakened motherhood. RESULTS: The
women were born and lived most of their life in the countryside, amid poverty and
social exclusion. They had a history of abuse, physical and sexual violence,
perpetrated by intimate partners and/or family members. They experienced social
isolation, weakened emotional bonds and several suicide attempts. Final
considerations: The life of these older women was affected by traumatic events
that enhanced feelings of hopelessness, depressive symptoms and the absence of a
plan for the future, making them consider suicide as a way to anticipate death.
PMID- 29791642
TI - Integrative review of literature: nursing care to aged people with HIV.
AB - OBJECTIVE: To identify evidences in scientific Brazilian literature on nursing
care to aged people with HIV. METHOD: Integrative review of literature from
databases: Latin American and Caribbean Literature on Health Sciences (LILACS),
Scientific Eletronic Library Online (SciELO), Cochrane and the Nursing Database
(BDENF). The applied inclusion criteria were publications that were fully
available from 2001 to 2015 and answered to the guiding question of this study.
RESULTS: We included 13 studies; and the categories that allowed a better
presentation of the scientific evidence on nursing care to aged people with HIV
carrier were: Epidemiological profile, perceptions and experiences of aged people
with HIV and nursing care to aged people with HIV. CONCLUSION: The studies
address nursing care from a clinic that follows NANDA diagnoses of strong
individualizing approach and low consideration of social aspects.
PMID- 29791643
TI - Conversation map: an educational strategy in the care of elderly people with
diabetes mellitus.
AB - OBJECTIVE: To report the experience of using diabetes conversation maps as an
educational strategy for diabetic elderly people. METHOD: Experience report,
conducted from July to December 2016 in a specialized outpatient clinic for
diabetics, in Fortaleza, Ceara, Brazil. A total of 72 users participated, between
diabetics and those accompanying them. RESULTS: The participants talked about
issues that were not addressed in personal consultations, and could see
themselves through the stories of others, thus realizing they were not alone and
that others also experienced the same difficulties as them. Through empathy and
the accounts of others, participants built knowledge and practices for their own
daily lives. Final Considerations: The conversation map enables professionals to
empower patients with diabetes, promoting self-care and ensuring better control
over the disease, in order to prevent or delay the onset of related
complications.
PMID- 29791644
TI - Temporal analysis of the functional status of older people in the state of
Paraiba, Brazil.
AB - OBJECTIVE: To verify the profile and the functional status of older people living
in the state of Paraiba, Brazil, from a temporal perspective. METHOD: This was a
descriptive study with secondary analysis of data from the Health Indicator and
Aging Policy Monitoring system (SISAP-Idoso - Sistema de Indicadores de Saude e
Acompanhamento de Politicas do Idoso) between 2000 and 2010. RESULTS: Over the
analyzed period, there was a growth of older women, people older than 85 years,
residents of urban areas, older people who live alone and who are not responsible
for the household. There was also a decrease of illiterate older people, with
monthly income of up to one minimum wage and in poverty situations. Concerning
the functional status, the proportion of older people who reported any permanent
mental, motor, visual or hearing disabilities has increased. CONCLUSION: We
suggest that the assistance must be directed towards environmental variables that
can influence the functional state, such as illiteracy, low income and
disabilities that contribute to the weakening of older people and must be
overcome.
PMID- 29791645
TI - Gerontological contributions to the care of elderly people in long-term care
facilities.
AB - OBJECTIVE: To analyze Brazilian scientific productions from the last 11 years
which show the contributions of nursing to elderly people in long-term care
facilities. METHOD: This is an integrative literature review. The search took
place in the Virtual Health Library (VHL) in the BDENF and LILACS databases and
the SCIELO virtual library, between June and October 2016, using the keyword long
term care facility and the descriptors nursing and geriatrics. RESULTS: Eleven
studies were selected, published 2005 and 2016, with various methodological
approaches that enabled discussion of the proposed objective. CONCLUSION: The
contributions of nursing to institutionalized elderly people were linked to
health promotion measures, as well as simple interventions, such as listening,
interacting, offering recreation and helping in psychoaffective relationships.
These activities contributed to raising the self-esteem of the individuals.
PMID- 29791646
TI - Repercussions of hospitalization due to fall of the elderly: health care and
prevention.
AB - OBJECTIVE: To know the repercussions of the fall reported by the elderly and
their caregiver during hospitalization in a public hospital in Florianopolis city
from October to December 2014. METHOD: Exploratory research with a qualitative
approach, conducted by depth interviews with 16 participants, the eight elderly
were hospitalized for falls and eight elderly caregivers. Data analysis were
performed through the Thematic Content Analysis. RESULTS: It was evidenced the
thematic axis: Faller Elderly supported by four thematic categories: Changes
caused by Falls, I am a faller, I take care of me and Prevention of the Fall. The
repercussions of the fall were evidenced in the impairment of the health
condition, self-care and functional capacity. We observed the naturalization of
the phenomenon and the passivity with the harmful consequences of the event.
Final Considerations: The fall is valued the more negative its repercussion, such
as the need for hospitalization and surgery. Managing the vulnerability of the
elderly, especially in primary care, evaluating their comorbidities and their
internal and external environment, will minimize unfavorable consequences and the
social and financial cost of hospitalizations.
PMID- 29791647
TI - Active methodologies for graduation in nursing: focus on the health care of older
adults.
AB - OBJECTIVE: To describe the experience of the use of simulation as active teaching
methodology in the Developmental Psychology discipline and share its impacts on
the students' learning process. METHOD: Based on Active Methodologies, the
students in Nursing of Universidade do Oeste Paulista - Presidente Prudente-SP
developed simulated visits to older users of the Family Health Strategies.
RESULTS: In accordance with the 2014 National Curriculum Guidelines and the
Brazilian Unified Health System, particularities of the needs of older adults at
their homes were problematized. Final considerations: Addressing the
biopsychosocial needs and integrality associated with the health of older adults
in simulated home visits provides a differentiated instrument in the development
of skills and competence of future nurses.
PMID- 29791648
TI - Time use by family caregivers of elderly with dementia: an integrative review.
AB - OBJECTIVE: To describe the development of measures used between 1993 and 2016 to
evaluate time use by family caregivers of elderly with dementia and to find out
the patterns of time use identified in the literature. METHOD: An integrative
review of articles was performed, indexed by the following terms: time use
management, family caregiver and elderly. RESULTS: A total of 17 articles were
found, of which seven were methodological. Among these seven articles, five were
psychometric. The most frequently used measures were self-reporting (matrices,
questionnaires and inventories), validated through objective measures of
occurrence and duration. Longitudinal, prospective, clinical and correlational
studies showed that care time covaries with the receptors' dependence and that
the caregivers' subjective well-being is more affected by the time restriction to
free choice activities than the burden resulting from obligatory activities.
Final considerations: Valid self-reporting measures are widely used nowadays and
they are considered to be effective to assess the objective and subjective costs
of health care for dementia.
PMID- 29791649
TI - Bouts of exercise elicit discordant testosterone: cortisol ratios in runners and
non-runners.
AB - OBJECTIVE: The testosterone:cortisol ratio (T:C) is suggested to be used in order
to examine whether physical exercise generates either a "catabolic environment"
or an "anabolic environment". The present study aims to evaluate the acute time
course profile of cortisol and testosterone due to an episode of physical
exercise. A biphasic profile in the T:C ratio response was hypothesized.
MATERIALS AND METHODS: Morning sessions of treadmill running at two different
intensities (Heart Rate at 65% and 80% of the maximum cardiac reserve) were
performed by 6 male non-runners (NR) and 12 trained male runners (subdivided into
trained runners T1 and T2). Cortisol and testosterone were measured in saliva. NR
and T1 ran for 30 minutes at both intensities, and T2 ran for 46 minutes (+/-
4.1) at 65% and 42 minutes (+/- 3.5) at 80%. RESULTS: In the 80% heart rate
target, both groups of runners showed the biphasic time-profile, while the non
runners group did not. However, at the 65% level, none of the groups presented
the hypothesized biphasic response. CONCLUSIONS: A biphasic time-profile in the
testosterone:cortisol ratio can be seen in short-bout, high intensity exercise
(treadmill running) during the morning in men trained for this specific physical
activity.
PMID- 29791650
TI - Metabolic syndrome components are associated with oxidative stress in overweight
and obese patients.
AB - OBJECTIVE: The aim of this study is to evaluate the influence of the body mass
index (BMI) and the metabolic syndrome (MetS) parameters on oxidative and
nitrosative stress in overweight and obese subjects. SUBJECTS AND METHODS:
Individuals were divided into three groups: the control group (G1, n = 131) with
a BMI between 20 and 24.9 kg/m2, the overweight group (G2, n = 120) with a BMI
between 25 and 29.9 kg/m2 and the obese group (G3, n = 79) with a BMI >= 30
kg/m2. RESULTS: G3 presented higher advanced oxidation protein products (AOPPs)
in relation to G1 and G2 (p = 0.001 and p = 0.011, respectively) whereas G2 and
G3 had lower levels of nitric oxide (NO) (p = 0.009 and p = 0.048, respectively)
compared to G1. Adjusted for the presence of MetS to evaluate its influence, the
levels of AOPPs did not differ between the groups, whereas NO remained
significantly lower. Data adjusted by the BMI showed that subjects with higher
triacylglycerol levels had higher AOPPs (p = 0.001) and decreased total radical
trapping antioxidant parameter/uric Acid (p = 0.036). Subjects with lower high
density lipoprotein (HDL) levels and patients with higher blood pressure showed
increased AOPPs (p = 0.001 and p = 0.034, respectively) and lower NO levels (p =
0.017 and p = 0.043, respectively). Subjects who presented insulin resistance had
higher AOPPs (p = 0.024). CONCLUSIONS: Nitrosative stress was related to BMI, and
protein oxidation and nitrosative stress were related to metabolic changes and
hypertension. MetS components were essential participants in oxidative and
nitrosative stress in overweight and obese subjects.
PMID- 29791652
TI - Inflammatory myopathy in the context of an unusual overlapping laminopathy.
AB - Laminopathies are genetic disorders associated with alterations in nuclear
envelope proteins, known as lamins. The LMNA gene encodes lamins A and C, and
LMNA mutations have been linked to diseases involving fat (type 2 familial
partial lipodystrophy [FPLD2]), muscle (type 2 Emery-Dreifuss muscular dystrophy
[EDMD2], type 1B limb-girdle muscular dystrophy [LGMD1B], and dilated
cardiomyopathy), nerves (type 2B1 Charcot-Marie-Tooth disease), and premature
aging syndromes. Moreover, overlapping syndromes have been reported. This study
aimed to determine the genetic basis of an overlapping syndrome in a patient with
heart disease, myopathy, and features of lipodystrophy, combined with severe
metabolic syndrome. We evaluated a 54-year-old woman with rheumatoid arthritis,
chronic hypercortisolism (endogenous and exogenous), and a history of cured
adrenal Cushing syndrome. The patient presented with a complex disorder,
including metabolic syndrome associated with mild partial lipodystrophy
(Kobberling-like); mild hypertrophic cardiomyopathy, with Wolff-Parkinson- White
syndrome and atrial fibrillation; and limb-girdle inflammatory myopathy.
Mutational analysis of the LMNA gene showed a heterozygous c.1634G>A (p.R545H)
variant in exon 10 of LMNA. This variant has previously been independently
associated with FPLD2, EDMD2, LGMD1B, and heart disease. We describe a new, LMNA
associated, complex overlapping syndrome in which fat, muscle, and cardiac
disturbances are related to a p.R545H variant.
PMID- 29791653
TI - Waist circumference is an effect modifier of the association between bone mineral
density and glucose metabolism.
AB - OBJECTIVE: The role of bone markers on insulin resistance (IR) remains
controversial. The objective of this study is to evaluate the association between
bone mineral density (BMD) and glucose metabolism and investigate if visceral
hyperadiposity, evaluated by waist circumference (WC), is an effect modifier of
this association. SUBJECTS AND METHODS: Cross-sectional analysis with 468 young
adults from the fourth follow-up of the 1978/79 Ribeirao Preto prospective birth
cohort, Brazil. BMD, total osteocalcin (OC), fasting plasma glucose and insulin
concentrations were assessed. IR, sensitivity (S) and secretion (beta) were
estimated by homeostasis model assessment (HOMA) indexes. Multiple linear
regression models were constructed to estimate the association between BMD and
glucose metabolism. Beta coefficient, R2 and p-values were provided. WC was
tested as an effect modifier and OC as a confounder. The covariates were selected
based on Direct Acyclic Graph. RESULTS: Significant interaction between BMD
(femoral neck and proximal femur areas) and WC on glucose metabolism was observed
in the adjusted models. Subjects with increased WC presented a positive
association between BMD and log HOMA1-IR while an inverse association was found
in those with normal WC (femoral neck R2 = 0.17, p = 0.036; proximal femur R2 =
0.16, p = 0.086). BMD was negatively associated with log HOMA2-S in individuals
with increased WC and positively in those with normal WC (femoral neck R2 = 0.16,
p = 0.042; proximal femur R2 = 0.15, p = 0.097). No significant associations
between BMD, log HOMA2-beta and OC and glucose metabolism markers were observed.
CONCLUSIONS: BMD was associated with glucose metabolism, independently of OC, and
WC modifies this association.
PMID- 29791651
TI - Relationship between adiponectin and leptin on osteocalcin in obese adolescents
during weight loss therapy.
AB - OBJECTIVES: Obesity is a multifactorial disease characterized by the presence of
the pro-inflammatory state associated with the development of many comorbidities,
including bone turnover marker alterations. This study aimed to investigate the
role of the inflammatory state on bone turnover markers in obese adolescents
undergoing interdisciplinary weight loss treatment for one year. SUBJECTS AND
METHODS: Thirty four post-pubescent obese adolescents with primary obesity, a
body mass index (BMI) greater than > 95th percentile of the CDC reference growth
charts, participated in the present investigation. Measurements of body
composition, bone turnover markers, inflammatory biomarkers and visceral and
subcutaneous fat were taken. Adolescents were submitted to one year of
interdisciplinary treatment (clinical approach, physical exercise, physiotherapy
intervention, nutritional and psychological counseling). RESULTS: Reduction in
body mass, body fat mass, visceral and subcutaneous fat, as well as, an increase
in the body lean mass and bone mineral content was observed. An improvement in
inflammatory markers was seen with an increase in adiponectin, adiponectin/leptin
ratio and inteleukin-15. Moreover, a positive correlation between the
adiponectin/leptin ratio and osteocalcin was demonstrated. Further, both lean and
body fat mass were predictors of osteocalcin. Negative associations between
leptin with osteocalcin, adiponectin with Beta CTX-collagen, and visceral fat
with adiponectin were observed. CONCLUSIONS: It is possible to conclude that the
inflammatory state can negatively influence the bone turnover markers in obese
adolescents. In addition, the interdisciplinary weight loss treatment improved
the inflammatory state and body composition in obese adolescents. Therefore, the
present findings should be considered in clinical practice.
PMID- 29791654
TI - Maternal hypothyroxinemia in the first trimester of gestation and association
with obstetric and neonatal outcomes and iron deficiency: a prospective Brazilian
study.
AB - OBJECTIVE: To evaluate the association of isolated hypothyroxinemia in the first
trimester with obstetric and neonatal outcomes and iron deficiency. SUBJECTS AND
METHODS: The study was prospective. Women who had become pregnant spontaneously
were initially selected. Next, anti-thyroid peroxidase antibodies (TPOAb), free
T4 (FT4), total T4 (TT4), TSH, and ferritin were measured. TPOAb-positive women
were excluded. The final sample consisted of 596 women with serum TSH between 0.1
and 2.5 mIU/l. Hypothyroxinemia was defined as FT4 < 0.86 ng/dL and < 0.92 ng/dL,
corresponding to the 5th and 10th percentiles, respectively, and TT4 < 7.8 ng/dL.
None of the pregnant women was treated with levothyroxine until the end of
pregnancy. RESULTS: The women ranged in age from 18 to 36 years, with a median
gestation of 9 weeks. T4 levels were not correlated with BMI or maternal TSH.
Isolated hypothyroxinemia was observed in 4.3% (FT4 < 0.86 ng/dL), 9% (FT4 < 0.92
ng/dL), and 7% (TT4 < 7.8 ng/dL) of the pregnant women. The frequencies of
obstetric and neonatal outcomes were similar in women with versus without
hypothyroxinemia. In women without iron deficiency, 8.4%, 3.9%, and 6.5% had FT4
< 0.92 ng/dl, FT4 < 0.86 ng/dL and TT4 < 7.8 ng/dL, respectively. These
frequencies of hypothyroxinemia were significantly higher among women with iron
deficiency (20.7%, 14.8% and 17.2%, respectively). CONCLUSIONS: This prospective
Brazilian study found no association between isolated hypothyroxinemia in the
first trimester of gestation and obstetric or neonatal outcomes, but an
association was demonstrated with iron deficiency.
PMID- 29791655
TI - Accuracy of sentinel lymph node mapping in detecting occult neck metastasis in
papillary thyroid carcinoma.
AB - OBJECTIVES: The objectives of this study were to evaluate the following: 1) the
accuracy of sentinel lymph node mapping (SLNM) in detecting metastasis in
papillary thyroid carcinoma (PTC), and 2) if SLNM could modify the American Joint
Committee on Cancer (AJCC) staging of previous cN0 PTC patients. SUBJECTS AND
METHODS: Forty SLNM were performed prospectively in 38 consecutive cN0 PTC
patients, with total thyroidectomy and elective compartment neck dissection
(CND). The results of SLNM were compared with CND pathological findings to verify
the accuracy of sentinel SLNM. RESULTS: The mean patients' follow-up was 36
months. A total of 133 SLN were found at levels VI, II, III and IV. The SLN was
identified in 95% of the patients with one false negative, 95% sensitivity, a 94%
negative predictive value and 97% accuracy. The SLNM upstaging from cN0 to pN+
was 49%, and to stages III and IVa, it was 21%. CONCLUSIONS: For this series of
cN0 PTC patients: 1) SLNM accuracy was 97%, and 2) SLNM upstaging from cN0 to pN+
was 49%, whereas to stages III and IVa, it was 21%.
PMID- 29791656
TI - Long term maintenance of glucose and lipid concentrations after Roux-en-Y gastric
bypass.
AB - OBJECTIVE: Roux-en-Y gastric bypass (RYGB) reduces body weight and the
comorbidities associated with obesity. The aim of this study was to evaluate
whether glucose and lipid profiles were maintained during a 5-year follow-up
period after RYGB. SUBJECTS AND METHODS: Anthropometric and laboratory data from
323 patients who had undergone this operation were analyzed. Differences in
laboratory variables between the baseline and 12, 24, 36, 48 and 60 months
postoperatively (PO) were assessed using a one-way ANOVA test to compare the
three groups. Delta significance using one-way ANOVA was performed to assess
anthropometric variable in the postoperative period (p < 0.05). RESULTS: 77
patients (24%) were included in Group 1 (G1), 101 (32%) in Group 2 (G2), and 141
(44%) in Group 3 (G3). The majority of patients, 71.7% in G1, 82.8% in G2, and
70% in G3, showed high triglycerides (TG) before surgery. A decrease in weight
loss was observed in all groups followed by an increase in body weight in G2 and
G3 at 36, 48 and 60 months. Laboratory results for G1, G2 and G3 showed no
significant differences between groups at baseline and during the post-operative
period. CONCLUSION: Our results suggest that weight regain after RYGB has no
significant impact on the long-term evolution of the lipid profile and glycemia.
PMID- 29791657
TI - Estimated costs of hospitalization due to coronary artery disease attributable to
familial hypercholesterolemia in the Brazilian public health system.
AB - OBJECTIVE: Cardiovascular diseases are the leading cause of death in Brazil,
imposing substantial economic burden on the health care system. Familial
hypercholesterolemia (FH) is known to greatly increase the risk of premature
coronary artery disease (CAD). This study aimed to estimate the economic impact
of hospitalizations due to CAD attributable to FH in the Brazilian Unified Health
Care System (SUS). SUBJECTS AND METHODS: Retrospective, cross-sectional study of
data obtained from the Hospital Information System of the SUS (SIHSUS). We
selected all adults (>= 20 years of age) hospitalized from 2012--2014 with
primary diagnoses related to CAD (ICD-10 I20 to I25). Attributable risk
methodology estimated the contribution of FH in the outcomes of interest, using
international data for prevalence (0.4% and 0.73%) and relative risk for events
(RR = 8.56). RESULTS: Assuming an international prevalence of FH of 0.4% and
0.73%, of the 245,981 CAD admissions/year in Brazil, approximately 7,249 and
12,915, respectively, would be attributable to an underlying diagnosis --of FH.
The total cost due to CAD per year, considering both sexes and all adults, was R$
985,919,064, of which R$ 29,053,500 and R$ 51,764,175, respectively, were
estimated to be attributable to FH. The average cost per FH-related CAD event was
R$ 4,008. CONCLUSION: Based on estimated costs of hospitalization for CAD, we
estimated that 2.9-5.3% are directed to FH patients. FH can require early
specific therapies to lower risk in families. It is mandatory to determine the
prevalence of FH and institute appropriate treatment to minimize the clinical and
economic impact of this disease in Brazil.
PMID- 29791658
TI - Self-report of psychological symptoms in hypoparathyroidism patients on
conventional therapy.
AB - OBJECTIVE: Hypoparathyroidism is characterized by parathyroid hormone deficiency
and hypocalcemia. It has been demonstrated that these patients may also present
psychiatric symptoms and decrease of quality of life. The aims of this study were
to evaluate the presence of psychopathological symptoms in a cohort of patients
with hypoparathyroidism and compare to a control group. SUBJECTS AND METHODS:
Patients were submitted to a cross-sectional Symptom Checklist-90-R (SCL-90-R)
questionnaire that evaluates psychopathological symptoms by means of the Global
Severity Index (GSI), Positive Symptoms Total (PST) and Positive Symptom Distress
Index (PSDI). A score based in the positive symptoms was calculated (T-score).
The test group was composed of patients with hypoparathyroidism, and control by
thyroidectomized patients without hypoparathyroidism. A correlation between the
presence of psychological symptoms and clinical features was analyzed. RESULTS:
The study included 57 patients with a mean age of 51.1 +/- 16.4 years; 20 as a
control and 37, test group. There were no differences between groups regarding
gender, mean age and age at diagnose. Hypoparathyroidism patients presented
higher GSI index than the control group (p = 0.038). Mean T-score of the test
group was as elevated as 58.2 +/- 5.3 (reference range < 55). No correlation of
the number of psychological symptoms to clinical and laboratorial parameters was
observed. CONCLUSION: Patients with hypoparathyroidism attending our outpatient
clinics presented an increase in the number of self-report of psychological
symptoms when compared with a control group. However, no correlation with
hypocalcemia and clinical parameters was observed. Future studies are necessary
to evaluated if the absence of PTH play a role on it.
PMID- 29791659
TI - Serum TSH level stability after 5 years in euthyroid adults at low risk for
thyroid dysfunction.
AB - OBJECTIVE: To evaluate changes in thyroid function after 5 years, the interval
proposed for new assessment, in initially euthyroid adults. SUBJECTS AND METHODS:
Initially, 1,426 apparently healthy adults considered low risk for thyroid
dysfunction, were evaluated by measurement of TSH. After 5 years, 1,215 (85.2%)
subjects were reevaluated. RESULTS: After 5 years, four subjects were receiving
levothyroxine (L-T4) replacement therapy and 25 others had TSH > 4 mIU/L, only
two of them with TSH > 10 mIU/L. All of these subjects had TSH > 3 mIU/L in the
initial evaluation. During reassessment, none of the subjects had been or was
treated for hyperthyroidism and 22 had TSH < 0.4 mIU/L (none of them < 0.1
mIU/L). Nineteen of these subjects had TSH <= 0.6 mIU/L in the initial
evaluation. Among the 1,098 subjects with TSH between 0.6 and 3 mIU/L in the
initial evaluation, reassessment showed that none of the subjects was using L-T4;
only three had TSH > 4 mIU/L (none of them > 10 mIU/L); none had been or was
treated for hyperthyroidism, and only three had TSH < 0.4 mIU/L (none of them <
0.1 mIU/L). These results did not differ between men and women or between
subjects <= 60 and > 60 years. CONCLUSION: Repeat TSH measurement within an
interval of only 5 years would not be cost-effective in adults without known
thyroid disease or risk factors for dysfunction who exhibit TSH between 0.6 and 3
mIU/L.
PMID- 29791661
TI - Effectiveness and safety of carbohydrate counting in the management of adult
patients with type 1 diabetes mellitus: a systematic review and meta-analysis.
AB - OBJECTIVE: This study aimed to evaluate the effectiveness and safety of
carbohydrate counting (CHOC) in the treatment of adult patients with type 1
diabetes mellitus (DM1). MATERIALS AND METHODS: We performed a systematic review
of randomized studies that compared CHOC with general dietary advice in adult
patients with DM1. The primary outcomes were changes in glycated hemoglobin
(HbA1c), quality of life, and episodes of severe hypoglycemia. We searched the
following electronic databases: Embase, PubMed, Lilacs, and the Cochrane Central
Register of Controlled Trials. The quality of evidence was analyzed using the
Grading of Recommendations Assessment, Development and Evaluation (GRADE).
RESULTS: A total of 3,190 articles were identified, and two reviewers
independently screened the titles and abstracts. From the 15 potentially eligible
studies, five were included, and 10 were excluded because of the lack of
randomization or different control/intervention groups. Meta-analysis showed that
the final HbA1c was significantly lower in the CHOC group than in the control
group (mean difference, random, 95% CI: -0.49 (-0.85, -0.13), p = 0.006). The
meta-analysis of severe hypoglycemia and quality of life did not show any
significant differences between the groups. According to the GRADE, the quality
of evidence for severe hypoglycemia, quality of life, and change in HbA1c was
low, very low, and moderate, respectively. CONCLUSION: The meta-analysis showed
evidence favoring the use of CHOC in the management of DM1. However, this benefit
was limited to final HbA1c, which was significantly lower in the CHOC than in the
control group.
PMID- 29791660
TI - Potential role of sorafenib as neoadjuvant therapy in unresectable papillary
thyroid cancer.
AB - Total thyroidectomy, radioiodine (RAI) therapy, and TSH suppression are the
mainstay treatment for differentiated thyroid carcinomas (DTCs). Treatments for
metastatic disease include surgery, external-beam radiotherapy, RAI, and kinase
inhibitors for progressive iodine-refractory disease. Unresectable locoregional
disease remains a challenge, as standard therapy with RAI becomes unfeasible. We
report a case of a young patient who presented with unresectable papillary
thyroid carcinoma (PTC), and treatment with sorafenib allowed total thyroidectomy
and RAI therapy. A 20-year-old male presented with severe respiratory distress
due to an enlarging cervical mass. Imaging studies revealed an enlarged
multinodular thyroid gland, extensive cervical adenopathy, severe tracheal
stenosis, and pulmonary micronodules. He required an urgent surgical intervention
and underwent tracheostomy and partial left neck dissection, as the disease was
deemed unresectable; pathology revealed PTC. Treatment with sorafenib was
initiated, resulting in significant tumor reduction allowing near total
thyroidectomy and bilateral neck dissection. Postoperatively, the patient
underwent radiotherapy for residual tracheal lesion, followed by RAI therapy for
avid cervical and pulmonary disease. The patient's disease remains stable 4 years
after diagnosis. Sorafenib has been approved for progressive RAI-refractory
metastatic DTCs. In this case report, we describe a patient with locally advanced
PTC in whom treatment with sorafenib provided sufficient tumor reduction to allow
thyroidectomy and RAI therapy, suggesting a potential role of sorafenib as an
induction therapy of unresectable DTC.
PMID- 29791662
TI - Graves' ophthalmopathy: low-dose dexamethasone reduces retinoic acid receptor
alpha gene expression in orbital fibroblasts.
AB - OBJECTIVE: Graves' ophthalmopathy (GO) is an autoimmune disease that leads to
ocular proptosis caused by fat accumulation and inflammation, and the main
treatment is corticosteroid therapy. Retinoid acid receptor-alpha (RARalpha)
seems to be associated with inflammation and adipocyte differentiation. This
study aimed to assess the effect of glucocorticoid treatment on orbital
fibroblasts of GO patient treated or not with different glucocorticoid doses.
MATERIALS AND METHODS: Orbital fibroblasts collected during orbital decompression
of a female patient with moderately severe/severe GO were cultivated and treated
with 10 nM and 100 nM dexamethasone (Dex). rRARalpha gene expression in the
treated and untreated cells was then compared. RESULTS: Fibroblast RARalpha
expression was not affected by 100 nM Dex. On the other hand, RARalpha expression
was 24% lower in cells treated with 10 nM Dex (p < 0.05). CONCLUSIONS: Orbital
fibroblasts from a GO patient expressed the RARalpha gene, which was unaffected
by higher, but decreased with lower doses of glucocorticoid.
PMID- 29791664
TI - [Competition in the arts of midwifery in the city of Rio de Janeiro from 1835 to
1900].
AB - The article discusses competition between midwives and doctors offering birth
related services in the city of Rio de Janeiro from 1835 to 1900. The research
analyzed minutes from meetings, textbooks on births, and terms from qualification
examinations for physicians, surgeons, apothecaries, and midwives at the Rio de
Janeiro Medical School (Faculdade de Medicina do Rio de Janeiro), as well as
announcements by and advertisements for doctors and midwives in columns featuring
advertisements and useful recommendations in the Jornal do Commercio newspaper.
An increase in the number of delivery physicians, and their scientific discourses
led midwives to feel an obligation to diversify their clientele, consequently
establishing themselves and working in lower-class and inhospitable areas.
PMID- 29791663
TI - [Evolution and revolution: the anarchist geographers Elisee Reclus and Petr
Kropotkin and their connection to modern science in the nineteenth and twentieth
centuries].
AB - This text examines the construction of a line of scientific thinking by a group
of anarchist geographers who were active in the nineteenth and twentieth
centuries, most famously represented by Elisee Reclus and Petr Kropotkin. The
members of this network were simultaneously intellectuals and activists, and the
originality of their scientific production stands out in comparison with the
science of that time. They were also interested in disciplines such as sociology,
anthropology, and pedagogy, and used the scientific tools from the leading
intellectual trains of thought of that era (such as positivism and especially
evolutionism) in an attempt to reach different conclusions that did not justify
social inequalities, but rather could be used to construct a fairer society.
PMID- 29791666
TI - Prevalence of polymorphisms in the ANKK1, DRD2, DRD3 genes and metabolic syndrome
in refractory schizophrenia.
AB - OBJECTIVE: to estimate the prevalence of TaqIA, -141C and rs6280 polymorphisms of
the ANKK1, DRD2 and DRD3 genes and evaluate their association with the occurrence
of metabolic syndrome in patients with refractory schizophrenia. METHOD: cross
sectional study conducted in the Extended Western Region of Minas Gerais, with
refractory schizophrenic patients using the antipsychotic clozapine.
Sociodemographic, clinical, anthropometric, biochemical and genetic data were
collected. Univariate analysis of the data was performed. RESULTS: seventy-two
patients participated in the study and the occurrence of Metabolic Syndrome was
observed in 47.2% of them. There was no association between Metabolic Syndrome
and the studied polymorphisms. There was a statistically significant difference
in the low HDL parameter with homozygous genotype for the C allele of the -141C
polymorphism of the DRD2 gene. CONCLUSION: a high prevalence of MS was evidenced.
The -141C polymorphism was associated with low HDL. Genetic analysis and
identification of metabolic alterations in this group of patients can guide drug
treatment and provide a better quality of life.
PMID- 29791665
TI - Serial album validation for promotion of infant body weight control.
AB - OBJECTIVE: to validate the content and appearance of a serial album for children
aged from 7 to 10 years addressing the topic of prevention and control of body
weight. METHOD: methodological study with descriptive nature. The validation
process was attended by 33 specialists in educational technologies and/or in
excess of infantile weight. The agreement index of 80% was the minimum considered
to guarantee the validation of the material. RESULTS: most of the specialists had
a doctoral degree and a graduate degree in nursing. Regarding content,
illustrations, layout and relevance, all items were validated and 69.7% of the
experts considered the album as great. The overall agreement validation index for
the educational technology was 0.88. Only the script-sheet 3 did not reach the
cutoff point of the content validation index. Changes were made to the material,
such as title change, inclusion of the school context and insertion of
nutritionist and physical educator in the story narrated in the album.
CONCLUSION: the proposed serial album was considered valid by experts regarding
content and appearance, suggesting that this technology has the potential to
contribute in health education by promoting healthy weight in the age group of 7
to 10 years.
PMID- 29791667
TI - Good practices in normal childbirth: reliability analysis of an instrument by
Cronbach's Alpha.
AB - OBJECTIVES: to analyze the internal consistency of the evaluation instrument of
the adherence to the good practices of childbirth and birth care in the
professionals, through Cronbach's Alpha Coefficient for each of the dimensions
and for the total instrument. METHOD: this is a descriptive and cross-sectional
study performed in obstetric centers of eleven public hospitals in the Federal
District, with a questionnaire applied to 261 professionals who worked in the
delivery care. RESULTS: The study was attended by 261 professionals, 42.5% (111)
nurses and 57.5% (150) physicians. The reliability evaluation of the instrument
by the Cronbach Alfa resulted in 0.53, 0.78 and 0.76 for dimensions 1, 2 and 3,
after debugging that resulted in the exclusion of 11 items. CONCLUSIONS: the
instrument obtained Cronbach's alpha of 0.80. There is a need for improvement in
the items of dimension 1 that refer to attitudes, knowledge, and practices of the
organization of the network of care to gestation, childbirth, and birth. However,
it can be applied in the way it is used to evaluate practices based on scientific
evidence of childbirth care.
PMID- 29791668
TI - Phlebitis and infiltration: vascular trauma associated with the peripheral venous
catheter.
AB - OBJECTIVE: to determine the incidence rate and risk factors for the nursing
sensitive indicators phlebitis and infiltration in patients with peripheral
venous catheters (PVCs). METHOD: cohort study with 110 patients. Scales were used
to assess and document phlebitis and infiltration. Socio-demographic variables,
clinical variables related to the PVC, medication and hospitalization variables
were collected. Descriptive and inferential analysis and multivariate logistic
models were used. RESULTS: the incidence rate of phlebitis and infiltration was
respectively 43.2 and 59.7 per 1000 catheter-days. Most PVCs with these vascular
traumas were removed in the first 24 hours. Risk factors for phlebitis were:
length of hospital stay (p=0.042) and number of catheters inserted (p<0.001);
risk factors for infiltration were: piperacillin/tazobactan (p=0.024) and the
number of catheters inserted (p<0.001). CONCLUSION: the investigation documented
the incidence of nursing-sensitive indicators (phlebitis and infiltration) and
revealed new risk factors related to infiltration. It also allowed a reflection
on the nursing care necessary to prevent these vascular traumas and on the
indications and contraindications of the PVC, supporting the implementation of
the PICC as an alternative to PVC.
PMID- 29791669
TI - Transcultural adaptation and new proposal for the nursing outcome, Physical
condition (2004).
AB - OBJECTIVES: cross-culturally adapt to the Spanish context and make a new proposal
for the nursing outcome, Physical Condition (2004), of the Nursing Outcomes
Classification (NOC) for its precise use in clinical practice. METHOD: a cross
cultural adaptation study and a proposal for the nursing outcome, Physical
Condition, was conducted and supported by the opinion of 26 experts. The data was
obtained through an electronic form, and a quantitative analysis was conducted,
using the SPSS software. RESULTS: the version adapted to the Spanish context was
obtained and the proposal of the outcome, Physical Condition, received agreement
from 26 experts, with a mean score greater than 7.6 for adequacy of the outcome
definition and its indicators, and 8.5 for the relevance of the indicators.
CONCLUSIONS: the version adapted to the Spanish context and a new proposal for
Physical Condition were obtained. The results obtained indicate a high level of
adequacy and relevance, an instrument of great utility in the clinic, and
research was obtained to evaluate the interventions directed to the improvement
of the physical condition.
PMID- 29791670
TI - Cross-cultural validation of the Child Adolescent Teasing Scale for Colombian
students.
AB - OBJECTIVE: to carry out the cross-cultural validation of the instrument "Child
Adolescent Teasing Scale" for the Colombian student population. METHOD:
methodological study carried out with students aged 8 to 15, from public and
private educational institutions in the municipality of Ibague, Colombia. The
form for the characterization of students and the Child Adolescent Teasing Scale
were used. RESULTS: the cross-cultural adaptation process was organized in seven
steps: comparison of the Spanish version of the instrument with the original
English version, back-translation, consensus version, face validity and
terminology adjustment by students, face and content validity by experts,
assessment committee for the final version, pilot test and reliability.
CONCLUSION: the version adapted to the Spanish spoken in Colombia of the Child
Adolescent Teasing Scale (Escala de burlas para ninos y adolescentes), which
assesses the frequency and distress caused by teasing, showed desirable results
in terms of validity and reliability.
PMID- 29791671
TI - Validation of a moral distress instrument in nurses of primary health care.
AB - OBJECTIVE: to validate an instrument to identify situations that trigger moral
distress in relation to intensity and frequency in primary health care nurses.
METHOD: this is a methodological study carried out with 391 nurses of primary
health care, applied to the Brazilian Scale of Moral Distress in Nurses with 57
questions. Validation for primary health care was performed through expert
committee evaluation, pre-test, factorial analysis, and Cronbach's alpha.
RESULTS: there were 46 questions validated divided into six constructs: Health
Policies, Working Conditions, Nurse Autonomy, Professional ethics, Disrespect to
patient autonomy and Work Overload. The instrument had satisfactory internal
consistency, with Cronbach's alpha 0.98 for the instrument, and between 0.96 and
0.88 for the constructs. CONCLUSION: the instrument is valid and reliable to be
used in the identification of the factors that trigger moral distress in primary
care nurses, providing subsidies for new research in this field of professional
practice.
PMID- 29791672
TI - Jacobson and Truax Method: evaluation of the clinical effectiveness of a home
care program after prostatectomy.
AB - OBJECTIVE: to exemplify the applicability of the Jacobson and Truax Method in a
nursing intervention study that analyzed the effectiveness of a home care
teaching program after radical prostatectomy. METHOD: this is a descriptive study
concerning the applicability of the Jacobson and Truax Method in the data
analysis of a clinical trial. The intervention consisted of a teaching program
for hospital discharge after radical prostatectomy through oral guidance,
writing, and telephonic reinforcement. Thirty-four men participated in the
intervention group and 34 men participated in the control group. A reliable index
of change and clinical significance was calculated for the knowledge variable in
both groups. Scatterplots were presented to demonstrate the effectiveness of the
method. RESULTS: for 30 individuals in the intervention group, the intervention
presented clinically relevant change than in knowledge. In the control group,
none of the 34 individuals presented clinical significance of the results related
to this variable, that is, the statistical significance identified by the
inferential tests did not have clinically relevant changes in the knowledge
variable. CONCLUSION: the educational intervention carried out through the
combination of oral, written and telephone counseling was shown to be clinically
effective in improving knowledge about home care.
PMID- 29791673
TI - Development of a clinical protocol for detection of cervical cancer precursor
lesions.
AB - OBJECTIVE: to develop and validate the content of a clinical protocol aimed at
prevention of cervical cancer in primary care. METHOD: technological research
according to the steps: (1) submission of the project to the research ethics
committee; (2) bibliographic survey; (3) elaboration of the clinical protocol;
and (4) content validation. In the third step, the information was collected
through bibliographic research and gynecology specialists were consulted. For the
final step, four judges were selected to evaluate the clinical protocol according
to AGREE 2. Domains that reached the minimum level of agreement of 75% in the
scores were considered validated. RESULTS: the scores obtained in each domain of
the instrument were as follows: domain 1 (scope and purpose) = 87.5%; domain 2
(stakeholder involvement) = 83.3%; domain 3 (development rigor) = 79.7%; domain 4
(clarity of presentation) = 76.3%; domain 5 (applicability) = 78.1%; and domain 6
(editorial independence) = 85.4. CONCLUSION: the clinical protocol proved to be a
validated material with scores above the minimum required. The protocol obtained
positive recommendations with modifications and went through adjustments in order
to make it more effective.
PMID- 29791674
TI - Disseminating health evidence summaries to increase evidence use in health care.
AB - OBJECTIVE: To verify whether an intervention based on disseminating health
evidence summaries by e-mail to health professionals increases access to health
evidence databases, and whether health professionals intend to apply the evidence
received by e-mail in their clinical practice. METHODS: This quantitative study
started with a survey to collect demographic data and patterns of access to
health evidence databases. It was followed by a longitudinal intervention, over
48 weeks, that disseminated 143 health evidence summaries to 339 health
professionals with higher education degree who work in the Brazilian Unified
Health System. In the longitudinal intervention phase, health professionals
voluntarily assessed the received health evidence summaries using the information
assessment method. Finally, the study concluded with a survey to identify changes
in accessing health evidence databases. RESULTS: Of the 339 Brazilian health
professionals participating in this research, 90 (26.5%) answered the initial and
final surveys. After 48 weeks, there was an increase in the use of health
evidence databases; 186 (54.9%) participants submitted 7,942 assessments of
health evidence summaries, which were relevant for patient care in 5,409 (68%)
assessments. CONCLUSIONS: The dissemination of health evidence summaries by e
mail to health professionals in Brazil increases the reported use of evidence in
clinical practice.
PMID- 29791675
TI - Development of a Diet Quality Index Adapted for Pregnant Women.
AB - OBJECTIVE: To develop a Diet Quality Index Adapted for Pregnant Women (IQDAG) and
to evaluate its relation with the characteristics of women treated at the
Brazilian Unified Health System. METHODS: The data on food intake come from a
cross-sectional study carried out with 785 adult pregnant women in the city of
Ribeirao Preto, state of Sao Paulo, Brazil, between 2011 and 2012. The index was
based on the recommendations of the Brazilian Ministry of Health, previous
national dietary indexes, and the new Dietary Guidelines for the Brazilian
Population. We used the ANOVA, Kruskal-Wallis, and chi-square tests to describe
the quality of the diet according to the characteristics of the mother. RESULTS:
The IQDAG has nine components, and it is represented by three food groups (in
servings/1,000 kcal), five nutrients, and a moderator component. A high
proportion of pregnant women reached the maximum score for the components of
legumes and vegetables. However, few women reached the maximum score for
consumption of fresh fruits, fiber, omega-3, calcium, folate, iron, and ultra
processed foods. We verified a better quality of diet among older and eutrophic
pregnant women who reported practicing more physical activity and taking dietary
supplements. We also observed the highest index score among women with higher
intake of carbohydrates, proteins, vitamins C, E, and A, and minerals calcium,
folate, and iron, as well as among those with lower intake of total fats and
saturated fats. CONCLUSIONS: This dietary index is unprecedented in incorporating
the recommendation of the new Dietary Guidelines for the Brazilian Population
regarding the moderation of the consumption of ultra-processed foods. It was
useful in evaluating the quality of the diet of pregnant women and we verified a
higher score among older and eutrophic women who reported a healthy lifestyle.
Strategies are needed to promote a higher consumption of fresh fruits, foods high
in fiber, omega-3, calcium, folate, iron, and minimally processed foods in
pregnant women.
PMID- 29791676
TI - Suicide in Brazilian indigenous communities: clustering of cases in children and
adolescents by household.
AB - OBJECTIVE To estimate age and sex-specific suicide rates, compare suicide rates
between indigenous communities, and quantify the frequency of intrafamilial
suicide clustering. METHODS We performed a retrospective cohort study involving
14,666 indigenous individuals in reservations in Dourados, state of Mato Grosso
do Sul, Brazil, from 2003 through 2013 using national and local census. RESULTS
The overall suicide rate was 73.4 per 100,000 person-years. Adolescent males aged
15-19 and girls aged 10-14 had the highest rates for each sex at 289.3 (95%CI
187.5-391.2) and 85.3 (95%CI 34.9-135.7), respectively. Comparing the largest
reservations, Bororo had a higher suicide rate than Jaguapiru (RR = 4.83, 95%CI
2.85-8.16) and had significantly lower socioeconomic indicators including income
and access to electricity. Nine of 19 suicides among children under 15 occurred
in household clusters. Compared with adult suicides, a greater proportion of
child (OR = 5.12, 95%CI 1.89-13.86, p = 0.001) and adolescent (OR = 3.48, 95%CI
1.29-9.44, p = 0.017) suicides occurred within household clusters. CONCLUSIONS
High rates of suicide occur among children and adolescents in these indigenous
reservations, particularly in poor communities. Nearly half of child suicides
occur within household clusters. These findings underscore the need for broad
public health interventions and focused mental health interventions in households
following a suicide.
PMID- 29791677
TI - Multifactorial intervention for diabetes control among older users of insulin.
AB - OBJECTIVE: To evaluate if the closer follow-up with the supply of insulin pens
and the measurement of capillary blood glucose improve the management of older
patients with type 2 diabetes without adequate glycemic control despite extensive
therapy. METHODS: This is a prospective, non-randomized, quasi-experimental
study. We have included 45 patients over 60 years old, from both sexes, with
glycated hemoglobin (HbA1c) > 8.5% using oral hypoglycemic agents and insulin.
The intervention consisted of monthly medical visits, with the provision of
insulin pens and strips for blood glucose measurement. All patients received
insulin pen, refills of Neutral Protamine Hagedorn and regular insulin, needles
for the pen, blood glucose meter, and capillary blood glucose tests (three
tests/day). Treatment was adjusted with the same endocrinologist monthly for six
months. Glycated hemoglobin was measured at baseline and 12 and 24 weeks after
intervention. RESULTS: Glycated hemoglobin at baseline was 10.34% (SE = 0.22%)
and 8.54% (SE = 0.24%, p < 0.001) and 8.09% (SE = 0.21%, p < 0.001) at 12 and 24
weeks after intervention, respectively, with a significant reduction from
baseline. CONCLUSIONS: More frequent medical visits, with treatment inputs
including the use of insulin pens and self-monitoring, have improved glycemic
control (reduction of 2.25% in HbA1C, on average, at 24 weeks of follow-up). Our
data support a change in the management and medical behavior of older patients
with chronically decompensated diabetes.
PMID- 29791678
TI - Utilization of basic health units of FHS according to private health insurance.
AB - OBJECTIVE To describe the utilization of basic health units according to coverage
by discount card or private health insurance. METHODS Household survey in the
area covered by Family Health Strategy in Pelotas, state of Rio Grande do Sul,
Brazil, from December 2007 to February 2008, with persons of all age groups. The
frequency of (medical or non-medical) healthcare seeking at the basic health
units in the last six months and the prevalence of basic health unit utilization
for the last medical consultation (in case it had been performed up to six months
before, for a non-routine reason) were analyzed by Poisson regression adjusted
for the sampling design. RESULTS Of the 1,423 persons, 75.6% had no discount card
or private health insurance. The average frequency of (medical or non-medical)
healthcare seeking was 1.6 times in six months (95%CI 1.3-2.0); this frequency
was 55.8% lower (p < 0.001) among privately insured persons compared to those
with no discount card or private health insurance. Among the last medical
consultations, 35.8% (95%CI 25.4-47.7) had been performed at the basic health
units; this prevalence was 36.4% lower (p = 0.003) among persons covered by
discount card and 87.7% lower (p = 0.007) among privately insured persons
compared to those without both coverages. CONCLUSIONS Private health insurance
and, to a lesser degree, discount card coverage, are related to lower utilization
of basic health units. This can be used to size the population under the
accountability of each Family Health Strategy team, to the extent that community
health workers are able to differentiate discount card from PHI during family
registration.
PMID- 29791679
TI - School health promotion and use of drugs among students in Southern Brazil.
AB - OBJECTIVE: To analyze the relationship between the health promotion conditions in
schools and the consumption of alcohol and other drugs by students. METHODS: This
is a cross-sectional study with a probabilistic sample of 3,464 students aged 12
to 17 from all schools of the cities of Lajeado and Sapiranga, state of Rio
Grande do Sul, Brazil, and 53 managers from the same schools; the data was
collected in 2012. Reports of the use of tobacco, alcohol, and illicit drugs in
2012 were used as outcomes, and the health promotion score in the school
environment was used as the exposure of interest. We submitted the data to
multilevel analysis. RESULTS: The prevalence of the annual use of tobacco was
9.8% (95%CI 8.8-10.8), alcohol was 46.2% (95%CI 44.5-47.8), and other drugs was
10.9% (95%CI 9.9-12.0). In the crude analysis, only the use of tobacco was
associated with less health promoting schools (OR = 1.89, 95%CI 1.16-3.09) when
compared to those with better conditions. This association lost statistical
significance in the adjusted analysis (OR = 1.27, 95%CI 0.74-2.19). CONCLUSIONS:
The effects of the school environment on the use of drugs, especially tobacco and
alcohol, are manifested mainly by the individual and family conditions of the
adolescents.
PMID- 29791681
TI - THE INFLUENCE OF EDUCATIONAL DANCE ON THE MOTOR DEVELOPMENT OF CHILDREN.
AB - OBJECTIVE: The purpose of this study was to compare the motor development of
children who practiced educational dance with the motor development of children
who did not practice it and to verify the results obtained after six to eight
months after the end of the intervention. METHODS: The study was carried out with
85 children enrolled in the first year of elementary school in two schools
located in the south of Sao Paulo city (Sao Paulo, Brazil). Children were
randomized by lot in two groups (intervention and control). Children with
intellectual and/or physical disabilities and the premature ones were excluded
from the analysis. The two groups had their motor development evaluated in three
moments: before the intervention, after the intervention and six to eight months
after the end of the intervention. The intervention group participated in an
educational dance class program for seven months. Control and intervention groups
were compared by chi-square and t-test. RESULTS: Children who participated in the
educational dance program, compared to children who did not, achieved significant
gains in their general motor development and on the following bases: balance,
fine motor and overall praxis. CONCLUSIONS: Educational dance helped the
children's motor development, and the results were partially maintained months
after the end of the intervention.
PMID- 29791682
TI - BODY DISSATISFACTION, PHYSICAL ACTIVITY, AND SEDENTARY BEHAVIOR IN FEMALE
ADOLESCENTS.
AB - OBJECTIVE: To evaluate the association of body image with physical activity
level, body composition, and sedentary behavior (SB) of female adolescents.
METHODS: Exploratory cross-sectional study conducted with 120 female adolescents
aged between 14-19 years, from the city of Vicosa, Minas Gerais, Southeast
Brazil. Body image was evaluated with a Body Silhouette Scale (BSS) and a Body
Shape Questionnaire (BSQ). Weight, height, and waist circumference values were
analyzed, as well as the waist-to-height ratio and body fat percentage. The
physical activity level (PAL) was assessed by 24-hour Physical Activity Recall
and SB by screen time, that is, time spent in front of a TV, playing video game,
on the computer and using tablets, and, separately, the cell phone time. RESULTS:
Mean age was 16.5+/-1.5 years, and most adolescents were eutrophic (77.6%),
sedentary/low PAL (84.2%), with high screen time (85.2%) and cell phone time
(58.7%). Body dissatisfaction was stated in 40.6% of BSQ and 45.8% of BSS
evaluations. Body distortion was identified in 52.9% of participants. All body
composition measures, along with cell phone time and PAL, were associated with
body dissatisfaction, the more active adolescents presenting higher levels of
dissatisfaction. CONCLUSIONS: This study concluded that female adolescents with
higher cell phone time also present higher body dissatisfaction, as well as the
most physically active ones. All body composition measurements were associated
with body dissatisfaction, mainly body mass index, waist circumference, and waist
to-height ratio.
PMID- 29791683
TI - The T-loop in details.
AB - INTRODUCTION: The T-loop as designed by Burstone is a space closure spring used
in the rational application of orthodontic biomechanics. Despite the diversity of
studies, there is still no consensus on the optimal parametric characteristics
for its conformation. OBJECTIVE: This study aimed at reviewing the literature on
the force systems released by different conformations of the T-loop, according to
the type of anchorage and the main characteristics and factors that influence
them. RESULTS: Comparing the studies, the need for standardization was perceived
in the methodology to shape the loops, regarding the variables that influence the
force system. Most of the experimental studies with this loop do not report the
vertical movement, nor the steps and angles that occur in the brackets. Clinical
studies have obtained more variable results in relation to vertical acting
forces, considering the influence of chewing. CONCLUSION: There is great
potential for future studies with this type of loop, especially using nickel
titanium alloys, in order to achieve a pure translational movement without
friction, with optimal and constant levels of force.
PMID- 29791680
TI - Impact of stressful life events on central adiposity in the Pelotas Birth Cohort.
AB - OBJECTIVE: To investigate how stressful life events and social support relate to
central adiposity in Southern Brazil. METHODS: Data included information from 802
participants in the 1982 Pelotas Birth Cohort that was collect in 2004-2005 and
2006. Stratifying by sex, we studied self-reported stressful life events during
the year before 2004-2005 in relation to change in waist circumference between
2004-2005 and 2006 and waist-to-hip ratio in 2006, using both bivariate and
multivariate linear regression models. RESULTS: In adjusted models, the
experience of stressful life events during the year before 2004-2005 predicted a
change in waist circumference in 2006 in men and a change in both waist-to-hip
ratio in 2006 and waist circumference between 2004-2005 and 2006 in women. Men
who experienced two or more stressful events had on average a one centimeter
increase in their waist circumference between 2004-2005 and 2006 (beta = 0.97,
95%CI 0.02-1.92), compared to those reporting no stressful events. For women,
those who had one and those who had two or more stressful life events had over a
1 cm increase in their waist circumference from 2004-2005 to 2006 (beta = 1.37,
95%CI 0.17-2.54; beta = 1.26, 95%CI 0.11-2.40, respectively), compared to those
who did not experience any stressful event. For both sexes, social support level
was not significantly related to either waist-to-hip ratio or change in waist
circumference, and it did not modify the association between stress and central
adiposity. CONCLUSIONS: The experience of more than one stressful life event was
associated with distinct indicators of central adiposity for men versus women.
PMID- 29791684
TI - Stability of the anterior arm of three different Hyrax hybrid expanders: an in
vitro study.
AB - INTRODUCTION: The force applied to the teeth by fixed orthopaedic expanders has
previously been studied, but not the force applied to the orthodontic mini
implant (OMI) used to expand the maxilla with Hyrax hybrid expanders (HHE).
OBJECTIVE: The aim of this article was to evaluate the clinical safety of the
components (OMI, abutment and double wire arms) of three different force
transmitting systems (FTS) for conducting orthopaedic maxillary expansion: Jeil
Medical & Tiger DentalTM, MicrodentTM and OrtholoxTM. METHODS: For the
realization of this in vitro study of the resistance to mechanical load, three
different abutment types (bonded, screwed on, and coupling) and three different
OMIs' diameters (JeilTM 2.5 mm, MicrodentTM 1.6 mm and OrtholoxTM 2.2 mm) were
used. Ten tests for each of these three FTS were carried out in a static lateral
load in artificial bone blocks (SawbonesTM) by a Galdabini universal testing
machine, then comparing its performance. Comparisons of loads, deformations and
fractures were carried out by means of radiographs of FTS components in each
case. RESULTS: At 1- mm load and within the elastic deformation, FTS values
ranged from 67 +/- 13 N to 183 +/- 48 N. Under great deformations, Jeil & TigerTM
was the one who withstood the greatest loads, with an average 378 +/- 22 N;
followed by MicrodentTM, with 201 +/- 18 N, and OrtholoxTM, with 103 +/- 10 N. At
3 mm load, the OMIs shaft bends and deforms when the diameter is smaller than 2.5
mm. The abutment fixation is crucial to transmit forces and moments. CONCLUSIONS:
The present study shows the importance of a rigid design of the different
components of HHEs, and also that HHEs would be suitable for maxillary expansion
in adolescents and young adults, since its mean expansion forces exceed 120N.
Furthermore, early abutment detachment or smaller mini-implants diameter would
only be appropriate for children.
PMID- 29791685
TI - Relationship between mandibular symphysis dimensions and mandibular anterior
alveolar bone thickness as assessed with cone-beam computed tomography.
AB - OBJECTIVE: To determine the relationship between symphysis dimensions and
alveolar bone thickness (ABT) of the mandibular anterior teeth. METHODS: Cone
beam computed tomography images of 51 patients were collected and measured. The
buccal and lingual ABT of the mandibular anterior teeth was measured at 3 and 6
mm apical to the cemento-enamel junction (CEJ) and at the root apices. The
symphysis height and width were measured. The symphysis ratio was the ratio of
symphysis height to symphysis width. Kendall's tau correlation coefficient was
used to determine the relationships between the variables at a 0.05 significance
level. RESULTS: The mandibular anterior teeth lingual and apical ABT positively
correlated with symphysis width (p<0.05). Moreover, these thicknesses negatively
correlated with the symphysis ratio (p<0.05). Symphysis widths and ratios showed
higher correlation coefficients with total and buccal apical ABT, compared with
lingual ABT. Buccal ABT at 3 and 6 mm apical to the CEJ was not significantly
correlated with most symphysis dimensions. The mean thickness of the buccal
alveolar bone at the upper root half was only 0.2-0.6 mm, which was very thin,
when compared with other regions. CONCLUSION: For mandibular anterior teeth, the
apical alveolar bone and lingual alveolar bone tended to be thicker in patients
with a wide and short symphysis, compared to those with a narrow and long
symphysis. Buccal alveolar bone was, in general, very thin and did not show a
significant relationship with most symphysis dimensions.
PMID- 29791686
TI - Extruded upper first molar intrusion: Comparison between unilateral and bilateral
miniscrew anchorage.
AB - OBJECTIVE: The aim of his study was to evaluate the stress on tooth and alveolar
bone caused by orthodontic intrusion forces in a supraerupted upper molar, by
using a three-dimensional Finite Element Method (FEM). METHODS: A superior
maxillary segment was modeled in the software SolidWorks 2010 (SolidWorks
Corporation, Waltham, MA, USA) containing: cortical and cancellous bone,
supraerupted first molar, periodontal tissue and orthodontic components. A finite
element model has simulated intrusion forces of 4N onto a tooth, directed to
different mini-screw locations. Three different intrusion mechanics vectors were
simulated: anchoring on a buccal mini-implant; anchoring on a palatal mini
implant and the association of both anchorage systems. All analyses were
performed considering the minimum principal stress and total deformation.
Qualitative analyses exhibited stress distribution by color maps. Quantitative
analysis was performed with a specific software for reading and solving numerical
equations (ANSYS Workbench 14, Ansys, Canonsburg, Pennsylvania, USA). RESULTS:
Intrusion forces applied from both sides (buccal and palatal) resulted in a more
homogeneous stress distribution; no high peak of stress was detected and it has
allowed a vertical resultant movement. Buccal or palatal single-sided forces
resulted in concentrated stress zones with higher values and tooth tipping to
respective force side. CONCLUSION: Unilateral forces promoted higher stress in
root apex and higher dental tipping. The bilateral forces promoted better
distribution without evidence of dental tipping. Bilateral intrusion technique
suggested lower probability of root apex resorption.
PMID- 29791687
TI - An interview with Chris Chang.
PMID- 29791688
TI - Periodontal clinical evaluation before and after surgically assisted rapid
maxillary expansion.
AB - INTRODUCTION: The surgically assisted rapid maxillary expansion is a procedure
that reduces the resistance of the sutures correcting the posterior crossbite in
adults. OBJECTIVE: The aim of this study was to evaluate the periodontal status
of 17 adults submitted to this procedure. METHODS: The clinical attachment level
(CAL), gingival recession, attached gingiva and bleeding were evaluated in
maxillary first premolars and molars, central and lateral incisors of right and
left sides before surgery, 5 days and 6 months after. Means, standard deviation,
medians, minimum and maximum values were compared among the evaluations using the
Friedman and McNemar tests. RESULTS: There was a statistically significant
increase in CAL in the right central incisor, right and left premolars and right
and left molars. There was a statistically significant increase in gingival
recession in the right and left premolars and molars. The amount of attached
gingiva significantly decreased in right premolars and right and left molars.
There was increase in bleeding in most of the teeth. CONCLUSION: Results
indicated that the surgically assisted rapid maxillary expansion might cause
alterations in periodontal tissue.
PMID- 29791689
TI - Tooth transposition: a multidisciplinary approach.
AB - Tooth transposition is one of the most difficult dental anomalies to treat in the
dental clinic. Several factors must be taken into account with a view of making
the best decision. The aim of this study was to discuss treatment modalities for
tooth transposition, their advantages and disadvantages. Additionally, it aims at
presenting a clinical case of transposition between canine and lateral incisor in
the upper quadrant on the right side. The treatment of choice was extraction of
one transposed tooth. A multidisciplinary approach involving Orthodontics,
Cosmetic Dentistry, and Periodontology was necessary to allow proper esthetic and
functional outcomes to be achieved.
PMID- 29791690
TI - Impact of two early treatment protocols for anterior dental crossbite on
children's quality of life.
AB - OBJECTIVE: To assess the impact of two early treatment protocols for anterior
dental crossbite on children's quality of life. METHODS: Thirty children, 8 to 10
years of age, with anterior dental crossbite, participated in this study.
Individuals were divided into two groups: Group 1 - 15 children undergoing
treatment with an upper removable appliance with digital springs; Group 2 - 15
children undergoing treatment with resin-reinforced glass ionomer cement bite
pads on the lower first molars. Quality of life was evaluated using the Brazilian
version of the Child Perceptions Questionnaire (CPQ8-10), which contains four
subscales: oral symptoms (OS), functional limitations (FL), emotional well-being
(EW), and social well-being (SW). A higher score denotes a greater negative
impact on children's quality of life. Children answered the questionnaire before
treatment (T1) and twelve months after orthodontic treatment onset (T2).
Descriptive statistics, the Wilcoxon test and analysis of covariance (ANCOVA)
were performed. RESULTS: Children's mean age was 9.07 +/- 0.79 years in Group 1
and 9.00 +/- 0.84 years in Group 2. For Group 1, the FL and EW subscale scores
and the overall CPQ8-10 were significantly higher in T1 as compared to T2 (p=
0.004, p= 0.012 and p= 0.015, respectively). For Group 2, there were no
statistically significant differences. The ANCOVA showed no significant
difference regarding quality of life at T2 between groups, after controlling for
quality of life measures at T1. CONCLUSIONS: The difference regarding the impact
on quality of life between groups is not related to the protocol used.
PMID- 29791691
TI - Evaluation of miniscrew angulation in the posterior maxilla using cone-beam
computed tomographic image.
AB - OBJECTIVE: This study aimed at evaluating whether changes in the insertion angle
is a determining factor in the positioning of the miniscrews body in a region
with larger interradicular space in the posterior maxilla. METHODS: Analysis of
60 posterior maxillary quadrants were made using images obtained by means of cone
beam computed tomographic image (CBCT), with 0.076-mm voxel, which presented a
real miniscrew inserted in the mesial region of the maxillary first molars,
serving as reference point for the placement of the virtual miniscrews.
Measurements of the distances between roots were made in three points on the body
of the virtual miniscrews (A, B and C), at four different angulations, 70o, 60o,
50o and 40o (T1 to T4), in relation to the long axis of the second premolar. This
evaluation was made in four groups, selected in accordance with the disposition
of the roots of the second premolars and first molars: Group 1 (all types of
roots), Group 2 (convergent roots), Group 3 (divergent roots) and Group 4
(parallel roots). RESULTS: There were no statistically significant differences in
the measurements of points A, B and C, at the different angles (70o, 60o, 50o and
40o) and in the different groups (p > 0.05). CONCLUSIONS: Changes in the
insertion angle is not a determinant factor in the positioning of miniscrews body
in regions with larger interradicular space in posterior maxilla.
PMID- 29791692
TI - Prosthetic, orthodontic and implant-supported rehabilitation of five maxillary
anterior teeth with alveolar bone loss.
AB - INTRODUCTION: Treatment of maxillofacial injuries is complex and requires the
establishment of a comprehensive and accurate diagnosis and correct treatment
planning. OBJECTIVE: The objective of this case report was to describe the re
treatment of a 27-year-old woman who was involved in a severe car accident that
resulted in the loss of five anterior teeth and alveolar bone, and whose previous
orthodontic and surgical treatments had been unsuccessful. CASE REPORT: In this
case, the space for the missing mandibular molar was reopened to allow for
rehabilitation. The positions of the mandibular incisors were improved. The right
mandibular canine was moved to the mesial, allowing for correction of the Class
II canine relationship on that side, and implants were placed to replace the
maxillary anterior teeth. CONCLUSION: Anterior aesthetic and functional
rehabilitation using a multidisciplinary approach was essential to improve the
patient's facial aesthetics, to obtain great improvement in function and to
achieve occlusal stability after 2 years of follow-up.
PMID- 29791693
TI - Decoronation followed by dental implants placement: fundamentals, applications
and explanations.
AB - Dental arches areas with teeth presenting dentoalveolar ankylosis and replacement
root resorption can be considered as presenting normal bone, in full
physiological remodeling process; and osseointegrated implants can be
successfully placed. Bone remodeling will promote osseointegration, regardless of
presenting ankylosis and/or replacement root resorption. After 1 to 10 years, all
dental tissues will have been replaced by bone. The site, angulation and ideal
positioning in the space to place the implant should be dictated exclusively by
the clinical convenience, associated with previous planning. One of the
advantages of decoronation followed by dental implants placement in ankylosed
teeth with replacement resorption is the maintenance of bone volume in the
region, both vertical and horizontal. If possible, the buccal part of the root,
even if thin, should be preserved in the preparation of the cavity for the
implant, as this will maintain gingival tissues looking fully normal for long
periods. In the selection of cases for decoronation, the absence of microbial
contamination in the region - represented by chronic periapical lesions, presence
of fistula, old unconsolidated root fractures and active advanced periodontal
disease - is important. Such situations are contraindications to decoronation.
However, the occurrence of dentoalveolar ankylosis and replacement resorption
without contamination should neither change the planning for implant
installation, nor the criteria for choosing the type and brand of dental implant
to be used. Failure to decoronate and use dental implants has never been
reported.
PMID- 29791694
TI - Filling Open Screw Holes in the Area of Metaphyseal Comminution Does Not Affect
Fatigue Life of the Synthes Variable Angle Distal Femoral Locking Plate in the
AO/OTA 33-A3 Fracture Model.
AB - INTRODUCTION: The aim of this study is to evaluate the biomechanical effect of
filling locking variable angle (VA) screw holes at the area of metaphyseal
fracture comminution in a Sawbones(r) (Sawbones USA, Vashon, Washington) model
(AO/OTA 33A-3 fracture) using a Synthes VA locking compression plate (LCP) (Depuy
Synthes, Warsaw, Indiana). MATERIALS AND METHODS: Seven Sawbones(r) femur models
had a Synthes VA-LCP placed as indicated by the manufacturers technique. A 4cm
osteotomy was then created to simulate an AO/OTA 33-A3 femoral fracture pattern
with metaphyseal comminution. The control group consisted of four constructs in
which the open screw holes at the area of comminution were left unfilled; the
experimental group consisted of three constructs in which the VA screw holes were
filled with locking screws. One of the control constructs was statically loaded
to failure at a rate of 5mm/min. A value equal to 75% of the ultimate load to
failure was used as the loading force for fatigue testing of 250,000 cycles at
3Hz. Cycles to failure was recorded for each construct and averages were compared
between groups. RESULTS: The average number of cycles to failure in the control
and experimental groups were 37524+/-8187 and 43304+/-23835, respectively
(p=0.72). No significant difference was observed with respect to cycles to
failure or mechanism of failure between groups. In all constructs in both the
control and experimental groups, plate failure reproducibly occurred with cracks
through the variable angle holes in the area of bridged comminution. CONCLUSIONS:
The Synthes VA-LCP in a simulated AO/OTA 33-A3 comminuted metaphyseal femoral
fracture fails in a reproducible manner at the area of comminution through the
"honeycomb" VA screw holes. Filling open VA screw holes at the site of
comminution with locking screws does not increase fatigue life of the Synthes VA
LCP in a simulated AO/OTA 33-A3 distal femoral fracture. Further studies are
necessary to determine whether use of this particular plate is contraindicated
when bridging distal femoral fractures with metaphyseal comminution.
PMID- 29791695
TI - Current Strategies to Prevent Iatrogenic Ureteral Injury During Colorectal
Surgery.
AB - Iatrogenic ureteral injuries are a source of major concern among surgeons
performing colorectal procedures. Although they are uncommon, these lesions lead
to severe morbidity and long-term functional disabilities, as well as an increase
in mortality, hospital stay, and cost. The laparoscopic approach has gained
popularity in the field of colorectal surgery and is associated with improved
global postoperative outcomes. However, it is also considered to increase the
risk of ureteral injury when compared to open surgery, especially during left
colonic and rectal resections. To overcome these difficulties, surgical
techniques have been improved over time through standardization of both open and
laparoscopic procedures. However, these techniques are not infallible, and, in
difficult cases, instrumental aids such as preoperative ureteral stenting may be
used. To substitute the reduced haptic feedback in laparoscopic surgery, lighted
stents have been developed. Unfortunately, prophylactic stenting, whether
standard or lighted, is also associated with its own morbidity and its benefit
risk ratio remains highly controversial. To enhance the surgeon's visualization
capabilities, augmented reality technologies have been developed. Near-infrared
fluorescence and hyperspectral imaging are two promising techniques, which have
been tested both in the preclinical and clinical settings. Early results show
that these technologies could improve our ability to identify and protect the
ureters, although technical limitations remain to be solved. Reviewing the
current literature, this article aims to evaluate pre- and intraoperative
techniques to identify the ureters and potentially to avoid iatrogenic injury. In
addition, future trends are explored.
PMID- 29791696
TI - Monocenter Experience with 532 Nm-Laser Photoselective-Vaporization of the
Prostate by GreenLight XPS? Laser: Is It Really an Endourological Joker Card?
AB - INTRODUCTION: In our study, we reported on our monocenter experience with
GreenLight (GL) Xcelerated Performance System (XPS)? /MoXy? laser fiber (American
Medical Systems Inc., Minnetonka, Minnesota), examining its efficacy, safety, and
its ability to effectively treat lower urinary tract symptoms (LUTS) in benign
prostate obstruction (BPO). We also explored and compared the three different
endoscopic approaches used for GL treatment of benign prostatic hyperplasia
(BPH), standard photovaporization (PVP), anatomical PVP, and GreenLight XPS?
enucleation of the prostate. MATERIALS AND METHODS: Between February 2013 and
April 2017, 140 patients, with an average age of 67.7 years (range 47-85), were
treated using the XPS?/MoXy? system in a single-operative urologic center. The
data were retrospectively analyzed with an assessment of the main intra- and
postoperative outcomes at three, six, 12, and 18 months comparing both subjective
(international prostate symptom score [IPSS]) and objective (uroflow [Qmax]
parameters and prostate volume) parameters to the preoperative data. Patients
underwent standard PVP, anatomical PVP, or prostate photo-selective en-bloc
enucleation (PEBE) according to surgeon preferences. RESULTS: Median prostate
volume (MPV) and prostate-specific antigen (PSA) were 69cc and 3.24 ng/dl,
respectively. An indwelling catheter, at the time of surgery, was observed in 15%
of men. Median operative-laser time and energy applied were 56.4 minutes, 26.5
minutes, and 245813 kJ. Outpatient surgery was feasible with median length of
stay at 48 hours. Significant improvement in IPSS and Qmax are observed at all
endpoints. No significant intraoperative complications were reported. When
stratifying postoperative complications according to the Cavien-Dindo
classification, only two cases of high-degree emerged (grades III-IV).
CONCLUSION: Our data confirm that in treating men with symptomatic benign
prostate disease, the GreenLight XPS?/MoXy? laser fiber is safe and able to
achieve challenging results in terms of clinical outcomes and prostate volume
reduction. Balance between functional outcomes and complications was great
without statistically significant differences, in terms of outcomes, between the
different surgical techniques.
PMID- 29791697
TI - Total Laparoscopic Hysterectomy in the Setting of Prior Bilateral Renal
Transplant, a Case Report and Review of the Literature.
AB - In recent years, more women are undergoing renal transplantation as a treatment
for end-stage renal disease. Women with kidney transplants are prone to certain
gynecologic issues which might necessitate hysterectomy. Laparoscopic
hysterectomy can safely be performed in patients with prior unilateral or
bilateral renal transplantation. Laparoscopy offers magnification of anatomy,
decreased wound-related problems, and continuation of immunosuppression therapy.
We present a case report and review of the literature for total laparoscopic
hysterectomy and bilateral salpingectomy for a patient with prior bilateral renal
transplant.
PMID- 29791698
TI - Stryker Orthopaedic Modeling and Analytics (SOMA): A Review.
AB - Due to the differences in bone morphology between demographics such as age,
gender, body mass index, and ethnicity, the development of orthopaedic implants
requires a large number of anatomical data from various patient populations. In
an effort to assess these demographic variations, Stryker Orthopaedic Modeling
and Analytics (SOMA) has been developed. SOMA is a suite of tools which utilizes
a comprehensive database of computed tomography scans (CT-scans), plus associated
three-dimensional (3D) bone models, allowing the user to assess population
differences in bone morphology, bone density, and implant fit for the purposes of
research and development. Several additional software tools are currently in
development to further analyze bone density and have the potential to enhance
component fit. These tools, in combination with the database, have been
previously utilized for development of many implant designs and techniques in hip
and knee arthroplasty, as well as in trauma surgery.
PMID- 29791699
TI - A Comparative Effectiveness Study for Non-Operative Treatment Methods for Knee
Osteoarthritis.
AB - A number of non-operative treatment options are commonly prescribed for patients
presenting with degenerative osteoarthritis of the knee, where surgery is not yet
recommended. However, there is a paucity of studies evaluating the comparative
effectiveness of these treatment options to best inform both patients and
providers. This study examined the comparative effectiveness of a home exercise
program versus bracing or a combination of the two (brace-only, exercise-only,
and brace and exercise cohorts) on the following: 1) objective functional
measures (quadriceps muscle strength, Self-Paced Walk Test [SPWT], and Timed "Up
& Go" [TUG] Test); 2) subjective functional measures (Lower Extremity Function
Scale [LEFS] and Health Survey [VR-12] outcomes); 3) pain using Visual Analog
Scale [VAS-10]; 4) patient metrics (patient treatment preference, perceptions,
and compliance); and 5) progression to total knee arthroplasty (TKA) over a 12
week period. Subjects exhibited significant improvements from baseline on all
measures regardless of group assignment; however, the only significant difference
between groups was the larger improvement in pain scores between the brace-only
and the exercise-only cohorts (p = 0.022). The brace-only regimen may be more
efficacious; however, larger studies are needed to confirm this. These findings
suggest that patients who are provided with either treatment option may be able
to achieve a better quality of life and return to activity that may delay an
elective joint arthroplasty surgery.
PMID- 29791700
TI - Closing the Gap: Novel Abdominal Wound Closure Techniques.
AB - Traditionally, surgical procedures within the realm of both general and
gynecological surgery employ the use of staples and interrupted sutures that run
continuously with knot tying or subcuticular sutures to ensure closure of large
wound incisions, subcuticular incisions, and fascial repair. However, these
methods may not always be cosmetically favorable and, thus, result in an
unpleasant outcome for the patient, adding to their surgery-related stress. It
is, therefore, imperative that surgeons and gynecologists are aware of
alternative methods of wound closure that are not only cosmetically favorable,
but also ensure rapid wound recovery and infection control. Two such products
available include DERMABOND? PRINEO? Skin Closure System (Ethicon Inc.,
Somerville New Jersey) and STRATAFIX? Symmetric PDS? Plus Knotless Tissue Control
Device (Ethicon Inc., Somerville New Jersey). The DERMABOND? PRINEO? Skin Closure
System is a topical mesh and skin adhesive that creates a strong polymeric bond
across wound edges. This permits natural healing to occur, but with a synthetic
flexible microbial barrier providing in vitro protection against organisms. The
STRATAFIX? Symmetric PDS? Plus, on the other hand, is a knotless tissue control
device that permits soft tissue approximation in appropriate situations where
such absorbable sutures are permitted. This can be used for large fascial closure
as well as on the skin. The purpose of this article is to review and share our
experience with two alternative methods of wound closure (one for fascia and one
for skin) with a review of their composition and benefits and to illustrate their
common applications in gynecologic or general surgery when laparotomy is
necessary.
PMID- 29791701
TI - Microbial Load of Trocars: Potential Source of Contamination and Surgical Site
Infection.
AB - Surgical site infection (SSI) is a common complication that is associated with
delayed recovery, prolonged length of hospital stay, exorbitant cost, and
mortality. The present prospective longitudinal study aimed to evaluate the
relationships between the microbial load of trocars used in laparoscopic
gynecological surgery, microbiota in surgical sites, and SSI. The final sample
consisted of 24 patients, including 68 swab samples and 48 trocars.
Microorganisms were recovered in 100.0% of the swabs collected from the umbilicus
and vaginal fornix and in 58.3% (14/24) of the swabs collected from skin at the
left McBurney's point. Most of the samples collected from trocars (87.5%) did not
exhibit bacterial growth, suggesting proper disinfection. In addition, antisepsis
was effective for decolonization of the skin to create an aseptic surgical field.
PMID- 29791702
TI - All-Inside Technique for ACL-Reconstruction using a FlipCutter(r) and the
TightRope(r) System.
AB - There have been many innovations in the field of ACL-reconstruction over the
years, including the FlipCutter(r) and TightRope(r) system (both from Arthrex
Inc.). The FlipCutter(r) is a combined guide pin and reamer that allows minimally
invasive socket-creation from the inside out, especially in difficult-to-reach
areas. The TightRope(r) is a further development of the well-known Endobutton?
(Smith & Nephew plc) that consists of a four-point knotless locking system. Its
main advantage is its adjustability, which makes it possible to fill the entire
bone socket with the graft without any empty space. The all-inside technique
using a FlipCutter(r) and TightRope(r) offers several advantages: 1. No
additional accessory portals and no hyperflexion of the knee are necessary during
creation of the femoral canal. Using the FlipCutter(r), which is a "retro drill,"
an outside-in technique is applied. This avoids the problems that arise when
using the anteromedial portal for creation of the femoral canal. 2. The thickness
of the femoral wall can be accurately measured before drilling. 3. There is no
empty space in the femoral canal. 4. Only one tendon is needed. Usually only the
semitendinosus tendon is used. 5. There is no need for a tibial canal through the
cortex. The procedure starts with diagnostic knee arthroscopy to confirm the ACL
tear and to address any possible additional meniscal or cartilaginous lesions.
Graft harvest and preparation (usually only the semitendinosus tendon is needed)
is then performed. Next, the knee is prepared by creation of a femoral canal:
after the entry point of the femoral canal is localized, while viewing through
the medial portal with the knee held at 90 degrees of flexion, the femoral canal
is created using the appropriate femoral guide and a FlipCutter(r). The tibial
canal is then created using the tibial guide and a FlipCutter(r). Finally, the
graft is passed through the medial portal to the femoral socket and stabilized
with the TightRope(r). The tibial end is passed through the tibial canal and
stabilized with a TightRope(r) ABS Button. In summary, this all inside technique
for ACL- Reconstruction using FlipCutter(r) and TightRope(r) offers several
advantages over traditional techniques, including no need for hyperflexion or an
additional accessory medial portal while creating the femoral socket, accurate
measurement of the whole thickness of the femoral condyle before drilling, the
possibility of minor changes according to the desired femoral canal length, the
ability to achieve no empty space in the femoral canal between the graft and bony
canal, and the need for only one tendon (semitendinosus). Additionally, with this
technique, the surgeon can easily place isometric sockets in the femur and tibia.
PMID- 29791703
TI - Biological Intercalary Reconstruction with Bone Grafts After Joint-Sparing
Resection of the Lower Limb: Is this an Effective and Durable Solution for Joint
Preservation?
AB - Due to advances in neoadjuvant therapies and preoperative imaging modalities,
joint-sparing resections have become appealing in bone tumor surgery. However,
the intercalary reconstruction of metadiaphyseal bone defects of the femur and
the tibia after juxta-articular tumor resection remains challenging. Both
biological and prosthetic reconstructions have been used for joint-sparing
resections, but little is known about the long-term outcome of these procedures.
The authors reviewed a consecutive series of 64 patients treated with joint
sparing intercalary resection and reconstruction with bone grafts. Inclusion
criteria were an osteotomy line within 5 cm from the knee and ankle joint surface
and an osteotomy line proximal to 1 cm below the lesser trochanter at the hip
level. Intra-epiphyseal resection was performed in 25 patients (39%)and
intercalary resection was performed in 39 (61%). Reconstruction included 49
allograft + vascularized fibular graft (VFG), 10 allografts, and 5 VFG +
structural allogenic grafts. At a mean follow-up of 117 months (range 12-305), 51
patients (80%) were continuously disease-free, and 6 showed no evidence of
disease after treatment of local recurrence or metastatic lesion. One patient was
alive with lung metastases at 26 months of follow-up and six patients died of
disease. In the entire series of 64 patients, 26 had a non-oncological
complication that required surgical revision (40.6%). Overall survival (OS) of
reconstruction was 92% at 5 years and 90% at 10 and 15 years. Limb salvage
survival (LSS) was 94% at 5, 10 and 15 years. Twenty-two fractures occurred in 17
patients (26.5%). There were a total of nine non-unions (14%). Six patients
(9.3%) presented early wound dehiscence (average 1.8 months, range 0-6). A deep
infection occurred in 3 cases (4.7 %). In 12 patients treated with VGF
reconstruction (12/54:22%), a donor-site complication was observed. The overall
Musculoskeletal Tumor Society (MSTS) functional score in 54 evaluable patients,
who were alive with reconstruction in situ, was 27 points (range 18-30). Biologic
intercalary reconstructions with bone grafts resulted in effective joint-sparing
resections of the lower limb, allowing joint preservation in all but one case who
required a total knee replacement for varus osteoarthritis. Despite the high rate
of complications requiring surgical revision, at 15 years, overall survival of
the reconstruction was 90% and limb salvage survival was 94%. In our experience,
revision-free survival was better with VFG reconstruction than with allograft
alone and the combination of VFG and allogenic graft seems to favor spontaneous
fracture-healing and to decrease the non-union rate.
PMID- 29791704
TI - Use of Neuromuscular Electrical Stimulation During Physical Therapy May Reduce
the Incidence of Arthrofibrosis After Total Knee Arthroplasty.
AB - INTRODUCTION: Arthrofibrosis remains a major complication following total knee
arthroplasty (TKA) that negatively impacts patient outcomes and exhausts
healthcare resources. The use of neuromuscular electrical stimulation (NMES) has
demonstrated the ability to facilitate quadriceps muscle recovery and reduce
pain. Therefore, the purpose of this study was to compare TKA patients who
received physical therapy (PT) and adjuvant NMES therapy versus physical therapy
alone in terms of: 1) rates of manipulation under anesthesia (MUA) to treat
arthrofibrosis; and 2) post-therapy range of motion (ROM). MATERIALS AND METHODS:
This was a retrospective review of TKA patients from multiple institutions who
underwent physical therapy versus physical therapy and adjuvant NMES therapy
following primary TKA. A total of 206 patients were reviewed in the two cohorts
that either received PT alone (n=86) or PT and adjuvant NMES therapy (n=120).
Data regarding the requirement of MUA postoperatively for treatment of
arthrofibrosis were collected for every patient. Additionally, pre- and post
therapy knee ROM data was also collected. Outcomes in both cohorts were then
compared and analyzed. RESULTS: Lower rates of arthrofibrosis requiring MUA were
recorded in patients who used NMES therapy and PT when compared to PT alone (7.5%
vs. 19.8%; p=0.009). Log regression analysis revealed lower odds of needing MUA
in patients who utilized NMES therapy in adjunct with PT (odds ratio [OR]=0.36;
95% CI: 0.115 to 0.875; p=0.023). Patients who received the NMES therapy were
shown to have a statistically greater mean improvement in ROM when compared to
those patients who did not receive NMES (+2.63, p=0.04). Log regression analysis
also demonstrated that post-PT ROM decreased the odds of receiving MUA with a
larger ROM (OR=92; 95% CI: 0.824 to 0.9855; p<0.001). CONCLUSION: This study
demonstrated that the use of NMES during PT may reduce the incidence of
arthrofibrosis and improve patient ROM. Prospective, randomized controlled, and
larger-scale studies are needed to validate these results. Nevertheless, this
novel report demonstrated the positive outcomes for a new application of the NMES
therapy.
PMID- 29791705
TI - Is There a Role for VATS Sleeve Lobectomy in Lung Cancer?
AB - Lung cancer is the second most commonly diagnosed cancer and continues to be the
leading cause of death for both men and women, with non-small cell lung cancer
(NSCLC) accounting for 85% of all lung cancer cases. Once a lung mass is
visualized on imaging, accurate staging is required for determination of
treatment options and, when possible, surgical resection is recommended as it has
been proven to have the best survival rates versus non-surgical treatment. If a
patient has advanced or metastatic disease, therapeutic options include
chemotherapy and radiation, while immunotherapy and specific agents that target
tumor mutations are only recommended for appropriate candidates. Additionally,
surgical options differ based on whether the tumor is peripherally or centrally
located in the lung parenchyma. This article will review relevant literature
concerning current surgical techniques for resection of centrally located NSCLC
using thoracotomy and will emphasize the benefits and challenges of a video
assisted thoracic surgery (VATS) approach.
PMID- 29791706
TI - Use of a NobleStitch? EL Device for PFO Closure.
AB - Cryptogenic stroke is the final diagnosis in almost 40% of ischemic acute
cerebrovascular events. There is currently no definitive clinical evidence that
percutaneous closure of patent foramen ovale (PFO) can prevent the recurrence of
stroke or transient ischemic attack (TIA). Identification of the causes of
neurologic ischemic syndromes is essential for any strategy intended to prevent
the catastrophic consequences of cerebral infarction. Since the initial reports
of an unexpectedly high prevalence of PFO in younger patients with cryptogenic
stroke in 1988, there has been growing interest and experience in diagnosing and
treating these patients, both medically and/or with percutaneous closure, in
particular for the potential to eliminate paradoxical embolism via PFO, which is
a likely mechanism for stroke in these patients. Selection of the appropriate
occluder device is of paramount importance for the success of the procedure.
While devices like the Amplatzer? PFO Occluder (St. Jude Medical), which, based
on the extended follow-up of the RESPECT Trial, was approved by the U.S. Food and
Drug Administration last year for recurrent stroke prevention, have become
generally accepted as being better than medical therapy for patients needing
treatment, concerns remain regarding device- and procedure-related complications.
NobleStitch? EL is a novel device that offers a simple non-prosthetic implant
method of PFO closure without the inherent risks seen with septal occluders: no
risk of device embolization, device thrombosis or late erosion, and probably no
risk of arrhythmia. Futhermore, there is no material that would hinder future
access to the left atrium and no requirement for anti-coagulation.
PMID- 29791707
TI - Evaluation of the Safety and Efficacy of a Novel Radiofrequency Device for
Vaginal Treatment.
AB - INTRODUCTION: Vaginal laxity and atrophy are caused mainly by aging and vaginal
childbirth, which lead to a loss of strength and flexibility within the vaginal
wall. As a result, women may experience vaginal, pelvic, sexual and urinary
symptoms that significantly affect their quality of life. OBJECTIVE: The aim of
this study was to evaluate the safety and efficacy of a novel radiofrequency (RF)
device for internal and external vaginal treatment. METHODS: Thirty women who had
been diagnosed with symptoms of vaginal laxity and pelvic relaxation received a
single treatment that consisted of continuous RF in the internal genitalia and
continuous RF followed by fractional RF in the external vulva. Three different
treatment conditions were examined. The results were evaluated by questionnaires
and photos at two months post-treatment compared to baseline. RESULTS: For all
parameters that were scored in the questionnaires, including vaginal symptoms,
sexual matters, quality of life, pelvic floor impact and Stress Urinary
Incontinence, significant improvements were found at a 2-month follow-up,
compared to baseline (p<0.001). No significant or unexpected adverse events were
noted. CONCLUSIONS: The present results suggest that this novel RF-based device
with continuous and fractional RF technologies is useful, safe and effective for
treating vaginal relaxation and atrophy symptoms.
PMID- 29791708
TI - D3 Extended Mesenterectomy in Right Colectomy for Cancer: A Cadaver Simulation
Model.
AB - BACKGROUND: D3 extended mesenterectomy (D3EM) for right colon cancer has received
increased attention owing to suggested improvement of oncological outcomes. The
aim of this study was to evaluate the proficiency-based progression of content
valid metrics in a cadaveric model for right colectomy with D3EM. MATERIALS AND
METHODS: Three expert surgeons were enrolled. Surgeon one performed the procedure
robotically and surgeons two and three performed open D3EM. Proficiency-based
progression was recorded for eight content-valid outcomes. The superior
mesenteric vein (SMV) and artery were cannulated by independent observers to
evaluate vascular tears. The specimens were analyzed for lymph node harvest by a
pathologist blinded to surgical access and to the surgeon. RESULTS: Operating
times did not differ among surgeons (50.2, 32.4 and 43.7 min). SMV tears occurred
in procedures A and B only. There was no significant progression in lymph node
harvest for D2 (p=0.913) and D3EM (p=0.264). CONCLUSIONS: Cadaveric training in
D3EM was associated with progression in avoidance of vascular tears with no
significant changes in operating time and lymph node harvest.
PMID- 29791709
TI - Sub-Trochanteric Hip Fracture Following Core Decompression for Osteonecrosis in a
Patient with a Pre-Existing Contralateral Occult Femoral Neck Fracture.
AB - We present a unique case of a 62-year-old patient with bilateral osteonecrosis of
the femoral heads secondary to corticosteroid use. She presented with an occult
right femoral neck fracture and was treated with percutaneous pinning of the
right femoral neck and a left-sided percutaneous drilling. Despite apparent
appropriate technique, the patient sustained a left sub-trochanteric hip fracture
while shifting in bed in the postoperative care unit and was taken back for
cephalo-medullary nail fixation. Femoral head osteonecrosis may be an under
reported risk factor for development of pathological neck fractures. We present
an overview of this topic along with suggestions for joint preservation treatment
of similar patients at higher risk for perioperative fracture.
PMID- 29791711
TI - Percutaneous Edge-to-Edge Transcatheter Mitral Valve Repair: Current Indications
and Future Perspectives.
AB - Mitral regurgitation (MR) is the most prevalent valvular heart disease (VHD) and
represents an important cause of heart failure. Medical therapy has a limited
role in improving symptoms and does not hinder the progression of valvular
disease. Surgery is the treatment of choice for severe symptomatic MR; valve
repair is currently the preferred surgical approach because it reduces peri
operative mortality and ensures a good medium- to long-term survival outcome.
Nevertheless, a non-negligible proportion of patients with indications for
surgical correction are considered to be at prohibitive perioperative risk,
mainly because of old age and multiple comorbidities. The introduction of
percutaneous interventions to clinical practice has changed the natural history
of this population. Percutaneous edge-to-edge transcatheter mitral valve repair
(Mitraclip(r), Abbott Vascular, Menlo Park, CA) is a state-of-the-art therapy for
approaching MR in patients with a high surgical risk. Despite having been only
recently introduced, this transvenous transfemoral percutaneous intervention has
already been performed in more than 40,000 subjects worldwide, with reassuring
post-operative results in terms of safety, feasibility, mortality and morbidity.
Since Mitraclip(r) is considered to be minimally invasive, it is currently
indicated in "frail" patients with severe comorbidities. We provide a critical
review of the literature to clarify current indications, procedural details,
patient selection criteria, and future perspectives for this innovative
technique.
PMID- 29791710
TI - Postoperative Pain and Analgesia: Is There a Genetic Basis to the Opioid Crisis?
AB - BACKGROUND: Multiple factors have been implicated in determining why certain
patients have increased postoperative pain, with the potential to develop chronic
pain. The purpose of this study was to: 1) identify and describe genes that
affect postoperative pain perception and control; 2) address modifiable risk
factors that result in epigenetic altered responses to pain; and 3) characterize
differences in pain sensitivity and thresholds between opioid-naive and opioid
dependent patients. MATERIALS AND METHODS: Three electronic databases were used
to conduct the literature search: Pubmed, EBSCO host, and SCOPUS. A total of 372
abstracts were reviewed, of which 46 studies were deemed relevant and are
included in this review. RESULTS: Specific gene alterations that were shown to
affect postoperative pain control included single nucleotide polymorphisms in the
mu, kappa, and delta opioid receptors, ion channel genes, cytotoxic T-cells,
glutamate receptors and cytokine genes, among others. Alcoholism, obesity, and
smoking were all linked with genetic polymorphisms that altered pain sensitivity.
Opioid abuse was found to be associated with a poorer response to analgesics
postoperatively, as well as a risk for prescription overdose. CONCLUSION:
Although pain perception has multiple complex influences, the greatest
variability seen in response to opioids among postoperative patients known to
date can be traced to genetic differences in opioid metabolism. Further study is
needed to determine the clinical significance of these genetic associations.
PMID- 29791712
TI - Surgical Management After Hysteroscopic Sterilization: Minimally Invasive
Approach Incorporating Intraoperative Fluoroscopy for Symptomatic Patients with
>2 Essure(r) Devices.
AB - OBJECTIVE: To describe a non-hysterectomy surgical technique for symptomatic
patients with >2 Essure(r) (Bayer Healthcare, Whippany, New Jersey) devices.
DESIGN: Patients (n=4) presented with sharp pelvic pain, irregular vaginal
bleeding, dyspareunia, weight gain, hair loss, fatigue, and/or diffuse skin rash,
all of which were absent before undergoing hysteroscopic sterilization (HS).
Hysterosalpingogram obtained before surgical excision of contraceptive tubal
implants confirmed more than two Essure(r) devices in all patients. Except for HS
associated complaints, all patients were in otherwise good general health and
none had any history of prior pelvic pathology. Hysteroscopy was followed by 5mm
triple-port laparoscopic cornual dissection, modified partial bilateral
salpingectomy, and foreign body removal under fluoroscopy and/or radiographic
guidance. RESULTS: In this group, mean+/-SD patient age was 41+/-8yrs and
interval between HS and device removal was 6.4+/-2.7yrs. At the conclusion of
each case (mean+/-SD operative time=179+/-11min), imaging studies were reviewed
by an attending radiologist and verified no retained metal in the abdomen.
Conversion to laparotomy, hysterectomy, or blood transfusion was unnecessary for
any patients, and all were discharged home within three hours. Their
postoperative course continues to be satisfactory. CONCLUSION: Patients with more
than two Essure(r) devices comprise an unusual group with a complex pelvic
foreign body presentation. This is the first report on surgical management for
such patients, underscoring the importance of localizing these contraceptive
devices with careful imaging before, during, and after surgery. Moreover,
hysterectomy is not absolutely mandatory in this setting and intraoperative
fluoroscopy/radiography can facilitate complete, safe removal of all implants on
an out-patient basis. Creation of ICD-10 modifiers for various post-HS complaints
would allow for improved surveillance of the Essure(r) phenomenon.
PMID- 29791713
TI - The Transradial Approach for Lower Extremity Vascular Intervention.
AB - Simultaneous technological advancements in both imaging as well as devices have
resulted in an expansion of endovascular options for vascular access. In
particular, radial access has traditionally been more favored for coronary
interventions; its use in the aortoiliac and lower extremity vasculature has been
constrained by the length of devices and the size of sheaths required. However,
with increasing catheter shaft lengths, in addition to new thin-walled sheaths
allowing for downsizing, the ability to perform transradial interventions on
infrainguinal and infrageniculate vessels has been more readily facilitated. In
this review, we analyze the potential for transradial therapies in the treatment
of peripheral arterial disease (PAD).
PMID- 29791714
TI - The Problem of Seroma After Ventral Hernia Repair.
AB - Seroma is a common postoperative finding after ventral hernia repair with an
incidence of 20%. Often, it can be managed conservatively, but in the case of
persistent or chronic seroma, reinterventions may be required. Closed drain
suction has been the mainstay of seroma management for the last 40 years. Other
alternative technologies have been evaluated to improve outcomes with mixed
results. Because seroma is common, it is often an accepted outcome. Patient
morbidity and costs to the healthcare system are underestimated, which begs for a
re-evaluation of the current state of seroma management that is nearly a half
century old.
PMID- 29791715
TI - Genome skimming provides new insight into the relationships in Ludwigia section
Macrocarpon, a polyploid complex.
AB - PREMISE OF THE STUDY: Interpreting relationships within groups containing
polyploids, which are frequent in angiosperms, can be greatly assisted by genomic
techniques. In this study, we used a genome-skimming approach to investigate the
evolutionary relationships and origins of polyploids in the monophyletic group,
Ludwigia section Macrocarpon (Onagraceae), which includes diploid, tetraploid,
and hexaploid taxa. METHODS: We sampled all known taxa and ploidy levels in the
section and conducted shotgun sequencing. We assembled plastomes, mitochondrial
sequences, and completed nuclear ribosomal regions, reconstructed phylogenies,
and conducted comparative genomic analyses for plastomes to gain insights into
the relationships among studied taxa. KEY RESULTS: Within the section, results
showed that the South American diploid taxa L. bonariensis and L. lagunae were
closely related. We reported the first chromosome count (2n = 4* = 32) for L.
neograndiflora, which is closely related to the two South American diploid taxa,
although its exact origin remains unclear. The samples of the widespread,
polyploid taxon L. octovalvis do not form a monophyletic group. Both tetraploid
and hexaploid L. octovalvis lineages have originated more than once. At least one
tetraploid in the L. octovalvis lineage may have been involved in the origins of
hexaploids. One or more extinct/unsampled intermediate tetraploids in the L.
octovalvis lineages had also likely been involved in the origins of hexaploids.
CONCLUSIONS: Genome skimming provided important insights into the complex
evolutionary relationships within sect. Macrocarpon, but additional sampling and
data from single-copy nuclear regions are necessary to further elucidate the
origins of the polyploids in this section.
PMID- 29791716
TI - A traditional Chinese remedy points to a natural skin habitat: indirubin (indigo
naturalis) for psoriasis and the Malassezia metabolome.
PMID- 29791717
TI - Termination of pregnancy following a prenatal diagnosis of Down syndrome: A
qualitative study of the decision-making process of pregnant couples.
AB - INTRODUCTION: In Denmark, first trimester screening has a very high uptake
(>90%). If Down syndrome is diagnosed, termination rates are high (>95%). The aim
of this study was to investigate the timing of the decision to terminate
pregnancy following a diagnosis of Down syndrome and the factors influencing this
decision. MATERIAL AND METHODS: Semi-structured, qualitative interview study with
21 couples who had received a prenatal diagnosis of Down syndrome and decided to
terminate the pregnancy. Participants were recruited from obstetric departments
between February 2016 and July 2017. Data were analyzed using thematic analysis.
RESULTS: Five themes were identified: "initial decision-making", "consolidating
the decision", "reasons and concerns shaping the termination of pregnancy
decision", "the right decision is also burdensome", and "perceived influences in
decision-making". For most couples, the initial decision to terminate pregnancy
was made before or during the diagnostic process, but it was re-addressed and
consolidated following the actual diagnosis. Imagining a family future with a
severely affected Down syndrome child was the main factor influencing the
termination of pregnancy decision. The decision was articulated as "right" but
also as existentially burdensome for some, due to fear of regret and concern
about ending a potential life. The decision to terminate pregnancy was considered
a private matter between the couple, but was refined through interactions with
clinicians and social networks. CONCLUSION: All couples made an initial decision
prior to receiving the Down syndrome diagnosis. Knowledge of the couple's initial
decision may facilitate patient-centered communication during and after the
diagnostic process. Couples may benefit from counseling to deal with grief and
existential concerns.
PMID- 29791719
TI - Molecular and morphological diversity of Trebouxia microalgae in sphaerothallioid
Circinaria spp. lichens1.
AB - Three vagrant (Circinaria hispida, Circinaria gyrosa, and Circinaria sp.
'paramerae') and one crustose (semi-vagrant, Circinaria sp. 'oromediterranea')
lichens growing in very continental areas in the Iberian Peninsula were selected
to study the phycobiont diversity. Mycobiont identification was checked using
nrITS DNA barcoding: Circinaria sp. 'oromediterranea' and Circinaria sp.
'paramerae' formed a new clade. Phycobiont diversity was analyzed in 50 thalli of
Circinaria spp. using nrITS DNA and LSU rDNA, with microalgae coexistence being
found in all the species analyzed by Sanger sequencing. The survey of phycobiont
diversity showed up to four different Trebouxia spp. as the primary phycobiont in
20 thalli of C. hispida, in comparison with the remaining Circinaria spp., where
only one Trebouxia was the primary microalga. In lichen species showing
coexistence, some complementary approaches are needed (454 pyrosequencing and/or
ultrastructural analyses). Five specimens were selected for high-throughput
screening (HTS) analyses: 22 Trebouxia OTUs were detected, 10 of them not
previously known. TEM analyses showed three different cell morphotypes (Trebouxia
sp. OTU A12, OTU S51, and T. cretacea) whose ultrastructure is described here in
detail for the first time. HTS revealed a different microalgae pool in each
species studied, and we cannot assume a specific pattern between these pools and
the ecological and/or morphological characteristics. The mechanisms involved in
the selection of the primary phycobiont and the other microalgae by the mycobiont
are unknown, and require complex experimental designs. The systematics of the
genus Circinaria is not yet well resolved, and more analyses are needed to
establish a precise delimitation of the species.
PMID- 29791718
TI - Alopecia areata: a review of disease pathogenesis.
AB - BACKGROUND: Alopecia areata is a disorder that results in nonscarring hair loss.
The psychological impact can be significant, leading to feelings of depression
and social isolation. Objectives In this article, we seek to review the
pathophysiological mechanisms proposed in recent years in a narrative fashion.
METHODS: We searched MEDLINE and Scopus for articles related to alopecia areata,
with a particular emphasis on its pathogenesis. RESULTS: The main theory of
alopecia areata pathogenesis is that it is an autoimmune phenomenon resulting
from a disruption in hair follicle immune privilege. What causes this breakdown
is an issue of debate. Some believe that a stressed hair follicle environment
triggers antigen presentation, while others blame a dysregulation in the central
immune system entangling the follicles. Evidence for the latter theory is
provided by animal studies, as well investigations around the AIRE gene.
Different immune-cell lines including plasmacytoid dendritic cells, natural
killer cells and T cells, along with key molecules such as interferon-gamma,
interleukin-15, MICA and NKG2D, have been identified as contributing to the
autoimmune process. CONCLUSIONS: Alopecia areata remains incurable, although it
has been studied for years. Available treatment options at best are beneficial
for milder cases, and the rate of relapse is high. Understanding the exact
mechanisms of hair loss in alopecia areata is therefore of utmost importance to
help identify potential therapeutic targets.
PMID- 29791720
TI - C4 photosynthesis and transition of Kranz anatomy in cotyledons and leaves of
Tetraena simplex.
AB - PREMISE OF THE STUDY: Tetraena simplex is an independently evolved C4 species in
the Zygophylloideae (Zygophyllaceae) and a characteristic forb of saline flats in
hot and sandy desert habitats. During early ontogeny, the species had a
morphological shift from planar cotyledons (dorsiventral symmetry) to terete,
succulent leaves (radial symmetry). We tested whether this shift had a
corresponding change in internal Kranz anatomy and tissue patterning. METHODS:
For a comprehensive characterization of C4 photosynthesis across early ontogeny
in T. simplex, structural and ultrastructural anatomical properties and
localization patterns, activities, and immunoblotting of key C4 photosynthetic
enzymes were compared in mesophyll and bundle sheath tissues in cotyledons and
leaves. KEY RESULTS: Cotyledons and leaves possessed different types of Kranz
anatomy (atriplicoid type and a "Tetraena" variant of the kochioid type,
respectively), reflecting the change in leaf morphology. In bundle sheath cells,
key differences in ultrastructural features included increased organelle numbers
and chloroplast thylakoid stacking. C4 enzymes had strict tissue-specific
localization patterns within bundle sheath and mesophyll cells in both cotyledons
and leaves. The decarboxylase NAD-ME maintained the highest activity, increasing
from cotyledons to leaves. This classified T. simplex as fully C4 across ontogeny
and a strictly NAD-ME biochemical subtype. CONCLUSIONS: Tetraena simplex
cotyledons and leaves showed differences in Kranz type, with associated
progression in ultrastructural features, and differing activities/expression
levels of C4 enzymes. Furthermore, leaves characterized a new "Tetraena"
variation of the kochioid Kranz anatomy.
PMID- 29791721
TI - Persistence of treatment with biologics for patients with psoriasis: a real-world
analysis of 16 545 biologic-naive patients from the French National Health
Insurance database (SNIIRAM).
AB - BACKGROUND: Long-term clinical effectiveness of biologics in psoriasis is needed.
OBJECTIVES: We aimed to assess the long-term persistence of biologics used to
treat psoriasis in a real-life setting. METHODS: All adults with psoriasis having
been registered in the French National Health Insurance database (SNIIRAM)
between 2008 and 2016 were eligible for inclusion. Psoriasis was defined as the
fulfilment of at least two prescriptions for topical formulations of a vitamin D
derivative within a 2-year period. The study population comprised biologic-naive
patients, i.e. those with a first prescription of etanercept, infliximab,
adalimumab or ustekinumab. Persistence of treatment with a biologic was defined
as the time interval between initiation and discontinuation. RESULTS: In this
nationwide population-based cohort, 16 545 out of 874 549 patients with psoriasis
were biologic-naive (mean age 48.6 years; males 57.3%, mean follow-up 3.6 years).
The mean +/- SD length of follow-up for biologic-naive patients was 3.6 +/- 2.4
years. There were 9988 treatment discontinuations. Kaplan-Meier survival analyses
revealed a persistence rate of 61.9% for the first, 33.3% for the third and 22.6%
for the fifth year. Ustekinumab had a higher persistence rate than the other
biologics. This finding should be interpreted with caution, in view of
differences in administration between the biologics. About 85% of patients,
having discontinued their first biologic, resumed systemic treatment of some type
in the following year (biologics in 85% of cases). CONCLUSIONS: Our data suggest
that biologics are less effective than physicians have been led to believe in a
real-life, nonselected population. Further, long-term disease control requires
several courses of different biologics.
PMID- 29791722
TI - Does Lindioil (indirubin) treatment affect the composition of Malassezia species
on psoriatic skin?
PMID- 29791723
TI - Incomplete functional and morphological recovery after acute and acute recurrent
pancreatitis in children.
AB - BACKGROUND AND AIM: There is lack of data on functional and morphological
recovery after an attack of acute pancreatitis (AP) or acute recurrent
pancreatitis (ARP) in children. This study aims to evaluate the functional
impairment and morphological changes in the pancreas after recovery. METHODS: All
consecutive patients presenting with AP (n = 61) or ARP (n = 35), as per standard
diagnostic criteria, were enrolled. After 2 months of pancreatitis, fecal
elastase-1 (FE-1) (MUg/g) and 2-h oral glucose tolerance test to calculate oral
disposition index (DIo ) (mmol/L) (beta-cell function) were performed.
Morphological changes were assessed by endoscopic ultrasound and transabdominal
ultrasound. Patients with chronic pancreatitis (CP) (n = 27) and healthy children
(HC) (n = 26) were included as controls for functional parameters. RESULTS: At a
median follow up of 12 (4-44) and 11 (2-108) months, 66.7% and 75.9% (P = 0.57)
of AP and ARP demonstrated exocrine insufficiency (FE-1 < 200), respectively.
Mean (SD) FE-1 was 183.64 +/- 150.94 (AP), 135.70 +/- 103.80 (ARP), 46.56 +/-
30.20 (CP), and 240.00 +/- 181.83 (HC) (P < 0.001; anova) (AP vs CP, ARP vs CP,
and CP vs HC; P < 0.001). Prediabetes due to insulin resistance was seen in 16.6%
and 22.6% (P = 0.56) of AP and ARP. Median (interquartile range) DIo (mmol/L) was
comparable between AP (4.20 [2.36, 8.3]) and HC (5.20 [2.89, 8.68]), but was low
in ARP (2.97 [1.80, 5.12]), which was comparable with CP (1.91 [1.20, 2.83]).
Endoscopic ultrasound demonstrated morphological changes in 25% and 37% (P =
0.34) of AP and ARP, respectively. CONCLUSION: There was high frequency of
biochemical evidence of exocrine insufficiency. beta-Cell function (DIo ) was
preserved among AP but was poor in ARP. Nearly one-third showed morphological
changes in imaging.
PMID- 29791724
TI - Resolving ambiguities in SUDEP classification.
AB - OBJECTIVE: To examine the consistency of applying the Nashef et al (2012)
criteria to classify sudden unexpected death in epilepsy (SUDEP). METHODS: We
reviewed cases from the North American SUDEP Registry (n = 250) and Medical
Examiner Offices (n = 1301: 698 Maryland, 457 New York City, 146 San Diego). Two
epileptologists with expertise in SUDEP and epilepsy-related mortality
independently reviewed medical records, scene investigation, autopsy, and
toxicology and assigned a SUDEP class. RESULTS: Major areas of disagreement arose
between adjudicators concerned differentiating (1) Definite SUDEP Plus
Comorbidity from Possible SUDEP and (2) Resuscitated (Near) SUDEP from SUDEP. In
many cases, distinguishing between contributing and competing causes of death
when trying to classify Definite SUDEP Plus Comorbidity versus Possible SUDEP is
ambiguous and relies on judgement. Similarly, determining if an intervention was
lifesaving or not (Resuscitated SUDEP or Not SUDEP), or if resuscitation merely
delayed SUDEP (Resuscitated SUDEP or SUDEP) is often a judgement call and can
differ between experienced adjudicators. Given these persisting ambiguities, we
propose more explicit criteria for distinguishing these categories. SIGNIFICANCE:
Accurate and consistent classification of cause of death among individuals with
epilepsy remains a dire public health concern. SUDEP is likely underestimated in
national health statistics. Greater standardization of criteria among epilepsy
researchers, medical examiners, and epidemiologists to determine cause and
classify death will lead to more accurate tracking of SUDEP and other epilepsy
related mortalities.
PMID- 29791725
TI - Measuring the implementation of early childhood development programs.
AB - In this paper we describe ways to measure variables of interest when evaluating
the implementation of a program to improve early childhood development (ECD). The
variables apply to programs delivered to parents in group sessions and home or
clinic visits, as well as in early group care for children. Measurements for four
categories of variables are included: training and assessment of delivery agents
and supervisors; program features such as quality of delivery, reach, and dosage;
recipients' acceptance and enactment; and stakeholders' engagement. Quantitative
and qualitative methods are described, along with when measures might be taken
throughout the processes of planning, preparing, and implementing. A few standard
measures are available, along with others that researchers can select and modify
according to their goals. Descriptions of measures include who might collect the
information, from whom, and when, along with how information might be analyzed
and findings used. By converging on a set of common methods to measure
implementation variables, investigators can work toward improving programs,
identifying gaps that impede the scalability and sustainability of programs, and,
over time, ascertain program features that lead to successful outcomes.
PMID- 29791726
TI - Building capacity in health and education systems to deliver interventions that
strengthen early child development.
AB - Building capacity within health and education systems of low- and middle-income
countries in order to deliver high-quality early childhood services requires
coordinated efforts across sectors, effective governance, sufficient funding, an
adequate workforce, reliable data systems, and continuous monitoring, evaluation,
and improvement cycles; it also requires partnerships with the private sector,
communities, and parents. In addition, building capacity requires leadership,
innovation of strategies to fit into existing structures, evidence-based
intervention models, and effective partnerships that help make interventions more
culturally relevant, help finance them, and help create institutional long-term
support and sustainability for them. In this article, we focus on identifying
eight critical aspects of enabling systemic support for early childhood services.
Every action that strengthens these critical aspects should be seen as necessary,
but insufficient, steps toward a national strong governance structure for
delivering a locally relevant and comprehensive early child development program
that promotes children's developmental potentials.
PMID- 29791727
TI - Measuring the cost of investing in early childhood interventions and applications
of a standardized costing tool.
AB - In this paper we analyze the need for consistent and accurate cost data on early
childhood development (ECD) interventions as a basis for increasing and improving
the effectiveness of current spending on ECD. We establish the key components
necessary in a costing model and present a new standardized costing tool intended
for use across a broad range of stakeholders and contexts. Learnings from
piloting the tool in Bangladesh, Malawi, Mali, Mexico, and Mozambique are shared.
Providing a standardized methodology for costing ECD is an important contribution
to the field and has the potential to improve both the volume and effectiveness
of ECD financing.
PMID- 29791728
TI - State of the science on implementation research in early child development and
future directions.
AB - We summarize the state of the field of implementation research and practice for
early child development and propose recommendations. First, conclusions are drawn
regarding what is generally known about the implementation of early childhood
development programs, based on papers and discussions leading to a published
series on the topic. Second, recommendations for short-term activities emphasize
the use of newly published guidelines for reporting data collection methods and
results for implementation processes; knowledge of the guidelines and a menu of
measures allows for planning ahead. Additional recommendations include careful
documentation of early-stage implementation, such as adapting a program to a
different context and assessing feasibility, as well as the process of sustaining
and scaling up a program. Using existing implementation information by building
on and improving past programs and translating them into policy are recommended.
Longer term goals are to identify implementation characteristics of effective
programs and determinants of these characteristics.
PMID- 29791729
TI - Implementation of Reach Up early childhood parenting program: acceptability,
appropriateness, and feasibility in Brazil and Zimbabwe.
AB - Young children need nurturing care, which includes responsive caregiver-child
interactions and opportunities to learn. However, there are few extant large
scale programs that build parents' abilities to provide this. We have developed
an early childhood parenting training package, called Reach Up, with the aim of
providing an evidence-based, adaptable program that is feasible for low-resource
settings. Implementation of Reach Up was evaluated in Brazil and Zimbabwe to
inform modifications needed and identify challenges that implementers and
delivery agents encountered. Interview guides were developed to collect
information on the program's appropriateness, acceptability, and feasibility from
mothers, home visitors, and supervisors. Information on adaptation was obtained
from country program leads and Reach Up team logs, as well as quality of visits
from observations conducted by supervisors. The program was well accepted by
mothers and visitors, who perceived benefits for the children; training was
viewed as appropriate, and visitors felt well-prepared to conduct visits. A need
for expansion of supervisor training was identified and the program was feasible
to implement, although challenges were identified, including staff turnover;
implementation was less feasible for staff with other work commitments (in
Brazil). However, most aspects of visit quality were high. We conclude that the
Reach Up program can expand capacity for parenting programs in low- and middle
income countries.
PMID- 29791730
TI - Integration of parenting and nutrition interventions in a community health
program in Pakistan: an implementation evaluation.
AB - Policy and program implementers require evidence on whether integrated
psychosocial stimulation and nutrition interventions can be effectively delivered
at-scale, how, and at what cost? To address some of these issues, a comprehensive
evaluation of implementation was designed for a trial in Pakistan that integrated
psychosocial stimulation and nutrition interventions in a community health
service. The first objective was to describe, analyze, and assess the quality and
accuracy of the implementation of the interventions. The second objective was to
identify barriers and facilitators for uptake of interventions. A mixed-methods
evaluation of implementation processes was conducted. Interventions were accepted
by the community and health providers and there was evidence for behavior change
uptake of the care for early childhood care recommendations. The new
interventions did not dilute delivery of routine services. However, fidelity and
quality required supportive supervision and active use of monitoring data, which
would require attention in scale-up.
PMID- 29791731
TI - Translation of evidence to practice to promote early childhood development
programs.
AB - The 2018 special issue of Annals of the New York Academy of Sciences on
implementation research and practice for early childhood development brings
together emerging evidence on implementing effective nurturing care programs,
addressing issues of quality and scale. Translating evidence to practice requires
addressing the barriers perceived by policy makers, which have been highlighted
in the published literature. Here, I describe how UNICEF and other global
partners are addressing these barriers.
PMID- 29791733
TI - Implementation research for early childhood development programming in
humanitarian contexts.
AB - Young children living in conditions of war, disaster, and displacement are at
high risk for developmental difficulties that can follow them throughout their
lives. While there is robust evidence supporting the need for early childhood
development (ECD) in humanitarian settings, implementation of ECD programming
remains sparse, largely due to the lack of evidence of how and why these programs
can improve outcomes in humanitarian settings. In order to build the evidence
base for ECD in humanitarian settings, we review the current state of
implementation research for ECD programming (targeting children 0-8) in
humanitarian settings, through a literature review and a series of key informant
interviews. Drawing from existing frameworks of implementation research and the
findings from our analysis, we present a framework for ECD implementation
research in humanitarian settings and propose an agenda for future research.
PMID- 29791732
TI - Care for Child Development in rural Malawi: a model feasibility and pilot study.
AB - Evidence demonstrates that encouraging stimulation, early communication, and
nutrition improves child development. Detailed feasibility studies in real-world
situations in Africa are limited. We piloted Care for Child Development through
six health surveillance assistants (HSAs) in group and individual sessions with
60 caregivers and children <2 years and assessed recruitment, frequency, timings,
and quality of intervention. We collected baseline/endline anthropometric, child
development (MDAT), maternal stress (SRQ), and family care indicators (FCIs) data
and determined acceptability through 20 interviews with caregivers and HSAs. HSAs
could only provide coverage on 14.2% of eligible children in their areas; 86% of
group sessions and a mean of 3.6/12 individual sessions offered to mothers were
completed. Pre- and post-assessment of children demonstrated significant changes
in MDAT language and social Z-scores and FCIs. Caregivers perceived sessions as
beneficial and HSAs good leaders but that they could be provided through other
mechanisms. Integrated Care for Child Development programs for 0-2 years old are
readily accepted in Malawi, but they are not feasible to conduct universally
through HSAs due to limited coverage; other models need to be considered.
PMID- 29791734
TI - Implementing aeioTU: quality improvement alongside an efficacy study-learning
while growing.
AB - Effectiveness trials of increasing childhood development interventions across low
and middle-income countries have shown significant variability. The strength and
consistency of benefits for children are dependent on program quality, and this
requires paying attention to program implementation. In this paper, we summarize
findings on program quality and teacher practices and perceptions for the aeioTU
program, a center-based Reggio-inspired program in Colombia, now serving more
than 13,000 children. The research found engaged, committed staff who valued the
emergent approach and understood the children as requiring opportunities to
express themselves, being the source for the curriculum, and having relationships
with the materials around them. Although the average classroom quality was low in
2011, it increased significantly by 2014, particularly in the language and
reasoning and interactions items. Indicator-level analyses showed that higher
order interactions and language processes were observed in a large proportion of
classrooms by 2014. Teachers' self-reports on the environment and their teaching
and learning showed high levels of quality by 2013. These findings illustrate the
significance of process data for program improvement, especially when a program
is young. Program quality can be raised after teachers improve their skills, have
experience enacting a curriculum, and after training has been strengthened in
response to information, while simultaneously scaling up the program.
PMID- 29791735
TI - Practical considerations for transitioning early childhood interventions to
scale: lessons from the Saving Brains portfolio.
AB - Small pilot studies of young children have frequently shown promise, but very few
have been successfully scaled to the regional or national levels. How can we
ensure that these promising approaches move from a suite of pilots to full-scale
implementation that can deliver sustainable impact for hundreds of millions of
children? To elucidate concrete lessons learned and suggestions on accelerating
the transition to impact at scale, we reviewed the Saving Brains portfolio to
better understand three points: (1) the extent to which useful signals of impact
could be extracted from data at the seed phase, (2) the ways in which innovators
(project leaders) were approaching human resource challenges critical for
scaling, and (3) the multisector diversity of the portfolio and the way
innovators entered partnerships. The findings suggest key considerations for
transitioning early childhood development interventions to scale and
sustainability: strong entrepreneurial leadership, rigorous measurement and
active use of data in support of adaptive learning, and champions acting at
subnational levels. Together, these can enable flexible, iterative learning that
can make the scaling process an opportunity to increase the level of benefit each
child receives from an intervention.
PMID- 29791736
TI - Program to Improve Private Early Education (PIPE): a case study of a systems
approach for scaling quality early education solutions.
AB - FSG is a mission-driven nonprofit organization supporting leaders in creating
large-scale, lasting social change. A survey conducted by FSG in 2015 across 4407
low-income families in urban India showed that 95% of them send their children to
preschools, a majority of choosing affordable private preschools (APSs), as
parents perceive the quality of government schools to be poor. Parents use and
value rote-based methods (e.g., reciting poems) to assess their children's
learning in school; however, these methods fail to measure conceptual
understanding. The APS system delivers on these rote approaches using
inappropriate pedagogy, leading to poor learning outcomes. Affordable high
quality activity-based preschool solutions exist and could be brought to the APS
to significantly improve the classroom environment and learning outcomes. This
requires changing mindsets of all actors-solution provider companies, APS owners,
APS teachers, and low-income parents. We present FSG's approach to shaping demand
for quality preschool services and to improve learning outcomes in urban Indian
APSs through the implementation of the pilot Program to Improve Private Early
Education (PIPE).
PMID- 29791737
TI - Reporting guidelines for implementation research on nurturing care interventions
designed to promote early childhood development.
AB - Meta-analyses of interventions such as parenting, stimulation, and early
childhood education have reported consistent medium-to-high effect sizes on early
childhood development (ECD) and early learning outcomes. However, few effective
interventions promoting ECD have achieved scale. In order to increase the access
to effective or high-quality services, greater focus on implementation research
of interventions promoting ECD is necessary. In this paper, we describe the
development of reporting guidelines for implementation research of nurturing care
interventions designed to promote ECD following an expert consensus-building
process. The goal of these guidelines is to support a transparent and standard
reporting of implementation evidence on nurturing care interventions designed to
promote early childhood development.
PMID- 29791738
TI - Designing, testing, and implementing a sustainable nurse home visiting program:
right@home.
AB - Nurse home visiting (NHV) offers a potential platform to both address the factors
that limit access to services for families experiencing adversity and provide
effective interventions. Currently, the ability to examine program implementation
is hampered by a lack of detailed description of actual, rather than expected,
program development and delivery in published studies. Home visiting
implementation remains a black box in relation to quality and sustainability.
However, previous literature would suggest that efforts to both report and
improve program implementation are vital for NHV to have population impact and
policy sustainability. In this paper, we provide a case study of the design,
testing, and implementation of the right@home program, an Australian NHV program
and randomized controlled trial. We address existing gaps related to
implementation of NHV programs by describing the processes used to develop the
program to be trialed, summarizing its effectiveness, and detailing the quality
processes and implementation evaluation. The weight of our evidence suggests that
NHV can be a powerful and sustainable platform for addressing inequitable
outcomes, particularly when the program focuses on parent engagement and
partnership, delivers evidence-based strategies shown to improve outcomes,
includes fidelity monitoring, and is adapted to and embedded within existing
service delivery systems.
PMID- 29791739
TI - What implementation evidence matters: scaling-up nurturing interventions that
promote early childhood development.
AB - Research in early childhood development (ECD) has established the need for
scaling-up multisectoral interventions for nurturing care to promote ECD, for
improved socioeconomic outcomes for sustainable societies. However, key elements
and processes for implementation and scale-up of such interventions are not well
understood. This special series on implementation research and practice for ECD
brings together evidence to inform effectiveness, quality, and scale in nurturing
care programs; identifies knowledge gaps; and proposes further directions for
research and practice. This paper frames the dimensions and components
fundamental to the understanding of implementation processes for nurturing care
interventions, factors for improving implementation of interventions, and
strategies to scale by embedding interventions in delivery systems. We discuss
emerging issues in implementation research for ECD, including (1) the role of
context in adaptation and implementation, (2) standardized reporting of
implementation research, (3) the importance of feasibility studies to inform
scale-up and capacity building, (4) fidelity and program quality improvement, and
(5) intervention integration into existing systems. Effective implementation of
nurturing care interventions is at the heart of achieving positive developmental
outcomes for young children. It is pivotal to adapt and implement these
interventions based on evidence for high impact, especially in low-resource
settings.
PMID- 29791740
TI - The Irie Classroom Toolbox: developing a violence prevention, preschool teacher
training program using evidence, theory, and practice.
AB - In this paper, I describe the development of the Irie Classroom Toolbox, a school
based violence prevention, teacher training program for use with children aged 3
6 years. In-depth interviews were conducted with Jamaican preschool teachers, who
had participated in a trial of a classroom behavior management program, at
posttest (n = 35) and 5 years later (n = 20). An on-going process evaluation was
also conducted. Teachers' preferred behavior management strategies and training
methods were documented, and enablers and barriers to implementation were
identified. Teachers were most likely to adopt strategies that they liked, found
easy to use, and were effective. These included paying attention to positive
behavior and explicitly teaching children the expected behavior. Teachers
preferred active, hands-on training strategies based on social-cognitive
theories. Enablers to intervention implementation included positive teacher
facilitator relationships, choice, collaborative problem solving, teachers
recognizing benefits of the intervention, group support, and provision of
materials. Barriers to intervention implementation were also identified. These
data were integrated with behavior change theory (i.e., the behavior change wheel
and theoretical domains framework) to develop an intervention grounded in common
core elements of evidence-based programs while also utilizing teachers'
perspectives. The resulting program is a low cost, adaptable intervention that
should be suitable for training preschool teachers in other low-resource
settings.
PMID- 29791741
TI - Diffusing and scaling evidence-based interventions: eight lessons for early child
development from the implementation of perinatal home visiting in South Africa.
AB - Most low- and middle-income countries lack resources with which to implement
public health programs. As such, there is a necessity to facilitate programing
that judiciously makes use of what resources there are. However, despite evidence
for the efficacy of many interventions, translating these into real-world
effectiveness, and then into scalability, is complex and has often been
neglected. We draw on a case study of Philani+ (a maternal and child health
intervention implemented in South Africa) to distil eight features of health
programing that aid intervention effectiveness. We argue that implementation
science should turn its attention to the human resource "process" features of
interventions. We describe the importance of staff selection (rigorous selection
and hiring procedures); training (developing a set of common core pragmatic
problem-solving skills); monitoring (feedback about quality); community and
institutional support (rapport with intervention communities); the importance of
stable leadership (consistent leadership focusing on how to optimize the
potential of staff); the importance of implementing with sustainable, long-term
change in mind; and, finally, we describe how cultivating consistency within an
organization requires disciplined action and disciplined focus on the
organization's vision.
PMID- 29791742
TI - What policymakers need from implementation evaluations of early childhood
development programs.
AB - As early childhood interventions move from small- to large-scale programs, the
partnerships among researchers, policymakers, communication experts,
practitioners, and local communities become increasingly critical for successful
implementation. The results from evaluations of programs need to guide
policymakers so that they can make informed administrative and fiscal decisions.
This commentary makes five recommendations for researchers to consider when
designing early childhood programs for scale.
PMID- 29791743
TI - A network for early childhood development.
AB - Political action and investment are critical to meet the development needs of
vulnerable young children today. Early childhood development (ECD) has become a
global priority: an ECD target and other ECD-related targets are included in the
sustainable development goals, over 75 countries have officially adopted
multisectoral ECD policy instruments, and ECD is a programmatic focus in major
global institutions (including UNICEF, UNESCO, the WHO, and the World Bank).
However, lack of clear and strong ownership of and champions for ECD, operational
and communication siloes of constituent sectors that constitute ECD, political
pressures for investment in other arenas, and low international investment in ECD
inhibit making a compelling and cohesive investment case for ECD. This commentary
explores how a global ECD network can facilitate systematic alignment and action
across sectors to support country systems, strengthen political will, and
increase investment to deliver results for young children's development. The ECD
Action Network is in formation and offers an opportunity for the field to share
knowledge among countries and sectors, identify pathways for sectoral
coordination and collaboration, and undertake advocacy to generate the scale of
political and financial support necessary to ensure that every child receives the
nurturing care he/she needs.
PMID- 29791745
TI - Nursing as a pathway to women's empowerment and intergenerational mobility.
AB - AIM AND OBJECTIVE: To assess the impact of nursing education on the
intergenerational mobility of graduates of nursing upskilling programmes.
BACKGROUND: Challenges for low- and middle-income countries include poverty and
limited access to health, education and social services compounded by workforce
shortages, inequality and female disempowerment. Little is known about the impact
of nursing education on women's empowerment and intergenerational mobility in
such settings. DESIGN: A cross-sectional study using data collected through an
online alumni survey. METHODS: Data were collected March to May 2016 using an
online questionnaire, as part of a larger nursing programme alumni survey.
Intergenerational mobility was assessed by comparing the respondents' educational
qualification with their fathers' and mothers' education levels. Descriptive
statistics were analysed using frequencies and percentages. Associations between
parental and respondents' education levels were assessed using chi-square tests.
RESULTS: Out of 446 female respondents who completed the survey, 379 and 366
indicated their fathers' and mothers' education level, respectively. A third of
the respondents' mothers had no formal schooling; lower levels of parental
education are significantly associated with increase in respondents age (p <
0.001) and associated shift from Uganda to Kenya and Tanzania (p < 0.001).
Respondents had a marked upward intergenerational education mobility with 76%
(278/366) and 59% (223/379) of them achieving a qualification two levels above
their mothers and fathers, respectively. Tanzanian respondents had significantly
higher rates of upward mobility than Kenyan and Ugandan respondents. CONCLUSIONS:
Nursing education positively impacted gender, economic factors and health
outcomes. Further research is needed to confirm the "triple impact" of nursing
education on improving health, gender equality and economic growth in low- and
middle-income countries. RELEVANCE TO CLINICAL PRACTICE: Nurses are frontline
providers of healthcare services. Provision of high-quality nursing upgrade
programmes enhances nursing leadership ability, with aligned improvements in
health outcomes while supporting gender empowerment and intergenerational
mobility.
PMID- 29791744
TI - PF-06526290 can both enhance and inhibit conduction through voltage-gated sodium
channels.
AB - BACKGROUND AND PURPOSE: Pharmacological agents that either inhibit or enhance
flux of ions through voltage-gated sodium (Nav ) channels may provide
opportunities for treatment of human health disorders. During studies to
characterize agents that modulate Nav 1.3 function, we identified a compound that
appears to exhibit both enhancement and inhibition of sodium ion conduction that
appeared to be dependent on the gating state that the channel was in. The
objective of the current study was to determine if these different modulatory
effects are mediated by the same or distinct interactions with the channel.
EXPERIMENTAL APPROACH: Electrophysiology and site-directed mutation were used to
investigate the effects of PF-06526290 on Nav channel function. KEY RESULTS: PF
06526290 greatly slows inactivation of Nav channels in a subtype-independent
manner. However, upon prolonged depolarization to induce inactivation, PF
06526290 becomes a Nav subtype-selective inhibitor. Mutation of the domain 4
voltage sensor modulates inhibition of Nav 1.3 or Nav 1.7 channels by PF-06526290
but has no effect on PF-06526290 mediated slowing of inactivation. CONCLUSIONS
AND IMPLICATIONS: These findings suggest that distinct interactions may underlie
the two modes of Nav channel modulation by PF-06526290 and that a single compound
can affect sodium channel function in several ways.
PMID- 29791747
TI - Commentary on "The impact of nonadherence to antiseizure drugs on seizure
outcomes in an animal model of epilepsy".
PMID- 29791748
TI - A bright forecast for autoregulation monitoring?
PMID- 29791746
TI - Molecular and cellular characterization of nicotinic acetylcholine receptor
subtypes in the arcuate nucleus of the mouse hypothalamus.
AB - Nicotine, acting through nicotinic acetylcholine receptors (nAChRs), increases
the firing rate of both orexigenic agouti-related peptide (AgRP) and anorexigenic
pro-opiomelanocortin (POMC) neurons in the arcuate nucleus of the hypothalamus
(ARC), yet nicotine and other nAChR agonists decrease food intake in mice. Viral
mediated knockdown of the beta4 nAChR subunit in all neuronal cell types in the
ARC prevents the nicotinic agonist cytisine from decreasing food intake, but it
is not known whether the beta4 subunit is selectively expressed in anorexigenic
neurons or how other nAChR subtypes are distributed in this nucleus. Using
translating ribosome affinity purification (TRAP) on ARC tissue from mice with
ribosomes tagged in either AgRP or POMC cells, we examined nAChR subunit mRNA
levels using real-time PCR. Both AgRP and POMC cells express a comparable panel
of nAChR subunits with differences in alpha7 mRNA levels and a trend for
difference in alpha4 levels, but no differences in beta4 expression.
Immunoprecipitation of assembled nAChRs revealed that the beta4 subunit forms
assembled channels with alpha3, beta2 and alpha4, but not other subunits found in
the ARC. Finally, using cell type-selective, virally delivered small hairpin RNAs
targeting either the beta4 or alpha7 subunit, we examined the contribution of
each subunit in either AgRP or POMC cells to the behavioural response to
nicotine, refining the understanding of nicotinic regulation of this feeding
circuit. These experiments identify a more complex set of nAChRs expressed in ARC
than in other hypothalamic regions. Thus, the ARC appears to be a particular
target of nicotinic modulation.
PMID- 29791750
TI - Tiled array-based sequencing identifies enrichment of loss-of-function variants
in the highly homologous filaggrin gene in African-American children with severe
atopic dermatitis.
AB - Filaggrin (FLG) loss-of-function (LOF) variants are a major risk factor for the
common inflammatory skin disease, atopic dermatitis (AD) and are often population
specific. African-American (AA) children are disproportionately affected with AD,
often later developing asthma and/or allergic rhinitis and comprise an atopy
health disparity group for which the role of FLG LOF is not well known. Discovery
of FLG LOF using exome sequencing is challenging given the known difficulties for
accurate short-read alignment to FLG's high homology repeat variation. Here, we
employed an array-based sequencing approach to tile across each FLG repeat and
discover FLG LOF in a well-characterized cohort of AA children with moderate-to
severe AD. Five FLG LOF were identified in 23% of our cohort. Two novel FLG LOF
singletons, c.488delG and p.S3101*, were discovered as well as p.R501*, p.R826*
and p.S3316* previously reported for AD. p.S3316* (rs149484917) is likely an
African ancestral FLG LOF, reported in African individuals in ExAC (Exome
Aggregation Consortium), Exome Variant Server (ESP), and 4 African 1000G
population databases (ESN, MSL, ASW, and ACB). The proportion of FLG LOF (11.5%)
among the total FLG alleles in our cohort was significantly higher in comparisons
with FLG LOF reported for African individuals in ExAC (2.5%; P = 4.3 * 10-4 ) and
ESP (1.7%; P = 3.5 * 10-5 ) suggesting a disease-enrichment effect for FLG LOF.
Our results demonstrate the utility of array-based sequencing in discovering FLG
LOF, including novel and population-specific, which are of higher prevalence in
our AA severe AD group than previously reported.
PMID- 29791749
TI - Reactivity of 3,3,3-Trifluoropropyne at Rhodium Complexes: Development of
Hydroboration Reactions.
AB - The rhodium compounds [Rh(C=CCF3 )(PEt3 )3 ] (2), fac-[RhH(C=CCF3 )2 (PEt3 )3 ]
(3), and fac-[Rh{(E)-CH=CHCF3 }(C=CCF3 )2 (PEt3 )3 ] (4) were synthesized by
reactions of the rhodium(I) complexes [Rh(H)(PEt3 )3 ] (1) and [Rh(Bpin)(PEt3 )3
] (5, HBpin=pinacolborane) with the alkyne 3,3,3-trifluoropropyne. Reactivity
studies of [Rh(C=CCF3 )(PEt3 )3 ] (2) were performed with CO and 13 CO to form
[Rh(C=CCF3 )(CO)(PEt3 )3 ] (7) and subsequently trans-[Rh(C=CCF3 )(CO)(PEt3 )2 ]
(8) as well as the labeled derivatives. Using 1-4 as catalysts, hydroboration
reactions selectively afforded borylated building blocks.
PMID- 29791751
TI - Reducing branched-chain amino acid intake to reverse metabolic complications in
obesity and type 2 diabetes.
PMID- 29791752
TI - Subcentimetre non-invasive follicular thyroid neoplasm with papillary-like
nuclear features (NIFTP).
PMID- 29791753
TI - Double Jeopardy - Missed care for the vulnerable in community settings.
PMID- 29791755
TI - Commentary: 2017 clinical Epilepsia prize.
PMID- 29791754
TI - ATP triggers a robust intracellular [Ca2+ ]-mediated signalling pathway in human
synovial fibroblasts.
AB - NEW FINDINGS: What is the central question of this study? What are the main [Ca2+
]i signalling pathways activated by ATP in human synovial fibroblasts? What is
the main finding and its importance? In human synovial fibroblasts ATP acts
through a linked G-protein (Gq ) and phospholipase C signalling mechanism to
produce IP3 , which then markedly enhances release of Ca2+ from the endoplasmic
reticulum. These results provide new information for the detection of early
pathophysiology of arthritis. ABSTRACT: In human articular joints, synovial
fibroblasts (HSFs) have essential physiological functions that include synthesis
and secretion of components of the extracellular matrix and essential articular
joint lubricants, as well as release of paracrine substances such as ATP.
Although the molecular and cellular processes that lead to a rheumatoid arthritis
(RA) phenotype are not fully understood, HSF cells exhibit significant changes
during this disease progression. The effects of ATP on HSFs were studied by
monitoring changes in intracellular Ca2+ ([Ca2+ ]i ), and measuring
electrophysiological properties. ATP application to HSF cell populations that had
been enzymatically released from 2-D cell culture revealed that ATP (10-100 MUm),
or its analogues UTP or ADP, consistently produced a large transient increase in
[Ca2+ ]i . These changes (i) were initiated by activation of the P2 Y purinergic
receptor family, (ii) required Gq -mediated signal transduction, (iii) did not
involve a transmembrane Ca2+ influx, but instead (iv) arose almost entirely from
activation of endoplasmic reticulum (ER)-localized inositol 1,4,5-trisphosphate
(IP3 ) receptors that triggered Ca2+ release from the ER. Corresponding single
cell electrophysiological studies revealed that these ATP effects (i) were
insensitive to [Ca2+ ]o removal, (ii) involved an IP3 -mediated intracellular
Ca2+ release process, and (iii) strongly turned on Ca2+ -activated K+ current(s)
that significantly hyperpolarized these cells. Application of histamine produced
very similar effects in these HSF cells. Since ATP is a known paracrine agonist
and histamine is released early in the inflammatory response, these findings may
contribute to identification of early steps/defects in the initiation and
progression of RA.
PMID- 29791756
TI - Interactions between insulin and diet on striatal dopamine uptake kinetics in
rodent brain slices.
AB - Diet influences dopamine transmission in motor- and reward-related basal ganglia
circuitry. In part, this reflects diet-dependent regulation of circulating and
brain insulin levels. Activation of striatal insulin receptors amplifies axonal
dopamine release in brain slices, and regulates food preference in vivo. The
effect of insulin on dopamine release is indirect, and requires striatal
cholinergic interneurons that express insulin receptors. However, insulin also
acts directly on dopamine axons to increase dopamine uptake by promoting dopamine
transporter (DAT) surface expression, counteracting enhanced dopamine release.
Here, we determined the functional consequences of acute insulin exposure and
chronic diet-induced changes in insulin on DAT activity after evoked dopamine
release in striatal slices from adult ad-libitum fed (AL) rats and mice, and food
restricted (FR) or high-fat/high-sugar obesogenic (OB) diet rats. Uptake kinetics
were assessed by fitting evoked dopamine transients to the Michaelis-Menten
equation and extracting Cpeak and Vmax . Insulin (30 nm) increased both
parameters in the caudate putamen and nucleus accumbens core of AL rats in an
insulin receptor- and PI3-kinase-dependent manner. A pure effect of insulin on
uptake was unmasked using mice lacking striatal acetylcholine, in which increased
Vmax caused a decrease in Cpeak . Diet also influenced Vmax , which was lower in
FR vs. AL. The effects of insulin on Cpeak and Vmax were amplified by FR but
blunted by OB, consistent with opposite consequences of these diets on insulin
levels and insulin receptor sensitivity. Overall, these data reveal acute and
chronic effects of insulin and diet on dopamine release and uptake that will
influence brain reward pathways.
PMID- 29791757
TI - Organ donation after euthanasia, morally acceptable under strict procedural
safeguards.
AB - In this paper, we will present a case of organ donation after active euthanasia
(ODE) in the Netherlands from a patient who had his life ended at his explicit
and voluntary request. The form of ODE we describe here concerns patients who are
not unconscious and on life support, but who are conscious and want to have their
life ended because of their hopeless and unbearable suffering, for instance due
to a terminal illness such as Amyotrophic Lateral Sclerosis (ALS) or Multiple
Sclerosis (MS). This form of ODE is of course only possible in jurisdictions
where euthanasia is allowed. In these jurisdictions, organ donation after
euthanasia is an option that may be considered. We believe ODE is worthwhile to
pursue, as it can strengthen patient autonomy, can give meaning to the inevitable
death of the patient, and be an extra source of much needed donor organs. To
ensure voluntariness of both euthanasia and organ donation and avoid conflict of
interest by physicians, ODE does need strict procedural safeguards however. The
most important safeguard is a strict separation between the 2 procedures. The
paper discusses several ethical issues such as who should broach the subject of
organ donation and who should perform the euthanasia, and how a conflict of
interest can be avoided.
PMID- 29791758
TI - Posttraumatic stress symptomatology following exposure to perceived traumatic
perinatal events within the midwifery profession: The impact of trait emotional
intelligence.
AB - AIMS: To explore factors associated with, and predictors of, posttraumatic stress
symptoms in midwives. To explore factors associated with, and potential
moderating effects of, trait emotional intelligence. Secondary analysis explored
predictors of resilience. BACKGROUND: Midwives may experience vicarious trauma
responses due to exposure to certain perinatal events in their professional
lives. This may have adverse psychological outcomes for midwives, and women and
children in their care. DESIGN: A cross-sectional, online and paper survey of
midwives in the United Kingdom was conducted. METHODS: Between February and
October 2016, 113 midwives who met inclusion criteria provided demographic
information, and completed scales measuring posttraumatic stress symptoms, trait
emotional intelligence, empathy, resilience, social support, and attitudes
towards emotional expression. RESULTS: Higher resilience and trait emotional
intelligence scores were associated with reduced posttraumatic stress symptoms.
Higher empathy, perceived social support, and resilience were associated with
higher trait emotional intelligence. Lower resilience significantly predicted
posttraumatic stress symptoms. Trait emotional intelligence did not moderate
relationships between resilience and posttraumatic stress symptoms, but may
protect against posttraumatic stress symptoms in midwives with higher empathy.
Higher trait emotional intelligence, and lower empathy and need for support,
significantly predicted resilience. Notably, when trait emotional intelligence
was higher, the negative relationship between empathy and resilience was reduced.
CONCLUSION: Approximately one-fifth of midwives were experiencing posttraumatic
stress symptoms at clinically significant levels. Trait emotional intelligence
may protect against posttraumatic stress symptoms by supporting resilience, while
enabling midwives to remain empathic. The negative correlation between resilience
and empathy needs careful consideration by policy makers.
PMID- 29791759
TI - CTNNAL1 inhibits ozone-induced epithelial-mesenchymal transition in human
bronchial epithelial cells.
AB - NEW FINDINGS: What is the central question of this study? What is the effect of
catenin alpha-like 1 (CTNNAL1), an asthma-related epithelial adhesion molecule
that plays a vital role in airway epithelial wound repair, on airway epithelial
mesenchymal transition? What is the main finding and its importance? CTNNAL1
inhibits ozone-induced airway epithelial-mesenchymal transition features,
mediated by repressing the expression of Twist1 mRNA and reducing TGF-beta1
levels. These findings contribute to our understanding of the pathology of airway
EMT and may indicate a possible therapeutic target for airway remodelling in
bronchial asthma. ABSTRACT: Epithelial-mesenchymal transition (EMT), a crucial
event occurring during epithelial and mesenchymal repair, was reported to be a
possible mechanism for airway remodelling. Our previous work showed that the
expression of catenin alpha-like 1 (CTNNAL1) was down-regulated in the bronchial
epithelial cells of asthmatic models and played a vital role in airway epithelial
wound repair. The aim of this study was to investigate the effect of CTNNAL1 on
airway EMT. Overexpression or silencing of CTNNAL1 in human bronchial epithelial
cells was induced by stable transfection. CTNNAL1 was silenced in primary mouse
airway epithelial cells with an effective siRNA vector. Cells were stressed by
ozone for 4 days at 30 min day-1 to induce EMT. EMT features, changes in the
function of co-cultured lung fibroblasts, changes in the expression of the
transcriptional repressors Snail/Slug and Twist1/Twist2 and changes in the
secretion of transforming growth factor beta1 (TGF-beta1) were assayed in
different cell lines with or without ozone exposure. Both ozone exposure and
silencing of CTNNAL1 induced EMT features in airway epithelial cells. Functional
changes in lung fibroblasts increased after co-culture with (ozone-stressed)
CTNNAL1-silenced cells. Snail and Twist1 expression increased, and the level of
TGF-beta1 was enhanced. Conversely, CTNNAL1 overexpression reversed EMT features,
repressed mRNA levels of Twist1 and reduced the secretion of TGF-beta1, both
alone and in combination with ozone exposure. Our results indicate that ozone
exposure induces airway EMT and that CTNNAL1 inhibits ozone-induced airway EMT.
CTNNAL1 may play a role in airway EMT by repressing the expression of Twist1 mRNA
and reducing the level of TGF-beta1.
PMID- 29791761
TI - Nerve action potential amplitude, a robust marker of diabetic peripheral
neuropathy.
PMID- 29791762
TI - Ethical dilemmas in nursing: An integrative review.
AB - AIMS: To identify themes and gaps in the literature to stimulate researchers to
develop strategies to guide decision-making among clinical nurses faced with
ethical dilemmas. BACKGROUND: The concept of ethical dilemmas has been well
explored in nursing because of the frequency of ethical dilemmas in practice and
the toll these dilemmas can take on nurses. Although ethical dilemmas are
prevalent in nursing practice, frequently leading to moral distress, there is
little guidance in the literature to help nurses resolve them. DESIGN: This study
is an integrative review of published research from 2000 to 2017. METHODS: The
keywords ethics, ethical dilemmas and nurs* were searched in CINAHL, PubMed, OVID
and SCOPUS. Exclusion criteria were sources not available in English, not in
acute care, and without an available abstract. Seventy-two studies were screened;
35 were retained. Garrard's matrix was utilised to analyse and synthesise the
studies. RESULTS: Ethical dilemmas arose from end-of-life issues, conflict with
physicians or families, patient privacy concerns and organisational constraints.
Differences were found in study location, and yet international research confirms
that ethical dilemmas are universally prevalent and must be addressed globally to
protect patients and nurses. CONCLUSIONS: This review offers an analysis of the
available evidence regarding ethical dilemmas in acute care, identifying themes,
limitations and gaps in the literature. The gaps in quantitative intervention
work, US paucity of research, and lack of comparisons across practice
settings/nursing roles must be addressed. Further exploration is warranted in the
relationship between ethical dilemmas and moral distress, the significance
patient physical appearance plays on nurse determination of futility, and
strategies for pain management and honesty. RELEVANCE TO NURSING PRACTICE:
Understanding and addressing gaps in research is essential to develop strategies
to help nurses resolve ethical dilemmas and to avoid moral distress and burnout.
PMID- 29791763
TI - Payments for ecosystem services in Mexico reduce forest fragmentation.
AB - Forest fragmentation can lead to habitat reduction, edge increase, and exposure
to disturbances. A key emerging policy to protect forests is payments for
ecosystem services (PES), which offers compensation to landowners for
environmental stewardship. Mexico was one of the first countries to implement a
broad-scale PES program, enrolling over 2.3 Mha by 2010. However, Mexico's PES
did not completely eliminate deforestation in enrolled parcels and could have
increased incentives to hide deforestation in ways that increased fragmentation.
We studied whether Mexican forests enrolled in the PES program had less forest
fragmentation than those not enrolled, and whether the PES effects varied among
forest types, among socioeconomic zones, or compared to the protected areas
system. We analyzed forest cover maps from 2000 to 2012 to calculate forest
fragmentation. We summarized fragmentation for different forest types and in four
socioeconomic zones. We then used matching analysis to investigate the possible
causal impacts of the PES on forests across Mexico and compared the effects of
the PES program with that of protected areas. We found that the area covered by
forest in Mexico decreased by 3.4% from 2000 to 2012, but there was 9.3% less
forest core area. Change in forest cover was highest in the southern part of
Mexico, and high-stature evergreen tropical forest lost the most core areas (
17%), while oak forest lost the least (-2%). Our matching analysis found that the
PES program reduced both forest cover loss and forest fragmentation. Low-PES
areas increased twice as much of the number of forest patches, forest edge,
forest islets, and largest area of forest lost compared to high-PES areas.
Compared to the protected areas system in Mexico, high-PES areas performed
similarly in preventing fragmentation, but not as well as biosphere reserve core
zones. We conclude that the PES was successful in slowing forest fragmentation at
the regional and country level. However, the program could be improved by
targeting areas where forest changes are more frequent, especially in southern
Mexico. Fragmentation analyses should be implemented in other areas to monitor
the outcomes of protection programs such as REDD+ and PES.
PMID- 29791760
TI - Neopterin/7,8-dihydroneopterin is elevated in Duchenne muscular dystrophy
patients and protects mdx skeletal muscle function.
AB - NEW FINDINGS: What is the central question of this study? We examined whether the
macrophage-synthesized antioxidant 7,8-dihydroneopterin was elevated in Duchenne
muscular dystrophy (DMD) patients. We then examined whether 7,8-dihydroneopterin
could protect dystrophic skeletal mouse muscle from eccentric contraction-induced
force loss and improve recovery. What is the main finding and its importance?
Urinary neopterin/creatinine and 7,8-dihydroneopterin/creatinine were elevated in
DMD patients. 7,8-Dihydroneopterin attenuated eccentric contraction-induced force
loss of dystrophic skeletal mouse muscle and accelerated recovery of force. These
results suggest that eccentric contraction-induced force loss is mediated, in
part, by an oxidative component and provides a potential protective role for 7,8
dihydroneopterin in DMD. ABSTRACT: Macrophage infiltration is a hallmark of
dystrophin-deficient muscle. We tested the hypothesis that Duchenne muscular
dystrophy (DMD) patients would have elevated levels of the macrophage-synthesized
pterins, neopterin and 7,8-dihydroneopterin, compared with unaffected age-matched
control subjects. Urinary neopterin/creatinine and 7,8
dihydroneopterin/creatinine were elevated in DMD patients, and 7,8
dihydroneopterin/creatinine was associated with patient age and ambulation.
Urinary 7,8-dihydroneopterin corrected for specific gravity was also elevated in
DMD patients. Given that 7,8-dihydroneopterin is an antioxidant, we then
identified a potential role for 7,8-dihydroneopterin in disease pathology. We
assessed whether 7,8-dihydroneopterin could: (i) protect against isometric force
loss in wild-type skeletal muscle exposed to various pro-oxidants; and (ii)
protect wild-type and mdx muscle from eccentric contraction-induced force loss,
which has an oxidative component. Force loss was elicited in isolated extensor
digitorum longus (EDL) muscles by 10 eccentric contractions, and recovery of
force after the contractions was measured in the presence of exogenous 7,8
dihydroneopterin. 7,8-Dihydroneopterin attenuated isometric force loss by wild
type EDL muscles when challenged by H2 O2 and HOCl, but exacerbated force loss
when challenged by SIN-1 (NO* , O2* , ONOO- ). 7,8-Dihydroneopterin attenuated
eccentric contraction-induced force loss in mdx muscle. Isometric force
production by EDL muscles of mdx mice also recovered to a greater degree after
eccentric contractions in the presence of 7,8-dihydroneopterin. The results
corroborate macrophage activation in DMD patients, provide a potential protective
role for 7,8-dihydroneopterin in the susceptibility of dystrophic muscle to
eccentric contractions and indicate that oxidative stress contributes to
eccentric contraction-induced force loss in mdx skeletal muscle.
PMID- 29791765
TI - Resources for work-related well-being: A qualitative study about healthcare
employees' experiences of relationships at work.
AB - AIMS AND OBJECTIVES: To explore municipal healthcare employees' experiences of
relationships with care recipients and colleagues. The specific research
questions were when do the relationships enhance well-being, and what
prerequisites are needed for such relationships to occur? BACKGROUND: Employees
in health and social care for older people often depict their work in negative
terms, and they often take a high number of sick leaves. Despite the heavy
workload, other employees express well-being at work and highlight social
relationships as one reason for this. However, a greater understanding of how
these relationships can act as resources for workplace well-being is needed.
DESIGN: The design of the study was qualitative and exploratory. METHODS:
Qualitative interview studies were conducted with 23 healthcare employees in
municipal health care. Thematic analysis was used to analyse the data. RESULTS:
Two themes were identified as resources for promoting relationships between
employees and care recipients or colleagues: (a) Being personal-a close
interpersonal relationship to a care recipient-and (b) Colleague belongingness-a
sense of togetherness within the working group. Spending quality time together,
providing long-term care and providing additional care were prerequisites for a
close interpersonal relationship with care recipients. Trust, mutual
responsibility and cooperation were prerequisites for a sense of togetherness
within the working group. CONCLUSIONS: The findings provide an empirical base to
raise awareness of relationships with care recipients and colleagues as health
aspects. Relationships among employees in health care are vital resources that
must be considered to create sustainable workplaces and consequently improve the
quality of care. RELEVANCE TO CLINICAL PRACTICE: The results of this study
advocate health promotion efforts based on interpersonal relationships at the
workplace. Dialogues in the working groups, based on the employees' experiences
of positive daily situations, may be a promoting method for the work-related well
being of the employees.
PMID- 29791766
TI - Protein Regulation by Intrinsically Disordered Regions: A Role for Subdomains in
the IDR of the HIV-1 Rev Protein.
AB - Intrinsically disordered regions (IDRs) in proteins are highly abundant, but they
are still commonly viewed as long stretches of polar, solvent-accessible
residues. Here we show that the disordered C-terminal domain (CTD) of HIV-1 Rev
has two subregions that carry out two distinct complementary roles of regulating
protein oligomerization and contributing to stability. We propose that this takes
place through a delicate balance between charged and hydrophobic residues within
the IDR. This means that mutations in this region, as well as the known mutations
in the structured region of the protein, can affect protein function. We suggest
that IDRs in proteins should be divided into subdomains similarly to structured
regions, rather than being viewed as long flexible stretches.
PMID- 29791767
TI - Increased body size along urbanization gradients at both community and
intraspecific level in macro-moths.
AB - Urbanization involves a cocktail of human-induced rapid environmental changes and
is forecasted to gain further importance. Urban-heat-island effects result in
increased metabolic costs expected to drive shifts towards smaller body sizes.
However, urban environments are also characterized by strong habitat
fragmentation, often selecting for dispersal phenotypes. Here, we investigate to
what extent, and at which spatial scale(s), urbanization drives body size shifts
in macro-moths-an insect group characterized by positive size-dispersal links-at
both the community and intraspecific level. Using light and bait trapping as part
of a replicated, spatially nested sampling design, we show that despite the
observed urban warming of their woodland habitat, macro-moth communities display
considerable increases in community-weighted mean body size because of stronger
filtering against small species along urbanization gradients. Urbanization drives
intraspecific shifts towards increased body size too, at least for a third of
species analysed. These results indicate that urbanization drives shifts towards
larger, and hence, more mobile species and individuals in order to mitigate low
connectivity of ecological resources in urban settings. Macro-moths are a key
group within terrestrial ecosystems, and since body size is central to species
interactions, such urbanization-driven phenotypic change may impact urban
ecosystem functioning, especially in terms of nocturnal pollination and food web
dynamics. Although we show that urbanization's size-biased filtering happens
simultaneously and coherently at both the inter- and intraspecific level, we
demonstrate that the impact at the community level is most pronounced at the 800
m radius scale, whereas species-specific size increases happen at local and
landscape scales (50-3,200 m radius), depending on the species. Hence, measures
such as creating and improving urban green infrastructure-to mitigate the effects
of urbanization on body size will have to be implemented at multiple spatial
scales in order to be most effective.
PMID- 29791764
TI - Synergy between peroxisome proliferator-activated receptor gamma agonist and
radiotherapy in cancer.
AB - Angiogenesis and inflammation are crucial processes through which the tumor
microenvironment (TME) influences tumor progression. In this study, we showed
that peroxisome proliferator-activated receptor gamma (PPARgamma) is not only
expressed in CT26 and 4T1 tumor cell lines but also in cells of TME, including
endothelial cells and tumor-associated macrophages (TAM). In addition, we showed
that rosiglitazone may induce tumor vessel normalization and reduce TAM
infiltration. Additionally, 4T1 and CT26 tumor-bearing mice treated with
rosiglitazone in combination with radiotherapy showed a significant reduction in
lesion size and lung metastasis. We reported that a single dose of 12 Gy
irradiation strongly inhibits local tumor angiogenesis. Secretion of C-C motif
chemokine ligand 2 (CCL2) in response to local irradiation facilitates the
recruitment of migrating CD11b+ myeloid monocytes and TAM to irradiated sites
that initiate vasculogenesis and enable tumor recurrence after radiotherapy. We
found that rosiglitazone partially decreases CCL2 secretion by tumor cells and
reduces the infiltration of CD11b+ myeloid monocytes and TAM to irradiated
tumors, thereby delaying tumor regrowth after radiotherapy. Therefore,
combination of the PPARgamma agonist rosiglitazone with radiotherapy enhances the
effectiveness of radiotherapy to improve local tumor control, decrease distant
metastasis risks and delay tumor recurrence.
PMID- 29791768
TI - Vaccine immunotherapy with ARNAX induces tumor-specific memory T cells and
durable anti-tumor immunity in mouse models.
AB - Immunological checkpoint blockade therapies benefit a limited population of
cancer patients. We have previously shown that vaccine immunotherapy with Toll
like receptor (TLR)3-adjuvant and tumor antigen overcomes anti-programmed death
ligand-1 (PD-L1) resistance in mouse tumor models. In the present study, 4
different ovalbumin (OVA)-expressing tumor cell lines were implanted into
syngeneic mice and subjected to anti-tumor immunotherapy using ARNAX and whole
OVA protein. ARNAX is a TLR3-specific agonist that does not activate the
mitochondrial antiviral-signaling protein (MAVS) pathway, and thus does not
induce systemic inflammation. Dendritic cell priming and proliferative CTL were
induced by ARNAX + OVA, but complete remission was achieved only in a PD-L1-low
cell line of EG7. Addition of anti-PD-L1 antibody to the ARNAX + OVA therapy
brought complete remission to another PD-L1-high subline of EG7. Tumor shrinkage
but not remission was observed in MO5 in that regimen. We analyzed tumor cells
and tumor-infiltrating immune cells to identify factors associated with
successful ARNAX vaccine therapy. Tumors that responded to ARNAX therapy
expressed high levels of MHC class I and low levels of PD-L1. The tumor
infiltrating immune cells in ARNAX-susceptible tumors contained fewer
immunosuppressive myeloid cells with low PD-L1 expression. Combination with anti
PD-L1 antibody functioned not only within tumor sites but also within lymphoid
tissues, augmenting the therapeutic efficacy of the ARNAX vaccine. Notably, ARNAX
therapy induced memory CD8+ T cells and rejection of reimplanted tumors. Thus,
ARNAX vaccine + anti-PD-L1 therapy enabled permanent remission against some
tumors that stably present antigens.
PMID- 29791769
TI - Bioprospecting from marine coastal sediments of Colombian Caribbean: screening
and study of antimicrobial activity.
AB - AIMS: To isolate micro-organisms associated with marine coastal sediments of
Colombian Caribbean Sea and for evaluating its antimicrobial activity in order to
identify the most active strains. METHODS AND RESULTS: One hundred and four
strains were isolated from sediment samples of the Colombian Caribbean Sea. First
at all, an antimicrobial activity screening was made using agar well diffusion
method against the pathogens: Escherichia coli, Staphylococcus aureus, Klebsiella
pneumoniae, Candida albicans, Candida tropicalis and Pseudomonas aeruginosa.
Seventeen strains showed strong antimicrobial activity and were identified as
members of the Streptomyces, Micrococcus and Bacillus genera. Organic extracts
were produced by liquid-liquid extraction and HPLC profiles of the most active
extracts were obtained. Then, the antimicrobial activity of the extracts was
evaluated with the broth microdilution test, finding antimicrobial activities
superior to 90% against S. aureus MRSA and C. albicans. HPLC profiles indicated
the presence of different antimicrobial compounds. CONCLUSION: This study
demonstrates that the microorganisms isolated from the Colombian Caribbean Sea
are possible sources of antimicrobial compounds against pathogenic strains.
SIGNIFICANCE AND IMPACT OF STUDY: These results contribute to the knowledge of
the biotechnological potential of the Colombian biodiversity for the development
of pharmaceutical products that can counteract the increasing problem of pathogen
resistance.
PMID- 29791770
TI - Modelling of factors influencing the effect of osmotic solution on reduction of
selected microorganisms.
AB - AIMS: The goal of this research is to model the effects of two osmotic solutions
factors on the reduction of selected microorganisms, and to assess the
application in osmotic dehydration process of animal raw materials from the
aspect of microbiological safety. METHODS AND RESULTS: Sugar beet molasses and
aquatic osmotic solution were prepared and inoculated with Escherichia coli,
Listeria monocytogenes and Salmonella spp. Varied factors of osmotic solutions
were: time, temperature and concentration of osmotic solutions. Samples of
osmotic solutions were subjected to standard and modified microbiological ISO
methods. The result showed that increase in factors had a positive effect on the
reduction of microbiological load, while the time of the process was the most
influential technological parameter. Number of L. monocytogenes was reduced to
<10 CFU per g at the end of the process in the highest concentration of sugar
beet molasses at all process temperatures. CONCLUSIONS: Developed mathematical
models of reduction ratios for tested microorganisms were statistically
significant, allowing good prediction of reduction ratio values based on applied
factors. SIGNIFICANCE AND IMPACT OF THE STUDY: Obtained levels of reduction of
all tested microorganisms present good base for the production of safe
osmotically dehydrated products of animal origin.
PMID- 29791773
TI - Vaginal microbiome studies in pregnancy must also analyse host factors.
PMID- 29791771
TI - Minimal Information About an Immuno-Peptidomics Experiment (MIAIPE).
AB - Minimal information about an immuno-peptidomics experiment (MIAIPE) is an
initiative of the members of the Human Immuno-Peptidome Project (HIPP), an
international program organized by the Human Proteome Organization (HUPO). The
aim of the MIAIPE guidelines is to deliver technical guidelines representing the
minimal information required to sufficiently support the evaluation and
interpretation of immunopeptidomics experiments. The MIAIPE document has been
designed to report essential information about sample preparation, mass
spectrometric measurement, and associated mass spectrometry (MS)-related
bioinformatics aspects that are unique to immunopeptidomics and may not be
covered by the general proteomics MIAPE (minimal information about a proteomics
experiment) guidelines.
PMID- 29791772
TI - Characterization, culture medium optimization and antioxidant activity of an
endophytic vitexin-producing fungus Dichotomopilus funicola Y3 from pigeon pea
[Cajanus cajan (L.) Millsp.].
AB - AIMS: The aim of this study was to characterize a fungal endophyte Y3 from pigeon
pea (Cajanus cajan [L.] Millsp), as a novel producer of vitexin, and its culture
medium optimization and antioxidant activity. METHODS AND RESULTS: The endophyte
from the leaves of pigeon pea was identified as Dichotomopilus funicola by the
morphological and molecular characteristics. The most important medium variables
affecting vitexin production in liquid culture of D. funicola Y3 were screened by
Plackett-Burman design, and three culture medium constituents (i.e. l
phenylalanine, salicylic acid and CuSO4 .5H2 O) were identified to play
significant roles in vitexin production. The most significant factors were
further optimized using by central composite design with response surface
methodology. The DPPH radical-scavenging assay indicated that fungal vitexin
exhibited notable antioxidant activity with an EC50 value of 164 MUg l-1 .
CONCLUSIONS: First, a novel endophyte vitexin-producing Dichotomopilus funicola
Y3 was isolated from pigeon pea (Cajanus cajan[L.] Millsp.). The maximum vitexin
yield was obtained as 78.86 mg l-1 under the optimum culture medium constituents:
0.06 g l-1 l-phenylalanine, 0.21 g l-1 salicylic acid, and 0.19 g l-1 CuSO4 .5H2
O in medium, which is 4.59-fold higher than that in the unoptimized medium. Also,
fungal vitexin clearly demonstrated its antioxidant potential. SIGNIFICANCE AND
IMPACT OF THE STUDY: These findings provide an alternative source for large-scale
production of vitexin by endophytic fungal fermentation and have a promising
prospect in food and pharmaceutical industry.
PMID- 29791774
TI - Serotonergic regulation of insulin secretion.
AB - The exact physiological role for the monoamine serotonin (5-HT) in modulation of
insulin secretion is yet to be fully understood. Although the presence of this
monoamine in islets of Langerhans is well established, it is only with recent
advances that the complex signalling network in islets involving 5-HT is being
unravelled. With more than fourteen different 5-HT receptors expressed in human
islets and receptor-independent mechanisms in insulin-producing beta-cells, our
understanding of 5-HT's regulation of insulin secretion is increasing. It is now
widely accepted that failure of the pancreatic beta-cell to release sufficient
amounts of insulin is the main cause of type 2 diabetes (T2D), an ongoing global
epidemic. In this context, 5-HT signalling may be of importance. In fact, 5-HT
may serve an essential role in regulating the release of insulin and glucagon,
the two main hormones that control glucose and lipid homoeostasis. In this
review, we will discuss past and current understanding of 5-HT's role in the
endocrine pancreas.
PMID- 29791775
TI - Vaginal microbiome in early pregnancy and subsequent risk of spontaneous preterm
birth: a case-control study.
AB - OBJECTIVES: To explore differences in the vaginal microbiome between preterm and
term deliveries. DESIGN: Nested case-control study in 3D cohort (design, develop,
discover). SETTING: Quebec, Canada. SAMPLE: Ninety-four women with spontaneous
preterm birth as cases [17 early (<34 weeks) and 77 late (34-36 weeks) preterm
birth] and 356 women as controls with term delivery (>=37 weeks). METHODS: To
assess the vaginal microbiome by sequencing the V4 region of the 16S ribosomal
RNA (rRNA) gene in swabs self-collected during early pregnancy. MAIN OUTCOME
MEASURES: Comparison of relative abundance of bacterial operational taxonomic
units and oligotypes and identifying vaginal community state types (CSTs) in
early or late spontaneous preterm and term deliveries. RESULTS: Lactobacillus
gasseri/ Lactobacillus johnsonii (coefficient -5.36, 95% CI -8.07 to -2.65),
Lactobacillus crispatus (99%)/ Lactobacillus acidophilus (99%) (-4.58, 95% CI
6.20 to -2.96), Lactobacillus iners (99%)/ Ralstonia solanacearum (99%) (-3.98,
95% CI -6.48 to -1.47) and Bifidobacterium longum/ Bifidobacterium breve (-8.84,
95% CI -12.96 to -4.73) were associated with decreased risk of early but not late
preterm birth. Six vaginal CSTs were identified: four dominated by Lactobacillus;
one with presence of bacterial vaginosis-associated bacteria (Gardnerella
vaginalis, Atopobium vaginae and Veillonellaceae bacterium) (CST IV); and one
with nondominance of Lactobacillus (CST VI). CST IV was associated with increased
risk of early (4.22, 95% CI 1.24-24.85) but not late (1.63, 95% CI 0.68-5.04)
preterm birth, compared with CST VI. CONCLUSIONS: Lactobacillus gasseri/L.
johnsonii, L. crispatus/L. acidophilus, L. iners/R. solanacearum and B. longum/B.
breve may be associated with decreased risk of early preterm birth. A bacterial
vaginosis-related vaginal CST versus a CST nondominated by Lactobacillus may be
associated with increased risk of early preterm birth. TWEETABLE ABSTRACT:
Largest study of its kind finds certain species of vaginal Lactobacillus +
Bifidobacterium may relate to lower risk of preterm birth.
PMID- 29791776
TI - Polymorphism in disease-related apolipoprotein C-II amyloid fibrils: a structural
model for rod-like fibrils.
AB - Human apolipoprotein (apo) C-II is one of several plasma apolipoproteins that
form amyloid deposits in vivo and is an independent risk factor for
cardiovascular disease. Lipid-free apoC-II readily self-assembles into twisted
ribbon amyloid fibrils but forms straight, rod-like amyloid fibrils in the
presence of low concentrations of micellar phospholipids. Charge mutations
exerted significantly different effects on rod-like fibril formation compared to
their effects on twisted-ribbon fibril formation. For instance, the double
mutant, K30D-D69K apoC-II, readily formed twisted-ribbon fibrils, while the rate
of rod-like fibril formation in the presence of micellar phospholipid was
negligible. Structural analysis of rod-like apoC-II fibrils, using hydrogen
deuterium exchange and NMR analysis showed exchange protection consistent with a
core cross-beta structure comprising the C-terminal 58-76 region. Molecular
dynamics simulations of fibril arrangements for this region favoured a parallel
cross-beta structure. X-ray fibre diffraction data for aligned rod-like fibrils
showed a major meridional spacing at 4.6 A and equatorial spacings at 9.7, 23.8
and 46.6 A. The latter two equatorial spacings are not observed for aligned
twisted-ribbon fibrils and are predicted for a model involving two cross-beta
fibrils in an off-set antiparallel structure with four apoC-II units per rise of
the beta-sheet. This model is consistent with the mutational effects on rod-like
apoC-II fibril formation. The lipid-dependent polymorphisms exhibited by apoC-II
fibrils could determine the properties of apoC-II in renal amyloid deposits and
their potential role in the development of cardiovascular disease.
PMID- 29791777
TI - Counting on Death - Quantitative aspects of Bcl-2 family regulation.
AB - The Bcl-2 protein family members critically regulate mitochondrial outer membrane
permeabilization (MOMP), a point-of-no-return in the intrinsic and extrinsic
apoptosis pathways. The common view on qualitative interaction and activation
patterns of the three subclasses, the BH3-only proteins, prosurvivals, and
effectors, is static and currently being revolutionized by an emerging
understanding of the complex dynamic equilibria that govern cellular fate. Recent
experimental evidence on protein associations with the mitochondrial outer
membrane, retrotranslocation to the cytosol, and differential binding affinities
in aqueous and membranous environments instigate the development of a revised
model of Bcl-2 family interplay. Likely, the dynamic processes and their
respective timescales need to be taken into account to authentically understand
and, by extension, to generate reliable predictions on cellular decision-making.
Here, we review the quantitative aspects of Bcl-2 family-regulated MOMP. In
particular, we discuss affinity binding constants of protein-protein associations
and velocities of post-translational modifications, membrane (retro-)
translocations, and effector oligomerization. Moreover, we provide insights into
how these kinetic and network information enable systems biological approaches,
further enhancing our understanding of the complex molecular mechanisms governing
MOMP.
PMID- 29791778
TI - Tailor-made robotic anterior resection and hysterectomy - a video vignette.
PMID- 29791779
TI - A Recent Perspective on Noncovalently Formed Polymeric Hydrogels.
AB - Chemically crosslinked covalent hydrogels form a permanent and often strong
network, and have been extensively used so far in drug delivery and tissue
engineering. However, it is more difficult to induce dynamic and highly tunable
changes in these hydrogels. Noncovalently formed hydrogels show promise as
inherently reversible systems with an ability to change in response to dynamic
environments, and have garnered strong interest recently. In this Personal
Account, we elucidate a few key attractive properties of noncovalent hydrogels
and describe recent developments in hydrogels crosslinked using various different
noncovalent interactions. These hydrogels offer huge control for modulating
material properties and could be more relevant mimics for biological systems.
PMID- 29791780
TI - Solid-State Electrolyte Anchored with a Carboxylated Azo Compound for All-Solid
State Lithium Batteries.
AB - Organic electrode materials are promising for green and sustainable lithium-ion
batteries. However, the high solubility of organic materials in the liquid
electrolyte results in the shuttle reaction and fast capacity decay. Herein, azo
compounds are firstly applied in all-solid-state lithium batteries (ASSLB) to
suppress the dissolution challenge. Due to the high compatibility of azobenzene
(AB) based compounds to Li3 PS4 (LPS) solid electrolyte, the LPS solid
electrolyte is used to prevent the dissolution and shuttle reaction of AB. To
maintain the low interface resistance during the large volume change upon
cycling, a carboxylate group is added into AB to provide 4-(phenylazo) benzoic
acid lithium salt (PBALS), which could bond with LPS solid electrolyte via the
ionic bonding between oxygen in PBALS and lithium ion in LPS. The ionic bonding
between the active material and solid electrolyte stabilizes the contact
interface and enables the stable cycle life of PBALS in ASSLB.
PMID- 29791783
TI - In silico and Wet Bench Interactomics Sheds Light on the Similitudes and
Differences between Human ROCO Proteins.
AB - Defining a common and specific function for homologs of a novel protein family is
not a trivial task. In their recent study, Tomkins and colleagues have addressed
this challenge for the ROCO protein family by exploring interactomes of its four
human members: MASL1, DAPK1, LRRK1, and LRRK2. ROCO proteins are characterized by
a Ras-GTPase domain embedded in complex multidomain proteins and a functional
descriptor for this protein family has been elusive despite accumulating
research, particularly for LRRK2, a protein implicated in Parkinson's disease.
Tomkins et al. have combined an in silico weighted literature mining approach
with novel interactomics data obtained on protein chips for all four proteins
under strictly comparable conditions. The combination of these approaches has
allowed the prudent formulation of common functions for ROCO proteins, including
their involvement in stress response and cell projection organization. In
addition, the study also confirms functional specificity for the individual ROCOs
with such functions as cell death and apoptosis assigned to DAPK1, cellular, and
neuronal development associated with LRRK1 and intracellular transport and
organization assigned to LRRK2.
PMID- 29791781
TI - A dual role of miR-22 in rhabdomyolysis-induced acute kidney injury.
AB - AIM: In acute kidney injury (AKI), regions of the kidney are hypoxic. However,
for reasons yet unknown, adaptation to hypoxia through hypoxia-inducible factor
(HIF) is limited. Here, we studied miR-22, a potential HIF repressor, in normal
kidneys, as well as in rhabdomyolysis-induced AKI, a condition where miR-22 is up
regulated. METHODS: AKI in mice was provoked by IM injection of glycerol. Tissue
homogenates were processed to determine the levels of candidate RNAs and
proteins, as well as global gene expression profiles. Reporter assays quantified
in vitro miR-22 activity and its modulation by mimic or inhibitor molecules,
under normoxia or hypoxia (1% O2 ) respectively. In vivo, anti-miR-22 molecules
were applied to normal mice or prior to induction of AKI. Renal outcome was
assessed by measuring plasma creatinine, plasma urea and the levels of the injury
markers Kim-1 and Ngal. RESULTS: Renal miR-22 is inducible by hypoxia and
represses hypoxia-inducible factor (HIF). Specific inhibition of miR-22 regulates
1913 gene transcripts in kidneys controls and 3386 in AKI, many of which are
involved in development or carcinogenesis. Specific inhibition of miR-22 up
regulates tissue protective HIF target genes, yet renal function and injury
markers are unchanged or worsened. CONCLUSIONS: miR-22 is a HIF repressor
constitutively expressed in the adult kidney and up-regulated in AKI. Specific
inhibition of miR-22 is efficient in vivo and profoundly affects renal gene
expression in health and disease, including up-regulation of HIF. However, the
net effect on rhabdomyolysis-induced AKI outcome is neutral or even negative.
PMID- 29791784
TI - Chitosan Hydrogels and Bionanocomposites Formed through the Mineralization and
Regulated Charging.
AB - The account presents survey of our systematic studies on chitosan. Only this
polysaccharide bears cationic charges, possesses antimicrobial activity and wound
healing ability that make it highly appropriate for using in medicine, biomedical
engineering, cosmetics, food, packaging. However, its application meets with
severe limitation. Chitosan belongs to polysaccharides that do not jellify
solutions. Main approaches are based on the chemical modifications and cross
linking, but these treatments impairs therewith the biocompatibility and
biological activity of chitosan. We have developed approaches in which monolithic
hydrogels are fabricated via the mineralization of polysaccharide by method of
green sol-gel chemistry and via the formation of polyelectrolyte complex with
oppositely charged counterparts in the regime of its charging by means of
regulated acidification. The latter approach was also extended for the
preparation of chitosan bionanocomposites and films with nanoparticles.
PMID- 29791782
TI - Obesity leads to impairments in the morphology and organization of human skeletal
muscle lipid droplets and mitochondrial networks, which are resolved with gastric
bypass surgery-induced improvements in insulin sensitivity.
AB - AIMS: Skeletal muscle lipid stores and mitochondrial function have been appointed
as key players in obesity-induced insulin resistance. However, there are
conflicting reports in the literature based on in vitro quantitative
measurements. Here, we test the hypothesis that it is not the quantity but the
quality that matters. METHODS: This study combines quantitative and qualitative
structural measurements of lipid stores and mitochondrial dynamics in skeletal
muscle from lean subjects, and subjects with morbid obesity, with and without
type 2 diabetes, before and after gastric bypass surgery. RESULTS: The structural
organization of muscle mitochondrial networks in type II muscle fibres from
subjects with morbid obesity is impaired. In addition, the amount of skeletal
muscle perilipin 2 protein per intramyocellular lipid is reduced in subjects with
morbid obesity, resulting in qualitative alterations in perilipin 2 coat around
some lipid droplets. Gastric bypass surgery-induced weight loss and insulin
resistance remission were associated with decreases in intramyocellular lipid
stores and, qualitative improvements in lipid droplets' morphology, perilipin 2
coat and mitochondrial dynamics. CONCLUSION: Morbid obesity leads to severe
qualitative alterations of both skeletal muscle lipid stores and mitochondrial
networks. The degree of structural improvements after gastric bypass surgery was
proportional to the improvements in whole body insulin sensitivity, suggesting an
association between these events.
PMID- 29791785
TI - Expressed exome capture sequencing: A method for cost-effective exome sequencing
for all organisms.
AB - Exome capture is an effective tool for surveying the genome for loci under
selection. However, traditional methods require annotated genomic resources.
Here, we present a method for creating cDNA probes from expressed mRNA, which are
then used to enrich and capture genomic DNA for exon regions. This approach,
called "EecSeq," eliminates the need for costly probe design and synthesis. We
tested EecSeq in the eastern oyster, Crassostrea virginica, using a controlled
exposure experiment. Four adult oysters were heat shocked at 36 degrees C for 1
hr along with four control oysters kept at 14 degrees C. Stranded mRNA libraries
were prepared for two individuals from each treatment and pooled. Half of the
combined library was used for probe synthesis, and half was sequenced to evaluate
capture efficiency. Genomic DNA was extracted from all individuals, enriched via
captured probes, and sequenced directly. We found that EecSeq had an average
capture sensitivity of 86.8% across all known exons and had over 99.4%
sensitivity for exons with detectable levels of expression in the mRNA library.
For all mapped reads, over 47.9% mapped to exons and 37.0% mapped to expressed
targets, which is similar to previously published exon capture studies. EecSeq
displayed relatively even coverage within exons (i.e., minor "edge effects") and
even coverage across exon GC content. We discovered 5,951 SNPs with a minimum
average coverage of 80*, with 3,508 SNPs appearing in exonic regions. We show
that EecSeq provides comparable, if not superior, specificity and capture
efficiency compared to costly, traditional methods.
PMID- 29791787
TI - DNA barcoding of microgastrine parasitoid wasps (Hymenoptera: Braconidae) using
high-throughput methods more than doubles the number of species known for
Australia.
AB - The Microgastrinae are a hugely diverse subfamily of endoparasitoid wasps of
lepidopteran caterpillars. They are important in agriculture as biological
control agents and play a significant ecological role in the regulation of
caterpillar populations. Whilst the group has been the focus of intensive rearing
and DNA barcoding studies in the Northern Hemisphere, the Australian fauna has
received little attention. In total, 99 species have been described from or have
been introduced into Australia, but the real species diversity for the region is
clearly much larger than this. In this study, museum ethanol samples and recent
field collections were mined for hundreds of specimens of microgastrine wasps,
which were then barcoded for the COI region, ITS2 ribosomal spacer and the
wingless nuclear genes, using a pooled sequencing approach on an Illumina Miseq
system. Full COI sequences were obtained for 525 individuals which, when combined
with 162 publicly available sequences, represented 417 haplotypes, and a total of
236 species were delimited using a consensus approach. By more than doubling the
number of known microgastrine wasp species in Australia, our study highlights the
value of DNA barcoding in the context of employing high-throughput sequencing
methods of bulk ethanol museum collections for biodiversity assessment.
PMID- 29791786
TI - Matrine suppresses KRAS-driven pancreatic cancer growth by inhibiting autophagy
mediated energy metabolism.
AB - Matrine is a natural compound extracted from the herb Sophora flavescens Ait
which is widely used in traditional Chinese medicine for treating various
diseases. Recently, matrine was reported to have antitumor effects against a
variety of cancers without any obvious side effects; however, the molecular
mechanisms of its antiproliferative effects on cancer are unclear. Here, we
report that matrine inhibits autophagy-mediated energy metabolism, which is
necessary for pancreatic cancer growth. We found that matrine significantly
reduces pancreatic cancer growth in vitro and in vivo by insufficiently
maintaining mitochondrial metabolic function and energy level. We also found that
either pyruvate or alpha-ketoglutarate supplementation markedly rescues
pancreatic cancer cell growth following matrine treatment. Inhibition of
mitochondrial energy production results from matrine-mediated autophagy
inhibition by impairing the function of lysosomal protease. Matrine-mediated
autophagy inhibition requires stat3 downregulation. Furthermore, we found that
the antitumor effect of matrine on pancreatic cancer growth depends on the
mutation of the KRAS oncogene. Together, our data suggest that matrine can
suppress the growth of KRAS-mutant pancreatic cancer by inhibiting autophagy
mediated energy metabolism.
PMID- 29791788
TI - Shift in skin microbiota of Western European women across aging.
AB - AIMS: The objective of our study was to compare the microbiota diversity between
two different age groups of Western European women. METHODS AND RESULTS: Skin
swab samples were collected directly on the forehead of 34 healthy Western
European women: 17 younger (21-31 years old) and 17 older individuals (54-69
years old). Bacterial communities were evaluated using the 16S rRNA gene
sequencing. Data revealed a higher alpha diversity on the skin of older
individuals compared with younger ones. Overall microbiota structure was
different between the two age groups, as demonstrated by beta diversity analysis,
which also highlighted a high interpersonal variation within older individuals.
Furthermore, taxonomic composition analysis showed both an increase in
Proteobacteria and a decrease in Actinobacteria on the older skin. At the genus
level, older skin exhibited a significant increase in Corynebacterium and a
decrease in Propionibacterium relative abundance. CONCLUSIONS: Our study revealed
a shift in the distribution of skin microbiota during chronological aging in
Western European women. SIGNIFICANCE AND IMPACT OF STUDY: Altogether these
results could become the basis to develop new approaches aiming to rebalance the
skin microbiota, which is modified during the aging process.
PMID- 29791789
TI - Subclavian central venous catheter, complications at insertion
AB - Background: Central Venous Catheters (CVC) are used as a tool in critically ill
patients requiring hemodynamic monitoring and vasopressor support, as well as in
stable patients requiring parenteral nutrition or chemotherapy. Placement of a
CVC subclavian (CVCs) can present severe complications, even fatal. The aim of
the present study was to determine the success of the placement of CVCs without
ultrasonographic guidance and the number and type of complications associated
with insertion.of these. Methods: In this retrospective cohort study we included
all patient who were submitted to CVCs at the Hospital de Especialidades del
Centro Medico Nacional Siglo XXI. The variables studied were: medical indication
for the placement, insertion site, duration, withdrawal motive and if there were
complications type number and management of these. Results: 283 patients aged 16
95 years were studied. Fifty-five percent of the patients had already had a
catheter placed during a previous hospitalization. In 45% of the patients was the
first placement, the antecedent of a previous placement did not increase the
complications. The indications for the CVCs were: NPT, preoperative use, severe
patients, chemotherapy, inability to channel, and plasmapheresis. Conclusions:
Placement of a subclavian central venous catheter by trained personnel in
patients without risk factors and even without ultrasonographic support is safe
and effective, with a success rate of close to 100% in placement, severe
complications below 2%, and zero mortality. If the attempts are reduced to two
punctures the morbidity can be reduced to almost zero.
PMID- 29791790
TI - Hemorrheologic effect of diuretics in the control of blood pressure in the
hypertensive patient
AB - Background: Diuretics are the first choice as an antihypertensive,because of its
efficacy and cost, however its mechanismof action is not well understood. The aim
of this work was toanalyze the hemorrheological effect of the diuretics as
vasodilatorsin patients with newly diagnosed arterial hypertension. Methods:
Patients with hypertension were given diet and exercise recommendations and 25 mg
of chlorthalidone per day were prescribed; Hemoglobin/hematocrit, viscosity, and
basal nitric oxide (ON) were determined at 15 and 45 days and compared with
healthy subjects. Results: We included 28 patients with average age of 48 years
old; systolic blood pressure in the treated patients decreased from baseline at
15 days from 130 to 119 mm Hg and at 114 mmHg at 15 to 45 days; diastolic blood
pressure decreased from baseline at 15 days from 103 to 97 mm Hg, and at 93 mmHg
at 15 to 45 days. The hematocrit increased in both men and women with a
statistical significance of the baseline period at 15 days, after that, it
remained without significative changes. The viscosity increased similarly to the
hematocrit, which conditioned the ON elevation. Conclusions: The increase in
hematocrit due to diuretic caused an increase in blood viscosity, which led to an
increase in nitric oxide, resulting in lower blood pressure.
PMID- 29791791
TI - Risk of lethality due to nosocomial infections in a tertiary-level hospital
AB - Background: Infections associated with health care, previously known as
nosocomial infections, constitute one of the main causes of morbidity and
mortality in hospital. The aim of this study is to estimate the lethality of HAI,
as well as the risk of dying from HAI versus mortality by other causes. Methods:
We analyzed the historical cohort of IAAS of the Epidemiology service of a
tertiary-level hospital, from 2012 to 2017. The incidence analysis and the
probability of death of IAAS were made against other causes, as well as the
analysis of age, period-cohort of lethality of IAAS. Results: The incidence of
IAAS ranged from 27.9 to 31.5 IAAS/1000 person-days between 2012 and 2017, the
probability of having an IAAS in ICU is 3.51 (CI95%: 2.93-4.20), p < 0.01, NAVM
lethality against any other causes of death had a relative risk (RR) of 6.06
(CI95%: 2.91-12.6) in 2016, RR was 4.01 (CI95%: 1.59-10.09) in ITUAC in 2015, no
effect of age, cohort or period in the case of IAAS was identified. Conclusions:
IAAS remain to be an important public health problem in our country, without
excluding our medical unit, it is important to redirect efforts to reduce them in
the medium term.
PMID- 29791792
TI - Normalizing Tumor Microenvironment Based on Photosynthetic Abiotic/Biotic
Nanoparticles.
AB - Tumor hypoxia has attained the status of a core hallmark of cancer that globally
affects the entire tumor phenotype. Reversing tumor hypoxia might offer
alternative therapeutic opportunities for current anticancer therapies. In this
research, a photosynthetic leaf-inspired abiotic/biotic nano-thylakoid (PLANT)
system was designed by fusing the thylakoid membrane with synthetic nanoparticles
for efficient O2 generation in vivo. Under 660 nm laser irradiation, the PLANT
system exhibited intracellular O2 generation and the anaerobic respiration of the
multicellular tumor spheroid was suppressed by PLANT as well. In vivo, it was
found that PLANT could not only normalize the entire metabolic network but also
adjust the abnormal structure and function of the tumor vasculature. It was
demonstrated that PLANT could significantly enhance the efficacy of phototherapy
or antiangiogenesis therapy. This facile approach for normalizing the tumor
microenvironment will find great potential in tumor therapy.
PMID- 29791793
TI - Assays for Nucleotide Competitive Reversible and Irreversible Inhibitors of Ras
GTPases.
AB - Although the Ras protein has been seen as a potential target for cancer therapy
for the past 30 years, there was a tendency to consider it undruggable until
recently. This has changed with the demonstration that small molecules with a
specificity for (disease related mutants of) Ras can indeed be found, and some of
these molecules form covalent adducts. A subgroup of these molecules can be
characterized as competing with binding of the natural ligands GTP and GDP.
Because of the distinct properties of Ras and related GTPases, in particular the
very high nucleotide affinities and associated very low dissociation rates,
assays for characterizing such molecules are not trivial. This is compounded by
the fact that Ras family GTPases tend to be thermally unstable in the absence of
a bound nucleotide. Here, we show that instead of using the unstable nucleotide
free Ras, the protein can be isolated as a 1:1 complex with a modified nucleotide
(GDP-beta-methyl ester) with low affinity to Ras. With this nucleotide analogue
bound to the protein, testing of inhibitors is made experimentally more
convenient and we present assays that allow the rapid assessment of the kinetic
constants describing the inhibition process.
PMID- 29791794
TI - Photolysis of Mono- and Dichloramines in UV/Hydrogen Peroxide: Effects on 1,4
Dioxane Removal and Relevance in Water Reuse.
AB - Growing demands and increasing scarcity of fresh water resources necessitate
potable water reuse, which has been implemented with the aid of UV-based advanced
oxidation processes (UV/AOPs) that remove potentially hazardous trace organic
contaminants from reclaimed water. During the potable reuse treatment process,
chloramines are added to prevent membrane fouling that are carried over to the
UV/AOP, where hydrogen peroxide (H2O2) is commonly added. However, the impact of
chloramines on the photolysis of H2O2 and the overall performance of the UV/AOP
remains unknown. This study investigated the impacts of the photochemistry of
monochloramine (NH2Cl) and dichloramine (NHCl2) associated with the photolysis of
H2O2 on the degradation of 1,4-dioxane (1,4-D), a trace organic contaminant
ubiquitous in recycled water. Results indicated that NH2Cl and NHCl2 alone
functioned as oxidants upon UV photolysis, which produced HO* and Cl2*- as the
two primary oxidative radicals. The speciation of chloramines did not have a
significant impact on the degradation kinetics. The inclusion of monochloramine
in UV/H2O2 greatly decreased 1,4-D removal efficiency. HO* was the major radical
in the mixed H2O2/chloramine system. Results from this study suggest that
recognizing the existence of chloramines in UV/H2O2 systems is important for
predicting UV/AOP performance in the treatment train of potable reuse.
PMID- 29791795
TI - Surface-Acoustic-Wave (SAW)-Driven Device for Dynamic Cell Cultures.
AB - In the last few decades, new types of cell cultures have been introduced to
provide better cell survival and development, with micro- and nanoenvironmental
physicochemical conditions aimed at mimicking those present in vivo. However,
despite the efforts made, the systems available to date are often difficult to
replicate and use. Here, an easy-to-use surface-acoustic-wave (SAW)-based
platform is presented for realizing dynamic cell cultures that is compatible with
standard optical microscopes, incubators, and cell-culture dishes. The SAW chip
is coupled to a standard Petri dish via a polydimethylsiloxane (PDMS) disc and
consists of a lithium niobate (LN) substrate on which gold interdigital
transducers (IDTs) are patterned to generate the SAWs and induce acoustic
streaming in the dish. SAW excitation is verified and characterized by laser
Doppler vibrometry, and the fluid dynamics is studied by microparticle image
velocimetry (MUPIV). Heating is measured by an infrared (IR) thermal camera. We
finally tested this device with the U-937 monocyte cell line for viability and
proliferation and cell-morphological analysis. The data demonstrate that it is
possible to induce significant fluid recirculation within the Petri dish while
maintaining negligible heating. Remarkably, cell proliferation in this condition
was enhanced by 36 +/- 12% with respect to those of standard static cultures.
Finally, we show that cell death does not increase and that cell morphology is
not altered in the presence of SAWs. This device is the first demonstration that
SAW-induced streaming can mechanically improve cell proliferation and further
supports the great versatility and biocompatibility of the SAW technology for
cell manipulation.
PMID- 29791796
TI - Tracking of Engineered Bacteria In Vivo Using Nonstandard Amino Acid
Incorporation.
AB - The rapidly growing field of microbiome research presents a need for better
methods of monitoring gut microbes in vivo with high spatial and temporal
resolution. We report a method of tracking microbes in vivo within the
gastrointestinal tract by programming them to incorporate nonstandard amino acids
(NSAA) and labeling them via click chemistry. Using established machinery
constituting an orthogonal translation system (OTS), we engineered Escherichia
coli to incorporate p-azido-l-phenylalanine (pAzF) in place of the UAG (amber)
stop codon. We also introduced a mutant gene encoding for a cell surface protein
(CsgA) that was altered to contain an in-frame UAG codon. After pAzF
incorporation and extracellular display, the engineered strains could be
covalently labeled via copper-free click reaction with a Cy5 dye conjugated to
the dibenzocyclooctyl (DBCO) group. We confirmed the functionality of the
labeling strategy in vivo using a murine model. Labeling of the engineered strain
could be observed using oral administration of the dye to mice several days after
colonization of the gastrointestinal tract. This work sets the foundation for the
development of in vivo tracking microbial strategies that may be compatible with
noninvasive imaging modalities and are capable of longitudinal spatiotemporal
monitoring of specific microbial populations.
PMID- 29791797
TI - Modulating Macrophage Polarization through CCR2 Inhibition and Multivalent
Engagement.
AB - Excessive or prolonged recruitment of inflammatory monocytes to damaged tissue
can significantly worsen patient outcomes. Monocytes migrate to sites of tissue
inflammation in response to high local concentrations of CCL2, a chemokine that
binds to and signals through the CCR2 receptor. While the role of CCR2 in
cellular migration is well studied, it is unclear how CCR2 inhibition affects
macrophage polarization and if multivalency can increase downstream signaling
effects. Using affinity selection with a phage library, we identified a novel
single-chain variable fragment (scFv) (58C) that binds specifically and with high
affinity to the N-terminal domain of CCR2 ( KD = 59.8 nM). The newly identified
58C-scFv bound to native CCR2 expressed on macrophages and MDA-MB-231 cells,
inhibited migration, and induced a pro-inflammatory M1-phenotype in macrophages.
The M1/M2 macrophage phenotype ratio for monomeric 58C-scFv was significantly
increased over the negative control by 1.0 * 104-fold (iNOS/Arg-1), 5.1 * 104
fold (iNOS/Mgl2), 3.4 * 105-fold (IL-6/Arg-1), and 1.7 * 106-fold (IL-6/Mgl2).
The multivalent display of 58C-scFv on liposomes further reduced migration of
both cell types by 25-40% and enhanced M1 polarization by 200% over monomeric 58C
scFv. These studies demonstrate that CCR2 inhibition polarizes macrophages toward
an inflammatory M1 phenotype, and that multivalent engagement of CCR2 increases
the effects of 58C-scFv on polarization and migration. These data provide
important insights into the role of multivalency in modulating binding,
downstream signaling, and cellular fate.
PMID- 29791798
TI - Non-Natural Linker Configuration in 2,6-Dipeptidyl-Anthraquinones Enhances the
Inhibition of TAR RNA Binding/Annealing Activities by HIV-1 NC and Tat Proteins.
AB - The HIV-1 nucleocapsid (NC) protein represents an excellent molecular target for
the development of anti-retrovirals by virtue of its well-characterized chaperone
activities, which play pivotal roles in essential steps of the viral life cycle.
Our ongoing search for candidates able to impair NC binding/annealing activities
led to the identification of peptidyl-anthraquinones as a promising class of
nucleic acid ligands. Seeking to elucidate the inhibition determinants and
increase the potency of this class of compounds, we have now explored the effects
of chirality in the linker connecting the planar nucleus to the basic side
chains. We show here that the non-natural linker configuration imparted
unexpected TAR RNA targeting properties to the 2,6-peptidyl-anthraquinones and
significantly enhanced their potency. Even if the new compounds were able to
interact directly with the NC protein, they manifested a consistently higher
affinity for the TAR RNA substrate and their TAR-binding properties mirrored
their ability to interfere with NC-TAR interactions. Based on these findings, we
propose that the viral Tat protein, sharing the same RNA substrate but acting in
distinct phases of the viral life cycle, constitutes an additional druggable
target for this class of peptidyl-anthraquinones. The inhibition of Tat-TAR
interaction for the test compounds correlated again with their TAR-binding
properties, while simultaneously failing to demonstrate any direct Tat-binding
capabilities. These considerations highlighted the importance of TAR RNA in the
elucidation of their inhibition mechanism, rather than direct protein inhibition.
We have therefore identified anti-TAR compounds with dual in vitro inhibitory
activity on different viral proteins, demonstrating that it is possible to
develop multitarget compounds capable of interfering with processes mediated by
the interactions of this essential RNA domain of HIV-1 genome with NC and Tat
proteins.
PMID- 29791799
TI - Oxidation of Microcystins by Permanganate: pH and Temperature-Dependent Kinetics,
Effect of DOM Characteristics, and Oxidation Mechanism Revisited.
AB - Oxidative degradation of six representative microcystins (MCs) (MC-RR, -LR, -YR,
LF, -LW, and -LA) by potassium permanganate (KMnO4; Mn(VII)) was investigated,
focusing on the temperature- and pH-dependent reaction kinetics, the effect of
dissolved organic matter (DOM), and the oxidation mechanisms. Second-order rate
constants for the reactions of the six MCs with Mn(VII) ( kMn(VII),MC) were
determined to be 160.4-520.1 M-1 s-1 (MC-RR > -LR ~ -YR > -LF ~ -LW > -LA) at pH
7.2 and 21 degrees C. The kMn(VII),MC values exhibited activation energies
ranging from 15.1 to 22.4 kJ mol-1. With increasing pH from 2 to 11, the
kMn(VII),MC values decreased until pH 5, and plateaued over the pH range of 5-11,
except for that of MC-YR (which increased at pH > 8). Species-specific second
order rate constants were calculated using predicted p Ka values of MCs. The
oxidation of MCs in natural waters was accurately predicted by the kinetic model
using kMn(VII),MC and Mn(VII) exposure (?[Mn(VII)]dt) values. Among different
characteristics of DOM in natural waters, UV254, SUVA254, and the abundance of
humic-like substances characterized by fluorescence spectroscopy exhibited good
correlation with ?[Mn(VII)]dt. A thorough product study of MC-LR oxidation by
Mn(VII) was performed using liquid chromatography-mass spectrometry.
PMID- 29791800
TI - Tip-Enhanced Raman Scattering from Nanopatterned Graphene and Graphene Oxide.
AB - Tip-enhanced Raman spectroscopy (TERS) is particularly sensitive to analytes
residing at plasmonic tip-sample nanojunctions, where the incident and scattered
optical fields may be localized and optimally enhanced. However, the enhanced
local electric fields in this so-called gap-mode TERS configuration are nominally
orthogonal to the sample plane. As such, any given Raman active vibrational
eigenstate needs to have projections (of its polarizability derivative tensor
elements) along the sample normal to be detectable via TERS. The faint TERS
signals observed from two prototypical systems, namely, pristine graphene and
graphene oxide are a classical example of the aforementioned rather restrictive
TERS selection rules in this context. In this study, we demonstrate that
nanoindentation, herein achieved using pulsed-force lithography with a sharp
single-crystal diamond atomic force microscope probe, may be used to locally
enhance TERS signals from graphene and graphene oxide flakes on gold.
Nanoindentation locally perturbs the otherwise flat graphene structure and
introduces out-of-plane protrusions that generate enhanced TERS. Although our
approach is nominally invasive, we illustrate that the introduced nanodefects are
highly localized, as evidenced by TERS nanoscale chemical mapping. As such, the
described protocol may be used to extend and generalize the applicability of TERS
for the rapid identification of two-dimensional material systems on the
nanoscale.
PMID- 29791801
TI - Magnetically Controlled Liposome Aggregates for On-Demand Release of Reactive
Payloads.
AB - A colloidal system able to act as a miniature reactor for on-demand release of
reactive payloads has been demonstrated. The system is based on submicrometer
aggregates consisting of anionic liposomes that act as storage reservoirs for the
reactants, superparamagnetic iron oxide nanoparticles (SPIONs) that enable
magnetic positioning in space and controlled release of reactants from the
liposomes by radiofrequency stimulation, and an oppositely charged
polyelectrolyte (poly-l-lysine) that keeps the constituent elements within the
aggregates at a defined ratio. The kinetics of liposome-PLL-SPION
heteroaggregation was systematically mapped and a suitable composition of the
liposome bilayer was found such that the system exhibits stability at ambient
conditions and radiofrequency triggered release at physiological temperature. The
functionality of the system was demonstrated using a reaction between resazurin
and ascorbic acid. The ability to release the reactants on-demand at defined time
points was demonstrated. The system opens up opportunities for the controlled
local delivery of unstable of highly bioactive molecules produced in situ and on
demand from stable precursors.
PMID- 29791802
TI - The Power of Shielding: Low Toxicity and High Transfection Performance of
Cationic Graft Copolymers Containing Poly(2-oxazoline) Side Chains.
AB - We show the potential of oligo(2-ethyl-2-oxazoline) (Oxn)-shielded graft
copolymers of (2-aminoethyl)-methacrylate and N-methyl-(2-aminoethyl)
methacrylate for pDNA delivery in HEK cells. For the effect of grafting density
and side chain length concerning improved transfection properties through the
concept of shielding to be investigated, copolymers were synthesized via the
macromonomer method using a combination of cationic ring opening polymerization
and reversible addition-fragmentation chain transfer polymerization to vary the
degree of grafting (DG = 10 and 30%) as well as the side chain degree of
polymerization (DP = 5 and 20). Investigations of the polyplex formation, in
vitro flow cytometry, and confocal laser scanning microscopy measurements on the
copolymer library revealed classical shielding properties of the Ox side chains,
including highly reduced cytotoxicity and a partial decrease in transfection
efficiency, as also reported for polyethylene glycol shielding. In terms of the
transfection efficiency, the best performing copolymers (A- g-Ox5(10) and M- g
Ox5(10)) revealed equal or better performances compared to those of the
corresponding homopolymers. In particular, the graft copolymers with low DG and
side chain DP transfected well with over 10-fold higher IC50 values. In contrast,
a DG of 30% resulted in a loss of transfection efficiency due to missing ability
for endosomal release, and a side chain DP of 20 hampered the cellular uptake.
PMID- 29791803
TI - Bacteria-Activated Janus Particles Driven by Chemotaxis.
AB - In the development of biocompatible nano-/micromotors for drug and cargo
delivery, motile bacteria represent an excellent energy source for biomedical
applications. Despite intense research of the fabrication of bacteria-based
motors, how to effectively utilize the instinctive responses of bacteria to
environmental stimuli in the fabrication process, particularly, chemotaxis,
remains an urgent and critical issue. Here, by developing a molecular-dynamics
model of bacterial chemotaxis, we present an investigation of the transport of a
bacteria-activated Janus particle driven by chemotaxis. Upon increasing the
stimuli intensity, we find that the transport of the Janus particle undergoes an
intriguing second-order state transition: from a composite random walk, combining
power-law-distributed truncated Levy flights with Brownian jiggling, to an
enhanced directional transport with size-dependent reversal of locomotion. A
state diagram of Janus-particle transport depending on the stimuli intensity and
particle size is presented, which allows approaches to realize controllable and
predictable propulsion directions. The physical mechanism of these transport
behaviors is revealed by performing a theoretical modeling based on the bacterial
noise and Janus geometries. Our findings could provide a fundamental insight into
the physics underlying the transport of anisotropic particles driven by
microorganisms and highlight stimulus-response techniques and asymmetrical design
as a versatile strategy to possess a wide array of potential applications for
future biocompatible nano-/microdevices.
PMID- 29791804
TI - Environmentally Relevant Freeze-Thaw Cycles Enhance the Redox-Mediated
Morphological Changes of Silver Nanoparticles.
AB - Silver nanoparticles (AgNPs) are inevitably released into natural systems,
particularly into aquatic environments, where they are oxidized and release Ag+,
which is reduced back to AgNPs. Environmental freeze-thaw cycles or freezing may
accelerate the dynamic transformation between AgNPs and Ag+. Herein, the
significant morphological changes caused by freezing treatments were assessed by
UV-vis spectroscopy and high-resolution transmission electron microscopy, which
revealed that reductive regeneration, particle fusion, and coalescence of the
AgNPs occurred. In addition, a stable Ag isotope was used to track the AgNP redox
reaction, which was found to be accelerated under freezing and freeze-thaw cycles
relative to the reaction of particles stored at a normal temperature (4 degrees
C, 25 degrees C). Furthermore, natural organic matter was found to stabilize the
particle morphology. Ca2+ and Cl- intensified the morphological changes and redox
reaction through Ca2+-induced particle coalescence and Cl--enhanced reduction of
Ag+ during the freeze-thaw treatment. These physicochemical changes also occurred
for an environmentally relevant concentration of AgNPs (50 ng L-1) in simulated
environmental conditions and natural water samples after freeze-thaw cycles.
Since the morphological changes and redox acceleration induced by environmental
freezing conditions could dramatically influence the mobility, bioavailability,
toxicity, and environmental fate of AgNPs, the freeze-thaw-induced effects should
be considered in the environmental risk assessment of AgNPs.
PMID- 29791805
TI - Identifying the Nonradical Mechanism in the Peroxymonosulfate Activation Process:
Singlet Oxygenation Versus Mediated Electron Transfer.
AB - Select persulfate activation processes were demonstrated to initiate oxidation
not reliant on sulfate radicals, although the underlying mechanism has yet to be
identified. This study explored singlet oxygenation and mediated electron
transfer as plausible nonradical mechanisms for organic degradation by carbon
nanotube (CNT)-activated peroxymonosulfate (PMS). The degradation of furfuryl
alcohol (FFA) as a singlet oxygen (1O2) indicator and the kinetic retardation of
FFA oxidation in the presence of l-histidine and azide as 1O2 quenchers
apparently supported a role of 1O2 in the CNT/PMS system. However, the 1O2
scavenging effect was ascribed to a rapid PMS depletion by l-histidine and azide.
A comparison of CNT/PMS and photoexcited Rose Bengal (RB) excluded the
possibility of singlet oxygenation during heterogeneous persulfate activation. In
contrast to the case of excited RB, solvent exchange (H2O to D2O) did not enhance
FFA degradation by CNT/PMS and the pH- and substrate-dependent reactivity of
CNT/PMS did not reflect the selective nature of 1O2. Alternatively, concomitant
PMS reduction and trichlorophenol oxidation were achieved when PMS and
trichlorophenol were physically separated in two chambers using a conductive
vertically aligned CNT membrane. This result suggested that CNT-mediated electron
transfer from organics to persulfate was primarily responsible for the nonradical
degradative route.
PMID- 29791806
TI - Seasonal dynamics of the bacterioplankton community in a large, shallow, highly
dynamic freshwater lake.
AB - The spatiotemporal shifts of the bacterioplankton community can mirror their
transition of functional traits in an aquatic ecosystem. However, the
spatiotemporal variation of the bacterioplankton community composition structure
(BCCS) within a large, shallow, highly dynamic freshwater lake is still poorly
understood. Here, we examined the seasonal and spatial variability of the BCCs
within Poyang Lake by sequencing the 16S rRNA gene amplicon to explore how
hydrological changes affect the BCCs. Principal coordinate analysis showed that
the BCCs varied significantly among four sampling seasons, but not spatially. The
seasonal changes of the BCCs were mainly attributed to the differences between
autumn and spring-winter. Higher alpha diversity indices were observed in autumn.
Redundancy analysis indicated that the BCCs co-variated with water level, pH,
temperature, total phosphorus, ammoniacal nitrogen, electrical conductivity,
total nitrogen, and turbidity. Among them, water level was the key determinant
separating autumn BCCs from the BCCs in other seasons. A significantly lower
relative abundance of Burkholderiales (betI and betVII) and a higher relative
abundance of Actinomycetales (acI, acTH1, and acTH2) were found in autumn than in
other seasons. Overall, our results suggest that water level changes associated
with pH, temperature, and nutrient status shaped the seasonal patterns of the
BCCs within Poyang Lake.
PMID- 29791807
TI - Beliefs About Behavioral Determinants of Obesity in Appalachia, 2011-2014.
AB - The populations of many Appalachian communities have high rates of unhealthy body
weight. This study aimed to identify differences in beliefs about obesity between
Appalachians and non-Appalachians. Our analyses explored health beliefs and
behaviors among US adults aged >=18 (n = 14 451) who responded to the Health
Information National Trends Survey (2011-2014), of whom 1015 (8%) resided within
the 420 counties recognized as Appalachian by the Appalachian Regional
Commission. Using weighted regression analyses and controlling for
sociodemographic characteristics and general health, we determined that self
reported body mass index was higher among Appalachians than among non
Appalachians ( B = 0.75, P = .03, 95% confidence interval, 0.08-1.47) and that
Appalachians were less likely than non-Appalachians to believe that lifestyle
factors were related to obesity ( B = -0.37, P = .03, 95% confidence interval,
0.04 to -0.71). Results suggest that Appalachians may regard behavioral
prevention differently from non-Appalachians, perhaps with less confidence in the
effectiveness of certain behaviors to reduce obesity risk. Future research may
determine whether such beliefs could complicate efforts to encourage healthy
lifestyles throughout the region.
PMID- 29791808
TI - Pseudomonads contribute to regulation of Pratylenchus penetrans (Nematoda)
populations on apple.
AB - Inoculation with antagonistic soil microorganisms has shown potential to suppress
replant disease of apple in orchard soils. Pseudomonas spp. may have the
potential to reduce Pratylenchus penetrans populations on apple. Pseudomonas spp.
were isolated from the rhizosphere of sweet cherry and screened for antagonistic
characteristics. Two highly antagonistic Pseudomonas isolates, P10-32 and P10-42,
were evaluated for growth promotion of apple seedlings, suppression of P.
penetrans populations, and root colonization in soil from three orchards. During
the isolate screening, Pseudomonas fluorescens P10-32 reduced in vitro growth of
fungal pathogens, had protease activity, had capacity to produce pyrrolnitrin,
suppressed P. penetrans populations, and increased plant biomass. Pseudomonas
fluorescens P10-42 reduced in vitro growth of fungal pathogens, had protease
activity, suppressed P. penetrans populations, and increased plant biomass. In
potted orchard soil, inoculating apple with P. fluorescens P10-32 suppressed P.
penetrans populations in one of the three soils examined. Inoculation with P.
fluorescens P10-42 improved plant growth in two of the soils and suppressed P.
penetrans abundance in one soil. In one of the soils, P. fluorescens P10-42 was
detected on the roots 56 days postinoculation. Overall, we conclude that
Pseudomonas spp. play a role in suppressing P. penetrans on apple in orchard
soil.
PMID- 29791809
TI - Phagocytosis: what's on the menu?
AB - Phagocytosis is an evolutionarily conserved process. In Protozoa, phagocytosis
fulfills a feeding mechanism, while in Metazoa, phagocytosis diversified to play
multiple organismal roles, including immune defence, tissue homeostasis, and
remodeling. Accordingly, phagocytes display a high level of plasticity in their
capacity to recognize, engulf, and process targets that differ in composition and
morphology. Here, we review how phagocytosis adapts to its multiple roles and
discuss in particular the effect of target morphology in phagocytic uptake and
phagosome maturation.
PMID- 29791810
TI - Anal fibropapillomas containing bovine papillomavirus type 2 DNA in two groups of
heifers.
AB - CASE HISTORY Anal warts were observed in heifers in two unrelated groups of
animals. Heifers in one group developed visible warts 4 months after manual
rectal examination and heifers in the other group developed warts 5 months after
examination using a hand-held rectal ultrasound probe. CLINICAL FINDINGS Large
exophytic proliferative anal masses were observed in 5/15 (33%) heifers in one
group and 13/149 (9%) heifers in the second group. Heifers in the second group
were also noted to have similar masses on the underside of the tail at sites
previously used for venepuncture and some of the heifers had skin warts. Despite
the large size of the anal masses, none of the heifers showed clinical signs of
systemic illness. HISTOPATHOLOGICAL FINDINGS An anal mass was removed from one
heifer in each of the two groups. Sections from both masses showed hyperplastic
epithelium covering a proliferation of well-differentiated fibroblasts consistent
with fibropapillomas. Small numbers of cells within the epidermis had clear
cytoplasm with clumped keratohyalin granules. MOLECULAR BIOLOGY Bovine
papillomavirus (BPV) type 2 DNA was amplified from both fibropapillomas by PCR.
DIAGNOSIS Multiple anal fibropapillomas associated with BPV-2. CLINICAL RELEVANCE
Bovine anal fibropapillomas have only been reported in heifers that have
undergone rectal examination, and infection of anal microabrasions in an
immunologically naive animal appears to be associated with disease development.
The source and method of spread of BPV-2 within these groups could not be
determined. However spread of BPV-2 within the groups by the veterinarian
performing rectal examinations may have been most likely. While these
fibropapillomas had a dramatic appearance, like fibropapillomas elsewhere on the
body, they did not have any significant effect on the health of the affected
heifers. As these lesions can be diagnosed by clinical examination and self
resolve without treatment, it is important that veterinarians are aware of this
rare manifestation of papillomavirus infection of cattle.
PMID- 29791811
TI - The putative transient receptor potential channel protein encoded by the
orf19.4805 gene is involved in cation sensitivity, antifungal tolerance, and
filamentation in Candida albicans.
AB - Transient receptor potential (TRP) channels, an ancient family of cation
channels, are highly conserved in eukaryotes and play various physiological
functions, ranging from sensation of ion homeostasis to reception of pain and
vision. Calcium-permeable TRP channels have been identified from the plant
Arabidopsis thaliana (AtCsc1) and the budding yeast Saccharomyces cerevisiae
(ScCsc1). In this study, we characterized the functions of the Csc1 homolog,
orf19.4805, in Candida albicans. Orf19.4805 is a protein of 866 amino acids and
11 transmembrane domains, which shares 49% identity (69% similarity) in amino
acid sequence with ScRsn1. Here, we demonstrate that deletion of the orf19.4805
gene causes C. albicans cells to be sensitive to SDS (sodium dodecyl sulfate) and
antifungal drugs, and tolerance to zinc, manganese, and cadmium ions. Candida
albicans cells lacking orf19.4805 show a defect in filamentation in vitro.
Therefore, orf19.4805 is involved in the regulation of cation homeostasis and
filamentation in C. albicans.
PMID- 29791812
TI - Automated oestrus detection using multimetric behaviour recognition in seasonal
calving dairy cattle on pasture.
AB - AIM To evaluate the performance of a novel accelerometer-based oestrus detection
system (ODS) for dairy cows on pasture, in comparison with measurement of
concentrations of progesterone in milk, ultrasonographic examination of ovaries
and farmer observations. METHODS Mixed-breed lactating dairy cows (n=109) in a
commercial, seasonal-calving herd managed at pasture under typical farming
conditions in Ireland, were fitted with oestrus detection collars 3 weeks prior
to mating start date. The ODS performed multimetric analysis of eight different
motion patterns to generate oestrus alerts. Data were collected during the
artificial insemination period of 66 days, commencing on 16 April 2015.
Transrectal ultrasonographic examinations of the reproductive tract and
measurements of concentrations of progesterone in milk were used to confirm
oestrus events. Visual observations by the farmer and the number of theoretically
expected oestrus events were used to evaluate the number of false negative ODS
alerts. The percentage of eligible cows that were detected in oestrus at least
once (and were confirmed true positives) was calculated for the first 21, 42 and
63 days of the insemination period. RESULTS During the insemination period, the
ODS generated 194 oestrus alerts and 140 (72.2%) were confirmed as true
positives. Six confirmed oestrus events recognised by the farmer did not generate
ODS alerts. The positive predictive value of the ODS was 72.2 (95% CI=65.3
78.4)%. To account for oestrus events not identified by the ODS or the farmer,
four theoretical missed oestrus events were added to the false negatives.
Estimated sensitivity of the automated ODS was 93.3 (95% CI=88.1-96.8)%. The
proportion of eligible cows that were detected in oestrus during the first 21
days of the insemination period was 92/106 (86.8%), and during the first 42 and
63 days of the insemination period was 103/106 (97.2%) and 105/106 (99.1%),
respectively. CONCLUSIONS and CLINICAL RELEVANCE The ODS under investigation was
suitable for oestrus detection in dairy cows on pasture and showed a high
sensitivity of oestrus detection. Multimetric analysis of behavioural data seems
to be the superior approach to developing and improving ODS for dairy cows on
pasture. Due to a high proportion of false positive alerts, its use as a stand
alone system for oestrus detection cannot be recommended. As it is the first time
the system was investigated, testing on other farms would be necessary for
further validation.
PMID- 29791813
TI - Higher Lung Cancer Incidence in Young Women Than Young Men in the United States.
AB - BACKGROUND: Previous studies showed a higher incidence of lung cancer among young
women than among young men in the United States. Whether this pattern has
continued in contemporary birth cohorts and, if so, whether it can be fully
explained by sex differences in smoking behaviors are unknown. METHODS: We
examined the nationwide population-based incidence of lung cancer according to
sex, race or ethnic group, age group (30 to 34, 35 to 39, 40 to 44, 45 to 49, and
50 to 54 years), year of birth (1945 to 1980), and calendar period of diagnosis
(1995-1999, 2000-2004, 2005-2009, and 2010-2014), and we calculated female-to
male incidence rate ratios. We also examined the prevalence of cigarette smoking,
using data from the National Health Interview Survey from 1970 to 2016. RESULTS:
Over the past two decades, the age-specific incidence of lung cancer has
generally decreased among both men and women 30 to 54 years of age in all races
and ethnic groups, but the declines among men have been steeper. Consequently,
among non-Hispanic whites, the female-to-male incidence rate ratios increased,
exceeding 1.0 in the age groups of 30 to 34, 35 to 39, 40 to 44, and 45 to 49
years. For example, the female-to-male incidence rate ratio among whites 40 to 44
years of age increased from 0.88 (95% confidence interval [CI], 0.84 to 0.92)
during the 1995-1999 period to 1.17 (95% CI, 1.11 to 1.23) during the 2010-2014
period. The crossover in sex-specific rates occurred among non-Hispanic whites
born since 1965. Sex-specific incidence rates converged among non-Hispanic
blacks, Hispanics, and non-Hispanic Asians and Pacific Islanders but crossed over
from a higher incidence among men to a higher incidence among women only among
Hispanics. The prevalence of cigarette smoking among women born since 1965 has
approached, but generally not exceeded, the prevalence among men. CONCLUSIONS:
The patterns of historically higher incidence rates of lung cancer among men than
among women have reversed among non-Hispanic whites and Hispanics born since the
mid-1960s, and they are not fully explained by sex differences in smoking
behaviors. Future studies are needed to identify reasons for the higher incidence
of lung cancer among young women. (Funded by the American Cancer Society.).
PMID- 29791815
TI - Incidence of Cellulitis among Children with Primary Lymphedema.
PMID- 29791814
TI - Case 16-2018: A 45-Year-Old Man with Fever, Thrombocytopenia, and Elevated
Aminotransferase Levels.
PMID- 29791817
TI - p53 and Me.
PMID- 29791816
TI - Fast Forward - Neoadjuvant Cancer Immunotherapy.
PMID- 29791818
TI - Retinal Vasculitis in Takayasu's Arteritis.
PMID- 29791819
TI - ECMO for Severe ARDS.
PMID- 29791820
TI - Patient Age and the Seasonal Pattern of Onset of Kawasaki's Disease.
PMID- 29791821
TI - Tinea Capitis in a Newborn.
PMID- 29791823
TI - Doughnuts and Discounts - Changes to Medicare Part D under the Bipartisan Budget
Act of 2018.
PMID- 29791824
TI - Consequences of the 340B Drug Pricing Program.
PMID- 29791825
TI - Inhaled Glucocorticoids in Asthma.
PMID- 29791826
TI - Subscribing to Your Patients - Reimagining the Future of Electronic Health
Records.
PMID- 29791822
TI - Extracorporeal Membrane Oxygenation for Severe Acute Respiratory Distress
Syndrome.
AB - BACKGROUND: The efficacy of venovenous extracorporeal membrane oxygenation (ECMO)
in patients with severe acute respiratory distress syndrome (ARDS) remains
controversial. METHODS: In an international clinical trial, we randomly assigned
patients with very severe ARDS, as indicated by one of three criteria - a ratio
of partial pressure of arterial oxygen (Pao2) to the fraction of inspired oxygen
(Fio2) of less than 50 mm Hg for more than 3 hours; a Pao2:Fio2 of less than 80
mm Hg for more than 6 hours; or an arterial blood pH of less than 7.25 with a
partial pressure of arterial carbon dioxide of at least 60 mm Hg for more than 6
hours - to receive immediate venovenous ECMO (ECMO group) or continued
conventional treatment (control group). Crossover to ECMO was possible for
patients in the control group who had refractory hypoxemia. The primary end point
was mortality at 60 days. RESULTS: At 60 days, 44 of 124 patients (35%) in the
ECMO group and 57 of 125 (46%) in the control group had died (relative risk,
0.76; 95% confidence interval [CI], 0.55 to 1.04; P=0.09). Crossover to ECMO
occurred a mean (+/-SD) of 6.5+/-9.7 days after randomization in 35 patients
(28%) in the control group, with 20 of these patients (57%) dying. The frequency
of complications did not differ significantly between groups, except that there
were more bleeding events leading to transfusion in the ECMO group than in the
control group (in 46% vs. 28% of patients; absolute risk difference, 18
percentage points; 95% CI, 6 to 30) as well as more cases of severe
thrombocytopenia (in 27% vs. 16%; absolute risk difference, 11 percentage points;
95% CI, 0 to 21) and fewer cases of ischemic stroke (in no patients vs. 5%;
absolute risk difference, -5 percentage points; 95% CI, -10 to -2). CONCLUSIONS:
Among patients with very severe ARDS, 60-day mortality was not significantly
lower with ECMO than with a strategy of conventional mechanical ventilation that
included ECMO as rescue therapy. (Funded by the Direction de la Recherche
Clinique et du Developpement and the French Ministry of Health; EOLIA
ClinicalTrials.gov number, NCT01470703 .).
PMID- 29791828
TI - Diagnosis and Management of the Antiphospholipid Syndrome.
PMID- 29791827
TI - A Shocking Turn of Events.
PMID- 29791829
TI - Burosumab Therapy in Children with X-Linked Hypophosphatemia.
AB - BACKGROUND: X-linked hypophosphatemia is characterized by increased secretion of
fibroblast growth factor 23 (FGF-23), which leads to hypophosphatemia and
consequently rickets, osteomalacia, and skeletal deformities. We investigated
burosumab, a monoclonal antibody that targets FGF-23, in patients with X-linked
hypophosphatemia. METHODS: In an open-label, phase 2 trial, we randomly assigned
52 children with X-linked hypophosphatemia, in a 1:1 ratio, to receive
subcutaneous burosumab either every 2 weeks or every 4 weeks; the dose was
adjusted to achieve a serum phosphorus level at the low end of the normal range.
The primary end point was the change from baseline to weeks 40 and 64 in the
Thacher rickets severity total score (ranging from 0 to 10, with higher scores
indicating greater disease severity). In addition, the Radiographic Global
Impression of Change was used to evaluate rachitic changes from baseline to week
40 and to week 64. Additional end points were changes in pharmacodynamic markers,
linear growth, physical ability, and patient-reported outcomes and the incidence
of adverse events. RESULTS: The mean Thacher rickets severity total score
decreased from 1.9 at baseline to 0.8 at week 40 with every-2-week dosing and
from 1.7 at baseline to 1.1 at week 40 with every-4-week dosing (P<0.001 for both
comparisons); these improvements persisted at week 64. The mean serum phosphorus
level increased after the first dose in both groups, and more than half the
patients in both groups had levels within the normal range (3.2 to 6.1 mg per
deciliter [1.0 to 2.0 mmol per liter]) by week 6. Stable serum phosphorus levels
were maintained through week 64 with every-2-week dosing. Renal tubular phosphate
reabsorption increased from baseline in both groups, with an overall mean
increase of 0.98 mg per deciliter (0.32 mmol per liter). The mean dose of
burosumab at week 40 was 0.98 mg per kilogram of body weight with every-2-week
dosing and 1.50 mg per kilogram with every-4-week dosing. Across both groups, the
mean serum alkaline phosphatase level decreased from 459 U per liter at baseline
to 369 U per liter at week 64. The mean standing-height z score increased in both
groups, with greater improvement seen at all time points with every-2-week dosing
(an increase from baseline of 0.19 at week 64) than with every-4-week dosing (an
increase from baseline of 0.12 at week 64). Physical ability improved and pain
decreased. Nearly all the adverse events were mild or moderate in severity.
CONCLUSIONS: In children with X-linked hypophosphatemia, treatment with burosumab
improved renal tubular phosphate reabsorption, serum phosphorus levels, linear
growth, and physical function and reduced pain and the severity of rickets.
(Funded by Ultragenyx Pharmaceutical and Kyowa Hakko Kirin; ClinicalTrials.gov
number, NCT02163577 ; EudraCT number, 2014-000406-35 ).
PMID- 29791830
TI - Learning from a Trial Stopped by a Data and Safety Monitoring Board.
PMID- 29791831
TI - The Lymph Node and the Metastasis.
PMID- 29791832
TI - Rationale, Opportunities, and Reality of Biosimilar Medications.
PMID- 29791833
TI - Maternal and postnatal high-fat diets with high ?6:?3 ratios affect the
reproductive performance of male offspring in the mouse.
AB - High-fat diets (HFDs) are an acknowledged risk factor for male subfertility, but
the underlying mechanisms remain unclear. In the present study we compared the
effects of two HFDs with different omega6:omega3 ratios, one enriched with soy
oil (SOD; omega6:omega3=9.62) and another enriched with sunflower oil (SFOD;
omega6:omega3=51.55), with those of a commercial diet (CD; omega6:omega3=19.87),
supplied from pregnancy to adulthood, on morphometric parameters and reproductive
performance in adult male mice (recommended omega6:omega3 for rodents=1-6).
Bodyweight was significantly higher in the SFOD than CD group, and relative
testicular weight was significantly lower in the SFOD than the other two groups.
SFOD altered sperm performance: it reduced sperm viability (mean+/-s.e.m.;
76.00+/-1.35% vs 82.50+/-1.45% and 80.63+/-1.00% in the SFOD vs CD and SOD groups
respectively; P<0.05) and increased the percentage of immature spermatozoa
(71.88+/-7.17% vs 51.38+/-5.87% and 48.00+/-5.72% in the SFOD vs CD and SOD
groups respectively; P<0.05). The epididymal omega6:omega3 ratio was higher in
the SFOD versus CD and SOD groups, whereas the unsaturation index was higher in
the SOD and SFOD groups than in CD group. Sperm membrane integrity was diminished
in both the SOD and SFOD groups, but there was no difference in sperm reactive
oxygen species production in these two groups compared with the CD group. The
fertilisation rate was lower in the SFOD compared with the CD and SOD groups. In
conclusion, although both HFDs affected sperm quality, the fertilising ability
was more altered by the excessive dietary omega6:omega3 ratio than by the net
omega6 content.
PMID- 29791836
TI - Trpv4 Mediates Hypotonic Inhibition of Central Osmosensory Neurons via Taurine
Gliotransmission.
AB - The maintenance of hydromineral homeostasis requires bidirectional detection of
changes in extracellular fluid osmolality by primary osmosensory neurons (ONs) in
the organum vasculosum laminae terminalis (OVLT). Hypertonicity excites ONs in
part through the mechanical activation of a variant transient receptor potential
vanilloid-1 channel (dn-Trpv1). However, the mechanism by which local
hypotonicity inhibits ONs in the OVLT remains unknown. Here, we show that
hypotonicity can reduce the basal activity of dn-Trpv1 channels and hyperpolarize
acutely isolated ONs. Surprisingly, we found that mice lacking dn-Trpv1 maintain
normal inhibitory responses to hypotonicity when tested in situ. In the intact
setting, hypotonicity inhibits ONs through a non-cell-autonomous mechanism that
involves glial release of the glycine receptor agonist taurine through
hypotonicity activated anion channels (HAAC) that are activated subsequent to
Ca2+ influx through Trpv4 channels. Our study clarifies how Trpv4 channels
contribute to the inhibition of OVLT ONs during hypotonicity in situ.
PMID- 29791834
TI - Molecular and Functional Sex Differences of Noradrenergic Neurons in the Mouse
Locus Coeruleus.
AB - Preclinical work has long focused on male animals, though biological sex clearly
influences risk for certain diseases, including many psychiatric disorders. Such
disorders are often treated by drugs targeting the CNS norepinephrine system.
Despite roles for noradrenergic neurons in behavior and neuropsychiatric disease
models, their molecular characterization has lagged. We profiled mouse
noradrenergic neurons in vivo, defining over 3,000 high-confidence transcripts
expressed therein, including druggable receptors. We uncovered remarkable sex
differences in gene expression, including elevated expression of the EP3 receptor
in females-which we leverage to illustrate the behavioral and pharmacologic
relevance of these findings-and of Slc6a15 and Lin28b, both major depressive
disorder (MDD)-associated genes. Broadly, we present a means of transcriptionally
profiling locus coeruleus under baseline and experimental conditions. Our
findings underscore the need for preclinical work to include both sexes and
suggest that sex differences in noradrenergic neurons may underlie behavioral
differences relevant to disease.
PMID- 29791835
TI - Nicotinic Cholinergic Receptors in VTA Glutamate Neurons Modulate Excitatory
Transmission.
AB - Ventral tegmental area (VTA) glutamate neurons are important components of reward
circuitry, but whether they are subject to cholinergic modulation is unknown. To
study this, we used molecular, physiological, and photostimulation techniques to
examine nicotinic acetylcholine receptors (nAChRs) in VTA glutamate neurons.
Cells in the medial VTA, where glutamate neurons are enriched, are responsive to
acetylcholine (ACh) released from cholinergic axons. VTA VGLUT2+ neurons express
mRNA and protein subunits known to comprise heteromeric nAChRs.
Electrophysiology, coupled with two-photon microscopy and laser flash photolysis
of photoactivatable nicotine, was used to demonstrate nAChR functional activity
in the somatodendritic subcellular compartment of VTA VGLUT2+ neurons. Finally,
optogenetic isolation of intrinsic VTA glutamatergic microcircuits along with
gene-editing techniques demonstrated that nicotine potently modulates excitatory
transmission within the VTA via heteromeric nAChRs. These results indicate that
VTA glutamate neurons are modulated by cholinergic mechanisms and participate in
the cascade of physiological responses to nicotine exposure.
PMID- 29791837
TI - Phosphorylation State of ZFP24 Controls Oligodendrocyte Differentiation.
AB - Zinc finger protein ZFP24, formerly known as ZFP191, is essential for
oligodendrocyte maturation and CNS myelination. Nevertheless, the mechanism by
which ZFP24 controls these processes is unknown. We demonstrate that ZFP24 binds
to a consensus DNA sequence in proximity to genes important for oligodendrocyte
differentiation and CNS myelination, and we show that this binding enhances
target gene expression. We also demonstrate that ZFP24 DNA binding is controlled
by phosphorylation. Phosphorylated ZFP24, which does not bind DNA, is the
predominant form in oligodendrocyte progenitor cells. As these cells mature into
oligodendrocytes, the non-phosphorylated, DNA-binding form accumulates.
Interestingly, ZFP24 displays overlapping genomic binding sites with the
transcription factors MYRF, SOX10, and OLIG2, which are known to control
oligodendrocyte differentiation. Our findings provide a mechanism by which
dephosphorylation of ZFP24 mediates its binding to regulatory regions of genes
important for oligodendrocyte maturation, controls their expression, and thereby
regulates oligodendrocyte differentiation and CNS myelination.
PMID- 29791838
TI - Dorsolateral Striatum Engagement Interferes with Early Discrimination Learning.
AB - In current models, learning the relationship between environmental stimuli and
the outcomes of actions involves both stimulus-driven and goal-directed systems,
mediated in part by the DLS and DMS, respectively. However, though these models
emphasize the importance of the DLS in governing actions after extensive
experience has accumulated, there is growing evidence of DLS engagement from the
onset of training. Here, we used in vivo photosilencing to reveal that DLS
recruitment interferes with early touchscreen discrimination learning. We also
show that the direct output pathway of the DLS is preferentially recruited and
causally involved in early learning and find that silencing the normal
contribution of the DLS produces plasticity-related alterations in a PL-DMS
circuit. These data provide further evidence suggesting that the DLS is recruited
in the construction of stimulus-elicited actions that ultimately automate
behavior and liberate cognitive resources for other demands, but with a cost to
performance at the outset of learning.
PMID- 29791839
TI - Ubiquitylation Dynamics of the Clock Cell Proteome and TIMELESS during a
Circadian Cycle.
AB - Circadian clocks have evolved as time-measuring molecular devices to help
organisms adapt their physiology to daily changes in light and temperature.
Transcriptional oscillations account for a large fraction of rhythmic protein
abundance. However, cycling of various posttranslational modifications, such as
ubiquitylation, also contributes to shape the rhythmic protein landscape. In this
study, we used an in vivo ubiquitin labeling assay to investigate the circadian
ubiquitylated proteome of Drosophila melanogaster. We find that cyclic
ubiquitylation affects MEGATOR (MTOR), a chromatin-associated nucleoporin that,
in turn, feeds back to regulate the core molecular oscillator. Furthermore, we
show that the ubiquitin ligase subunits CULLIN-3 (CUL-3) and SUPERNUMERARY LIMBS
(SLMB) cooperate for ubiquitylating the TIMELESS protein. These findings stress
the importance of ubiquitylation pathways in the Drosophila circadian clock and
reveal a key component of this system.
PMID- 29791841
TI - Functional Genomic Screening Reveals Core Modulators of Echinocandin Stress
Responses in Candida albicans.
AB - Candida albicans is a leading cause of death due to fungal infection. Treatment
of systemic candidiasis often relies on echinocandins, which disrupt cell wall
synthesis. Resistance is readily acquired via mutations in the drug target gene,
FKS1. Both basal tolerance and resistance to echinocandins require cellular
stress responses. We performed a systematic analysis of 3,030 C. albicans mutants
to define circuitry governing cellular responses to echinocandins. We identified
16 genes for which deletion or transcriptional repression enhanced echinocandin
susceptibility, including components of the Pkc1-MAPK signaling cascade. We
discovered that the molecular chaperone Hsp90 is required for the stability of
Pkc1 and Bck1, establishing key mechanisms through which Hsp90 mediates
echinocandin resistance. We also discovered that perturbation of the CCT
chaperonin complex causes enhanced echinocandin sensitivity, altered cell wall
architecture, and aberrant septin localization. Thus, we provide insights into
the mechanisms by which cellular chaperones enable crucial responses to
echinocandin-induced stress.
PMID- 29791840
TI - Dynamic Cellular Integration Drives Functional Assembly of the Heart's Pacemaker
Complex.
AB - Impulses generated by a multicellular, bioelectric signaling center termed the
sinoatrial node (SAN) stimulate the rhythmic contraction of the heart. The SAN
consists of a network of electrochemically oscillating pacemaker cells encased in
a heterogeneous connective tissue microenvironment. Although the cellular
composition of the SAN has been a point of interest for more than a century, the
biological processes that drive the tissue-level assembly of the cells within the
SAN are unknown. Here, we demonstrate that the SAN's structural features result
from a developmental process during which mesenchymal cells derived from a
multipotent progenitor structure, the proepicardium, integrate with and surround
pacemaker myocardium. This process actively remodels the forming SAN and is
necessary for sustained electrogenic signal generation and propagation.
Collectively, these findings provide experimental evidence for how the
microenvironmental architecture of the SAN is patterned and demonstrate that
proper cellular arrangement is critical for cardiac pacemaker biorhythmicity.
PMID- 29791842
TI - Plant Endocytosis Requires the ER Membrane-Anchored Proteins VAP27-1 and VAP27-3.
AB - Through yet-undefined mechanisms, the plant endoplasmic reticulum (ER) has a
critical role in endocytosis. The plant ER establishes a close association with
endosomes and contacts the plasma membrane (PM) at ER-PM contact sites (EPCSs)
demarcated by the ER membrane-associated VAMP-associated-proteins (VAP). Here, we
investigated two plant VAPs, VAP27-1 and VAP27-3, and found an interaction with
clathrin and a requirement for the homeostasis of clathrin dynamics at endocytic
membranes and endocytosis. We also demonstrated direct interaction of VAP27
proteins with phosphatidylinositol-phosphate lipids (PIPs) that populate
endocytic membranes. These results support that, through interaction with PIPs,
VAP27-proteins bridge the ER with endocytic membranes and maintain endocytic
traffic, likely through their interaction with clathrin.
PMID- 29791844
TI - Regulation of Pathogenic T Helper 17 Cell Differentiation by Steroid Receptor
Coactivator-3.
AB - T helper 17 (Th17) cell development is programmed by the orphan nuclear receptor
RORgammat, but the underlying mechanism is not well understood. Nuclear receptor
mediated transcriptional activation depends on coactivators. Here, we show that
steroid receptor coactivator-3 (SRC-3) critically regulates Th17 cell
differentiation. Reduced incidence of experimental autoimmune encephalitis (EAE)
associated with decreased Th17 cell generation in vivo was observed in mice with
SRC-3 deletion specifically in T cells. In vitro, SRC-3 deficiency did not affect
TGF-beta/IL-6-induced Th17 cell generation but severely impaired pathogenic Th17
differentiation induced by IL-1/IL-6/IL-23. Microarray analysis revealed that SRC
3 not only regulates IL-17A but also IL-1R1 expression. SRC-3 bound to Il17a and
Il1r1 loci in a RORgammat-dependent manner and was required for recruitment of
the p300 acetyltransferase. Thus, SRC-3 is critical for RORgammat-dependent gene
expression in Th17 cell-driven autoimmune diseases.
PMID- 29791845
TI - Equilibrative Nucleoside Transporter 3 Regulates T Cell Homeostasis by
Coordinating Lysosomal Function with Nucleoside Availability.
AB - T cells are a versatile immune cell population responding to challenges by
differentiation and proliferation followed by contraction and memory formation.
Dynamic metabolic reprogramming is essential for T cells to meet the biosynthetic
needs and the reutilization of biomolecules, processes that require active
participation of metabolite transporters. Here, we show that equilibrative
nucleoside transporter 3 (ENT3) is highly expressed in peripheral T cells and has
a key role in maintaining T cell homeostasis by supporting the proliferation and
survival of T cells. ENT3 deficiency leads to an enlarged and disturbed lysosomal
compartment, resulting in accumulation of surplus mitochondria, elevation of
intracellular reactive oxygen species, and DNA damage in T cells. Our results
identify ENT3 as a vital metabolite transporter that supports T cell homeostasis
and activation by regulating lysosomal integrity and the availability of
nucleosides. Moreover, we uncovered that T cell lysosomes are an important source
of salvaged metabolites for survival and proliferation.
PMID- 29791843
TI - Cell-Cycle Regulation of Dynamic Chromosome Association of the Condensin Complex.
AB - Eukaryotic cells inherit their genomes in the form of chromosomes, which are
formed from the compaction of interphase chromatin by the condensin complex.
Condensin is a member of the structural maintenance of chromosomes (SMC) family
of ATPases, large ring-shaped protein assemblies that entrap DNA to establish
chromosomal interactions. Here, we use the budding yeast Saccharomyces cerevisiae
to dissect the role of the condensin ATPase and its relationship with cell-cycle
regulated chromosome binding dynamics. ATP hydrolysis-deficient condensin binds
to chromosomes but is defective in chromosome condensation and segregation. By
modulating the ATPase, we demonstrate that it controls condensin's dynamic
turnover on chromosomes. Mitosis-specific phosphorylation of condensin's Smc4
subunit reduces the turnover rate. However, reducing turnover by itself is
insufficient to compact chromosomes. We propose that condensation requires fine
tuned dynamic condensin interactions with more than one DNA. These results
enhance our molecular understanding of condensin function during chromosome
condensation.
PMID- 29791846
TI - Myosin IIa Promotes Antibody Responses by Regulating B Cell Activation,
Acquisition of Antigen, and Proliferation.
AB - B cell responses are regulated by antigen acquisition, processing, and
presentation to helper T cells. These functions are thought to depend on
contractile activity of non-muscle myosin IIa. Here, we show that B cell-specific
deletion of the myosin IIa heavy chain reduced the numbers of bone marrow B cell
precursors and splenic marginal zone, peritoneal B1b, and germinal center B
cells. In addition, myosin IIa-deficient follicular B cells acquired an activated
phenotype and were less efficient in chemokinesis and extraction of membrane
presented antigens. Moreover, myosin IIa was indispensable for cytokinesis.
Consequently, mice with myosin IIa-deficient B cells harbored reduced serum
immunoglobulin levels and did not mount robust antibody responses when immunized.
Altogether, these data indicate that myosin IIa is a negative regulator of B cell
activation but a positive regulator of antigen acquisition from antigen
presenting cells and that myosin IIa is essential for B cell development,
proliferation, and antibody responses.
PMID- 29791847
TI - Neutrophils Provide a Favorable IL-1-Mediated Immunometabolic Niche that Primes
GLUT4 Translocation and Performance in Skeletal Muscles.
AB - Metabolic immunomodulation involving IL-1 has been investigated for unfavorable
metabolic effects, including obesity, but a potentially favorable role for IL-1
remains unclear. Here, we find mechanistic interactions between working skeletal
muscles and locally recruited neutrophils expressing IL-1beta, which supports
muscle performance through priming exercise-dependent GLUT4 translocation. Thus,
during exercise, both IL-1alpha/beta-deficient and neutrophil-depleted mice
similarly exhibit increased fatigability associated with impaired muscle glucose
homeostasis due to GLUT4 dysregulation. Deficiency of IL-1-producing neutrophils
results in intrinsic abnormalities represented by aberrant Rac1 signaling and
irregular GLUT4-storage vesicles, suggesting that these properties are maintained
by local IL-1 produced by recruited neutrophils upon exercise, possibly on a
daily basis. We propose that neutrophils are highly engaged in skeletal muscle
performance via IL-1 regulation, which coordinates favorable inflammatory
microenvironments supporting muscle glucose metabolism.
PMID- 29791848
TI - Pre-synaptic Muscarinic Excitation Enhances the Discrimination of Looming Stimuli
in a Collision-Detection Neuron.
AB - Visual neurons that track objects on a collision course are often finely tuned to
their target stimuli because this is critical for survival. The presynaptic
neural networks converging on these neurons and their role in tuning them remain
poorly understood. We took advantage of well-known characteristics of one such
neuron in the grasshopper visual system to investigate the properties of its
presynaptic input network. We find the structure more complex than hitherto
realized. In addition to dynamic lateral inhibition used to filter out background
motion, presynaptic circuits include normalizing inhibition and excitatory
interactions mediated by muscarinic acetylcholine receptors. These interactions
preferentially boost responses to coherently expanding visual stimuli generated
by colliding objects, as opposed to spatially incoherent controls, helping to
discriminate between them. Hence, in addition to active dendritic conductances
within collision-detecting neurons, multiple layers of inhibitory and excitatory
presynaptic connections are needed to finely tune neural circuits for collision
detection.
PMID- 29791849
TI - Activation of Entorhinal Cortical Projections to the Dentate Gyrus Underlies
Social Memory Retrieval.
AB - Social interactions are essential to our mental health, and a deficit in social
interactions is a hallmark characteristic of numerous brain disorders. Various
subregions within the medial temporal lobe have been implicated in social memory,
but the underlying mechanisms that tune these neural circuits remain unclear.
Here, we demonstrate that optical activation of excitatory entorhinal cortical
perforant projections to the dentate gyrus (EC-DG) is necessary and sufficient
for social memory retrieval. We further show that inducible disruption of p21
activated kinase (PAK) signaling, a key pathway important for cytoskeletal
reorganization, in the EC-DG circuit leads to impairments in synaptic function
and social recognition memory, and, importantly, optogenetic activation of the EC
DG terminals reverses the social memory deficits in the transgenic mice. These
results provide compelling evidence that activation of the EC-DG pathway
underlies social recognition memory recall and that PAK signaling may play a
critical role in modulating this process.
PMID- 29791851
TI - Distinct Frequency Specialization for Detecting Dark Transients in Humans and
Tree Shrews.
AB - Despite well-known privileged perception of dark over light stimuli, it is
unknown to what extent this dark dominance is maintained when visual transients
occur in rapid succession, for example, during perception of moving stimuli.
Here, we address this question using dark and light transients presented at
different flicker frequencies. Although both human participants and tree shrews
exhibited dark dominance for temporally modulated transients, these occurred at
different flicker frequencies, namely, at 11 Hz in humans and 40 Hz and higher in
tree shrews. Tree shrew V1 neuronal activity confirmed that differences between
light and dark flicker were maximal at 40 Hz, corresponding closely to behavioral
findings. These findings suggest large differences in flicker perception between
humans and tree shrews, which may be related to the lifestyle of these species. A
specialization for detecting dark transients at high temporal frequencies may
thus be adaptive for tree shrews, which are particularly fast-moving small
mammals.
PMID- 29791850
TI - The Memory of Environmental Chemical Exposure in C. elegans Is Dependent on the
Jumonji Demethylases jmjd-2 and jmjd-3/utx-1.
AB - How artificial environmental cues are biologically integrated and
transgenerationally inherited is still poorly understood. Here, we investigate
the mechanisms of inheritance of reproductive outcomes elicited by the model
environmental chemical Bisphenol A in C. elegans. We show that Bisphenol A (BPA)
exposure causes the derepression of an epigenomically silenced transgene in the
germline for 5 generations, regardless of ancestral response. Chromatin
immunoprecipitation sequencing (ChIP-seq), histone modification quantitation, and
immunofluorescence assays revealed that this effect is associated with a
reduction of the repressive marks H3K9me3 and H3K27me3 in whole worms and in
germline nuclei in the F3, as well as with reproductive dysfunctions, including
germline apoptosis and embryonic lethality. Furthermore, targeting of the Jumonji
demethylases JMJD-2 and JMJD-3/UTX-1 restores H3K9me3 and H3K27me3 levels,
respectively, and it fully alleviates the BPA-induced transgenerational effects.
Together, our results demonstrate the central role of repressive histone
modifications in the inheritance of reproductive defects elicited by a common
environmental chemical exposure.
PMID- 29791852
TI - Melanopsin Retinal Ganglion Cells Regulate Cone Photoreceptor Lamination in the
Mouse Retina.
AB - Newborn neurons follow molecular cues to reach their final destination, but
whether early life experience influences lamination remains largely unexplored.
As light is among the first stimuli to reach the developing nervous system via
intrinsically photosensitive retinal ganglion cells (ipRGCs), we asked whether
ipRGCs could affect lamination in the developing mouse retina. We show here that
ablation of ipRGCs causes cone photoreceptors to mislocalize at different
apicobasal positions in the retina. This effect is partly mediated by light
evoked activity in ipRGCs, as dark rearing or silencing of ipRGCs leads a subset
of cones to mislocalize. Furthermore, ablation of ipRGCs alters the cone
transcriptome and decreases expression of the dopamine receptor D4, while
injection of L-DOPA or D4 receptor agonist rescues the displaced cone phenotype
observed in dark-reared animals. These results show that early light-mediated
activity in ipRGCs influences neuronal lamination and identify ipRGC-elicited
dopamine release as a mechanism influencing cone position.
PMID- 29791853
TI - p27Kip1 Modulates Axonal Transport by Regulating alpha-Tubulin Acetyltransferase
1 Stability.
AB - The protein p27Kip1 plays roles that extend beyond cell-cycle regulation during
cerebral cortex development, such as the regulation of neuronal migration and
neurite branching via signaling pathways that converge on the actin and
microtubule cytoskeletons. Microtubule-dependent transport is essential for the
maturation of neurons and the establishment of neuronal connectivity though
synapse formation and maintenance. Here, we show that p27Kip1 controls the
transport of vesicles and organelles along the axon of mice cortical projection
neurons in vitro. Moreover, suppression of the p27Kip1 ortholog, dacapo, in
Drosophila melanogaster disrupts axonal transport in vivo, leading to the
reduction of locomotor activity in third instar larvae and adult flies. At the
molecular level, p27Kip1 stabilizes the alpha-tubulin acetyltransferase 1,
thereby promoting the acetylation of microtubules, a post-translational
modification required for proper axonal transport.
PMID- 29791855
TI - Myocardial Angiopoietin-1 Controls Atrial Chamber Morphogenesis by Spatiotemporal
Degradation of Cardiac Jelly.
AB - The four-chamber structure of the mammalian heart is established during embryonic
development. While key regulators for ventricular development are well studied,
regulatory mechanisms for atrial chamber morphogenesis remain poorly understood.
Here, we found that angiopoietin-1 (Angpt1), a vascular maturation factor, is
highly and specifically expressed in atrial myocardium during heart development.
Loss of myocardial Angpt1 in mouse embryo led to severe impairment in atrial
chamber morphogenesis. We revealed that Angpt1 deficiency results in excessive
deposition of cardiac jelly, which disturbs regulation of myocardial growth,
thereby impairing maturation of atrial chambers. Mechanistically, myocardial
Angpt1 activates endocardial Tie2 and positively regulates expression of ADAMTS
proteases, which is crucial for proper degradation of cardiac jelly. Accordingly,
loss of Tie2 also impairs ADAMTS-mediated degradation of cardiac jelly in atrium.
Collectively, myocardial Angpt1/endocardial Tie2 signaling in atrium promotes
spatiotemporal degradation of cardiac jelly during early cardiac development and
is therefore indispensable for atrial chamber morphogenesis.
PMID- 29791854
TI - Nup133 Is Required for Proper Nuclear Pore Basket Assembly and Dynamics in
Embryonic Stem Cells.
AB - Nup133 belongs to the Y-complex, a key component of the nuclear pore complex
(NPC) scaffold. Studies on a null mutation in mice previously revealed that
Nup133 is essential for embryonic development but not for mouse embryonic stem
cell (mESC) proliferation. Using single-pore detection and average NE
fluorescence intensity, we find that Nup133 is dispensable for interphase and
postmitotic NPC scaffold assembly in pluripotent mESCs. However, loss of Nup133
specifically perturbs the formation of the nuclear basket as manifested by the
absence of Tpr in about half of the NPCs combined with altered dynamics of
Nup153. We further demonstrate that its central domain mediates Nup133's role in
assembling Tpr and Nup153 into a properly configured nuclear basket. Our findings
thus revisit the role of the Y-complex in pore biogenesis and provide insights
into the interplay between NPC scaffold architecture, nuclear basket assembly,
and the generation of heterogeneity among NPCs.
PMID- 29791856
TI - Activation of the Arterial Program Drives Development of Definitive Hemogenic
Endothelium with Lymphoid Potential.
AB - Understanding the pathways guiding the development of definitive hematopoiesis
with lymphoid potential is essential for advancing human pluripotent stem cell
(hPSC) technologies for the treatment of blood diseases and immunotherapies. In
the embryo, lymphoid progenitors and hematopoietic stem cells (HSCs) arise from
hemogenic endothelium (HE) lining arteries but not veins. Here, we show that
activation of the arterial program through ETS1 overexpression or by modulating
MAPK/ERK signaling pathways at the mesodermal stage of development dramatically
enhanced the formation of arterial-type HE expressing DLL4 and CXCR4. Blood cells
generated from arterial HE were more than 100-fold enriched in T cell precursor
frequency and possessed the capacity to produce B lymphocytes and red blood cells
expressing high levels of BCL11a and beta-globin. Together, these findings
provide an innovative strategy to aid in the generation of definitive
lymphomyeloid progenitors and lymphoid cells from hPSCs for immunotherapy through
enhancing arterial programming of HE.
PMID- 29791857
TI - A Cytoplasmic Argonaute Protein Promotes the Inheritance of RNAi.
AB - RNAi-elicited gene silencing is heritable and can persist for multiple
generations after its initial induction in C. elegans. However, the mechanism by
which parental-acquired trait-specific information from RNAi is inherited by the
progenies is not fully understood. Here, we identified a cytoplasmic Argonaute
protein, WAGO-4, necessary for the inheritance of RNAi. WAGO-4 exhibits
asymmetrical translocation to the germline during early embryogenesis,
accumulates at the perinuclear foci in the germline, and is required for the
inheritance of exogenous RNAi targeting both germline- and soma-expressed genes.
WAGO-4 binds to 22G-RNAs and their mRNA targets. Interestingly, WAGO-4-associated
endogenous 22G-RNAs target the same cohort of germline genes as CSR-1 and contain
untemplated addition of uracil at the 3' ends. The poly(U) polymerase CDE-1 is
required for the untemplated uridylation of 22G-RNAs and inheritance of RNAi.
Therefore, we conclude that, in addition to the nuclear RNAi pathway, the
cytoplasmic RNAi machinery also promotes RNAi inheritance.
PMID- 29791860
TI - The Olfactory Logic behind Fruit Odor Preferences in Larval and Adult Drosophila.
AB - Despite the comprehensive knowledge on odor coding, our understanding of the
relationship between sensory input and behavioral output in Drosophila remains
weak. Here, we measure the behavioral responses generated by larval and adult
flies in response to 34 fruit odors and find that larval preference for fruit
odors differs from that of adult flies. Next, we provide a functional analysis of
the full repertoire of the peripheral olfactory system using the same
comprehensive stimulus spectrum. We find that 90% and 53% of larval and adult
olfactory receptors tested here, respectively, are involved in evaluating these
fruit odors. Finally, we find that the total amount of olfactory neuronal
activity correlates strongly positively with behavioral output in larvae and
correlates weakly negatively in adult flies. Our results suggest that larval and
adult flies have evolved different mechanisms for detection and computation of
fruit odors, mechanisms likely mirroring the different lifestyles of both
developmental stages.
PMID- 29791861
TI - Hyperglucagonemia Mitigates the Effect of Metformin on Glucose Production in
Prediabetes.
PMID- 29791859
TI - Combining NGN2 Programming with Developmental Patterning Generates Human
Excitatory Neurons with NMDAR-Mediated Synaptic Transmission.
AB - Transcription factor programming of pluripotent stem cells (PSCs) has emerged as
an approach to generate human neurons for disease modeling. However, programming
schemes produce a variety of cell types, and those neurons that are made often
retain an immature phenotype, which limits their utility in modeling neuronal
processes, including synaptic transmission. We report that combining NGN2
programming with SMAD and WNT inhibition generates human patterned induced
neurons (hpiNs). Single-cell analyses showed that hpiN cultures contained cells
along a developmental continuum, ranging from poorly differentiated neuronal
progenitors to well-differentiated, excitatory glutamatergic neurons. The most
differentiated neurons could be identified using a CAMK2A::GFP reporter gene and
exhibited greater functionality, including NMDAR-mediated synaptic transmission.
We conclude that utilizing single-cell and reporter gene approaches for selecting
successfully programmed cells for study will greatly enhance the utility of hpiNs
and other programmed neuronal populations in the modeling of nervous system
disorders.
PMID- 29791858
TI - A Multi-layered Quantitative In Vivo Expression Atlas of the Podocyte Unravels
Kidney Disease Candidate Genes.
AB - Damage to and loss of glomerular podocytes has been identified as the culprit
lesion in progressive kidney diseases. Here, we combine mass spectrometry-based
proteomics with mRNA sequencing, bioinformatics, and hypothesis-driven studies to
provide a comprehensive and quantitative map of mammalian podocytes that
identifies unanticipated signaling pathways. Comparison of the in vivo datasets
with proteomics data from podocyte cell cultures showed a limited value of
available cell culture models. Moreover, in vivo stable isotope labeling by amino
acids uncovered surprisingly rapid synthesis of mitochondrial proteins under
steady-state conditions that was perturbed under autophagy-deficient, disease
susceptible conditions. Integration of acquired omics dimensions suggested FARP1
as a candidate essential for podocyte function, which could be substantiated by
genetic analysis in humans and knockdown experiments in zebrafish. This work
exemplifies how the integration of multi-omics datasets can identify a framework
of cell-type-specific features relevant for organ health and disease.
PMID- 29791862
TI - Hormone-dependent breast cancer: Targeting autophagy and PI3K overcomes
Exemestane-acquired resistance.
AB - The leading cause of cancer death in women around the world is breast cancer. The
aromatase inhibitors (AIs) are considered - as first-line treatment for estrogen
receptor-positive (ER+) breast tumors, in postmenopausal women. Exemestane (Exe)
is a powerful steroidal AI, however, despite its therapeutic success, Exe
acquired resistance may occur leading to tumor relapse. Our group previously
demonstrated that autophagy acts as a pro-survival process in Exe-induced cell
death of ER+ sensitive breast cancer cells. In this work, the role of autophagy
and its relationship with the PI3K/AKT/mTOR pathway in Exe-acquired resistance
was explored. In that way, the mechanism behind the effects of the combination of
Exe with pan-PI3K, or autophagic inhibitors, was studied in a long-term estrogen
deprived ER+ breast cancer cell line (LTEDaro cells). Our results indicate that
Exe induces autophagy as a cytoprotective mechanism linked to acquired
resistance. Moreover, it was demonstrated that by inhibiting autophagy and/or
PI3K pathway it is possible to revert Exe-resistance through apoptosis promotion,
disruption of cell cycle, and inhibition of cell survival pathways. This work
provides new insights into the mechanisms involved in Exe-acquired resistance,
pointing autophagy as an attractive therapeutic target to surpass it. Thus, it
highlights new targets that together with aromatase inhibition may improve ER+
breast cancer therapy, overcoming AIs-acquired resistance.
PMID- 29791863
TI - Role of GW182 protein in the cell.
AB - GW182 proteins interact directly with the argonaute proteins and constitute key
components of miRNA repressor complexes (miRISC) in metazoans. As argonautes are
insufficient for silencing they recruit the GW182 s that act as scaffold proteins
inducing downstream translational repression, target mRNA deadenylation and
exonucleolytic mRNA degradation. Besides their role as part of repressor
complexes inside the cell, they function in wide variety of cellular processes as
highlighted in this review. The present review summarises and discusses in detail
our current knowledge of the GW182 s and their role inside the cell.
PMID- 29791864
TI - LncRNA TUG1 interacting with miR-144 contributes to proliferation, migration and
tumorigenesis through activating the JAK2/STAT3 pathway in hepatocellular
carcinoma.
AB - Recently, it is reported that taurine upregulated gene 1 (TUG1) participates in
the tumor progression by acting as a competing endogenous RNA (ceRNA) of miRNAs.
Nonetheless, whether TUG1 could serve as a ceRNA of miR-144 in hepatocellular
carcinoma (HCC) progression remains undefined. Here, our results indicated that
there was a marked rise in TUG1 expression in HCC tissues and cells, and
downregulation of TUG1 hindered proliferation and migration of HCC cells.
Additionally, TUG1 was validated to act as a molecular sponge of miR-144.
Furthermore, we found that TUG1 interacting with miR-144 contributed to
proliferation and migration of HCC cells via activating the JAK2/STAT3 pathway in
vitro. Moreover, TUG1 knockdown inhibited HCC tumor growth in vivo through
upregulating miR-144 via inactivation of the JAK2/STAT3 pathway. In conclusion,
TUG1 interacting with miR-144 contributed to proliferation, migration and
tumorigenesis through activation of the JAK2/STAT3 pathway in HCC.
PMID- 29791865
TI - Executive and arousal vigilance decrement in the context of the attentional
networks: The ANTI-Vea task.
AB - BACKGROUND: Vigilance is generally understood as the ability to detect infrequent
critical events through long time periods. In tasks like the Sustained Attention
to Response Task (SART), participants tend to detect fewer events across time, a
phenomenon known as "vigilance decrement". However, vigilance might also involve
sustaining a tonic arousal level. In the Psychomotor Vigilance Test (PVT), the
vigilance decrement corresponds to an increment across time in both mean and
variability of reaction time. NEW METHOD: The present study aimed to develop a
single task -Attentional Networks Test for Interactions and Vigilance - executive
and arousal components (ANTI-Vea)- to simultaneously assess both components of
vigilance (i.e., the executive vigilance as in the SART, and the arousal
vigilance as in the PVT), while measuring the classic attentional functions
(phasic alertness, orienting, and executive control). RESULTS: In Experiment #1,
the executive vigilance decrement was found as an increment in response bias. In
Experiment #2, this result was replicated, and the arousal vigilance decrement
was simultaneously observed as an increment in reaction time. COMPARISON WITH
EXISTING METHOD: The ANTI-Vea solves some issues observed in the previous ANTI-V
task with the executive vigilance measure (e.g., a low hit rate and no vigilance
decrement). Furthermore, the new ANTI-Vea task assesses both components of
vigilance together with others typical attentional functions. CONCLUSIONS: The
new attentional networks test developed here may be useful to provide a better
understanding of the human attentional system. The role of sensitivity and
response bias in the executive vigilance decrement are discussed.
PMID- 29791866
TI - 17 beta-Estradiol exacerbates methamphetamine-induced anxiety-like behavior in
female mice.
AB - The present experiment investigated the effect of 17 beta-estradiol (E2) on
anxiety-like behavior following methamphetamine administration in female, Swiss
Webster mice. Mice underwent bilateral ovariectomy (OVX) followed by a
subcutaneous implantation of a Silastic capsule containing either sesame oil (OVX
+ Oil) or E2 (36 MUg/ml; OVX + E2). One week later, mice were placed in an open
field chamber for an 8-h session. During the first 3 h of the session, mice were
permitted to run in the absence of any drug (baseline). Then, mice were injected
intraperitoneally with methamphetamine (0.25, 0.5 or 1.0 mg/kg) or vehicle
(physiological saline) and returned to the open-field chamber for the remaining
five hours of the session. Mice were injected with vehicle or a different
methamphetamine dose once a week for 4 weeks. Four measures of anxiety were
assessed: distanced traveled, vertical counts, time in the center, and time
resting in the perimeter of the chamber. OVX + E2 were less active and spent less
time in the center than OVX + Oil mice during Hour 1 at certain doses, but not
during remaining baseline hours (Hours 2-3). Furthermore, group differences were
not observed during the Stimulant Phase (Hour 4) following injection of any
methamphetamine dose (0.25, 0.5 or 1.0 mg/kg) or the vehicle. However, OVX + E2
mice were less active, spent less time in the center, and spent more time resting
in the perimeter of the chamber compared to OVX + Oil mice during certain hours
of the Clearance Phase (Hours 5-8) following injection of the high (1.0 mg/kg),
but not the low (0.25 mg/kg) or moderate (0.5 mg/kg), methamphetamine doses.
These results suggest that E2 exacerbates anxiety-like behavior during acute
clearance from a high methamphetamine dose in OVX female mice, perhaps indicating
that E2 contributes to drug relapse in women by worsening anxiety-related
withdrawal symptoms.
PMID- 29791867
TI - Longitudinal assessment of chemotherapy-induced changes in brain and cognitive
functioning: A systematic review.
AB - In addition to the burden of a life-threatening diagnosis, cancer patients are
struggling with adverse side-effects from cancer treatment. Chemotherapy has been
linked to an array of cognitive impairments and alterations in brain structure
and function ("chemobrain"). In this review, we summarized the existing evidence
that evaluate the changes in cognitive functioning and brain with chemotherapy,
as assessed using structural and functional MRI-based techniques in a
longitudinal design. This review followed the latest PRISMA guidelines using
Embase, Medline, PsychINFO, Scopus, and Web of Science databases with date
restrictions from 2012 to 2017. Fourteen research articles met the key inclusion
criteria: (i) the studies involved adult cancer patients (mean age >= 18); (ii)
the use of chemotherapy in the treatment of cancer; (iii) pre-post assessment of
behavioral and brain-based outcomes; and (iv) abstracts written in English.
Effect sizes of subjective and objective cognitive impairments from the reviewed
studies were estimated using Cohen's d or z-scores. We calculated percentage of
mean change or effect sizes for main neuroimaging findings when data were
available. Strength of the correlations between brain alterations and cognitive
changes was obtained using squared correlation coefficients. Small to medium
effect sizes were shown? on individual tests of attention, processing speed,
verbal memory, and executive control; and medium effect sizes on self-report
questionnaires. Neuroimaging data showed reduced grey matter density in cancer
patients in frontal, parietal, and temporal regions. Changes in brain function
(brain activation and cerebral blood flow) were observed with cancer across
functional networks involving (pre)frontal, parietal, occipital, temporal, and
cerebellar regions. Data from diffusion-weighted MRI suggested reduced white
matter integrity involving the superior longitudinal fasciculus, corpus callosum,
forceps major, and corona radiate, and altered structural connectivity across the
whole brain network. Finally, we observed moderate-to-strong correlations between
worsening cognitive function and morphological changes in frontal brain regions.
While MRI is a powerful tool for detection of longitudinal brain changes in the
'chemobrain', the underlying biological mechanisms are still unclear. Continued
work in this field will hopefully detect MRI metrics to be used as biomarkers to
help guide cognitive treatment at the individual cancer patient level.
PMID- 29791869
TI - Ghrelin and the heart.
AB - Ghrelin, a growth hormone-releasing peptide that was first discovered in the
stomach of rats in 1999, is an endogenous ligand of growth hormone secretagogue
receptor. Ghrelin exerts its potent growth hormone-releasing and orexigenic
activities by binding to specific receptors in the brain. Subsequent studies
showed that ghrelin participates in the regulation of diverse processes,
including energy balance, body weight maintenance, and glucose and fat
metabolism, and demonstrated that ghrelin is beneficial for treatment of cardiac
diseases. In animal models of chronic heart failure, administration of ghrelin
improves cardiac function and remodeling, and these findings were recapitulated
in human patients with heart failure. Also in animal models, ghrelin
administration effectively diminishes pulmonary hypertension induced by
monocrotaline or chronic hypoxia. In addition, repeated administration of ghrelin
to cachectic chronic obstructive pulmonary disease patients has positive effects
on body composition, including amelioration of muscle wasting, improvement of
functional capacity, and sympathetic activity. Moreover, administration of
ghrelin early after myocardial infarction decreases the frequency of fatal
arrhythmia and improved the survival rate. In ghrelin-deficient mice, both
exogenous and endogenous ghrelin protects against fatal arrhythmia and promotes
remodeling after myocardial infarction. Although the mechanisms underlying the
effects of ghrelin on the cardiovascular system have not been fully elucidated,
some evidence suggests that its beneficial effects are mediated through both
direct actions on cardiovascular cells and regulation of autonomic nervous system
activity. Therefore, ghrelin is a promising novel therapeutic agent for cardiac
disease.
PMID- 29791868
TI - Ontogeny of white matter, toll-like receptor expression, and motor skills in the
neonatal ferret.
AB - Inflammation caused by perinatal infection, superimposed with hypoxia and/or
hyperoxia, appears to be important in the pathogenesis of preterm neonatal
encephalopathy, with white matter particularly vulnerable during the third
trimester. The associated inflammatory response is at least partly mediated
through Toll-like receptor (TLR)-dependent mechanisms. Immunohistochemistry, gene
expression, and behavioral studies were used to characterize white matter
development and determine TLR3 and TLR4 expression and accumulation in the
neonatal ferret brain. Expression of markers of white matter development
increased significantly between postnatal day (P)1 and P10 (NG2, PDGFRalpha) or
P15 (Olig2), and either remained elevated (NG2), or decreased again at P40
(PDGFRalpha, Olig2). Olig2 immunostaining within the internal capsule was also
greatest at P15. Myelin basic protein (MBP) immunostaining and mRNA expression
increased markedly from P15 to P40 and into adulthood, which correlated with
increasing performance on behavioral tests (negative geotaxis, cliff aversion,
righting reflex, and catwalk gait analysis). TLR4 and TLR3 positive staining was
low at all ages, but TLR3 and TLR4 mRNA expression both increased significantly
from P1 to P40. Following lipopolysaccharide (LPS) and hypoxia/hyperoxia exposure
at P10, meningeal and parenchymal inflammation was seen, including an increase in
TLR4 positive cells. These data suggest that the neuroinflammation associated
with prematurity could be modeled in the newborn ferret.
PMID- 29791870
TI - MYC-induced metabolic stress and tumorigenesis.
AB - The MYC oncogene is commonly altered across human cancers. Distinct from the
normal MYC proto-oncogene, which is under tight transcriptional, translational,
and post-translational control, deregulated oncogenic MYC drives imbalanced, non
linear amplification of transcription that results in oncogenic 'stress.' The
term 'stress' had been a euphemism for our lack of mechanistic understanding, but
synthesis of many studies over the past decade provides a more coherent picture
of oncogenic MYC driving metastable cellular states, particularly altered
metabolism, that activate and depend on cellular stress response pathways to
allow for continued growth and survival. Both deregulated metabolism and these
stress response pathways represent vulnerabilities that can be exploited
therapeutically.
PMID- 29791871
TI - Network-Based Disease Module Discovery by a Novel Seed Connector Algorithm with
Pathobiological Implications.
AB - Understanding the genetic basis of complex diseases is challenging. Prior work
shows that disease-related proteins do not typically function in isolation.
Rather, they often interact with each other to form a network module that
underlies dysfunctional mechanistic pathways. Identifying such disease modules
will provide insights into a systems-level understanding of molecular mechanisms
of diseases. Owing to the incompleteness of our knowledge of disease proteins and
limited information on the biological mediators of pathobiological processes, the
key proteins (seed proteins) for many diseases appear scattered over the human
protein-protein interactome and form a few small branches, rather than coherent
network modules. In this paper, we develop a network-based algorithm, called the
Seed Connector algorithm (SCA), to pinpoint disease modules by adding as few
additional linking proteins (seed connectors) to the seed protein pool as
possible. Such seed connectors are hidden disease module elements that are
critical for interpreting the functional context of disease proteins. The SCA
aims to connect seed disease proteins so that disease mechanisms and pathways can
be decoded based on predicted coherent network modules. We validate the algorithm
using a large corpus of 70 complex diseases and binding targets of over 200
drugs, and demonstrate the biological relevance of the seed connectors. Lastly,
as a specific proof of concept, we apply the SCA to a set of seed proteins for
coronary artery disease derived from a meta-analysis of large-scale genome-wide
association studies and obtain a coronary artery disease module enriched with
important disease-related signaling pathways and drug targets not previously
recognized.
PMID- 29791873
TI - RhoA/ROCK signaling regulates smooth muscle phenotypic modulation and vascular
remodeling via the JNK pathway and vimentin cytoskeleton.
AB - The RhoA/ROCK signaling pathway regulates cell morphology, adhesion,
proliferation, and migration. In this study, we investigated the regulatory role
of RhoA/ROCK signaling on PDGF-BB-mediated smooth muscle phenotypic modulation
and vascular remodeling and clarified the molecular mechanisms behind these
effects. PDGF-BB treatment induced the activation of RhoA, ROCK, PDGF-Rbeta, and
the expression of PDGF-Rbeta in HA-VSMCs (human aortic vascular smooth muscle
cells). PDGF-Rbeta inhibition and RhoA suppression blocked PDGF-BB-induced RhoA
activation and ROCK induction. In addition, PDGF-BB-mediated cell proliferation
and migration were suppressed by PDGF-Rbeta inhibition, RhoA suppression, and
ROCK inhibition, suggesting that PDGF-BB promotes phenotypic modulation of HA
VSMCs by activating the RhoA/ROCK pathway via the PDGF receptor. Moreover,
suppressing both ROCK1 and ROCK2 blocked cell cycle progression from G0/G1 to S
phase by decreasing the transcription and protein expression of cyclin D1, CDK2,
and CDK4 via JNK/c-Jun pathway, thus reducing cell proliferation in PDGF-BB
treated HA-VSMCs. ROCK1 deletion, rather than ROCK2 suppression, significantly
inhibited PDGF-BB-induced migration by reducing the expression of vimentin and
preventing the remodeling of vimentin and phospho-vimentin. Furthermore, ROCK1
deletion suppressed vimentin by inhibiting the phosphorylation of Smad2/3 and the
nuclear translocation of Smad4. These findings suggested that ROCK1 and ROCK2
might play different roles in PDGF-BB-mediated cell proliferation and migration
in HA-VSMCs. In addition, PDGF-BB and its receptor participated in neointima
formation and vascular remodeling by promoting cell cycle protein expression via
the JNK pathway and enhancing vimentin expression in a rat balloon injury model;
effects that were inhibited by treatment with fasudil. Together, the results of
this study reveal a novel mechanism through which RhoA/ROCK signaling regulates
smooth muscle phenotypic modulation and vascular remodeling via the JNK pathway
and vimentin cytoskeleton.
PMID- 29791872
TI - Gene expression in cord blood links genetic risk for neurodevelopmental disorders
with maternal psychological distress and adverse childhood outcomes.
AB - Prenatal exposure to maternal stress and depression has been identified as a risk
factor for adverse behavioral and neurodevelopmental outcomes in early childhood.
However, the molecular mechanisms through which maternal psychopathology shapes
offspring development remain poorly understood. We applied transcriptome-wide
screens to 149 umbilical cord blood samples from neonates born to mothers with
posttraumatic stress disorder (PTSD; n = 20), depression (n = 31) and PTSD with
comorbid depression (n = 13), compared to carefully matched trauma exposed
controls (n = 23) and healthy mothers (n = 62). Analyses by maternal diagnoses
revealed a clear pattern of gene expression signatures distinguishing neonates
born to mothers with a history of psychopathology from those without. Co
expression network analysis identified distinct gene expression perturbations
across maternal diagnoses, including two depression-related modules implicated in
axon-guidance and mRNA stability, as well as two PTSD-related modules implicated
in TNF signaling and cellular response to stress. Notably, these disease-related
modules were enriched with brain-expressed genes and genetic risk loci for autism
spectrum disorder and schizophrenia, which may imply a causal role for impaired
developmental outcomes. These molecular alterations preceded changes in clinical
measures at twenty-four months, including reductions in cognitive and socio
emotional outcomes in affected infants. Collectively, these findings indicate
that prenatal exposure to maternal psychological distress induces neuronal,
immunological and behavioral abnormalities in affected offspring and support the
search for early biomarkers of exposures to adverse in utero environments and the
classification of children at risk for impaired development.
PMID- 29791874
TI - Fabrication of electrospun poly(vinyl alcohol)/dextran nanofibers via emulsion
process as drug delivery system: Kinetics and in vitro release study.
AB - A green electrospinning was used for the fabrication of PVA/Dex (dextran sulfate)
nanofibers as a carrier for drug delivery. Core-shell nanofibers were fabricated
by emulsion electrospinning from PVA/Dex loaded with ciprofloxacin (Cipro) as a
model drug. The ratio of the PVA/Dex mixture was optimized and nanofibers were
stabilized against disintegration in water by thermal treatment at 120 degrees
C. The morphology of the prepared nanofibers was observed by scanning electron
microscopy (SEM) and the core-shell structure of the nanofibers was confirmed by
transmission electron microscopy (TEM). Drug entrapment was confirmed by Fourier
transform infrared spectroscopy (FT-IR) and thermogravimetric analysis (TGA). The
interaction between PVA and Dex was affirmed by differential scanning calorimetry
(DSC). In vitro drug release was monitored by UV-vis spectrophotometer and its
associated mechanism was studied using diverse kinetic models. The release study
demonstrated that the core-shell nanofibers can sustain the Cipro release
compared with the blending electrospinning nanofibers. Moreover, the drug release
mechanism is controlled by the Dex content of the polymer blends and can occur by
diffusion within the delivery system. It is anticipated that Cipro@PVA/Dex
nanofibers are promising eco-friendly drug delivery system which can be prepared
by a green method.
PMID- 29791875
TI - Effects of potassium fertilization on potato starch physicochemical properties.
AB - Potato starch serves as an excellent raw material or food additive in the food
industry. With the advancement of the potato staple food strategy in China,
improving the potato starch yield and quality has attracted more and more
attention. Potassium is an essential nutrient for potato due to its direct
effects on the yield and quality of potato tubers. Here, the effects of three
different potassium levels on potato starch physicochemical properties were
evaluated by field experiments. With increasing potassium fertilization rates,
the amylose content, phosphorus content and particle size decreased, thereby
resulting in low gelatinization temperature, breakdown and setback viscosity, and
high swelling power, relative crystallinity and transparency. Our study indicated
that enhanced potassium fertilization improved the resistance to heat and shear
stress and decreased the retrogradation of starch, and the 270 kg/ha potassium
fertilization rate could obtain the highest tuber and starch production with
desirable starch physicochemical properties. The integrated results also provide
some novel insights into the management of the fertilization conditions to obtain
native starches with special properties.
PMID- 29791876
TI - Extraction and characterization of cashew tree (Anacardium occidentale) gum; use
in aceclofenac dental pastes.
AB - In the current work, the usefulness of extracted cashew tree gum (CG) as
pharmaceutical excipient in dental pastes containing aceclofenac (AC) for the
pain management in the periodontitis treatment was investigated. CG was extracted
from crude exudate of cashew tree (Anacardium occidentale, family: Anacardiaceae)
(yield 19.22%). Physicochemical characteristics like colour, odour, taste,
solubility, pH and viscosity of extracted CG were estimated; the phytochemical
identification tests indicated the presence of carbohydrates and mucilage within
it. It was also characterized by FTIR spectroscopy and 1H NMR analyses. Using the
extracted CG (as natural mucoadhesive polymer) with calcium carbonate (abrasive
agent), glycerin (humectant and cosolvent), methyl paraben (preservative), sodium
lauryl sulfate (surfactant) and camphor (flavoring agent), 1% w/w AC dental
pastes was formulated via conventional trituration. The drug contents,
viscosities and pHs, tube extrudabilities and tube spreadabilities of these
dental pastes were observed within permissible ranges. The dental pastes
demonstrated sustained AC releasing over 6 h, in vitro and also revealed good
adhesion to the oral mucosal membrane. These 1% w/w AC dental pastes can be used
in the effectual management of dental inflammation and pain through local
delivery of AC over a prolonged in the periodontitis treatment.
PMID- 29791878
TI - Computational design of antibodies.
AB - Antibody design aims to create new antibodies with biological activity that can
be used in therapy and research. Traditional methods for antibody discovery, such
as animal immunization and large-scale library screening, generate antibodies
that bind to the target of interest, but do not necessarily have the desired
functional effect. Computational methods can be utilized as a means to guide the
search for biologically relevant antibodies, focusing on specificity and affinity
determinants to target a particular region of the antigen. Such an approach would
allow for the design of epitope-specific antibodies that will have the desired
effect on the function of the targeted protein.
PMID- 29791879
TI - Seizure imitators monitored using video-EEG in children with intellectual
disabilities.
AB - Diagnosis of seizure imitators in children is often challenging, and individuals
with intellectual disability (ID) could be at additional risk of seizure imitator
misdiagnosis. We aimed to elucidate distinct features of clinical semiology among
children of different intellectual levels, which may help in distinguishing
seizure imitators from epilepsy in such individuals. We retrospectively compared
semiological features of seizure imitators in children with and without ID
captured using video-electroencephalography (video-EEG). Seizure imitators were
classified based on the definition of the International League Against Epilepsy
(ILAE). A total of 67 individuals (mean age: 8.4 years, SD: 4.2 years) with
seizure imitators documented using long-term video-EEG were identified, in which
27 patients had normal IQ/DQ, 20 had moderate ID, and 20 had severe ID. There was
no statistically significant difference in the semiological features of seizure
imitators between individuals with ID and those without ID; similarly, no
difference was found between those with moderate ID and severe ID compared with
individuals with normal IQ/DQ. Among all the patients, altered responsiveness
mimicking cognitive or absence seizures was most frequently observed (36%),
followed by jerks mimicking myoclonic seizures (22%). The most common seizure
imitators among all the patients were unclassifiable nonepileptic seizures per
the ILAE definition (28 cases, 42%), followed by day dreaming (24 cases, 36%) and
physiological myoclonus (14 cases, 21%). In summary, the present study found no
marked difference in semiological features of seizure imitators between patients
with ID and those without ID regardless of ID severity, suggesting the necessity
of early video-EEG for correct diagnosis.
PMID- 29791877
TI - Enzyme-assisted extraction, chemical characteristics, and immunostimulatory
activity of polysaccharides from Korean ginseng (Panax ginseng Meyer).
AB - In this study, enzyme-assisted extraction was used to isolate functional
polysaccharides from Korean ginseng (Panax ginseng Meyer) and the physicochemical
and biological properties of the extracted polysaccharides were investigated,
comparing with those from traditional hot-water extraction (FGWP). In
macrophages, their effects on cytokines production could be ordered as FGEP-CA >=
FGEP-A > FGEP-C > FGWP, suggesting that FGEP-CA (combined cellulase- and alpha
amylase-extracted polysaccharide) is a potent immunostimulator. In addition,
enzymatic digestion led to differences in the monosaccharide profile of the
extract. FGWP mainly consisted of rhamnose, arabinose, galactose, galacturonic
acid, and glucose in molar percentages of 1.8:10.1:9.2:17.8:60.6, whereas FGEP-CA
was 3.2:11.4:16.5:22.3:45.8, respectively, suggesting that enzyme-assisted
extraction of ginseng polysaccharides produces a higher proportion of pectin
polysaccharides. The HPLC profile of FGEP-CA also showed lower and more
heterogeneous molecular weights than FGWP did. In cyclophosphamide-induced
immunosuppressed mice, FGEP-CA administration ameliorated decreased spleen and
thymus indices (200 mg/kg), lymphocyte proliferation, natural killer cell
activity, leukocyte counts, and the serum cytokines, interleukin-2, interleukin
6, and interferon-gamma (100 and 200 mg/kg). These results suggest that enzyme
assisted extraction using cellulase and alpha-amylase is an effective method for
the preparation of functional polysaccharides from fresh Korean ginseng, and FGEP
CA could be utilized as a potential immune-stimulatory agent.
PMID- 29791880
TI - Dietary cadmium intake from rice and vegetables and potential health risk: A case
study in Xiangtan, southern China.
AB - Soil contamination in some areas of southern China has resulted in elevated
dietary intake of cadmium (Cd), posing a potential risk to human health. A survey
of paired soil-rice (n = 200) and soil-vegetable (n = 142) samples was conducted
in Xiangtan county of Hunan province, southern China. The concentrations of Cd in
all the samples were determined by inductively coupled plasma mass spectrometry.
Dietary intakes of Cd from the consumption of locally produced rice and
vegetables were estimated for different age groups. Among the 342 crop samples
collected in the survey, 88% and 29% of rice grain and vegetable samples,
respectively, exceeded the Chinese maximum permissible limit for Cd (0.2 mg dry
weight kg-1, 0.2 mg fresh weight kg-1 and 0.1 mg fresh weight kg-1 for rice,
leafy vegetables and for rootstalk and legume vegetables, respectively). The
median dietary Cd intake varied from 66.5 to 116 MUg Cd kg-1 body weight (BW)
month-1, with children (4-11 years) exhibiting the highest intake. These values
are 2.7-4.6 times the tolerable dietary intake of 25 MUg kg-1 BW month-1
recommended by the Joint FAO/WHO Expert Committee on Food Additives. For the
general population in Xiangtan county, rice contributed the majority (81%) of the
Cd intake with vegetables contributing only 19%. The median hazard quotient
calculated from dietary Cd intake was 2.4 times the permissible level, indicating
a high risk to the local residents. This study highlights an urgent need to
reduce the transfer of Cd from soil to the food chain in the investigated region.
PMID- 29791882
TI - Simulation-based assessment of the impact of fertiliser and herbicide application
on freshwater ecosystems at the Three Gorges Reservoir in China.
AB - Dams have profound impacts on river ecosystems, amongst them inundation of land,
altered dynamics of the water body or uprising reservoir backwaters influencing
tributary or upstream river sections. Along the outstandingly ecologically
important Yangtze River in China, the Three Gorges Reservoir (TGR) is the largest
project, covering an area of 1080 km2. From the beginning, the dam-project came
in for criticism on increasing environmental risks due to sub-merging former
industrial and urban areas. We simulated dynamics of biotic and abiotic
components of the TGR ecosystem (trophic guilds of aquatic organisms,
hydrodynamics, nutrients), as well as the behaviour of the herbicidal substance
propanil and its metabolites 3,4-Dichloroaniline (DCA) and 3,3',4,4'
tetrachloroazoxybenzene (TCAB). A modelling environment, provided by the AQUATOX
software, was adapted to the specific situation at a tributary reach to the
Yangtze river 'Daning River'. As the simulated food web contained several
interconnected trophic levels, a significant biomagnification of metabolites was
demonstrated by our simulation studies. In particular, newly emerging stagnant
downstream sections of tributaries exhibited high probabilities due to
accumulating pesticides from upstream sources. The common problem of algal blooms
in the TGR-region was addressed by dose-response simulation experiments with
essential nutrients. Impacts on structure and abundance of populations of aquatic
organisms were shown. However, even high nutrient loads resulted in only slight
changes of densities of organisms of all trophic levels. Nevertheless, the
probabilities for large-scale algal blooms affecting drinking water quality were
considered low because of high flow velocities and discharge rates towards the
Yangtze River. We see high potential of simulation-based assessments that provide
information for risk managers dealing with whole catchment areas. They are put in
the position to differentiate the magnitude of impacts of various factors and
decide about the most effective remediation measures.
PMID- 29791881
TI - Effect of methane partial pressure on the performance of a membrane biofilm
reactor coupling methane-dependent denitrification and anammox.
AB - Complete nitrogen removal has recently been demonstrated by integrating anaerobic
ammonium oxidation (anammox) and denitrifying anaerobic methane oxidation (DAMO)
processes. In this work, the effect of methane partial pressure on the
performance of a membrane biofilm reactor (MBfR) consisting of DAMO and anammox
microorganisms was evaluated. The activities of DAMO archaea and DAMO bacteria in
the biofilm increased significantly with increased methane partial pressure, from
367 +/- 9 and 58 +/- 22 mg-N L-1d-1 to 580 +/- 12 and 222 +/- 22 mg-N L-1d-1,
respectively, while the activity of anammox bacteria only increased slightly,
when the methane partial pressure was elevated from 0.24 to 1.39 atm in the short
term batch tests. The results were supported by a long-term (seven weeks)
continuous test, when the methane partial pressure was dropped from 1.39 to 0.78
atm. The methane utilization efficiency was always above 96% during both short
term and long-term tests. Taken together, nitrogen removal rate (especially the
nitrate reduction rate by DAMO archaea) and methane utilization efficiency could
be maintained at high levels in a broad range of methane partial pressure (0.24
1.39 atm in this study). In addition, a previously established DAMO/anammox
biofilm model was used to analyze the experimental data. The observed impacts of
methane partial pressure on biofilm activity were well explained by the modeling
results. These results suggest that methane partial pressure can potentially be
used as a manipulated variable to control reaction rates, ultimately to maintain
high nitrogen removal efficiency, according to nitrogen loading rate.
PMID- 29791883
TI - Relationship between modification of activated sludge wastewater treatment and
changes in antibiotic resistance of bacteria.
AB - Biological treatment processes at wastewater treatment plants (WWTPs), which are
the most common methods of sewage treatment, could cause selective elimination
and/or changes in the proportions of phenotypes/genotypes within bacterial
populations in effluent. Therefore, WWTPs based on activated sludge used in
sewage treatment constitute an important reservoir of enteric bacteria which
harbour potentially transferable resistance genes. Together with treated
wastewater, these microorganisms can penetrate the soil, surface water, rural
groundwater supplies and drinking water. Because of this, the aim of this study
was to determine the impact of various modification of sewage treatment (the
conventional anaerobic/anoxic/oxic (A2/O) process, mechanical-biological (MB)
system, sequencing batch reactors (SBR), mechanical-biological system with
elevated removal of nutrients (MB-ERN)) on the amount of antibiotic resistant
bacteria (ARB) (including E. coli) and antibiotic resistance genes (ARGs) in
sewage flowing out of the 13 treatment plants using activated sludge technology.
There were no significant differences in ARB and ARGs regardless of time of
sampling and type of treated wastewater (p > 0.05). The highest percentage of
reduction (up to 99.9%) in the amount of ARB and ARGs was observed in WWTPs with
MB and MB-ERN systems. The lowest reduction was detected in WWTPs with SBR. A
significant increase (p < 0.05) in the percentage of bacteria resistant to the
new generation antibiotics (CTX and DOX) in total counts of microorganisms was
observed in effluents (EFF) from WWTPs with A2/O system and with SBR. Among all
ARGs analyzed, the highest prevalence of ARGs copies in EFF samples was observed
for sul1, tet(A) and qepA, the lowest for blaTEM and blaSHV. Although, the
results of presented study demonstrate high efficiency of ARB and ARGs removal
during the wastewater treatment processes, especially by WWTPs with MB and MB-ERN
systems, EFF is still an important reservoir of ARGs which can be transferred to
other microorganisms.
PMID- 29791884
TI - Rainfall as primary driver of discharge and solute export from rock glaciers: The
Col d'Olen Rock Glacier in the NW Italian Alps.
AB - Three hypotheses exist to explain how meteorological variables drive the amount
and concentration of solute-enriched water from rock glaciers: (1) Warm periods
cause increased subsurface ice melt, which releases solutes; (2) rain periods and
the melt of long-lasting snow enhance dilution of rock-glacier outflows; and (3)
percolation of rain through rock glaciers facilitates the export of solutes,
causing an opposite effect as that described in hypothesis (2). This lack of
detailed understanding likely exists because suitable studies of meteorological
variables, hydrologic processes and chemical characteristics of water bodies
downstream from rock glaciers are unavailable. In this study, a rock-glacier pond
in the North-Western Italian Alps was studied on a weekly basis for the ice-free
seasons 2014 and 2015 by observing the meteorological variables (air temperature,
snowmelt, rainfall) assumed to drive the export of solute-enriched waters from
the rock glacier and the hydrochemical response of the pond (water temperature as
a proxy of rock-glacier discharge, stable water isotopes, major ions and selected
trace elements). An intra-seasonal pattern of increasing solute export associated
with higher rock-glacier discharge was found. Specifically, rainfall, after the
winter snowpack depletion and prolonged periods of atmospheric temperature above
0 degrees C, was found to be the primary driver of solute export from the rock
glacier during the ice-free season. This occurs likely through the flushing of
isotopically- and geochemically-enriched icemelt, causing concomitant increases
in the rock-glacier discharge and the solute export (SO42-, Mg2+, Ca2+, Ni, Mn,
Co). Moreover, flushing of microbially-active sediments can cause increases in
NO3- export.
PMID- 29791885
TI - Multi-barrier approach for removing organic micropollutants using mobile water
treatment systems.
AB - The diversity of organic micropollutants (OMPs) in aquatic environments has been
increasing rapidly during the last decade. Therefore, it is important to monitor
and attenuate emerging contaminants before they can negatively affect the aquatic
environment. However, due to the diversity and complexity of OMPs, there are
limitations to using a single method for treating a combination of these
pollutants. To address this issue, a mobile water treatment system (MWTS)
equipped with different treatment units was designed to remove OMPs under field
conditions. The MWTS was configured with various modular units including
coagulation, flocculation, dissolved air flotation, membrane filtration, ozone
oxidation, granular activated carbon, and UV disinfection. Each treatment unit
could be operated either individually or in different combinations to identify
the optimal configuration of treatment units for the removal of OMPs. To
investigate the effectiveness of the MWTS, twelve OMPs were selected and
introduced simultaneously into the feed water samples collected from different
rivers throughout Korea. The current study proved that the MTWS is an effective
solution to treat OMPs and is a time saving treatment system. The combined
effects of the different treatment units removed over 99% of the selected OMPs,
regardless of their physicochemical properties. Moreover, since the system is
mobile, on-site analyses can be conducted to identify the most effective
treatment method and configuration for each OMP.
PMID- 29791886
TI - The emission of BTEX compounds during movement of passenger car in accordance
with the NEDC.
AB - The results of the research in the field of benzene, toluene, ethylbenzene and
xylene isomers (BTEX) concentrations in exhaust gases of spark ignition engines
under different operating conditions are presented in this paper. The aim of this
paper is to gain a clearer insight into the impact of different engine working
parameters on the concentrations of BTEX. The experimental investigation has been
performed on the SCHENCK 230 W test stand with the controlled IC engine. The
engine operating points have been chosen based on the results of a simulation and
they are considered as the typical driving conditions according to the New
European Driving Cycle. Concentration levels of BTEX compounds in exhaust gas
mixtures have been determined by gas chromatography technique by using the
combination of Supelcowax 10-Polyethylene glycol column and the PID detector.
Based on the experimental research results, the emission model of BTEX compounds
has been defined by the simulation of movement of a Fiat Punto Classic passenger
car in accordance with the NEDC cycle. Using the results obtained within the
simulation, the official statistics on the number of gasoline-powered cars on the
territory of the Republic of Serbia and the European Commission data on the
annual distance traveled by car, the amounts of BTEX compounds emitted annually
per car have been estimated, as well as the emissions of the entire Serbian car
fleet.
PMID- 29791887
TI - Long-term no-tillage application increases soil organic carbon, nitrous oxide
emissions and faba bean (Vicia faba L.) yields under rain-fed Mediterranean
conditions.
AB - The introduction of legumes into crop sequences and the reduction of tillage
intensity are both proposed as agronomic practices to mitigate the soil
degradation and negative impact of agriculture on the environment. However, the
joint effects of these practices on nitrous oxide (N2O) and ammonia (NH3)
emissions from soil remain unclear, particularly concerning semiarid
Mediterranean areas. In the frame of a long-term field experiment (23 years), a 2
year study was performed on the faba bean (Vicia faba L.) to evaluate the effects
of the long-term use of no tillage (NT) compared to conventional tillage (CT) on
yield and N2O and NH3 emissions from a Vertisol in a semiarid Mediterranean
environment. Changes induced by the tillage system in soil bulk density, water
filled pore space (WFPS), organic carbon (TOC) and total nitrogen (TN),
denitrifying enzyme activity (DEA), and bacterial gene (16S, amoA, and nosZ)
abundance were measured as parameters potentially affecting N gas emissions. No
tillage, compared with CT, significantly increased the faba bean grain yield by
23%. The tillage system had no significant effect on soil NH3 emissions. Total
N2O emissions, averaged over two cropping seasons, were higher in NT than those
in CT plots (2.58 vs 1.71 kg N2O-N ha-1, respectively; P < 0.01). In addition,
DEA was higher in NT compared to that in CT (74.6 vs 18.6 MUg N2O-N kg-1 h-1; P <
0.01). The higher N2O emissions in NT plots were ascribed to the increase of soil
bulk density and WFPS, bacteria (16S abundance was 96% higher in NT than that in
CT) and N cycle genes (amoA and nosZ abundances were respectively 154% and 84%
higher in NT than that in CT). The total N2O emissions in faba bean were similar
to those measured in other N-fertilized crops. In conclusion, a full evaluation
of NT technique, besides the benefits on soil characteristics (e.g. TOC increase)
and crop yield, must take into account some criticisms related to the increase of
N2O emissions compared to CT.
PMID- 29791888
TI - Boosted nutritional quality of food by CO2 enrichment fails to offset energy
demand of herbivores under ocean warming, causing energy depletion and mortality.
AB - The CO2-boosted trophic transfer from primary producers to herbivores has been
increasingly discovered at natural CO2 vents and in laboratory experiments.
Despite the emerging knowledge of this boosting effect, we do not know the extent
to which it may be enhanced or dampened by ocean warming. We investigated whether
ocean acidification and warming enhance the nutritional quality (C:N ratio) and
energy content of turf algae, which is speculated to drive higher feeding rate,
greater energy budget and eventually faster growth of herbivores. This proposal
was tested by observing the physiological (feeding rate, respiration rate and
energy budget) and demographic responses (growth and survival) of a common
grazing gastropod (Phasianella australis) to ocean acidification and warming in a
6-month mesocosm experiment. Whilst we observed the boosting effect of ocean
acidification and warming in isolation on the energy budget of herbivores by
either increasing feeding rate on the more nutritious algae or increasing energy
gain per feeding effort, their growth and survival were reduced by the sublethal
thermal stress under ocean warming, especially when both climate change stressors
were combined. This reduced growth and survival occurred as a consequence of
depleted energy reserves, suggesting that the boosting effect via trophic
transfer might not sufficiently compensate for the increased energy demand
imposed by ocean warming. In circumstances where ocean acidification and warming
create an energy demand on herbivores that outweighs the energy enhancement of
their food (i.e. primary producers), the performance of herbivores to control
their blooming resources likely deteriorates and thus runaway primary production
ensues.
PMID- 29791889
TI - A straightforward method for measuring the range of apparent density of
microplastics.
AB - Density of microplastics has been regarded as the primary property that affect
the distribution and bioavailability of microplastics in the water column. For
measuring the density of microplastis, we developed a simple and rapid method
based on density gradient solutions. In this study, we tested four solvents to
make the density gradient solutions, i.e., ethanol (0.8 g/cm3), ultrapure water
(1.0 g/cm3), saturated NaI (1.8 g/cm3) and ZnCl2 (1.8 g/cm3). Density of
microplastics was measured via observing the float or sink status in the density
gradient solutions. We found that density gradient solutions made from ZnCl2 had
a larger uncertainty in measuring density than that from NaI, most likely due to
a higher surface tension of ZnCl2 solution. Solutions made from ethanol,
ultrapure water, and NaI showed consistent density results with listed densities
of commercial products, indicating that these density gradient solutions were
suitable for measuring microplastics with a density range of 0.8-1.8 g/cm3.
PMID- 29791890
TI - A robust and non-invertible fingerprint template for fingerprint matching system.
AB - Fingerprint Recognition System is widely deployed in variety of application
domain, ranging from forensic to mobile phones. Its widespread deployment in
various applications were person authentication are required, has caused concern
that a leaked fingerprint template may be used to reconstruct the original
fingerprint and the reconstructed fingerprint can be used to circumvent all the
applications the person is enrolled. In this paper, a non-invertible fingerprint
template that stores only the relative geometric information about the minutiae
points is proposed. The spatial location of the minutiae points in original
fingerprint and its orientations are not available in the proposed template which
makes it impossible to estimate the orientation of fingerprint from the template.
The proposed template is invariant to rotation, translation and distortion and
immune to reconstruction algorithm. The proposed system is experimented using
standard FVC2000 database and yields better results in terms of EER and FMR as
compared with latest techniques.
PMID- 29791891
TI - Transanal Endoscopic Microsurgery with or without Completion Total Mesorectal
Excision for T2 and T3 Rectal Carcinoma.
AB - AIM: Transanal endoscopic microsurgery (TEM) is used for the resection of large
rectal adenomas and well or moderately differentiated T1 carcinomas. Due to
difficulty in preoperative staging, final pathology may reveal a carcinoma not
suitable for TEM. Although completion total mesorectal excision is considered
standard of care in T2 or more invasive carcinomas, this completion surgery is
not always performed. The purpose of this article is to evaluate the outcome of
patients after TEM-only, when completion surgery would be indicated. METHODS: In
this retrospective multicenter, observational cohort study, outcome after TEM
only (n = 41) and completion surgery (n = 40) following TEM for a pT2-3 rectal
adenocarcinoma was compared. RESULTS: Median follow-up was 29 months for the TEM
only group and 31 months for the completion surgery group. Local recurrence rate
was 35 and 11% for the TEM-only and completion surgery groups respectively.
Distant metastasis occurred in 16% of the patients in both groups. The 3-year
overall survival was 63% in the TEM-only group and 91% in the completion surgery
group respectively. Three-year disease-specific survival was 91 versus 93%
respectively. CONCLUSIONS: Although local recurrence after TEM-only for pT2-3
rectal cancer is worse compared to the recurrence that occurs after completion
surgery, disease-specific survival is comparable between both groups. The lower
unadjusted overall survival in the TEM-only group indicates that TEM-only may be
a valid alternative in older and frail patients, especially when high morbidity
of completion surgery is taken into consideration. Nevertheless, completion
surgery should always be advised when curation is intended.
PMID- 29791893
TI - Reply to the Letter by Dr. Antonio Ponzetto Regarding "The Role of History of
Gastro-Duodenal Ulcer in Patients with Upper Gastrointestinal Bleeding".
PMID- 29791892
TI - Containing the Costs of Recurrent Gastro-Duodenal Ulcer Bleeding.
PMID- 29791894
TI - Highly Tumorigenic Diffuse Large B Cell Lymphoma Cells Are Produced by Coculture
with Stromal Cells.
AB - BACKGROUND/AIMS: Diffuse large B cell lymphoma (DLBCL) is heterogeneous. We aimed
to explore how tumor microenvironment promotes lymphoma cell aggressiveness and
heterogeneity. METHODS: We created a coculture system using human DLBCL cells and
mouse bone marrow stromal cells. Proliferative capacity, drug resistance,
clonogenicity, and tumorigenicity were compared in lymphoma cells from the
coculture system and lymphoma cells cultured alone. Expression of Notch signaling
associated genes was evaluated using real-time reverse transcriptase PCR and
Western blot. RESULTS: Lymphoma cells in the coculture system differentiated into
a suspended cell group and an adherent cell group. They acquired a stronger
proliferative capacity and drug resistance than lymphoma cells cultured alone,
and differences existed between the adherent cell and suspended cell groups. The
suspended cell group acquired the most powerful clonogenic and tumorigenic
potential. However, Notch3 was exclusively expressed in the adherent lymphoma
cell group and the use of N-[N-(3, 5-difluorophenacetyl)-l-alanyl]-S
phenylglycine t-butyl ester, an inhibitor of Notch pathway, could abolish the
emergence of highly aggressive lymphoma cells. CONCLUSION: Highly tumorigenic
lymphoma cells could be generated by coculture with stromal cells, and it was
dependent on Notch3 expression in the adjacent lymphoma cells through interaction
with stromal cells.
PMID- 29791895
TI - Effect of Postprandial Administration of Esomeprazole on Reflux Symptoms in
Gastroesophageal Reflux Disease: A Randomized, Controlled Trial.
AB - BACKGROUND: Esomeprazole is commonly administered with food; however, clinical
data to support this practice are lacking. We aimed to determine the effect of
postprandial ingestion of esomeprazole on reflux symptoms among patients with
gastroesophageal reflux disease (GERD). METHODS: Consecutive patients with GERD
adequately controlled with esomeprazole 40 mg daily, entered a 2-week lead-in
period during which esomeprazole was administered 30 min before breakfast.
Patients were then randomized to continue preprandial ingestion or to ingest
esomeprazole following a standardized meal. Outcomes included GERD frequency and
severity indices, GERD-health-related quality of life (GERD-HRQL) questionnaire
and Short Form 36 (SF-36). RESULTS: Thirty-two patients (17 [53.1%] men, aged
53.5 +/- 17.2 years) were included, and 16 (50%) switched to postprandial
ingestion of esomeprazole. GERD frequency and severity decreased in both groups
(Delta9.0 +/- 7.2 vs. Delta10.0 +/- 8.1, p = 0.29; Delta6.6 +/- 6.8 vs. Delta10.2
+/- 7.4, p = 0.57 in postprandial group vs. controls, for frequency and severity,
respectively). GERD-HRQL improved in both study groups to a similar degree
(Delta10.7 +/- 10.5 vs. Delta10.0 +/- 13.8, p = 0.97). All SF-36 subscores
increased in both groups to a similar degree. In a mixed linear model, there were
no differences between the study groups in the changes observed in GERD frequency
(p = 0.49), severity (p = 0.32), and GERD-HRQL (p = 0.98) during the study
period. CONCLUSION: Switching to postprandial administration of esomeprazole is
not associated with deterioration in reflux symptoms among patients with GERD.
Esomeprazole seems to remain efficacious when administered after meals.
PMID- 29791896
TI - Deterioration of Cortical Bone Microarchitecture: Critical Component of Renal
Osteodystrophy Evaluation.
AB - BACKGROUND: Cortical bone is a significant determinant of bone strength and its
deterioration contributes to bone fragility. Thin cortices and increased cortical
porosity have been noted in patients with chronic kidney disease (CKD), but the
"Turnover Mineralization Volume" classification of renal osteodystrophy does not
emphasize cortical bone as a key parameter. We aimed to assess trabecular and
cortical bone microarchitecture by histomorphometry and micro-CT in patients with
CKD G5 and 5D (dialysis). METHODS: Transiliac bone biopsies were performed in 14
patients undergoing kidney transplantation (n = 12) and parathyroidectomy (n =
2). Structural parameters were analysed by histomorphometry and micro-CT
including trabecular bone volume, thickness (TbTh), number (TbN) and separation
and cortical thickness (CtTh) and porosity (CtPo). Indices of bone remodelling
and mineralisation were obtained and relationships to bone biomarkers examined.
Associations were determined by Spearman's or Pearson's rank correlation
coefficients. RESULTS: By micro-CT, trabecular parameters were within normal
ranges in most patients, but all patients showed very low CtTh (127 +/- 44 um)
and high CtPo (60.3 +/- 22.5%). CtPo was inversely related to TbN (r = -0.56; p =
0.03) by micro-CT and to TbTh (r = -0.60; p = 0.024) by histomorphometry and
correlated to parathyroid hormone values (r = 0.62; p = 0.021). By
histomorphometry, bone turnover was high in 50%, low in 21% and normal in 29%,
while 36% showed abnormal patterns of mineralization. Significant positive
associations were observed between osteoblast surface, osteoclast surface,
mineralization surface and bone turnover markers. CONCLUSIONS: Deterioration of
cortical -microarchitecture despite predominantly normal trabecular parameters
reinforces the importance of comprehensive cortical evaluation in patients with
CKD.
PMID- 29791897
TI - Cutis Marmorata Telangiectatica Congenita Presenting as a Fetal Hemothorax.
AB - We report a case of a fetus diagnosed at 28 weeks' gestation with a spontaneous
prenatal hemothorax. Fetal intervention consisted of 2 thoracenteses with
analysis of the pleural effusion. The pregnancy was further complicated by
recurrence of the hemothorax, with subsequent mediastinal shift, hydrops, and
nonreassuring antenatal testing requiring delivery at 31 weeks' gestation.
Postnatal workup established the diagnoses of cutis marmorata telangiectatica
congenita (CMTC) and pulmonary lymphangiectasia. The child is currently 4 years
old and without any active medical issues or sequelae from the CMTC, pulmonary
lymphangiectasia, or prenatal interventions.
PMID- 29791898
TI - Intraoperative Analgesic Regimen and Cholecystectomy: A Closer Look.
PMID- 29791899
TI - National Practice Patterns for Prenatal Monitoring in Gastroschisis:
Gastroschisis Outcomes of Delivery (GOOD) Provider Survey.
AB - BACKGROUND: Gastroschisis is an abdominal wall defect with increasing incidence.
Given the lack of surveillance guidelines among maternal-fetal medicine (MFM)
specialists, this study describes current practices in gastroschisis management.
MATERIALS AND METHODS: An online survey was administered to MFM specialists from
institutions affiliated with the North American Fetal Therapy Network (NAFTNet).
Questions focused on surveillance timing, testing, findings that changed clinical
management, and delivery plan. RESULTS: Responses were obtained from 29/29 (100%)
NAFTNet centers, comprising 143/371 (39%) providers. The majority had a regimen
for antenatal surveillance in patients with stable gastroschisis (94%; 134/141).
Antenatal testing began at 32 weeks for 68% (89/131) of MFM specialists. The
nonstress test (55%; 72/129), biophysical profile (50%; 63/126), and amniotic
fluid index (64%; 84/131) were used weekly. Estimated fetal weight (EFW) was
performed monthly by 79% (103/131) of providers. At 28 weeks, abnormal EFW (77%;
97/126) and Doppler ultrasound (78%; 99/127) most frequently altered management.
In stable gastroschisis, 43% (60/140) of providers delivered at 37 weeks, and 29%
(40/ 140) at 39 weeks. DISCUSSION: Gastroschisis management differs among NAFTNet
centers, although the majority initiate surveillance at 32 weeks. Timing of
delivery still requires consensus. Prospective studies are necessary to further
optimize practice guidelines and patient care.
PMID- 29791900
TI - Re-Operative Pancreaticoduodenectomy: Challenges and Outcomes.
AB - BACKGROUND: Tata Memorial Centre (TMC) is a high-volume centre for pancreatic
tumour resections. We found a continually increasing referral of pancreatic
tumours for re-evaluation for surgery, after an initial unsuccessful attempt at
resection. AIM: To evaluate reasons of initial in-operability, the feasibility of
re-operative pancreatico-duodenectomy (R-PD) and short- and long-term outcomes
after R-PD. METHODS: Data was collected from a prospective database of GI and
hepato-pancreato-biliary service, TMC, Mumbai from January 2008 to December 2016.
RESULTS: Forty patients with periampullary/pancreatic head tumours were referred
to us after exploration. Thirty were planned for re-exploration, of whom 25
patients underwent successful R-PD, either upfront (n = 12) or after neo-adjuvant
therapy (n = 13). Twenty were adenocarcinomas, 5 had other histologies. Majority
of the patients were deemed inoperable in view of suspected vascular involvement
at the time of initial surgery (68%). R0 resection was achieved in 90% of
adenocarcinoma cases (n = 18). Postoperative major morbidity was 20% and
mortality was 4% (n = 1). The estimated 1-, 2- and 5-year survival for those with
adenocarcinoma was 83, 71.2, and 29.9% respectively. CONCLUSION: R-PD is safe and
should be performed in experienced centres and can achieve long-term outcomes,
comparable to conventional PD. The most common reason for denying resection at
initial surgery was suspected or perceived vascular involvement.
PMID- 29791901
TI - Exeunt Lateral Decubitus Radiographs.
PMID- 29791902
TI - The Molecular Pathology of Myelodysplastic Syndrome.
AB - The diagnosis and classification of myelodysplastic syndromes (MDS) are based on
cytomorphology and cytogenetics (WHO classification). Prognosis is best defined
by the Revised International Prognostic Scoring System (IPSS-R). In recent years,
an increasing number of molecular aberrations have been discovered. They are
already included in the classification (e.g., SF3B1) and, more importantly, have
emerged as valuable markers for better classification, particularly for defining
risk groups. Mutations in genes such as SF3B1 and IDH1/2 have already had an
impact on targeted treatment approaches in MDS.
PMID- 29791904
TI - The cGAS/STING Pathway Is Important for Dendritic Cell Activation but Is Not
Essential to Induce Protective Immunity against Mycobacterium tuberculosis
Infection.
AB - Mycobacterium tuberculosis (Mtb) infection remains a major public health concern.
The STING (stimulator of interferon genes) pathway contributes to the cytosolic
surveillance of host cells. Most studies on the role of STING activation in Mtb
infection have focused on macrophages. Moreover, a detailed investigation of the
role of STING during Mtb infection in vivo is required. Here, we deciphered the
involvement of STING in the activation of dendritic cells (DCs) and the host
response to Mtb infection in vivo. In DCs, this adaptor molecule was important
for Ifn-beta expression and IL-12 production as well as for the surface
expression of the activation markers CD40 and CD86. We also documented that Mtb
DNA induces STING activation in murine fibroblasts. In vivo Mtb aerogenic
infection induced the upregulation of the STING and cGAS (cyclic GMP-AMP
synthase) genes, and Ifn-beta pulmonary expression was dependent on both sensors.
However, mice deficient for STING or cGAS presented a similar outcome to wild
type controls, with no major alterations in body weight gain, bacterial burden,
or survival. Lung inflammation, proinflammatory cytokine production, and
inflammatory cell recruitment were similar in STING- and cGAS-deficient mice
compared to wild-type controls. In summary, although the STING pathway seems to
be crucial for DC activation during Mtb infection, it is dispensable for host
protection in vivo.
PMID- 29791905
TI - Disparities in Chronic Kidney Disease Prevalence among Males and Females in 195
Countries: Analysis of the Global Burden of Disease 2016 Study.
AB - BACKGROUND: Chronic kidney disease (CKD) imposes a substantial burden on health
care systems. There are some especially vulnerable groups with a high CKD burden,
one of which is women. We performed an analysis of gender disparities in the
prevalence of all CKD stages and renal replacement therapy (defined as impaired
kidney function [IKF]) in 195 countries. METHODS: We used estimates produced by
the Global Burden of Disease (GBD) Study 2016 revision using a Bayesian
regression analytic tool, DisMoD-MR 2.1. Data on gross domestic product based on
purchasing power parity per capita (GDP PPP) was obtained via the World Bank
International Comparison Program database. To estimate gender disparities, we
calculated the male:female all-age prevalence rate ratio for each IKF condition.
RESULTS: In 2016, the global number of individuals with IKF reached 752.7
million, including 417.0 million females and 335.7 million males. The most
prevalent form of IKF in both groups was albuminuria with preserved glomerular
filtration rate. Geospatial analysis shows a very heterogeneous distribution of
the male:female ratio for all IKF conditions, with the most prominent contrast
found in kidney transplant patients. The median male:female ratio varies
substantially according to GDP PPP quintiles; however, countries with different
economic states could have similar male:female ratios. A strong correlation of
GDP PPP with dialysis-to-transplant ratio was found. CONCLUSIONS: The GBD study
highlights the prominent gender disparities in CKD prevalence among 195
countries. The nature of these disparities, however, is complex and must be
interpreted cautiously taking into account all possible circumstances.
PMID- 29791903
TI - A Central Role for Heme Oxygenase-1 in the Control of Intestinal Epithelial
Chemokine Expression.
AB - In mucosal inflammatory disorders, the protective influence of heme oxygenase-1
(HO-1) and its metabolic byproducts, carbon monoxide (CO) and biliverdin, is a
topic of significant interest. Mechanisms under investigation include the
regulation of macrophage function and mucosal cytokine expression. While there is
an increasing recognition of the importance of epithelial-derived factors in the
maintenance of intestinal mucosal homeostasis, the contribution of intestinal
epithelial cell (IEC) HO-1 on inflammatory responses has not previously been
investigated. We examined the influence of modulating HO-1 expression on the
inflammatory response of human IECs. Engineered deficiency of HO-1 in Caco-2 and
T84 IECs led to increased proinflammatory chemokine expression in response to
pathogenic bacteria and inflammatory cytokine stimulation. Crosstalk with
activated leukocytes also led to increased chemokine expression in HO-1-deficient
cells in an IL-1beta dependent manner. Treatment of Caco-2 cells with a
pharmacological inducer of HO-1 led to the inhibition of chemokine expression.
Mechanistic studies suggest that HO-1 and HO-1-related transcription factors, but
not HO-1 metabolic products, are partly responsible for the influence of HO-1 on
chemokine expression. In conclusion, our data identify HO-1 as a central
regulator of IEC chemokine expression that may contribute to homeo-stasis in the
intestinal mucosa.
PMID- 29791906
TI - Comparison of the Thermal Spread of Three Different Electrosurgical Generators on
Rat Uterus: A Preliminary Experimental Study.
AB - BACKGROUND/AIMS: The objective of this study was to compare the depth and width
of thermal spread caused on rat uterine tissue after application of 3 different
electrosurgical generators. METHODS: Alsa Excell 350 MCDSe (Unit A), Meditom DT
400P (Unit M), and ERBE Erbotom VIO 300 D (Unit E) electrosurgical units (ESUs)
were used. The number of Wistar Hannover rats required to obtain valid results
was 10. The primary objective of the study was to compare the 3 ESUs using the
same instrument and the same waveform. The secondary objective of the study was
to compare the differences between monopolar and bipolar systems of each ESU
separately using the same waveform. RESULTS: The thermal spread caused by each
ESU using monopolar instruments with continuous and interrupted waveforms was
significantly different. Among the 3 devices, Unit A caused the largest thermal
uterine tissue spread. On the other hand, Unit E caused the most superficial
thermal tissue spread, and the smallest thermal spread among all ESUs.
CONCLUSIONS: Surgeons should note that different ESUs used with the same power
output might create different thermal effects especially in the monopolar
configuration within the same waveform, for the same duration, and with the same
instrument.
PMID- 29791907
TI - Toll-Like Receptor 3 Signaling Contributes to Regional Neutrophil Recruitment in
Cultured Human Glomerular Endothelial Cells.
AB - BACKGROUND: Given the importance of neutrophil recruitment in the pathogenesis of
glomerulonephritis (GN), the representative neutrophil chemoattractant C-X-C
motif chemokine 1 (CXCL1)/GROalpha and the adhesion molecule E-selectin in
glomerular endothelial cells (GECs) play a pivotal role in the development of GN.
Endothelial Toll-like receptor 3 (TLR3) is thought to be involved in the
inflammatory response via innate immunity. However, the role of endothelial TLR3
signaling in the expression of neutrophil chemoattractants and adhesion molecules
remains to be elucidated. Thus, we aimed to examine this issue. METHODS: We
treated normal human GECs with polyinosinic-polycytidylic acid (poly IC), an
authentic double-stranded RNA, and analyzed the expressions of CXCL1 and E
selectin using quantitative real-time reverse transcription-polymerase chain
reaction, western blotting, and enzyme-linked immunosorbent assay. To further
elucidate the poly IC-induced signaling pathway, we subjected the cells to RNA
interference against TLR3, interferon (IFN)-beta, nuclear factor (NF)-kappaB p65,
and IFN regulatory factor (IRF) 3. We also used immunofluorescence to examine the
endothelial expression of CXCL1 in biopsy specimens from patients with crescentic
and non-crescentic purpura nephritis (PN). RESULTS: We found that the activation
of TLR3 induced the endothelial expression of CXCL1 and E-selectin, and that this
involved TLR3, -NF-kappaB, IRF3, and IFN-beta. Intense endothelial CXCL1
expression was observed in biopsy specimens from patients with crescentic PN.
CONCLUSION: These findings support a role for glomerular antiviral innate
immunity in the pathogenesis of GN. Intervention of glomerular TLR3 signaling may
therefore be a suitable therapeutic strategy for treating GN in the future.
PMID- 29791908
TI - A de novo KCNA1 Mutation in a Patient with Tetany and Hypomagnesemia.
AB - Mutations in the KCNA1 gene encoding the voltage-gated potassium (K+) channel
Kv1.1 have been linked to rare neurological syndromes, episodic ataxia type 1
(EA1) and myokymia. In 2009, a KCNA1 mutation was identified in a large family
with autosomal dominant hypomagnesemia. Despite efforts in establishing a
genotype-phenotype correlation for the wide variety of symptoms in EA1, little is
known on the serum magnesium (Mg2+) levels in these patients. In the present
study, we describe a new de novo KCNA1 mutation in a Polish patient with tetany
and hypomagnesemia. Electrophysiological and biochemical analyses were performed
to determine the pathogenicity of the mutation. A female patient presented with
low serum Mg2+ levels, renal Mg2+ wasting, muscle cramps, and tetanic episodes.
Whole exome sequencing identified a p.Leu328Val mutation in KCNA1 encoding the
Kv1.1 K+ channel. Electrophysiological examinations demonstrated that the
p.Leu328Val mutation caused a dominant-negative loss of function of the encoded
Kv1.1 channel. Cell surface biotinylation showed normal plasma membrane
expression. Taken together, this is the second report linking KCNA1 with
hypomagnesemia, thereby emphasizing the need for further evaluation of the
clinical phenotypes observed in patients carrying KCNA1 mutations.
PMID- 29791909
TI - Iodine-Induced Fetal Hypothyroidism: Diagnosis and Treatment with Intra-Amniotic
Levothyroxine.
AB - BACKGROUND: Iodine is necessary for fetal thyroid development. Excess maternal
intake of iodine can cause fetal hypothyroidism due to the inability to escape
from the Wolff-Chaikoff effect in utero. CASE REPORT: We report a case of fetal
hypothyroid goiter secondary to inadvertent excess maternal iodine ingestion from
infertility supplements. The fetus was successfully treated with intra-amniotic
levothyroxine injections. Serial fetal blood sampling confirmed fetal escape from
the Wolff-Chaikoff effect in the mid third trimester. Early hearing test and
neurodevelopmental milestones were normal. CONCLUSION: Intra-amniotic treatment
of fetal hypothyroidism may decrease the rate of impaired neurodevelopment and
sensorineural hearing loss.
PMID- 29791910
TI - Melatonin Attenuates Histopathological Changes in the Hippocampus of Infantile
Rats with Kaolin-Induced Hydrocephalus.
AB - OBJECTIVE/AIM: Hydrocephalus is defined as an incapacitating neurological
disorder characterized by ventricular enlargement in children, but the effects of
melatonin on this hydrocephalus have not yet been fully elucidated. In the
present experiment, we attempted to investigate the effects of exogenous
melatonin administration on hydrocephalus-induced hippocampal changes in
infantile rats. METHODS: In this study, we randomly divided 45 Swiss albino rats
aged 2 weeks into 3 groups: group I, the control group received a sham injection
with needle insertion only; groups II and III were given kaolin injections before
treatment - group II, the hydrocephalus group, was treated with an isotonic NaCl
solution, and group III, the hydrocephalus plus melatonin group, was treated with
0.5 mg/100 g body weight of exogenous melatonin. Both immunohistochemical and
histological analyses were performed after hydrocephalus induction and melatonin
administration. Immunohistochemical staining consisted anti-glial fibrillary
acidic protein staining. The TUNEL technique was used for defining quantitate
apoptosis. RESULTS: Melatonin administration significantly attenuated chronic
hydrocephalus-induced histopathological changes in the hippocampal subregions of
infantile rats. Compared to hydrocephalic rats treated with saline solution,
melatonin significantly decreased the number of apoptotic cells and pyknotic
index values of each hippocampal subregion after the kaolin-induced hydrocephalus
(p < 0.001). CONCLUSION: The present results demonstrate that the chronic
hydrocephalus-induced histopathological changes in the hippocampus were partially
reversible with melatonin treatment, suggesting its neuroprotective effects in
infantile rats. However, these findings need to be confirmed by further
experimental studies and clinical trials.
PMID- 29791911
TI - Improving Translation from Preclinical Studies to Clinical Trials in Acute Kidney
Injury.
AB - BACKGROUND: Several cellular and molecular targets and mechanisms have been
investigated in preclinical studies of acute kidney injury (AKI), but translation
in successful clinical studies has failed to date. This article reviews many
issues that have limited this and the potential future perspectives in AKI
prevention and treatment. SUMMARY: Preclinical models of AKI should closely mimic
the complexity of human AKI, considering the importance of several comorbidities
in determining the clinical course and outcomes in the human disease. Moreover,
studies should test novel interventions in models where AKI is already
established, instead of focusing only at primary prevention. AKI definitions and
endpoints in animal studies should be similar to those applied in clinical
studies; in particular, AKI biomarkers should be implemented to guide patient
selection for clinical trials and monitor intervention efficacy. In this
scenario, cell-cycle arrest biomarkers have been widely investigated as AKI
predictors in both preclinical and clinical studies and they serve as useful
tools for future interventional studies. A better understanding of human AKI
through a large collection of biological samples and kidney biopsies and omics
applications, and an iterative relationship between preclinical and clinical
studies are critical steps to improve future preclinical models and clinical
trials. Finally, given the great variability in clinical manifestation of AKI, a
strong collaboration between research centers and industry is recommended. Key
messages: Several methodological issues have hampered the translation of basic
research findings in clinical studies, and overcoming these obstacles is
necessary to achieve success.
PMID- 29791912
TI - Differential Expression of MicroRNAs in Breast Cancers from Four Different
Ethnicities.
AB - INTRODUCTION: Breast cancer outcomes vary across different ethnic groups.
MicroRNAs (miRs) are small non-coding RNA molecules that regulate gene expression
across a range of pathologies, including breast cancer. The aim of this study was
to evaluate the presence and expression of miRs in breast cancer samples from
different ethnic groups. MATERIALS AND METHODS: Breast cancer tissue from 4
ethnic groups, i.e., British Caucasian, British Black, Nigerian, and Indian, were
identified and matched for patients' age, tumour grade/type, and 10 * 10 um
sections taken. Tumour areas were macrodissected, total RNA was extracted, and
cDNA was synthesised. cDNA was applied to human miScript PCR arrays allowing the
quantification of 84 of the most abundantly expressed/best-characterised miRs.
RESULTS: Differential expression of 9 miRs was seen across the 4 groups.
Significantly higher levels of miR-140-5p, miR-194 and miR-423-5p (the last of
which harbours the single-nucleotide polymorphism rs6505162) were seen in the
breast tumours of Nigerian patients when compared with other ethnic groups (all p
< 0.0001). miR-101 was overexpressed in breast cancers in the Indian patients. An
in silico analysis of miR-423-5p showed that the AC genotype is mainly associated
with Europeans (57%), while Asians display mostly CC (approx. 60%), and Africans
mainly AA (approx. 60%). CONCLUSIONS: This study shows divergence in miR
expression in breast cancers from different ethnic groups, and suggests that
specific genetic variants in miR genes may affect breast cancer risk in these
groups. Predicted targets of these miRs may uncover useful biomarkers that could
have clinical value in breast cancers in different ethnic groups.
PMID- 29791913
TI - Lymphadenectomy and Adjuvant Therapy Improve Survival with Uterine
Carcinosarcoma: A Large Retrospective Cohort Study.
AB - OBJECTIVE: Uterine carcinosarcoma is a rare, aggressive subtype of endometrial
cancer. Treatment consists of hysterectomy, bilateral salpingo-oophorectomy, and
lymphadenectomy (LND). The survival benefit of LND in relation to adjuvant radio-
and/or chemotherapy is unclear. We evaluated the impact of LND on survival in
relation to adjuvant therapy in uterine carcinosarcoma. METHODS: Retrospective
data on 1,140 cases were combined from the Netherlands Cancer Registry (NCR) and
the nationwide network and registry of histo- and cytopathology in the
Netherlands (PALGA). LND was defined as the removal of any nodes. Additionally,
cases where 10 nodes or less (LND <=10) or more than 10 nodes (LND > 10) were
removed were analyzed separately. Adjuvant therapy was evaluated as radiotherapy,
chemotherapy, or radiochemotherapy. Associations were analyzed by chi2 test, log
rank test, and Cox regression analysis. RESULTS: Overall survival (OS) had
improved after total abdominal hysterectomy with bilateral salpingo-oophorectomy
with LND > 10 (HR 0.62, 95% CI 0.47-0.83). Adjuvant therapy was related to OS
with an HR of 0.64 (95% CI 0.54-0.75) for radiotherapy, an HR of 0.65 (95% CI
0.48-0.88) for chemotherapy, and an HR of 0.25 (95% CI 0.13-0.46) for
radiochemotherapy. Additionally, adjuvant treatment was related to OS when lymph
nodes were positive (HR 0.22, 95% CI 0.11-0.42), but not when they were negative.
CONCLUSION: LND is related to improved survival when more than 10 nodes are
removed. Adjuvant therapy improves survival when LND is omitted, or when nodes
are positive.
PMID- 29791914
TI - Atlas-Independent, Electrophysiological Mapping of the Optimal Locus of
Subthalamic Deep Brain Stimulation for the Motor Symptoms of Parkinson Disease.
AB - BACKGROUND/AIMS: Deep brain stimulation (DBS) of the subthalamic nucleus (STN)
improves motor symptoms of Parkinson disease (PD). However, motor outcomes can be
variable, perhaps due to inconsistent positioning of the active contact relative
to an unknown optimal locus of stimulation. Here, we determine the optimal locus
of STN stimulation in a geometrically unconstrained, mathematically precise, and
atlas-independent manner, using Unified Parkinson Disease Rating Scale (UPDRS)
motor outcomes and an electrophysiological neuronal stimulation model. METHODS:
In 20 patients with PD, we mapped motor improvement to active electrode location,
relative to the individual, directly MRI-visualized STN. Our analysis included a
novel, unconstrained and computational electrical-field model of neuronal
activation to estimate the optimal locus of DBS. RESULTS: We mapped the optimal
locus to a tightly defined ovoid region 0.49 mm lateral, 0.88 mm posterior, and
2.63 mm dorsal to the anatomical midpoint of the STN. On average, this locus is
11.75 lateral, 1.84 mm posterior, and 1.08 mm ventral to the mid-commissural
point. CONCLUSION: Our novel, atlas-independent method reveals a single, ovoid
optimal locus of stimulation in STN DBS for PD. The methodology, here applied to
UPDRS and PD, is generalizable to atlas-independent mapping of other motor and
non-motor effects of DBS.
PMID- 29791915
TI - Costaria costata Extract Suppresses Development of Atopic Dermatitis in chloro
2,4-dinitrobenzene-treated NC/Nga Mice.
AB - We investigated the potential effects of Costaria costata (CC) on atopic
dermatitis (AD) development in chloro-2,4-dinitrobenzene (DNCB)-treated NC/Nga
mice. CC is a brown alga distributed across the seas of Korea, China, and Japan.
A total of 40 mice were randomly assigned to 5 groups with 8 mice per group:
untreated Balb/c mice, AD control (0.1% w/v DNCB-treated NC/Nga mice), positive
control (i.e., DNCB-treated NC/Nga mice fed a dietary supplement of 66.6 mg/kg of
body weight [b.w.] of CJLP133), DNCB-treated NC/Nga mice fed a dietary supplement
of 100 mg/kg b.w. of CCE10 (CCE10 100), and DNCB-treated mice fed a dietary
supplement of 300 mg/kg b.w. of CCE10 (CCE10 300) groups. The CCE10 100 and CCE10
300 treatment groups suppressed AD development including clinical and
histopathological changes and a reduction in skin hydration induced by DNCB. In
addition, Th2 cytokine production in primary splenocytes, serum IgE and histamine
production, and mast cell infiltration into the skin were suppressed in the CCE10
300 mice compared to the CCE10 100 mice. Our finding demonstrated an inhibitory
effect of CCE10 in AD development by means of improving the Th1/Th2 cytokine
balance and anti-inflammatory effect in an in vivo model.
PMID- 29791916
TI - Diclofenac Sodium 3% in Hyaluronic Acid 2.5% Gel Significantly Diminishes the
Actinic Keratosis Area and Severity Index.
AB - BACKGROUND/AIMS: Actinic keratosis area and severity index (AKASI) is a new
assessment tool to quantify the severity of actinic damage on the head. Thus far,
it has not been evaluated in monitoring the efficacy of field-directed topical
treatments in actinic keratosis (AK) in routine clinical practice. Thus, the aim
of this study was to determine treatment outcomes by using AKASI 3 months after
the initiation of topical application of diclofenac sodium 3% in hyaluronic acid
2.5% gel (DFS) in patients with AKs on the head. METHODS: We performed a
retrospective analysis of patients with AKs who had AKASI scores prior to and
after treatment with DFS. RESULTS: Of the 24 patients included, 20 (83.3%) showed
an improvement in AKASI, 2 (8.3%) a stable AKASI, and 2 (8.3%) a worsening of
AKASI after a median (interquartile range) follow-up period of 91.5 days (89.8
104.3). The median AKASI reduction was 31.4% (16.7-59.1). The Wilcoxon test
showed significant differences (p = 0.0008) between baseline and posttreatment
AKASI values. CONCLUSIONS: AKASI is an easy-to-use quantitative tool for
assessing the treatment outcome of field-directed therapies. Field-directed
therapies of AK should no longer be monitored by assessments based on lesion
counts alone.
PMID- 29791917
TI - Hybrid Diffuse Reflectance Spectroscopy: Non-Erythemal in vivo Testing of Sun
Protection Factor.
AB - BACKGROUND/AIMS: In order to define a label sun protection factor (SPF) of
topically applied sunscreens, in vivo test methods like ISO 24444, FDA guideline,
or the Australian standard are used worldwide. The basis of all these methods is
provoking an erythemal skin reaction by UV irradiation to find the level of
unprotected and protected minimal erythemal doses (MED). In vitro methods
replacing the human skin by any kind of non-human material are still not
available. Thus, offering the new hybrid diffuse reflectance spectroscopy (HDRS)
technique that is able to stay on an in vivo level for SPF testing but meanwhile
neglecting the UV-dose-related erythemal skin reaction is a perfect combination
to take care of sun protection and any ethical concerns in SPF testing nowadays.
METHODS: HDRS is a combination of in vivo diffuse reflectance spectroscopy (DRS)
measurements on the skin and in vitro transmission measurements of a sunscreen on
a roughened polymethylmethacrylate plate. By this technique, the in vivo behavior
of the investigated sunscreen on the skin is measured as well as the UVB
absorption, which is still non-visible in the reflectance technique. In order to
establish an alternative method for in vivo SPF testing, a huge number of
sunscreens (80 samples) was measured by HDRS and compared to the worldwide
accepted standard ISO 24444. The variety of sunscreens measured reflects a wide
range of different types of formulations as well as a wide range of SPFs (5-120)
to validate this new alternative SPF testing procedure. RESULTS: The applied
quantity of product as well as skin color dependencies of signal generation are
shown to support any basic correlation of DRS signal generation and sun
protection expectations. Far-reaching statistical data analyses show an excellent
link of the new non-erythemally driven HDRS-SPF technique and ISO 24444 results.
In the same way, HDRS-UVA-PF results can be correlated with UVA-PF values
calculated from ISO 24443. CONCLUSION: Due to the elimination of any erythemal
relevant UVB and UVA doses, absolutely no skin reaction occurs. Consequently
there is no need to define a MED any more. For the first time an alternative way
to SPF is shown without any ethical concerns of SPF testing in vivo and/or any
restriction of SPF testing in vitro. Regardless of the type of formulation or the
level of protection, an excellent correlation of SPFHDRS and SPF24444 for
sunscreen labeling could be found. By this new alternative non-erythemal
technique, not only SPF values can be measured, but also UVA-PF values can be
calculated with an excellent correlation to ISO 24443 from the same set of data.
For the first time a robust alternative test method of SPF- and UVA-PF values is
described, taking into account the interaction of sunscreen formulation and skin.
PMID- 29791921
TI - Does GastroPlus Support Similarity and Dissimilarity Factors of in vitro-in vivo
Prediction in Biowaiver Studies? A Lower Strength Amlodipine As a Model Drug.
AB - BACKGROUND: Many generic pharmaceutical products are currently available on the
market place worldwide. Recently, there is a growing concern on the quality and
efficacy of generic products. However, health care professionals such as
physicians and pharmacists are in difficult situations to choose among
alternatives. PURPOSE: The aim of this study is to assess the effectiveness of
the in silico technique (Gastro Plus(r)) in the biowaiver study and whether
similarity and dissimilarity factors (f 2 and f 1 respectively) are effective in
this regard. METHOD: The concentration of amlodipine in the sample was calculated
by comparing the absorbance of the sample with that of a previously prepared
amlodipine standard solution using validated HPLC method. The dissolution profile
for each product (brand and generics) was constructed. The similarity (f2) and
dissimilarity (f 1) factors were calculated for the generic product according to
equation 1 and 2. GastroPlusTM software (version 9.0, Simulations Plus Inc.,
Lancaster, CA, USA) was used to predict the absorption profiles of amlodipine
from the generic product Amlovasc(r) and the reference Norvasc(r). CONCLUSION:
These results may provide a rationale for the interchangeability between the RLD
and generic version based on in vitro release profiles in silico technique
especially in a lower strength dose drug.
PMID- 29791922
TI - P2Y12 Polymorphisms and the Risk of Adverse Clinical Events in Patients Treated
with Clopidogrel: A Meta-Analysis.
AB - BACKGROUND AND STUDY AIM: Some studies have reported an association between P2Y12
gene polymorphisms and clopidogrel adverse outcomes with inconsistent results. We
aimed to explore the relationship between P2Y12 polymorphisms and the risk of
adverse clinical events in patients treated with clopidogrel through a meta
analysis. METHODS: A systematic search of PubMed, Web of Science and the Cochrane
Library was conducted. Retrieved articles were comprehensively reviewed and
eligible studies were included, and the relevant data was extracted for this meta
analysis. All statistical tests were performed by the Review Manager 5.3
software. RESULTS: A total of 14 studies involving 8,698 patients were included.
In the Han Chinese population, ischemic events were associated with P2Y12 T744C
polymorphism in the CC vs TT+CT genetic model (OR=3.32, 95%CI=1.62-6.82,
P=0.001), and the events were associated with P2Y12 C34T polymorphism in the
TT+TC vs CC genetic model (OR=1.70, 95%CI=1.22-2.36, P=0.002). However, ischemic
events were not related to P2Y12 G52T polymorphism (TT+TG vs GG: OR=1.13,
95%CI=0.76-1.68, P=0.56; TT vs GG+TG: OR=2.02, 95%CI=0.65-6.28, P=0.22). The
associations between the P2Y12 polymorphism and ischemic events were not
significant in T744C, G52T and C34T genotype for another subgroup of the
Caucasian population (P>0.05). Only two studies referring to bleeding events were
included in this analysis of C34T polymorphism, and no significant association
was found (TT+TC vs CC: OR=1.07, 95%CI=0.37-3.15, P=0.90). CONCLUSIONS: In the
Caucasian population, P2Y12 gene polymorphisms are not associated with clinical
events. However, in the Chinese Han population, P2Y12 T744C and C34T
polymorphisms are significantly associated with adverse clinical events.
PMID- 29791923
TI - Inhaled Treprostinil-Prodrug Lipid Nanoparticle Formulations Provide Long-Acting
Pulmonary Vasodilation.
AB - Treprostinil (TRE), a prostanoid analogue approved in the USA for the treatment
of pulmonary arterial hypertension, requires continuous infusion or multiple
dosing sessions per day for inhaled and oral routes of administration due to its
short half-life. The inhaled drug is known to induce adverse systemic and local
effects including headache, nausea, cough, and throat irritation which may be due
at least in part to transiently high drug concentrations in the lungs and plasma
immediately following administration [1]. To ameliorate these side effects and
reduce dosing frequency we designed an inhaled slow-release TRE formulation. TRE
was chemically modified to be an alkyl prodrug (TPD) which was then packaged into
a lipid nanoparticle (LNP) carrier. Preclinical screening in a rat model of
hypoxia-induced pulmonary vasoconstriction led to selection of a 16-carbon alkyl
ester derivative of TRE. The TPD-LNP demonstrated approximately 10-fold lower TRE
plasma Cmax compared to inhaled TRE solution while maintaining an extended
vasodilatory effect. The favorable PK profile is attributed to gradual
dissociation of TPD from the LNP and subsequent conversion to TRE. Together, this
sustained presentation of TRE to the lungs and plasma is consistent with a once-
or twice-daily dosing schedule in the absence of high Cmax-associated adverse
events which could provide patients with an improved treprostinil therapy.
PMID- 29791924
TI - Return to Sport after ACL Surgery: A Comparison between Two Different
Reconstructive Techniques.
AB - The anterior cruciate ligament reconstruction (ACLR) has become a very common
surgical procedure. One of the main success indicators of the surgical procedure
is the return to preinjury sporting level. In recent years, reconstructive
techniques have been geared toward less morbidity and optimized ligaments process
to speed up the recovery of sports activity. This study compares clinical and
imaging data, to evaluate the timing of return to sport, of two populations
undergoing ACLR using two different techniques: ACLR with hamstring maintaining
tibial insertion (MHG) and an all-inside technique with complete hamstring
detachment (DHG). Patients were clinically evaluated with Marx rating scale,
International Knee Documentation Committee (IKDC) score, and Tegner activity
scale at a minimum follow-up (FU) of 4 years. The two groups have homogeneous
characteristics: age, sex, type, and level of sports activity. Since November
2012, 59 patients with unilateral ACL insufficiency underwent ACLR: 31 patients
using the MHG technique and 28 patients using the DHG technique. In both groups,
a significant improvement in the clinical scores of the administered tests was
observed. The MHG group reported a higher percentage of normal knees (83.8%)
compared with the DHG group (78.6%). IKDC subjective score improved at each FU.
Comparing the two techniques, at 12 months' FU the MHG group achieved better IKDC
results (89.6) compared with the DHG technique (84.2). Marx and Tegner score
values improved over time reaching comparable results at final FU. At final FU,
98% of patients returned to the same preinjury professional sporting level. Both
techniques have been able to provide good clinical results. The MHG group,
however, had a resumption of sports activity of the same level and intensity
slightly longer (6.3 months) than that in the DHG group (5.9 months).
Furthermore, the resumption of training and athletic gestures for the type of
sport practiced was earlier than the DHG group. However, in the long run the
level of sporting activity leveled in both groups, demonstrating the
effectiveness of both techniques.
PMID- 29791925
TI - Patient-Specific Instrumentation Affects Perioperative Blood Loss in Total Knee
Arthroplasty.
AB - Patient-specific instrumentation (PSI) may contribute to reduced blood loss
related to total knee arthroplasty (TKA). The purpose of this study was to
compare the estimated hemoglobin (Hb) and red blood cell volume (RBC) losses in
two groups of patients undergoing TKA with PSI and conventional instrumentation.
Pre- and postoperative blood samples were collected from 22 patients randomly
assigned to receive a PSI-assisted or conventional TKA. Post- to preoperative Hb
difference was calculated and RBC loss was estimated according to Sehat et al. A
significant difference in Hb reduction in favor of the PSI group was registered
on the last day of stay (p = 0.0084) and significant treatment effect (p = 0.027)
on Hb reduction after intervention was found with a regression model for
longitudinal measurements. This study demonstrated that PSI leads to a
significant trend in earlier Hb regain. These promising results suggest a
beneficial effect of PSI in blood loss reduction.
PMID- 29791926
TI - Meniscus Repair in Children and Adolescents: A Systematic Review of Treatment
Approaches, Meniscal Healing, and Outcomes.
AB - Although the consequences of traumatic meniscus tears and the importance of
meniscal repair are well-established in adults, the same cannot be said for the
young population. Better evidence regarding the outcomes following traumatic
meniscal tears in children would improve our understanding of this increasing
pathology and help define important factors in deciding the best treatment
option. A systematic review was performed according to the Preferred Reporting
Items for Systematic Review and Meta-Analysis guidelines using the Cochrane
Database of Systematic Review, Cochrane Central Register of Controlled Trials,
MEDLINE Ovid, and MEDLINE PubMed databases. Inclusion criteria were as follows:
studies reporting the outcomes of meniscal repair in patients 18 years old or
younger, with a minimum mean follow-up of 12 months, Portuguese, Spanish, or
English languages, and human studies including 10 or more patients. Our search
identified 2,534 individual titles. After application of the inclusion and
exclusion criteria, 8 studies were included, evaluating 287 patients with
repaired meniscal tears. All eight studies were classified as level of evidence
IV. The mean methodological index for nonrandomized studies score was 8.6 +/-
1.4. Meniscal repair included all meniscal zones and tear patterns. Anterior
cruciate ligament tear was the most common associated injury. The all-inside and
inside-out techniques were predominantly reported. The majority of the patients
reported good to excellent outcomes and had clinical signals of meniscal healing;
meniscectomies following meniscal repair were performed in just 44 cases. In
conclusion, meniscal tears in pediatrics are not uncommon. Repairs of this injury
were associated with good to excellent outcomes in most patients, regardless of
the injury pattern, zone, or technique. Reported complications were minimal;
however, higher quality studies are needed to confirm the findings of this
systematic review. This is a systematic review study with Level IV.
PMID- 29791927
TI - Treatment Outcome of Reconstruction for Isolated Posterior Cruciate Injury:
Subjective and Objective Evaluations.
AB - There is no consensus regarding the treatment method and outcome of posterior
cruciate ligament (PCL) injury. We hypothesized that although the outcome of PCL
reconstruction was favorable in terms of knee stability, the outcome was
unsatisfactory in terms of patient-based assessments. The purpose of this study
is to evaluate the treatment outcomes of knees that underwent reconstruction for
PCL injury by subjective and objective assessments, and to analyze the
correlation between various assessments. Twenty-three patients who underwent PCL
reconstruction were studied. All reconstructions were performed arthroscopically
by the single-bundle technique using a hamstring tendon autograft. Patients were
evaluated clinically before operation and 24 months after operation using the 36
Item Short Form Health Survey (SF-36) which is a patient-based health assessment
survey, Lysholm score, tibial translation ratio, Visual Analogue Scale (VAS) for
pain, and range of motion (ROM) in the knee. The correlation of these assessment
methods was analyzed. For the SF-36 survey, significant improvement was observed
after operation in only 3 of 7 subscales compared with before surgery.
Furthermore, the scores reached the national standard scores in only 3 subscales.
While the Lysholm score and tibial translation ratio were improved significantly,
no significant improvement in the VAS pain score was observed. For ROM
assessment, approximately 30% of the patients had flexion restriction after
operation, and the degree of restriction correlated positively with the VAS
score. The present results indicated that although the outcome of PCL
reconstruction was favorable in terms of knee stability and motor function, the
outcome was unsatisfactory in terms of patient-based assessments. Since pain
associated with flexion restriction appears to be a poor prognostic factor and
there is a dissociation between subjective and objective assessments, improvement
of the surgical method is necessary.
PMID- 29791928
TI - The Impact of Medical Comorbidities on Primary Total Knee Arthroplasty
Reimbursements.
AB - Medical comorbidities have been shown to cause an increase in peri-and
postoperative complications following total knee arthroplasty (TKA). However, the
increase in cost associated with these complications has yet to be determined.
Factors that influence cost have been of great interest particularly after the
initiation of bundled payment initiatives. In this study, we present and quantify
the influence of common medical comorbidities on the cost of care in patients
undergoing primary TKA. A retrospective level of evidence III study was performed
using the PearlDiver supercomputer to identify patients who underwent primary TKA
between 2007 and 2015. Patients were stratified by medical comorbidities and
compared using analysis of variance for reimbursements for the day of surgery and
over 90 days postoperatively. A cohort of 137,073 US patients was identified as
having undergone primary TKA between 2007 and 2015. The mean entire episode-of
care reimbursement was $23,701 (range: $21,294-26,299; standard deviation [SD]
$2,611). The highest reimbursements were seen in patients with chronic
obstructive pulmonary disease (mean $26,299; SD $3,030), hepatitis C (mean
$25,662; SD $2,766), morbid obesity (mean $25,450; SD $2,154), chronic kidney
disease (mean $25,131, $3,361), and cirrhosis (mean $24,890; SD $2,547). Medical
comorbidities significantly impact reimbursements, and therefore cost, after
primary TKA. Comprehensive preoperative optimization for patients with medical
comorbidities undergoing TKA is highly recommended and may reduce perioperative
complications, improve patient outcome, and ultimately reduce cost.
PMID- 29791929
TI - Documenting Routinely What Matters to People: Standardized Headings for Health
Records of Patients with Chronic Health Conditions.
AB - OBJECTIVE: Specifying the content in electronic health records (EHRs) through
standardized headings based on international reference classifications will
facilitate their semantic interoperability. The objective of this study was to
specify potential chapter headings for EHRs aligned with the World Health
Organization's (WHO) International Classification of Functioning, Disability, and
Health (ICF) based on the perspectives of people living with chronic health
conditions, carers, and professionals. METHODS: A multistage process was
established including (1) a patient workshop, (2) an online survey of both
patients and carers, and (3) an online consultation with patient and professional
bodies. The ICF served as a starting point. Based on the first stage, a first
draft of the headings was developed and further refined based on the feedback at
each stage. We examined in a fourth step whether items from existing assessment
tools support the operationalization of the identified headings. Therefore, we
used the WHO Disability Assessment Schedule 2.0 (WHODAS2.0), a patient-reported
instrument, and interRAI, a clinician-administered instrument. RESULTS: The
first workshop was attended by eight people, the survey was completed by 250
persons, and the online consultation received detailed feedback by 18
professional bodies. This study resulted in 16 potential chapter headings for
EHRs which capture aspects related to the body, such as emotions, motivation,
sleep, and memory or thoughts, to being involved in social life, such as
mobility, social activities, and finances, as well as to the care process, such
as understanding of health issues and treatment or care priorities and goals.
When using the WHODAS2.0 and interRAI together, they capture all except one of
the proposed headings. CONCLUSION: The identified headings provide a high level
structure for the standardized recording, use, and sharing of information. Once
implemented, these headings have the potential to facilitate the delivery of
personalized care planning for patients with long-term health problems.
PMID- 29791931
TI - Effectiveness of a Crocus sativus Extract on Burn Wounds in Rats.
AB - Crocus sativus is a spice with various pharmacological properties. Crocin,
picrocrocin, and safranal are the main compositions of saffron that have recently
been considered in the therapy of many diseases. High-performance liquid
chromatography analysis revealed presence of these compounds in our saffron
extract. This study was carried out to evaluate the effect of saffron on burn
wound healing at an in vivo model. Saffron was topically applied on burn wounds
in rats; the percentage of wound closure, wound contraction, and the levels of
main cytokines and growth factors were measured. The saffron extract was also
applied to evaluate the proliferation and migration of human dermal fibroblast
(HDF) cells using in vitro scratch assay and resulted in active proliferation and
migration of the HDF cells in a dose-dependent manner. A clear enhanced healing
was observed in the saffron-treated wounds compared to the silver sulfadiazine
and negative control groups. Decreased expression of interleukin-1beta and
transforming growth factor-beta1 (TGF-beta1) during the inflammatory phase
demonstrated the role of saffron in promoting wound healing. In addition,
enhanced TGF-beta1 expression during the proliferative phase and basic fibroblast
growth factor during the remodeling phase represented regenerative and anti
scarring role of saffron, respectively. Our histological and biochemical findings
also confirmed that saffron significantly stimulated burn wound healing by
modulating healing phases. Therefore, saffron can be an optimal option in
promoting skin repair and regeneration. Application of this herbal medicinal drug
should be encouraged because of its availability and negligible side effects.
PMID- 29791930
TI - The Pediatric Emergency Care Applied Research Network Registry: A Multicenter
Electronic Health Record Registry of Pediatric Emergency Care.
AB - BACKGROUND: Electronic health record (EHR)-based registries allow for robust
data to be derived directly from the patient clinical record and can provide
important information about processes of care delivery and patient health
outcomes. METHODS: A data dictionary, and subsequent data model, were developed
describing EHR data sources to include all processes of care within the emergency
department (ED). ED visit data were deidentified and XML files were created and
submitted to a central data coordinating center for inclusion in the registry.
Automated data quality control occurred prior to submission through an
application created for this project. Data quality reports were created for
manual data quality review. RESULTS: The Pediatric Emergency Care Applied
Research Network (PECARN) Registry, representing four hospital systems and seven
EDs, demonstrates that ED data from disparate health systems and EHR vendors can
be harmonized for use in a single registry with a common data model. The current
PECARN Registry represents data from 2,019,461 pediatric ED visits, 894,503
distinct patients, more than 12.5 million narrative reports, and 12,469,754
laboratory tests and continues to accrue data monthly. CONCLUSION: The Registry
is a robust harmonized clinical registry that includes data from diverse
patients, sites, and EHR vendors derived via data extraction, deidentification,
and secure submission to a central data coordinating center. The data provided
may be used for benchmarking, clinical quality improvement, and comparative
effectiveness research.
PMID- 29791932
TI - B3GALNT2-Related Dystroglycanopathy: Expansion of the Phenotype with Novel
Mutation Associated with Muscle-Eye-Brain Disease, Walker-Warburg Syndrome,
Epileptic Encephalopathy-West Syndrome, and Sensorineural Hearing Loss.
AB - Mutations in B3GALNT2, encoding a glycosyltransferase enzyme involved in alpha
dystroglycan glycosylation, have been recently associated with
dystroglycanopathy, a well-recognized subtype of congenital muscular dystrophy
(CMD). Only a few cases have been reported with B3GALNT2-related
dystroglycanopathy with variable severity ranging from mild CMD to severe muscle
eye-brain disease. Here, we describe a child with a novel homozygous nonsense
mutation in B3GALNT2. The affected child has severe neurological disease since
birth, including muscle disease manifested as hypotonia, muscle weakness, and
wasting with elevated creatine kinase, eye disease including microphthalmia and
blindness, brain disease with extensive brain malformations including massive
hydrocephalus, diffuse cobblestone-lissencephaly, deformed craniocervical
junction, and pontocerebellar hypoplasia. The clinical and radiologic findings
are compatible with a diagnosis of severe muscle-eye-brain disease and more
specifically Walker-Warburg syndrome. A more distinct aspect of the clinical
phenotype in this child is the presence of refractory epilepsy in the form of
epileptic spasms, epileptic encephalopathy, and West syndrome, as well as
sensorineural hearing loss. These findings could expand the phenotype of B3GALNT2
related dystroglycanopathy. In this report, we also provide a detailed review of
previously reported cases with B3GALNT2-related dystroglycanopathy and compare
them to our reported child. In addition, we study the genotype-phenotype
correlation in these cases.
PMID- 29791933
TI - Infantile Basal Ganglia Stroke after Mild Head Trauma Associated with
Mineralizing Angiopathy of Lenticulostriate Arteries: An Under Recognized Entity.
AB - Basal ganglia infarction in young children, mostly after mild head trauma, has
been repeatedly reported. The pathogenesis and the risk factors are not fully
understood. Lenticulostriate vasculopathy, usually referred to as basal ganglia
calcification, is discussed as one of them. We describe five young (7-13 months
old on presentation) male children who suffered from hemiparesis due to ischemic
stroke of the basal ganglia, four of them after minor head trauma. All of them
had calcification in the basal ganglia visible on computed tomography or cranial
ultrasound but not on magnetic resonance imaging. Follow-up care was remarkable
for recurrent infarction in three patients. One patient had a second symptomatic
stroke on the contralateral side, and two patients showed new asymptomatic
infarctions in the contralateral basal ganglia on imaging. In view of the scant
literature, this clinic-radiologic entity seems under recognized. We review the
published cases and hypothesize that male sex and iron deficiency anemia are risk
factors for basal ganglia stroke after minor trauma in the context of basal
ganglia calcification in infants. We suggest to perform appropriate targeted
neuroimaging in case of infantile basal ganglia stroke, and to consider
prophylactic medical treatment, although its value in this context is not proven.
PMID- 29791934
TI - Discrepancies Between Nomenclature and Indications of Psychotropics.
AB - INTRODUCTION: While the current nomenclature of psychotropic drugs is disease
based, their approved indications do not always match their classifications.
METHODS: Information on approved indications of "second-generation
antipsychotics" and "newer antidepressants" that are available in the United
States (US), the United Kingdom (UK), France, Germany, and Japan were extracted
from their packet inserts. RESULTS: A significant proportion of "atypical
antipsychotics" were approved for psychiatric conditions other than psychotic
disorders (i. e., bipolar disorder, major depressive disorder, and autistic
disorder) as follows: 76.9% in the US, 66.7% in the UK, 66.7% in France, 60.0% in
Germany, and 44.4% in Japan. Likewise, more than half of "newer antidepressants"
had approved indications for psychiatric conditions other than depression (e. g.,
panic disorder, obsessive compulsive disorder, social anxiety disorder, general
anxiety disorder, and post-traumatic stress disorder): 56.3% in the US, 69.2% in
the UK, 69.2% in France, 50.0% in Germany, and 62.5% in Japan. CONCLUSIONS: Our
results raise concerns regarding generic terminologies of "antipsychotics" and
"antidepressants" since the conventional indication-based nomenclature does not
fit well with the official indication.
PMID- 29791935
TI - [Impact of OSA Therapy on Established Polyphasic Sleep].
AB - AIM OF STUDY: The prevalence of polyphasic sleep (PS) in patients with sleep
apnoea (OSA) is investigated in this study. In established PS the possible impact
of OSA therapy on sleep behaviour was examined. METHODS: Sleep patterns in 24
hours of initial recepted OSA patients were recorded by questionnaire (n = 163).
In polyphasic sleepers the sleep patterns were reevaluated after OSA therapy
initiation. In additional patients polyphasic therapy usage was investigated by
telemonitoring data (n = 487). RESULTS: 39,6 % of the patients had PS. After OSA
therapy initiation in 97,0 % of the polyphasic sleepers a monophasic device usage
was established while 3,0 % had a polyphasic usage. PS was switched to monophasic
sleep in 81,8 % of the patients. 15.2 % had preserved PS without device usage on
daytimes. 2,9 % of the telemonitoring patients showed a polyphasic usage pattern.
CONCLUSIONS: The prevalence of PS in OSA patients is higher than in the general
population. PS is converted to monophasic sleep in 81,8 % after OSA therapy
initiation. In preserved PS the majority of patients doesn't use the therapy
while napping. Polyphasic device usage was 2,9 % in telemonitoring patients and
3,0 % of the controls of this study.
PMID- 29791936
TI - Is Right Sleeve Lower Lobectomy Necessary? Is It Safe?
AB - OBJECTIVES: The right sleeve lower lobectomy is the least used of the bronchial
sleeve operations. There are only case-based studies in the literature. In this
study, we compared this technique to those used in patients who underwent a right
lower bilobectomy. METHODS: We retrospectively reviewed the data of patients who
had been operated on due to non-small cell lung cancer (NSCLC) from January 2005
to December 2015 from a dataset that was formed prospectively. Of the 4,166
patients who underwent resections due to NSCLC, the files of those who had a
right sleeve lower lobectomy (group S) and those who had a right lower
bilobectomy (group B) were evaluated. The remaining 25 patients in group B and 18
patients in group S were compared in terms of demographic data, morbidity,
hospitalization time, mortality, histopathology, recurrence, and total survival.
RESULTS: No significant differences in the demographic or clinical
characteristics were observed between the two groups, except that group S had
more female patients. Postoperative complications developed in 52% of the
patients in group B and 11.1% of the patients in group S (p = 0.006). Mean
hospitalization time was 9.6 +/- 3.6 (range, 6-19) days in group B and 6.72 +/-
1.5 (range, 4-9) days in group S (p = 0.001). All patients received complete
resections. The mean patient follow-up time was 42.9 months. No significant
difference was found between local and distant recurrences (p = 1, p = 0.432).
Mean survival time was 89.6 months (5-year rate = 73%), which was 90.6 months (5
year rate = 75.3%) in group B and 63.1 months (5-year rate = 69.3%) in group S (p
= 0.82). CONCLUSION: This technique allows for reduced filling of the thoracic
cavity by a prolonged air leak and a reduced prevalence of complications.
Additionally, the hospitalization time is shortened. It does not produce any
additional mortality burden, and total survival and oncological outcomes are
reliable. This technique can be used in selected patients at experienced centers.
PMID- 29791937
TI - Nicoline Schiess, MD, MPH.
PMID- 29791938
TI - The Importance of Global Medical Collaboration.
PMID- 29791939
TI - Neurology Training Worldwide.
AB - Neurology training is essential for providing neurologic care globally. Large
disparities in availability of neurology training exist between higher- and lower
income countries. This review explores the worldwide distribution of neurology
training programs and trainees, the characteristics of training programs in
different parts of the world, and initiatives aimed at increasing access to
neurology training in under-resourced regions.
PMID- 29791940
TI - Global Neurology: Navigating Career Possibilities.
AB - Neurology has not typically been associated with international relief work;
however, with the growth of chronic cardiovascular disease and stroke associated
with unhealthy eating and sedentary ways, the appearance of "new" neurologic
diseases, such as the Zika and West Nile viruses, and the high numbers of seizure
disorders resulting from neuroinfectious diseases, more opportunities are arising
for international and globally oriented neurologists. Multiple opportunities
exist for developing a global clinician-educator career pathway, including
private institutions, nongovernmental organizations, government-funded
opportunities such as Medical Education Partnership Initiative, Fogarty and
Fulbright Scholarships, and the American Academy of Neurology's Global Health
Section. Furthermore, increasing research capacity in developing countries and
increased funding opportunities for global health research have led to new
opportunities for neurologists to establish global health research careers. These
opportunities could not have come at a better time, as many faculty members have
noted a particularly strong interest in global neurology from medical students
and residents. Career categories and opportunities for neurologists desiring to
work globally are discussed along with the emerging "global neurologist" academic
pathway.
PMID- 29791941
TI - Understanding Refugees' Health.
AB - According to the United Nations Refugee Agency (UNHCR), 65.6 million people have
been forcibly displaced worldwide. Several factors have a major influence on
asylum seekers' health; so, their health profile is markedly different from that
of the population in the country of asylum. The aim of this study is to review
the major issues physicians need to be aware of when treating asylum seekers,
with a special focus on the neurological problems of asylum seekers and refugees.
The major impact factors on refugees' health are linked to experiences and
exposure (1) in the country of origin, (2) in refugee camps and en route to
Europe, and (3) in the process of immigration into the host country and living in
European asylum centers. Refugees' health is also affected by psychological
problems and by infectious diseases. Additionally, chronic diseases resulting in
polymorbidity, cancer, and neurological diseases are easy to overlook and demand
special attention. Neurological injuries/diseases may be traumatic (e.g., spinal
cord injuries), posttraumatic (e.g., chronic pain syndromes), the result of
cerebral infections, or the consequences of starvation (e.g., epilepsy, ataxia,
and paraesthesia). The main challenges for physicians are lack of awareness of
the asylum seekers' specific health care problems, language and intercultural
communication problems, as well as access and integration of asylum seekers into
the health care system. The health issues of asylum seekers are manifold and
challenging to physicians. Awareness of these conditions is mandatory to ensure
good clinical practice for this patient population, which has a huge burden in
chronic, infectious, mental, and neurological diseases.
PMID- 29791942
TI - Emerging Viral Infections and Their Impact on the Global Burden of Neurological
Disease.
AB - Emerging viral infections of the nervous system represent a major global public
health concern in the 21st century. They are caused primarily by RNA viruses and
are mostly associated with acute or subacute encephalitis. The spectrum of
associated central or peripheral nervous system disorders is broad, and results
either from a direct viral effect or due to the host immune responses against the
infection. Emerging viral infections impose substantial neurological morbidity
and mortality, particularly in low- and middle-income regions. In the past five
decades, vector-borne viruses primarily transmitted by arthropods, or
arboviruses, have been responsible for epidemics with a high burden of
neurological disease, like the 2015-2016 Zika virus epidemic in the Americas.
Viruses that have become neurovirulent for humans after geographical expansion
include West Nile, Dengue, and Zika viruses. Factors such as animal migration,
disruption of ecological niches, and cross-species contact have caused old
viruses to reappear and cause neurological disease, as is the case of Ebola
virus. In addition to these biological challenges, current preventive strategies,
vaccination, and diagnostic and therapeutic approaches remain limited. We review
the clinical-virological features and global impact of the most relevant emerging
viral infections of the nervous system as they are projected over the 21st
century.
PMID- 29791943
TI - The "Growing" Reality of the Neurological Complications of Global "Stem Cell
Tourism".
AB - "Stem cell tourism" is defined as the unethical practice of offering unproven
cellular preparations to patients suffering from various medical conditions. This
phenomenon is rising in the field of neurology as patients are requesting
information and opportunities for treatment with stem cells for incurable
conditions such as multiple sclerosis and amyotrophic lateral sclerosis, despite
their clinical research and experimental designation. Here, we review the recent
trends in "stem cell tourism" in both the United States and abroad, and discuss
the recent reports of neurological complications from these activities. Finally,
we frame critical questions for the field of neurology regarding training in the
ethical, legal, and societal issues of the global "stem cell tourism," as well as
suggest strategies to alleviate this problem. Although there are ongoing
legitimate clinical trials with stem cells for neurological diseases, procedures
offered by "stem cell clinics" cannot be defined as clinical research. They lack
the experimental and state-of-the-art framework defined by peers and the FDA that
focus on human research that safeguard the protection of human subjects against
economical exploitation, unwanted side effects, and futility of unproven
procedures. "Stem cell tourism" ultimately exploits therapeutic hope of patients
and families with incurable neurological diseases and can put in danger the
legitimacy of stem cell research as a whole. We posit that an improvement in
education, regulation, legislation, and involvement of authorities in global
health in neurology and neurosurgery is required.
PMID- 29791944
TI - The Global Burden of Headache.
AB - At the turn of the century, most of the world's population lived in regions where
the prevalence of headache was unknown and its impact poorly understood. Lifting
The Burden (LTB), a nonprofit organization in official relations with the World
Health Organization, established the Global Campaign against Headache, with the
ultimate purpose of reducing the burden of headache worldwide. First, the scope
and scale of this burden had to be known. LTB embarked upon a program of
population-based studies in countries in all world regions in order to achieve
its aim. Its studies have demonstrated a high prevalence of headache disorders,
including migraine, tension-type headache, and medication-overuse headache, and
their associations with impaired quality of life, substantial lost productivity,
and high economic costs in every country surveyed. Informed by these, the Global
Burden of Disease study ranks headache disorders as the second leading cause of
years lived with disability worldwide; migraine alone is third among people aged
15 to 49 years. With interventions urgently needed to reduce these burdens
throughout the world, we review the epidemiological studies conducted by LTB,
examine proposed interventions to improve provision of headache care including a
three-tier system of structured headache services, and consider the challenges
still remaining in providing effective, efficient, and equitable headache care
especially in low-income countries.
PMID- 29791945
TI - Global Health: Epilepsy.
AB - Epilepsy is a frequently misunderstood and highly stigmatized condition. Major
treatment gaps exist across the world, most so in areas of financial constraint.
Classification permits the best approaches to treatment and to ascertaining
prognosis. The International League Against Epilepsy's new classification system
emphasizes clinical aspects and utilizes all available resources to determine
whether it is a focal or generalized epilepsy. The most important tools are a
careful history, clinical examination, electroencephalography, and appropriate
neuroimaging. Inadequate, delayed, and incomplete evaluation may lead to
misdiagnosis and costly mismanagement. Treatment is generally pharmacological,
with approximately 20 to 30% of patients eventually proving refractory to
medications and thus becoming potential surgical candidates. The type of
epilepsy, age, gender, comorbidities, drug interactions, and drug cost are
important factors in choosing an antiepileptic drug (AED). The teratogenic
potential of some AEDs, weight gain, and menstrual hormone-related issues are
important considerations in women. The impact of AEDs on bone health is critical
in all age groups, particularly in the elderly. Psychiatric problems, mostly
depression and anxiety, can have a great impact on seizure control and overall
quality of life. Finally, effective partnerships and collaborations can bring
resources, both human and financial, to regions that would otherwise find it
impossible to effect change on their own.
PMID- 29791947
TI - Global Burden of Stroke.
AB - Stroke is the second leading cause of death and a major cause of disability
worldwide. Its incidence is increasing because the population ages. In addition,
more young people are affected by stroke in low- and middle-income countries.
Ischemic stroke is more frequent but hemorrhagic stroke is responsible for more
deaths and disability-adjusted life-years lost. Incidence and mortality of stroke
differ between countries, geographical regions, and ethnic groups. In high-income
countries mainly, improvements in prevention, acute treatment, and
neurorehabilitation have led to a substantial decrease in the burden of stroke
over the past 30 years. This article reviews the epidemiological and clinical
data concerning stroke incidence and burden around the globe.
PMID- 29791946
TI - Global Health: Pediatric Neurology.
AB - Neurologic disorders contribute significantly to both morbidity and mortality
among children in resource-limited settings, but there are a few succinct studies
summarizing the epidemiology of neurologic disorders in these settings. A review
of available literature was performed to identify data on the prevalence,
etiology, outcomes, and treatment of neurologic disorders in children in resource
limited settings. The burden of neurologic disorders in children is high in
resource-limited settings. Barriers to optimal care include lack of trained
personnel, limited access to diagnostic technology, and limited availability of
drugs used to treat common conditions. Several solutions have been suggested to
deal with these challenges including increased collaborations to train
neurologists willing to practice in resource-limited settings and increased
training of physician extenders or community health workers. Further studies are
necessary to improve our understanding of the epidemiology of neurologic
disorders in resource-limited settings. Future epidemiologic studies should
incorporate multiple countries in resource-limited settings and utilize
standardized definitions and methodologies to enable comparison across regions.
PMID- 29791948
TI - Multiple Sclerosis.
AB - Multiple sclerosis (MS) is a chronic central nervous system inflammatory disease
of autoimmune etiology, mediated by activated T cells with evolving evidence of a
significant contribution from B cells and cells of the innate immune system. The
disease is thought to be due to a complex interaction between different genetic
and environmental factors. The prevalence of MS is rising all over the world, due
on one hand to earlier diagnosis and prolonged survival, and on the other to a
true increase in incidence of the disease. The diagnosis of MS remains clinical
despite recent advances in diagnostics and relies on demonstrating dissemination
in space and time while excluding alternative diagnoses. The Mc Donald diagnostic
criteria, with their recent 2017 revision, are currently widely accepted in the
MS community. Although no cure is yet available, many disease-modifying therapies
(DMTs) have shown different levels of efficacy in preventing relapses,
accumulation of lesions on magnetic resonance imaging (MRI), and disability
progression. Current treatment strategies include gradual escalation based on
clinical and radiological criteria that determine treatment response, or initial
induction with high efficacy DMTs especially in patients with an early aggressive
course.
PMID- 29791950
TI - Global Health Neurology: HIV/AIDS.
AB - With the advent of combination antiretroviral therapies, the mortality rate from
HIV has declined, while the prevalence of long-term HIV-related neurologic
complications continues to rise. Thirty-six million individuals are living with
HIV around the world, many of whom reside in resource-limited settings. The
majority of studies have focused on individuals residing in the developed world,
while the impact of HIV disproportionately affects people living in developing
countries. This review focuses on recent domestic and international studies
regarding neurologic complications related to HIV, including opportunistic
infections, peripheral neuropathy, cerebrovascular disease, and HIV-associated
neurocognitive disorders, in light of the growing population affected by these
conditions.
PMID- 29791949
TI - The Global Neurological Burden of Tuberculosis.
AB - Central nervous system (CNS) involvement of tuberculosis (TB) is the most severe
manifestation of TB and accounts for approximately 5 to 10% of all extrapulmonary
TB (EPTB) cases and approximately 1% of all TB cases. TB meningitis (TBM) is the
most common form of CNS TB, though other forms occur, often in conjunction with
TBM, including intracranial tuberculomas, tuberculous brain abscesses, and spinal
tubercular arachnoiditis. CNS TB often presents with nonspecific clinical
features that mimic symptoms of other neurological conditions, often making
diagnosis difficult. Defining neuroimaging characteristics of TBM include thick
basal meningeal enhancement, hydrocephalus, and parenchymal infarctions most
commonly involving the basal ganglia and internal capsule. Traditional
cerebrospinal fluid sample analysis frequently requires lengthy times-to-result
and have low sensitivity. Given the pitfalls of conventional CNS TB diagnostic
methods, various molecular-based methods, including immunoassays and polymerase
chain reaction (PCR)-based assays have emerged as alternative diagnostic tools
due to their rapidity, sensitivity, and specificity. Expert panels on TBM have
recently emphasized the need for standard research procedures with updated case
definitions and standardized study methods, which will hopefully pave the way for
more robust multicenter international studies. In this article, we review the
epidemiology, diagnosis, molecular factors associated with disease presentation
and outcome, and treatment of CNS TB.
PMID- 29791951
TI - Nervous System Infections and the Global Traveler.
AB - Neurological complications of infectious diseases are associated with high rates
of morbidity and mortality. It is imperative that neurologists be up-to-date on
current developments including typical and atypical presentations of neurological
infections in travelers, diagnostic and treatment recommendations, and emerging
pathogen resistance patterns to avoid fatal outcomes and long-term sequelae. This
article will address concepts of emerging and reemerging infectious diseases, and
will provide updates on the neurological manifestations of select emerging and
reemerging infections, including Ebola virus, bacterial meningitis, enterovirus
71, Zika virus, cerebral malaria, and Japanese encephalitis. Emerging and
reemerging neurotropic infectious diseases, including Zika virus, have recently
been major global health threats. Factors contributing to the emergence of
infectious diseases include closer contact with zoonoses, population growth in
cities, globalization, environmental changes, and the rise in antibiotic
resistance. Serotype replacement of bacterial meningitis, the possibility of
viral persistence in the central nervous system in Ebola virus, antibiotic
resistance of malaria, and the evolution of neurovirulent strains of Zika virus
display some of the developing challenges that accompany various neurotropic
infectious diseases. Neurologists should be aware of the factors contributing to
the emergence and reemergence of neurotropic infectious diseases. As emerging and
reemerging neurotropic infectious continue to be a major global health security
threat, clinicians should be aware of the risks to travelers and current
guidelines on prevention and management.
PMID- 29791952
TI - Skin Punctures in Preterm Infants in the First 2 Weeks of Life.
AB - OBJECTIVE: The objective of this study was to investigate frequency and trends
of skin punctures in preterm infants. STUDY DESIGN: A prospective audit of
preterm infants less than 35 weeks admitted over a 6-month period to a tertiary
neonatal intensive care unit. Each skin puncture performed in the first 2 weeks
of life was documented in a specifically designed audit sheet. RESULTS: Ninety
nine preterm infants were enrolled. Infants born at < 32 weeks' gestation had
significantly more skin punctures than infants > 32 weeks (median skin punctures
26.5 vs. 17, p-value < 0.05). The highest frequency of skin punctures occurred
during the first week of life for infants > 28 weeks' gestation (medians 17.5 in
28-31 + 6 weeks' gestation, and 15 in > 32 weeks), and during the second week of
life for those born at < 28 weeks (median 17.5). Infants with sepsis had more
skin punctures (p-value < 0.001), but this was not significant on multivariate
analysis. Median skin punctures in the second week of life were statistically
higher in the sepsis group on multivariate analysis (odds ratio: 1.07, 95%
confidence interval: 1.00-1.14, p = 0.041). CONCLUSION: Frequency of skin
punctures is influenced by gestational age and postnatal age. Skin punctures were
not an independent risk factor for sepsis.
PMID- 29791953
TI - Past and Present: A Review of Antenatal Corticosteroids and Recommendations for
Late Preterm Birth Steroids.
AB - Since 1972, the beneficial neonatal effects of antenatal corticosteroids (ACSs)
have been repeatedly demonstrated in pregnancies at risk of preterm birth before
34 weeks' gestation. While ACS utilization before 34 weeks has been high since
the 1990s, knowledge gaps regarding the risks and benefits of ACS continue to
exist. Recent evidence has been published regarding the benefit of ACS in the
late preterm period. This review addresses the evidence and knowledge gaps for
ACS use before and after 34 weeks' gestation. We also provide recommendations for
ACS use in the late preterm period.
PMID- 29791954
TI - Muscle and Nerve Imaging.
PMID- 29791955
TI - Muscle-Tendon-Enthesis Unit.
AB - Injuries to the muscle-tendon-enthesis unit are common and a significant source
of pain and loss of function. This article focuses on the important anatomical
and biomechanical considerations for each component of the muscle-tendon-enthesis
unit. We review normal and pathologic conditions affecting this unit,
illustrating the imaging appearance of common disorders on magnetic resonance
imaging and ultrasound. Knowledge of the anatomy and biomechanics of these
structures is crucial for the radiologist to make accurate diagnoses and provide
clinically relevant assessments.
PMID- 29791956
TI - Accessory Muscles of the Extremities.
AB - Accessory muscles and variations are not uncommon at the upper and lower
extremity. They are often overlooked because they are asymptomatic and present as
incidental findings on imaging. However, they may present as a soft tissue
swelling, thereby mimicking soft tissue tumors. Other symptoms are attributed to
impingement on neurovascular structures and to exercise-related pain. Thorough
knowledge of the anatomy, systematic imaging analysis, and the awareness of it
are the clues to correct identification. On ultrasound, accessory muscles have a
similar echotexture as other muscles, whereas the signal intensity on magnetic
resonance imaging (MRI) is similar to muscle. Because of the intrinsic contrast
with the adjacent intermuscular fat, accessory muscles are best depicted on MRI
without fat suppression. This article provides a short overview of the anatomy of
most prevalent accessory muscles of the upper and lower limb and its potential
pathogenic nature.
PMID- 29791957
TI - Myositis and Fasciitis: Role of Imaging.
AB - Imaging plays an important role in the evaluation of patients presenting with
possible myositis, with magnetic resonance imaging the most appropriate modality
but ultrasound also playing a complementary role. This article reviews the
imaging appearance of the inflammatory myopathies, other forms of myositis, and
mimickers of myositis, with a discussion of distinguishing features for each
entity. The fascia and disease processes that preferentially involve the fascia
are also reviewed.
PMID- 29791958
TI - Imaging Patterns of Muscle Atrophy.
AB - The role of muscle imaging in the diagnosis of inherited and acquired muscle
diseases has gained clinical relevance. In particular, magnetic resonance imaging
(MRI) is increasingly being used for diagnostic purposes, especially with its
capability of whole-body musculature assessment. The assessment and
quantification of muscle involvement in muscle diseases can be of diagnostic
value by identifying a certain involvement pattern and thus narrowing the
differential diagnosis and supporting the clinical diagnosis. In addition, more
recently the role of imaging has gone beyond diagnostic purposes and includes
disease as well as treatment monitoring. Conventional and quantitative muscle MRI
techniques allow for the detection of subclinical disease progression (e.g., in
muscular dystrophies) and is a powerful surrogate outcome measure in clinical
trials. We present and discuss recent data on the role of conventional and
quantitative MRI in the diagnosis and monitoring of inherited dystrophic muscle
diseases as well as muscle denervation.
PMID- 29791960
TI - Ultrasound of the Brachial Plexus.
AB - Examination of the brachial plexus with ultrasound is efficient because it allows
many parts of the brachial plexus as well as the surrounding soft tissues to be
assessed with high spatial resolution. The key to performing good ultrasound of
the brachial plexus is being familiar with the anatomy and the common variants.
That makes it possible to concentrate solely on the ultrasound appearances free
of simultaneously wondering about the anatomy. Ultrasound of the brachial plexus
is particularly good for assessing nerve sheath tumor, perineural fibrosis,
metastases, some inflammatory neuropathies, neuralgic amyotrophy, and
posttraumatic sequalae. It is limited in the assessment of thoracic outlet
syndrome and in the acute/subacute trauma setting. This review addresses the
anatomy, ultrasound technique, as well as pathology of the brachial plexus from
the cervical foramina to the axilla.
PMID- 29791959
TI - Sarcopenia: Beyond Muscle Atrophy and into the New Frontiers of Opportunistic
Imaging, Precision Medicine, and Machine Learning.
AB - As populations continue to age worldwide, the impact of sarcopenia on public
health will continue to grow. The clinically relevant and increasingly common
diagnosis of sarcopenia is at the confluence of three tectonic shifts in
medicine: opportunistic imaging, precision medicine, and machine learning. This
review focuses on the state-of-the-art imaging of sarcopenia and provides context
for such imaging by discussing the epidemiology, pathophysiology, consequences,
and future directions in the field of sarcopenia.
PMID- 29791961
TI - Getting Started with Magnetic Resonance Neurography.
AB - This article provides a review of magnetic resonance neurography (MRN) and how to
get started. It explains step by step how to establish MRN at an institution: how
to set up MRN protocols, how to train technicians, what a report needs to
contain, and how relevant findings should be communicated to the referring
physician. Advanced imaging techniques such as diffusion tensor imaging are only
briefly discussed at the end of the article because most of those techniques are
difficult for beginners and are still not considered standard in the clinical
routine.
PMID- 29791962
TI - Nerve Entrapment Syndromes at the Wrist and Elbow by Sonography.
AB - Nerve entrapment syndromes of the upper extremity are associated with structural
abnormalities or by an intrinsic abnormality of the nerve. Nerve entrapment
syndromes generally have a typical clinical presentation, and findings on
physical examination and in conjunction with electrodiagnostic studies imaging is
used to evaluate the cause, severity, and etiology of the entrapment. With the
development of high-frequency linear array transducers (12-24 MHz), ultrasound
(US) is incomparable in terms of spatial resolution to depict morphological
aspects and changes in nerves. US can identify the abnormalities causing
entrapment, such as fibrous bands, ganglia, anomalous muscles, and osseous
deformities, with the advantage of dynamic assessment under active and passive
examination. US is a unique diagnostic modality that allows superb visualization
of both large and small peripheral terminal nerve branches of the upper extremity
and enables the correct diagnosis of various nerve entrapment syndromes.
PMID- 29791964
TI - Ultrasound-Guided Treatment of Peripheral Nerve Pathology.
AB - High-resolution ultrasound serves as a fast, accessible, reliable, and radiation
free tool for anatomical and dynamic evaluation of various peripheral nerves. It
can be used not only to identify and diagnose peripheral nerve and perineural
pathology accurately but also to guide various nerve and perineural
interventions. We describe the normal and pathologic appearances of peripheral
nerves, the pathologies commonly affecting the individual peripheral nerves, and
the current ultrasound-guided peripheral nerve interventions and techniques.
Future directions are also highlighted.
PMID- 29791963
TI - Nerve Entrapment in Ankle and Foot: Ultrasound Imaging.
AB - Peripheral nerve entrapment of the ankle and foot is relatively uncommon and
often underdiagnosed because electrophysiologic studies may not contribute to the
diagnosis. Anatomy of the peripheral nerves is variable and complex, and along
with a comprehensive physical examination, a thorough understanding of the
applied anatomy is essential. Several studies have helped identify specific areas
in which nerves are commonly compressed. Identified secondary causes of nerve
compression include previous trauma, osteophytes, ganglion cysts, edema,
accessory muscles, tenosynovitis, vascular lesions, and a primary nerve tumor.
Imaging plays a key role in identifying primary and secondary causes of nerve
entrapment, specifically ultrasound (US) and magnetic resonance imaging. US is a
dynamic imaging modality that is cost effective and offers excellent resolution.
Symptoms of nerve entrapment may mimic other common foot and ankle conditions
such as plantar fasciitis.
PMID- 29791965
TI - Searching for non-transposable targets of planarian nuclear PIWI in pluripotent
stem cells and differentiated cells.
AB - Nuclear PIWIs together with their guide RNAs (piRNAs) epigenetically silence
various genes including transposons in many organisms. In planarians, the nuclear
piwi family gene, DjpiwiB is specifically transcribed in adult pluripotent stem
cells (adult PSC, neoblast), but not in differentiated cells. However, the
protein accumulates in the nuclei of both neoblasts and their descendant
differentiated cells. Interestingly, PIWI(DjPiwiB)-piRNA complexes are
indispensable for the repression of transposable genes at the onset of
differentiation from neoblasts. Here, we conducted a comparative transcriptome
analysis between control and DjpiwiB(RNAi) animals to identify non-transposable
target genes of the DjPiwiB-piRNA complexes. Using bioinformatic analyses and
RNAi we demonstrate that DjPiwiB-piRNA complexes are required for the proper
expression of Djmcm2 and Djhistone h4 in neoblasts and that DjPiwiB-piRNA
complexes regulate the transient expression of Djcalu during neoblast
differentiation. Thus, DjPiwiB-piRNA complexes regulate the correct expression
patterns during neoblast self-renewal and differentiation.
PMID- 29791966
TI - Outcomes of Bethesda categories III and IV thyroid nodules over 5 years and
performance of the Afirma gene expression classifier: A single-institution study.
AB - OBJECTIVE: The second edition Bethesda System for Reporting Thyroid Cytology
estimates 6%-18% malignancy rate of category III (B3) and 10%-40% for category IV
(B4) nodules; however, reported malignancy rates have considerable variability
among institutions. Use of molecular classifiers (including Afirma Gene
Expression Classifier, GEC) can be utilized in management of thyroid nodules. Our
objective was to analyse malignancy rates of B3 and B4 nodules and determine
clinical outcomes of GEC Benign nodules. METHODS: A retrospective analysis of
2019 thyroid FNAs was performed at the University of Colorado from 2011 to 2015,
including molecular, surgical and clinical follow-up. RESULTS: Of 2019 FNAs
analysed, 231 (11.4%) were diagnosed as B3 and 80 (4.0%) as B4. GEC was obtained
in 54.1% of B3 cases, with nearly half (48.8%) having a Benign result. Surgery
was performed in 40.7% B3 cases with a 24.5% malignancy rate, ranging 8%-38% by
year. In the B4 group, 52.5% underwent molecular testing with 28.6% as GEC
Benign. About 68.8% of B4 cases underwent surgery with a 20% malignancy rate,
ranging 0%-42% by year. Seventy-three GEC Benign cases were reviewed: 5 (6.8%)
underwent surgery, with none demonstrating malignancy in the target nodule. Size
remained stable for most GEC Benign nodules: 75.9% (B3) and 71.4% (B4) with no
malignancy on repeat FNA. CONCLUSIONS: Our 5-year review demonstrated that
malignancy rates of B3 and B4 nodules showed year-to-year variability. We suggest
that clinicians use a multi-year average of their institution's malignancy rates
to optimally manage patients. Follow-up for GEC Benign cases thus far supports
their indolent nature.
PMID- 29791968
TI - Multimodality treatment of hepatocellular carcinoma: How field practice complies
with international recommendations.
AB - BACKGROUND: Management of hepatocellular carcinoma (HCC) is framed within
standardized protocols released by Scientific Societies, whose applicability and
efficacy in field practice need refining. AIM: We evaluated the applicability and
effectiveness of guidelines for the treatment of HCC of the American Association
for the Study of the Liver (AASLD). METHODS: 370 consecutive cirrhotic patients
with de novo HCC in different stages, 253 BCLC A, 66 BCLC B, 51 BCLC C received
treatment through a multidisciplinary team (MDT) decision and were followed until
death or end of follow-up. RESULTS: Treatment was adherent to AASLD
recommendations in 205 (81%) BCLC A patients, 36 (54%) BCLC B, and 27 (53%) BCLC
C. Radiological complete response was achieved in 165 (45%) patients after the
first-line treatment, in 22 (19%) after a second-line and in 9 (23%) after a
third-line treatment. Adherence to AASLD recommendation allowed a lower yearly
mean mortality rate in BCLC A patients compared with other treatment (5.0% vs
10.4% P = .004), whereas upward treatment stage migration compared with the
standard of care was associated with reduced yearly mortality in BCLC B (8.6% vs
20.7%, P = .029) and BCLC C (42.6% vs 59.0%, P = .04) patients. CONCLUSIONS: HCC
multimodality treatment including other than first-line therapy is common in
clinical practice and impact on the achievement of complete response.
Personalized treatment was able to provide survival benefits to patients whose
profile is not accounted for by international recommendations, which need to be
amended.
PMID- 29791967
TI - Global Emergency Medicine: A review of the literature from 2017.
AB - OBJECTIVES: The Global Emergency Medicine Literature Review (GEMLR) conducts an
annual search of peer-reviewed and gray literature relevant to global emergency
medicine (EM) to identify, review, and disseminate the most important new
research in this field to a global audience of academics and clinical
practitioners. METHODS: This year, 17,722 articles written in three languages
were identified by our electronic search. These articles were distributed among
20 reviewers for initial screening based on their relevance to the field of
global EM. Another two reviewers searched the gray literature, yielding an
additional 11 articles. All articles that were deemed appropriate by at least one
reviewer and approved by their editor underwent formal scoring of overall quality
and importance. Two independent reviewers scored all articles. RESULTS: A total
of 848 articles met our inclusion criteria and underwent full review. 63% were
categorized as emergency care in resource-limited settings, 23% as disaster and
humanitarian response, and 14% as emergency medicine development. 21 articles
received scores of 18.5 or higher out of a maximum score 20 and were selected for
formal summary and critique. Inter-rater reliability testing between reviewers
revealed a Cohen's Kappa of 0.344. CONCLUSIONS: In 2017, the total number of
articles identified by our search continued to increase. Studies and reviews with
a focus on infectious diseases, pediatrics, and trauma represented the majority
of top-scoring articles. This article is protected by copyright. All rights
reserved.
PMID- 29791969
TI - Preparation of four 1,4-dihydropyridine derivatives (DHPs) labeled with carbon
14.
AB - The importance of DHPs compounds and the need for examining the mechanism of
their effect, mandated us to synthesize a number of carbon-14 labeled 1,4
dihydropyridine derivatives for pharmacological studies. Simple preparation and
suitable radiochemical yield were advantages of this preparation.
PMID- 29791970
TI - Effect of high-intensity resistance circuit-based training in hypoxia on aerobic
performance and repeat sprint ability.
AB - Recent acute studies have shown that high-intensity resistance circuit-based
(HRC) training in hypoxia increases metabolic stress. However, no intervention
studies have yet proven their effectiveness. This study aimed to analyze the
effect of 8 weeks of HRC in hypoxia on aerobic performance, resting energy
expenditure (REE), repeat sprint ability (RSA) and hematological variables.
Twenty-eight subjects were assigned to hypoxia (FiO2 = 15%; HRChyp : n = 15;
age: 24.6 +/- 6.8 years; height: 177.4 +/- 5.9 cm; weight: 74.9 +/- 11.5 kg) and
normoxia (FiO2 = 20.9%; HRCnorm : n = 13; age: 23.2 +/- 5.2 years; height: 173.4
+/- 6.2 cm; weight: 69.4 +/- 7.4 kg) groups. Each training session consisted of
two blocks of three exercises (Block 1: bench press, leg extension, front pull
down; 2: deadlift, elbow flexion, ankle extension). Each exercise was performed
at 6 repetitions maximum. Participants exercised twice weekly for 8 weeks and
before and after the training program blood test, REE, RSA and treadmill running
test were performed. Fatigue index in the RSA test was significantly decreased in
the HRChyp (-0.9%; P < .01; ES = 2.75) but not in the HRCnorm . No changes were
observed in REE and hematological variables. Absolute (4.5%; P = .014; ES = 0.42)
and relative (5.2%; P = .008; ES = 0.43) maximal oxygen uptake (VO2 max), speed
at VO2 max (4%; P = .010; ES = 0.25) and time to exhaustion (4.1%; P = .012; ES =
0.26) were significantly increased in HRChyp but not in the HRCnorm . No
significant differences between groups were found. Compared with normoxic
conditions, 8 weeks of HRC training under hypoxic conditions efficiently improves
aerobic performance and RSA without changes in REE and red blood O2 -carrying
capacity.
PMID- 29791972
TI - Laparoscopic radical nephrectomy for a right renal tumor with renal vein tumor
thrombus in a patient with situs inversus totalis.
AB - Situs inversus totalis (SIT) is a rare congenital anomaly characterized by
complete inversion of the thoracic and abdominal organs. Many intra-abdominal and
vessel anomalies have been reported in association with SIT. However, there have
been no reports on the use of laparoscopic radical nephrectomy with thrombectomy
for renal vein thrombus, which is considered as a safe and feasible procedure, in
patients with SIT. We herein present the case of an 80-year-old man with SIT who
was preoperatively diagnosed with a right renal tumor and renal vein tumor
thrombus. The patient underwent laparoscopic right nephrectomy and tumor
thrombectomy with no intraoperative complications. To ensure a safe procedure,
the anatomy and vessels were carefully evaluated preoperatively using 3-D
multiplanar reconstructed CT imaging. Assessing anatomical structures leads to
safer laparoscopic radical nephrectomy for renal cell carcinoma with venous tumor
thrombus in patients with SIT.
PMID- 29791971
TI - Predictors of treatment success after collagenase Clostridium histolyticum
injection for Peyronie's disease: development of a nomogram from a multicentre
single-arm, non-placebo controlled clinical study.
AB - OBJECTIVE: To build a nomogram able to predict treatment success after
collagenase Clostridium histolyticum (CCH) for Peyronie's disease (PD). MATERIALS
AND METHODS: Between November 2016 and November 2017, we enrolled 135 patients
with PD into a multicentre single-arm prospective study. All patients enrolled
received CCH treatment. Success of therapy was defined as a decrease in penile
curvature (PC) of >=20 degrees from baseline. Treatment satisfaction was
assessed using a scale from 1 to 10, and high satisfaction was arbitrarily
defined as a score of >=8. Calcification level was classified as: absence of
calcification; low perilesional calcification; and high calcification. RESULTS:
The median (interquartile range [IQR]) patient age was 56.0 (45.0-65.0) years and
the median (IQR) was PC was 30 (30.0-60.0) degrees . After the treatment
protocol, we observed a significant median change in PC of -20.0 degrees (P <
0.01). The median (IQR) PC improvement was 44 (28.0-67.0)%. Overall median (IQR)
satisfaction score was 8.0 (7.0-9.0). Treatment efficacy was reported in a total
of 77 patients (57.04%). When analysing factors associated with PC improvement
after treatment, we found that baseline PC (odds ratio [OR] 1.14; P < 0.01),
basal plaque (OR 64.27; P < 0.01), low calcification (OR 0.06; P < 0.01) and high
calcification (OR 0.03; P < 0.01) were significant predictors of PC improvement.
The c-index for the model was 0.93. CONCLUSIONS: Patients with longer PD
duration, greater baseline PC and basal plaque location had a greater chance of
treatment success. These results could be applied to clinical practice before
external validation of our nomogram.
PMID- 29791973
TI - A forbidden topic at the end of life: "What about you after I'm gone?"
PMID- 29791974
TI - Isolation and identification of Vibrio species in the Rio Bravo/Grande and water
bodies from Reynosa, Tamaulipas.
AB - : The Rio Bravo (Rio Grande) adjoins various states in the Mexican region and has
a great importance in water distribution in the northeast Tamaulipas (Mexico). In
this work 161 strains were isolated, identified and characterized from the water
samples taken from the flow of the Rio Bravo and the two inner canals that cover
Reynosa city. The strains were identified as Vibrio cholerae (74.5%), Vibrio spp.
(1.2%) and Vibrio mimicus (0.6%). Furthermore, the detected virulence genes in
the V. cholerae strains, were the hlyA, ompU, tcpA, toxR genes in 78.3, 62.5,
15.8 and 90.8% respectively. Only the ompU and vmh genes were detected in the V.
mimicus strain. These results indicate the presence of multi-toxigenic V.
cholerae strains in the Rio Bravo/Grande and in the water bodies from Reynosa
city, which could represent a risk for the exposed population. SIGNIFICANCE AND
IMPACT OF THE STUDY: Water quality is associated with public health, as it plays
an important role in the transmission and epidemiology of pathogens such as
Vibrio, since this species have been responsible for human diseases around the
world. This study demonstrated the presence of toxigenic Vibrio species in water
bodies in Reynosa surroundings, indicating that water bodies may be a source of
public health risk.
PMID- 29791975
TI - CD44 and ALDH1 immunoexpression as prognostic indicators of invasion and
metastasis in oral squamous cell carcinoma.
AB - BACKGROUND: Tumour metastasis has been associated with cancer stem cells, a small
population with stem-like cells properties, higher rate of migration and
metastatic potential compared to cells from the tumour bulk. Our aim was to
evaluate the immunoexpression of the putative cancer stem cell biomarkers ALDH1
and CD44 in primary tumour and corresponding metastatic lymph nodes. METHODS:
Tumour tissue specimens (n = 50) and corresponding metastatic lymph nodes (n =
25) were surgically obtained from 50 patients with oral squamous cell carcinoma
and submitted to immunohistochemistry. CD44 and ALDH1 were semi-quantitatively
scored according to the proportion and intensity of positive cells within the
invasive front and metastatic lymph nodes as a whole. A combined score was
obtained by multiplying both parameters and later dichotomized into a final score
classified as low (<=2) or high (>2) immunoexpression. RESULTS: ALDH1
immunoexpression and CD44 immunoexpression were detected in both tumour sites,
although the means of ALDH1 (P = .0985) and CD44 (P = .4220) cells were higher in
metastasis compared to primary tumours. ALDH1high was positively associated (P =
.0184) with angiolymphatic invasion, while CD44high was positively associated (P
= .0181) with metastasis (N+). At multivariate analysis, CD44 significantly
increased the odds of lymph node metastasis, regardless of T stage (OR = 8.24;
1.64-65.64, P = .0088). CONCLUSIONS: CD44 immunoexpression was a significant
predictor of lymph node metastasis, while ALDH1high immunostaining was associated
with angiolymphatic invasion. Altogether, it suggests that immunoexpression of
CD44 and ALDH1 links the cancer stem cell phenotype with oral squamous cell
carcinoma invasion and metastasis.
PMID- 29791976
TI - A CIPK protein kinase targets sucrose transporter MdSUT2.2 at Ser254 for
phosphorylation to enhance salt tolerance.
AB - Soil salinity is one of the major abiotic stressors that negatively affect crop
growth and yield. Salt stress can regulate antioxidants and the accumulation of
osmoprotectants. In the study, a sucrose transporter MdSUT2.2 was identified in
apple. Overexpression of MdSUT2.2 gene increased salt tolerance in the transgenic
apple, compared with the WT control "Gala." In addition, it was found that
protein MdSUT2.2 was phosphorylated at Ser254 site in response to salt. A DUAL
membrane yeast hybridization system through an apple cDNA library demonstrated
that a protein kinase MdCIPK13 interacted with MdSUT2.2. A series of transgenic
analysis in apple calli showed that MdCIPK13 was required for the salt-induced
phosphorylation of MdSUT2.2 protein and enhanced its stability and transport
activity. Finally, it was found that MdCIPK13 improved salt resistance in an
MdSUT2.2-dependent manner. These findings had enriched our understanding of the
molecular mechanisms underlying abiotic stress.
PMID- 29791977
TI - No association between rate of torque development and onset of muscle activity
with increased risk of hamstring injury in elite football.
AB - Hamstring injuries remain a significant burden in sports that involve high-speed
running. In elite male football, hamstring injury has repeatedly been identified
as the most common non-contact injury, representing 12% of all injuries. As the
incidence remains high, investigations are aimed at better understanding how to
improve prevention efforts. Intrinsic risk factors such as strength have been
investigated extensively in a cohort of professional football players; however,
other intrinsic measures of neuromuscular function have not been studied in this
cohort. This study aims to investigate the association between timing of
hamstring muscle activity onset and the rate of torque development during the
early phase of isokinetic strength testing with risk of hamstring injury in
professional football players in a prospective cohort study. All teams (n = 18)
eligible to compete in the premier football league in Qatar underwent a
comprehensive strength assessment during their annual periodic health evaluation
at Aspetar Orthopaedic and Sports Medicine Hospital in Doha, Qatar. Variables
included rate of torque development and timing of muscle activity onset. A total
of 367 unique players (60.6% of all QSL players) competed for 514 player seasons
(103 players competed both seasons) and sustained 65 hamstring injuries. There
was no difference in the onset of muscle activity between the biceps femoris and
medial hamstrings comparing the injured to uninjured players. For both onset of
muscle activity and rate of torque development, there were no significant
differences between any of the variables (P > .05), with small effect sizes
detected across all the different variables (d < 0.3). Rate of torque development
and onset of muscle activity were not associated with a risk of future hamstring
injury. The use of these measures as part of a periodic health evaluation to
identify risk of hamstring injury is unsupported.
PMID- 29791978
TI - Combination of endoscopic submucosal dissection and transanal minimally invasive
surgery for the resection of early rectal cancer with fibrosis after prior
partial excision.
AB - Endoscopic submucosal dissection is an effective procedure for treating non
invasive colorectal tumors. However, in cases of severe fibrosis, endoscopic
submucosal dissection may be technically difficult, leading to incomplete
resection. Here, we describe the case of a 74-year-old man who had early rectal
cancer along with severe submucosal fibrosis caused by prior local excision.
Combination treatment with endoscopic submucosal dissection and transanal
minimally invasive surgery successfully enabled complete resection.
PMID- 29791980
TI - Pulmonary artery dissection caused by extension of acute type B aortic dissection
through the ductus arteriosus.
PMID- 29791981
TI - Inguinoscrotal hernia containing the urinary bladder successfully repaired using
laparoscopic transabdominal preperitoneal repair technique: A case report.
AB - We report herein a patient with an inguinoscrotal hernia containing the urinary
bladder. The hernia was safely repaired using the laparoscopic transabdominal
preperitoneal repair technique. A 76-year-old man was admitted to our hospital
with abdominal pain, vomiting, and diarrhea. His scrotum was swollen to fist
size. Abdominal CT showed herniation of the sigmoid colon and the bladder into
the right inguinal region, and his abdominal pain was attributed to incarceration
of the sigmoid colon; this was manually reduced. About 1 month later, we
performed transabdominal preperitoneal repair. After the direct hernial orifice
was identified, the bladder was noted to be sliding from the medial side of the
hernia; this was reduced. Peeling on the medial side was carried out to the
middle of the abdominal wall, and the myopectineal orifice was covered with mesh.
The patient was discharged on postoperative day 1.
PMID- 29791983
TI - [Management of Gallstone].
AB - Gallstones are one of the most common diseases worldwide. Recently, the incidence
of gallstones has increased and the pattern of gallstones has changed in Korea.
Laparoscopic cholecystectomy is the standard treatment for symptomatic
gallstones. Expectant management is considered the most appropriate choice in
patients with asymptomatic gallstones. The dissolution of cholesterol gallstones
by oral bile acid, such as ursodeoxycholic acid, can be considered in selected
patients with gallstones. Although the advent of laparoscopic cholecystectomy has
moved interest away from the pharmacologic treatment of gallstones, several
promising agents related to various mechanisms are under investigation.
PMID- 29791982
TI - [Management of Intrahepatic Duct Stone].
AB - Intrahepatic duct (IHD) stone is the presence of calculi within the intrahepatic
bile duct specifically located proximal to the confluence of the left and right
hepatic ducts. This stone is characterized by its intractable nature and frequent
recurrence, requiring multiple therapeutic interventions. Without proper
treatment, biliary strictures and retained stones can lead to repeated episodes
of cholangitis, liver abscesses, secondary biliary cirrhosis, portal
hypertension, and death from sepsis or hepatic failure. The ultimate treatment
goals for IHD stones are complete removal of the stone, the correction of the
associated strictures, and the prevention of recurrent cholangitis. A surgical
resection can satisfy the goal of treatment for hepatolithiasis, i.e., complete
removal of the IHD stones, stricture, and the risk of cholangiocarcinogenesis. On
the other hand, in some cases, such as bilateral IHD stones, surgery alone cannot
achieve these goals. Therefore, the optimal treatments require a
multidisciplinary approach, including endoscopic and radiologic interventional
procedures before and/or after surgery. Percutaneous transhepatic cholangioscopic
lithotomy (PTCS-L) is particularly suited for patients at poor surgical risk or
who refuse surgery and those with previous biliary surgery or stones distributed
in multiple segments. PTCS-L is relatively safe and effective for the treatment
of IHD stones, and complete stone clearance is mandatory to reduce the sequelae
of IHD stones. An IHD stricture is the main factor contributing to incomplete
clearance and stone recurrence. Long-term follow-up is required because of the
overall high recurrence rate of IHD stones and the association with
cholangiocarcinoma.
PMID- 29791984
TI - [The Management of Common Bile Duct Stones].
AB - Common bile duct (CBD) stone is a relatively frequent disorder with a prevalence
of 10-20% in patients with gallstones. This is also associated with serious
complications, including obstructive jaundice, acute suppurative cholangitis, and
acute pancreatitis. Early diagnosis and prompt treatment is the most important
for managing CBD stones. According to a recent meta-analysis, endoscopic
ultrasonography and magnetic resonance cholangiopancreatography have high
sensitivity, specificity, and accuracy for the diagnosis of CBD stones.
Endoscopic ultrasonography, in particular, has been reported to have higher
sensitivity between them. A suggested management algorithm for patients with
symptomatic gallstones is based on whether they are at low, intermediate, or high
probability of CBD stones. Single-stage laparoscopic CBD exploration and
cholecystectomy is superior to endoscopic retrograde cholangiopancreatography
(ERCP) plus laparoscopic cholecystectomy with respect to technical success and
shorter hospital stay in high risk patients with gallstones and CBD stones, where
expertise, operative time, and instruments are available. ERCP plus laparoscopic
cholecystectomy is usually performed to treat patients with CBD stones and
gallstones in many institutions. Patients at intermediate probability of CBD
stones after initial evaluation benefit from additional biliary imaging. Patients
with a low probability of CBD stones should undergo cholecystectomy without
further evaluation. Endoscopic sphincterotomy and endoscopic papillary balloon
dilation in ERCP are the primary methods for dilating the papilla of Vater for
endoscopic removal of CBD stones. Endoscopic papillary large balloon dilation is
now increasingly performed due to the usefulness in the management of giant or
difficult CBD stones. Scheduled repeated ERCP may be considered in patients with
high risk of recurrent CBD stones.
PMID- 29791979
TI - Functional and structural characterization of zebrafish ASC.
AB - : The zebrafish genome encodes homologs for most of the proteins involved in
inflammatory pathways; however, the molecular components and activation
mechanisms of fish inflammasomes are largely unknown. ASC [apoptosis-associated
speck-like protein containing a caspase-recruitment domain (CARD)] is the only
adaptor involved in the formation of multiple types of inflammasomes. Here, we
demonstrate that zASC is also involved in inflammasome activation in zebrafish.
When overexpressed in vitro and in vivo in zebrafish, both the zASC and zASC
pyrin domain (PYD) proteins form speck and filament structures. Importantly, the
crystal structures of the N-terminal PYD and C-terminal CARD of zebrafish ASC
were determined independently as two separate entities fused to maltose-binding
protein. Structure-guided mutagenesis revealed the functional relevance of the
PYD hydrophilic surface found in the crystal lattice. Finally, the fish caspase-1
homolog Caspy, but not the caspase-4/11 homolog Caspy2, interacts with zASC
through homotypic PYD-PYD interactions, which differ from those in mammals. These
observations establish the conserved and unique structural/functional features of
the zASC-dependent inflammasome pathway. DATABASE: Structural data are available
in the PDB under accession numbers 5GPP and 5GPQ.
PMID- 29791985
TI - [Management of Acute Cholecystitis].
AB - Acute cholecystitis is a common serious complication of gallstones. The reported
mortality of acute cholecystitis is approximately 3%, but the rate increases with
age or comorbidity of the patient. If appropriate treatment is delayed,
complications can develop as a consequence with a grave prognosis. The current
standard of care in acute cholecystitis is an early laparoscopic cholecystectomy
with the appropriate administration of fluid, electrolyte, and antibiotics. On
the other hand, the severity of the disease and patient's operational risk must
be considered. In those with high operational risks, gall bladder drainage can be
performed as an alternative. Currently percutaneous and endoscopic drainage are
available and show clinical success in most cases. After recovering from acute
cholecystitis, the patients who have undergone drainage should be considered for
cholecystectomy as a definitive treatment. However, in elderly patients or
patients with significant comorbidity, operational risks may still be high,
making cholecystectomy inappropriate. In these patients, gallstone removal using
the percutaneous tract or endoscopy may be considered.
PMID- 29791986
TI - [Revision of Quality Indicators for the Endoscopy Quality Improvement Program of
the National Cancer Screening Program in Korea].
AB - Gastroscopy and colonoscopy are widely used for the early diagnosis of stomach
and colorectal cancer. The present revision integrates recent data regarding
previous quality indicators and novel indicators suggested for gastroscopy and
colonoscopy procedures for the National Cancer Screening Program in Korea. The
new indicators, developed by the Quality Improvement Committee of the Korean
Society for Gastrointestinal Endoscopy, vary in the level of supporting evidence,
and most are based solely on expert opinion. Updated indicators validated by
clinical research were prioritized, but were chosen by expert consensus when such
studies were absent. The resultant quality indicators were graded according to
the levels of consensus and recommendations. The updated indicators will provide
a relevant guideline for high-quality endoscopy. The future direction of quality
indicator development should include relevant outcome measures and an evidence
based approach to support proposed performance targets.
PMID- 29791987
TI - [Management of Patients on Antithrombotic Agents Undergoing Endoscopy].
AB - Antithrombotic agents are used increasingly in Asia. The management of patients
on antithrombotics undergoing elective or emergency endoscopy has become an
increasing clinical challenge for gastroenterologists. Current practice
guidelines have been developed by societies from western countries. On the other
hand, these guidelines cannot meet the specific needs of the Asian Pacific
region, raising the need for separate guidelines in Asia. This review compares
the recommendations of previous guidelines with the most recently published Asian
guidelines regarding the management of patients on antithrombotic agents
undergoing elective and emergency endoscopy.
PMID- 29791988
TI - [Liver Abscess Secondary to Perforation after Duodenal Endoscopic Resection].
AB - Duodenal perforation is a complication of endoscopic mucosal resection. Liver
abscess secondary to iatrogenic perforation is extremely rare. A 43-year-old
female visited the hospital to remove a sub-epithelial tumor on the duodenal
bulb. After endoscopic mucosal resection with band ligation, duodenal perforation
occurred. Endoscopic closure was performed successfully using a clipping device
to manage duodenal perforation. After 4 weeks, the patient visited our outpatient
clinic due to abdominal pain and fever. Abdominal computed tomography showed
liver abscess that involved segment three. Liver abscess was resolved with a 10
week antibiotic treatment. To the best of our knowledge, no case of liver abscess
secondary to duodenal perforation by endoscopic resection was reported to date in
Korea. Here, we report a case of liver abscess caused by a duodenal perforation
after endoscopic mucosal resection.
PMID- 29791989
TI - An Unexpected Adverse Event during Colonoscopy Screening: Bochdalek Hernia.
AB - Bochdalek hernia (BH) is defined as herniated abdominal contents appearing
throughout the posterolateral segment of the diaphragm. It is usually observed
during the prenatal or newborn period. Here, we report a case of an adult patient
with herniated omentum and colon due to BH that was discovered during a
colonoscopy. A 41-year-old woman was referred to our hospital with severe left
chest and abdominal pain that began during a colonoscopy. Her chest radiography
showed colonic shadow filling in the lower half of the left thoracic cavity. A
computed tomography scan revealed an approximately 6-cm-sized left posterolateral
diaphragmatic defect and a herniated omentum in the colon. The patient underwent
thoracoscopic surgery, during which, the diaphragmatic defect was closed and
herniated omentum was repaired. The patient was discharged without further
complications. To the best of our knowledge, this case is the first report of BH
in an adult found during a routine colonoscopy screening.
PMID- 29791990
TI - Annular Pancreas in Adult.
PMID- 29791991
TI - The Role of Serum HBV-RNA Levels as a Marker of Intrahepatic Viral and Histologic
Activity.
PMID- 29791993
TI - Rate Constant for the Recombination Reaction CH3 + CH3 -> C2H6 at T = 298 and 202
K.
AB - The recombination of methyl radicals is the major loss process for methyl in the
atmospheres of Saturn and Neptune. The serious disagreement between observed and
calculated levels of CH3 has led to suggestions that the atmospheric models
greatly underestimated the loss of CH3 due to poor knowledge of the rate of the
reaction CH3 + CH3 + M -> C2H6 + M at the low temperatures and pressures of these
atmospheric systems. In an attempt to resolve this problem, the absolute rate
constant for the self-reaction of CH3 has been measured using the discharge-flow
kinetic technique coupled to mass spectrometric detection at T = 202 and 298 K
and P = 0.6-2.0 Torr nominal pressure (He). CH3 was produced by the reaction of F
with CH4, with [CH4] in large excess over [F], and detected by low energy (11 eV)
electron impact ionization at m/ z = 15. The results were obtained by graphical
analysis of plots of the reciprocal of the CH3 signal vs reaction time. At T =
298 K, k 1(0.6 Torr) = (2.15 +/- 0.42) * 10-11 cm3 molecule-1 s-1 and k 1(1 Torr)
= (2.44 +/- 0.52) * 10-11 cm3 molecule-1 s-1. At T = 202 K, the rate constant
increased from k 1(0.6 Torr) = (5.04 +/- 1.15) * 10-11 cm3 molecule-1 s-1 to k
1(1.0 Torr) = (5.25 +/- 1.43) * 10-11 cm3 molecule-1 s-1 to k 1(2.0 Torr) = (6.52
+/- 1.54) * 10-11 cm3 molecule-1 s-1, indicating that the reaction is in the
falloff region. Klippenstein and Harding had previously calculated rate constant
falloff curves for this self-reaction in Ar buffer gas. Transforming these
results for a He buffer gas suggest little change in the energy removal per
collision, -d, with decreasing temperature and also indicate that
d for He buffer gas is approximately half of that for Argon. Since the
experimental results seem to at least partially affirm the validity of the
Klippenstein and Harding calculations, we suggest that, in atmospheric models of
the outer planets, use of the theoretical results for k 1 is preferable to
extrapolation of laboratory data to pressures and temperatures well beyond the
range of the experiments.
PMID- 29791994
TI - Letter to the Editor Regarding "Optical Coherence Tomography in the Management of
Skull Base Fibrous Dysplasia with Optic Nerve Involvement".
PMID- 29791992
TI - Role of the Duodenum in the Pathogenesis of Functional Dyspepsia: A Paradigm
Shift.
AB - Functional dyspepsia (FD) is a common disorder characterized by chronic
epigastric pain or burning, or bothersome postprandial fullness or early
satiation, without a definitive organic cause. The pathogenesis of FD is likely
heterogeneous. Classically, motor disorders, visceral hypersensitivity, and brain
gut interactions have been implicated in the pathophysiology of FD, but recently
an important role for chronic low-grade inflammation and infection in FD has been
reported and confirmed. Duodenal low-grade inflammation is frequently observed in
FD in those with and without documented previous gastroenteritis. Duodenal
eosinophils and in some cases mast cells may together or separately play a key
role, and immune activation (eg, circulating homing small intestinal T cells) has
been observed in FD. Low-grade intestinal inflammation in patients with FD may
provoke impairment in motor-sensory abnormalities along the gastrointestinal
neural axis. Among FD patients, the risk of developing dyspeptic symptoms after a
bout of gastroenteritis is 2.54 (95% CI, 1.76-3.65) at more than 6 months after
acute gastroenteritis. Gut host and microbial interactions are likely important,
and emerging data demonstrate both quantitative and qualitative changes of
duodenal mucosal and fecal microbiota in FD. Food antigens (eg, wheat proteins)
may also play a role in inducing duodenal inflammation and dyspepsia. While
causation is not established, the hypothesis that FD is a disorder of microscopic
small intestinal inflammation in a major subset is gaining acceptance, opening
the possibility of novel treatment approaches that may be able to alter the
natural history of the disorder.
PMID- 29791995
TI - Letter to the Editor Regarding "Minimally Invasive Alternative Approaches to
Pterional Craniotomy: A Systematic Review of the Literature".
PMID- 29791997
TI - Letter to the Editor Regarding "Rupture Risk of Cerebral Arteriovenous
Malformations During Pregnancy and Puerperium: A Single-Center Experience and
Pooled Data Analysis".
PMID- 29791996
TI - Do Gliomas Behave Differently in Patients with Human Immunodeficiency Virus?
PMID- 29791998
TI - Discussion on the Hemorrhagic Risk of Female AVM Patients During Their Pregnancy
and Puerperium Periods.
PMID- 29791999
TI - Full Endoscopic Vascular Decompression: Is It What We Should Aim for?
PMID- 29792000
TI - Endoscopic Microvascular Decompression for Trigeminal Neuralgia: Is It What We
Should Aim for?
PMID- 29792001
TI - Epistemology and the World of Neurosurgery Research.
PMID- 29792002
TI - Emergence of multi-drug resistant Salmonella.
PMID- 29792003
TI - Rheumatic heart disease: Strategies for prevention and control.
PMID- 29792005
TI - Immunodiagnosis in osteoarticular tuberculosis by ELISA.
AB - BACKGROUND: It was previously difficult to confirm a clinical diagnosis of
tuberculosis by a cheap test, but recently ELISA for circulating antibodies has
been found to be reliable in certain cases. We have used this test in
osteoarticular tuberculosis. METHODS: We studied 50 patients with the disease (34
confirmed by biopsy and 16 who responded to chemotherapy) and compared them with
50 matched control subjects. ELISA was performed using Antigen 60-a cell wall
cytoplasmic antigen of Mycobacterium tuberculosis. RESULTS: The test was positive
in 27 out of 34 biopsy proven cases, 11 out of 16 patients who responded to
chemotherapy and in only 1 out of 50 control subjects. CONCLUSIONS: ELISA using
Antigen 60 may be a useful confirmatory test for osteoarticular tuberculosis.
PMID- 29792004
TI - A rheumatic fever and rheumatic heart disease control programme in a rural
community of north India.
AB - BACKGROUND: This study was conducted in a rural community of north India to
evaluate a rheumatic fever and rheumatic heart disease control programme which
used the existing health and educational infrastructure. METHODS: A health
education campaign was launched in a rural community block (population 140 000)
with a similar non-contiguous block (population 180 000) serving as a control. In
the intervention block, 74 primary health workers, 773 teachers and 12 500
students were trained to suspect the disease. Twelve medical officers in four
health centres registered patients, who were put on secondary prophylaxis with
penicillin or sulphonamide, and monitored their compliance. All the cases were
examined by a cardiologist to confirm the diagnosis; if the diagnosis was not
confirmed secondary prophylaxis was stopped. RESULTS: In the two years preceding
intervention, 13 cases (case detection rate 3.6/100 000 population/year) were
detected from the health centre records in the control and 22 (7.8/100 000/year)
from the intervention block. During the two years of study 16 new cases (4.4/100
000/year) were registered in the control block whereas 254 suspected cases of
rheumatic fever and rheumatic heart disease were referred to medical officers in
the intervention block. Of these, 77 new cases (27.5/100 000/year) were
registered, of which 61 (79%) were subsequently confirmed to have the disease- 48
had chronic rheumatic disease and 13 their first attack of acute rheumatic fever.
Secondary prophylaxis in the form of penicillin or sulphonamide was instituted in
these patients with a compliance of 85% to 95%. CONCLUSIONS: In developing
countries, it is possible to successfully apply a secondary prevention programme
for the early detection of rheumatic fever and rheumatic heart disease using
existing primary health care auxiliaries, school teachers and pupils at an
affordable additional cost.
PMID- 29792006
TI - Antisense molecular biology.
PMID- 29792008
TI - Bombay's kidney commerce and Arab patients.
PMID- 29792007
TI - Haematopoietic growth factors.
PMID- 29792009
TI - Lymphocytes and gene therapy.
PMID- 29792010
TI - Stress and the Blood-Brain Barrier.
PMID- 29792011
TI - How to evaluate causation.
PMID- 29792012
TI - Peripheral blood smear.
PMID- 29792013
TI - Purchasing expensive medical equipment.
PMID- 29792015
TI - Acquisition of spongiform encephalo-pathies in India through sheep-brain rabies
vaccination.
PMID- 29792014
TI - Letter from London.
PMID- 29792016
TI - Erratum
PMID- 29792017
TI - Correlations of serum cystatin C and hs-CRP with vascular endothelial cell injury
in patients with active systemic lupus erythematosus.
AB - BACKGROUND: To investigate the correlations of serum cystatin C and high
sensitivity C-reactive protein (hs-CRP) with vascular endothelial cell injury in
patients with active systemic lupus erythematosus (SLE). METHODS: A total of 80
patients with SLE treated in our hospital from January 2016 to September 2017
were selected and randomly divided into stable-stage group (n=40) and active
stage group (n=40) using a random number table. The expressions of cystatin C and
hs-CRPin stable and active stages were compared, and the inner diameters of
brachial artery and levels of vascular endothelial growth factors in stable and
active stages were also compared.The correlationsof expressions of cystatin C and
hs-CRP in active stage with the inner diameter of brachial artery and vascular
endothelial growth factor were analyzed. At the same time, the correlation
between vascular endothelial growth factor and inner diameter of brachial artery
in active stage was analyzed. RESULTS: The level of cystatin C in active stage
was higher than that in stable stage (P<0.05), and the expression level of hs-CRP
in active stage was also higher than that in stable stage (P<0.05). The inner
diameter of brachial artery in active stage was smaller than that in stable stage
(P<0.05), butthe level of vascular endothelial growth factor was higher than that
in stable stage (P<0.05). The expressions of cystatin C and hs-CRP were
negatively correlated with the inner diameter of brachial artery in active stage
(P<0.05). The expressions of cystatin C and hs-CRP were positively correlated
with vascular endothelial growth factor in active stage (P<0.05). Moreover, there
was a negative correlation between vascular endothelial growth factor and inner
diameter of brachial artery in active stage (P<0.05). CONCLUSIONS: Levels of
cystatin C and hs-CRP are significantly increased in patients with active SLE,
and the increase degrees are negatively correlated with the inner diameter of
brachial artery under ultrasound, but positively correlated with the level of
vascular endothelial growth factor in vivo.
PMID- 29792018
TI - Predictive factors of a poor outcome following revascularization for critical
limb ischemia: implications for practice.
AB - BACKGROUND: Advancements in open and endovascular techniques have brought a
widespread indication of revascularization in the majority of patients with
critical limb ischemia (CLI). However, some cases still have a dismal short-term
outcome. Identifying preoperative variables that characterize these patients
could be important to prevent futile decisions. The aim of this study was to
define predictive risk factors of mortality and/or major amputation after
revascularization for CLI. METHODS: Retrospective study of 515 consecutive
patients (mean age=73 years; 73% males) undergoing open (N.=228; 44.3%) or
endovascular (N.=287; 55.7%) surgery for CLI between 2005 and 2015. Neither redo
procedures (ipsilateral or contralateral) nor acute limb ischemia patients were
included as new cases. RESULTS: Thirty-day amputation, mortality or combined
event rates were 1.4% (N.=7), 4.5% (N.=23) and 5.6% (N.=29), while at 90 days
were 4.1 (N.=21), 9.1% (N.=47) and 12.8% (N.=66), respectively. We found no
significant differences between open or endovascular surgery. Risk factors
associated with a 90-day combined event were age (OR=1.04, P=0.014), preoperative
hemoglobin (OR=0.80; P=0.003), history of acute myocardial infarction (OR=2.68;
P=0.007), ischemic ulcers (OR=2.57; P=0.014) and below-the-knee revascularization
(OR=2.20; P=0.007). The discrimination of the model was good (area under ROC
curve=0.75). Model predicted probabilities of the combined death and/or lower
limb major amputation end-point ranged (95% interval) from 1.1% to 38.1%.
CONCLUSIONS: Certain preoperative variables can predict satisfactorily the short
term outcome after revascularization for CLI, although they are not sufficiently
useful to identify the patient in whom revascularization can be clearly futile.
Further research is needed to refine a predictive model suitable for decision
making.
PMID- 29792019
TI - Alcohol use disorders, cardiomyopathy and heart transplantation: a new
management.
PMID- 29792020
TI - Daclatasvir and asunaprevir combination therapy for patients with chronic
hepatitis C virus genotype 1b infection in real world.
AB - Background/Aims: Previous studies have reported a high rate of sustained
virologic response (SVR) and a low rate of serious adverse events with the use of
daclatasvir (DCV) and asunaprevir (ASV) combination therapy. We evaluated the
efficacy and safety of DCV and ASV combination therapy for patients with chronic
hepatitis C virus (HCV) genotype 1b infection in real world. Methods: We enrolled
278 patients (184 treatment-naive patients) from five hospitals in Daegu and
Gyeongsangbuk-do. We evaluated the rates of rapid virologic response (RVR), end
of-treatment response (ETR), and SVR at 12 weeks after completion of treatment
(SVR12). Furthermore, we investigated the rate of adverse events and predictive
factors of SVR12 failure. Results: The mean age of patients was 59.5 +/- 10.6
years, and 140 patients (50.2%) were men. Seventy-seven patients had cirrhosis.
Baseline information regarding nonstructural protein 5A (NS5A) sequences was
available in 268 patients. Six patients presented with pretreatment NS5A
resistance-associated variants. The RVR and the ETR rates were 96.6% (258/267)
and 95.2% (223/232), respectively. The overall SVR12 rate was 91.6% (197/215).
Adverse events occurred in 17 patients (7.9%). Six patients discontinued
treatment because of liver enzyme elevation (n = 4) and severe nausea (n = 2).
Among these, four achieved SVR12. Other adverse events observed were fatigue,
headache, diarrhea, dizziness, loss of appetite, skin rash, and dyspnea.
Univariate analysis did not show significant predictive factors of SVR12 failure.
Conclusions: DCV and ASV combination therapy showed high rates of RVR, ETR, and
SVR12 in chronic HCV genotype 1b-infected patients in real world and was well
tolerated without serious adverse events.
PMID- 29792021
TI - Evaluation of tooth discoloration after the use of experimental medication as
root canal dressings: an in vitro study.
AB - BACKGROUND: Endodontic infections require the use of root canal dressings to
reduce microorganisms, and studies of new intracanal medications are common. Two
experimental intracanal medications that contain antibiotics and chlorhexidine
have been proposed. However, given the composition of these medications, tooth
discoloration with subsequent impacts on aesthetic parameters is a concern. The
objective of this study is to evaluate tooth discoloration after the use of
experimental intracanal medications for up to 180 days. METHODS: Thirty extracted
bovine teeth were divided into 3 groups according to intracanal medication as
follows: group I: experimental intracanal medication 1; group II: experimental
intracanal medication 2; and group III: no medication (negative control). Color
change was assessed using a spectrophotometer on day 0 (before the placement of
the material); daily until the seventh day; and 8, 15, 60 and 180 days after
removal of the medications. The values obtained were compared using ANOVA and
Tukey's tests at a significance level of 5% (P<0.05). RESULTS: Up to 60 days
after the removal of the medication, the teeth did not exhibit color changes.
However, at 180 days, compared with the other medications, experimental
intracanal medication 2 significantly promoted tooth staining (P<0.05).
CONCLUSIONS: In contrast to experimental intracanal medication 2, intracanal
medication 1 did not promote tooth discoloration.
PMID- 29792022
TI - Bidirectional Synthesis of Di- tert-butyl (2 S,6 S,8 S)- and (2 R,6 R,8 R)-1,7
Diazaspiro[5.5]undecane-2,8-dicarboxylate and Related Spirodiamines.
AB - Efficient syntheses of both enantiomers of a spirodiamine diester from (l)- and
(d)-aspartic acid are described. The key transformation was the conversion of Boc
protected tert-butyl aspartate into the derived aldehyde, two-directional Horner
Wadsworth-Emmons olefination, hydrogenation, and selective acid-catalyzed Boc
deprotection and spirocyclization. An alternative, two-directional approach to
derivatives of 1,7-diazaspiro[5.5]undecane is described.
PMID- 29792023
TI - Fern-9(11)-ene-2alpha,3beta-diol Action on Insulin Secretion under Hyperglycemic
Conditions.
AB - The objective of this study was to investigate the effect and the mechanism of
action of fernenediol as an insulin secretagogue. Wistar rats were treated with
0.1, 1, and 10 mg/kg fernenediol before inducing hyperglycemia by oral glucose.
The glycaemia, insulin, LDH, calcium, and hepatic glycogen were analyzed.
Considering the intestine and pancreas as targets for the triterpene action, the
duodenum was used to verify the influence of fernenediol on intestinal
glycosidases. Additionally, pancreatic islets were used for studies of 14C
deoxyglucose uptake and the influx of 45Ca2+ in hyperglycemic media with/without
fernenediol in the presence/absence of an inhibitor/activator of KATP channels,
glibenclamide, diazoxide, nifedipine, calcium chelator (BAPTA-AM), and H-89 and
ST, the inhibitors of the PKA and PKC enzymes. Fernenediol significantly reduced
glycaemia, potentiated glucose-induced insulin secretion, and stimulated liver
glycogen deposition in hyperglycemic rats after an in vivo treatment without
changing intestinal disaccharidases activities and showing no influence on
intestinal glucose absorption. Also, it stimulated the glucose uptake and calcium
influx in pancreatic islets. The involvement of voltage-dependent L-type calcium
channels and ATP-dependent potassium channels and the release of calcium from
intracellular stores are mandatory for the stimulatory effect of fernenediol on
calcium influx. Fernenediol did not change PKA and PKC activities or modify
calcium levels. This triterpene is a potent antihyperglycemic agent with a strong
insulin secretagogue effect on glycogen accumulation as well. As a whole, this
compound presents significant perspectives as a future new drug for the treatment
of insulin resistance and/or diabetes.
PMID- 29792025
TI - Hierarchical Nanostructures of Nitrogen-Doped Porous Carbon Polyhedrons Confined
in Carbon Nanosheets for High-Performance Supercapacitors.
AB - Interconnected close-packed nitrogen-doped porous carbon polyhedrons (NCPs)
confined in two-dimensional carbon nanosheets (CNSs) have been prepared through a
sustainable one-pot pyrolysis of a simple solid mixture of zeolitic imidazolate
framework-8 (ZIF-8) crystals and with organic potassium as the precursors. The
hierarchically organized framework of the NCP-CNS composites enables NCPs and
CNSs to act as well-defined electrolyte reservoirs and mechanical buffers
accommodating large volume expansions of NCPs, respectively. Among the unique
composite nanostructures, the NCPs with vast micropores provide electric double
layer capacitances, while the CNSs bridge the individual NCPs to form a
conductive pathway with a hierarchical porosity. As a result, the NCP-CNS
composites with high electrical integrity and structural stability are used as
electrode materials for high-performance supercapacitors, which exhibit excellent
electrochemical capacitive characteristics in terms of an outstanding capacitance
of 300 F g-1 at 1 A g-1, large energy density of 20.9 W h kg-1, and great cycling
performance of 100% retention after 6000 cycles. This work therefore presents a
one-pot and efficient strategy to prepare an ordered arrangement of ZIF-8-derived
porous carbons toward new electrode materials in promising energy storage
systems.
PMID- 29792026
TI - CO-Bridged H-Cluster Intermediates in the Catalytic Mechanism of [FeFe]
Hydrogenase CaI.
AB - The [FeFe]-hydrogenases ([FeFe] H2ases) catalyze reversible H2 activation at the
H-cluster, which is composed of a [4Fe-4S]H subsite linked by a cysteine thiolate
to a bridged, organometallic [2Fe-2S] ([2Fe]H) subsite. Profoundly different
geometric models of the H-cluster redox states that orchestrate the
electron/proton transfer steps of H2 bond activation have been proposed. We have
examined this question in the [FeFe] H2ase I from Clostridium acetobutylicum
(CaI) by Fourier-transform infrared (FTIR) spectroscopy with temperature
annealing and H/D isotope exchange to identify the relevant redox states and
define catalytic transitions. One-electron reduction of Hox led to formation of
HredH+ ([4Fe-4S]H2+-FeI-FeI) and Hred' ([4Fe-4S]H1+-FeII-FeI), with both states
characterized by low frequency MU-CO IR modes consistent with a fully bridged
[2Fe]H. Similar MU-CO IR modes were also identified for HredH+ of the [FeFe]
H2ase from Chlamydomonas reinhardtii (CrHydA1). The CaI proton-transfer variant
C298S showed enrichment of an H/D isotope-sensitive MU-CO mode, a component of
the hydride bound H-cluster IR signal, Hhyd. Equilibrating CaI with increasing
amounts of NaDT, and probed at cryogenic temperatures, showed HredH+ was
converted to Hhyd. Over an increasing temperature range from 10 to 260 K
catalytic turnover led to loss of Hhyd and appearance of Hox, consistent with
enzymatic turnover and H2 formation. The results show for CaI that the MU-CO of
[2Fe]H remains bridging for all of the "Hred" states and that HredH+ is on
pathway to Hhyd and H2 evolution in the catalytic mechanism. These results
provide a blueprint for designing small molecule catalytic analogs.
PMID- 29792027
TI - Fast and Ultrasensitive Detection of a Nerve Agent Simulant Using Carbazole-Based
Nanofibers with Amplified Ratiometric Fluorescence Responses.
AB - In this work, we report the fast and ultrasensitive detection of a nerve agent
simulant in the gas phase, diethyl chlorophosphate (DCP), by using carbazole
based nanofibers from 1. When exposed to trace DCP, the formed pyridine
phosphorylated product in 1 nanofibers can cause amplified ratiometric
fluorescence responses, i.e., amplified fluorescence quenching via quenching
excitons within the diffusion length of 1 nanofibers and simultaneously amplified
turn-on fluorescence responses via harvesting excitons within the diffusion
length to give the intramolecular charge transfer (ICT) emission at a longer
wavelength. On the basis of these amplified ratiometric fluorescence responses,
detection of DCP with fast response (ca. 3 s), ultrasensitivity (4 ppb), and
improved selectivity is achieved.
PMID- 29792028
TI - In Situ Structural Elucidation and Selective Pb2+ Ion Recognition of Polydopamine
Film Formed by Controlled Electrochemical Oxidation of Dopamine.
AB - Owing to the versatility and biocompatibility, a self-polymerized DA (in the
presence of air at pH 8.5 tris buffer solution) as a polydopamine (pDA) film has
been used for a variety of applications. Indeed, instability under electrified
condition (serious surface-fouling) and structural ambiguity of the pDA have been
found to be unresolved problems. Previously, pDA films (has hygroscopic and
insoluble property) prepared by various controlled chemical oxidation methods
have been examined for the structural analysis using ex situ solid-state NMR and
mass spectroscopic techniques. In this work, a new in situ approach has been
introduced using an electrochemical quartz crystal microbalance (EQCM) technique
for the improved structural elucidation of pDA that has been formed by a
controlled electrochemical oxidation of DA on a carboxylic acid functionalized
multiwalled carbon nanotube-Nafion (cationic perfluoro polymer) modified
electrode (f-MWCNT-Nf) system in pH 7 phosphate buffer solution. Key
intermediates like 5,6-dihydroxy indole (DHI; 150.7 g mol-1), dopamine (154.1 g
mol-1), Na+, PO42-, and polymeric product of high molecular weight, 2475 g mol-1,
have been trapped on f-MWCNT-Nf surface via pi-pi (sp2 carbon of MWCNT and
aromatic e-s), covalent (amide-II bonding, minimal), hydrogen, and ionic bonding
and identified its molecular weights successfully. The new pDA film system showed
well-defined peaks at E degrees ' = 0.25 V and -0.350 vs Ag/AgCl corresponding to
the surface-confined dopamine/dopamine quinone and DHI/5,6-indolequinone redox
transitions without any surface-fouling complication. As an electroanalytical
application of pDA, selective recognition of Pb2+ ion via {(pDA)-hydroquinone
Pb0} complexation with detection limit (signal-to-noise ratio = 3) 840 part-per
trillion has been demonstrated.
PMID- 29792029
TI - Stratification in Drying Films Containing Bidisperse Mixtures of Nanoparticles.
AB - Large scale molecular dynamics simulations for bidisperse nanoparticle
suspensions with an explicit solvent are used to investigate the effects of
evaporation rates and volume fractions on the nanoparticle distribution during
drying. Our results show that "small-on-top" stratification can occur when Pe
sphi s ? c with c ~ 1, where Pe s is the Peclet number and phi s is the volume
fraction of the smaller particles. This threshold of Pe sphi s for "small-on-top"
is larger by a factor of ~alpha2 than the prediction of the model treating
solvent as an implicit viscous background, where alpha is the size ratio between
the large and small particles. Our simulations further show that when the
evaporation rate of the solvent is reduced, the "small-on-top" stratification can
be enhanced, which is not predicted by existing theories. This unexpected
behavior is explained with thermophoresis associated with a positive gradient of
solvent density caused by evaporative cooling at the liquid/vapor interface. For
ultrafast evaporation the gradient is large and drives the nanoparticles toward
the liquid/vapor interface. This phoretic effect is stronger for larger
nanoparticles, and consequently the "small-on-top" stratification becomes more
distinct when the evaporation rate is slower (but not too slow such that a
uniform distribution of nanoparticles in the drying film is produced), as
thermophoresis that favors larger particles on the top is mitigated. A similar
effect can lead to "large-on-top" stratification for Pe sphi s above the
threshold when Pe s is large but phi s is small. Our results reveal the
importance of including the solvent explicitly when modeling evaporation-induced
particle separation and organization and point to the important role of density
gradients brought about by ultrafast evaporation.
PMID- 29792031
TI - Composition in the Interface between Clay Mineral Surfaces and Divalent Cation
Electrolytes.
AB - The interfacial free energy of a solid, which determines its adsorption
properties, depends on interactions between the surface and the fluid. A change
in surface composition can completely change the behavior of the solid. Decades
of work have explored adsorption and its effects at solid-fluid interfaces from
the macroscopic perspective and using molecular modeling, so the concept of the
electric double layer (EDL) is well established in the community. However,
direct, molecular level, experimental observations of the composition within the
interface region, and its change with time and conditions, are not abundant. We
used cryogenic X-ray photoelectron spectroscopy (cryoXPS) to observe the
composition in the clay mineral-solution interface region as a function of bulk
solution composition, on illite and chlorite in MgCl2 and CaCl2 electrolytes,
over a range of concentrations (1-125 mM), in situ, on vitrified samples. These
samples were prepared from very thin smears of centrifuged wet paste that were
instantaneously chilled to liquid N2 temperature. They preserved the adsorbed
solution in its amorphous state, maintaining the location of the ions and water
with respect to the solid, without the disruption that occurs during drying or
the rearrangement that results as water crystallizes during freezing. With
decreasing ionic strength, we could directly monitor the loss of negative charge
in the interface region, producing an anion deficiency, as predicted by theory.
The Cl-/Me2+ ratio dropped below 1 for chlorite at 12-25 mM MeCl2 and for illite
at 75-100 mM. In addition to better understanding of clay mineral behavior in
solution, this work demonstrates that only those clay minerals where surface
charge density is the same or lower than that for chlorite contribute to a low
salinity enhanced oil recovery response (LS EOR). This explains many of the
contradictory results from studies about the role of clay minerals in LS EOR.
PMID- 29792030
TI - Role of Counterions in Molecularly Imprinted Polymers for Anionic Species.
AB - Small-molecule oxoanions are often imprinted noncovalently as carboxylates into
molecularly imprinted polymers (MIPs), requiring the use of an organic
counterion. Popular species are either pentamethylpiperidine (PMP) as a
protonatable cation or tetraalkylammonium (TXA) ions as permanent cations. The
present work explores the influence of the TXA as a function of their alkyl chain
length, from methyl to octyl, using UV/vis absorption, fluorescence titrations,
and HPLC as well as MD simulations. Protected phenylalanines (Z-l/d-Phe) served
as templates/analytes. While the influence of the counterion on the complex
stability constants and anion-induced spectral changes shows a monotonous trend
with increasing alkyl chain length at the prepolymerization stage, the cross
imprinting/rebinding studies showed a unique pattern that suggested the presence
of adaptive cavities in the MIP matrix, related to the concept of induced fit of
enzyme-substrate interaction. Larger cavities formed in the presence of larger
counterions can take up pairs of Z-x-Phe and smaller TXA, eventually escaping
spectroscopic detection. Correlation of the experimental data with the MD
simulations revealed that counterion mobility, the relative distances between the
three partners, and the hydrogen bond lifetimes are more decisive for the
response features observed than actual distances between interacting atoms in a
complex or the orientation of binding moieties. TBA has been found to yield the
highest imprinting factor, also showing a unique dual behavior regarding the
interaction with template and fluorescent monomer. Finally, interesting
differences between both enantiomers have been observed in both theory and
experiment, suggesting true control of enantioselectivity. The contribution
concludes with suggestions for translating the findings into actual MIP
development.
PMID- 29792032
TI - Twisted-Intramolecular-Charge-Transfer-Based Turn-On Fluorogenic Nanoprobe for
Real-Time Detection of Serum Albumin in Physiological Conditions.
AB - Two cyanine-based fluorescent probes, ( E)-2-(4-(diethylamino)-2-hydroxystyryl)-3
ethyl-1,1-dimethyl-1 H-benzo[ e]indol-3-ium iodide (L) and ( E)-3-ethyl-1,1
dimethyl-2-(4-nitrostyryl)-1 H-benzo[ e]indol-3-ium iodide (L1), have been
designed and synthesized. Of these two probes, the twisted-intramolecular-charge
transfer (TICT)-based probe, L, can preferentially self-assemble to form
nanoaggregates. L displayed a selective turn-on fluorescence response toward
human and bovine serum albumin (HSA and BSA) in ~100% aqueous PBS medium, which
is noticeable with the naked eye, whereas L1 failed to sense these albumin
proteins. The selective turn-on fluorescence response of L toward HSA and BSA can
be attributed to the selective binding of probe L with HSA and BSA without its
interfering with known drug-binding sites. The specific binding of L with HSA led
to the disassembly of the self-assembled nanoaggregates of L, which was
corroborated by dynamic-light-scattering (DLS) and transmission-electron
microscopy (TEM) analysis. Probe L has a limit of detection as low as ~6.5 nM.
The sensing aptitude of probe L to detect HSA in body fluid and an artificial
urine sample has been demonstrated.
PMID- 29792033
TI - pSBMA-Conjugated Magnetic Nanoparticles for Selective IgG Separation.
AB - Two types of zwitterionic polymer-modified magnetic nanoparticles (NPs) are
fabricated by conjugating pSBMA onto PEI-precoated NPs via either a one-step
method (1S NPs) or two-step method (2S NPs). For both methods, divinyl sulfone is
used as the linker molecule. Although 1S NPs were capable of resisting both IgG
and BSA, 2S NPs exhibited specificity toward IgG adsorption in complex biological
fluids, e.g., in a mixture of serums and IgG. The moderate interactions ( Kd ~
1.2 MUM) between IgG and 2S NPs are 3 orders of magnitude lower than IgG binding
with protein A ( Kd 10 nM). Through complementary characterizations and analyses,
we rationalize that the surface developed herein with IgG specificity contains
two key components: polyzwitterions with a short chain length and sulfone groups
with a high density.
PMID- 29792024
TI - Metabotropic Glutamate Receptors in Alcohol Use Disorder: Physiology, Plasticity,
and Promising Pharmacotherapies.
AB - Developing efficacious treatments for alcohol use disorder (AUD) has proven
difficult. The insidious nature of the disease necessitates a deep understanding
of its underlying biology as well as innovative approaches to ameliorate ethanol
related pathophysiology. Excessive ethanol seeking and relapse are generated by
long-term changes to membrane properties, synaptic physiology, and plasticity
throughout the limbic system and associated brain structures. Each of these
factors can be modulated by metabotropic glutamate (mGlu) receptors, a diverse
set of G protein-coupled receptors highly expressed throughout the central
nervous system. Here, we discuss how different components of the mGlu receptor
family modulate neurotransmission in the limbic system and other brain regions
involved in AUD etiology. We then describe how these processes are dysregulated
following ethanol exposure and speculate about how mGlu receptor modulation might
restore such pathophysiological changes. To that end, we detail the current
understanding of the behavioral pharmacology of mGlu receptor-directed drug-like
molecules in animal models of AUD. Together, this review highlights the prominent
position of the mGlu receptor system in the pathophysiology of AUD and provides
encouragement that several classes of mGlu receptor modulators may be translated
as viable treatment options.
PMID- 29792034
TI - Soft Hydrogel Zwitterionic Coatings Minimize Fibroblast and Macrophage Adhesion
on Polyimide Substrates.
AB - Minimizing the foreign body reaction to polyimide-based implanted devices plays a
pivotal role in several biomedical applications. In this work, we propose
materials exhibiting nonbiofouling properties and a Young's modulus reflecting
that of soft human tissues. We describe the synthesis, characterization, and in
vitro validation of poly(carboxybetaine) hydrogel coatings covalently attached to
polyimide substrates via a photolabile 4-azidophenyl group, incorporated in
poly(carboxybetaine) chains at two concentrations of 1.6 and 3.1 mol %. The
presence of coatings was confirmed by attenuated total reflectance Fourier
transform infrared spectroscopy. White light interferometry was used to evaluate
the coating continuity and thickness (between 3 and 6 MUm under dry conditions).
Confocal laser scanning microscopy allowed us to quantify the thickness of the
swollen hydrogel coatings that ranged between 13 and 32 MUm. The different
hydrogel formulations resulted in stiffness values ranging from 2 to 19 kPa and
led to different fibroblast and macrophage responses in vitro. Both cell types
showed a minimum adhesion on the softest hydrogel type. In addition, both the
overall macrophage activation and cytotoxicity were observed to be negligible for
all of the tested material formulations. These results are a promising starting
point toward future advanced implantable systems. In particular, such technology
paves the way for novel neural interfaces able to minimize the fibrotic reaction,
once implanted in vivo, and to maximize their long-term stability and
functionality.
PMID- 29792035
TI - 3-Substituted 1,5-Diaryl-1 H-1,2,4-triazoles as Prospective PET Radioligands for
Imaging Brain COX-1 in Monkey. Part 2: Selection and Evaluation of [11C]PS13 for
Quantitative Imaging.
AB - In our preceding paper (Part 1), we identified three 1,5-bis-diaryl-1,2,4
triazole-based compounds that merited evaluation as potential positron emission
tomography (PET) radioligands for selectively imaging cyclooxygenase-1 (COX-1) in
monkey and human brain, namely, 1,5-bis(4-methoxyphenyl)-3-(alkoxy)-1 H-1,2,4
triazoles bearing a 3-methoxy (PS1), a 3-(2,2,2-trifluoroethoxy) (PS13), or a 3
fluoromethoxy substituent (PS2). PS1 and PS13 were labeled from phenol precursors
by O-11C-methylation with [11C]iodomethane and PS2 by O-18F-fluoroalkylation with
[2H2,18F]fluorobromomethane. Here, we evaluated these PET radioligands in monkey.
All three radioligands gave moderately high uptake in brain, although
[2H2,18F]PS2 also showed undesirable radioactivity uptake in skull. [11C]PS13 was
selected for further evaluation, mainly based on more favorable brain kinetics
than [11C]PS1. Pharmacological preblock experiments showed that about 55% of the
radioactivity uptake in brain was specifically bound to COX-1. An index of enzyme
density, VT, was well identified from serial brain scans and from the
concentrations of parent radioligand in arterial plasma. In addition, VT values
were stable within 80 min, suggesting that brain uptake was not contaminated by
radiometabolites. [11C]PS13 successfully images and quantifies COX-1 in monkey
brain, and merits further investigation for imaging COX-1 in monkey models of
neuroinflammation and in healthy human subjects.
PMID- 29792036
TI - Meeting the challenge for effective antimicrobial stewardship programs in
regional, rural and remote hospitals - what can we learn from the published
literature?
AB - INTRODUCTION: Antimicrobial resistance (AMR) has been recognised as an urgent
health priority, both nationally and internationally. Australian hospitals are
required to have an antimicrobial stewardship (AMS) program, yet the necessary
resources may not be available in regional, rural or remote hospitals. This
review will describe models for AMS programs that have been introduced in
regional, rural or remote hospitals internationally and showcase achievements and
key considerations that may guide Australian hospitals in establishing or
sustaining AMS programs in the regional, rural or remote hospital setting.
METHODS: A narrative review was undertaken based on literature retrieved from
searches in Ovid Medline, Scopus, Web of Science and the grey literature. 'Cited'
and 'cited by' searches were undertaken to identify additional articles. Articles
were included if they described an AMS program in the regional, rural or remote
hospital setting (defined as a bed size less than 300 and located in a non
metropolitan setting). RESULTS: Eighteen articles were selected for inclusion.
The AMS initiatives described were categorised into models designed to address
two different challenges relating to AMS program delivery in regional, rural and
remote hospitals. This included models to enable regional, rural and remote
hospital staff to manage AMS programs in the absence of on-site infectious
diseases (ID) trained experts. Non-ID doctor-led, pharmacist-led and externally
led initiatives were identified. Lack of pharmacist resources was recognised as a
core barrier to the further development of a pharmacist-led model. The second
challenge was access to timely off-site expert ID clinical advice when required.
Examples where this had been overcome included models utilising visiting ID
specialists, telehealth and hospital network structures. Formalisation of such
arrangements is important to clarify the accountabilities of all parties and
enhance the quality of the service. Information technology was identified as a
facilitator to a number of these models. The variance in availability of
information technology between hospitals and cost limits the adoption of uniform
programs to support AMS. CONCLUSION: Despite known barriers, regional, rural and
remote hospitals have implemented AMS programs. The examples highlighted show
that difficulty recruiting ID specialists should not inhibit AMS programs in
regional, rural and remote hospitals, as much of the day-to-day work of AMS can
be done by non-experts. Capacity building and the strengthening of networks are
core features of these programs. Descriptions of how Australian regional, rural
and remote hospitals have structured and supported their AMS programs would add
to the existing body of knowledge sourced from international examples. Research
into AMS programs predominantly led by GPs and nursing staff will provide further
possible models for regional, rural and remote hospitals.
PMID- 29792037
TI - The effect of iodopovidone versus bleomycin in chemical pleurodesis.
AB - Background Malignant pleural effusion continues to be a common problem in
patients with metastatic disease. This study was conducted to compare the
efficacy and safety of bleomycin pleurodesis with povidone-iodine pleurodesis
through a chest drain as palliative treatment for recurrent malignant pleural
effusion. Methods Sixty cancer patients (36 males and 24 females) with recurrent
malignant pleural effusion were enrolled in a prospective randomized trial.
Thirty patients received povidone-iodine pleurodesis and 30 received bleomycin
pleurodesis. Age, sex, side of the primary pathology, treatment outcome
(recurrence and relapse time), and complications were analyzed. Results The mean
age was 59.63 +/- 7.68 years in the povidone-iodine group and 57.97 +/- 9.27
years in the bleomycin group ( p = 0.452). The complications were identical in
both groups: 2 (6.7%) patients had chest pain, 2 (6.7%) had fever, and one (3.3%)
had hypotension. There was a good response to therapy in 20 (66.7%) patients in
the bleomycin group and 25 (83.3%) in the povidone-iodine group ( p = 0.136).
Conclusion The results of this study indicate that povidone-iodine should be
considered as a selective chemical agent to perform pleurodesis in patients with
recurrent malignant pleural effusion because it has the same effect but costs
less than bleomycin.
PMID- 29792039
TI - Identifying Palliative Care Needs Among Older Adults in Nonclinical Settings.
AB - Though palliative care is appropriate for patients with serious illness at any
stage of the illness and treatment process, the vast majority of palliative care
is currently delivered in inpatient medical settings in the past month of life
during an acute hospitalization. Palliative care can have maximal benefit to
patients when it is integrated earlier in the illness trajectory. One possible
way to increase earlier palliative care use is to screen for unmet palliative
care needs in community settings. The goal of this study was to assess the rates
of unmet palliative care needs in older adults who attend New York City-based
senior centers. The results of this study revealed that 28.8% of participants
screened positive for unmet palliative care needs. Lower education and living
alone were predictors of positive palliative care screens, but age, gender,
marital status, and race were not. This study determined that the rate of unmet
palliative care needs in community-based older adults who attend senior center
events was high and that living arrangement and education level are both
correlates of unmet palliative care needs. Screening for unmet palliative care
needs in community settings is a promising approach for moving palliative care
upstream to patients who could benefit from the additional supportive services
prior to an acute hospitalization.
PMID- 29792038
TI - Downregulation of Cancer Stemness by Novel Diterpenoid Ovatodiolide Inhibits
Hepatic Cancer Stem Cell-Like Traits by Repressing Wnt/[Formula: see text]
Catenin Signaling.
AB - The hierarchical tumor propagation or cancer stem cells (CSCs) model of
carcinogenesis postulates that like physiologic adult stem cell (ASC), the CSCs
positioned at the apex of any tumor population form the crux of tumor evolution
with a constitutive regenerative capacity and differentiation potential. The
propagation and recurrence of the characteristically heterogeneous and therapy
resistant hepatocellular carcinoma (HCC), adds to accumulating evidence to
support this CSCs model. Based on the multi-etiologic basis of HCC formation
which among others, focuses on the disruption of the canonical Wnt signaling
pathway, this study evaluated the role of cembrane-type phytochemical,
Ovatodiolide, in the modulation of the Wnt/[Formula: see text]-catenin pathway,
and its subsequent effect on liver CSCs' activities. Our fluorescence-activated
cell sorting (FACS) and quantitative RT-PCR analyses of side population (SP)
indicated that CD133+ cells were [Formula: see text]-catenin-overexpressing, more
aggressive, and resistant to the conventional anticancer agents, Cisplatin and
Doxorubicin, when compared to [Formula: see text]-catenin-downregulated group. We
demonstrated that marked upregulation of [Formula: see text]-catenin and its
downstream targets effectively enhanced hepatosphere formation, with an
associated induction of CD133, OCT4 and Sox2 expression and also caused an
significant enhancement of HCC proliferation. However, treatment with
Ovatodiolide induced downregulation of [Formula: see text]-catenin and its
downstream effector genes, abolished hepatosphere formation and reversed the
[Formula: see text]-catenin-associated enhancement of HCC growth. In summary, we
demonstrated for the first time that Ovatodiolide suppressed the canonical Wnt
signaling pathway, and inhibited the generation of liver CSCs; Thus, projecting
Ovatodiolide as a putatively effective therapeutic agent for anti-HCC target
therapy.
PMID- 29792040
TI - Lung cancer screening with MRI: characterization of nodules with different non
enhanced MRI sequences.
AB - Background There is increased interest in pulmonary magnetic resonance imaging
(MRI) as a radiation-free alternative to computed tomography (CT) for lung cancer
screening. Purpose To analyze MRI characteristics of pulmonary nodules with
different non-enhanced sequences. Material and Methods Eighty-two participants of
a lung cancer screening were included. MRI datasets of 32 individuals with 46
different nodules >= 6 mm were prospectively evaluated together with 50 controls
by two readers. Acquired sequences were T2- short tau inversion recovery (STIR),
T2, balanced steady-state free precession (bSSFP), 3D-T1, and diffusion-weighted
imaging (DWI). Each sequence was randomly and separately viewed blinded to low
dose CT (LDCT). Size, shape, and contrast of nodules were evaluated on each
sequence and then correlated with LDCT and histopathology. Results All eight
carcinomas were detected by T2-STIR, T2, and bSSFP, and 7/8 by 3D-T1. Contrast
was significantly higher for malignant nodules on all sequences. The highest
contrast ratio between malignant and benign nodules was provided by T2-STIR. Of
eight carcinomas, seven showed restricted diffusion. Size measurement correlated
significantly between MRI and LDCT. Sensitivity/specificity for nodules >= 6 mm
was 85-89%/92-94% for T2-STIR, 80-87%/93-96% for T2, 65-70%/96-98% for bSSFP, and
63-67%/96-100% for 3D-T1. Seven of eight subsolid nodules were visible on T2
sequences with significantly lower lesion contrast compared to solid nodules. Two
of eight subsolid nodules were detected by bSFFP, none by 3D-T1. All three
calcified nodules were detected by 3D-T1, one by bSSFP, and none by T2-sequences.
Conclusion Malignant as well as calcified and subsolid nodules seem to have
distinctive characteristics on different MRI sequences. T2-imaging was most
suitable for the detection of nodules >= 6 mm.
PMID- 29792041
TI - Increased diagnostic confidence in the diagnosis of pituitary micro-lesions with
the addition of three-dimensional sampling perfection with application-optimized
contrasts using different flip-angle evolutions sequences.
AB - Background Conventional magnetic resonance imaging (MRI) is adversely affected by
thick slices, small intersection gaps, and the partial volume effect, leading to
the missed diagnosis or misdiagnosis of pituitary micro-lesions. Purpose To
evaluate the diagnostic yield of three-dimensional sampling perfection with
application-optimized contrasts using different flip-angle evolutions (3D-T2
SPACE) sequences compared with a standard MRI protocol for the diagnosis of
pituitary micro-lesions. Material and Methods The MRI findings of 664 patients
with clinically suspected pituitary lesions were retrospectively analyzed. All
patients underwent coronal 3D-T2 SPACE sequences followed by T1-weighted (T1W)
imaging. Conventional scanning sequences included coronal and sagittal T1W
imaging and post-contrast enhanced coronal and sagittal T1 imaging. All images
were independently evaluated by two experienced neuroradiologists. The inter
observer agreement was analyzed using kappa statistics. Results Compared with
conventional sequences, there was an increase in diagnostic confidence of 60.3%
for the diagnosis of pituitary micro-lesions with the addition of 3D-T2 SPACE
sequences. The lesion conspicuity scores of combined conventional and 3D-T2 SPACE
sequences were significantly higher than those of conventional imaging (z =
6.403, P < 0.01) and 3D-T2 SPACE sequences (z = -4.243, P < 0.01). In addition,
the inter-observer agreement of 3D-T2 SPACE sequences was good (kappa = 0.826).
Conclusion Combined with routine sequences, post-contrast enhanced 3D-T2 SPACE
sequences effectively improve diagnostic confidence in the diagnosis of pituitary
micro-lesions. Post-contrast enhanced 3D-T2 SPACE is suitable for detecting pico
adenomas, micro-lesions adjacent to the cavernous sinuses or sellar floor,
lesions between the anterior and posterior lobes, and lesions with early phase
enhancement.
PMID- 29792042
TI - Imaging predictors of outcome in acute spontaneous subarachnoid hemorrhage: a
review of the literature.
AB - Spontaneous subarachnoid hemorrhage (SAH) accounts for about 5% of strokes, but
has a very high morbidity and mortality. Many survivors are left with important
cognitive impairment and are severely incapacitated. Prediction of complications
such as vasospasm and delayed cerebral ischemia, and of clinical outcome after
SAH, is challenging. Imaging studies are essential in the initial evaluation of
SAH patients and are increasingly relevant in assessing for complications and
prognosis. In this article, we reviewed the role of imaging studies in evaluating
early brain injury and predicting complications as well as clinical and
neuropsychological prognosis after acute SAH.
PMID- 29792043
TI - Does the mass of sample loaded affect faecal haemoglobin concentration using the
faecal immunochemical test?
AB - Background Quantitative faecal immunochemical tests (FIT) for haemoglobin are
being used for colorectal cancer (CRC) screening for asymptomatic populations and
are being indicated as a suitable test to rule out CRC in symptomatic
populations. Faecal samples are typically collected by patients using a probe
attached to the cap of a device which is inserted into a collection device into
the preservative buffer, passing through a collar to remove excess sample: this
process has potential for pre-analytical error. This study investigates whether
faecal haemoglobin concentration (f-Hb) results are affected by the mass and
method of sample collection. Methods Faecal samples with detectable f-Hb were
loaded into collection devices from four manufacturers using increasing masses of
sample. The f-Hb in the device buffer was measured using the relevant analyser.
The results from the minimum recommended load were compared with results of
'sample overloading'. Results The variation in the faecal mass added to the probe
(overall CVs: EXTEL HEMO AUTO-MC Collection Picker 300%, OC-Auto Sampling Bottle
3 237%, SENTiFIT pierceTube 264%, Specimen Collection Container A 250%), was more
than the variation in f-Hb (respective overall CVs: 62%, 35%, 47%, 39%). The mass
of faeces added to the probes increased significantly ( P < 0.0001 for all four
devices), but the f-Hb did not increase significantly (EXTEL HEMO AUTO-MC
Collection Picker P = 0.6820, OC-Auto Sampling Bottle 3 P = 0.9368, SENTiFIT
pierceTube P = 0.7551, Specimen Collection Container A P = 0.6864). Conclusion
The mass of sample loaded onto the probe did not impact the f-Hb significantly
using all four tested devices.
PMID- 29792044
TI - An assessment of the effect of haemoglobin variants on detection by faecal
immunochemical tests.
AB - Background Faecal immunochemical tests (FIT) for haemoglobin (Hb) are being used
in the investigation of colorectal cancer. These tests use antibodies raised to
the globin moiety of human Hb. Where the globin structure is abnormal or reduced,
it is possible that antibody binding, and thus Hb-detection may be affected.
Methods Lysates prepared from whole blood samples of patients with known variants
were diluted in manufacturer-specific buffer to 10, 100 and 500 MUg Hb/g faeces.
These samples were analysed on four FIT analysers and the results compared with
samples with no known variant present (normal samples). Results The results from
this study show that of 20 variants tested, three showed a decrease in detection
by all four analysers. These were beta-thalassaemia major and two fetal cord
blood samples. Conclusions Of 20 common Hb variants studied, 17 did not affect
detection of Hb by the FIT systems tested. Hb variants leading to a reduction in
the presence of a globin chain caused a reduction in Hb detection; in such cases,
cancers could be missed.
PMID- 29792045
TI - Renal stones.
AB - Renal stone disease is a worldwide problem which carries significant morbidity.
It frequently requires specialist urology intervention. Patients with recurrent
disease and those at high risk require specialist investigations and review.
Certain cases benefit from medical and surgical intervention. In this review, we
discuss the pathophysiology, risk assessment, specialist investigations and
various interventions, their rationale and evidence base. This review aims to
provide an update of the previous publication in 2001 in this journal on this
topic.
PMID- 29792046
TI - Fast screening of N-glycosylation disorders by sialotransferrin profiling with
capillary zone electrophoresis.
AB - Background Congenital disorders of glycosylation (CDG) are a growing group of
rare genetic disorders. The most frequently used screening method is
sialotransferrin profiling using isoelectric focusing (IEF). Capillary zone
electrophoresis (CZE) may be a simple and fast alternative. We investigated the
CapillarysTM CDT assay (Sebia, France) to screen for N-glycosylation disorders,
using IEF as gold standard. Methods Intra- and inter-assay precision were
established, and analyses in heparin-anticoagulated plasma and serum were
compared. Accuracy was assessed by comparing IEF and CZE profiles of 153 samples,
including 49 normal, 53 CDG type I, 2 CDG type II, 1 combined CDG type I and type
II and 48 samples with a Tf-polymorphism. Neuraminidase-treated plasma was
analysed to discriminate CDG and Tf-polymorphisms using samples of 52 subjects
(25 had a confirmed Tf-polymorphism). Age-dependent reference values were
established using profiles of 312 samples. Results Heparin-plasma is as suitable
as serum for CDG screening with the CapillarysTM CDT assay. The precision of the
method is high, with a limit of quantification (LOQ) of 0.5%. All profiles,
including CDG and Tf-polymorphisms, were correctly identified with CZE. Forty
nine of 52 neuraminidase-treated samples correctly identified the
presence/absence of a Tf-polymorphism. Interferences in 3/52 samples hampered
interpretation. Sialo-Tf profiles were dependent of age, in particular in the
first three months of age. Conclusions CZE analysis with the CapillarysTM CDT kit
(Sebia) is a fast and reliable method for screening of N-glycosylation defects.
Tf-polymorphisms could be excluded after overnight incubation with neuraminidase.
PMID- 29792047
TI - Phenotyping and relative quantification of vitamin D binding protein in a
paediatric population by using liquid chromatography-tandem mass spectrometry.
AB - Background Adequate concentrations of vitamin D are required to ensure bone
health and minimize the incidence of multiple extraskeletal diseases. Although
total 25-hydroxyvitamin D (25OHD) remains the recommended biomarker for assessing
vitamin D status, it has been speculated that free 25OHD correlates better with
clinical outcomes. The calculation of free 25OHD depends on the concentrations of
vitamin D binding protein (DBP), the determination of which involves different
immunoassays and has led to varying results and conclusions. We developed a
liquid chromatography-tandem mass spectrometry (LC-MS/MS) method for simultaneous
identification and relative quantification of DBP isoforms. Methods We used serum
samples from healthy children ( n = 79), mainly Caucasian (88%). Proteins were
denatured, reduced, alkylated and digested with trypsin. Purified peptides were
analysed by LC-MS/MS. The DBP phenotype was established by using the combinations
of tryptic peptides associated with each of the three isoforms and one peptide
common to all of them to perform relative quantification. The genotyping of
volunteers ( n = 7) facilitated verification of the ability of our method to
correctly identify the DBP phenotype. Results The DBP phenotype was correctly
established in all samples from volunteers, based on the 100% correlation
observed with the genotype. The most common DBP phenotype in Caucasian children
was 2/1S (34%) and the rarest 1F/1F (2%). The relative quantification of DBP
concentrations did not show statistically significant differences between
phenotypes ( P = 0.11). Conclusions LC-MS/MS enabled simultaneous phenotyping and
relative quantification of DBP, while avoiding the analytical limitations of
immunoassays and confirming similar concentrations of DBP in all phenotypes.
PMID- 29792049
TI - Five-Year Suicidal Ideation Trajectories Among Women Receiving or Being Denied an
Abortion.
AB - OBJECTIVE: The aim of this study was to assess the effects of receiving compared
with being denied an abortion on women's experiences of suicidal ideation over 5
years. METHOD: The authors recruited 956 women from 30 U.S. abortion facilities.
Women were interviewed by telephone 1 week after their abortion visit, then every
6 months for 5 years. Women who received near-limit abortions were compared with
women who were denied an abortion and carried their pregnancies to term (turnaway
birth group). Women completed the suicidal ideation items on the Brief Symptom
Interview (BSI) and the Patient Health Questionnaire (PHQ-9). The Sheehan
Suicidality Tracking Scale was used to assess imminent suicidality. Adjusted
mixed-effects regression analyses accounting for clustering by site and
individual were used to assess whether levels and trajectories of suicidality
differed by group. RESULTS: One week after abortion seeking, 1.9% of the near
limit group and 1.3% of the turnaway-birth group reported any suicidal ideation
symptoms on the BSI. Over the 5-year study period, the proportion of women with
any suicidal ideation symptoms on the BSI declined significantly to 0.25% for
women in the near-limit group and nonsignificantly to 0.21% for those in the
turnaway-birth group. In four out of 7,247 observations (0.06%), women reported
being imminently suicidal. There was no statistically significant differential
loss to follow-up by baseline report of suicidal ideation or history of
depression or anxiety. There were no statistically significant group differences
on any suicidal ideation outcome over the 5-year study period. CONCLUSIONS:
Levels of suicidal ideation were similarly low between women who had abortions
and women who were denied abortions. Policies requiring that women be warned that
they are at increased risk of becoming suicidal if they choose abortion are not
evidence based.
PMID- 29792048
TI - A novel high-throughput assay for the measurement of salivary progesterone by
liquid chromatography tandem mass spectrometry.
AB - Background Liquid chromatography tandem mass spectrometry (LC-MS/MS) enables
specific and sensitive quantification of steroids with a high throughput. Saliva
sampling is advantageous for multisample profiling over longer periods of time,
as it is non-invasive, cheap, can be carried out at home and does not require the
attendance of clinical personnel. We developed a rapid LC-MS/MS for the
measurement of salivary progesterone, frequently assessed as ovulation marker in
patients desiring fertility. Methods Samples (300 MUL) were prepared by supported
liquid extraction using dichloromethane and were reconstituted in 40% methanol.
Chromatography was performed using a C8 column with a water/methanol gradient
containing 0.1% formic acid and 2 mmol/L ammonium acetate. Quantification was
performed with a Waters TQ-S mass spectrometer. Results Total run time was 5.5
min. The lower limit of quantification was 20 pmol/L (1.2 fmol on column). Inter-
and intra-assay comparison showed coefficients of variation and bias between
measured and nominal concentrations of less than 11%. Mean recovery was 91%.
Interference with a large set of natural and synthetic steroids was excluded. The
assay was successfully applied to measure progesterone variation during the
menstrual cycle ( n = 9) and diurnal variations during luteal phase ( n = 7) in
regularly cycling women. Discussion We present a novel LC-MS/MS assay for the
determination of salivary progesterone with high-throughput potential. The
applicability of the assay for progesterone profiling during the menstrual cycle
is demonstrated.
PMID- 29792050
TI - Risk of Psychosis in Recurrent Episodes of Psychotic and Nonpsychotic Major
Depressive Disorder: A Systematic Review and Meta-Analysis.
AB - OBJECTIVE: The authors conducted a systematic review and meta-analysis to
determine whether the risk of psychosis is higher in past or future episodes in
patients with major depression with psychotic features than in patients with
nonpsychotic depression. METHOD: PubMed, Embase, and PsycINFO were searched, and
studies were selected that 1) identified patients with unipolar major depression,
2) made diagnoses of psychosis based on the presence of delusions or
hallucinations, 3) characterized past or subsequent episodes as psychotic or
nonpsychotic, and 4) were published in English. Two meta-analyses were then
conducted using data from patients having index depressive episodes with or
without psychosis at study entry to determine the risk of any prior or subsequent
psychotic episode and the risk of psychosis in all episodes. RESULTS: Twelve
studies met the inclusion criteria, and altogether they included 546 psychotic
and 1,583 nonpsychotic patients with unipolar depression. In seven of the
studies, the risk ratio for a prior or subsequent psychotic episode in patients
whose index depressive episode was psychotic compared with those whose index
episode was nonpsychotic was 9.98 (95% CI=4.75, 20.94). In eight studies, the
risk ratio for psychosis among all episodes of depression in the subgroups with
psychotic and nonpsychotic index episodes was 7.24 (95% CI=5.03, 10.43).
Differences in risk of psychosis between these subgroups remained robust when
potential sources of heterogeneity were explored. CONCLUSIONS: The findings
support the hypothesis that psychotic depression runs true to form, and they
support the distinction between psychotic and nonpsychotic depression. Because
patients with psychotic depression are at high risk for psychosis in future
episodes, determination of effective preventive treatments is imperative.
PMID- 29792051
TI - Predicting Suicide Attempts and Suicide Deaths Following Outpatient Visits Using
Electronic Health Records.
AB - OBJECTIVE:: The authors sought to develop and validate models using electronic
health records to predict suicide attempt and suicide death following an
outpatient visit. METHOD:: Across seven health systems, 2,960,929 patients age 13
or older (mean age, 46 years; 62% female) made 10,275,853 specialty mental health
visits and 9,685,206 primary care visits with mental health diagnoses between
Jan. 1, 2009, and June 30, 2015. Health system records and state death
certificate data identified suicide attempts (N=24,133) and suicide deaths
(N=1,240) over 90 days following each visit. Potential predictors included 313
demographic and clinical characteristics extracted from records for up to 5 years
before each visit: prior suicide attempts, mental health and substance use
diagnoses, medical diagnoses, psychiatric medications dispensed, inpatient or
emergency department care, and routinely administered depression questionnaires.
Logistic regression models predicting suicide attempt and death were developed
using penalized LASSO (least absolute shrinkage and selection operator) variable
selection in a random sample of 65% of the visits and validated in the remaining
35%. RESULTS:: Mental health specialty visits with risk scores in the top 5%
accounted for 43% of subsequent suicide attempts and 48% of suicide deaths. Of
patients scoring in the top 5%, 5.4% attempted suicide and 0.26% died by suicide
within 90 days. C-statistics (equivalent to area under the curve) for prediction
of suicide attempt and suicide death were 0.851 (95% CI=0.848, 0.853) and 0.861
(95% CI=0.848, 0.875), respectively. Primary care visits with scores in the top
5% accounted for 48% of subsequent suicide attempts and 43% of suicide deaths. C
statistics for prediction of suicide attempt and suicide death were 0.853 (95%
CI=0.849, 0.857) and 0.833 (95% CI=0.813, 0.853), respectively. CONCLUSIONS::
Prediction models incorporating both health record data and responses to self
report questionnaires substantially outperform existing suicide risk prediction
tools.
PMID- 29792052
TI - Randomized Clinical Trial of Computerized and Clinician-Delivered CBT in
Comparison With Standard Outpatient Treatment for Substance Use Disorders:
Primary Within-Treatment and Follow-Up Outcomes.
AB - OBJECTIVE: Previous trials have demonstrated the efficacy and durability of
computer-based cognitive-behavioral therapy (CBT4CBT) as an add-on to standard
outpatient care in a range of treatment-seeking populations. In this study, the
authors evaluated the efficacy and safety of CBT4CBT as a virtual stand-alone
treatment, delivered with minimal clinical monitoring, and clinician-delivered
cognitive-behavioral therapy (CBT) compared with treatment as usual in a
heterogeneous sample of treatment-seeking outpatients with substance use
disorders. METHOD: This was a randomized clinical trial in which 137 individuals
who met DSM-IV-TR criteria for current substance abuse or dependence were
randomly assigned to receive treatment as usual, weekly individual CBT, or
CBT4CBT with brief weekly monitoring. RESULTS: Rates of treatment exposure
differed by group, with the best retention in the CBT4CBT group and the poorest
in the individual CBT group. Participants who received CBT or CBT4CBT reduced
their frequency of substance use significantly more than those who received
treatment as usual. Six-month follow-up outcomes indicated continuing benefit of
CBT4CBT (plus monitoring) over treatment as usual, but not for clinician
delivered CBT over treatment as usual. Analysis of secondary outcomes indicated
that participants in the CBT4CBT group demonstrated the best learning of
cognitive and behavioral concepts, as well as the highest satisfaction with
treatment. CONCLUSIONS: This first trial of computerized CBT as a virtual stand
alone intervention delivered in a clinical setting to a diverse sample of
patients with current substance use disorders indicated that it was safe,
effective, and durable relative to standard treatment approaches and was well
liked by participants. Clinician-delivered individual CBT, while efficacious
within the treatment period, was unexpectedly associated with a higher dropout
rate and lower effects at follow-up.
PMID- 29792053
TI - Proceedings of the 2018 Spring Meeting of the Society of British Neurological
Surgeons.
PMID- 29792054
TI - Corrigendum.
PMID- 29792055
TI - The effect of the modes of delivery on the maternal and neonatal dynamic thiol
disulfide homeostasis.
AB - BACKGROUND: Thiols are organic compounds containing sulfhydryl groups which exert
antioxidant effects via dynamic thiol-disulfide homeostasis. The shift towards
disulfide indicates the presence of oxidative environment. The thiol-disulfide
homeostasis has not been studied in different mode of delivery before. AIMS: To
investigate the effects of mode of parturition on the thiol-disulfide homeostasis
in mothers and term infants. STUDY DESIGN: The participants were grouped
according to the mode of their delivery: group vaginal delivery (VD, n = 40) and
group cesarean section (C/S, n = 40). Three serum samples were collected: from
mothers at the beginning of labor, from the cord blood (CB), and from the infants
at the 24th hour after birth. The dynamic thiol-disulfide homeostasis in both
groups were compared. RESULTS: The levels of native-thiol and total-thiol in CB
were significantly higher in VD group than those with C/S group. The levels of
disulfide were higher in infants born by C/S compared with those born by VD. The
disulfide-to-native thiol ratio, disulfide-to-total thiol ratio, and native thiol
to-total thiol ratio were similar between two groups. CONCLUSION: Our results
showed that the dynamic thiol-disulfide homeostasis of the neonate was greatly
influenced by the way of delivery and supported that vaginally delivered infants
have less oxidative stress.
PMID- 29792056
TI - Placenta in intrauterine fetal demise (IUFD): a comprehensive study from a
tertiary care hospital.
AB - BACKGROUND: Intrauterine fetal demise (IUFD) is an unpredictable and challenging
obstetric complication. Its etiology is multifactorial with more than 60%
attributed to the placental cause. The present study was done with a primary
objective of understanding the placental lesions underlying IUFD. METHODS: In
this retrospective observational study, IUFD cases (>22 weeks) between January
2012 and September 2015 were collected from pathology database. The clinical
details with ultrasound findings were collected from mother's charts. The lesions
were classified into (A) maternal vascular malperfusion (MVM) including
retroplacental hematomas, (B) fetal vascular malperfusion (FVM), (C) inflammatory
lesions, and (D) idiopathic. The contributor to fetal death was classified as
direct, major, minor, unlikely, or unknown. Placental findings of fetal hypoxia
were recorded. RESULTS: The study included 100 cases of IUFD. The mean maternal
age was 26 years (18-36 years). Primipara were 46. There were 65 early preterm
(PT) (<34 weeks), 20 late PT (34 weeks to <37 weeks) and 15 term (>37 weeks)
IUFD. The mean gestation age was 30 weeks. The ratio of male:female fetuses was
1:1.7. Relevant obstetric complications included preeclampsia (n = 39),
intrauterine growth restriction (IUGR) (n = 7), pre-gestational diabetes (n = 7),
bad obstetric history (n = 6), oligohydramnios (n = 5). The mean placental weight
was 256 g. Maternal vascular malperfusion had the highest incidence (30%),
followed by combined maternal and FVM (10%). Exclusive inflammatory lesions and
FVM were seen in 12 and 6%, respectively. No cause was identified in 18%. Direct
contributor to IUFD was identified in 51 cases and major, minor, unlikely
contribution in 21, 11 and nine cases, respectively. In nine cases, it was
unknown. Lesions indicating fetal hypoxia were noted in 35 cases. In both early
and late PT, MVM featured more commonly (23 and 5%). In term placentas, the most
common cause was idiopathic. CONCLUSIONS: Lesions of MVM were the most common
cause of IUFD and served as a direct contributor to fetal demise.
PMID- 29792057
TI - Optimizing Pyxis to Reduce the Cost of Hyperinflation Medications.
PMID- 29792058
TI - Utilization of progesterone and cervical length screening for prevention of
recurrent preterm birth.
AB - OBJECTIVE: To assess utilization of progesterone and cervical length (CL)
screening among women with prior spontaneous preterm birth (sPTB). METHODS: This
is a retrospective cohort study of women with prior sPTB. Primary outcomes were
the use of progesterone and CL screening. Secondary outcomes were reasons for
failure to utilize interventions and factors associated with use of recommended
interventions. RESULTS: 180 women had a prior sPTB. Of 171 women eligible for
progesterone, 125 (74%) utilized it. Women who utilized progesterone were more
likely to have a prior sPTB <28 weeks (50% vs 26%, OR 2.54 (1.18-5.42) p = .006)
and a higher number of prior sPTB (1.5 +/- 0.9 vs 1.2 +/- 0.5, p = .02), and less
likely to have a prior full term delivery (54% vs 72%, OR 0.47 (0.22-0.99), p =
.04). Of 176 women eligible for CL screening, 157 (89%) utilized it. Women who
utilized CL screening were less likely to have a prior full term delivery (59% vs
84%, OR 0.27 (0.07-0.95, p = .01)). The most frequent reason for lack of
progesterone and CL screening was patient declining. CONCLUSION: Most women with
prior sPTB received progesterone and CL screening. Those at highest risk for PTB
based on obstetric history are more likely to utilize recommended interventions.
PMID- 29792059
TI - Pitfalls in the diagnosis of meningitis in neonates and young infants: the role
of lumbar puncture.
AB - Meningitis occurs frequently in neonates and can lead to a number of acute,
severe complications and long-term disabilities. An early diagnosis of neonatal
meningitis is essential to reduce mortality and to improve outcomes. Initial
clinical signs of meningitis are often subtle and frequently overlap with those
of sepsis, and current haematologic tests do not distinguish sepsis from
meningitis. Thus, lumbar puncture (LP) remains the gold standard for the
diagnosis of meningitis in infants, and this procedure is recommended in clinical
guidelines. Nevertheless, in clinical practice, LP is frequently deferred or
omitted due to concerns regarding hypothetical adverse events or limited
experience of the performer. Future studies should assess whether a combination
of clinical findings and select haematologic tests at disease onset can identify
those neonates with the highest risk of meningitis who should undergo LP.
Furthermore, clinicians should be convinced that the actual benefits of an early
diagnosis of meningitis far outweigh the hypothetical risks associated with LP.
PMID- 29792060
TI - Warfarin monitoring with viscoelastic haemostatic assays, thrombin generation,
coagulation factors and correlations to Owren and Quick prothrombin time.
AB - The anticoagulant warfarin is commonly monitored with prothrombin time (PT).
Viscoelastic haemostatic assays (VHA) are primarily used in situations of acute
bleeding to guide haemostatic therapy. Much research has focused on VHA
monitoring of new oral anticoagulants. However, many patients are still
anticoagulated with warfarin and effect of warfarin anticoagulation on VHA is
uncertain. The aim of this study was to assess warfarin anticoagulation on three
different VHA and compare these findings with prothrombin time (PT), coagulation
factor analyses and a thrombin generation assay (TGA). Citrated whole blood was
drawn from 80 patients admitted for routine PT-INR Owren. VHA analysis with ROTEM
(EXTEM, INTEM and FIBTEM), ReoRox (Fibscreen 1 and 2) and Sonoclot (gbACT+) was
performed. Blood was also drawn for plasma analysis with PT (PT-INR Owren and PT
Quick), TGA and analysis of factors I, II, VII, IX and X. Extrinsically activated
VHA, including ROTEM EXTEM and FIBTEM Clotting Time (CT) and ReoRox Fibscreen1
and 2 clot onset time 1 correlated moderately with PT-INR Owren , with R 0.66
0.71. These four variables were likely to be prolonged above reference interval
in patients with prolonged PT-INR Owren >1.2. Two patients with normal ROTEM CTs
had Owren PT-INRs >1.5. Warfarin affects extrinsically activated VHA variables of
initial clotting. The role of VHA for clinical decision-making in patients
planned for invasive procedures, such as spinal/epidural anaesthesia needs
further study. None of the recent guidelines on regional anaesthesia include VHA
testing to define adequate haemostasis.
PMID- 29792061
TI - Diet and exercise for preeclampsia prevention in overweight and obese pregnant
women: systematic review and meta-analysis.
AB - OBJECTIVE: To investigate the effect of diet and/or exercise in overweight or
obese pregnant women on the risk of preeclampsia (PE). METHODS: We performed a
systematic review and meta-analysis of randomized controlled trials examining the
effect of diet and/or exercise interventions in overweight and obese pregnant
women on the risk of PE and hypertensive disorders. We completed a literature
search through PubMed, Embase, Cinahl, Web of science, Cochrane CENTRAL Library
from their earliest entries to November 2017 and from references of other
systematic reviews. No language restrictions were applied. Relative risks (RR)
with random effect were calculated with their 95% confidence intervals (CI).
RESULTS: There were 23 eligible trials (7236 participants), including 11 (5023
participants) investigating the effect of diet and three (387 participants)
investigating the effect of exercise on risk of PE, 14 (4345 participants)
investigating the effect of diet, five (884 participants) investigating the
effect of exercise and one (304 participants) investigating the effect of diet
and exercise on risk of hypertensive disorders. Most studies were considered to
be at low risk of bias for random sequence allocation and incomplete outcome data
but at high risk of bias for blinding of participant and personnel. The
heterogeneity of the studies on PE was low (I2 = 0-11%), but the heterogeneity of
the studies on hypertensive disorders was variable (I2 = 0-53%). In women
randomized to diet and/or exercise, compared to expectant management, there was
no significant difference in the risk of PE (RR 1.01, 95% CI 0.80-1.27; p = .96)
or hypertensive disorders of pregnancy (RR 0.87, 95% CI 0.70-1.06; p = .17). In
the intervention group, compared to expectant management, gestational weight gain
was significantly lower (-1.47 kg, 95% CI -1.97 to -0.97; p < .00001).
Metaregression weighted by the size of the studies showed no significant
association between gestational weight gain and the risk of PE or hypertensive
disorders (p = .314 and p = .124, respectively). CONCLUSIONS: Diet and exercise
in overweight or obese pregnant women are beneficial in reducing gestational
weight gain. However, these interventions do not reduce the risk of PE or
hypertensive disorders of pregnancy.
PMID- 29792062
TI - Analysis of the Blood Viscosity Behavior in the Sicilian Study on Juvenile
Myocardial Infarction.
AB - Considering the role of hemorheology in coronary circulation, we studied blood
viscosity in patients with juvenile myocardial infarction. We examined whole
blood viscosity at high shear rate using the cone-on-plate viscosimeter Wells
Brookfield 1/2 LVT and at low shear rate employing a viscometer Contraves LS30 in
120 patients (aged <46 years) with myocardial infarction, at the initial stage
and subsequently 3 and 12 months after. At the initial stage, patients had an
increased whole blood viscosity in comparison to normal controls. This
hemorheological profile was not influenced by the cardiovascular risk factors,
nor by the extent of coronary lesions, even if some differences were evident
between patients with ST-segment elevation myocardial infarction (STEMI) and non
STEMI (NSTEMI). The blood viscosity pattern at the initial stage did not
influence recurring ischemic events or the onset of heart failure during an 18
months' follow-up. The neutrophil to lymphocyte ratio did not affect the blood
viscosity pattern. We reevaluated 83 patients 3 months after and 70 patients 12
months after the acute coronary syndrome, and we found that the hemorheological
parameters were still altered in comparison to normal controls at both times. We
observed an impairment of the hemorheological pattern in young patients with
myocardial infarction, partially influenced by the infarction type (STEMI and
NSTEMI) and persisting in the long term.
PMID- 29792064
TI - The effect and importance of physical activity on behavioural and psychological
symptoms in people with dementia: A systematic mixed studies review.
AB - Background People with dementia may benefit from the effect of physical activity
on behavioural and psychological symptoms of dementia. Qualitative synthesis of
the importance of physical activity might complement and help clarify
quantitative findings on this topic. The purpose of this systematic mixed studies
review was to evaluate findings from both quantitative and qualitative methods
about the effect and importance of physical activity on behavioural and
psychological symptoms of dementia in people with dementia. Methods The
systematic literature search was conducted in EMBASE, CINAHL, PubMed, PEDro and
PsycINFO. Inclusion criteria were: people with a light to moderate degree of
dementia, interventions including physical activity and outcomes focusing on
behavioural and psychological symptoms of dementia or quality of life. To assess
the methodological quality of the studies, the AMSTAR and GRADE checklists were
applied for the quantitative studies and the CASP qualitative checklist for the
qualitative studies. Results A small reduction in depression level and improved
mood were seen in some quantitative studies of multi-component physical activity
interventions, including walking. Due to high heterogeneity in the quantitative
studies, a single summary of the effect of physical activity on behavioural and
psychological symptoms of dementia should be interpreted with some caution.
Across the qualitative studies, the common themes about the importance of
physical activity were its 'socially rewarding' nature, the 'benefits of walking
outdoors' and its contribution to 'maintaining self-hood'. Conclusion For people
with dementia, there was a small, quantitative effect of multi-component physical
activity including walking, on depression level and mood. People with dementia
reported the importance of walking outdoors, experiencing the social rewards of
physical activity in groups, as well as physical activity were a means toward
maintaining self-hood.
PMID- 29792063
TI - Integrating childhood obesity resources into the patient-centered medical home:
Provider perspectives in the United States.
AB - Pediatric primary care providers play a critical role in managing obesity yet
often lack the resources and support systems to provide effective care to
children with obesity. The objective of this study was to identify system-level
barriers to managing obesity and resources desired to better managing obesity
from the perspective of pediatric primary care providers. A 64-item survey was
electronically administered to 159 primary care providers from 26 practices
within a large pediatric primary care network. Bivariate analyses were performed
to compare survey responses based on provider and practice characteristics. Also
factor analysis was conducted to determine key constructs that effect pediatric
interventions for obesity. Survey response rate was 69% ( n = 109), with the
majority of respondents being female (77%), physicians (67%), and without prior
training in obesity management (74%). Time constraints during well visits (86%)
and lack of ancillary staff (82%) were the most frequently reported barriers to
obesity management. Information on community resources (99%), an on-site
dietitian (96%), and patient educational materials (94%) were most frequently
identified as potentially helpful for management of obesity in the primary care
setting. Providers who desired more ancillary staff were significantly more
likely to practice in clinics with a higher percentage of obese, Medicaid, and
Hispanic patients. Integrating ancillary lifestyle expert support into primary
care practices and connecting primary care practices to community organizations
may be a successful strategy for assisting primary care providers with managing
childhood obesity, especially among vulnerable populations.
PMID- 29792065
TI - Impaired sleep predicts onset of low back pain and burnout symptoms: evidence
from a three-wave study.
AB - In a three-wave questionnaire study of 405 working participants, who were
initially free of low back pain (LBP) and emotional exhaustion, sleep problems
were evaluated as a potential risk factor for the development of LBP and burnout
up to three years later. Prospective risk paths were compared between the sexes
and between two age-groups (18 to 45 years and older than 45 years). A
longitudinal structural equation model showed a good fit with empirical data
(RMSEA = .04, SRMR = .06, CFI = .97). Prospective risk paths between the latent
constructs showed sleep problems to significantly predict self-reported LBP (beta
= .15, p = .011) and burnout (beta = .24, p < .001) two years later. Sleep
problems also predicted the occurrence of burnout three years later (beta = .18,
p = .002). Sleep-related risk of burnout after two years was greater in older
than younger participants (betaolder = .42 vs. betayounger = .13, p < .001).
Sleep problems seem to precede LBP and burnout in working individuals. Health
promotion initiatives should use sleep quality as an important early risk
indicator, and interventions should focus on promoting better quality sleep, in
an attempt to reduce the incidence of LBP and burnout.
PMID- 29792066
TI - Capabilities of Next-Generation Patch Pump: Improved Precision, Instant Occlusion
Detection, and Dual-Hormone Therapy.
AB - Insulin pumps allow patients to attain better blood glucose control with more
lifestyle flexibility. Their size and cost, however, limit their usefulness.
Current CSII pumps are bulky, intrusive, and expensive. SFC Fluidics is
addressing these problems by developing a new type of wearable patch pump based
on the patented electro-chemiosmotic (ECO) microfluidic pumping technology. This
nonmechanical pumping technology allows accurate and precise delivery of very
small amounts of insulin and/or other drugs, including concentrated insulin. The
pump engine is small and can be made inexpensively from injection molded parts,
allowing its use in a disposable or semidisposable pod format. In addition, a
single ECO pump engine can be used to deliver two drugs through independent
pathways. Other features of SFC Fluidics' pod include latching safety valves that
prevent accidental overdosing of insulin due to pressure changes and an
instantaneous occlusion sensor that can immediately detect delivery failure at
the first missed dose. These features allow for the development of a series of
patch pumps that will offer users the benefit of CSII therapy in a more discreet
and reliable patch pump form.
PMID- 29792067
TI - Application of Machine Learning to Predict Dietary Lapses During Weight Loss.
AB - BACKGROUND: Individuals who adhere to dietary guidelines provided during weight
loss interventions tend to be more successful with weight control. Any deviation
from dietary guidelines can be referred to as a "lapse." There is a growing body
of research showing that lapses are predictable using a variety of physiological,
environmental, and psychological indicators. With recent technological
advancements, it may be possible to assess these triggers and predict dietary
lapses in real time. The current study sought to use machine learning techniques
to predict lapses and evaluate the utility of combining both group- and
individual-level data to enhance lapse prediction. METHODS: The current study
trained and tested a machine learning algorithm capable of predicting dietary
lapses from a behavioral weight loss program among adults with overweight/obesity
(n = 12). Participants were asked to follow a weight control diet for 6 weeks and
complete ecological momentary assessment (EMA; repeated brief surveys delivered
via smartphone) regarding dietary lapses and relevant triggers. RESULTS: WEKA
decision trees were used to predict lapses with an accuracy of 0.72 for the group
of participants. However, generalization of the group algorithm to each
individual was poor, and as such, group- and individual-level data were combined
to improve prediction. The findings suggest that 4 weeks of individual data
collection is recommended to attain optimal model performance. CONCLUSIONS: The
predictive algorithm could be utilized to provide in-the-moment interventions to
prevent dietary lapses and therefore enhance weight losses. Furthermore, methods
in the current study could be translated to other types of health behavior
lapses.
PMID- 29792068
TI - Loneliness, life satisfaction and psychological distress among out-of-school
adolescents in a Nigerian urban city.
AB - Loneliness is the feeling of distress that arises when an individual perceives
his or her social relationships as being less satisfying than what is desired.
Life satisfaction is a global assessment of an individual's quality of life based
on his chosen criteria. This descriptive cross sectional study assessed
loneliness, life satisfaction and psychological distress among 480 out of school
adolescents using the UCLA loneliness scale, the Satisfaction with life scale
(SWLS) and the General Health questionnaire. Reasons for out of school are
poverty (45.0%), eager to do business (30.0%) and death of parents (20.0%). 25.8%
experienced frequent loneliness while 24.2% had psychological distress. Findings
were discussed in line with literature reviewed and recommendations made.
PMID- 29792070
TI - Chondral Delamination of Fresh Osteochondral Allografts after Implantation in the
Knee: A Matched Cohort Analysis.
AB - Introduction Delamination of the chondral surface of an osteochondral allograft
(OCA) from the underlying cancellous bone has been described as a mode of failure
after implantation in the knee. Our hypothesis was that increased storage time of
the OCA is associated with increased risk of graft delamination after
implantation. Methods Prospective data on 13 patients with evidence of OCA
delamination identified on magnetic resonance imaging or during subsequent
surgery from 2000 to 2015 were reviewed. A cohort of 33 patients without evidence
of graft delamination were then matched to the delamination group based on
recipient age, sex, body mass index (BMI), and chondral defect location. The
matched cohort size was established based on a power calculation for determining
differences in OCA storage times. All patients had a minimum 2-year follow-up.
Results There was no difference in donor age, donor sex, and graft storage time
between groups (30 vs. 31 days, P = 0.78). There were no differences between
number of previous ipsilateral knee surgeries (1.8 vs. 0.84, P = 0.26), BMI (26.8
vs. 25.0 kg/m2, P = 0.31), total chondral defect size (6.5 vs. 5.8 cm2, P = 0.41)
or preoperative Marx activity scores between groups. Conclusion There is no
association between OCA storage time, activity level scores, or number of
previous ipsilateral knee surgeries and graft delamination in our patient
population. Further work is needed to identify the etiology for this mode of
failure of OCAs.
PMID- 29792069
TI - Maternal nutrition and the developmental origins of osteoporosis in offspring:
Potential mechanisms and clinical implications.
AB - Osteoporosis, the most frequent metabolic disorder of bone, is a complex disease
with a multifactorial origin that is influenced by genes and environments.
However, the pathogenesis of osteoporosis has not been fully elucidated. The
theory of "Developmental Origins of Health and Disease" indicates that early life
environment exposure determines the risks of cardiometabolic diseases in
adulthood. However, investigations into the effects of maternal nutrition and
nutrition exposure during early life on the development of osteoporosis are
limited. Recently, emerging evidence has strongly suggested that maternal
nutrition has long-term influences on bone metabolism in offspring, and
epigenetic modifications maybe the underlying mechanisms of this process. This
review aimed to address maternal nutrition and its implications for the
developmental origins of osteoporosis in offspring. It is novel in providing a
theoretical basis for the early prevention of osteoporosis. Impact statement Our
review aimed to address maternal nutrition and its implications for the
developmental origins of osteoporosis in offspring, that can novelly provide a
theoretical basis for the early prevention of osteoporosis.
PMID- 29792071
TI - Phosphoramidates and phosphonamidates (ProTides) with antiviral activity.
AB - Following the first report on the nucleoside phosphoramidate (ProTide) prodrug
approach in 1990 by Chris McGuigan, the extensive investigation of ProTide
technology has begun in many laboratories. Designed with aim to overcome
limitations and the key resistance mechanisms associated with nucleoside
analogues used in the clinic (poor cellular uptake, poor conversion to the 5'
monophosphate form), the ProTide approach has been successfully applied to a vast
number of nucleoside analogues with antiviral and anticancer activity. ProTides
consist of a 5'-nucleoside monophosphate in which the two hydroxyl groups are
masked with an amino acid ester and an aryloxy component which once in the cell
is enzymatically metabolized to deliver free 5'-monophosphate, which is further
transformed to the active 5'-triphosphate form of the nucleoside analogue. In
this review, the seminal contribution of Chris McGuigan's research to this field
is presented. His technology proved to be extremely successful in drug discovery
and has led to two Food and Drug Administration-approved antiviral agents.
PMID- 29792072
TI - Contextualizing acculturative stress among Latino immigrants in the United
States: a systematic review.
AB - PURPOSE: This systematic review of the literature informed of (a) the
relationship between acculturation and acculturative stress, (b) examined the
determinants of acculturative stress among Latino immigrants in the U.S., and (c)
provided a conceptual framework that can be used to specify the interactive
effect of various factors on acculturative stress. METHODS: Using Preferred
Reporting Items for Systematic Reviews and Meta-Analyses (PRISMA), this review
synthesized the results of thirty studies published between 2000 and 2015 that
investigated the influence of several socio-demographic and cultural contexts on
acculturative stress among Latino immigrants categorized using Family Stress
Management (FSM) theory as a framework. RESULTS: Studied highlighted several
protectors from and risks to acculturative stress. Historical context protective
factors included having a choice over the decision to migrate and social support;
risks included discrimination, family left abroad, and fear of deportation.
Economic context protective factors included higher income. The development
context protective factors included English skills, years in the U.S., and being
married; risks included being female. Cultural context protective factors
included being culturally competent and acculturation; risks included family
cultural conflict and ethnic enclave pressures. Internal context protectors
included post-immigration religious coping, church attendance, and family values.
IMPLICATIONS: The results highlighted incorporating cultural aspects (i.e. family
values and social support) in mental health practice with Latino immigrants. A
less stressful integration experience can be achieved if age-related stressors
and experiences of discrimination are acknowledged and the need for social
support and harmonious family dynamics was prioritized in service plans.
PMID- 29792073
TI - Burnout and depressive symptoms are not primarily linked to perceived
organizational problems.
AB - In this 257-participant study (76% female; mean age: 44.84), we examined two
ideas that are widespread among burnout researchers: (a) the idea that burnout is
primarily related to occupational-level factors; and (b) the idea that burnout
should be considered a sentinel indicator in research on negative occupational
outcomes. We investigated the links between burnout and a series of generic and
work-related variables, namely, depressive symptoms, neuroticism, extraversion,
effort-reward imbalance in the job [ERI], social support at work (SSW), and
turnover intention. Burnout was assessed with the Shirom-Melamed Burnout Measure,
depressive symptoms with the PHQ-9, neuroticism and extraversion with the NEO
Five Factor Inventory, ERI with the 10-item version of the Effort-Reward
Imbalance Questionnaire, SSW with the Job Content Questionnaire, and turnover
intention with a dedicated 3-item measure. Correlation, multiple regression, and
relative weight analyses were conducted. Burnout was not found to be more
strongly linked to organizational and work-contextualized variables than to
personality traits. In addition, turnover intention was not associated to a
greater extent with burnout than with ERI. Burnout and depressive symptoms were
highly correlated and exhibited overlapping nomological networks. Overall, our
findings question the way burnout has been generally conceived.
PMID- 29792074
TI - Lessons learned conducting a multi-center trial with a military population: The
Tinnitus Retraining Therapy Trial.
AB - Background The Tinnitus Retraining Therapy Trial (TRTT), a randomized, placebo
controlled, multi-center trial, evaluated the efficacy of tinnitus retraining
therapy and its individual components, tinnitus-specific educational counseling
and sound therapy versus the standard of care, in military practice to improve
study participants' quality of life. The trial was conducted at six US military
hospitals to take advantage of the greater prevalence of tinnitus in the military
population. Methods During the trial, various challenges arose that were uniquely
related to the military setting. To convey these challenges to investigators
planning future multi-center trials in military hospitals, we itemized various
challenges that arose during the trial, interviewed clinic directors and
coordinators to elicit their viewpoints, and then collated and organized their
responses, together with those challenges presented while conducting the Tinnitus
Retraining Therapy Trial. Results We encountered challenges in site selection,
the approval process, administrative issues, study personnel training and
retention, participant recruitment methods and issues, adherence to protocol,
reimbursement issues, and military security. Site selection involved visiting 20
military hospitals to identify six sites that enrolled and followed study
participants. We found that commitment for the trial must be obtained from the
full military chain of command, but with ongoing changes in staff or military
priorities, initial commitments were insufficient to sustain support throughout
the entire trial. More time is required to obtain necessary administrative
approvals by various military authorities and institutional review boards than is
typically experienced in civilian settings. Recruitment strategies must be
flexible due to changing military regulations regarding display of materials.
Protracted periods of inactivity were due to sequestration and delays in
institutional review board approval of required study personnel or protocol
amendments. While mostly adherent to the protocol, study staff had difficulties
in integrating study visits into the military clinical schedule. Unexpected study
expenses revolved around hiring civilian study staff and obtaining associated
security clearance while maintaining a consistent flow of funds to each site. The
added expense negated cost savings realized by conducting the National Institutes
of Health-funded trial at federal institutions, whose personnel could not be
reimbursed for their efforts. Military security concerns impacted the use of web
based data systems and led to increased time and effort required for site visits.
Conclusion Overall, US military hospitals provide a unique setting to conduct
multi-center trials. Challenges arise mainly due to ever-changing authority
personnel and military priorities. Pre-planning and flexibility are keys in
overcoming these challenges. Multi-center trials conducted in the military will
likely take longer to initiate and complete than those in the civilian sector due
to multiple levels of command and administrative approvals.
PMID- 29792075
TI - Cancer/health communication and breast/cervical cancer screening among Asian
Americans and five Asian ethnic groups.
AB - OBJECTIVES: This paper is an examination of cancer/health communication factors
(i.e. cancer/health information seeking, patient-provider communication (PPC),
cancer screening information from providers) and screening for breast and
cervical cancer among Asian Americans and five Asian ethnic groups (Chinese,
Filipinos, Japanese, Koreans, Vietnamese) in comparison to Whites. Additionally,
the relationship between cancer/health communication disparity and cancer
screening gaps between Asian Americans and Whites was investigated. DESIGN: Data
comes from a nationally representative sample of 2011-2014 Health Information
National Trends Surveys (HINTS). RESULTS: Asian Americans and most Asian ethnic
groups reported significantly lower rates of cancer/health information seeking
and lower evaluations for PPC as compared to Whites, though differences within
Asian ethnic groups were observed (Koreans' greater cancer/health information
seeking, Japanese' higher PPC evaluation). When the cancer/health communication
factors were controlled, Asian Americans' odds of cancer screening were
increased. Especially, Asian Americans' odds of adhering to the breast cancer
screening guideline became nearly 1.4 times greater than Whites. CONCLUSION: This
research demonstrates that health organizations, providers, and Asian American
patients' collaborative efforts to increase the access to quality cancer
information, to make culturally competent but straightforward screening
recommendations, and to practice effective communication in medical encounters
will contribute to diminishing cancer disparities among Asian Americans.
PMID- 29792076
TI - Emotion regulation in autism: Reappraisal and suppression interactions.
AB - Emotion regulation has been proposed to be a transdiagnostic factor in the
development and maintenance of psychopathology in the general population, yet the
nature of the relationships between emotion regulation strategy use and
psychological well-being has not been comprehensively explored in individuals
with autism spectrum disorder (ASD). The aim of this study was to assess how the
individual differences in self-reported emotion regulation strategy use relate to
levels of both positive and negative psychological well-being. In total, 56
individuals with ASD aged 14-24 years (Mage = 18.15; SDage = 2.30) completed
Emotion Regulation Questionnaire, Diagnostic and Statistical Manual of Mental
Disorders-5 Generalized Anxiety Disorder Dimensional Scale, Patient Health
Questionnaire-9, Warwick-Edinburgh Mental Well-being Scale and Autism-Spectrum
Quotient - Short. Individuals were grouped into four clusters based on their
Emotion Regulation Questionnaire subscale scores. Individuals in the high
suppression and low reappraisal group expressed higher depressive symptoms and
lower positive well-being when compared with the low suppression and high
reappraisal group. Interestingly, individuals who self-reported using both high
suppression and reappraisal expressed relatively high positive well-being and low
depression symptoms. We suggest that the maladaptive effect of habitual
suppression usage may be buffered by the habitual use of reappraisal, and this
interaction between adaptive and maladaptive emotion regulation strategy use has
clinical implications.
PMID- 29792077
TI - Symptoms of PTSD in Frontline Journalists: A Retrospective Examination of 18
Years of War and Conflict.
AB - OBJECTIVE: The objective of the current study was to determine the frequency and
severity of symptoms of posttraumatic stress disorder (PTSD) in journalists
covering conflict. METHODS: PTSD data (Impact of Event Scale-Revised) collected
over an 18-year period from 684 conflict journalists were analyzed
retrospectively for frequency and severity of reexperiencing, avoidance, and
arousal symptoms. Conflicts covered were civil wars in the Balkans ( n = 140
journalists), 9/11 attack in New York City ( n = 46), Iraq war ( n = 84), Mexico
drug wars ( n = 104), civil war in Syria ( n = 59), Kenya election violence/Al
Shabab terror ( n = 57), state-sanctioned media intimidation in Iran ( n = 114),
and the current migration crisis in Europe ( n = 80). RESULTS: The mean age of
the sample was 38.59 (SD = 8.35) years, 461 (67%) journalists were men, and the
mean duration of conflict work was 13.42 (SD = 7.74) years. The 5 most frequently
endorsed symptoms were in the reexperiencing/intrusion category. Mean intrusion
(1.31, SD = 0.97), avoidance (1.08, SD = 0.89), and arousal (1.07, SD = 0.96)
scores for the entire sample were in the mild range. Being female and less
educated independently predicted PTSD symptoms. CONCLUSIONS: PTSD phenomenology
in a group of conflict journalists with well over a decade of frontline
experience is dominated by reexperiencing symptoms. While symptom severity is for
the most part mild, group means can obscure those individuals with significantly
more severe difficulties.
PMID- 29792078
TI - Ethical values of academic nurses: A pilot study.
AB - BACKGROUND: While academics contribute to the development of society through all
the subjects that they work on, they also have other important tasks to fulfill,
such as being role models for their students and society. Therefore, the place of
academic ethical values is a significant topic for academic nurses. OBJECTIVE:
The main objective of this research was to examine the attitudes of academic
nurses toward academic ethics. RESEARCH DESIGN: This descriptive and cross
sectional research study was conducted between March and June 2017 in three
different public universities in Turkey. The research sample consisted of 132
nurses in different professional positions at different universities who
volunteered to participate in the study. The data were collected with an
Information Form and an Academic Ethical Values Scale developed in Turkey.
Ethical considerations: Ethical approval was granted by the institutions
involved, and all participants provided informed verbal consent. FINDINGS: The
attitudes of academics participating in the research on academic ethical values
were found to be highly positive. When the sub-dimensions of the scale were
examined, the dimension with the highest average attitudinal score is found to be
"values regarding the teaching process" and the dimension with the lowest average
attitude score is found to be "values regarding society." Depending on the
participants' academic position, it was determined that the total average score
for the Academic Ethical Values Scale and the average scores of the "values
regarding scientific research" and "values regarding the teaching process" sub
dimensions differed significantly (p < 0.05). CONCLUSION: It was found that
academic nurses had highly positive attitudes of toward academic ethical values.
It can be argued that academics act more responsibly with regard to the ethical
values of the teaching process than other areas. In addition, academics who are
professors are most sensitive in their perception of academic ethical values.
PMID- 29792080
TI - Stakeholders' resistance to telemedicine with focus on physicians: utilizing the
Delphi technique.
AB - Introduction Sufficient infrastructure for information and communications
technology (ICT) and a well-established policy are necessary factors for smooth
implementation of telemedicine. However, despite these necessary conditions being
met, there are situations where telemedicine still fails to be accepted as a
system due to the low receptivity of stakeholders. In this study, we analyse
stakeholders' resistance to an organization's implementation of telemedicine.
Focusing on the physicians' interests, we propose a strategy to minimize
conflicts and improve acceptance. Methods The Delphi study involved 190
telemedicine professionals who were recommended by 485 telemedicine-related
personnel in South Korea. Results Out of 190 professionals, 60% of enrolled
participants completed the final questionnaires. The stakeholders were
categorized into four groups: policy-making officials, physicians, patients, and
industrialists. Among these, the physicians were most opposed to the adoption of
telemedicine. The main causes of such opposition were found to be the lack of a
medical services delivery system and the threat of disruption for primary care
clinics. Very little consensus was observed among the stakeholders, except on the
following points: the need for expansion of the national health insurance budget
by the government, and the need for enhancement of physicians' professional
autonomy to facilitate smooth agreements. Discussion Our analysis on the causes
of the resistance to telemedicine, carried out with the groups mentioned above,
has important implications for policy-makers deriving strategies to achieve an
appropriate consensus.
PMID- 29792079
TI - Parent perspectives of neonatal tele-homecare: A qualitative study.
AB - Introduction Neonatal homecare for preterm infants is a method of supporting
families and monitoring infant growth in the home setting. Telehealth may be used
to deliver specialist neonatal care remotely, using online communication methods.
This study explored parental experiences with neonatal tele-homecare (NTH).
Methods Semi-structured interviews were conducted with 49 parents whose preterm
infants had received NTH from a neonatal intensive care unit (NICU) in Denmark.
Interview transcripts were analysed using Malterud's systematic text
condensation. Results Parents experienced NTH as a personalised method for
linking to specialist staff in the NICU. NTH promoted the parent's role as the
primary care provider, further strengthening the parent-infant relationship.
Discussion From the perspective of parents with preterm infants requiring
specialist care, NTH is a useful method of supporting families in the home.
Telehealth provides effective communication links with NICU specialists and
encourages family-centred care.
PMID- 29792082
TI - Mining concepts of health responsibility using text mining and exploratory graph
analysis.
AB - BACKGROUND: Occupational therapists need to know about people's beliefs about
personal responsibility for health to help them pursue everyday activities. AIM:
The study aims to employ state-of-the-art quantitative approaches to understand
people's views of health and responsibility at different ages. METHODS: A mixed
method approach was adopted, using text mining to extract information from 233
interviews with participants aged 5 to 96 years, and then exploratory graph
analysis to estimate the number of latent variables. The fit of the structure
estimated via the exploratory graph analysis was verified using confirmatory
factor analysis. RESULTS: Exploratory graph analysis estimated three dimensions
of health responsibility: (1) creating good health habits and feeling good; (2)
thinking about one's own health and wanting to improve it; and 3) adopting
explicitly normative attitudes to take care of one's health. The comparison
between the three dimensions among age groups showed, in general, that children
and adolescents, as well as the old elderly (>73 years old) expressed ideas about
personal responsibility for health less than young adults, adults and young
elderly. CONCLUSIONS: Occupational therapists' knowledge of the concepts of
health responsibility is of value when working with a patient's health, but an
identified challenge is how to engage children and older persons.
PMID- 29792081
TI - Efficacy of a Word- and Text-Based Intervention for Students With Significant
Reading Difficulties.
AB - We examine the efficacy of an intervention to improve word reading and reading
comprehension in fourth- and fifth-grade students with significant reading
problems. Using a randomized control trial design, we compare the fourth- and
fifth-grade reading outcomes of students with severe reading difficulties who
were provided a researcher-developed treatment with reading outcomes of students
in a business-as-usual (BAU) comparison condition. A total of 280 fourth- and
fifth-grade students were randomly assigned within school in a 1:1 ratio to
either the BAU comparison condition ( n = 139) or the treatment condition ( n =
141). Treatment students were provided small-group tutoring for 30 to 45 minutes
for an average of 68 lessons (mean hours of instruction = 44.4, SD = 11.2).
Treatment students performed statistically significantly higher than BAU students
on a word reading measure (effect size [ES] = 0. 58) and a measure of reading
fluency (ES = 0.46). Though not statistically significant, effect sizes for
students in the treatment condition were consistently higher than BAU students
for decoding measures (ES = 0.06, 0.08), and mixed for comprehension (ES = -0.02,
0.14).
PMID- 29792083
TI - A systematic review and meta-analysis of the association between vitamin A
intake, serum vitamin A, and risk of liver cancer.
AB - BACKGROUND: Previous evidence supports that vitamin A decreases the risk of
several types of cancer. However, the association between vitamin A and liver
cancer is inconclusive. AIM: This systematic review and meta-analysis summarizes
the existing literature, discussing the association between vitamin A intake,
serum vitamin A, and liver cancer in adult populations. METHODS: A systematic
literature review was performed by searching the EMBASE, PubMed, Scopus and
International Pharmaceutical Abstract databases using terms related to vitamin A
(e.g. retinol, alpha-carotene, beta-carotene, and beta-cryptoxanthin) and hepatic
cancer without applying any time restriction. A meta-analysis was performed using
random effect models. RESULTS: The meta-analysis of five studies showed no
association between serum retinol and liver cancer (pooled risk ratio = 1.90
(0.40-9.02); n = 5 studies, I2 = 92%). In addition, the systematic review of
studies from 1955 to July 2017 found studies that indicated no association
between the intake and serum level of alpha-carotene ( n = 2) and beta
cryptoxanthin ( n = 1) and the risk of liver cancer. Further, the associations
between retinol intake ( n = 3), beta-carotene intake ( n = 3), or serum beta
carotene ( n = 3) and liver cancer were inconclusive. CONCLUSIONS: Current
information on the association between vitamin A intake and liver cancer or serum
vitamin A and liver cancer are limited. Most studies demonstrated no association
between dietary vitamin A and the risk of liver cancer. However, the finding was
based on a small number of studies with potential publication bias. Therefore,
large observational studies should be conducted to confirm these associations.
PMID- 29792084
TI - Lethal disseminated tuberculosis in patients under biological treatment - two
clinical cases and a short review.
AB - Tumour necrosis factor (TNF)-alpha inhibitors are highly used in Romania for the
treatment of autoimmune disorders, such as rheumatoid arthritis (RA), psoriasis,
inflammatory bowel diseases, and ankylosing spondylitis. Biological therapy using
TNF-alpha inhibitors is very effective but is associated with an increased risk
of opportunistic infections, including active tuberculosis. Here, two cases are
presented of patients with RA and psoriasis under biological therapy who
developed very aggressive forms of disseminated tuberculosis, with a rapid
progression to death. The authors conclude that patients undergoing biological
therapy require thorough evaluation prior to initiating treatment, followed by
continuous and rigorous monitoring by a multidisciplinary team during biological
treatment, particularly in countries with a high incidence of tuberculosis.
PMID- 29792085
TI - Role of selenium supplementation in prevention of late onset sepsis among very
low birth weight neonates: a systematic review of randomized controlled trials.
AB - BACKGROUND: Neonatal sepsis is one of the most common causes of neonatal
morbidity and mortality. Selenium has antioxidant and immune-modulating
properties. AIM: The aim of this systematic review is to evaluate role of
selenium supplementation in the prevention of late onset sepsis (LOS) among very
low birth weight (VLBW) neonates. METHODS: We searched literature for this review
by searching the Cochrane Central Register of Controlled Trials (CENTRAL)
electronic PubMed, Embase, and Google Scholar. We also searched for ongoing
clinical trials. RESULTS: This review included two randomized controlled trials
(RCTs) that fulfilled inclusion criteria. There was statistically significant
reduction in the incidence of LOS in the intervention group [23.7 versus 35.6%;
relative risk (RR) 0.67; 95% CI 0.52-0.86; p= .001; number needed to treat (NNT)
8.4; 95% CI 5.2-20.96]. However, mortality due to any cause prior to hospital
discharge was not statistically significant in between the groups (6.1%
intervention group versus 6.9% control group; RR 0.88; 95% CI 0.49-1.61; p= .68).
CONCLUSIONS: Evidences from current systematic review revealed that selenium
supplementation has some role in the prevention of LOS. However, due to limited
evidences and heterogeneity between studies, large RCTs are recommended among
VLBW neonates.
PMID- 29792087
TI - Effects of intensive short-term dynamic psychotherapy on social cognition in
major depression.
AB - BACKGROUND: Social cognition is commonly affected in psychiatric disorders and is
a determinant of quality of life. However, there are few studies of treatment.
OBJECTIVE: To investigate the efficacy of intensive short-term dynamic
psychotherapy on social cognition in major depression. METHOD: This study used a
parallel group randomized control design to compare pre-test and post-test social
cognition scores between depressed participants receiving ISTDP and those
allocated to a wait-list control group. Participants were adults (19-40 years of
age) who were diagnosed with depression. We recruited 32 individuals, with 16
participants allocated to the ISTDP and control groups, respectively. Both groups
were similar in terms of age, sex and educational level. RESULTS: Multivariate
analysis of variance (MANOVA) demonstrated that the intervention was effective in
terms of the total score of social cognition: the experimental group had a
significant increase in the post-test compared to the control group. In addition,
the experimental group showed a significant reduction in the negative subjective
score compared to the control group as well as an improvement in response to
positive neutral and negative states. CONCLUSION: Depressed patients receiving
ISTDP show a significant improvement in social cognition post treatment compared
to a wait-list control group.
PMID- 29792088
TI - Experimental evaluation of ontology-based HIV/AIDS frequently asked question
retrieval system.
AB - This study presents the results of experimental evaluations of an ontology-based
frequently asked question retrieval system in the domain of HIV and AIDS. The
main purpose of the system is to provide answers to questions on HIV/AIDS using
ontology. To evaluate the effectiveness of the frequently asked question
retrieval system, we conducted two experiments. The first experiment focused on
the evaluation of the quality of the ontology we developed using the OQuaRE
evaluation framework which is based on software quality metrics and metrics
designed for ontology quality evaluation. The second experiment focused on
evaluating the effectiveness of the ontology in retrieving relevant answers. For
this we used an open-source information retrieval platform, Terrier, with
retrieval models BM25 and PL2. For the measurement of performance, we used the
measures mean average precision, mean reciprocal rank, and precision at 5. The
results suggest that frequently asked question retrieval with ontology is more
effective than frequently asked question retrieval without ontology in the domain
of HIV/AIDS.
PMID- 29792086
TI - Risk of further surgery on the same or opposite side and mortality after primary
total hip arthroplasty: A multi-state analysis of 133,654 patients from the
Swedish Hip Arthroplasty Register.
AB - Background and purpose - The hip-related timeline of patients following a total
hip arthroplasty (THA) can vary. Ideally patients will live their life without
need for further surgery; however, some will undergo replacement on the
contralateral hip and/or reoperations. We analyzed the probability of mortality
and further hip-related surgery on the same or contralateral hip. Patients and
methods - We performed a multi-state survival analysis on a prospectively
followed cohort of 133,654 Swedish patients undergoing an elective THA between
1999 and 2012. The study used longitudinally collected information from the
Swedish Hip Arthroplasty Register and administrative databases. The analysis
considered the patients' sex, age, prosthesis type, surgical approach, diagnosis,
comorbidities, education, and civil status. Results - During the study period
patients were twice as likely to have their contralateral hip replaced than to
die. However, with passing time, probabilities converged and for a patient who
only had 1 non-revised THA at 10 years, there was an equal chance of receiving a
second THA and dying (24%). It was 8 times more likely that the second hip would
become operated with a primary THA than that the first hip would be revised.
Multivariable regression analysis reinforced the influence of age at operation,
sex, diagnosis, comorbidity, and socioeconomic status influencing state
transition. Interpretation - Multi-state analysis can provide a comprehensive
model of further states and transition probabilities after an elective THA.
Information regarding the lifetime risk for bilateral surgery, revision, and
death can be of value when discussing the future possible outcomes with patients,
in healthcare planning, and for the healthcare economy.
PMID- 29792089
TI - Retrieval of a migrated N-butyl-2 cyanoacrylate cast using a snare-kit system
during dural AVF embolization: A case report.
AB - N-butyl-2 cyanoacrylate (NBCA) is a liquid embolic material that is widely used
in various endovascular procedures because of its permanent and rapid vascular
occluding effect regardless of the coagulation profile of the patient. However,
NBCA migration to unintended vessels may result in serious complications. This
report describes the retrieval of a migrated NBCA cast from the transverse
sigmoid sinus during dural arteriovenous fistula embolization using a transvenous
snaring technique.
PMID- 29792092
TI - The Relationship Between Narcissism and Personality Traits of the Five-Factor
Model in Adolescents and Young Adults.
AB - Narcissism is seen as a multidimensional construct that consists of two
manifestations: grandiose and vulnerable narcissism. In order to define these two
manifestations, their relationship to personality factors has increasingly become
of interest. However, so far no studies have considered the relationship between
different phenotypes of narcissism and personality factors in adolescents.
Method: In a cross-sectional study, we examine a group of adolescents ( n = 98;
average age 16.77 years; 23.5 % female) with regard to the relationship between
Big Five personality factors and pathological narcissism using self-report
instruments. This group is compared to a group of young adults ( n = 38; average
age 19.69 years; 25.6 % female). Results: Grandiose narcissism is primarily
related to low Agreeableness and Extraversion, vulnerable narcissism to
Neuroticism. We do not find differences between adolescents and young adults
concerning the relationship between grandiose and vulnerable narcissism and
personality traits. Discussion: Vulnerable and grandiose narcissism can be well
differentiated in adolescents, and the pattern does not show substantial
differences compared to young adults.
PMID- 29792091
TI - Rete mirabile of the ICA: Report of three cases.
AB - Carotid rete mirabile is an arterial meshwork, occurring at the cavernous portion
of the internal carotid artery (ICA). Rete mirabile in humans is not present
during normal development of the intracranial circulation and hence is considered
as a collateral pathway that develops as a consequence of segmental agenesis of
the ICA. We report three cases of this rare entity encountered at our institute.
PMID- 29792090
TI - Procedural and clinical outcomes of endovascular recanalization therapy in
patients with cancer-related stroke.
AB - Objective Embolism due to coagulopathy might be the main pathomechanism
underlying cancer-related stroke (CRS). CRS patients with a large artery
occlusion could be candidates for endovascular recanalization therapy (ERT),
although its procedural and clinical outcomes are not well known. This study
aimed to investigate the procedural and clinical outcomes of ERT in CRS patients
and the characteristics associated with outcomes compared with those of
conventional stroke patients. Methods A registry of consecutive acute ischemic
stroke patients who underwent ERT between January 2011 and October 2015 was
retrospectively reviewed. CRS patients are described as those who had (a)
cryptogenic stroke with advanced or metastatic cancer; (b) no other possible
causes of stroke such as cardioembolism (CE) and large artery atherosclerosis
(LAA); and (c) elevated D-dimer levels or diffusion-restricted lesions in
multiple vascular territories. We compared procedural and clinical outcomes at
discharge among CRS, CE, and LAA patients. Results A total of 329 patients were
finally enrolled in this study; of these, 19 were CRS patients. The rate of
successful recanalization, defined as modified treatment in cerebral infarction
grade 2b or 3, was lower in the CRS group than in the LAA and CE groups (63%
versus 84% versus 84%, p = .06). CRS subtype was an independent predictor for
successful recanalization after ERT in the multivariate analysis (odds ratio,
0.317; 95% confidence interval, 0.116-0.867; p < .001). No significant difference
in the rate of good clinical outcomes at discharge was observed among groups.
Conclusions Although clinical outcomes at discharge were similar for CE and LAA
patients, complete recanalization seemed more difficult to achieve in CRS
patients than in conventional stroke patients.
PMID- 29792094
TI - Regulatory context and validation of assays for clinical mass spectrometry
proteomics (cMSP) methods.
AB - Clinical mass spectrometry proteomics (cMSP) assays are being increasingly used
in clinical laboratories for analyzing peptides and proteins. It has therefore
become urgent to characterize and validate the methods available for liquid
chromatography-tandem mass spectrometry (LC/MS-MS) targeted quantification of
peptide and protein biomarkers in biological fluids in the context of in vitro
diagnostics. LC-MS/MS for the detection of peptides and proteins is currently the
main approach used in the field of cMSP. As a result of their selectivity, low
reagent costs and the fact that these methods can be used for absolute
quantification and multiplexing, they will likely eventually replace
immunoassays. Although LC-MS/MS is known to be the main reference method involved
in reference measurement procedures (RMPs), it needs to meet the requirements of
in vitro diagnostic (IVD) regulations and standards. This review shows that cMSP
is fully compatible with the regulatory IVD requirements and provides an overview
of the characterization and validation of the use of LC-MS/MS targeted
quantification of clinical protein biomarkers in biological fluids.
PMID- 29792095
TI - What is the effect of intertwin delivery interval on the outcome of the second
twin delivered vaginally?
AB - OBJECTIVE: Optimal management of twin deliveries is controversial. We aimed to
assess if intertwin delivery interval, after vaginal delivery of the first twin,
may have an influence on adverse neonatal outcomes of the second twin Study
design: This is a retrospective observational study including diamniotic twin
pregnancies with vaginal delivery of the first twin, between January 2000 and
July 2017. Inclusion criteria were diamniotic pregnancies and vaginal delivery of
the first twin. We excluded higher twin order, monoamniotic pregnancies, cesarean
delivery of the first twin and patients with missing data. RESULTS: A number of
400 diamniotic twin pregnancies met the inclusion criteria and were divided,
considering intertwin delivery interval into (1) <=30 minutes (n = 365); and (2)
>30 minutes (n = 35). Considering the two study groups, maternal and first twin
characteristics and outcomes were similar. Second twin reported higher incidence
of cesarean section and vacuum delivery, but similar incidence of neonatal
adverse outcomes, in case of intertwin interval >30 minutes. At multivariate
analysis, a difference between second and first twin weight >=25% was correlated
to neonatal adverse outcome, while we did not found this correlation with a cut
off of 30 minutes. CONCLUSIONS: In our study, growth discrepancy between twins
was significantly correlated to adverse neonatal outcomes, while intertwin
delivery time was not an influencing factor. So, in line with this result, in our
clinical practice, we do not use a fixed time in which both twins should be
delivered, neither in monochorionic nor in dichorionic pregnancies, when fetal
wellbeing was demonstrated during labor.
PMID- 29792096
TI - Neonatal outcome in preterm deliveries before 34-week gestation - the influence
of the mechanism of labor onset.
AB - PURPOSE: To evaluate neonatal outcomes in preterm infants with less than 34 weeks
after spontaneous labor, preterm premature rupture of membranes (PPROM) or
iatrogenic delivery and to clarify whether the mechanism of labor onset is a risk
factor for adverse short-term neonatal outcome. METHODS: We performed a
retrospective case-control study, which included 266 preterm newborns with less
than 34-week gestation, between 2011 and 2015. Neonatal outcomes were compared
according to the mechanism of labor onset. Our primary outcomes were neonatal
death, sequelae on hospital discharge and a composite of these two variables
(combined neonatal outcome). RESULTS: Compared to spontaneous preterm labor,
iatrogenic preterm newborns were at increased risk of respiratory distress
syndrome (RDS) [Odds Ratio (OR) 3.05 (95%CI 1.31; 7.12)], and need of exogenous
surfactant administration [OR 3.87 (95%CI 1.60; 9.35)]. PPROM was associated with
higher risk of neonatal sepsis [OR 12.96 (95%CI 1.18; 142.67)]. There were no
differences regarding the combined outcome for iatrogenic [OR 0.94 (95%CI 0.33;
2.71)] or PPROM [OR 1.11 (95%CI 0.35; 3.49)] groups. CONCLUSIONS: In our study,
the different mechanisms of labor onset are associated with different neonatal
outcomes. Iatrogenic preterm birth was associated with an increased risk of RDS
and a higher need of exogenous surfactant administration than spontaneous group.
The rate of neonatal sepsis was significantly higher in PPROM group along with a
higher prevalence of histological chorioamnionitis.
PMID- 29792097
TI - The effect of loneliness on depression: A meta-analysis.
AB - BACKGROUND: Negative emotions, which have a common, chronic and recurrent
structure, play a vital role in the development and maintenance of
psychopathology. In this study, loneliness as a negative emotion was considered
to be a predisposing factor in depression. AIM: The aim of this meta-analysis is
to determine the effect of loneliness on depression. METHOD: Initially, a
literature scan was performed and all related literature was pooled together ( n
= 531). Based on scales determined by the researchers, it was decided to include
88 studies in the analysis. This study obtained a sampling group of 40,068
individuals. RESULTS: The results of using a random effects model for analysis
showed that loneliness had a moderately significant effect on depression. None of
the variables of study sampling group, type of publication and publication year
were found to be moderator variables. CONCLUSION: According to the results of the
research, loneliness may be said to be a significant variable affecting
depression. The findings obtained are discussed in light of the literature.
PMID- 29792098
TI - P-wave and QT dispersion in hypertensive disorders of pregnancy.
AB - AIM: To compare P-wave and QT dispersion values in hypertensive disorders of
pregnancy and controls and also in preeclampsia, chronic hypertension, and
gestational hypertension separately. MATERIAL AND METHODS: We included 140
hypertensive pregnants and 110 healthy age-matched pregnants in this study. The
hypertensive pregnants were divided into three subgroups: preeclampsia (n = 43),
chronic hypertension (n = 51), and gestational hypertension (n = 46). P-wave and
QT dispersion values were compared between groups. RESULTS: Hypertensive
pregnants had higher P-wave (41.74 +/- 5.51 vs. 37.73 +/- 5.62, p < .001) and QTc
dispersion (45.44 +/- 7.62 vs. 39.77 +/- 8.34, p < .001) values. In subgroup
analysis, P-wave dispersion and QTc dispersion were different between
preeclamptic, chronic hypertensive, and gestational hypertensive patients. Also,
they were significantly higher in chronic hypertension as compared to gestational
hypertension and they were higher in preeclampsia than in gestational
hypertension. No difference was found according to these parameters between
preeclampsia and chronic hypertension. In correlation analysis, both P-wave
dispersion and QTc dispersion were positively correlated with systolic (r =
0.409, p < .001 and r = 0.306, p < .001) and diastolic blood pressure (r = 0.390,
p < .001 and r = 0.287, p < .001) which are main clinical determinants of
hypertensive disorders. CONCLUSION: In clinical practice, chronic hypertensive
pregnants are generally followed up in their future life for cardiovascular
disorders. Also, we recommend that we must inform and follow preeclamptic
patients for future cardiovascular diseases.
PMID- 29792099
TI - Speak up: Preparing for international clinical placements.
PMID- 29792100
TI - Difficult debriefing situations: A toolbox for simulation educators.
AB - BACKGROUND: Simulation-based education (SBE) has emerged as an essential modality
for health professions education. One of the central tenants of effective SBE is
reflective practice, typically guided by a facilitated debriefing. The debriefing
conversation has the possibility of becoming a difficult conversation based on
learner and situation-related factors. Difficult debriefing situations may
threaten the learning environment, thus requiring an appreciation and
understanding of the various ways that learners may react adversely to simulation
and debriefing. AIM: This article provides a review of the various phenotypes of
difficult debriefing situations and a toolbox of proactive and reactive
strategies to help guide the simulation educator to manage these situations, with
the ultimate goal of achieving learning objectives.
PMID- 29792101
TI - Utility of a novel elastic traction system in endoscopic thyroidectomy via breast
approach: initial experience with 34 patients.
AB - Objective To summarize our experiences in using an elastic traction, space-making
technique for endoscopic thyroidectomy via breast approach in the treatment of
early-stage differentiated papillary thyroid carcinoma. Methods A retrospective
analysis was performed on patients who underwent endoscopic thyroidectomy via
breast approach for thyroid carcinoma in our department. We used our self
developed "mini elastic traction space-maker" in a group of 34 patients; another
45 patients who underwent the procedure with the conventional CO2 insufflation
method were enlisted as the control group. Results All patients had successful
unilateral lobectomy and central lymph node dissection (CLND) surgeries. The
adoption of the intraoperative elastic traction system increased the height of
the subcutaneous working space (by 1 cm) and significantly decreased the times
required for lobectomy and CLND compared with the controls (46.7 +/- 4.7 min vs.
50.7 +/- 4.9 min). However, there was no significant difference between the two
groups in the set-up time to create the working space. Conclusions The elastic
traction, space-making technique is a safe and feasible technique for endoscopic
thyroidectomy via breast approach.
PMID- 29792102
TI - Entrusting internal medicine residents to use point of care ultrasound: Towards
improved assessment and supervision.
AB - BACKGROUND: Internal medicine physicians and trainees are increasingly using, and
seeking training in, diagnostic point of care ultrasound (POCUS). Numerous
internal medicine training programs have described their curricula, but little
has been written about how learners should be assessed, supervised, and allowed
to progress toward independent practice, yet these practices are imperative for
safe and effective use. Entrustable professional activities (EPAs) offer a
practical method to assess observable units of professional work and make
supervision decisions. METHODS: An EPA for POCUS is used as a framework to assess
and determine appropriate levels of supervision in an internal medicine residency
program. RESULTS: All learners have been able to advance to level 2 with a
mandatory introductory boot camp course. Learners have been able to advance to
higher levels of independence, often after taking formal elective programmatic
coursework. However, not all learners taking the same coursework have been
granted the same level of independence. CONCLUSIONS: It is feasible to assess and
supervise internal medicine residents' ability to use diagnostic point of care
ultrasound using an EPA.
PMID- 29792103
TI - Prolonged use of antibiotics after birth is associated with increased morbidity
in preterm infants with negative cultures.
AB - BACKGROUND: Most preterm infants are exposed to a variable duration of antibiotic
therapy after birth despite negative cultures. Data is emerging about the risks
of prolonged antibiotics. We sought to assess the association between length of
initial antibiotic course and neonatal outcomes in a cohort from a single large
perinatal center. METHODS: Retrospective cohort study of prospectively collected
data on all infants with a birth weight of less than 1250 g hospitalized in our
NICU in a 4 year window and who had negative blood and CSF cultures in the first
2 days of life. The primary outcome is a composite of necrotizing enterocolitis
(NEC), late onset sepsis (LOS) and death evaluated using multivariable regression
analysis. RESULTS: A total of 620 infants less than 1250 g with negative cultures
were eligible for study over a 4 year period. The 238 infants with more than 5
days initial antibiotic use were significantly smaller and of lower gestational
age than the 382 infants who received up to 5 days of antibiotics. Their mothers
had more clinical chorioamnionitis, less maternal hypertension and greater
perinatal use of antibiotics. On multivariate analysis, infants who received
empiric antibiotics for longer than 5 days had higher rates of neonatal
morbidities after adjusting for gestational age, SNAP II, small-for-gestational
age status, gender, maternal hypertension, prenatal steroid treatment, clinical
chorioamnionitis, intrapartum antibiotic treatment, and multiple births.
Composite outcome OR: 1.83 (1.15 to 2.92), LOS OR: 2.02 (1.20 to 3.39),
bronchopulmonary dysplasia OR: 1.58 (1.04 to 2.29). Mortality and NEC were not
significantly different. CONCLUSION: More than 5 days of antibiotic treatment in
very preterm infants with negative cultures was associated with increased
morbidity in our population, and that included BPD. It is of note that patterns
of increased morbidity and/or mortality differ between studies. Prospective
trials of clinical protocols for starting and stopping antibiotics in the very
preterm infants are required.
PMID- 29792104
TI - Association of Hip and Foot Factors With Patellar Tendinopathy (Jumper's Knee) in
Athletes.
AB - Background Investigations on the causes of patellar tendinopathy should consider
impairments at the hip and foot/ankle because they are known to influence
movement patterns and affect patellar tendon loading. Objectives To investigate
hip and foot/ankle impairments associated with patellar tendinopathy in
volleyball and basketball athletes using classification and regression tree
analysis. Methods In this clinical measurement, cross-sectional study, 192
athletes were assessed for impairments of the hip and foot/ankle, including shank
forefoot alignment, dorsiflexion range of motion (ROM), iliotibial band
flexibility, passive hip internal rotation ROM, and hip external rotator and hip
abductor isometric strength. Athletes with tenderness and/or pain at the inferior
pole of the patella were considered to have patellar tendinopathy. Athletes with
scores higher than 95 points on the Victorian Institute of Sport Assessment
patella (VISA-P), no pain during the single-leg decline squat, and no history of
patellar tendon pain were considered not to have patellar tendinopathy.
Classification and regression tree analyses were performed to identify
interacting factors associated with patellar tendinopathy. Results Interactions
among passive hip internal rotation ROM, shank-forefoot alignment, and hip
external rotator and abductor strength identified athletes with and without
patellar tendinopathy. The model achieved 71.2% sensitivity and 74.4%
specificity. The area under the receiver operating characteristic curve was 0.77
(95% confidence interval: 0.70, 0.84; P<.001). Conclusion Impairments of the hip
and foot/ankle are associated with the presence of patellar tendinopathy in
volleyball and basketball athletes. Future studies should evaluate the role of
these impairments in the etiology of patellar tendinopathy. J Orthop Sports Phys
Ther 2018;48(9):676-684. Epub 23 May 2018. doi:10.2519/jospt.2018.7426.
PMID- 29792105
TI - Risk Factors for Groin Injury and Groin Symptoms in Elite-Level Soccer Players: A
Cohort Study in the Dutch Professional Leagues.
AB - Background Groin injury and groin symptoms are common in soccer players. The
relationship of groin injury and groin symptoms to reduced hip range of motion
(ROM) and previous injury is unclear. Objectives To conduct a retrospective
assessment of associations between previous injury and preseason hip ROM and
preseason prevalence of severe groin symptoms, and to prospectively identify risk
factors for within-season groin injury. Methods During the period of 2015 to
2016, 190 players from 9 Dutch professional soccer clubs participated in this
cohort study with prospective and retrospective elements. Univariate and
multivariate logistic regressions were used to predict preseason severe groin
symptoms, identified using the Copenhagen Hip and Groin Outcome Score, from a
history of previous groin injury, general injury (minimum of 1 week in duration)
in the previous season, and hip ROM. Cox regression was used to predict within
season groin injury. Results Point prevalence of severe groin symptoms was 24%
and within-season incidence of groin injury was 11%. Total, training, and match
groin injury incidences were 0.5, 0.2, and 2.6 injuries per 1000 playing hours,
respectively. A history of more than 1 previous groin injury was associated with
current severe groin symptoms (odds ratio = 3.0; 95% confidence interval: 1.0,
8.3; P = .038). General injury sustained in the previous season (ankle, knee,
thigh, shoulder; median, 9 weeks of time loss) was a risk factor for groin injury
(hazard ratio = 5.1; 95% confidence interval: 1.8, 14.6; P = .003). Conclusion
Severe injuries in the previous season to locations other than the groin increase
the risk of groin injury the next season. A history of groin injury is associated
with current severe groin symptoms. Preseason hip ROM does not identify players
at risk for groin injury. Level of Evidence Prevention, level 2b. J Orthop Sports
Phys Ther 2018;48(9):704-712. Epub 23 May 2018. doi:10.2519/jospt.2018.7990.
PMID- 29792106
TI - Psychometric Properties of the Photograph Series of Daily Activities-Short
Electronic Version (PHODA-SeV) in Patients With Chronic Low Back Pain.
AB - Background The Photograph Series of Daily Activities-short electronic version
(PHODA-SeV) assesses perceived harmfulness of daily activities in patients with
low back pain (LBP). Although there is some evidence that the PHODA-SeV is a
reliable and valid tool, its psychometric properties have not been fully
investigated. Objectives To investigate the test-retest reliability, measurement
error, interpretability, construct validity, and internal and external
responsiveness of the PHODA-SeV in patients with chronic LBP. Methods Ninety-one
patients were included in the analysis for this prospective cohort study. For
reliability purposes, the PHODA-SeV was administered twice, with a 1-week
interval before beginning treatment. Pain, disability, and measures of pain
related fear (ie, PHODA-SeV, Fear-Avoidance Beliefs Questionnaire [FABQ], and
Tampa Scale of Kinesiophobia [TSK]) were collected before and after the 8-week
treatment period. Results The PHODA-SeV showed excellent reliability (intraclass
correlation coefficient model 2,1 = 0.91), without evidence of ceiling and floor
effects. The construct validity analysis demonstrated fair correlations (r = 0.25
0.50) of the PHODA-SeV with the FABQ, but no correlation with the TSK (r<0.25).
For internal responsiveness, the PHODA-SeV showed an effect size of 0.87 and a
standardized response mean of 0.92, interpreted as a large effect (greater than
0.80). For external responsiveness, the correlations between the PHODA-SeV and
changes in the TSK and FABQ were considered low, and the receiver operating
characteristic curve analyses revealed an area under the curve lower than the
proposed threshold of 0.70. Conclusion The PHODA-SeV is a reliable tool that can
detect changes over time in pain-related fear in patients with chronic LBP
undergoing physical therapy treatment. This tool, however, failed to identify
those patients who did or did not improve, according to other pain-related fear
measures. J Orthop Sports Phys Ther 2018;48(9):719-727. Epub 23 May 2018.
doi:10.2519/jospt.2018.7864.
PMID- 29792107
TI - Hand-Grip Strength: Normative Reference Values and Equations for Individuals 18
to 85 Years of Age Residing in the United States.
AB - Background Hand-grip strength is an indicator of overall strength and a predictor
of important outcomes. Up-to-date, population-specific reference values for
measurements of grip strength are needed to properly interpret strength outcomes.
Objectives To provide population-based grip-strength reference values and
equations for US residents 18 to 85 years of age. Methods Hand-grip data from
1232 participants 18 to 85 years of age were extracted from the database of the
2011 normative phase of the US National Institutes of Health Toolbox project in
this cross-sectional study. Descriptive reference values and equations were
derived from the data. Results The authors present grip-strength reference values
using summary statistics (mean, standard deviation, and percentile). The mean
grip strength ranged from 49.7 kg for the dominant hand of men 25 to 29 years of
age to 18.7 kg for the nondominant hand of women 75 to 79 years of age. The
researchers also present reference regression equations for the dominant and
nondominant sides of men and women. The explanatory variables in the equations
are age, height, and weight. Conclusion The normative reference values and
equations provided in this study may serve as a guide for interpreting grip
strength measurements obtained from tested individuals. J Orthop Sports Phys Ther
2018;48(9):685-693. Epub 23 May 2018. doi:10.2519/jospt.2018.7851.
PMID- 29792108
TI - Does maximalist footwear lower impact loading during level ground and downhill
running?
AB - A new model of running shoes which features an extreme cushioning and an
oversized midsole, known as the maximalist (MAX) was launched. This design claims
to provide excellent shock absorption, particularly during downhill running. This
study sought to assess the effects of MAX on the external impact loading,
footstrike pattern, and stride length during level ground and downhill running on
an instrumented treadmill. Twenty-seven distance runners completed four 5-minute
running trials in the two footwear conditions (MAX and traditional running shoes
(TRS)) on a level surface (0%) and downhill (10%-declination). Average and
instantaneous loading rates (ILRs), footstrike pattern and stride length were
measured during the last minute of each running trial. A 12% greater ILR was
observed in downhill running with MAX (p = .045; Cohen's d = 0.44) as compared to
TRS. No significant difference was found in the loading rates (p > .589) and
stride length (p = .924) when running on a level surface. Majority of runners
maintained the same footstrike pattern in both footwear conditions. Findings of
this study suggested that MAX might not reduce the external impact loading in
runners during level and downhill treadmill running. Instead, this type of
footwear may conceivably increase the external impact loading during downhill
treadmill running.
PMID- 29792109
TI - Computational functional genomics-based approaches in analgesic drug discovery
and repurposing.
AB - Persistent pain is a major healthcare problem affecting a fifth of adults
worldwide with still limited treatment options. The search for new analgesics
increasingly includes the novel research area of functional genomics, which
combines data derived from various processes related to DNA sequence, gene
expression or protein function and uses advanced methods of data mining and
knowledge discovery with the goal of understanding the relationship between the
genome and the phenotype. Its use in drug discovery and repurposing for analgesic
indications has so far been performed using knowledge discovery in gene function
and drug target-related databases; next-generation sequencing; and functional
proteomics-based approaches. Here, we discuss recent efforts in functional
genomics-based approaches to analgesic drug discovery and repurposing and
highlight the potential of computational functional genomics in this field
including a demonstration of the workflow using a novel R library 'dbtORA'.
PMID- 29792110
TI - A comparative study of anterior cruciate ligament reconstruction with double,
single, or selective bundle techniques.
AB - PURPOSE: Reconstruction of the anterior cruciate ligament (ACL) is the most
frequently performed reconstructive surgery in the knee. Biomechanical studies
have shown that double bundle (DB) reconstruction is better than single bundle
(SB) reconstruction with regard to rotational stability. It is postulated that
resection of ACL fibres that remain in continuity may be counterproductive for
the knee as these fibres have the capacity to produce collagen. In this study, we
aimed to evaluate the efficacy among selective bundle, DB and SB ACL
reconstructions over a 2-year post-operative follow-up period. METHODS: A
retrospective comparative study was conducted for comparison between selective
bundle, DB and SB reconstructions. Between 2012 and 2014, 291 ACL reconstructions
were performed. Of these, 68 patients had selective ACL reconstructions (group
SLB), 147 had DB ACL reconstructions (group DB) and 76 had SB ACL reconstructions
(group SB). Institutional Review Board approval was obtained, and all patients
provided informed consent. Clinical results were assessed with the International
Knee Documentation Committee (IKDC), Lysholm and Tegner scores. Stability was
measured using Lachman, pivot shift and anterior drawer stress tests using the
KT1000 at 30 degrees of knee flexion. RESULTS: There was no significant
difference in ligament grade, function grade, IKDC grade, as well as Tegner and
Lysholm means among all three groups after a 2-year follow-up period. CONCLUSION:
Selective bundle reconstruction provides comparable results to DB and SB
reconstruction techniques. It is a viable alternative for patients with partial
tears.
PMID- 29792111
TI - Improving hemolysis levels associated with cardiotomy suction.
AB - BACKGROUND:: The major source of hemolysis during cardiopulmonary bypass (CPB)
remains the cardiotomy suction.1 Previous research has shown that the combination
of negative pressures and the massive air-blood interface exponentially increases
hemolysis in suctioned blood. OBJECTIVE:: This research aims to decrease
hemolysis by eliminating the air-to-blood interface by implementing the Venturi
effect to create powerful suction. This research effort hypothesizes that the
Venturi suction will result in less hemolysis, indicated by lower plasma free
hemoglobin levels (PFH) compared to current vacuum suction. METHOD:: The research
hypothesizes that a paradigm approach to cardiotomy suction that utilizes the
Venturi effect with shorter tubing lengths and weighted sucker tips will further
reduce hemolysis. RESULTS:: The vacuum-suctioned blood showed PFH levels
significantly increased from baseline levels (p=0.0039). Neither the Venturi nor
paradigm groups showed PFH levels significantly increased from baseline levels
(p=0.0625 and p=0.125, respectively). There was a significant difference in PFH
levels among the three conditions (p<0.0001). The vacuum condition showed
significantly higher levels of PFH compared to both the Venturi and the paradigm
conditions (p<0.001 for both). There was no significant difference in the PFH
levels between the Venturi and the paradigm groups (p=1.00). CONCLUSION:: This
study concludes that vacuum suction causes excessive hemolysis. A Venturi-powered
suction system does not cause hemolysis and can be employed to reduce the
damaging effects of vacuum suction on blood.
PMID- 29792112
TI - Analytical and computational study of an individual-based network model for the
spread of heavy drinking.
AB - Two simple models for the spread of heavy drinking among a network of individuals
are re-introduced and analysed. We provide theorems on the spread of alcohol
abuse for these models in cases involving simple connection schemes. Indicators
for this spread that resemble the [Formula: see text] used in disease assessment
are suggested and studied. We further provide computations with our models on
general application networks and begin to study the reliability of the spread
indicators.
PMID- 29792113
TI - The influence of hold regularity on perceptual-motor behaviour in indoor
climbing.
AB - Climbers often train on indoor climbing walls, which are modifiable to simulate
features of outdoor climbing environments at different levels of difficulty. The
aim of this study was to evaluate the influence of regularity of climbing holds
on emergent perceptual-motor behaviours. Skilled climbers performed six
repetitions of two topographically similar routes on an indoor climbing wall. One
route was composed of 18 different types of hand holds (irregular route), whereas
the other route had only two types of hand holds (regular route). Preview and
climbing durations, as well as visual search behaviours, were recorded.
Participants rated the regular route as more difficult to climb, requiring
greater perceived effort to complete. The time spent previewing, and then
climbing the routes, was reduced on average by 12% and 16%, respectively in the
irregular route compared to the regular route. There were more fixations made
when climbing the regular route (281 vs. 222 fixations per trial). It seems the
climbers were more careful and thorough in their gaze behaviours with the regular
route because of the additional technical demands it presented, whereas the
irregular route afforded a more superficial visual exploration with use of more
frequent saccades between holds. The findings suggest how irregularity in the
environment is exploited by skilled climbers, apparently making the practice
context easier to perceive and act in.
PMID- 29792114
TI - Innovating urinary catheter design: An introduction to the engineering challenge.
AB - Every day, people around the world rely on intermittent and indwelling urinary
catheters to manage bladder dysfunction, but the potential or actual harm caused
by these devices is well-recognised. Current catheter designs can cause urinary
tract infection and septicaemia, bladder and urethral trauma and indwelling
devices frequently become blocked. Furthermore, the devices can severely disrupt
users' lives, limiting their daily activities and can be costly to manage for
healthcare providers. Despite this, little significant design innovation has
taken place in the last 80 years. In this article current catheter designs and
their limitations are reviewed, common catheter-associated problems are outlined
and areas of design ripe for improvement proposed. The potential to relieve the
individual and economic burden of catheter use is high.
PMID- 29792115
TI - Using Machine Learning to Advance Personality Assessment and Theory.
AB - Machine learning has led to important advances in society. One of the most
exciting applications of machine learning in psychological science has been the
development of assessment tools that can powerfully predict human behavior and
personality traits. Thus far, machine learning approaches to personality
assessment have focused on the associations between social media and other
digital records with established personality measures. The goal of this article
is to expand the potential of machine learning approaches to personality
assessment by embedding it in a more comprehensive construct validation
framework. We review recent applications of machine learning to personality
assessment, place machine learning research in the broader context of fundamental
principles of construct validation, and provide recommendations for how to use
machine learning to advance our understanding of personality.
PMID- 29792116
TI - The epistemic culture in an online citizen science project: Programs,
antiprograms and epistemic subjects.
AB - In the past decade, some areas of science have begun turning to masses of online
volunteers through open calls for generating and classifying very large sets of
data. The purpose of this study is to investigate the epistemic culture of a
large-scale online citizen science project, the Galaxy Zoo, that turns to
volunteers for the classification of images of galaxies. For this task, we chose
to apply the concepts of programs and antiprograms to examine the 'essential
tensions' that arise in relation to the mobilizing values of a citizen science
project and the epistemic subjects and cultures that are enacted by its
volunteers. Our premise is that these tensions reveal central features of the
epistemic subjects and distributed cognition of epistemic cultures in these large
scale citizen science projects.
PMID- 29792117
TI - The Rockwood classification in acute acromioclavicular joint injury does not
correlate with symptoms.
AB - PURPOSE: Rockwood classified acromioclavicular (AC) joint injuries by
displacement of the joint on radiographs. This classification has driven the
management dogma of acute AC dislocation. The correlation between Rockwood grade
and symptoms has not been described in acute injury. This study assesses that
relationship. METHODS: This series included 77 patients with acute AC joint
injury (<6 weeks), treated between 2006 and 2015. Objective and patient-reported
measures enabled correlation between clinical measures and Rockwood grade.
RESULTS: The mean age was 32 years (+/-11.86; range 17-59 years); 88% were male.
Forty-four per cent were professional athletes and 43% suffered injury during
rugby. The mean time from injury to presentation was 2 weeks (+/-1.64; range 0-5
weeks). There was poor correlation between Rockwood classification and pain
(visual analogue scale) ( rs = 0.05; p = 0.752). Poor correlation was noted
between Rockwood grade and functional deficit (elevation ( rs = 0.18; p = 0.275),
abduction ( rs = 0.19; p = 0.246) and strength ( rs = 0.09; p = 0.579) vs.
contralateral side). Oxford and Constant scores did not correlate with Rockwood
grade ( rs = 0.13; p = 0.972 and 0.01; p = 0.448, respectively). CONCLUSION: The
Rockwood grade does not correlate with clinical symptoms in acute AC joint
injury. Previous evidence demonstrates the Rockwood classification's limitations
in predicting the structures injured. Therefore, the reliability of using the
Rockwood grade as a decision-making tool in the management of acute AC joint
dislocation is unclear.
PMID- 29792118
TI - Extracorporeal membrane oxygenation support in individuals with thoracic
insufficiency.
AB - INTRODUCTION:: Respiratory failure is the leading cause of mortality in
individuals with congenital spine and rib deformities. We present a case report
of a child with Jeune syndrome surviving respiratory failure using extracorporeal
membrane oxygenation (ECMO). We also summarize thoracic insufficiency syndrome
cases reported in the Extracorporeal Life Support Organization (ELSO) registry.
CASE REPORT:: A two-year-old male with a chest circumference less than a third
percentile for age was admitted with influenza pneumonia developing a peak
oxygenation index of 103.5. The child survived to baseline pulmonary function
after nine days of venous-arterial ECMO support. DISCUSSION:: The ELSO registry
contained 27 individuals with a surrogate diagnosis of thoracic insufficiency
(0.05%). There was no significant difference in survival to discharge for
thoracic insufficiency patients (52%) compared to a previously healthy population
supported with ECMO. CONCLUSION:: ECMO is safe and may be effective in supporting
individuals with thoracic insufficiency.
PMID- 29792119
TI - MAPLE 2.3.0: an improved system for evaluating the functionomes of genomes and
metagenomes.
AB - MAPLE is an automated system for inferring the potential comprehensive functions
harbored by genomes and metagenomes. To reduce runtime in MAPLE analyzing the
massive amino acid datasets of over 1 million sequences, we improved it by
adapting the KEGG automatic annotation server to use GHOSTX and verified no
substantial difference in the MAPLE results between the original and new
implementations.
PMID- 29792121
TI - ABCG2 Polymorphism rs2231142 and hypothyroidism in metastatic renal cell
carcinoma patients treated with sunitinib.
AB - Background and aim Vascular endothelial growth factor receptor tyrosine kinase
inhibitors (VEGFR-TKIs) cause significant adverse events including thyroid
dysfunction, mainly hypothyroidism, in a considerable proportion of patients. In
a series of metastatic renal cell carcinoma (mRCC) patients treated with
sunitinib, we aimed to study the correlation between hypothyroidism and single
nucleotide polymorphisms (SNPs) in genes involved in sunitinib pharmacokinetics
and pharmacodynamics. Patients and methods We included 79 mRCC patients who
started sunitinib between November 2005 and March 2016. Serum thyroid function
markers were collected at start and during sunitinib therapy. Germ-line DNA
genotyping for 16 SNPs in 8 candidate genes was performed. Endpoints were time to
increase in thyroid stimulating hormone (TSH) and time to decrease in T4 or free
T4 (FT4) on day 1 and day 28 of each sunitinib cycle. Results Patients with the
ABCG2 rs2231142 CC-genotype had a significantly longer time-to-TSH-increase on
day 1 (11 vs. 5 cycles; p = 0.0011), and time-to-T4/FT4-decrease on day 1 (not
reached vs. 10 cycles; p = 0.013) and day 28 (28 vs. 7 cycles; p = 0.03) compared
to CA-carriers. Patients with the CYP3A5 rs776746 GG-genotype had a significantly
longer time-to-TSH-increase at day 1 compared to GA-patients: 11 vs. 5 cycles (p
= 0.0071). Significant associations were also found between PDGFRA rs35597368 and
rs1800812 and time-to-TSH-increase at day 28. Conclusion Polymorphism rs2231142
in the efflux pump ABCG2 is associated with hypothyroidism in mRCC patients
treated with sunitinib.
PMID- 29792122
TI - Drug cost avoidance in clinical trials of breast cancer.
AB - Background The objectives of this study were to determine if clinical trials in
breast cancer, with an investigational drug, created direct drug cost savings for
the healthcare system related to cost avoidance of the best standard of care
treatments used in these studies. The aim was to quantify this potential drug
cost avoidance. Methods We conducted a retrospective observational study of the
drug cost avoidance during the study period (2014-2016). We included clinical
trials with investigational drug, managed by pharmacy department and provided by
the sponsor. The patients included had a therapeutic alternative defined as
standard treatment that should have been received in case of not participating in
the clinical trial. Direct cost savings, to national healthcare system,
associated to clinical trials were calculated. Results Thirty-seven clinical
trials with a total of 89 breast cancer patients were included in the study. A
total of 62.2% were phase III and 75.7% belonged to the pharmaceutical industry.
They provided a total cost avoidance of 957,246? (1,130,028$), an average cost
avoidance per patient of 10,756? (12,697$). Conclusions Our study suggests that
those clinical trials in which investigational drug are provided or refunded by
the sponsor provide substantial cost savings. Due to the shortage of published
articles that calculate the cost avoided in medication, we cannot compare
directly the results obtained in the different institutions.
PMID- 29792123
TI - User acceptance of an app-based adherence intervention: Perspectives from
patients taking oral anticancer medications.
AB - Background Widespread adoption by patients is imperative for the success of app
based interventions for enhancing adherence to oral anticancer medications.
Patients' attitudes and beliefs should be evaluated to understand determinants of
their acceptance and adoption of such interventions. Objective To identify
factors that influence cancer patients' intention to adopt an app-based system
for enhancing oral anticancer medication adherence. Methods This study was
conducted as part of the usability evaluation of an app-based system for
enhancing adherence. We followed the grounded theory approach employing audio
recorded face-to-face interviews for data collection from patients taking oral
anticancer medications (n = 15) and caregivers of such patients (n = 3). Data
analysis involved verbatim transcription of all interviews, coding of the
transcripts and field notes, detailed memo writing, and constant comparative
evaluation of emergent categories. Results A conceptual framework of facilitating
and hindering factors for users' adoption intention for an oral anticancer
medication adherence app was developed. Findings suggest that facing difficulties
in maintaining adherence and patients' perceived superiority of the app over
their current methods facilitate adoption intention. In contrast, having to pay,
lack of language options and users' perception of low competence in using an app
were the hindrance factors. Conclusion This study showed that adoption of
adherence apps could be explained by technology acceptance constructs, such as
performance expectancy. Adoption intention was also facilitated by patients
perceived vulnerabilities in maintaining adherence to their medications, which
was a health behaviour construct. Implementation of app-based programs should
address patients' perceived vulnerabilities and relative advantage of the app
over their current methods. Clinicians and app developers should also consider
the financial, technological and language barriers for end users.
PMID- 29792124
TI - Hypersensitivity to alemtuzumab. A safe and effective desensitization protocol: A
case report.
AB - We describe a successful desensitization to alemtuzumab in one patient diagnosed
with T-cell prolymphocytic leukaemia. Alemtuzumab treatment was initiated during
infusion number 18, the patient showed cutaneous eruption with a miliary pattern,
despite premedication with corticosteroids and antihistamines. The eruption
returned with successive alemtuzumab infusions (infusions 19, 20 and 21),
remained present for longer and was more severe with each infusion. The patient
was referred to our Allergy Unit as it was necessary to maintain alemtuzumab
treatment. Total immunoglobulin E level was 3 UI/ml and specific immunoglobulin E
against more common pneumo-allergens, food, latex and hamster were inferior to
0.35 UI/ml. Prick test using the undiluted drug (30 mg/ml) and intradermal tests
using serial dilutions (1/10, 1/100) were performed. The result of alemtuzumab
skin prick test was 4 mm. The intradermal skin test result was positive at 1/100
dilution (papule: 8 mm; erythema: 12 mm). The basophil activation test with
alemtuzumab was performed concluding that 10% of the basophils were activated by
alemtuzumab. The patient underwent alemtuzumab desensitization according to a 12
step protocol that resolved to be safe and efficacious. Our experience may be
helpful for similar clinical cases where the therapeutic options are very limited
and a life-threatening condition such T-cell prolymphocytic leukaemia is present.
In addition, a careful risk/benefit ratio should be considered and accurate
informed consent is mandatory.
PMID- 29792125
TI - Characteristics of Apixaban-Treated Patients, Evaluation of the Dose Prescribed,
and the Persistence of Treatment: A Cohort Study in Catalonia.
AB - BACKGROUND: Apixaban is a direct oral anticoagulant, which inhibits factor Xa. It
has demonstrated clinical efficacy in prevention of stroke and systemic embolism
in adult patients with nonvalvular atrial fibrillation and a better safety
profile compared to warfarin. OBJECTIVES: (1) To describe the characteristics of
patients with nonvalvular atrial fibrillation beginning treatment with apixaban,
(2) to analyze concomitant prescriptions of medications that could potentially
interact with apixaban, (3) to evaluate the level of appropriate usage according
to the recommended dosage, and (4) to estimate the level of apixaban persistence
among naive and non-naive patients. METHODS: Cohort study using data from primary
care (System for Research in Primary Care database, users of the Institut Catala
de la Salut; Catalonia, Spain) from August 2013 to December 2015. RESULTS: Mean
age for apixaban-treated patients was 71.8 years (standard deviation = 11.1) and
55.6% were male. In all, 3.2% of patients receiving apixaban were taking drugs
described as potentially related to either pharmacokinetic or pharmacodynamic
interactions. According to the summary of product characteristics, 81.1% of
patients with a recommended dose of 2.5 mg twice daily and 51.8% with a
recommended dose of 5 mg twice daily actually took this dose. After 1 year of
follow-up, 62.6% of the apixaban users showed good adherence. CONCLUSION: The
prescribed dose of apixaban did not fully follow the recommended dose,
particularly in patients who were treatment naive. Patients with a prior history
of anticoagulant treatment were more likely to remain persistent to treatment
with apixaban.
PMID- 29792126
TI - Neuropathological profile of the pentylenetetrazol (PTZ) kindling model.
AB - INTRODUCTION: There are three phases of seizure developing in pentylenetetrazol
(PTZ)-induced kindling animal model: (i) pre-kindling phase; (ii) kindling phase
or after animals are fully kindled; (iii) post-kindling phase with non-provoked
spontaneous recurrent seizures. The aims of this review were to summarize the
progress over time of the electroencephalographic features and neuropathological
alterations in kindled PTZ treated animals. MATERIALS AND METHODS: Keywords
relevant to PTZ kindling were used to a guide a literature search on Pubmed,
Medline and Cochrane Library. RESULTS: Clonic seizures induced PTZ at kindling
phase led to a strong c-Fos expression in the hippocampus. Although, decline
hippocampal neuron and metabolism disturbances were detected at pre-kindlig
phase. Repeated PTZ induced seizures alter the GABA-mediated inhibition and
glutamate-mediated excitation, which may contribute to increased seizure
susceptibility. Similar to chemical animal models such as the pilocarpine and the
kainic acid models, mossy fiber sprouting, hippocampal damage, and glucose
hypometabolism had been seen after PTZ induced seizures. CONCLUSION: PTZ kindling
model may improve understanding of the seizures development provided that the
differences existing between the phases of kindling model are taken into account.
PMID- 29792127
TI - Listeria monocytogenes infection enhances the interaction between rat non
classical MHC-Ib molecule and Ly49 receptors.
AB - Murine NK cell Ly49 receptors, functionally analogous to KIRs in humans recognize
MHC class I molecules and play a key role in controlling NK cell function. We
have previously shown that the paired activating Ly49s4 and inhibitory Ly49i4
receptors recognize undefined non-classical MHC-Ib ligands from the RT1-CE region
in rats. Here, the RT1-CE16 gene of the RT1d haplotype was stably transfected
into the mouse RAW macrophage cell line, termed RAW-CE16d cells. Combining RAW
CE16d cells with Ly49 expressing reporter cells demonstrated Ly49i4 and Ly49s4
specificity for CE16d. The Ly49s4/i4:CE16d interaction was confirmed by specific
MHC-I blocking monoclonal Abs. Further, we used our in vitro model to study the
effect of Listeria monocytogenes (LM) on CE16d after infection. LM infection and
IFN-gamma stimulation both led to enhanced CE16d expression on the surface of
transfected RAW-CE16d cells. Interestingly, the reporter cells displayed
increased response to LM-infected RAW-CE16d cells compared with IFN-gamma-treated
RAW-CE16d cells, suggesting a fundamental difference between these stimuli in
supporting enhanced Ly49 recognition of CE16d. Collectively, our data show that
Ly49s4 and Ly49i4 recognize the non-classical RT1-CE16d molecule, which in turn
is up-regulated during LM infection and thereby may contribute to NK-mediated
responses against infected cells.
PMID- 29792129
TI - Exploring Intimate Partner Violence Before, During, and After Pregnancy in
Bangladesh.
AB - Intimate partner violence (IPV) against pregnant or postpartum women is known to
have multiple detrimental effects on women and their children. Although results
from past research suggest much continuity in trajectories of IPV, it is unclear
whether pregnancy interrupts or augments these patterns. Little is known about
how physical, sexual, and psychological IPV change and overlap throughout a
woman's transition to parenthood. Relying on population-based data, this study
examines the prevalence, co-occurring nature, and the changing patterns of
physical, sexual, and psychological IPV before, during, and after pregnancy in
Bangladesh. Cross-sectional survey data were collected between October 2015 and
January 2016 in the Chandpur District of Bangladesh from 426 new mothers, aged 15
to 49 years, who were in the first 6 months postpartum. IPV was assessed with a
validated set of survey items. The frequencies of different types of IPV
victimization according to the period of occurrence were calculated separately
and in a cumulative, co-occurring manner. The prevalence of physical IPV before,
during, and after pregnancy was 52.8%, 35.2%, and 32.2%, respectively. The
comparative figures for psychological IPV were 67.4%, 65%, and 60.8%, and for
sexual IPV were 21.1%, 18.5%, and 15.5%, respectively. The results demonstrate a
notable continuity in IPV victimization before, during, and after pregnancy.
Psychological IPV is the only type to exhibit a significant reduction during and
after pregnancy, compared with before pregnancy, but it commonly overlaps with
physical IPV, which shows a significant change during pregnancy and little change
in the postpartum period. At the same time, pregnancy and childbirth offer little
protection against IPV for women in relationships characterized by psychological
or sexual victimization, both of which commonly overlap with physical IPV.
Results reinforce the need to conduct routine screening during pregnancy to
identify women with a history of IPV and to offer necessary help and support.
PMID- 29792130
TI - Weekday-weekend variations in mother-/father-child physical activity and screen
time relationship: A cross-sectional study in a random sample of Czech families
with 5- to 12-year-old children.
AB - This study assessed the associations between these factors in a random sample of
Czech families with preschool and school-aged children. A nationally
representative sample comprised 185 families with preschool children and 649
families with school-aged children (dyads; both parents and child n = 365, mother
and child n = 730, and father and child n = 469). The participants wore Yamax
Digiwalker SW-200 pedometers at least four weekdays and both weekend days and
completed family logbooks (anthropometric parameters, daily step counts (SC), and
screen time (ST)). When a parent (fathers at weekends and mothers both on
weekdays and at weekends) achieved 10,000 SC per day, their children were also
significantly (OR = 2.93-6.06, 95% CI = 2.02-9.26) more likely to meet the daily
SC recommendation. On the contrary, the involvement of fathers in organized
leisure-time PA reduced their children's odds of meeting the SC recommendation on
weekdays (OR = 0.53, 95% CI = 0.31-0.89) and at weekends (OR = 0.41, 95% CI =
0.24-0.72). The excessive ST of parents at weekends reduced the odds of their
children meeting the SC recommendation (mother-child dyads: OR = 0.44, 95% CI =
0.26-0.72; father-child dyads: OR = 0.63, 95% CI = 0.37-1.06). High levels of
parents' PA contribute to the achievement of the recommended daily PA in children
on weekdays and at weekends. Excessive weekend ST of parents reduces their odds
of their children achieving the recommended daily PA; however, the influence of
parents' PA on their children's achieving the recommended daily PA is stronger
than the inhibitory effect of ST.
PMID- 29792131
TI - Management of Nursing Workplace Incivility in the Health Care Settings: A
Systematic Review.
AB - Workplace incivility is a well-documented issue in nursing in the health care
setting. It has the potential to cause emotional and physical distress in victims
and potentially affects the quality of care provided. The purpose of this study
was to critique and summarize the most recent, available evidence related to
interventions in assisting nursing staff working in health care settings in
managing incivility. This systematic review of literature yielded 10 studies
meeting the criteria. The studies were mostly identified as lower quality
research. Despite the lower quality of research, the collection of evidence
suggests the use of a combination of educational training about workplace
incivility, training about effective responses to uncivil workplace behaviors,
and active learning activities to practice newly learned communication skills, in
assisting nurses in improving their ability to manage incivility in the
workplace.
PMID- 29792132
TI - Relationships of Musculoskeletal Symptoms, Sociodemographics, and Body Mass Index
With Leisure-Time Physical Activity Among Nurses.
AB - Nurses have a high prevalence of musculoskeletal symptoms from patient handling
tasks such as lifting, transferring, and repositioning. Comorbidities such as
musculoskeletal symptoms may negatively affect engagement in leisure-time
physical activity (LTPA). However, limited data are available on the relationship
between musculoskeletal symptoms and LTPA among nurses. The purpose of this study
was to describe musculoskeletal symptoms and LTPA, and to examine the
relationships of musculoskeletal symptoms, sociodemographics, and body mass index
with LTPA among nurses. Cross-sectional data on sociodemographics, employment
characteristics, musculoskeletal symptoms, body mass index, and LTPA were
collected from a statewide random sample of 454 California nurses from January to
July 2013. Descriptive statistics, bivariate and multiple logistic regressions
were performed. We observed that non-White nurses were less likely to engage in
regular aerobic physical activity than White nurses (odds ratio [OR] = 0.61; 95%
confidence interval [CI] = [0.40, 0.94]). Currently working nurses were less
likely to engage in regular aerobic physical activity than their counterparts (OR
= 0.48; 95% CI = [0.25, 0.91]). Nurses with higher body mass index were less
likely to perform regular aerobic physical activity (OR = 0.93; 95% CI = [0.89,
0.97]) or muscle-strengthening physical activity (OR = 0.92; 95% CI = [0.88,
0.96]). This study found no evidence that musculoskeletal symptoms may interfere
with regular engagement in LTPA. Physical activity promotion interventions should
address employment-related barriers, and particularly target racial minority
nurses and those who have a high body mass index.
PMID- 29792133
TI - Impact of Collaborative Shared Medical Appointments on Diabetes Outcomes in a
Family Medicine Clinic.
AB - Purpose The purpose of this study is to evaluate the impact of a collaborative
diabetes shared medical appointment on patient outcomes in an urban family
medicine practice. Methods Fifty-nine patients were enrolled to participate in
multiple shared medical appointments (SMAs) over 12 months. Baseline data
included hemoglobin (A1C), lipids, systolic blood pressure (SBP), weight,
adherence to American Diabetes Association (ADA) guidelines, and surveys,
including the Problem Areas in Diabetes (PAID-2) scale and the Spoken Knowledge
in Low Literacy in Diabetes Scale (SKILLD). A1C and SBP were evaluated at each
visit. Lipid control was assessed at baseline and at 6 and 12 months. Adherence
to ADA guidelines, SKILLD and PAID-2 survey scores, and number of
antihyperglycemic and antihypertensive medications were also evaluated at 12
months. Results Thirty-eight patients completed the study. Compared with
baseline, A1C and low-density lipoprotein cholesterol (LDL-C) levels decreased
significantly over 12 months ( P < .001 and P = .004, respectively). More
patients became compliant with the ADA guidelines throughout the course of the
study. Specifically, more patients achieved the LDL-C goal of <=100 mg/dL (2.59
mmol/L; P < .001), were prescribed appropriate antihypertensive medications ( P <
.001) and aspirin ( P < .001), and received the pneumonia vaccine ( P < .001).
PAID-2 and SKILLD survey scores also significantly improved over the course of
the study ( P <= .001 and P = .003, respectively). Conclusion Short-term
interdisciplinary SMAs decreased A1C and LDL-C, improved patient adherence to ADA
guidelines, improved emotional distress related to diabetes, and increased
knowledge of diabetes.
PMID- 29792134
TI - Editorial: Advances in Psychopharmacological Treatment.
PMID- 29792135
TI - Modified LDL immune complexes and cardiovascular disease.
AB - Modified forms of LDL, both spontaneously formed in the organism or prepared in
the laboratory, are immunogenic. As a consequence, antigen-antibody complexes
(immune complexes, IC) formed in vivo can be measured in the peripheral blood,
and their levels are strong predictors of cardiovascular disease (CVD). It has
been possible to generate antibodies that recognize different LDL modifications,
allowing the analysis of circulating IC constitution. Clinical studies showed
that the antigenic constitution of the IC has a modulating effect on the
development of CVD. Patients whose IC react strongly with antibodies to copper
oxidized LDL (oxLDL) show progressive development of atherosclerosis as shown by
increased intima-media thickness and increased coronary calcification scores. In
contrast, patients whose IC react strongly with antibodies to the heavily
oxidized malondialdehyde LDL prepared in vitro (MDA-LDL) are at a high risk of
acute vascular events, mainly myocardial infarction. In vitro studies have shown
that while oxLDL IC induce both cell proliferation and mild to moderate
macrophage apoptosis, MDA-LDL IC induce a more marked macrophage apoptosis but
not cell proliferation. In addition, MDA-LDL IC induce the release of higher
levels of matrix metalloproteinases and TNF than oxLDL IC. High levels of TNF are
likely to be a major factor leading to apoptosis and high levels of
metalloproteinases are likely to play a role in the thinning of the fibrous cap
of the atheromatous plaque. The combination of apoptosis and fibrous cap thinning
are well-known characteristics of vulnerable plaques, which are more prone to
rupture and responsible for the majority of acute cardiovascular events.
PMID- 29792136
TI - SGLT-2 inhibitors in diabetic kidney disease: what lies behind their
renoprotective properties?
AB - BACKGROUND: Despite optimal management of diabetic kidney disease (DKD) with
intensive glycemic control and administration of agents blocking the renin
angiotensin-aldosterone-system, the residual risk for nephropathy progression to
end-stage-renal-disease (ESRD) remains high. Sodium-glucose co-transporter type 2
(SGLT-2)-inhibitors represent a newly-introduced anti-diabetic drug class with
pleiotropic actions extending above their glucose-lowering efficacy. Herein, we
provide an overview of preclinical and clinical-trial evidence supporting a
protective effect of SGLT-2 inhibitors on DKD. METHODS: A systematic literature
search of bibliographic databases to identify preclinical studies and randomized
trials evaluating the effects SGLT-2 inhibitors on DKD. RESULTS: Preclinical
studies performed in animal models of DKD support the renoprotective action of
SGLT-2 inhibitors showing that these agents exert albuminuria-lowering effects
and reverse glomerulosclerosis. The renoprotective action of SGLT-2 inhibitors is
strongly supported by human studies showing that these agents prevent the
progression of albuminuria and retard nephropathy progression to ESRD. This
beneficial effect of SGLT-2 inhibitors is not fully explained by their glucose
lowering properties. Attenuation of glomerular hyperfiltration and improvement in
a number of surrogate risk factors, including associated reduction in systemic
blood pressure, body weight, and serum uric acid levels may represent plausible
mechanistic explanations for the cardio-renal protection offered by SGLT-2
inhibitors. Furthermore, the tubular cell metabolism seems to be altered towards
a ketone-prone pathway with protective activities. CONCLUSION: SGLT-2 inhibition
emerges as a novel therapeutic approach of type 2 diabetes with anticipated
benefits towards cardio-renal risk reduction. Additional research efforts are
clearly warranted to elucidate this favorable effect in patients with overt DKD.
PMID- 29792137
TI - Recent Trends in Nanocrystals for Pharmaceutical Applications.
AB - BACKGROUND: As the quick development of modern methods and technologies
currently, more and more drugs have been invented with a better efficiency.
However, the poor water solubility has limited the drugs' pharmaceutical
application. METHODS: Tremendous research has been put in the design and
development of nanocrystals for pharmaceutical applications over the past few
decades. The nanocrystals not only have the chance to solve the poor solubility
problem, but also could conquer the bioavailability and even the specific
delivery problems. The physical properties of drugs can be changed dramatically
due to the change of their size in a nanodimension. Therefore, the nanocrystals
have great potential to overcome the challenge to design and development of new
drugs for pharmaceutical applications. RESULTS: In this review, we provide an
overview of the recent trends in nanocrystals for pharmaceutical applications.
CONCLUSION: The current technologies including top-down, bottom-up, and
combinative technologies for nanocrystals were fully examined. Most importantly,
the emphasis is put on the pharmaceutical applications including their
formulation, administration methods, safety, and toxicity. The commercial status,
limitations, challenges, and future trends of the nanocrystals for pharmaceutical
applications were also discussed.
PMID- 29792138
TI - Therapeutic Potential of Phytomedicines and Novel Polymeric Strategies for
Significant Management of Candidiasis.
AB - BACKGROUND: Candidiasis is one of the most common opportunistic fungal infections
caused by genus Candida. The genus is composed of around 200 species. The most
virulent among all are, Candida albicans followed by various nonalbicans species.
Despite various treatments available, the incidence of severe systemic fungal
infections is increasing, and with it the related morbidity and mortality, in
relation to the misuse of antimicrobials and the emergence of drug-resistant
fungal species. Therefore, various novel therapeutic approaches need to be
developed and explored to overcome these limitations and effective management of
candidiasis. OBJECTIVE: In this review, we focused on natural herbal remedies and
significance of novel formulation approaches for the treatment of candidiasis.
CONCLUSION: The reported studies suggested the promising role of phytomedicines
and novel polymeric drug delivery systems in therapeutic management of
candidiasis. Phytomedicines are effective substitutes of synthetic drugs as they
are inexpensive with lesser number of side effects. Various novel particulate
approaches can be successfully used to reduce fungal burden at the target site.
PMID- 29792139
TI - Process Intensification Techniques for the Production of Nano- and Submicronic
Particles for Food and Medical Applications.
AB - BACKGROUND: Nowadays, nanoparticles are of great interest for the industry due to
their numerous possible applications in several fields. Research on this topic
seeks to develop many procedures to produce nanoparticles, mostly at lab scale,
batch-wise and with low yield. These procedures generally do not suit industrial
needs of continuous, high capacity production. Moreover, the product
characteristics require targeting narrow particle size distributions and high
quality, which is difficult to achieve by traditional equipment. METHODS: Process
intensification techniques aim to minimize plant size of continuous, high yield
equipment capable to produce specific sized, high quality nanoparticles, combined
with an increase in energy efficiency, safety and cost reduction. DISCUSSION:
This paper reviews some adopted Process Intensification (PI) techniques for
nanoparticles synthesis processes employed in the food and pharmaceutical sector.
CONCLUSION: By reducing the technology transfer gap, nanotechnologies may become
convenient and feasible, allowing both industries to achieve the production of
higher quality products with particular characteristics without sensibly
increasing additional costs. This will represent in the next future a strategic
key feature of industries in the global market.
PMID- 29792140
TI - A Convenient Method for the Synthesis of Chromeno[4,3-b]pyridines Via Three
component Reaction.
AB - AIM AND OBJECTIVE: The importance of Chromeno[4,3-b]pyridines in bioactive
compounds, highlighted the ongoing research on developing novel methods for the
construction of this heterocyclic scaffold. Regarding the advantageous features
of multi-component reactions in organic synthesis, we will try to synthesize
pyridocoumarins through this method. MATERIALS AND METHODS: Chromeno[4,3
b]pyridines were conveniently prepared from a threecomponent condensation
reaction between 4-hydroxy coumarin, ammonia and ethyl 2,4-dioxo-4-
arylbutanoates in refluxing n-propanol. The synthesized compounds were
characterized by NMR, IR and Mass spectroscopy. RESULTS: The reaction proceeded
through an in situ formed 4-amino coumarin, affording eight new target compounds
in good yields. CONCLUSION: This method introduce a novel approach to ethyl 4
aryl-5-oxo-5H-chromeno[4,3- b]pyridine-2-carboxylate derivatives and allow
organic chemists to prepare 4-aminocoumarin in reaction medium.
PMID- 29792141
TI - Molecular Docking for Prediction and Interpretation of Adverse Drug Reactions.
AB - AIM AND OBJECTIVE: Adverse drug reactions (ADRs) present a major burden for
patients and the healthcare industry. Various computational methods have been
developed to predict ADRs for drug molecules. However, many of these methods
require experimental or surveillance data and cannot be used when only structural
information is available. MATERIALS AND METHODS: We collected 1,231 small
molecule drugs and 600 human proteins and utilized molecular docking to generate
binding features among them. We developed machine learning models that use these
docking features to make predictions for 1,533 ADRs. RESULTS: These models obtain
an overall area under the receiver operating characteristic curve (AUROC) of
0.843 and an overall area under the precision-recall curve (AUPR) of 0.395,
outperforming seven structural fingerprint-based prediction models. Using the
method, we predicted skin striae for fluticasone propionate, dermatitis acneiform
for mometasone, and decreased libido for irinotecan, as demonstrations.
Furthermore, we analyzed the top binding proteins associated with some of the
ADRs, which can help to understand and/or generate hypotheses for underlying
mechanisms of ADRs. CONCLUSION: Machine learning combined with molecular docking
can help to predict ADRs for drug molecules and provide possible explanations for
the ADR mechanisms.
PMID- 29792143
TI - Recent Updates on Novel Approaches in Insulin Drug Delivery: A Review of
Challenges and Pharmaceutical Implications.
AB - Diabetes mellitus, a metabolic disorder of glucose metabolism, is mainly
associated with insulin resistance to the body cells, or impaired production of
insulin by the pancreatic beta-cells. Insulin is mainly required to regulate
glucose metabolism in type 1 diabetes mellitus patients; however, many patients
with type 2 diabetes mellitus also require insulin, especially when their
condition cannot be controlled solely by oral hypoglycemic agents. Hence, major
research is ongoing attempting to improve the delivery of insulin in order to
make it more convenient to patients who experience side effects from the
conventional treatment procedure or non-adherence to insulin regimen due to
multiple comorbid conditions. Conventionally, insulin is administered via
subcutaneous route which is also one of the sole reasons of patient's non
compliance due to the invasiveness of this method. Several attempts have been
done to improve patient compliance, reduce side effects, improve delivery
adherence, and to enhance the pharmaceutical performance of the insulin therapy.
Despite facing substantial challenges in developing efficient delivery systems
for insulin, vast research studies have been carried out for the development of
smart delivery systems to deliver insulin via ocular, buccal, pulmonary, oral,
transdermal, as well as rectal routes. Therefore, the present review was aimed to
overview the challenges encountered with the current insulin delivery systems and
to summarize recent advancements in technology of various novel insulin delivery
systems being discovered and introduced in the current market.
PMID- 29792144
TI - Biomedical Applications of Aromatic Azo Compounds.
AB - Azo dyes are widely used in textile, fiber, cosmetic, leather, paint and printing
industries. Besides their characteristic coloring function, azo compounds are
reported as antibacterial, antiviral, antifungal and cytotoxic agents. They have
the ability to be used as drug carriers, either by acting as a 'cargo' that
entrap therapeutic agents or by prodrug approach. The drug is released by
internal or external stimuli in the region of interest, as observed in colon
targeted drug delivery. Besides drug-like and drug carrier properties, a number
of azo dyes are used in cellular staining to visualize cellular components and
metabolic processes. However, the biological significance of azo compounds,
especially in cancer chemotherapy, is still in its infancy. This may be linked to
early findings that declared azo compounds as one of the possible causes of
cancer and mutagenesis. Currently, researchers are screening the aromatic azo
compounds for their potential biomedical use, including cancer diagnosis and
therapy. In this review, we highlight the medical applications of azo compounds,
particularly related to cancer research. The biomedical significance of cis-trans
interchange and negative implications of azo compounds are also discussed in
brief.
PMID- 29792142
TI - Collaboration of the Joint Research Centre and European Customs Laboratories for
the Identification of New Psychoactive Substances.
AB - BACKGROUND: The emergence of psychoactive designer drugs has significantly
increased over the last few years. Customs officials are responsible for the
control of products entering the European Union (EU) market. This control applies
to chemicals in general, pharmaceutical products and medicines. Numerous products
imported from non-EU countries, often declared as 'bath salts' or 'fertilizers',
contain new psychoactive substance (NPS). REVIEW: These are not necessarily
controlled under international law, but may be subject to monitoring in agreement
with EU legislation. This situation imposes substantial challenges, for example,
for the maintenance of spectral libraries used for their detection by designated
laboratories. The chemical identification of new substances, with the use of
powerful instrumentation, and the time needed for detailed analysis and
interpretation of the results, demands considerable commitment. The EU Joint
Research Centre endeavors to provide scientific support to EU Customs
laboratories to facilitate rapid identification and characterisation of seized
samples. In addition to analysing known NPS, several new chemical entities have
also been identified. Frequently, these belong to NPS classes already notified to
the European Monitoring Centre for Drugs and Drug Addiction (EMCDDA) by the
European Early- Warning System (EWS). CONCLUSION: The aim of this paper is to
discuss the implementation of workflow mechanisms that are in place in order to
facilitate the monitoring, communication and management of analytical data. The
rapid dissemination of this information between control authorities strives to
help protect EU citizens against the health risks posed by harmful substances.
PMID- 29792145
TI - 1,2,4-Oxadiazole as a Privileged Scaffold for Anti-inflammatory and Analgesic
Activities: A Review.
AB - 1,2,4-Oxadiazole is one of the important heterocycles used by medicinal chemists
in designing a new therapeutic molecule. The compounds containing this nucleus
are reported to have a wide range of pharmaceutical and biological applications
including anti-inflammatory and analgesic activities. The fused and pendent 1,2,4
oxadiazole moiety has been traced in a number of well established, commercially
available drugs. This review article provides an up to date information about
techniques adopted for the synthesis of 1,2,4-oxadiazoles, and their therapeutic
importance as anti-inflammatory and analgesic agents.
PMID- 29792147
TI - Crystal Structure of Mistletoe Lectin I (ML-I) from Viscum album in Complex with
4-N-Furfurylcytosine at 2.85 A Resolution.
AB - BACKGROUND: Viscum album (the European mistletoe) is a semi-parasitic plant,
which is of high medical interest. It is widely found in Europe, Asia, and North
America. It contains at least three distinct lectins (i.e. ML-I, II, and III),
varying in molecular mass and specificity. Among them, ML-I is in focus of
medical research for various activities, including anti-cancer activities. To
understand the molecular basis for such medical applications, a few studies have
already addressed the structural and functional analysis of ML-I in complex with
ligands. In continuation of these efforts, we are reporting the crystal structure
of ML from Viscum album in complex with the nucleic acid oxidation product 4-N
furfurylcytosine (FC) refined to 2.85 A resolution. FC is known to be involved in
different metabolic pathways related to oxidative stress and DNA modification.
METHODS: X-ray suitable hexagonal crystals of the ML-I/FC complex were grown
within four days at 294 K using the hanging drop vapor diffusion method.
Diffraction data were collected up to a resolution of 2.85 A. The ligand affinity
was verified by in-silico docking. RESULTS: The high-resolution structure was
refined subsequently to analyze particularly the active site conformation and a
binding epitope of 4-N-furfurylcytosine. A distinct 2Fo-Fc electron density at
the active site was interpreted as a single FC molecule. The specific binding of
FC is achieved also through hydrophobic interactions involving Tyr76A, Tyr115A,
Glu165A, and Leu157A of the ML-I A-chain. The binding energy of FC to the active
site of ML-I was calculated as well to be -6.03 kcal mol-1. CONCLUSION: In
comparison to other reported ML-I complexes, we observed distinct differences in
the vicinity of the nucleic acid base binding site upon interaction with FC.
Therefore, data obtained will provide new insights in understanding the
specificity, inhibition, and cytotoxicity of the ML-I A-chain, and related RIPs.
PMID- 29792146
TI - Use of Bipolar Radiofrequency Catheter Ablation in the Treatment of Cardiac
Arrhythmias.
AB - BACKGROUND: Arrhythmia management is a complex process involving both
pharmacological and non-pharmacological approaches. Radiofrequency ablation is
the pillar of nonpharmacological arrhythmia treatment. Unipolar ablation is
considered to be the gold standard in the treatment of the majority of
arrhythmias; however, its efficacy is limited to specific cases. In particular,
the creation of deep or transmural lesions to eliminate intramurally originating
arrhythmias remains inadequate. Bipolar ablation is proposed as an alternative to
overcome unipolar ablation boundaries. RESULTS: Despite promising results gained
from in vitro and animal studies showing that bipolar ablation is superior in
creating transmural lesions, the use of bipolar ablation in daily clinical
practice is limited. Several studies have been published showing that bipolar
ablation is effective in the treatment of clinical arrhythmias after failed
unipolar ablation, however, there is inconsistency regarding the safety of
bipolar ablation within the available research papers. According to research
evidence, the most common indications for bipolar ablation use are ventricular
originating rhythmic disorders in patients with structural heart disease
resistant to standard radiofrequency ablation. CONCLUSION: To allow wider
clinical application the efficiency and safety of bipolar ablation need to be
verified in future studies.
PMID- 29792148
TI - Homopiperazine Derived Female Controlled Vaginal Trichomonacidal Contraceptive:
An Addition to Structure-Activity Relationship.
AB - BACKGROUND: In our previous work, several piperazine derived
bis(dialkylaminethiocarbonyl) disulfides and disulfide esters of dithiocarbamic
acid have been synthesized and evaluated for their spermicidal and microbicidal
efficacy. These studies have provided some promising compounds for developing a
dually active vaginal microbicidal contraceptive which is under pre-clinical
stage. OBJECTIVE: The main objective of this study was the design synthesis and
biological evaluation of bis(dialkylaminethiocarbonyl) disulfides (4-15) and 2,2'
disulfanediylbis (3-(substituted-1-yl) propane-2,1-diyl) disubstituted-1
carbodithioates (19-28) as non-surfactant molecules capable of eliminating
Trichomonas vaginalis as well as irreversibly immobilizing 100% human sperm
promptly. METHOD: Spermicidal, anti-trichomonas, cytotoxicity and
biocompatibility study of the synthesized compounds was done as per the reported
methodologies. RESULT: Among bis(dialkylaminethiocarbonyl) disulfides (4-15,
Table 1), compound 4 (MEC 0.02 mM) was found to be the most desirable for
spermicidal activity as it was 40 times more active than Nonoxynol-9 (N-9), and
also active against Trichomonas vaginalis (MIC 0.02 &1.10 mM). 2, 2'
disulfanediylbis (3-(substituted- 1-yl) propane-2, 1-diyl) disubstituted-1
carbodithioates (19-28, Table 2), and compounds (19, 22, 23, and 24 MEC 0.05 mM)
were sixteen times more active than N-9 with promising Trichomonacidal activity.
CONCLUSION: This study suggested that the disulfide linkage alone and
dithiocarbamate along with disulfide group within the same chemical entity impart
the desired multiple activities of compounds.
PMID- 29792150
TI - Synthesis and biological evaluation of novel 2,3-disubstituted benzofuran
analogues of GABA as neurotropic agents.
AB - BACKGROUND: Benzofurans are heterocyclic compounds with neurotropic activity.
Some have been developed for the treatment of acute and degenerative neuronal
injuries. OBJECTIVE: To evaluate the in silico binding of some promising
benzofurans on the GABA receptors, and the in vivo neurotropic activity of
benzofuran analogues (BZF 6-10) of gamma-aminobutyric acid (GABA) on a seizure
model. METHODS: The ligands with the best physicochemical attributes were docked
on two GABA receptors (the alpha-1 subunit of GABAA-R and GBR1 subunit of GABAB
R). Selected benzofuran derivatives were synthesized by a multistep procedure and
characterized. To examine the neurotropic effects, mice were pretreated with
different concentrations of the compounds prior to PTZ- or 4-AP-induced seizures.
We assessed acute toxicity, motor behavior, and the effects on seizures. RESULTS:
The tested ligands that complied with Lipinski's rule of five were tested in
silico with GABAA-R (DeltaG = -5.51 to -5.84 kcal/mol) at the allosteric site for
benzodiazepines. They bound to a similar cluster of residues as the reference
compound (gaboxadol, DeltaG = -5.51 kcal/mol). Synthesis was achieved with good
overall yields (42-9.7%). Two compounds were selected for biological tests (BZF-7
and rac-BZF-10) on a mouse model of seizures, induced by pentylenetetrazol (PTZ)
or 4-aminopyridine (4-AP). PTZ-induced seizures are associated with GABA
receptors, and those 4-AP-induced with the blockage of the delayed rectifier-type
potassium channel, which promotes the release of the NMDA-sensitive glutamatergic
ionotropic receptor and other neurotransmitters. The biological assays
demonstrated that BZF-7 and rac-BZF-10 do not protect against seizures. Indeed,
BZF-7 increased the number of PTZ-induced seizures and decreased latency time.
The 4-AP model apparently showed a potentiation of seizure effects after
administration of the BZF-analogues, evidenced by the incidence and severity of
the seizures and reduced latency time. CONCLUSIONS: The results suggest that the
test compounds are GABAergic antagonists with stimulatory activity on the CNS.
PMID- 29792151
TI - Pyrazole and imidazo[1,2-b]pyrazole derivatives as new potential anti
tuberculosis agents.
AB - BACKGROUND: We screened a large library of differently decorated imidazo-pyrazole
and pyrazole derivatives as possible new antitubercular agents and this
preliminary screening showed that many compounds are able to totally inhibit
Mycobacterium growth (>90 %). Among the most active compounds, we selected some
new possible hits based on their similarities and, at the same time, their
novelty respect to the pipeline drugs. METHODS: In order to increase the potency
and obtain more information about structure activity relationship (SAR), we
design and synthesized three new series of compounds (2a-e, 3a-e, and 4a-l).
CONCLUSIONS: Performed tests confirmed that both new pyrazoles and imidazo
pyrazoles could represent a new starting point to obtain more potent compounds
and further work is now underway to identify the protein targets of this new
class of anti-TB agents.
PMID- 29792149
TI - Inhibition of Mutated Isocitrate Dehydrogenase 1 in Cancer.
AB - BACKGROUND: R132H mutation of isocitrate dehydrogenase 1 (IDH1) is found in ~75%
of low-grade gliomas and secondary glioblastomas as well as in several other
types of cancer. More chemotypes of inhibitors of IDH1(R132H) are therefore
needed. OBJECTIVE: The study aimed to develop a new class of IDH1(R132H)
inhibitors as potent antitumor agents. METHOD: A biochemical assay was developed
to find inhibitors of IDH1(R132H) mutant enzyme. Chemical synthesis and structure
activity relationship studies were used to find compounds with improved potency.
Antitumor activities of selected compounds were evaluated. RESULTS: A series of
aromatic sulfonamide compounds was found to be novel, potent inhibitors of
IDH1(R132H) with Ki values as low as 0.6 uM. Structure-activity relationships of
these compounds are discussed. Enzyme kinetics studies showed that one compound
is a competitive inhibitor against the substrate alpha-KG and a non-competitive
inhibitor against the cofactor NADPH. Several inhibitors were found to have no
activity against wild-type IDH1, showing a high selectivity. Two potent
inhibitors exhibited strong activity against proliferation of BT142 glioma cells
with IDH1 R132H mutation, while these compounds did not significantly affect the
growth of glioma cells without IDH1 mutation. CONCLUSION: This novel series of
IDH1(R132H) inhibitors have potential to be further developed for the treatment
of glioma with IDH1 mutation.
PMID- 29792152
TI - Sorbinil, an aldolase reductase inhibitor, in fighting against diabetic
complications.
AB - BACKGROUND: Aldose reductase (AR) is involved in pathogenesis of diabetes, which
is one of the major threats to global public health. OBJECTIVE: In this review
article, we have discussed the role of sorbinil, an AR inhibitor (ARI), in
preventing diabetic complications. RESULTS: AR contributes in diabetes by
generating excess intracellular superoxide and other mediators of oxidative
stress through polyol pathway. Inhibition of AR activity thus might be potential
approach for the management of diabetic complications. Experimental evidences
indicated that sorbinil can decrease AR activity and inhibit polyol pathway. Both
in vitro and animal model studies reported the efficacy of sorbinil in
controlling the progression of diabetes. Moreover, Sorbinil has been found to be
comparatively safer than other ARIs for human use. But, it is still in early
phase testing for the treatment of diabetic complications clinically. CONCLUSION:
Sorbinil is an effective ARI, which could play therapeutic role in treating
diabetes and diabetic complications. However, advanced clinical trials are
required for sorbinil so that it could be applied with the lowest efficacious
dose in humans.
PMID- 29792153
TI - Antimicrobial Evaluation of Erythrinan Alkaloids from Erythrina cristagalli L.
AB - BACKGROUND: Several species of the genus Erythrina have been used as sedative,
antidepressant, and anticonvulsant. Erythrina crista-galli is native to the Pampa
Biome and is widely used for medicinal purposes. Erythrinan alkaloids exhibit a
range of pharmacological properties. OBJECTIVE: The aim of this study was to
evaluate the basic fractions and the alkaloids isolated from E. crista-galli bark
against a collection of bacteria and fungi for the first time. METHODS: Erythrina
crista-galli stem bark was extracted with MeOH under reflux. The crude extract
was dissolved in water, acidified and extracted with diethyl ether. Basification
of the aqueous solution followed by diethyl ether and ethyl acetate extractions
gave the basic ether and basic ethyl acetate fractions. Chromatographic
purification of these fractions afforded five known alkaloids: erytharbine (1),
erysotrine (2), erysotramidine (3), erysotrine N-oxide (4) and erythratidine (5)
along with a new alkaloid named here erythratidine N-oxide (6). Alkaloids 1-6
were investigated against a collection of bacteria and fungi using the broth
micro dilution method. RESULTS: In this work, a new alkaloid was isolated from E.
crista-galli. The most significant bacterial inhibitory effect of alkaloidal
fractions was observed against the Gram-negative Pseudomas aeroginosa (MIC values
of 31.25 ug.mL-1). Basic ether fraction displayed good antimicrobial activity
against Shigella sonnei with MIC= 62.5 ug.mL-1. Isolated alkaloids 1-6 showed
inhibitory activity against all bacteria tested (MIC values of 50-100 ug.mL-1).
In addition, the crude extract and alkaloids 1, 2, and 5 also showed good
antifungal potential against Candida krusei (MICs between 12.5 and 31.25 ug.mL
1). The previously undescribed alkaloid 6 presented MIC values between 50 and 100
ug.mL-1 against all tested microorganisms. CONCLUSION: In general, as with a
considerable number of phytochemicals with antimicrobial activity, alkaloids 1-6
may be considered with potential as antibacterial/antifungal agents. The MIC
values of the extract, alkaloidal fractions and compounds 1-6 indicate that, at
least in part, the isolates were responsible for the antimicrobial activity
observed.
PMID- 29792154
TI - Exploration of (hetero)aryl derived thienylchalcones for antiviral and anticancer
activities.
AB - BACKGROUND: Search for new antiviral and anticancer agents are essential because
of the emergence of drug resistance in recent years. In continuation of our
efforts in identifying the new small molecule antiviral and anticancer agents, we
identified chalcones as potent antiviral and anticancer agents. OBJECTIVE: With
the aim of identifying the broad acting antiviral and anticancer agents, we
discovered substituted aryl/heteroaryl derived thienyl chalcones as antiviral and
anticancer agents. METHOD: A focused set of thienyl chalcone derivaties II-VI was
screened for selected viruses Hepatitis B virus (HBV), Herpes simplex virus 1
(HSV-1), Human cytomegalovirus (HCMV), Dengue virus 2 (DENV2), Influenza A (H1N1)
virus, MERS coronavirus, Poliovirus 1 (PV 1), Rift Valley fever (RVF), Tacaribe
virus (TCRV), Venezuelan equine encephalitis virus (VEE) and Zika virus (ZIKV)
using the National Institute of Allergy and Infectious Diseases (NIAID)'s
Division of Microbiology and Infectious Diseases (DMID) antiviral screening
program. Additionally, a cyclopropylquinoline derivative IV has been screened for
60 human cancer cell lines using the Development Therapeutics Program (DTP) of
NCI. RESULTS: All thienyl chalcone derivatives II-VI displayed moderate to
excellent antiviral activity towards several viruses tested. Compounds V and VI
were turned out be active compounds towards human cytomegalovirus for both normal
strain (AD169) as well as resistant isolate (GDGr K17). Particularly, cyano
derivative V showed very high potency (EC50: <0.05 uM) towards AD169 strain of
HCMV compared to standard drug Ganciclovir (EC50: 0.12 uM). Additionally, it
showed moderate activity in the secondary assay (AD169; EC50: 2.30 uM). The
cyclopropylquinoline derivative IV displayed high potency towards Rift Valley
fever virus (RVFV) and Tacaribe virus (TCRV). The cyclopropylquinoline derivative
IV is nearly 28 times more potent in our initial in vitro visual assay (EC50:
0.39 MUg/ml) and nearly 17 times more potent in neutral red assay (EC50: 0.71
MUg/ml) compared to the standard drug Ribavirin (EC50: 11 MUg/ml; visual assay
and EC50: 12 MUg/ml; neutral red assay). It is nearly 12 times more potent in our
initial in vitro visual assay (EC50: >1 MUg/ml) and nearly 8 times more potent in
neutral red assay (EC50: >1.3 MUg/ml) compared to the standard drug Ribavirin
(EC50: 12 MUg/ml; visual assay and EC50: 9.9 MUg/ml; neutral red assay) towards
Tacaribe virus (TCRV). Additionally, cyclopropylquinoline derivative IV has shown
strong growth inhibitory activity towards three major cancer (colon, breast, and
leukemia) cell lines and moderate growth inhibition shown towards other cancer
cell lines screened. CONCLUSION: Compounds V and VI were demonstrated viral
inhibition towards Human cytomegalovirus, whereas cyclopropylquinoline derivative
IV towards Rift Valley fever virus and Tacaribe virus. Additionally,
cyclopropylquinoline derivative IV has displayed very good cytotoxicity against
colon, breast and leukemia cell lines in vitro.
PMID- 29792155
TI - Design, Synthesis and Investigation of New Diphenyl Substituted Pyridazinone
Derivatives as Both Cholinesterase and Abeta-Aggregation Inhibitors.
AB - : Backround: With respect to the increase in the average life expectancy,
Alzheimer Disease (AD), the most common form of age-related dementia, has become
a major threat to the population over the age of 65 during the past several
decades. The majority of AD treatments are focused on cholinergic and amyloid
hypotheses. OBJECTIVE: In this study, three series of diphenyl-2-(2-(4
substitutedpiperazin-1-yl)ethyl)pyridazin-3(2H)-one derivatives were designed,
synthesized and investigated for their ability to inhibit both cholinesterase
enzymes and amyloid-beta aggregation. METHOD: The inhibitory activities of the
synthesized compounds on AChE (from electric eel) and BChE (from equine serum)
were determined by the modified Ellman's method. The reported thioflavin T-based
fluorometric assay was performed to investigate the effect of the selected
compounds on the aggregation of Abeta1-42. The cytotoxic effect of the compounds
(4g, 11g and 18g) was monitored in 3T3 cell lines to gain insight into
therapeutic potential of the compounds by using MTT assay. The crystal structures
of the AChE (1EVE) and BChE (1P0I) enzymes were retrieved from the RCSB Protein
Data Bank and Molecular Operating Environment (MOE) software was used for
molecular docking of the ligands. RESULTS: Among the tested compounds, 5,6
diphenyl derivative 18g was identified as the most potent and selective AChE
inhibitor (IC50 = 1.75 uM, Selectivity Index for AChE > 22.857). 4,6-Diphenyl
derivative 11g showed the highest and the most selectivity for BChE (IC50= 4.97
uM, SI for AChE < 0.124). Interestingly, 4,5-diphenyl derivative 4g presented
dual cholinesterase inhibition (AChE IC50= 5.11 uM; BChE IC50= 14.16 uM, SI for
AChE = 2.771). CONCLUSION: Based on biological activity results and low toxicity
of the compounds, it can be said that diphenyl substituted pyridazinone core is a
valuable scaffold. Especially, dual inhibitory potencies of 4,5-diphenylpyridazin
3(2H)-one core for the cholinesterase enzymes and Abeta-aggregation makes this
core a promising disease-modifying agent.
PMID- 29792156
TI - Design, synthesis and biological evaluation of 1H-1,2,3-Triazole-Linked-1H
Dibenzo[b,h]xanthenes as Inductors of ROS-Mediated Apoptosis in the Breast Cancer
Cell Line MCF-7.
AB - BACKGROUND: Low molecular weight 1,2,3-triazoles and naphthoquinones are endowed
with various types of biological activity, such as against cancer, HIV and
bacteria. However, in some cases, the conjugation of these two nuclei
considerably increases their biological activities Objective: In this work, we
decided to study the synthesis and screening of bis-naphthoquinones and xanthenes
tethered to 1,2,3-triazoles against cancer cell lines, specifically the human
breast cancer cell line MCF-7. RESULTS: Starting from lawsone and aryl-1H-1,2,3
triazole-4-carbaldehydes (10a-h) several new 7-(1-aryl-1H-1,2,3-triazol-4-yl)-6H
dibenzo[b,h]xanthene-5,6,8,13(7H)-tetraones (12a-h) and 3,3'-((1-aryl-1H
1,2,3-triazol-4-yl)methylene)bis(2-hydroxynaphthalene-1,4-diones) 11a-h were
synthesized and evaluated for their cytotoxic activities using the human breast
cancer cell line MCF-7 and the non-tumor cell line MCF10A as control. We
performed test of cell viability, cell proliferation, intracellular ATP content
and cell cytometry to determine reactive oxygen species (ROS) formation.
CONCLUSIONS: Based on these results, we found that compound 12a promote ROS
production, interfering with energy metabolism, cell viability and proliferation,
and thus promoting an whole cell damage.
PMID- 29792157
TI - Long-term health and germline transmission in transgenic cattle following
transposon-mediated gene transfer.
AB - BACKGROUND: Transposon-mediated, non-viral gene delivery is a powerful tool for
generating stable cell lines and transgenic animals. However, as multi-copy
insertion is the preferred integration pattern, there is the potential for
uncontrolled changes in endogenous gene expression and detrimental effects in
cells or animals. Our group has previously reported on the generation of several
transgenic cattle by using microinjection of the Sleeping Beauty (SB) and
PiggyBac (PB) transposons and seeks to explore the long-term effects of this
technology on cattle. RESULTS: Transgenic cattle, one female (SNU-SB-1) and one
male (SNU-PB-1), reached over 36 months of age with no significant health issues
and normal blood parameters. The detection of transgene integration and
fluorescent signal in oocytes and sperm suggested the capacity for germline
transmission in both of the founder animals. After natural breeding, the founder
transgenic cow delivered a male calf and secreted milk containing fluorescent
transgenic proteins. The calf expressed green fluorescent protein in primary
cells from ear skin, with no significant change in overall genomic stability and
blood parameters. Three sites of transgene integration were identified by next
generation sequencing of the calf's genome. CONCLUSIONS: Overall, these data
demonstrate that transposon-mediated transgenesis can be applied to cattle
without being detrimental to their long-term genomic stability or general health.
We further suggest that this technology may be usefully applied in other fields,
such as the generation of transgenic animal models.
PMID- 29792158
TI - Landraces of snake melon, an ancient Middle Eastern crop, reveal extensive
morphological and DNA diversity for potential genetic improvement.
AB - BACKGROUND: Snake melon (Cucumis melo var. flexuosus, "Faqqous") is a traditional
and ancient vegetable in the Mediterranean area. A collection of landraces from
42 grower fields in Israel and Palestinian territories was grown and
characterized in a "Common Garden" rain-fed experiment, at the morphological
horticultural and molecular level using seq-DArT markers. RESULTS: The different
landraces ("populations") showed extensive variation in morphology and
quantitative traits such as yield and femaleness, and clustered into four
horticultural varieties. Yield was assessed by five harvests along the season,
with middle harvests producing the highest yields. Yield correlated with early
vigor, and with femaleness, but not with late vigor. At the molecular level, 2784
SNP were produced and > 90% were mapped to the melon genome. Populations were
very polymorphic (46-72% of the markers biallelic in a 4 individuals sample), and
observed heterozygosity was higher than the expected, suggesting gene flow among
populations and extensive cross pollination among individuals in the field.
Genetic distances between landraces were significantly correlated with the
geographical distance between collecting sites, and with long term March
precipitation average; variation in yield correlated with April temperature
maxima. CONCLUSIONS: The extensive variation suggests that selection of local
snake melon could result in yield improvement. Correlations between traits and
climatic variables could suggest local adaptation of landraces to the diverse
environment in which they evolved. This study stresses the importance of
preserving this germplasm, and its potential for breeding better snake melons as
an heirloom crop in our region.
PMID- 29792159
TI - On the possibility of death of new genes - evidence from the deletion of de novo
microRNAs.
AB - BACKGROUND: New genes are constantly formed, sometimes from non-genic sequences,
creating what is referred to as de novo genes. Since the total number of genes
remains relatively steady, gene deaths likely balance out new births. In metazoan
genomes, microRNAs (miRs) genes, small and non-coding, account for the bulk of
functional de novo genes and are particularly suited to the investigation of gene
death. RESULTS: In this study, we discover a Drosophila-specific de novo miRNA
(mir-977) that may be facing impending death. Strikingly, after this testis
specific gene is deleted from D. melanogaster, most components of male fitness
increase, rather than decrease as had been expected. These components include
male viability, fertility and males' ability to repress female re-mating. Given
that mir-977 has a negative fitness effect in D. melanogaster, this de novo gene
with an adaptive history for over 60 Myrs may be facing elimination. In some
other species where mir-977 is not found, gene death may have already happened.
CONCLUSION: The surprising result suggests that de novo genes, constantly rising
and falling during evolution, may often be transiently adaptive and then purged
from the genome.
PMID- 29792160
TI - NextSV: a meta-caller for structural variants from low-coverage long-read
sequencing data.
AB - BACKGROUND: Structural variants (SVs) in human genomes are implicated in a
variety of human diseases. Long-read sequencing delivers much longer read lengths
than short-read sequencing and may greatly improve SV detection. However, due to
the relatively high cost of long-read sequencing, it is unclear what coverage is
needed and how to optimally use the aligners and SV callers. RESULTS: In this
study, we developed NextSV, a meta-caller to perform SV calling from low coverage
long-read sequencing data. NextSV integrates three aligners and three SV callers
and generates two integrated call sets (sensitive/stringent) for different
analysis purposes. We evaluated SV calling performance of NextSV under different
PacBio coverages on two personal genomes, NA12878 and HX1. Our results showed
that, compared with running any single SV caller, NextSV stringent call set had
higher precision and balanced accuracy (F1 score) while NextSV sensitive call set
had a higher recall. At 10X coverage, the recall of NextSV sensitive call set was
93.5 to 94.1% for deletions and 87.9 to 93.2% for insertions, indicating that
~10X coverage might be an optimal coverage to use in practice, considering the
balance between the sequencing costs and the recall rates. We further evaluated
the Mendelian errors on an Ashkenazi Jewish trio dataset. CONCLUSIONS: Our
results provide useful guidelines for SV detection from low coverage whole-genome
PacBio data and we expect that NextSV will facilitate the analysis of SVs on long
read sequencing data.
PMID- 29792161
TI - A website to identify shared genes in Saccharomyces cerevisiae homozygous
deletion library screens.
AB - BACKGROUND: The homozygous yeast deletion library includes approximately 4800
diploid strains each containing one deleted non-essential gene. Hundreds of
publications have arisen through experimentation using this genome-wide
biological resource. As part of this work over 677 genesets have been collated
from these experiments representing the phenotypic responses of the library to a
diverse set of chemical and physical challenges. DESCRIPTION: A website called
the Saccharomyces cerevisiae Homozygous Deletion Library Tools (ScHo DeLiTo-96)
has been developed with the primary goal of browsing and identifying genes shared
between these responsive phenotypes (available at yeastdb.org ). Geneset
comparisons have been performed for each phenotype against all others to identify
common genes. Genesets and other curated information are stored in a relational
database and a website interface allows users to query and browse the data in an
intuitive way to reveal commonality between selected phenotypic responses. The
most commonly occurring genes in all of the stored phenotypes are highly over
represented in the GO slim term "cellular ion homeostasis" indicating that genes
shared between phenotypes may highlight a common cellular response. Additionally,
user derived genesets can be uploaded and intersected against the stored data to
reveal common responses which may otherwise have been obscure. CONCLUSION: These
tools provide a simple method to perform niche enquiries between datasets derived
from the yeast deletion library.
PMID- 29792162
TI - Overlapping but distinct topology for zebrafish V2R-like olfactory receptors
reminiscent of odorant receptor spatial expression zones.
AB - BACKGROUND: The sense of smell is unrivaled in terms of molecular complexity of
its input channels. Even zebrafish, a model vertebrate system in many research
fields including olfaction, possesses several hundred different olfactory
receptor genes, organized in four different gene families. For one of these
families, the initially discovered odorant receptors proper, segregation of
expression into distinct spatial subdomains within a common sensory surface has
been observed both in teleost fish and in mammals. However, for the remaining
three families, little to nothing was known about their spatial coding logic.
Here we wished to investigate, whether the principle of spatial segregation
observed for odorant receptors extends to another olfactory receptor family, the
V2R-related OlfC genes. Furthermore we thought to examine, how expression of OlfC
genes is integrated into expression zones of odorant receptor genes, which in
fish share a single sensory surface with OlfC genes. RESULTS: To select
representative genes, we performed a comprehensive phylogenetic study of the
zebrafish OlfC family, which identified a novel OlfC gene, reduced the number of
pseudogenes to 1, and brought the total family size to 60 intact OlfC receptors.
We analyzed the spatial pattern of OlfC-expressing cells for seven representative
receptors in three dimensions (height within the epithelial layer, horizontal
distance from the center of the olfactory organ, and height within the olfactory
organ). We report non-random distributions of labeled neurons for all OlfC genes
analysed. Distributions for sparsely expressed OlfC genes are significantly
different from each other in nearly all cases, broad overlap notwithstanding. For
two of the three coordinates analyzed, OlfC expression zones are intercalated
with those of odorant receptor zones, whereas in the third dimension some
segregation is observed. CONCLUSION: Our results show that V2R-related OlfC genes
follow the same spatial logic of expression as odorant receptors and their
expression zones intermingle with those of odorant receptor genes. Thus,
distinctly different expression zones for individual receptor genes constitute a
general feature shared by teleost and tetrapod V2R/OlfC and odorant receptor
families alike.
PMID- 29792163
TI - Different patterns of inflammatory and angiogenic factors are associated with
peritoneal small solute transport and peritoneal protein clearance in peritoneal
dialysis patients.
AB - BACKGROUND: Both peritoneal small solute transport and peritoneal protein
clearance are closely linked to outcomes in peritoneal dialysis (PD) patients.
However, the associated factors of these two components are not fully understood
so far. This study aimed to investigate the association between a panel of
systemic and peritoneal inflammatory and angiogenic factors and peritoneal solute
transport properties. METHODS: Stable PD patients in PD center of Renji Hospital,
School of Medicine, Shanghai Jiao Tong University were enrolled in present study.
Serum and overnight effluent markers including angiopoietin-1 (Ang-1),
angiopoietin-2 (Ang-2), sTie-2, VEGF, IL-6 and IL-10 were determined. Mass
transfer area coefficient of creatinine (MTACcr) and peritoneal protein clearance
(Prcl) were calculated. Multivariable linear regression was used to examine the
association between these markers and MTACcr as well as Prcl. RESULTS: A total of
320 patients were enrolled in present study, which consisted of 166 (51.9%) males
with a mean age of 56.8 +/- 14.2 years and a median PD duration of 32.5 (9.0
56.3) months. Multiple regression analyses showed that BSA, history glucose
exposure, dialysate IL-6 AR and dialysate Ang-1 AR were independent associated
factors of MTACcr, while BSA and serum Ang-1 were independent associated factors
of Prcl. CONCLUSIONS: MTACcr representing peritoneal small-solute transport and
Prcl representing peritoneal large molecular transport are associated with
slightly different panels of inflammatory and angiogenic factors.
PMID- 29792164
TI - A case report of reversible generalized seizures in a patient with Waardenburg
syndrome associated with a novel nonsense mutation in the penultimate exon of
SOX10.
AB - BACKGROUND: Waardenburg syndrome type 1 (WS1) can be distinguished from
Waardenburg syndrome type 2 (WS2) by the presence of dystopia canthorum. About
96% of WS1 are due to PAX3 mutations, and SOX10 mutations have been reported in
15% of WS2. CASE PRESENTATION: This report describes a patient with WS1 who
harbored a novel SOX10 nonsense mutation (c.652G > T, p.G218*) in exon 3 which is
the penultimate exon. The patient had mild prodromal neurological symptoms that
were followed by severe attacks of generalized seizures associated with delayed
myelination of the brain. The immature myelination recovered later and the
neurological symptoms could be improved. This is the first truncating mutation in
exon 3 of SOX10 that is associated with neurological symptoms in Waardenburg
syndrome. Previous studies reported that the neurological symptoms that associate
with WS are congenital and irreversible. These findings suggest that the
reversible neurological phenotype may be associated with the nonsense mutation in
exon 3 of SOX10. CONCLUSIONS: When patients of WS show mild prodromal
neurological symptoms, the clinician should be aware of the possibility that
severe attacks of generalized seizures may follow, which may be associated with
the truncating mutation in exon 3 of SOX10.
PMID- 29792165
TI - Molecular characterization and differential expression suggested diverse
functions of P-type II Ca2+ATPases in Triticum aestivum L.
AB - BACKGROUND: Plant P-type II Ca2+ATPases are formed by two distinct groups of
proteins (ACAs and ECAs) that perform pumping of Ca2+ outside the cytoplasm
during homeostasis, and play vital functions during development and stress
management. In the present study, we have performed identification and
characterisation of P-type II Ca 2+ ATPase gene family in an important crop plant
Triticum aestivum. RESULTS: Herein, a total of 33 TaACA and 9 TaECA proteins were
identified from the various chromosomes and sub-genomes of Triticum aestivum.
Phylogenetic analysis revealed clustering of the homoeologous TaACA and TaECA
proteins into 11 and 3 distinct groups that exhibited high sequence homology and
comparable structural organization as well. Both TaACA and TaECA group proteins
consisted of eight to ten transmembrane regions, and their respective domains and
motifs. Prediction of sub-cellular localization was found variable for most of
the proteins; moreover, it was consistent with the evolutionarily related
proteins from rice and Arabidopsis in certain cases. The occurrence of assorted
sets of cis-regulatory elements indicated their diverse functions. The
differential expression of various TaACA and TaECA genes during developmental
stages suggested their roles in growth and development. The modulated expression
during heat, drought, salt and biotic stresses along with the occurrence of
various stress specific cis-regulatory elements suggested their association with
stress response. Interaction of these genes with numerous development and stress
related genes indicated their decisive role in various biological processes and
signaling. CONCLUSION: T. aestivum genome consisted of a maximum of 42 P-type II
Ca 2+ ATPase genes, derived from each A, B and D sub-genome. These genes may play
diverse functions during plant growth and development. They may also be involved
in signalling during abiotic and biotic stresses. The present study provides a
comprehensive insight into the role of P-type II Ca 2+ ATPase genes in T.
aestivum. However, the specific function of each gene needs to be established,
which could be utilized in future crop improvement programs.
PMID- 29792168
TI - Trends in the incidence and associated factors of late-onset sepsis associated
with improved survival in extremely preterm infants born at 23-26 weeks'
gestation: a retrospective study.
AB - BACKGROUND: To investigate the trends in the incidence and associated factors of
late-onset sepsis (LOS) associated with improved survival in extremely preterm
infants. METHODS: Medical records of 364 infants who were born at 23-26 weeks'
gestation from 2000 to 2005 (period I, n = 124) and from 2006 to 2011 (period II,
n = 240) were retrospectively reviewed. The infants were stratified into
subgroups of 23-24 and 25-26 weeks' gestation within each period, and survival,
LOS rate, and clinical characteristics were analyzed. Multivariate logistic
regression analyses were completed to identify the clinical factors associated
with LOS. RESULTS: The survival rate of 75.8% during period I significantly
improved to 85.4% during period II, especially in infants at 23-24 weeks'
gestation (55.1% vs. 78.1%, respectively). The LOS rate of 33.1% during period I
significantly reduced to 15.8% during period II, especially in infants at 25-26
weeks' gestation (32.0% vs. 8.9%, respectively). The LOS rate per 1000 hospital
days of 4.0 during period I significantly reduced to 1.8 during period II.
Candida presence reduced from 21.3% during period I to 4.7% during period II. In
multivariate analyses, during period I, prolonged intubation, especially in
infants at 25-26 weeks' gestation, and necrotizing enterocolitis, especially in
infants at 23-24 weeks' gestation, were significantly associated with LOS.
CONCLUSIONS: Improved survival of infants at 23-24 weeks' gestation was
associated with a simultaneous reduction of LOS incidence in infants at 25-26
weeks' gestation. Less-invasive assisted ventilation may be one of the details of
improved perinatal and neonatal care that has contributed to lowering risk of
infection or death among periviable infants.
PMID- 29792167
TI - Association between glaucoma severity and driving cessation in subjects with
primary open-angle glaucoma.
AB - BACKGROUND: The aim of this study, which included a baseline cross-sectional
study and a 3-year follow-up prospective study, was to investigate the
association between glaucomatous visual field damage and driving cessation in
subjects with primary open-angle glaucoma (POAG). METHODS: A total of 211 POAG
subjects divided into 3 groups according to POAG severity (mild, moderate, or
severe) in the better eye were enrolled along with 148 control subjects; subjects
were asked about changes in their driving status. In the 3-year follow-up study,
185 of the POAG subjects and 80 of the controls annually reported their driving
status. Adjusted odds ratios and 95% confidence intervals for the prevalence and
incidence of driving cessation were estimated with a multiple logistic regression
model. RESULTS: In the original cross-sectional study, 11/148 (7%) members of the
control group reported having given up driving over the previous 5 years; the
corresponding figures for the mild POAG, moderate POAG, and severe POAG groups
were 9/173 (5%), 0/22 (0%), and 5/16 (31%), respectively (p = 0.001, Fisher's
exact test), with severe POAG found to be associated with driving cessation after
adjustment for age, gender, systemic hypertension, and diabetes mellitus (odds
ratio 11.52 [95% CI 2.87-46.35], ref. control, p = 0.001). In the follow-up
study, the proportions of subjects who ceased driving were 1/80 (1.3%) in the
control group, 8/152 (5.3%) in the mild POAG group, 5/22 (22.7%) in the moderate
POAG group, and 2/11 (18.2%) in the severe POAG group (p = 0.001, Fisher's exact
test). Moderate POAG and severe POAG in the better eye were found to be
associated with driving cessation after adjustment for age, gender, systemic
hypertension, and diabetes mellitus (moderate POAG in the better eye: odds ratio
37.7 [95% CI 3.7-383.8], ref. control, p = 0.002, and severe POAG in the better
eye: odds ratio 52.8 [95% CI 3.5-797.0], ref. control, p = 0.004). CONCLUSION:
Moderate and Severe POAG in the better eye is associated with driving cessation.
PMID- 29792166
TI - The PDGFRbeta/ERK1/2 pathway regulates CDCP1 expression in triple-negative breast
cancer.
AB - BACKGROUND: CDCP1, a transmembrane protein with tumor pro-metastatic activity,
was recently identified as a prognostic marker in TNBC, the most aggressive
breast cancer subtype still lacking an effective molecular targeted therapy. The
mechanisms driving CDCP1 over-expression are not fully understood, although
several stimuli derived from tumor microenvironment, such as factors present in
Wound Healing Fluids (WHFs), reportedly increase CDCP1 levels. METHODS: The
expression of CDCP1, PDGFRbeta and ERK1/2cell was tested by Western blot after
stimulation of MDA-MB-231 cells with PDGF-BB and, similarly, in presence or not
of ERK1/2 inhibitor in a panel of TNBC cell lines. Knock-down of PDGFRbeta was
established in MDA-MB-231 cells to detect CDCP1 upon WHF treatment.
Immunohistochemical staining was used to detect the expression of CDCP1 and
PDGFRbeta in TNBC clinical samples. RESULTS: We discovered that PDGF-BB-mediated
activation of PDGFRbeta increases CDCP1 protein expression through the downstream
activation of ERK1/2. Inhibition of ERK1/2 activity reduced per se CDCP1
expression, evidence strengthening its role in CDCP1 expression regulation. Knock
down of PDGFRbeta in TNBC cells impaired CDCP1 increase induced by WHF treatment,
highlighting the role if this receptor as a central player of the WHF-mediated
CDCP1 induction. A significant association between CDCP1 and PDGFRbeta
immunohistochemical staining was observed in TNBC specimens, independently of
CDCP1 gene gain, thus corroborating the relevance of the PDGF-BB/PDGFRbeta axis
in the modulation of CDCP1 expression. CONCLUSION: We have identified PDGF
BB/PDGFRbeta-mediated pathway as a novel player in the regulation of CDCP1 in
TNCBs through ERK1/2 activation. Our results provide the basis for the potential
use of PDGFRbeta and ERK1/2 inhibitors in targeting the aggressive features of
CDCP1-positive TNBCs.
PMID- 29792169
TI - Use of synthetic adrenocorticotropic hormone in patients with IgA nephropathy.
AB - BACKGROUND: Synthetic adrenocorticotropic hormone (ACTH) has been demonstrated to
be effective in patients with membranous nephropathy, minimal change disease and
some histological subtypes of focal segmental glomerulosclerosis. Its clinical
impact in patients with IgA nephropathy is currently unclear. CASE PRESENTATION:
In this report, we describe the clinical use of ACTH in patients with IgA
nephropathy. Three female patients (24-44 years) with overt proteinuria received
intramuscular (IM) ACTH for varying time periods (8-14 months). Pre-treatment
urine protein varied from 2.9 g/d to 4.3 g/d. CONCLUSIONS: There was complete
remission in one patient on ACTH monotherapy and in the other two when prescribed
as a steroid-sparing agent in combination with cyclophosphamide. All three had
resolution in proteinuria to less than 1 g/d and maintained their GFR to baseline
values. There were no reported side effects at a once a week dose. This study
illustrates that ACTH is an effective agent that is well tolerated with minimal
side effects and can be used as an alternative to prednisone in patients with IgA
nephropathy.
PMID- 29792170
TI - Liddle's-like syndrome associated with nephrotic syndrome secondary to membranous
nephropathy: the first case report.
AB - BACKGROUND: Liddle's syndrome is a rare monogenic form of hypertension caused by
truncating or missense mutations in the C termini of the epithelial sodium
channel (ENaC) beta or gamma subunits. Patients with this syndrome present with
early onset of hypertension, hypokalemia, metabolic alkalosis, hyporeninemia and
hypoaldosteronism, and a potassium-sparing diuretics (triamterene or amiloride)
can drastically improves the disease condition. Although elderly patients having
these characteristics were considered to have Liddle's syndrome or Liddle's-like
syndrome, no previous report has indicated that Liddle's-like syndrome could be
caused by nephrotic syndrome of primary glomerular disease, which is
characterized by urinary excretion of > 3 g of protein/day plus edema and
hypoalbuminemia, or has explained how the activity function of ENaC could be
affected in the setting of high proteinuria. CASE PRESENTATION: A 65-year-old
Japanese man presented with nephrotic syndrome. He had no remarkable family
history, but had a medical history of hypertension and hyperlipidemia. On
admission, hypertension, spironolactone-resistant hypokalemia (2.43 mEq/l),
hyporeninemic hypoaldosteronism, and metabolic alkalosis, which suggested
Liddle's syndrome, were observed. Treatment with triamterene together with a
steroid for nephrotic syndrome resulted in rapid and remarkable effective on
improvements of hypertension, hypokalemia, and edema of the lower extremities.
Renal biopsy revealed membranous nephropathy (MN) as the cause of nephrotic
syndrome, and advanced gastric cancer was identified on screening examination for
cancers that could be associated with the development of MN. After total
gastrectomy, triamterene was not required and proteinuria decreased. A mutation
in the beta or gamma subunits of the ENaC gene was not identified. CONCLUSION: We
reported for the first time a case of Liddle's-like syndrome associated with
nephrotic syndrome secondary to MN. Aberrant activation of ENaC was suggested
transient during the period of high proteinuria, and the activation was
reversible with a decrease in proteinuria.
PMID- 29792172
TI - Oral health and orofacial pain in people with dementia admitted to acute hospital
wards: observational cohort study.
AB - BACKGROUND: Orofacial pain in people with dementia is difficult to detect, and
often under-treated. Our aim was to investigate the prevalence of orofacial pain
in people with dementia in acute hospitals in the UK. Secondary aims were to
examine oral health status and explore associations between orofacial pain and
oral health factors. METHODS: This cross-sectional observational study was
carried out in two UK hospitals. Using the Orofacial Pain Scale in Non-Verbal
Individuals (OPS-NVI) to identify orofacial pain, 101 participants with dementia,
admitted to acute medical wards, were observed for at least 3 min during rest and
chewing. Verbal participants were then asked about presence of orofacial pain,
using self-report pain scales. Finally, a brief oral assessment was performed.
RESULTS: Orofacial pain, assessed with the OPS-NVI, was present in 11.9% (95%
C.I. 5.9, 18.8) of participants at rest and 21.9% (95% C.I. 14.6, 31.3) whilst
chewing. Participants who were no longer able to self-report pain were
significantly more likely to experience orofacial pain. Oral health in both
dentate and edentate participants was poor. Brush frequency, indication of
chewing quality, consistency of the food, presence of extra-oral abnormalities,
person who performed mouth care, and oral hygiene in dentate participants were
significant predictors for the presence of orofacial pain. CONCLUSION: Improving
oral care in acute hospital patients with dementia, particularly those who cannot
self-report pain, may significantly reduce pain and suffering in this population.
PMID- 29792171
TI - Embryonic transcriptome and proteome analyses on hepatic lipid metabolism in
chickens divergently selected for abdominal fat content.
AB - BACKGROUND: In avian species, liver is the main site of de novo lipogenesis, and
hepatic lipid metabolism relates closely to adipose fat deposition. Using our fat
and lean chicken lines of striking differences in abdominal fat content, post
hatch lipid metabolism in both liver and adipose tissues has been studied
extensively. However, whether molecular discrepancy for hepatic lipid metabolism
exists in chicken embryos remains obscure. RESULTS: We performed transcriptome
and proteome profiling on chicken livers at five embryonic stages (E7, E12, E14,
E17 and E21) between the fat and lean chicken lines. At each stage, 521, 141,
882, 979 and 169 differentially expressed genes were found by the digital gene
expression, respectively, which were significantly enriched in the metabolic,
PPAR signaling and fatty acid metabolism pathways. Quantitative proteomics
analysis found 20 differentially expressed proteins related to lipid metabolism,
PPAR signaling, fat digestion and absorption, and oxidative phosphorylation
pathways. Combined analysis showed that genes and proteins related to lipid
transport (intestinal fatty acid-binding protein, nucleoside diphosphate kinase,
and apolipoprotein A-I), lipid clearance (heat shock protein beta-1) and energy
metabolism (NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 10 and
succinate dehydrogenase flavoprotein subunit) were significantly differentially
expressed between the two lines. CONCLUSIONS: For hepatic lipid metabolism at
embryonic stages, molecular differences related to lipid transport, lipid
clearance and energy metabolism exist between the fat and lean chicken lines,
which might contribute to the striking differences of abdominal fat deposition at
post-hatch stages.
PMID- 29792174
TI - Perspectives on clinical use of bioimpedance in hemodialysis: focus group
interviews with renal care professionals.
AB - BACKGROUND: Inadequate volume control may be a main contributor to poor survival
and high mortality in hemodialysis patients. Bioimpedance measurement has the
potential to improve fluid management, but several dialysis centers lack an
agreed fluid management policy, and the method has not yet been implemented. Our
aim was to identify renal care professionals' perceived barriers and facilitators
for use of bioimpedance in clinical practice. METHODS: Qualitative data were
collected through four focus group interviews with 24 renal care professionals:
dieticians, nephrologists and nurses, recruited voluntarily from a nation-wide
selection of hemodialysis centers, having access to a bioimpedance-device. The
participants were connected to each other and a moderator via equipment for
telemedicine and the sessions were recorded. The interviews were semi-structured,
focusing on the participants' perceptions of use of bioimpedance in clinical
practice. Thematic content analysis was performed in consecutive steps, and data
were extracted by employing an inductive, interactive, comparative process.
RESULTS: Several barriers and facilitators to the use of bioimpedance in clinical
practice were identified, and a multilevel approach to examining barriers and
incentives for change was found to be applicable to the ideas and categories that
arose from the data. The determinants were categorized on five levels, and the
different themes of the levels illustrated with quotations from the focus groups
participants. CONCLUSIONS: Determinants for use of bioimpedance were identified
on five levels: 1) the innovation itself, 2) the individual professional, 3) the
patient, 4) the social context and 5) the organizational context. Barriers were
identified in the areas of credibility, awareness, knowledge, self-efficacy, care
processes, organizational structures and regulations. Facilitators were
identified in the areas of the innovation's attractiveness, advantages in
practice, and collaboration. Motivation, team processes and organizational
capacities appeared as both barriers and facilitators.
PMID- 29792173
TI - Impact of growth pH and glucose concentrations on the CodY regulatory network in
Streptococcus salivarius.
AB - BACKGROUND: Streptococcus salivarius is an abundant isolate of the human oral
microbiota. Since both pH and glucose availability fluctuate frequently in the
oral cavity, the goal of this study was to investigate regulation by CodY, a
conserved pleiotropic regulator of Gram positive bacteria, in response to these
two signals. The chemostat culture system was employed to precisely control the
growth parameters, and the transcriptomes of wild-type S. salivarius 57.I and its
CodY-null derivative (DeltacodY) grown at pH 7 and 5.5, with limited and
excessive glucose supply were determined. RESULTS: The transcriptomic analysis
revealed that CodY was most active at pH 7 under conditions of glucose
limitation. Based on whether a CodY binding consensus could be located in the 5'
flanking region of the identified target, the transcriptomic analysis also found
that CodY shaped the transcriptome via both direct and indirect regulation.
Inactivation of codY reduced the glycolytic capacity and the viability of S.
salivarius at pH 5.5 or in the presence of H2O2. Studies using the Galleria
mellonella larva model showed that CodY was essential for the toxicity generated
from S. salivarius infection, suggesting that CodY regulation was critical for
immune evasion and systemic infections. Furthermore, the CodY-null mutant strain
exhibited a clumping phenotype and reduced attachment in biofilm assays,
suggesting that CodY also modulates cell wall metabolism. Finally, the expression
of genes belonging to the CovR regulon was affected by codY inactivation, but
CodY and CovR regulated these genes in opposite directions. CONCLUSIONS:
Metabolic adaptation in response to nutrient availability and growth pH is
tightly linked to stress responses and virulence expression in S. salivarius. The
regulation of metabolism by CodY allows for the maximal utilization of available
nutrients and ATP production. The counteractive regulation of the CovR regulon
could fine tune the transcriptomes in response to environmental changes.
PMID- 29792175
TI - Pulse actinomycin D as first-line treatment of low-risk post-molar non
choriocarcinoma gestational trophoblastic neoplasia.
AB - BACKGROUND: Little data exists predicting the resistance to actinomycin D (Act-D)
single-agent for gestational trophoblastic neoplasia (GTN). The objective was to
determine the overall success of pulse Act-D and the factors predictive of
resistance to pulse Act-D in the treatment of low-risk, non-choriocarcinoma post
molar GTN. METHODS: From January 2013 to October 2016, according to the FIGO
criteria for the diagnosis of post-molar disease and the FIGO risk-factor scoring
system for GTN, a total of 135 patients with post-molar non-choriocarcinoma GTN
who were chemotherapy-naive with a FIGO score < 7 were treated with single-agent
pulse Act-D as a first-line regimen, in Peking Union Medical College Hospital.
The pulse Act-D regimen is defined as 1.25 mg/m2 (max 2 mg) IV push every other
week. All patients were followed until May 2017. Epidemiological and clinical
data were compared between patients with remission and resistance to Act-D to
determine predictive factors by univariate and multivariate analysis. RESULTS:
Ninety-six of 135 patients (71.1%) achieved complete remission after first-line
chemotherapy of pulse Act-D. In multivariate analysis, existing invasive uterine
lesions observed by pre-chemotherapy transvaginal ultrasound (odds ratio [OR]
7.5, 95% confidence intervals [CI] 2.7-20.8), FIGO score >= 5 (OR 15.2, 95% CI
1.5-156.1) and pre-chemotherapy levels of beta-hCG >= 4000 IU/L (OR 3.1, 95% CI
1.2-8.3) were independent high-risk factors predicting resistance to pulse Act-D
as single-agent chemotherapy. During follow-up, no relapse, treatment-associated
serious adverse events, or death occurred. CONCLUSIONS: As first-line
chemotherapy, pulse Act-D was effective and tolerable for patients with low-risk
post-molar non-choriocarcinoma. Existing invasive uterine lesions observed by pre
chemotherapy transvaginal ultrasound, a FIGO score >= 5, and pre-chemotherapy
levels of beta-hCG >= 4000 IU/L were independent factors for resistance to pulse
Act-D.
PMID- 29792176
TI - Anti-neutrophil cytoplasmic antibody-associated glomerulonephritis with detection
of myeloperoxidase and phospholipase A2 receptor in membranous nephropathy
lesions: report of two patients with microscopic polyangiitis.
AB - BACKGROUND: Podocyte phospholipase A2 receptor (PLA2R) is a major target antigen
in idiopathic adult membranous nephropathy (MN). Histological PLA2R staining in
the renal tissue has proven to be useful for the detection of idiopathic MN.
However, glomerular PLA2R deposits have also been recently observed in several
patients with secondary MN, such as hepatitis B virus-associated, hepatitis C
virus-associated, and neoplasm-associated MN. Certain inflammatory environments
have been suggested to lead to abnormal expression of PLA2R epitopes, with the
resulting production of PLA2R autoantibodies. CASE PRESENTATION: We report two
patients diagnosed with anti-neutrophil cytoplasmic antibody (ANCA)-associated
glomerulonephritis with MN-lesions, in whom ANCA titers for myeloperoxidase (MPO)
were persistently positive. The first patient was a 52-years-old man who
presented with interstitial pneumonitis. Microscopic hematuria and proteinuria
were found when the interstitial pneumonitis became more severe. Renal biopsy
findings yielded a diagnosis of ANCA-associated glomerulonephritis (mixed class)
with MN-lesions. The second patient was a 63-years-old woman who had been treated
for relapsing polychondritis. Her renal tissue showed evidence of focal ANCA
associated glomerulonephritis with MN-lesions. Interestingly, both MPO and PLA2R
were detected in the glomerular subepithelial deposits of both patients.
Immunoglobulin G (IgG) 1 and IgG2 were positive in the glomeruli of patient 2,
and all subclasses of IgGs were positive in patient 1. CONCLUSION: The present
cases suggest that ANCA-associated glomerulonephritis could expose PLA2R, leading
to the development of MN-lesions.
PMID- 29792178
TI - Anti-ganglioside complex antibody profiles in a recurrent complicated case of
GQ1b-seronegative miller fisher syndrome and Bickerstaff brainstem encephalitis:
a case report.
AB - BACKGROUND: Guillain-Barre syndrome (GBS), Miller Fisher syndrome (MFS) and
Bickerstaff brainstem encephalitis (BBE) are a group of autoimmune neurological
disorders (GBS spectrum disorder) that rarely recur. Recently, anti-ganglioside
complex antibodies (GSC-Abs) were identified in patients with GBS spectrum
disorder. However, there has been no case report describing GSC-Abs profiles in a
recurrent case showing different phenotypes. CASE PRESENTATION: We report the
case of a 33-year-old male patient with GQ1b-seronegative BBE-GBS after two prior
episodes of MFS-GBS. Our patient showed ophthalmoplegia, ataxia, areflexia and a
weakness of the extremities (MFS and GBS symptoms) in all episodes. In the
episode reported here, our patient showed disturbed consciousness and an extensor
response to cutaneous plantar stimulation was observed (BBE symptoms), with
severe disability and requirement for artificial respiration management. GSC-Abs
detected in previous episodes were also detected in the subsequent episodes,
while new GSC-Abs emerged in each episode. Interestingly, whereas antibodies to
GA1/GQ1b and GA1/GT1a, which are commonly identified in patients with GBS, MFS or
BBE, appeared in all episodes, antibodies to GD1a/GD1b and GD1b/GT1b, which are
predominantly associated with severe disability and the requirement for
artificial respiration management in GBS, emerged for the first time in this
episode. CONCLUSION: This study reports novel phenomena about the GSC-Abs
profiles and its relationship with clinical features in a case with recurrent GBS
spectrum disorder, showing different phenotypes in different episodes. Further
studies are required to reveal the significance of the GSC-Abs profiles in
recurrent GBS spectrum disorder.
PMID- 29792179
TI - Hopkins syndrome following the first episode of bronchial asthma associated with
enterovirus D68: a case report.
AB - BACKGROUND: Hopkins syndrome (HS) is a rare disorder presenting with acute
flaccid paralysis of the limbs following an asthma attack. Neurologists encounter
a diagnostic challenge if patients without a history of bronchial asthma develop
neurologic features mimicking HS following acute respiratory distress. We report
a case of HS occurring after a first episode of bronchial asthma associated with
enterovirus D68 infection. CASE PRESENTATION: A 5-year-old girl developed acute
respiratory distress. On the fourth hospital day, both her legs became paralyzed
except for slight muscle contraction in the right lower limb. Tendon reflexes in
the lower limbs were diminished and there was a positive Babinski sign on the
right. Sensation was normal in all modalities, and there was no uro-rectal
disturbance. Spinal magnetic resonance imaging identified T2-hyperintense lesions
with spinal cord edema, mainly involving the bilateral T11 to L1 anterior horns,
with left side dominance extending to the left posterior horn. The neurological
and neuro-radiological findings of our case were suggestive of HS; however, she
had no history of bronchial asthma. An acetylcholine inhalation challenge
eventually proved the presence of reversible airway hyper-responsiveness,
allowing us to diagnose HS. We identified enterovirus D68 in the patient's
intratracheal aspirates using a sensitive polymerase chain reaction assay.
Intravenous immunoglobulin administrations at 2 g/kg2 for 5 consecutive days were
repeated every month up to four times. After these treatments, the muscle
strength of her right lower limb slightly improved while her left lower leg
remained completely paralyzed. CONCLUSION: This case emphasizes the importance of
provocation tests to reveal the presence of airway hyper-responsiveness when a
child shows neurological signs mimicking HS following acute respiratory distress.
Furthermore, the present case suggests a possible link between HS and acute
flaccid paralysis following lower respiratory tract infection by enterovirus D68.
PMID- 29792180
TI - Screening for congenital fetal anomalies in low risk pregnancy: the Kenyatta
National Hospital experience.
AB - BACKGROUND: Congenital malformations contribute significantly to the disease
burden among children globally. A study conducted in Kenya on understanding the
burden of surgical congenital anomalies, highlights the need for Kenyan health
systems to go beyond the medical dimensions of illness. This could be achieved by
linking knowledge of the severe congenital anomalies (CAs) and their impact of
varying disability to the delivery of local health services and public health
program planning. Subsequently, early detection of these congenital anomalies is
vital and can be achieved through fetal ultrasonography. Studies have proven that
antenatal ultrasound can successfully diagnose fetal abnormalities in many cases
and therefore aid in counseling of parents and planning for early intervention.
Although there are studies on screening of congenital anomalies in various
populations, very few have been done in the African population and none to the
best of our knowledge has been done in Kenya. METHODS: The patients, who
underwent routine obstetric ultrasounds, were recruited into the study. The study
population comprised patients who were referred from the obstetric clinic,
casualty and other clinics within the hospital vicinity. Data of antenatal
ultrasounds was statistically analyzed on structured data collection form to
determine the prevalence of congenital anomalies. RESULTS: Fifteen fetal
anomalies were diagnosed in 500 women who came for routine ultrasound (3%). The
mean age of the mothers was 28.2 years (SD +/- 4.5) with an age range from 15 to
44 years. 400 (80%) of the mothers were aged between 27 and 34 years. The most
frequently observed fetal anomalies involved the head (8/ 500; 1.6%). Each of the
remaining anomalies affected less than 1% of the fetuses and included anomalies
of the spine (2/ 500; 0.4%), pulmonary (2/ 500; 0.4%), renal and urinary tract
(2/ 500; 0.4%) and skeletal systems (2/ 500; 0.4%). Majority, 9 of 15 (60%) of
the fetuses with anomalies detected on prenatal ultrasound resulted in postnatal
mortality within days of delivery. CONCLUSION: Congenital anomalies prevalence in
our setting compares with those found in other studies. From this study, major
birth defects are a major cause of perinatal mortality.
PMID- 29792177
TI - Investigation of the thermophilic mechanism in the genus Porphyrobacter by
comparative genomic analysis.
AB - BACKGROUND: Type strains of the genus Porphyrobacter belonging to the family
Erythrobacteraceae and the class Alphaproteobacteria have been isolated from
various environments, such as swimming pools, lake water and hot springs. P.
cryptus DSM 12079T and P. tepidarius DSM 10594T out of all Erythrobacteraceae
type strains, are two type strains that have been isolated from geothermal
environments. Next-generation sequencing (NGS) technology offers a convenient
approach for detecting situational types based on protein sequence differences
between thermophiles and mesophiles; amino acid substitutions can lead to protein
structural changes, improving the thermal stabilities of proteins. Comparative
genomic studies have revealed that different thermal types exist in different
taxa, and few studies have been focused on the class Alphaproteobacteria,
especially the family Erythrobacteraceae. In this study, eight genomes of
Porphyrobacter strains were compared to elucidate how Porphyrobacter thermophiles
developed mechanisms to adapt to thermal environments. RESULTS: P. cryptus DSM
12079T grew optimally at 50 degrees C, which was higher than the optimal growth
temperature of other Porphyrobacter type strains. Phylogenomic analysis of the
genus Porphyrobacter revealed that P. cryptus DSM 12079T formed a distinct and
independent clade. Comparative genomic studies uncovered that 1405 single-copy
genes were shared by Porphyrobacter type strains. Alignments of single-copy
proteins showed that various types of amino acid substitutions existed between P.
cryptus DSM 12079T and the other Porphyrobacter strains. The primary substitution
types were changes from glycine/serine to alanine. CONCLUSIONS: P. cryptus DSM
12079T was the sole thermophile within the genus Porphyrobacter. Phylogenomic
analysis and amino acid frequencies indicated that amino acid substitutions might
play an important role in the thermophily of P. cryptus DSM 12079T. Bioinformatic
analysis revealed that major amino acid substitutional types, such as changes
from glycine/serine to alanine, increase the frequency of alpha-helices in
proteins, promoting protein thermostability in P. cryptus DSM 12079T. Hence,
comparative genomic analysis broadens our understanding of thermophilic
mechanisms in the genus Porphyrobacter and may provide a useful insight in the
design of thermophilic enzymes for agricultural, industrial and medical
applications.
PMID- 29792181
TI - Six underlying health conditions strongly influence mortality based on pneumonia
severity in an ageing population of Japan: a prospective cohort study.
AB - BACKGROUND: Mortality prediction of pneumonia by severity scores in patients with
multiple underlying health conditions has not fully been investigated. This
prospective cohort study is to identify mortality-associated underlying health
conditions and to analyse their influence on severity-based pneumonia mortality
prediction. METHODS: Adult patients with community-acquired pneumonia or
healthcare-associated pneumonia (HCAP) who visited four community hospitals
between September 2011 and January 2013 were enrolled. Candidate underlying
health conditions, including demographic and clinical characteristics, were
incorporated into the logistic regression models, along with CURB (confusion,
elevated urea nitrogen, tachypnoea, and hypotension) score as a measure of
disease severity. The areas under the receiver operating characteristic curves
(AUROC) of the predictive index based on significant underlying health conditions
was compared to that of CURB65 (CURB and age >= 65) score or Pneumonia severity
index (PSI). Mortality association between disease severity and the number of
underlying health conditions was analysed. RESULTS: In total 1772 patients were
eligible for analysis, of which 140 (7.9%) died within 30 days. Six underlying
health conditions were independently associated: home care (adjusted odds ratio,
5.84; 95% confidence interval, CI, 2.28-14.99), recent hospitalization (2.21;
1.36-3.60), age >= 85 years (2.15; 1.08-4.28), low body mass index (1.99, 1.25
3.16), neoplastic disease (1.82; 1.17-2.85), and male gender (1.78; 1.16-2.75).
The predictive index based on these conditions alone had a significantly or
marginally higher AUROC than that based on CURB65 score (0.78 vs 0.66, p = 0.02)
or PSI (0.78 vs 0.71, p = 0.05), respectively. Compared to this index, the AUROC
of the total score consisting of six underlying health conditions and CURB score
(range 0-10) did not improve mortality predictions (p = 0.3). In patients with
one or less underlying health conditions, the mortality was discretely associated
with severe pneumonia (CURB65 >= 3) (risk ratio: 7.24, 95%CI: 3.08-25.13),
whereas in patients with 2 or more underlying health conditions, the mortality
association with severe pneumonia was not detected (risk ratio: 1.53, 95% CI:
0.94-2.50). CONCLUSIONS: Mortality prediction based on pneumonia severity scores
is highly influenced by the accumulating number of underlying health conditions
in an ageing society. The validation using a different cohort is necessary to
generalise the conclusion.
PMID- 29792182
TI - BoostMe accurately predicts DNA methylation values in whole-genome bisulfite
sequencing of multiple human tissues.
AB - BACKGROUND: Bisulfite sequencing is widely employed to study the role of DNA
methylation in disease; however, the data suffer from biases due to coverage
depth variability. Imputation of methylation values at low-coverage sites may
mitigate these biases while also identifying important genomic features
associated with predictive power. RESULTS: Here we describe BoostMe, a method for
imputing low-quality DNA methylation estimates within whole-genome bisulfite
sequencing (WGBS) data. BoostMe uses a gradient boosting algorithm, XGBoost, and
leverages information from multiple samples for prediction. We find that BoostMe
outperforms existing algorithms in speed and accuracy when applied to WGBS of
human tissues. Furthermore, we show that imputation improves concordance between
WGBS and the MethylationEPIC array at low WGBS depth, suggesting improved WGBS
accuracy after imputation. CONCLUSIONS: Our findings support the use of BoostMe
as a preprocessing step for WGBS analysis.
PMID- 29792183
TI - Estimated intraoperative blood loss correlates with postoperative cardiopulmonary
complications and length of stay in patients undergoing video-assisted
thoracoscopic lung cancer lobectomy: a retrospective cohort study.
AB - BACKGROUND: The purpose of our study was to estimate the influence of estimated
intraoperative blood loss (EIBL) on postoperative cardiopulmonary complications
(PCCs) in patients undergoing video-assisted thoracoscopic surgery (VATS)
lobectomy for non-small-cell lung cancer (NSCLC). METHODS: We conducted a single
center retrospective analysis on the clinical data of consecutive patients in our
institution between April 2015 and February 2016. Demographic differences between
PCC group and non-PCC group were initially assessed. Receiver operating
characteristic (ROC) analysis was performed to determine the threshold value of
EIBL for the prediction of PCCs. Demographic differences in the PCC rates and
length of stay between two groups of patients divided by this cutoff were further
evaluated. A multivariable logistic-regression model involving the
clinicopathological parameters with P-value< 0.05 was finally established to
identify independent risk factors for PCCs. RESULTS: A total of 429 patients with
operable NSCLC were included and 80 of them developed PCCs (rate = 18.6%). The
mean EIBL in PCC group was significantly higher than that in non-PCC group (133.3
+/- 191.3 vs. 79.1 +/- 107.1 mL; P < 0.001). The ROC analysis showed an EIBL of
100 mL as the threshold value at which the joint sensitivity (50.0%) and
specificity (73.4%) was maximal. The PCC rate in patients with EIBL>=100 mL was
significantly higher than that in patients with EIBL< 100 mL (30.1 vs. 13.5%; P <
0.001). Both the length of stay and chest tube duration were significantly
prolonged in the patients with EIBL>=100 mL. Finally, EIBL>=100 mL was identified
to be predictive of PCCs by multivariable logistic-regression analysis (odds
ratio = 3.01; 95% confidence interval = 1.47-6.16; P = 0.003). CONCLUSIONS: EIBL
serves as a significant categorical predictor for cardiopulmonary complications
following VATS lobectomy for NSCLC. Thoracic surgeons should minimize the EIBL
and strive for the 'bloodless' goal to optimize surgical outcomes.
PMID- 29792184
TI - Knowledge of orthodontic tooth movement through the maxillary sinus: a systematic
review.
AB - BACKGROUND: To investigate the feasibility, safety and stability of current
interventions for moving teeth through the maxillary sinus (MTTMS) by performing
a systematic review of the literature. METHODS: The electronic databases PubMed,
Embase, CENTRAL, Web of Science, CBM, CNKI and SIGLE were searched without a
language restriction. The primary outcomes were parameters related to orthodontic
treatment, including orthodontic protocols, magnitude of forces, type of tooth
movement, duration and rate of tooth movement, and remolding of alveolar bone and
the maxillary sinus floor. The secondary outcomes were safety and stability,
including root resorption, perforation of the sinus floor, loss of pulp vitality
and periodontal health and relapse. RESULTS: Nine case reports with 25 teeth were
included and systematically analyzed. Fifty to two hundred g of force was applied
to move teeth through the maxillary sinus. Bodily movement was accomplished, but
initial tipping was observed in 7 cases. The rate was 0.6-0.7 mm/month for molar
intrusion and 0.16-1.17 and 0.05-0.16 mm/month for mesial-distal movement of
premolars and molars, respectively. Bone formation and remolding of the sinus
floor occurred in 7 cases. Root resorption within 6 to 30 months was observed in
3 cases, while no cases of perforation of the sinus floor, loss of pulp vitality,
periodontal health impairment or relapse were reported. CONCLUSIONS: At the
present stage, no evidence-based protocol could be recommended to guide MTTMS.
The empirical application of constant and light to moderate forces (by TAD,
segment and multibrackets) to slowly move teeth through or into the maxillary
sinus in adults appears to be practical and secure. Bodily movement was
accomplished, but teeth appear to be easily tipped initially, potentially
resulting in root resorption. However, this conclusion should be interpreted with
caution as the currently available evidence is based on only a few case reports
or case series and longitudinal or controlled studies are lacking in this area.
PMID- 29792185
TI - Assessment of the use of contrast enhanced ultrasound in guiding microdissection
testicular sperm extraction in nonobstructive azoospermia.
AB - BACKGROUND: The aim of this study is to assess the value of contrast-enhanced
ultrasound (CEUS) as a new non-invasive approach to locate the testicular area in
which spermatogenesis is most likely to be found in non-obstructive azoospermic
testes and to evaluate the accuracy of CEUS as a predictor of successful sperm
retrieval. METHODS: CEUS was performed in 120 nonobstructive azoospermia (NOA)
patients. Microdissection testicular sperm extraction (M-TESE) was performed on
the best and poorest perfusion areas selected by CEUS and on conventional areas.
RESULTS: In the 187 testicles that underwent M-TESE, the sperm retrieval rates
(SRRs) in the best perfusion area and poorest perfusion area over the maximal
longitudinal section and conventional area were 63.1, 34.7 and 47.1%. According
to receiver operating characteristic (ROC) analysis, the arrival times (AT) <=27
s, time-to-peak intensity (TTP) <=45 s, and peak intensity (PI) >=11 dB were the
best predictors of positive sperm retrieval. The location of the best perfusion
area was able to guide M-TESE to improve the success rates. CONCLUSIONS: Testicle
CEUS is suggested to be performed in all patients with NOA. If AT<=27 s, TTP <=
45 s or PI>=11 dB are found in the best perfusion area, M-TESE is strongly
recommended.
PMID- 29792186
TI - Involvement of the CB2 cannabinoid receptor in cell growth inhibition and G0/G1
cell cycle arrest via the cannabinoid agonist WIN 55,212-2 in renal cell
carcinoma.
AB - BACKGROUND: The anti-tumor properties of cannabinoids have been investigated in
many in vitro and in vivo studies. Many of these anti-tumor effects are mediated
via cannabinoid receptor types 1 and 2 (CB1 and CB2), comprising the
endocannabinoid system (ECS). In this study, we investigated the ECS based on CB
1 and CB 2 receptor gene and protein expression in renal cell carcinoma (RCC)
cell lines. In view of their further use for potential treatments, we thus
investigated the roles of CB1 and CB2 receptors in the anti-proliferative action
and signal transduction triggered by synthetic cannabinoid agonists [such as JWH
133 and WIN 55,212-2 (WIN-55)] in RCC cell lines. METHODS: Human RCC cell lines
were used for this study. The CB 1 and CB 2 gene expression levels were analyzed
using real-time PCR. Flow cytometric, immunocytochemical and western blot
analyses were performed to confirm CB1 and CB2 receptor protein expression. The
anti-proliferative effects of synthetic cannabinoids were investigated on cell
viability assay. The CB1 and CB2 receptors were blocked pharmacologically with
the antagonists SR141716A and AM-630, respectively, to investigate the effects of
the agonists JWH-133 and WIN-55. Cell cycle, apoptosis and LDH-based cytotoxicity
were analyzed on cannabinoid-treated RCC cells. RESULTS: The CB1 and CB2 genes
expression was shown by real-time PCR and flow cytometric and western blot
analysis indicating a higher level of CB2 receptor as compared to CB1 in RCC
cells. Immunocytochemical staining also confirmed the expression of the CB1 and
CB2 proteins. We also found that the synthetic cannabinoid agonist WIN-55 exerted
anti-proliferative and cytotoxic effects by inhibiting the growth of RCC cell
lines, while the CB2 agonist JWH-133 did not. Pharmacologically blocking the CB1
and CB2 receptors with their respective antagonists SR141716A and AM-630,
followed by the WIN-55 treatment of RCC cells allowed uncovering the involvement
of CB2, which led to an arrest in the G0/G1 phase of the cell cycle and
apoptosis. CONCLUSIONS: This study elucidated the involvement of CB2 in the in
vitro inhibition of RCC cells, and future applications of CB2 agonists in the
prevention and management of RCC are discussed.
PMID- 29792187
TI - Carbonic anhydrase related protein expression in astrocytomas and
oligodendroglial tumors.
AB - BACKGROUND: Carbonic anhydrase related proteins (CARPs) VIII, X and XI
functionally differ from the other carbonic anhydrase (CA) enzymes. Structurally,
they lack the zinc binding residues, which are important for enzyme activity of
classical CAs. The distribution pattern of the CARPs in fetal brain implies their
role in brain development. In the adult brain, CARPs are mainly expressed in the
neuron bodies but only weaker reactivity has been found in the astrocytes and
oligodendrocytes. Altered expression patterns of CARPs VIII and XI have been
linked to cancers outside the central nervous system. There are no reports on
CARPs in human astrocytomas or oligodendroglial tumors. We wanted to assess the
expression of CARPs VIII and XI in these tumors and study their association to
different clinicopathological features and tumor-associated CAs II, IX and XII.
METHODS: The tumor material for this study was obtained from surgical patients
treated at the Tampere University Hospital in 1983-2009. CARP VIII staining was
analyzed in 391 grade I-IV gliomas and CARP XI in 405 gliomas. RESULTS: CARP VIII
immunopositivity was observed in 13% of the astrocytomas and in 9% of the
oligodendrogliomas. Positive CARP XI immunostaining was observed in 7% of the
astrocytic and in 1% of the oligodendroglial tumor specimens. In our study, the
most benign tumors, pilocytic astrocytomas, did not express CARPs at all. In WHO
grade II-IV astrocytomas, CARPs were associated with molecular events related to
more benign behavior, which was the case with CARP VIII in oligodendrogliomas and
oligoastrocytomas as well. CONCLUSIONS: The study observations suggest that the
CARPs play a role in tumorigenesis of diffusively infiltrating gliomas.
Furthermore, the molecular mechanisms beneath the cancer promoting qualities of
CARPs have not yet been discovered. Thus, more studies concerning role of CARPs
in oncogenesis are needed.
PMID- 29792188
TI - Health-related quality of life in multiple sclerosis: temperament outweighs EDSS.
AB - BACKGROUND: The influence of personality on health-related quality of life in
patients with multiple sclerosis has been the focus of previous studies showing
that introversion and neuroticism were related with reduced health related
quality of life. However, no data exist on the impact of temperament on quality
of life in this patient group. METHODS: Between April 2014 and March 2016 139
multiple sclerosis patients were recruited from a specialized outpatient clinic
of the general hospital of Vienna. Health-related quality of life was measured by
"The Multiple Sclerosis International Quality of Life Questionnaire (MusiQol)",
temperament by "Temperament Evaluation of Memphis, Pisa, Paris, and San Diego
Questionnaire - Munster version" (briefTEMPS-M), and disability by the "Expanded
disability status scale". All patients underwent a diagnostic psychiatric semi
structured interview (MINI). RESULTS: Known predictors (like disease duration,
EDSS, psychiatric co-morbidities, immunomodulatory treatments) explain the
proportion of variation in the outcome of MusiQol global index score in 30.9% in
multi-variable linear regression analysis. It increased respectively to 40.3,
42.5, and 45.8% if adding the depressive, cyclothymic, or hyperthymic temperament
to the list of variables. An increase of depressive and cyclothymic temperament
scores significantly reduced global index score of MusiQol (p = 0.005, p = 0.002,
respectively), while the hyperthymic temperament significantly raised it (p <
0.001). CONCLUSION: In MS patients, the depressive and cyclothymic temperament
predict a lower and hyperthymic temperament an increased health-related quality
of life, independent of current disability status, immunomodulatory treatments,
and affective co-morbidities.
PMID- 29792189
TI - A cross-sectional ecological analysis of international and sub-national health
inequalities in commercial geospatial resource availability.
AB - BACKGROUND: Commercial geospatial data resources are frequently used to
understand healthcare utilisation. Although there is widespread evidence of a
digital divide for other digital resources and infra-structure, it is unclear how
commercial geospatial data resources are distributed relative to health need.
METHODS: To examine the distribution of commercial geospatial data resources
relative to health needs, we assembled coverage and quality metrics for
commercial geocoding, neighbourhood characterisation, and travel time calculation
resources for 183 countries. We developed a country-level, composite index of
commercial geospatial data quality/availability and examined its distribution
relative to age-standardised all-cause and cause specific (for three main causes
of death) mortality using two inequality metrics, the slope index of inequality
and relative concentration index. In two sub-national case studies, we also
examined geocoding success rates versus area deprivation by district in Eastern
Region, Ghana and Lagos State, Nigeria. RESULTS: Internationally, commercial
geospatial data resources were inversely related to all-cause mortality. This
relationship was more pronounced when examining mortality due to communicable
diseases. Commercial geospatial data resources for calculating patient travel
times were more equitably distributed relative to health need than resources for
characterising neighbourhoods or geocoding patient addresses. Countries such as
South Africa have comparatively high commercial geospatial data availability
despite high mortality, whilst countries such as South Korea have comparatively
low data availability and low mortality. Sub-nationally, evidence was mixed as to
whether geocoding success was lowest in more deprived districts. CONCLUSIONS: To
our knowledge, this is the first global analysis of commercial geospatial data
resources in relation to health outcomes. In countries such as South Africa where
there is high mortality but also comparatively rich commercial geospatial data,
these data resources are a potential resource for examining healthcare
utilisation that requires further evaluation. In countries such as Sierra Leone
where there is high mortality but minimal commercial geospatial data, alternative
approaches such as open data use are needed in quantifying patient travel times,
geocoding patient addresses, and characterising patients' neighbourhoods.
PMID- 29792190
TI - Novel insights into neuroinflammation: bacterial lipopolysaccharide, tumor
necrosis factor alpha, and Ureaplasma species differentially modulate atypical
chemokine receptor 3 responses in human brain microvascular endothelial cells.
AB - BACKGROUND: Atypical chemokine receptor 3 (ACKR3, synonym CXCR7) is increasingly
considered relevant in neuroinflammatory conditions, in which its upregulation
contributes to compromised endothelial barrier function and may ultimately allow
inflammatory brain injury. While an impact of ACKR3 has been recognized in
several neurological autoimmune diseases, neuroinflammation may also result from
infectious agents, including Ureaplasma species (spp.). Although commonly
regarded as commensals of the adult urogenital tract, Ureaplasma spp. may cause
invasive infections in immunocompromised adults as well as in neonates and appear
to be relevant pathogens in neonatal meningitis. Nonetheless, clinical and in
vitro data on Ureaplasma-induced inflammation are scarce. METHODS: We established
a cell culture model of Ureaplasma meningitis, aiming to analyze ACKR3 variances
as a possible pathomechanism in Ureaplasma-associated neuroinflammation. Non
immortalized human brain microvascular endothelial cells (HBMEC) were exposed to
bacterial lipopolysaccharide (LPS) or tumor necrosis factor-alpha (TNF-alpha),
and native as well as LPS-primed HBMEC were cultured with Ureaplasma urealyticum
serovar 8 (Uu8) and U. parvum serovar 3 (Up3). ACKR3 responses were assessed via
qRT-PCR, RNA sequencing, flow cytometry, and immunocytochemistry. RESULTS: LPS,
TNF-alpha, and Ureaplasma spp. influenced ACKR3 expression in HBMEC. LPS and TNF
alpha significantly induced ACKR3 mRNA expression (p < 0.001, vs. control),
whereas Ureaplasma spp. enhanced ACKR3 protein expression in HBMEC (p < 0.01, vs.
broth control). Co-stimulation with LPS and either Ureaplasma isolate intensified
ACKR3 responses (p < 0.05, vs. LPS). Furthermore, stimulation wielded a
differential influence on the receptor's ligands. CONCLUSIONS: We introduce an in
vitro model of Ureaplasma meningitis. We are able to demonstrate a pro
inflammatory capacity of Ureaplasma spp. in native and, even more so, in LPS
primed HBMEC, underlining their clinical relevance particularly in a setting of
co-infection. Furthermore, our data may indicate a novel role for ACKR3, with an
impact not limited to auto-inflammatory diseases, but extending to infection
related neuroinflammation as well. AKCR3-induced blood-brain barrier breakdown
might constitute a potential common pathomechanism.
PMID- 29792191
TI - Cost of provision of opioid substitution therapy provision in Tijuana, Mexico.
AB - BACKGROUND: Mexico recently enacted drug policy reform to decriminalize
possession of small amounts of illicit drugs and mandated that police refer
identified substance users to drug treatment. However, the economic implications
of drug treatment expansion are uncertain. We estimated the costs of opioid
substitution therapy (OST) provision in Tijuana, Mexico, where opioid use and HIV
are major public health concerns. METHODS: We adopted an economic health care
provider perspective and applied an ingredients-based micro-costing approach to
quantify the average monthly cost of OST (methadone maintenance) provision at two
providers (one private and one public) in Tijuana, Mexico. Costs were divided by
type of input (capital, recurrent personnel and non-personnel). We defined
"delivery cost" as all costs except for the methadone and compared total cost by
type of methadone (powdered form or capsule). Cost data were obtained from
interviews with senior staff and review of expenditure reports. Service provision
data were obtained from activity logs and senior staff interviews. Outcomes were
cost per OST contact and cost per person month of OST. We additionally collected
information on patient charges for OST provision from published rates. RESULTS:
The total cost per OST contact at the private and public sites was $3.12 and
$5.90, respectively, corresponding to $95 and $179 per person month of OST. The
costs of methadone delivery per OST contact were similar at both sites ($2.78
private and $3.46 public). However, cost of the methadone itself varied
substantially ($0.34 per 80 mg dose [powder] at the private site and $2.44 per
dose [capsule] at the public site). Patients were charged $1.93-$2.66 per
methadone dose. CONCLUSIONS: The cost of OST provision in Mexico is consistent
with other upper-middle income settings. However, evidenced-based (OST) drug
treatment facilities in Mexico are still unaffordable to most people who inject
drugs.
PMID- 29792193
TI - Research ethics review at University Eduardo Mondlane (UEM)/Maputo Central
Hospital, Mozambique (2013-2016): a descriptive analysis of the start-up of a new
research ethics committee (REC).
AB - BACKGROUND: Mozambique has seen remarkable growth in biomedical research over the
last decade. To meet a growing need, the National Committee for Bioethics in
Health of Mozambique (CNBS) encouraged the development of ethical review
processes at institutions that regularly conduct medical and social science
research. In 2012, the Faculty of Medicine (FM) of University Eduardo Mondlane
(UEM) and the Maputo Central Hospital (MCH) established a joint Institutional
Committee on Bioethics for Health (CIBS FM & MCH). This study examines the
experience of the first 4 years of the CIBS FM & MCH. METHODS: This study
provides a descriptive, retrospective analysis of research protocols submitted to
and approved by the CIBS FM & MCH between March 1, 2013 and December 31, 2016,
together with an analysis of the Committee's respective reviews and actions.
RESULTS: A total of 356 protocols were submitted for review during the period
under analysis, with 309 protocols approved. Sixty-four percent were submitted by
students, faculty, and researchers from UEM, mainly related to Master's degree
research (42%). Descriptive cross-sectional studies were the most frequently
reviewed research (61%). The majority were prospective (71%) and used
quantitative methodologies (51%). The Departments of Internal Medicine at MCH and
Community Health at the FM submitted the most protocols from their respective
institutions, with 38 and 53% respectively. The CIBS's average time to final
approval for all protocols was 56 days, rising to 161 for the 40 protocols that
required subsequent national-level review by the CNBS. CONCLUSIONS: Our results
show that over its first 4 years, the CIBS FM & MCH has been successful in
managing a constant demand for protocol review and that several broad quality
improvement initiatives, such as investigator mentoring and an electronic
protocol submission platform have improved efficiency in the review process and
the overall quality of the protocols submitted. Beyond Maputo, long-term
investments in training and ethical capacity building for CIBS across the country
continue to be needed, as Mozambique develops greater capacity for research and
makes progress toward improving the health of all its citizens.
PMID- 29792194
TI - Comparison of aqueous, polyethylene glycol-aqueous and ethanolic propolis
extracts: antioxidant and mitochondria modulating properties.
AB - BACKGROUND: Propolis is multicomponent substance collected by honeybees from
various plants. It is known for numerous biological effects and is commonly used
as ethanolic extract because most of active substances of propolis are ethanol
soluble. However, water-based propolis extracts could be applied more safely, as
this solvent is more biocompatible. On the other hand, water extracts has
significantly smaller range and quantity of active compounds. The extraction
power of water could be enhanced by adding co-solvent which increases both
solubility and penetration of propolis compounds. However, variation of solvents
results in different composition of active substances that might have distinct
effects. The majority of biological effects of propolis are attributed to the
antioxidant properties of its active compounds. Antioxidant effect might be a
result of either direct scavenging of ROS or modulation of ROS producing
organelle activity. Therefore, the aim of this study was to investigate and
compare chemical composition, antioxidant properties and effects on mitochondrial
respiration of aqueous (AqEP), polyethylene glycol-aqueous (Pg-AqEP) and
ethanolic (EEP) propolis extracts. METHODS: Chemical composition of propolis
extracts was determined using HPLC and Folin-Ciocalteu method. Ability to
neutralize H2O2 and intracellular ROS concentration in C6 glioma cells were
determined fluorometrically by using 10-acetyl-3,7-dihydroxyphenoxazine and 2',7'
dichlorofluorescein diacetate, respectively. Mitochondrial superoxide generation
was assessed under fluorescent microscope by using MitoSOX Red. Oxygen uptake
rates of mitochondria were recorded by high-resolution respirometer Oxygraph-2 k.
RESULTS: Our data revealed that phenolic acids and aldehydes make up 40-42% of
all extracted and identified compounds in AqEP and Pg-AqEP and only 16% in EEP.
All preparations revealed similar antioxidant activity in cell culture medium but
Pg-AqEP and EEP demonstrated better mitochondrial superoxide and total
intracellular ROS decreasing properties. At higher concentrations, AqEP and EEP
inhibited mitochondrial respiration, but Pg-AqEP had concentration-dependent
mitochondria-uncoupling effect. CONCLUSIONS: Aqueous and non-aqueous propolis
extracts differ by composition, but all of them possess antioxidant properties
and neutralize H2O2 in solution at similar efficiency. However, both Pg-AqEP and
EEP were more effective in decreasing intracellular and intramitochondrial ROS
compared to AqEP. At higher concentrations, these preparations affect
mitochondrial functions and change energy production in C6 cells.
PMID- 29792192
TI - Detection technologies and metabolic profiling of bile acids: a comprehensive
review.
AB - Bile acids (BAs) are important regulatory factors of life activities, which are
involved in the regulation of glucose, lipid and energy metabolisms, and closely
associated with intestinal hormones, microbiotas and energy balance. BAs
abnormalities easily lead to inflammation and metabolic diseases, in turn, the
progress of diseases could influence characteristics of BAs. Therefore, accurate
detection of BAs contents is of great significance to disease prevention,
diagnosis and treatment. At present, the most widely used enzymatic method in
clinical practice is applicable to the detection of total bile acid (TBA). In
laboratory research, different types of BAs can be accurately separated and
quantified by liquid chromatography-mass spectrometry (LC-MS). The metabolic
profiling of BAs based on detection technologies can completely and accurately
monitor their types and contents, playing a crucial role in disease prevention,
diagnosis and treatment. We herein reviewed the main detection technologies of
BAs and the application of metabolic profiling in related diseases in recent
years.
PMID- 29792196
TI - Ethnozoological study of traditional medicinal appreciation of animals and their
products among the indigenous people of Metema Woreda, North-Western Ethiopia.
AB - BACKGROUND: Using animals for different purposes goes back to the dawn of
mankind. Animals served as a source of food, medicine, and clothing for humans
and provided other services. This study was designed to undertake a cross
sectional ethnozoological field survey among the residents of Metema Woreda from
November 2015 to May 2016. METHODS: Data were collected through studied
questionnaires, interviews, and focus group discussions with 36 purposively
selected respondents. RESULTS: Ethnozoological data were collected of the local
name of the animals, part of the animal used, mode of preparation and
administration, and of additional information deemed useful. A total of 51 animal
species were identified to treat around 36 different ailments. Of the animals
used therapeutically, 27 species were mammals, 9 were birds, 7 arthropods, 6
reptiles, and 1 species each represented fish and annelids. Furthermore, the
honey of the bee Apis mellifera was used to relieve many ailments and scored the
highest fidelity value (n = 35.97%). The snake (Naja naja) and the teeth of
crocodiles (Crocodylus spp.) had the lowest fidelity value (n = 2.56%).
CONCLUSION: The results show that there is a wealth of ethnozoological knowledge
to be documented which could be of use in developing new drugs. Hence, it is
hoped that the information contained in this paper will be useful in future
ethnozoological, ethnopharmacological, and conservation-related research of the
region.
PMID- 29792195
TI - Histamine activates inflammatory response and depresses casein synthesis in
mammary gland of dairy cows during SARA.
AB - BACKGROUND: Mounting evidences observed that subacute ruminal acidosis (SARA)
induced by high concentration (HC) diet increases the translocation of histamine
from digestive tract into circulation causing a diverse of diseases in dairy
cows. However, it is largely unknown how it does affect the function of mammary
gland and milk quality. Hence, this study aims to observe the effects of
histamine derived from the digestive tract on the inflammatory response and
casein synthesis in the mammary glands during SARA. Twelve cows fitted rumen
fistula were randomly divided into either control group administrated low
concentration (LC) diet (60% forage, n = 6) or treatment group administrated HC
diet (40% forage, n = 6) for 18 weeks. RESULTS: Our data showed that HC diet
resulted in significant declines in rumen pH value, milk yield and milk quality,
as well as longer duration of averaged pH value below 5.6 per day (more than 180
min) compared to LC diet, these findings confirmed SARA occurence. Our study also
observed that SARA increased the content of histamine in rumen fluid, plasma,
liver and mammary gland, and enhanced the mRNA expression of histamine specific
receptor in the mammary gland. Additionally, we found that the mRNA expression of
inflammatory response genes in mammary glands was increased, which was consistent
with the protein expression results, showing that the protein kinase C(PKC) /
nuclear factor kappa B (NF-kappaB) or protein kinase A (PKA) / NF-kappaB
signalling pathways of the inflammatory response were activated. The mRNA
expression of mTOR, P70S6K and alphaS1 in mammary glands were significantly
decreased with the protein expression of mTOR, P70S6K and alphaS1-casein, and the
phosphorylation levels of the mTOR and P70S6K proteins were also decreased.
CONCLUSIONS: Our study showed that the milk protein of lactating cows is
depressed after long-term feeding of HC at the individual level, which was
paralleled at the gene and protein levels. The inflammatory response in mammary
gland caused by histamine derived from the digestive tract is related to the
decline of casein synthesis. Our findings point to a new link between the
inflammatory response and casein synthesis, but the understanding of the
molecular mechanisms involved in this process will require further research.
PMID- 29792197
TI - Antimicrobial susceptibility of Campylobacter jejuni and Campylobacter coli:
comparison between Etest and a broth dilution method.
AB - BACKGROUND: Campylobacter is a leading cause of foodborne gasteroenteritis
worldwide. Antimicrobial susceptibility testing for Campylobacter spp. is not
routinely performed by most clinical laboratories. However, the emergence of
resistant isolates strengthens the importance of antimicrobial susceptibility
testing and the critical need for epidemiologic surveillance. The aim of this
study was to compare the efficacy of Etest and Sensititre kit (a broth
microdilution method) as methods for susceptibility tests and the critical need
for epidemiologic surveillance. The aim of this study was to compare the efficacy
of Etest and Sensititre kit (a broth microdilution method) as methods for
susceptibility testing of Campylobacter spp. to tetracycline, erythromycin, and
ciprofloxacin. METHODS: Sixty-six Campylobacter isolates were collected from
feces samples and subjected to susceptibility testing by Etest and Sensititre, a
broth microdilution kit for tetracycline, erythromycin, and ciprofloxacin.
Minimal inhibitory concentration (MIC) results of each method were determined and
compared. RESULTS: Similar MIC interpretations for tetracycline, erythromycin,
and ciprofloxacin were found in 97%, 98.5%, and 100% of the isolates,
respectively, indicating a good level of agreement between Etest and Sensititre
(p < 0.0001); additionally, the correlation between the two methods was highly
significant for the three tested antibiotics (p < 0.0001). CONCLUSIONS: Both the
broth microdilution and the Etest are reliable and convenient methods for testing
antimicrobial susceptibility of Campylobacter spp. The Sensititre kit has the
advantages of high availability and the automation.
PMID- 29792198
TI - Radioligand therapy of metastatic castration-resistant prostate cancer: current
approaches.
AB - Prostate Cancer is the forth most common type of cancer. Prostate-specific
membrane antigen (PSMA) is anchored in the cell membrane of prostate epithelial
cells. PSMA is highly expressed on prostate epithelial cells and strongly up
regulated in prostate cancer. Therefore it is an appropriate target for
diagnostic and therapy of prostate cancer and its metastases. This article
discusses several articles on radionuclide treatments in prostate cancer and the
results on PSMA therapy with either beta or alpha emitters as a salvage therapy.
PMID- 29792199
TI - Nanoparticle delivery of grape seed-derived proanthocyanidins to airway
epithelial cells dampens oxidative stress and inflammation.
AB - BACKGROUND: Chronic respiratory diseases, whose one of the hallmarks is oxidative
stress, are still incurable and need novel therapeutic tools and pharmaceutical
agents. The phenolic compounds contained in grape are endowed with well
recognized anti-oxidant, anti-inflammatory, anti-cancer, and anti-aging
activities. Considering that natural anti-oxidants, such as proanthocyanidins,
have poor water solubility and oral bioavailability, we have developed a drug
delivery system based on solid lipid nanoparticles (SLN), apt to encapsulate
grape seed extract (GSE), containing proanthocyanidins. METHODS: Plain, 6
coumarin (6-Coum), DiR- and GSE-loaded SLN were produced with the melt-emulsion
method. Physicochemical characterization of all prepared SLN was determined by
photon correlation spectroscopy and laser Doppler anemometry. MTT assay
(spectrophotometry) and propidium iodide (PI) assay (cytofluorimetry) were used
to assess cell viability. Flow cytometry coupled with cell imaging was performed
for assessing apoptosis and necrosis by Annexin V/7-AAD staining (plain SLE),
cell internalization (6-Coum-SLN) and reactive oxygen species (ROS) production
(SLN-GSE). NF-kappaB nuclear translocation was studied by immunofluorescence. In
vivo bio-imaging was used to assess lung deposition and persistence of
aerosolized DiR-loaded SLN. RESULTS: Plain SLN were not cytotoxic when incubated
with H441 airway epithelial cells, as judged by both PI and MTT assays as well as
by apoptosis/necrosis evaluation. 6-Coum-loaded SLN were taken up by H441 cells
in a dose-dependent fashion and persisted into cells at detectable levels up to
16 days. SLN were detected in mice lungs up to 6 days. SLN-GSE possessed 243 nm
as mean diameter, were negatively charged, and stable in size at 37 degrees C in
Simulated Lung Fluid up to 48 h and at 4 degrees C in double distilled water up
to 2 months. The content of SLN in proanthocyanidins remained unvaried up to 2
months. GSE-loaded SLN determined a significant reduction in ROS production when
added 24-72 h before the stimulation with hydrogen peroxide. Interestingly, while
at 24 h free GSE determined a higher decrease of ROS production than SLN-GSE, the
contrary was seen at 48 and 72 h. Similar results were observed for NF-kappaB
nuclear translocation. CONCLUSIONS: SLN are a biocompatible drug delivery system
for natural anti-oxidants obtained from grape seed in a model of oxidative stress
in airway epithelial cells. They feature stability and long-term persistence
inside cells where they release proanthocyanidins. These results could pave the
way to novel anti-oxidant and anti-inflammatory therapies for chronic respiratory
diseases.
PMID- 29792200
TI - Wedge-shaped microfluidic chip for circulating tumor cells isolation and its
clinical significance in gastric cancer.
AB - BACKGROUND: Circulating tumor cells (CTCs) have great potential in both basic
research and clinical application for the managements of cancer. However, the
complicated fabrication processes and expensive materials of the existing CTCs
isolation devices, to a large extent, limit their clinical translation and CTCs'
clinical value. Therefore, it remains to be urgently needed to develop a new
platform for achieving CTCs detection with low-cost, mass-producible but high
performance. METHODS: In the present study, we introduced a novel wedge-shaped
microfluidic chip (named CTC-DeltaChip) fabricated by two pieces of glass through
wet etching and thermal bonding technique for CTCs isolation, which achieved CTCs
enrichment by different size without cell surface expression markers and CTCs
identification with three-color immunocytochemistry method (CK+/CD45-/Nucleus+).
We validated the feasibility of CTC-DeltaChip for detecting CTCs from different
types of solid tumor. Furthermore, we applied the newly-developed platform to
investigate the clinical significance of CTCs in gastric cancer (GC). RESULTS:
Based on "label-free" characteristic, the capture efficiency of CTC-DeltaChip can
be as high as 93.7 +/- 3.2% in DMEM and 91.0 +/- 3.0% in whole blood sample under
optimized conditions. Clinically, CTC-DeltaChip exhibited the feasibility of
detecting CTCs from different types of solid tumor, and it identified 7.30 +/-
7.29 CTCs from 2 mL peripheral blood with a positive rate of 75% (30/40) in GC
patients. Interestingly, we found that GC CTCs count was significantly correlated
with multiple systemic inflammation indexes, including the lymphocyte count,
platelet count, the level of neutrophil to lymphocyte ratio and platelet to
lymphocyte ratio. In addition, we also found that both the positivity rate and
CTCs count were significantly associated with multiple clinicopathology
parameters. CONCLUSIONS: Our novel CTC-DeltaChip shows high performance for
detecting CTCs from less volume of blood samples of cancer patients and important
clinical significance in GC. Owing to the advantages of low-cost and mass
producible, CTC-DeltaChip holds great potential of clinical application for
cancer therapeutic guidance and prognostic monitoring in the future.
PMID- 29792202
TI - The effects of a lifestyle-focused text-messaging intervention on adherence to
dietary guideline recommendations in patients with coronary heart disease: an
analysis of the TEXT ME study.
AB - BACKGROUND: A healthy diet is an important component of secondary prevention of
coronary heart disease (CHD). The TEXT ME study was a randomised clinical trial
of people with CHD that were randomised into standard care or a text-message
programme in addition to standard care. This analysis aimed to: 1) assess the
effects of the intervention onadherence to the dietary guideline recommendations;
2) assess the consistency of effect across sub-groups; and 3) assess whether
adherence to the dietary guideline recommendations mediated the improvements in
objective clinical outcomes. METHODS: Dietary data were collected using a self
report questionnaire to evaluate adherence to eight dietary guideline
recommendations in Australia, including consumption of vegetables, fruits, fish,
type of fat used for cooking and in spreads, takeaway food, salt and standard
alcohol drinks. The primary outcome of this analysis was the proportion of
patients adhering to >= 4 dietary guideline recommendations concomitantly and
each recommendation was assessed individually as secondary outcomes. Data were
analysed using log-binomial regression for categorical variables and analysis of
covariance for continuous variables. RESULTS: Among 710 patients, 54% were
adhering to >= 4 dietary guideline recommendations (intervention 53% vs control
56%, p = 0.376) at baseline. At six months, the intervention group had a
significantly higher proportion of patients adhering to >= 4 recommendations
(314, 93%) compared to the control group (264, 75%, RR 1.23, 95% CI 1.15-1.31, p
< 0.001). In addition, the intervention patients reported consuming higher
amounts of vegetables, fruits, and fish per week; less takeaway foods per week;
and greater salt intake control. The intervention had a similar effect in all sub
groups tested. There were significant mediational effects of the increase in
adherence to the recommendations for the association between the intervention and
LDL-cholesterol (p < 0.001) and body mass index (BMI) at six months follow-up (p
= 0.005). CONCLUSION: A lifestyle-focused text-message programme improved
adherence to the dietary guideline recommendations, and specifically improved
self-reported consumption of vegetables, fruits, fish, takeaway foods and salt
intake. Importantly, these improvements partially mediated improvements in LDL
cholesterol and BMI. This simple and scalable text-messaging intervention could
be used as a strategy to improve diet in people with CHD. TRIAL REGISTRATION:
Australia and New Zealand Clinical Trials Registry ACTRN12611000161921 .
Registered on 10 February 2011.
PMID- 29792201
TI - Cerium dioxide nanoparticles exacerbate house dust mite induced type II airway
inflammation.
AB - BACKGROUND: Nanomaterial inhalation represents a potential hazard for respiratory
conditions such as asthma. Cerium dioxide nanoparticles (CeO2NPs) have the
ability to modify disease outcome but have not been investigated for their effect
on models of asthma and inflammatory lung disease. The aim of this study was to
examine the impact of CeO2NPs in a house dust mite (HDM) induced murine model of
asthma. RESULTS: Repeated intranasal instillation of CeO2NPs in the presence of
HDM caused the induction of a type II inflammatory response, characterised by
increased bronchoalveolar lavage eosinophils, mast cells, total plasma IgE and
goblet cell metaplasia. This was accompanied by increases in IL-4, CCL11 and
MCPT1 gene expression together with increases in the mucin and inflammatory
regulators CLCA1 and SLC26A4. CLCA1 and SLC26A4 were also induced by CeO2NPs +
HDM co-exposure in air liquid interface cultures of human primary bronchial
epithelial cells. HDM induced airway hyperresponsiveness and airway remodelling
in mice were not altered with CeO2NPs co-exposure. Repeated HMD instillations
followed by a single exposure to CeO2NPs failed to produce changes in type II
inflammatory endpoints but did result in alterations in the neutrophil marker
CD177. Treatment of mice with CeO2NPs in the absence of HDM did not have any
significant effects. RNA-SEQ was used to explore early effects 24 h after single
treatment exposures. Changes in SAA3 expression paralleled increased neutrophil
BAL levels, while no changes in eosinophil or lymphocyte levels were observed.
HDM resulted in a strong induction of type I interferon and IRF3 dependent gene
expression, which was inhibited with CeO2NPs co-exposure. Changes in the
expression of genes including CCL20, CXCL10, NLRC5, IRF7 and CLEC10A suggest
regulation of dendritic cells, macrophage functionality and IRF3 modulation as
key early events in how CeO2NPs may guide pulmonary responses to HDM towards type
II inflammation. CONCLUSIONS: CeO2NPs were observed to modulate the murine
pulmonary response to house dust mite allergen exposure towards a type II
inflammatory environment. As this type of response is present within asthmatic
endotypes this finding may have implications for how occupational or incidental
exposure to CeO2NPs should be considered for those susceptible to disease.
PMID- 29792203
TI - Short-term outcomes of robot-assisted minimally invasive esophagectomy for
esophageal cancer: a propensity score matched analysis.
AB - BACKGROUND: Minimally invasive esophagectomy (MIE) was shown to be effective in
reducing the morbidity and was adopted increasingly. The robot-assisted minimally
invasive esophagectomy (RAMIE) remains in the initial stage of application. This
study evaluated its safety and feasibility by comparing short-term outcomes of
RAMIE and video-assisted minimally invasive esophagectomy (VAMIE). METHODS:
Between March 2016 and December 2017, 115 consecutive patients underwent RAMIE or
VAMIE at our institute. The baseline characteristics, pathological data and short
term outcomes of these two group patients were collected and compared. RAMIE
patients were propensity score matched with VAMIE patients for a more accurate
comparison. RESULTS: Matching based on propensity scores produced 27 patients in
each group. After propensity score matching (PSM), the baseline characteristics
between the two groups were comparable. The operation time in RAMIE group was
significantly longer than that in VAMIE group (349 and 294 min, respectively; P <
0.001). The blood loss volume in RAMIE group was less than that in VAMIE group
(119 and 158 ml, respectively), but with no statistically significant difference
(P = 0.062). There was no significant difference between the two groups with
respect to the mean number of dissected lymph nodes (20 and 19, respectively; P =
0.420), postoperative hospital stay (13.8 and 12.7 days, respectively; P =
0.548), the rate of overall complications (37.0 and 33.3%, respectively; P =
0.776) and the rates of detailed complications between the two groups.
CONCLUSIONS: The short-term outcomes of RAMIE is comparable to VAMIE,
demonstrating safety and feasibility of RAMIE.
PMID- 29792204
TI - The evolution of the field of Health Policy and Systems Research and outstanding
challenges.
AB - BACKGROUND: We provide a historical analysis of the evolution of the field of
health policy and systems research (HPSR) since 1996. In the mid-1990s, three
main challenges affected HPSR, namely (1) fragmentation and lack of a single
agreed definition of the field; (2) ongoing dominance of biomedical and clinical
research; and (3) lack of demand for HPSR. Cross-cutting all these challenges was
the problem of relatively limited capacity to undertake high quality HPSR. Our
discussion analyses how these problems were addressed so as to facilitate growth
and enhanced recognition of the field. DISCUSSION: HPSR has benefitted
significantly from increased recognition of the importance of strong health
systems to health outcomes, particularly those linked to the Millennium
Development Goals. In addition to this, some of the challenges described above
have been addressed through (1) sustained advocacy for the importance of HPSR,
(2) efforts to clarify the content and focus of the field, and (3) growing
appreciation of and efforts to engage health practitioners and policy-makers in
HPSR. While advocacy for the field of HPSR was initially fragmented, since the
late 1990s there has been a consistent flow of focusing events and publications
that have served to enhance the profile and understanding of the field. There
have also been multiple efforts to establish greater coherence within the field,
for example, interrogating the distinctions between health services research and
health systems research, and how critical the "P" for policy is to HPSR. Finally,
HPSR has developed at the same time as growing interest in evidence-informed
policy and, more recently, implementation science, which have served to
underscore the relevance and utility of HPSR to policy- and decision-makers.
CONCLUSIONS: During the past two decades, the field of HPSR has developed
significantly, leading to enhanced clarity about its purpose, activity levels and
utility. Several challenges remain that will need to be addressed in the decades
ahead.
PMID- 29792205
TI - Distinct correlations between lipogenic gene expression and fatty acid
composition of subcutaneous fat among cattle breeds.
AB - BACKGROUND: The fatty acid (FA) composition of adipose tissue influences the
nutritional quality of meat products. The unsaturation level of FAs is determined
by fatty acid desaturases such as stearoyl-CoA desaturases (SCDs), which are
under control of the transcription factor sterol regulatory element-binding
protein (SREBP). Differences in SCD genotype may thus confer variations in lipid
metabolism and FA content among cattle breeds. This study investigated
correlations between FA composition and lipogenic gene expression levels in the
subcutaneous adipose tissue of beef cattle breeds of different gender from the
Basque region of northern Spain. Pirenaica is the most important beef cattle
breed in northern Spain, while Salers cattle and Holstein-Friesian cull cows are
also an integral part of the regional beef supply. RESULTS: Pirenaica heifers
showed higher monounsaturated FA (MUFA) and conjugated linoleic acid (CLA)
contents in subcutaneous adipose tissue than other breeds (P < 0.001).
Alternatively, Salers bulls produced the highest oleic acid content, followed by
Pirenaica heifers (P < 0.001). There was substantial variability in SCD gene
expression among breeds, consistent with these differences in MUFA and CLA
content. Correlations between SCD1 expression and most FA desaturation indexes
(DIs) were positive in Salers (P < 0.05) and Pirenaica bulls, while, in general,
SCD5 expression showed few significant correlations with DIs. There was a
significant linear correlation between SCD1 and SRBEP1 in all breeds, suggesting
strong regulation of SCD1 expression by SRBEP1. Pirenaica heifers showed a
stronger correlation between SCD1 and SREBP1 than Pirenaica bulls. We also
observed a opposite relationship between SCD1 and SCD5 expression levels and
opposite associations of isoform expression levels with the ?9 desaturation
indexes. CONCLUSIONS: These results suggest that the relationships between FA
composition and lipogenic gene expression are influenced by breed and sex. The
opposite relationship between SCD isoforms suggests a compensatory regulation of
total SCD activity, while opposite relationships between SCD isoforms and
desaturation indexes, specially 9c-14:1 DI, previously reported as an indicator
of SCD activity, may reflect distinct activities of SCD1 and SCD5 in regulation
of FA content. These findings may be useful for beef/dairy breeding and feeding
programs to supply nutritionally favorable products.
PMID- 29792206
TI - Is the cup orientation different in bilateral total hip arthroplasty with right
handed surgeons using posterolateral approach?
AB - BACKGROUND: The impact of surgeon handedness on acetabular cup orientation in
total hip arthroplasty (THA) is not well studied. The aim of our study is to
investigate the difference of cup orientation in bilateral THA performed by right
handed surgeons using posterolateral approach and which cup could be fitter to
Lewinneck's safe zone. METHODS: The study consisted of 498 patients that
underwent bilateral THA by three right-handed surgeons in our hospital.
Postoperative acetabular cup anteversion and abduction on an anteroposterior
pelvic radiograph were measured by Orthoview software (Orthoview LLC,
Jacksonville, Florida). Furthermore, the percentage of cup placement within the
safe zone was compared. RESULTS: The mean anteversion was 25.28 (25.28 degrees
+/- 7.16 degrees ) in left THA and 22.01 (22.01 degrees +/- 6.35 degrees ) in
right THA (p < 0.001). The mean abduction was 37.50 (37.50 degrees +/- 6.76
degrees ) in left THA and 38.59 (38.59 degrees +/- 6.84 degrees ) in right THA
(p = 0.011). In the left side, the cup was positioned in Lewinnek's safe zone in
52% for anteversion, 87% for abduction, and 46% for both anteversion and
abduction. But the cup placement within Lewinnek's safe zone was 71, 88, and 62%
in the right side, respectively. There were significant differences in the
percentage of acetabular cup placement within the safe zone for anteversion (p <
0.001) and for both anteversion and inclination (p < 0.001). Dislocation occurred
in 7.0% (35/498) of cases in left THA and 3.2% (16/498) in right THA. The
percentages of patients experiencing dislocation were significantly different
between the two sides (p = 0.006). CONCLUSIONS: This current study demonstrated
that surgeon handedness is likely to be a contributing factor that affects cup
inclination and anteversion in bilateral THA and that the placement of cup
performed by dominant hands of surgeons is more accurate than that performed by
non-dominant sides.
PMID- 29792208
TI - Automated chest screening based on a hybrid model of transfer learning and
convolutional sparse denoising autoencoder.
AB - OBJECTIVE: In this paper, we aim to investigate the effect of computer-aided
triage system, which is implemented for the health checkup of lung lesions
involving tens of thousands of chest X-rays (CXRs) that are required for
diagnosis. Therefore, high accuracy of diagnosis by an automated system can
reduce the radiologist's workload on scrutinizing the medical images. METHOD: We
present a deep learning model in order to efficiently detect abnormal levels or
identify normal levels during mass chest screening so as to obtain the
probability confidence of the CXRs. Moreover, a convolutional sparse denoising
autoencoder is designed to compute the reconstruction error. We employ four
publicly available radiology datasets pertaining to CXRs, analyze their reports,
and utilize their images for mining the correct disease level of the CXRs that
are to be submitted to a computer aided triaging system. Based on our approach,
we vote for the final decision from multi-classifiers to determine which three
levels of the images (i.e. normal, abnormal, and uncertain cases) that the CXRs
fall into. RESULTS: We only deal with the grade diagnosis for physical
examination and propose multiple new metric indices. Combining predictors for
classification by using the area under a receiver operating characteristic curve,
we observe that the final decision is related to the threshold from
reconstruction error and the probability value. Our method achieves promising
results in terms of precision of 98.7 and 94.3% based on the normal and abnormal
cases, respectively. CONCLUSION: The results achieved by the proposed framework
show superiority in classifying the disease level with high accuracy. This can
potentially save the radiologists time and effort, so as to allow them to focus
on higher-level risk CXRs.
PMID- 29792207
TI - Metagenomic analysis of viruses associated with maize lethal necrosis in Kenya.
AB - BACKGROUND: Maize lethal necrosis is caused by a synergistic co-infection of
Maize chlorotic mottle virus (MCMV) and a specific member of the Potyviridae,
such as Sugarcane mosaic virus (SCMV), Wheat streak mosaic virus (WSMV) or
Johnson grass mosaic virus (JGMV). Typical maize lethal necrosis symptoms include
severe yellowing and leaf drying from the edges. In Kenya, we detected plants
showing typical and atypical symptoms. Both groups of plants often tested
negative for SCMV by ELISA. METHODS: We used next-generation sequencing to
identify viruses associated to maize lethal necrosis in Kenya through a
metagenomics analysis. Symptomatic and asymptomatic leaf samples were collected
from maize and sorghum representing sixteen counties. RESULTS: Complete and
partial genomes were assembled for MCMV, SCMV, Maize streak virus (MSV) and Maize
yellow dwarf virus-RMV (MYDV-RMV). These four viruses (MCMV, SCMV, MSV and MYDV
RMV) were found together in 30 of 68 samples. A geographic analysis showed that
these viruses are widely distributed in Kenya. Phylogenetic analyses of
nucleotide sequences showed that MCMV, MYDV-RMV and MSV are similar to isolates
from East Africa and other parts of the world. Single nucleotide polymorphism,
nucleotide and polyprotein sequence alignments identified three genetically
distinct groups of SCMV in Kenya. Variation mapped to sequences at the border of
NIb and the coat protein. Partial genome sequences were obtained for other four
potyviruses and one polerovirus. CONCLUSION: Our results uncover the complexity
of the maize lethal necrosis epidemic in Kenya. MCMV, SCMV, MSV and MYDV-RMV are
widely distributed and infect both maize and sorghum. SCMV population in Kenya is
diverse and consists of numerous strains that are genetically different to
isolates from other parts of the world. Several potyviruses, and possibly
poleroviruses, are also involved.
PMID- 29792209
TI - Associations of discretionary screen time with mortality, cardiovascular disease
and cancer are attenuated by strength, fitness and physical activity: findings
from the UK Biobank study.
AB - BACKGROUND: Discretionary screen time (time spent viewing a television or
computer screen during leisure time) is an important contributor to total
sedentary behaviour, which is associated with increased risk of mortality and
cardiovascular disease (CVD). The aim of this study was to determine whether the
associations of screen time with cardiovascular disease and all-cause mortality
were modified by levels of cardiorespiratory fitness, grip strength or physical
activity. METHODS: In total, 390,089 participants (54% women) from the UK Biobank
were included in this study. All-cause mortality, CVD and cancer incidence and
mortality were the main outcomes. Discretionary television (TV) viewing, personal
computer (PC) screen time and overall screen time (TV + PC time) were the
exposure variables. Grip strength, fitness and physical activity were treated as
potential effect modifiers. RESULTS: Altogether, 7420 participants died, and
there were 22,210 CVD events, over a median of 5.0 years follow-up (interquartile
range 4.3 to 5.7; after exclusion of the first 2 years from baseline in the
landmark analysis). All discretionary screen-time exposures were significantly
associated with all health outcomes. The associations of overall discretionary
screen time with all-cause mortality and incidence of CVD and cancer were
strongest amongst participants in the lowest tertile for grip strength (all-cause
mortality hazard ratio per 2-h increase in screen time (1.31 [95% confidence
interval: 1.22-1.43], p < 0.0001; CVD 1.21 [1.13-1.30], p = 0.0001; cancer
incidence 1.14 [1.10-1.19], p < 0.0001) and weakest amongst those in the highest
grip-strength tertile (all-cause mortality 1.04 [0.95-1.14], p = 0.198; CVD 1.05
[0.99-1.11], p = 0.070; cancer 0.98 [0.93-1.05], p = 0.771). Similar trends were
found for fitness (lowest fitness tertile: all-cause mortality 1.23 [1.13-1.34],
p = 0.002 and CVD 1.10 [1.02-1.22], p = 0.010; highest fitness tertile: all-cause
mortality 1.12 [0.96-1.28], p = 0.848 and CVD 1.01 [0.96-1.07], p = 0.570).
Similar findings were found for physical activity for all-cause mortality and
cancer incidence. CONCLUSIONS: The associations between discretionary screen time
and adverse health outcomes were strongest in those with low grip strength,
fitness and physical activity and markedly attenuated in those with the highest
levels of grip strength, fitness and physical activity. Thus, if these
associations are causal, the greatest benefits from health promotion
interventions to reduce discretionary screen time may be seen in those with low
levels of strength, fitness and physical activity.
PMID- 29792210
TI - Quantitative cardiovascular magnetic resonance: extracellular volume, native T1
and 18F-FDG PET/CMR imaging in patients after revascularized myocardial
infarction and association with markers of myocardial damage and systemic
inflammation.
AB - BACKGROUND: Characterization of tissue integrity and inflammatory processes after
acute myocardial infarction (AMI) using non-invasive imaging is predictive of
patient outcome. Quantitative cardiovascular magnetic resonance (CMR) techniques
such as native T1 and extracellular volume (ECV) mapping as well as 18F-FDG
positron emission tomography (PET) imaging targeting inflammatory cell
populations are gaining acceptance, but are often applied without assessing their
quantitative potential. Using simultaneously acquired PET/CMR data from patients
early after AMI, this study quantitatively compares these three imaging markers
and investigates links to blood markers of myocardial injury and systemic
inflammatory activity. METHODS: A total of 25 patients without microvascular
obstruction were retrospectively recruited. All imaging was simultaneously
performed 5 +/- 1 days after revascularization following AMI on an integrated 3T
PET/MRI scanner. Native and post-contrast T1 data were acquired using a modified
Look-Locker inversion recovery (MOLLI) sequence, ECV maps were calculated using
individually sampled hematocrit. 18F-FDG PET was executed after 1 day of dietary
preparation, 12 h of fasting, and administration of heparin. ECV, 18F-FDG and
native T1 data were compared mutually as well as to peak counts of peripheral
blood markers (creatine kinase, creatine kinase-MB, troponin, leukocytes,
monocytes) and infarct size. RESULTS: High intra-patient correlations of relative
ECV, 18F-FDG PET and native T1 signal increases were observed in combination with
no inter-patient correlation of maximum absolute values at the infarct center,
suggesting well-colocalized but physiologically diverse processes begetting the
respective image signals. Comparison of maximum image signals to markers of
myocardial damage and systemic inflammation yielded highly significant
correlations of ECV to peak creatine kinase-MB and overall infarct size as well
as between native T1 and peak monocyte counts. CONCLUSIONS: Absolute native T1
values at the infarct core early after AMI can be linked to the systemic
inflammatory response independent of infarct size. Absolute ECV at the infarct
core is related to both infarct size and blood markers of myocardial damage.
PMID- 29792211
TI - The impact of ankle-foot orthoses on toe clearance strategy in hemiparetic gait:
a cross-sectional study.
AB - BACKGROUND: Ankle-foot orthoses (AFOs) are frequently used to improve gait
stability, toe clearance, and gait efficiency in individuals with hemiparesis.
During the swing phase, AFOs enhance lower limb advancement by facilitating the
improvement of toe clearance and the reduction of compensatory movements.
Clinical monitoring via kinematic analysis would further clarify the changes in
biomechanical factors that lead to the beneficial effects of AFOs. The purpose of
this study was to investigate the actual impact of AFOs on toe clearance, and
determine the best strategy to achieve toe clearance (including compensatory
movements) during the swing phase. METHODS: This study included 24 patients with
hemiparesis due to stroke. The gait performance of these patients with and
without AFOs was compared using three-dimensional treadmill gait analysis. A
kinematic analysis of the paretic limb was performed to quantify the contribution
of the extent of lower limb shortening and compensatory movements (such as hip
elevation and circumduction) to toe clearance. The impact of each movement
related to toe clearance was assessed by analyzing the change in the vertical
direction. RESULTS: Using AFOs significantly increased toe clearance (p = 0.038).
The quantified limb shortening and pelvic obliquity significantly differed
between gaits performed with versus without AFOs. Among the movement indices
related to toe clearance, limb shortening was increased by the use of AFOs (p <
0.0001), while hip elevation due to pelvic obliquity (representing compensatory
strategies) was diminished by the use of AFOs (p = 0.003). The toe clearance
strategy was not significantly affected by the stage of the hemiparetic condition
(acute versus chronic) or the type of AFO (thermoplastic AFOs versus adjustable
posterior strut AFOs). CONCLUSIONS: Simplified three-dimensional gait analysis
was successfully used to quantify and visualize the impact of AFOs on the toe
clearance strategy of hemiparetic patients. AFO use increased the extent of toe
clearance and limb shortening during the swing phase, while reducing compensatory
movements. This approach to visualization of the gait strategy possibly
contributes to clinical decision-making in the real clinical settings. TRIAL
REGISTRATION: UMIN000028946 . Registered 31 August 2017 (retrospectively
registered).
PMID- 29792213
TI - Rate of presence of 11 thoracic vertebrae and 6 lumbar vertebrae in asymptomatic
Chinese adult volunteers.
AB - BACKGROUND: An increasing number of studies on spinal morphology in asymptomatic
Asian and Western patients have been reported. Variation in spinal anatomy among
patients is considered as the cause of wrong-level surgery in up to 40% of cases.
The present study examined the rate of presence of 11 thoracic vertebrae and 6
lumbar vertebrae in 293 asymptomatic Chinese adult volunteers. METHODS: From May
27, 2016, to November 11, 2017, a cohort of 325 asymptomatic Chinese adults
meeting the study exclusion criteria was recruited. The radiographs were examined
by a spine surgeon and a radiologist to assess the number of thoracic and lumbar
vertebrae. RESULTS: In total, 293 volunteers were included in this study: 17
(5.8%) had 11 thoracic vertebrae, and 16 (5.5%) had 6 lumbar vertebrae. Among all
volunteers, 12 (4.1%) had 7 cervical vertebrae (C), 11 thoracic vertebrae (T),
and 5 lumbar vertebrae (L); 5 (1.7%) had 7C, 11T, and 6L; and 11 (3.8%) had 7C,
12T, and 6L. There was no difference between the findings of the spine surgeon
and the radiologist. CONCLUSIONS: For the first time, this study describes the
rate of presence of 11 thoracic vertebrae and 6 lumbar vertebrae in 293
asymptomatic Chinese adult volunteers. Variations in the number of thoracic and
lumbar vertebrae tend to be ignored by spine surgeons. We encourage spinal
surgeons and researchers to be aware of such variations when performing thoracic-
and lumbar-level surgery and assessing spinal alignment and parameters.
PMID- 29792214
TI - Association of serum bilirubin level with lung function decline: a Korean
community-based cohort study.
AB - BACKGROUND: Bilirubin has been reported to be associated with respiratory
diseases due to its antioxidant action. We aimed to evaluate the relationship
between serum bilirubin concentration and annual lung function decline in the
Korean general population. METHODS: The study included 7986 subjects aged 40-69
years from the Ansung-Ansan cohort database I (2001-2002)-III (2005-2006). We
analyzed the relationships between serum bilirubin level and forced expiratory
volume in 1 s (FEV1), forced vital capacity (FVC), FEV1/FVC, and mean forced
expiratory flow between 25 and 75% of FVC (FEF25-75%) at baseline, as well as the
annual average changes in these lung parameters. RESULTS: The FEV1, FVC, and
FEF25-75% were significantly associated with serum bilirubin levels after
adjustment for age, sex, body mass index (BMI), and smoking status (all P <
0.001). When stratified according to smoking status, these relationships were
significant in never-smokers. Additionally, serum bilirubin level was negatively
associated with the annual decline in FEV1 and FVC, and positively associated
with the annual decline in FEV1/FVC after adjustment for age, sex, BMI, baseline
lung function, and smoking status (all P < 0.001). CONCLUSIONS: We found
significant associations of serum bilirubin levels with FEV1, FVC, and FEF25-75%
in the general population, especially in never-smokers. Moreover, serum bilirubin
levels were related with the annual decline in FEV1, FVC, and FEV1/FVC ratio.
PMID- 29792212
TI - The role of human Metapneumovirus genetic diversity and nasopharyngeal viral load
on symptom severity in adults.
AB - BACKGROUND: Human metapneumovirus (HMPV) is established as one of the causative
agents of respiratory tract infections. To date, there are limited reports that
describe the effect of HMPV genotypes and/or viral load on disease pathogenesis
in adults. This study aims to determine the role of HMPV genetic diversity and
nasopharyngeal viral load on symptom severity in outpatient adults with acute
respiratory tract infections. METHODS: Severity of common cold symptoms of
patients from a teaching hospital was assessed by a four-category scale and
summed to obtain the total symptom severity score (TSSS). Association between the
fusion and glycoprotein genes diversity, viral load (quantified using an improved
RT-qPCR assay), and symptom severity were analyzed using bivariate and linear
regression analyses. RESULTS: Among 81/3706 HMPV-positive patients, there were no
significant differences in terms of demographics, number of days elapsed between
symptom onset and clinic visit, respiratory symptoms manifestation and severity
between different HMPV genotypes/sub-lineages. Surprisingly, elderly patients
(>=65 years old) had lower severity of symptoms (indicated by TSSS) than young
and middle age adults (p = 0.008). Nasopharyngeal viral load did not correlate
with nor predict symptom severity of HMPV infection. Interestingly, at 3-5 days
after symptom onset, genotype A-infected patients had higher viral load compared
to genotype B (4.4 vs. 3.3 log10 RNA copies/MUl) (p = 0.003). CONCLUSIONS:
Overall, HMPV genetic diversity and viral load did not impact symptom severity in
adults with acute respiratory tract infections. Differences in viral load
dynamics over time between genotypes may have important implications on viral
transmission.
PMID- 29792215
TI - Adding left atrial appendage closure to open heart surgery provides protection
from ischemic brain injury six years after surgery independently of atrial
fibrillation history: the LAACS randomized study.
AB - BACKGROUND: Open heart surgery is associated with high occurrence of atrial
fibrillation (AF), subsequently increasing the risk of post-operative ischemic
stroke. Concomitant with open heart surgery, a cardiac ablation procedure is
commonly performed in patients with known AF, often followed by left atrial
appendage closure with surgery (LAACS). However, the protective effect of LAACS
on the risk of cerebral ischemia following cardiac surgery remains controversial.
We have studied whether LAACS in addition to open heart surgery protects against
post-operative ischemic brain injury regardless of a previous AF diagnosis.
METHODS: One hundred eighty-seven patients scheduled for open heart surgery were
enrolled in a prospective, open-label clinical trial and randomized to
concomitant LAACS vs. standard care. Randomization was stratified by usage of
oral anticoagulation (OAC) planned to last at least 3 months after surgery. The
primary endpoint was a composite of post-operative symptomatic ischemic stroke,
transient ischemic attack or imaging findings of silent cerebral ischemic (SCI)
lesions. RESULTS: During a mean follow-up of 3.7 years, 14 (16%) primary events
occurred among patients receiving standard surgery vs. 5 (5%) in the group
randomized to additional LAACS (hazard ratio 0.3; 95% CI: 0.1-0.8, p = 0.02). In
per protocol analysis (n = 141), 14 (18%) primary events occurred in the control
group vs. 4 (6%) in the LAACS group (hazard ratio 0.3; 95% CI: 0.1-1.0, p =
0.05). CONCLUSIONS: In a real-world setting, LAACS in addition to elective open
heart surgery was associated with lower risk of post-operative ischemic brain
injury. The protective effect was not conditional on AF/OAC status at baseline.
TRIAL REGISTRATION: LAACS study, clinicaltrials.gov NCT02378116 , March 4th 2015,
retrospectively registered.
PMID- 29792218
TI - Sudden cardiac arrest under spinal anesthesia in a mission hospital: a case
report and review of the literature.
AB - BACKGROUND: Sudden cardiac arrest following spinal anesthesia is relatively
uncommon and a matter of grave concern for any anesthesiologist as well as
clinicians in general. There have been, however, several reports of such cases in
the literature. Careful patient selection, appropriate dosing of the local
anesthetic, volume loading, close monitoring, and prompt intervention at the
first sign of cardiovascular instability should improve outcomes. The rarity of
occurrence and clinical curiosity of this entity suggest reporting of this
unusual and possibly avoidable clinical event. CASE PRESENTATION: We report the
occurrence of unanticipated delayed cardiac arrest following spinal anesthesia in
a 25-year-old Cameroonian man. Incidentally, the index patient was successfully
resuscitated with timely and appropriate cardiopulmonary resuscitative measures.
He went ahead to have emergency open appendectomy with good post-operative
outcome and recovery. CONCLUSIONS: The management of such cardiac arrest under
spinal anesthesia is very challenging in resource- limited settings such as ours.
Anesthetists and clinicians need to be well informed of this grave complication.
A good understanding of the physiologic changes caused by spinal anesthesia and
its complications, adequate patient selection, respecting the contraindications
of the procedure, adequate monitoring, and constant vigilance are of paramount
importance to the eventual outcome.
PMID- 29792217
TI - Hypoargininemia exacerbates airway hyperresponsiveness in a mouse model of
asthma.
AB - BACKGROUND: Asthma is a chronic respiratory condition, with airway
hyperresponsiveness (AHR) and inflammation as hallmarks. The hypothesis that the
substantially increased expression of arginase 1 in activated macrophages limits
the availability of L-arginine for nitric oxide synthesis, and thus increases AHR
in lungs of mice with experimentally induced allergic asthma was recently refuted
by several studies. In the present study, we tested the hypothesis that, instead,
a low circulating concentration of arginine aggravates AHR in the same murine
asthma model. Female FVB F/A2 tg/tg transgenic mice, which overexpress rat
arginase 1 in their enterocytes, exhibit a ~ 50% decrease of their plasma L
arginine concentration. METHODS: Adult female F/A2 tg/tg mice and their wild-type
littermates (F/A2 wt/wt ) were sensitized and challenged with ovalbumin
(OVA/OVA). Lung function was assessed with the flexiVentTM system. Adaptive
changes in the expression of arginine-metabolizing or -transporting enzymes,
chemokines and cytokines, and lung histology were quantified with qPCR, ELISA,
and immunohistochemistry, respectively. RESULTS: Reduction of circulating L
arginine concentration significantly increased AHR in OVA/OVA-treated mice and,
to a lesser extent, even in PBS/OVA-treated mice. The pulmonary inflammatory
response in OVA/OVA-treated F/A2 tg/tg and F/A2 wt/wt mice was comparable.
OVA/OVA-treated F/A2 tg/tg mice differed from similarly treated female mice, in
which arginase 1 expression in lung macrophages was eliminated, by a complete
absence of an adaptive increase in the expression of arginine-metabolizing or
transporting enzymes. CONCLUSION: A reduction of the circulating L-arginine
concentration rather than the macrophage-mediated increase of arginine catabolism
worsens AHR.
PMID- 29792216
TI - HIV-1 Tat phosphorylation on Ser-16 residue modulates HIV-1 transcription.
AB - BACKGROUND: HIV-1 transcription activator protein Tat is phosphorylated in vitro
by CDK2 and DNA-PK on Ser-16 residue and by PKR on Tat Ser-46 residue. Here we
analyzed Tat phosphorylation in cultured cells and its functionality. RESULTS:
Mass spectrometry analysis showed primarily Tat Ser-16 phosphorylation in
cultured cells. In vitro, CDK2/cyclin E predominantly phosphorylated Tat Ser-16
and PKR-Tat Ser-46. Alanine mutations of either Ser-16 or Ser-46 decreased
overall Tat phosphorylation. Phosphorylation of Tat Ser-16 was reduced in
cultured cells treated by a small molecule inhibitor of CDK2 and, to a lesser
extent, an inhibitor of DNA-PK. Conditional knock-downs of CDK2 and PKR inhibited
and induced one round HIV-1 replication respectively. HIV-1 proviral
transcription was inhibited by Tat alanine mutants and partially restored by S16E
mutation. Pseudotyped HIV-1 with Tat S16E mutation replicated well, and HIV-1 Tat
S46E-poorly, but no live viruses were obtained with Tat S16A or Tat S46A
mutations. TAR RNA binding was affected by Tat Ser-16 alanine mutation. Binding
to cyclin T1 showed decreased binding of all Ser-16 and Ser-46 Tat mutants with
S16D and Tat S46D mutationts showing the strongest effect. Molecular modelling
and molecular dynamic analysis revealed significant structural changes in
Tat/CDK9/cyclin T1 complex with phosphorylated Ser-16 residue, but not with
phosphorylated Ser-46 residue. CONCLUSION: Phosphorylation of Tat Ser-16 induces
HIV-1 transcription, facilitates binding to TAR RNA and rearranges CDK9/cyclin
T1/Tat complex. Thus, phosphorylation of Tat Ser-16 regulates HIV-1 transcription
and may serve as target for HIV-1 therapeutics.
PMID- 29792219
TI - Effect of intensive glycaemic control on moderate hypoglycaemia and ICU length of
stay in severe traumatic brain injury.
PMID- 29792220
TI - Frailty measurement and its contribution to clinical care and health services: a
commentary.
AB - Frailty is associated with poorer quality of life and higher healthcare
utilization and spending. Despite its importance, no clear consensus exists on
the definition of frailty. The recent IJHPR article by Buch et al. significantly
contributes to the advancement of Israel's understanding of frailty by estimating
for the first time the prevalence of frailty in the country. This commentary
discusses the context of past and current advancements in measuring frailty and
discusses how frailty measurement can contribute to both clinical care and the
organization of health services to care for frail older adults in Israel and
other developed countries.
PMID- 29792221
TI - Sex differences in cardiovascular epigenetics-a systematic review.
AB - BACKGROUND: Differences in cardiovascular diseases are evident in men and women
throughout life and are mainly attributed to the presence of sex hormones and
chromosomes. Epigenetic mechanisms drive the regulation of the biological
processes that may lead to CVD and are possibly influenced by sex. In order to
gain an overview of the status quo on sex differences in cardiovascular
epigenetics, we performed a systematic review. MATERIALS AND METHODS: A
systematic search was performed on PubMed and Embase for studies mentioning
cardiovascular disease, epigenetics, and anything related to sex differences. The
search returned 3071 publications to be screened. Primary included publications
focused on cardiovascular and epigenetics research. Subsequently, papers were
assessed for including both sexes in their studies and checked for appropriate
sex stratification of results. RESULTS: Two independent screeners identified 75
papers in the proper domains that had included both sexes. Only 17% (13 papers
out of 75) of these publications stratified some of their data according to sex.
All remaining papers focused on DNA methylation solely as an epigenetic
mechanism. Of the excluded papers that included only one sex, 86% (24 out 28)
studied males, while 14% (4 out of 28) studied females. CONCLUSION: Our overview
indicates that the majority of studies into cardiovascular epigenetics do not
show their data stratified by sex, despite the well-known sex differences in CVD.
All included and sex-stratified papers focus on DNA methylation, indicating that
a lot of ground is still to gain regarding other epigenetic mechanisms, like
chromatin architecture, and histone modifications. More attention to sex in
epigenetic studies is warranted as such integration will advance our
understanding of cardiovascular disease mechanisms in men and women.
PMID- 29792222
TI - Longevity and pleural mesothelioma: age-period-cohort analysis of incidence data
from the Surveillance, Epidemiology, and End Results (SEER) Program, 1973-2013.
AB - OBJECTIVE: This study investigates the hypothesis that an increasing fraction of
incident pleural mesothelioma (PM) in the US population may be related to
longevity, i.e., to expansion of the population over age 75 years with an age
related elevation in risk. An age-period-cohort analysis of the SEER 9 cancer
registries (1973-2013) was conducted using 5-year intervals of age, calendar
period, and birth cohort after stratification into four gender-age groups (male
and female; 0-74 and 75+ years). RESULTS: Gender-specific time trends in age
adjusted PM incidence by age groups were observed. After adjusting for cohort
effects, males in the 0-74-year age group experienced rapidly declining PM
incidence rates following the observed peak in 1978-1982, whereas continuously
increasing incidence rates were observed among older males. A significant cohort
effect was also observed among males in both age groups, with peak incidence
rates in the 1926-1930/1928-1932 birth cohorts and thereafter. The distinct
period and cohort effects among males age 0-74 years may be driven by declining
age-adjusted PM incidence rates corresponding to the decline in occupational
asbestos exposures post-World War II, whereas the increasing time trend seen in
both genders at age 75+ may reflect an increasing proportion due to longevity
related factors.
PMID- 29792223
TI - Culex flavivirus infection in a Culex pipiens mosquito colony and its effects on
vector competence for Rift Valley fever phlebovirus.
AB - BACKGROUND: Rift Valley fever is a mosquito-borne zoonotic disease that affects
domestic ruminants and humans. Culex flavivirus is an insect-specific flavivirus
that naturally exists in field mosquito populations. The influence of Culex
flavivirus on Rift Valley fever phlebovirus (RVFV) vector competence of Culex
pipiens has not been investigated. METHODS: Culex flavivirus infection in a Cx.
pipiens colony was studied by Culex flavivirus oral feeding and intrathoracical
inoculation. Similarly, vector competence of Cx. pipiens infected with Culex
flavivirus was evaluated for RVFV. Infection, dissemination, transmission rates
and transmission efficiency of Culex flavivirus-infected and non-infected Cx.
pipiens artificially fed with RVFV infected blood were assessed. RESULTS: Culex
flavivirus was able to infect Cx. pipiens after intrathoracically inoculation in
Cx. pipiens mosquitos but not after Culex flavivirus oral feeding. Culex
flavivirus did not affect RVFV infection, dissemination and transmission in Cx.
pipiens mosquitoes. RVFV could be detected from saliva of both the Culex
flavivirus-positive and negative Cx. pipiens females without significant
differences. Moreover, RVFV did not interfere with the Culex flavivirus infection
in Cx. pipiens mosquitoes. CONCLUSIONS: Culex flavivirus infected and non
infected Cx. pipiens transmit RVFV. Culex flavivirus existing in field-collected
Cx. pipiens populations does not affect their vector competence for RVFV. Culex
flavivirus may not be an efficient tool for RVFV control in mosquitoes.
PMID- 29792224
TI - Health technology assessment of public health interventions: an analysis of
characteristics and comparison of methods-study protocol.
AB - BACKGROUND: Conducting a health technology assessment (HTA) of public health
interventions (PHIs) poses some challenges. PHIs are often complex interventions,
which affect the number and degree of interactions of the aspects to be assessed.
Randomized controlled trials on PHIs are rare as they are difficult to conduct
because of ethical or feasibility issues. The aim of this study is to provide an
overview of the methodological characteristics and to compare the applied
assessment methods in HTAs on PHIs. METHODS: We will systematically search HTA
agencies for HTAs on PHIs published between 2012 and 2016. We will identify the
HTAs by screening the webpages of members of international HTA organizations. One
reviewer will screen the list of HTAs on the webpages of members of international
HTA organization, and a second review will double-check the excluded records. For
this methodological review, we define a PHI as a population-based intervention on
health promotion or for primary prevention of chronic or non-chronic diseases.
Only full HTA reports will be included. At maximum, we will include a sample of
100 HTAs. In the case that we identify more than 100 relevant HTAs, we will
perform a random selection. We will extract data on effectiveness, safety and
economic as well as on social, cultural, ethical and legal aspects in a priori
piloted standardized tables. We will not assess the risk of bias as we focus on
exploring methodological features. Data extraction will be performed by one
reviewer and verified by a second. We will synthesize data using tables and in a
structured narrative way. DISCUSSION: Our analysis will provide a comprehensive
and current overview of methods applied in HTAs on PHIs. We will discuss
approaches that may be promising to overcome the challenges of evaluating PHIs.
PMID- 29792225
TI - Correction to: Lifetime stress accelerates epigenetic aging in an urban, African
American cohort: relevance of glucocorticoid signaling.
AB - Upon publication of the original article [1] it was highlighted by the authors
that a transposition error affected Additional file 1, causing the misplacement
of several columns and rendering the table difficult to read. This transposition
does not influence any of the results nor analyses presented in the paper and has
since been formally noted in this correction article; the corrected file is
available here as an Additional File. The publisher apologizes for this error.
PMID- 29792226
TI - Treatment of depression and/or anxiety - outcomes of a randomised controlled
trial of the tree theme method(r) versus regular occupational therapy.
AB - BACKGROUND: Depression and anxiety disorders are a major concern in western
countries, and because these often have a negative affect on everyday life
interventions based on activities in everyday life are needed. The Tree Theme
Method(r) (TTM) is a client-centred occupational therapy intervention designed to
increase the ability to cope with, and to enhance satisfaction with, everyday
life, both at home and at work. The aim of this study was to compare the short
term outcomes of the TTM intervention with regular occupational therapy treatment
for people with depression and/or anxiety disorders. METHODS: This randomised
controlled trial included patients from three counties in Sweden. Men and women
with depression and/or anxiety disorders, ages 18 to 65, were randomised to
either TTM or regular occupational therapy. Assessment data were collected at
baseline and the follow-up directly after completing the intervention. Non
parametric and parametric statistical methods were used. RESULTS: The
questionnaires were answered by 118 patients at baseline and by 107 patients
after completing the intervention. No significant differences in short term
outcomes were found between the groups. Both groups showed positive significant
outcomes regarding almost all aspects of activities in everyday life,
psychological symptoms, and health-related and intervention-related aspects.
CONCLUSIONS: Despite the lack of differences between the groups, the positive
outcomes regarding activities in everyday life, psychological symptoms, and
health-related aspects after completing the intervention indicates the need for
further research on the long-term perspective of TTM compared to regular
occupational therapy. TRIAL REGISTRATION: Clinical Trials.gov: NCT01980381 ;
registered November 2013.
PMID- 29792228
TI - Field evaluation of an immunochromatographic test for diagnosis of cystic and
alveolar echinococcosis.
AB - BACKGROUND: The larval stages of the tapeworms Echinocoocus granulosus and
Echinococcus multilocularis are the causative agents of human cystic
echinococcosis (CE) and human alveolar echinococcosis (AE), respectively. Both CE
and AE are chronic diseases characterised by long asymptomatic periods of many
years. However, early diagnosis of the disease is important if treatment and
management of echinococcosis patients are to be successful. METHODS: A previously
developed rapid diagnostic test (RDT) for the differential detection of CE and AE
was evaluated under field conditions with finger prick blood samples taken from
1502 people living in the Ganzi Tibetan Autonomous Prefecture, China, a region
with a high prevalence for both forms of human echinococcosis. The results were
compared with simultaneously obtained abdominal ultrasonographic scans of the
individuals. RESULTS: Using the ultrasonography as the gold standard, sensitivity
and specificity, and the diagnostic accuracy of the RDT were determined to be
greater than 94% for both CE and AE. For CE cases, high detection rates (95.6
98.8%) were found with patients having active cysts while lower detection rates
(40.0-68.8%) were obtained with patients having transient or inactive cysts. In
contrast, detection rates in AE patients were independent of the lesion type. The
positive likelihood ratio of the RDT for CE and AE was greater than 20 and thus
fairly high, indicating that a patient with a positive test result has a high
probability of having echinococcosis. CONCLUSIONS: The results suggest that our
previously developed RDT is suitable as a screening tool for the early detection
of human echinococcosis in endemic areas.
PMID- 29792229
TI - Characterization of MEDLE-1, a protein in early development of Cryptosporidium
parvum.
AB - BACKGROUND: Cryptosporidium spp. are important diarrhea-causing pathogens in
humans and animals. Comparative genomic analysis indicated that Cryptosporidium
specific MEDLE family proteins may contribute to host adaptation of
Cryptosporidium spp., and a recent study of one member of this family, CpMEDLE-2
encoded by cgd5_4590, has provided evidence supporting this hypothesis. In this
study, another member of the protein family, CpMEDLE-1 of Cryptosporidium parvum
encoded by cgd5_4580, which is distinct from CpMEDLE-2 and has no signature motif
MEDLE, was cloned, expressed and characterized to understand its function.
METHODS: CpMEDLE-1 was expressed in Escherichia coli and polyclonal antibodies
against the recombinant CpMEDLE-1 protein were prepared in rabbits. Quantitative
PCR was used to analyze the expression profile of cgd5_4580 in C. parvum culture.
Immunofluorescence staining was used to locate CpMEDLE-1 expression in life-cycle
stages, and in vitro neutralization assay with antibodies was adopted to assess
the role of the protein in C. parvum invasion. RESULTS: The results indicated
that cgd5_4580 had a peak expression at 2 h of C. parvum culture. CpMEDLE-1 was
located in the mid-anterior region of sporozoites, probably within the dense
granules. The neutralization efficiency of anti-CpMEDLE-1 antibodies was
approximately 40%. CONCLUSIONS: The differences in protein and gene expression
profiles between CpMEDLE-1 and CpMEDLE-2 suggest that MEDLE proteins have
different subcellular locations, are developmentally regulated, could be
potentially involved in the transcriptional regulation of the expression of
parasite or host proteins and may exert their functions in different stages of
the invasion and development process.
PMID- 29792227
TI - Integrated time course omics analysis distinguishes immediate therapeutic
response from acquired resistance.
AB - BACKGROUND: Targeted therapies specifically act by blocking the activity of
proteins that are encoded by genes critical for tumorigenesis. However, most
cancers acquire resistance and long-term disease remission is rarely observed.
Understanding the time course of molecular changes responsible for the
development of acquired resistance could enable optimization of patients'
treatment options. Clinically, acquired therapeutic resistance can only be
studied at a single time point in resistant tumors. METHODS: To determine the
dynamics of these molecular changes, we obtained high throughput omics data (RNA
sequencing and DNA methylation) weekly during the development of cetuximab
resistance in a head and neck cancer in vitro model. The CoGAPS unsupervised
algorithm was used to determine the dynamics of the molecular changes associated
with resistance during the time course of resistance development. RESULTS: CoGAPS
was used to quantify the evolving transcriptional and epigenetic changes.
Applying a PatternMarker statistic to the results from CoGAPS enabled novel
heatmap-based visualization of the dynamics in these time course omics data. We
demonstrate that transcriptional changes result from immediate therapeutic
response or resistance, whereas epigenetic alterations only occur with
resistance. Integrated analysis demonstrates delayed onset of changes in DNA
methylation relative to transcription, suggesting that resistance is stabilized
epigenetically. CONCLUSIONS: Genes with epigenetic alterations associated with
resistance that have concordant expression changes are hypothesized to stabilize
the resistant phenotype. These genes include FGFR1, which was associated with
EGFR inhibitors resistance previously. Thus, integrated omics analysis
distinguishes the timing of molecular drivers of resistance. This understanding
of the time course progression of molecular changes in acquired resistance is
important for the development of alternative treatment strategies that would
introduce appropriate selection of new drugs to treat cancer before the resistant
phenotype develops.
PMID- 29792230
TI - Community health worker support to improve HIV treatment outcomes for older
children and adolescents in Zimbabwe: a process evaluation of the ZENITH trial.
AB - BACKGROUND: Community health worker (CHW)-delivered support visits to children
living with HIV and their caregivers significantly reduced odds of virological
failure among the children in the ZENITH trial conducted in Zimbabwe. We
conducted a process evaluation to assess fidelity, acceptability, and feasibility
of this intervention to identify lessons that could inform replication and scale
up of this approach. METHODS: Field manuals kept by each CHW, records from
monthly supervisory meetings, and participant data collected throughout the trial
were used to assess the intervention's implementation. Data extracted from field
manuals included visit type, content, and duration. Minutes from monthly
supervisory meetings were used to capture CHW attendance. RESULTS: The trial
enrolled 172 participants in the intervention arm of whom 5 subsequently refused
all visits, 1 died before the intervention could be delivered, and 1 could not be
located. Manuals for 8 participants were not returned, 3 were incorrectly
entered, and 1 manual was lost. We had 154 manuals available for analysis. A
total of 1553 visits were successfully conducted (median 11 per participant,
range 1-20). Additionally, CHWs made 85 visits where they were unable to make
contact with the family. Thirteen (8.4%) participants received 5 or fewer visits,
10 moved out of the study area, and 3 died. CHWs discussed disclosure with the
child/family for over 89% of participants and assisted clients with developing
and reviewing their personal treatment plan with over 85% of participants. Of the
20 CHWs (3 male, 17 female) selected to implement the intervention, 19 were
retained at the end of the trial. CONCLUSIONS: The intervention was acceptable to
participants with most receiving and accepting the required number of visits. Key
strenghts were high staff retention and fidelity to the intervention. This
community-based intervention was an acceptable and feasible approach to reduce
virological failure among children living with HIV. TRIAL REGISTRATION: The
ZENITH trial was registered on 25 October 2012 in the Pan African Clinical Trials
Registry under the trial registration number PACTR201212000442288 . It can be
found at
http://www.pactr.org/ATMWeb/appmanager/atm/atmregistry?dar=true&tNo=PACTR20121200
442288 .
PMID- 29792231
TI - High burden of birthweight-lowering genetic variants in Africans and Asians.
AB - BACKGROUND: Birthweight is an important predictor of infant morbidity and
mortality, and is associated with cardiovascular diseases, obesity, and diabetes
in childhood and adulthood. Birthweight and fetal growth show regional and
population variations even under similar maternal conditions, and a large
proportion of these differences are not explained by environmental factors.
Whether and to what extent population genetic variations at key birthweight
associated loci account for the residual birthweight disparities not explained by
environmental determinants is unknown. We hypothesized that the cumulative burden
of genetic variants with a birthweight-lowering effect (GRB) is different among
ancestrally diverse populations. METHODS: Genotype data were extracted from phase
3 of the 1000 Genomes Project for 2504 participants from 26 global populations
grouped into five super-populations. GRB was calculated in offspring as the
weighted sum of the number of birthweight-lowering genetic variants of 59
autosomal single-nucleotide polymorphisms associated with birthweight, and
comparisons were made between Europeans and non-Europeans. RESULTS: GRB was
significantly higher in Africans (mean difference 3.15; 95% confidence interval
2.64, 3.66), admixed Americans (3.02; 2.34, 3.70), East Asians (2.85; 2.29,
3.41), and South Asians (1.07; 0.49, 1.65) compared to Europeans. Birthweight
lowering genetic variants in Africans and East Asians were enriched for rare and
frequency-fixed alleles (P < 0.001). African and Asian populations had the
greatest deviation from the expectation of the common disease-common variant
hyothesis. Compared to Europeans, the GRB of ancestral alleles was significantly
higher and that of derived alleles was significantly lower in non-Europeans (P <
0.001). CONCLUSIONS: The burden of birthweight-lowering genetic variants is
higher in Africans and East Asians. This finding is consistent with the high
incidence of low birthweight in the two populations. The genetic variants we
studied may not be causal and the extent to which they tag the causal variants in
non-Europeans is unknown; however, our findings highlight that genetic variations
contribute to population differences in birthweight.
PMID- 29792234
TI - Long-term outcomes of acute treatment with cognitive therapy v. interpersonal
psychotherapy for adult depression: follow-up of a randomized controlled trial.
AB - BACKGROUND: Although equally efficacious in the acute phase, it is not known how
cognitive therapy (CT) and interpersonal psychotherapy (IPT) for major depressive
disorder (MDD) compare in the long run. This study examined the long-term
outcomes of CT v. IPT for MDD. METHODS: One hundred thirty-four adult (18-65)
depressed outpatients who were treated with CT (n = 69) or IPT (n = 65) in a
large open-label randomized controlled trial (parallel group design; computer
generated block randomization) were monitored across a 17-month follow-up phase.
Mixed regression was used to determine the course of self-reported depressive
symptom severity (Beck Depression Inventory II; BDI-II) after treatment
termination, and to test whether CT and IPT differed throughout the follow-up
phase. Analyses were conducted for the total sample (n = 134) and for the
subsample of treatment responders (n = 85). Furthermore, for treatment
responders, rates of relapse and sustained response were examined for self
reported (BDI-II) and clinician-rated (Longitudinal Interval Follow-up
Evaluation; LIFE) depression using Cox regression. RESULTS: On average, the
symptom reduction achieved during the 7-month treatment phase was maintained
across follow-up (7-24 months) for CT and IPT, both in the total sample and in
the responder sample. Two-thirds (67%) of the treatment responders did not
relapse across the follow-up period on the BDI-II. Relapse rates assessed with
the LIFE were somewhat lower. No differential effects between conditions were
found. CONCLUSIONS: Patients who responded to IPT were no more likely to relapse
following treatment termination than patients who responded to CT. Given that CT
appears to have a prophylactic effect following successful treatment, our
findings suggest that IPT might have a prophylactic effect as well.
PMID- 29792232
TI - Effect of postoperative goal-directed therapy in cancer patients undergoing high
risk surgery: a randomized clinical trial and meta-analysis.
AB - BACKGROUND: Perioperative goal-directed hemodynamic therapy (GDHT) has been
advocated in high-risk patients undergoing noncardiac surgery to reduce
postoperative morbidity and mortality. We hypothesized that using cardiac index
(CI)-guided GDHT in the postoperative period for patients undergoing high-risk
surgery for cancer treatment would reduce 30-day mortality and postoperative
complications. METHODS: A randomized, parallel-group, superiority trial was
performed in a tertiary oncology hospital. All adult patients undergoing high
risk cancer surgery who required intensive care unit admission were randomly
allocated to a CI-guided GDHT group or to a usual care group. In the GDHT group,
postoperative therapy aimed at CI >= 2.5 L/min/m2 using fluids, inotropes and red
blood cells during the first 8 postoperative hours. The primary outcome was a
composite endpoint of 30-day all-cause mortality and severe postoperative
complications during the hospital stay. A meta-analysis was also conducted
including all randomized trials of postoperative GDHT published from 1966 to May
2017. RESULTS: A total of 128 patients (64 in each group) were randomized. The
primary outcome occurred in 34 patients of the GDHT group and in 28 patients of
the usual care group (53.1% vs 43.8%, absolute difference 9.4 (95% CI, - 7.8 to
25.8); p = 0.3). During the 8-h intervention period more patients in the GDHT
group received dobutamine when compared to the usual care group (55% vs 16%, p <
0.001). A meta-analysis of nine randomized trials showed no differences in
postoperative mortality (risk ratio 0.85, 95% CI 0.59-1.23; p = 0.4; p for
heterogeneity = 0.7; I2 = 0%) and in the overall complications rate (risk ratio
0.88, 95% CI 0.71-1.08; p = 0.2; p for heterogeneity = 0.07; I2 = 48%), but a
reduced hospital length of stay in the GDHT group (mean difference (MD) - 1.6;
95% CI - 2.75 to - 0.46; p = 0.006; p for heterogeneity = 0.002; I2 = 74%).
CONCLUSIONS: CI-guided hemodynamic therapy in the first 8 postoperative hours
does not reduce 30-day mortality and severe complications during hospital stay
when compared to usual care in cancer patients undergoing high-risk surgery.
TRIAL REGISTRATION: www.clinicaltrials.gov , NCT01946269 . Registered on 16
September 2013.
PMID- 29792235
TI - The PERSONS score for symptoms assessment in simultaneous care setting: A pilot
study.
AB - One of the first steps to early integrate palliative care into oncology practice
is a timely and efficient evaluation of symptoms (Bakitas et al., 2015; Davis et
al., 2015; Temel et al., 2010). In a recent position paper, the Italian
Association of Medical Oncology tells oncologists that they "must be able to
prevent, recognize, measure, and treat all cancer-related symptoms" (Zagonel et
al., 2017). Major international scientific societies such as the American Society
of Clinical Oncology and the European Society of Medical Oncology have often
defined the key role of symptoms evaluation and management to force the
integration of palliative care into oncology (Davis et al., 2015; Ferrel et al.,
2017). Nevertheless, a recent survey conducted by the Italian Association of
Medical Oncology shows that only 20% of oncologists regularly uses valid tools to
evaluate symptoms, 45% exclusively use them in the context of clinical trials,
30% use them only occasionally, and 5% never use them (Zagonel et al., 2016).
PMID- 29792236
TI - Evaluation of Gamma Radiation-Induced Biochemical Changes in Skin for Dose
Assesment: A Study on Small Experimental Animals.
AB - OBJECTIVE: Researchers have been evaluating several approaches to assess acute
radiation injury/toxicity markers owing to radiation exposure. Keeping in mind
this background, we assumed that whole-body irradiation in single fraction in
graded doses can affect the antioxidant profile in skin that could be used as an
acute radiation injury/toxicity marker. METHODS: Sprague-Dawley rats were treated
with CO-60 gamma radiation (dose: 1-5 Gy; dose rate: 0.85 Gy/minute). Skin
samples were collected (before and after radiation up to 72 hours) and analyzed
for glutathione (GSH), glutathione peroxidase (GPx), superoxide dismutase (SOD),
catalase (CAT), and lipid peroxidation (LPx). RESULTS: Intra-group comparison
showed significant differences in GSH, GPx, SOD, and CAT, and they declined in a
dose-dependent manner from 1 to 5 Gy (P value0.05). CONCLUSIONS: This study
suggests that skin antioxidants were sensitive toward radiation even at a low
radiation dose, which can be used as a predictor of radiation injury and altered
in a dose-dependent manner. These biochemical parameters may have wider
application in the evaluation of radiation-induced skin injury and dose
assessment. (Disaster Med Public Health Preparedness. 2018;page 1 of 6).
PMID- 29792233
TI - Antibiotic resistance and molecular characterization of diarrheagenic Escherichia
coli and non-typhoidal Salmonella strains isolated from infections in Southwest
China.
AB - BACKGROUND: Bacterial diarrhea is one of the most common causes for medical
consultations, mortality and morbidity in the world. Diarrheagenic Escherichia
coli (DEC) and non-typhoidal Salmonella (NTS) are major intestinal pathogens in
developing countries, and the indiscriminate use of antibiotics has greatly
contributed to resistant strains. Hence, the aim of the present study is to
identify the antimicrobial resistance patterns and the molecular characteristics
of DEC and NTS in southwest, China. METHODS: 1121 diarrheal patients and 319 non
diarrheal subjects across all age groups were recruited from four sentinel
hospitals from June 2014 to July 2015 in Kunming City, Yunnan Province. Each
stool specimen was collected to detect DEC and NTS with standard microbiological
and molecular methods. Antimicrobial resistance testing was performed with the
Kirby-Bauer disk diffusion method, and the standards for antimicrobial
susceptibility testing complied with the Clinical and Laboratory Standards
Institute (CLSI). Molecular characterization of strains was carried out using
pulsed-field gel electrophoresis (PFGE). A structured questionnaire was used to
record basic epidemiological data (e.g. sex, age, residence, season, etc.). Data
were analyzed using Chi-square or Fisher's exact test. RESULTS: DEC was detected
in 127 (11.33%) diarrhea cases and 9 (2.82%) non-diarrheal cases (chi2 = 20.69, P
< 0.001, OR = 4.36, 95% CI: 2.19-8.65), and the prevalence of NTS isolated from
diarrhea cases was higher than that of non-diarrheal cases across all age groups
(n = 42, 3.75%, n = 1, 0.31%, chi2 = 10.10, P = 0.002, OR = 12.38, 95% CI: 1.70
90.29). The rates of resistance to ten antibiotics of DEC and NTS showed
significant differences (chi 2 = 386.77, P < 0.001; chi2 = 191.16, P < 0.001).
The rates of resistance to Amoxicillin and Clavulafiate (AMC), Cephalothin (CEP),
Gentamicin (GEN) and Sulfamethoxazole-Trimethoprim (SXT) of DEC isolated from
diarrhea cases were higher than those of NTS isolated from diarrhea patients
(37.01% vs 14.29%, chi2 = 7.57, P = 0.006; 29.92% vs 11.90%, chi2 = 5.40, P =
0.02; 37.01% vs 11.90%, chi2 = 5.80, P = 0.016; 62.20% vs 26.19%, chi2 = 16.44, P
< 0.001; respectively). Ciprofloxacin (CIP) was the most sensitive antibiotic for
DEC and NTS strains isolated from diarrhea cases. Resistance rates of DEC
isolates from cases and controls to more than three kinds antimicrobials
(multidrug resistance, MDR) showed no significant differences (81.10% vs 88.89%,
P = 0.33). Pulsotype patterns of DEC strains were highly diverse; however, the
pulsotype pattern of NTS strains was closely related to the serotype. The pattern
of S. enteritidis was highly similar, but the S. enterica Typhimurium strain was
discrete. CONCLUSIONS: Antibiotic resistance of Enterobacteriaceae is of great
concern. The societal effects of antibiotic use justify strict monitoring to
combat increases in antimicrobial resistance. Molecular epidemiology and
systematic epidemiological investigation can provide accurate evidence for
tracking the infection source.
PMID- 29792237
TI - According to mitochondrial DNA evidence, Parascaris equorum and Parascaris
univalens may represent the same species.
AB - Parascarosis is caused mainly by parasitic infections with Parascaris equorum and
Parascaris univalens, the most common ascarid nematodes, in the small intestine
of equines. Parascarosis often causes severe illness and even death in foals and
yearlings. In this study, we obtained the complete sequence of the P. equorum
mitochondrial (mt) genome and compared its organization and structure with that
of P. equorum Japan isolate (nearly complete), and the complete mtDNA sequences
of P. univalens Switzerland and USA isolates. The complete mtDNA genome of P.
equorum China isolate is 13,899 base pairs (bp), making it the smallest of the
four genomes. All four Parascaris mt genomes are circular, and all genes are
transcribed in the same direction. The P. equorum mtDNA genome consists of 12
protein-coding genes, two ribosomal RNA genes, 22 transfer (t) RNA genes and one
non-coding region, which is consistent with P. equorum Japan isolate and P.
univalens Switzerland isolate but distinct from P. univalens USA isolate, which
has 20 tRNA genes. Differences in nucleotide sequences of the four entire mt
genomes range from 0.1-0.9%, and differences in total amino acid sequences of
protein-coding genes are 0.2-2.1%. Phylogenetic analyses showed that the four
Parascaris species clustered in a clade, indicating that P. equorum and P.
univalens are very closely related. These mt genome datasets provide genetic
evidence that P. equorum and P. univalens may represent the same species, which
will be of use in further studies of the taxonomy, systematics and population
genetics of ascarids and other nematodes.
PMID- 29792239
TI - Screening for delirium with the Intensive Care Delirium Screening Checklist
(ICDSC): Symptom profile and utility of individual items in the identification of
delirium dependent on the level of sedation.
AB - OBJECTIVE: The importance of the proper identification of delirium, with its high
incidence and adversities in the intensive care setting, has been widely
recognized. One common screening instrument is the Intensive Care Delirium
Screening Checklist (ICDSC); however, the symptom profile and key features of
delirium dependent on the level of sedation have not yet been evaluated. METHOD:
In this prospective cohort study, the ICDSC was evaluated versus the Diagnostic
and Statistical Manual, 4th edition, text revision, diagnosis of delirium set as
standard with respect to the symptom profile, and correct identification of
delirium. The aim of this study was to identify key features of delirium in the
intensive care setting dependent on the Richmond Agitation and Sedation Scale
levels of sedation: drowsiness versus alert and calmness.ResultThe 88 delirious
patients of 225 were older, had more severe disease, and prolonged
hospitalization. Irrespective of the level of sedation, delirium was correctly
classified by items related to inattention, disorientation, psychomotor
alterations, inappropriate speech or mood, and symptom fluctuation. In the drowsy
patients, inattention reached substantial sensitivity and specificity, whereas
psychomotor alterations and sleep-wake cycle disturbances were sensitive lacked
specificity. The positive prediction was substantial across items, whereas the
negative prediction was only moderate. In the alert and calm patient, the
sensitivities were substantial for psychomotor alterations, sleep-wake cycle
disturbances, and symptom fluctuations; however, these fluctuations were not
specific. The positive prediction was moderate and the negative prediction
substantial. Between the nondelirious drowsy and alert, the symptom profile was
similar; however, drowsiness was associated with alterations in
consciousness.Significance of resultsIn the clinical routine, irrespective of the
level of sedation, delirium was characterized by the ICDSC items for inattention,
disorientation, psychomotor alterations, inappropriate speech or mood and symptom
fluctuation. Further, drowsiness caused altered levels of consciousness.
PMID- 29792238
TI - Mapping the neuroanatomic substrates of cognition in familial attention deficit
hyperactivity disorder.
AB - BACKGROUND: While the neuroanatomic substrates of symptoms of attention deficit
hyperactivity disorder (ADHD) have been investigated, less is known about the
neuroanatomic correlates of cognitive abilities pertinent to the disorder,
particularly in adults. Here we define the neuroanatomic correlates of key
cognitive abilities and determine if there are associations with histories of
psychostimulant medication. METHODS: We acquired neuroanatomic magnetic resonance
imaging data from 264 members of 60 families (mean age 29.5; s.d. 18.4, 116 with
ADHD). Using linear mixed model regression, we tested for associations between
cognitive abilities (working memory, information processing, intelligence, and
attention), symptoms and both cortical and subcortical volumes. RESULTS: Symptom
severity was associated with spatial working memory (t = -3.77, p = 0.0002),
processing speed (t = -2.95, p = 0.004) and a measure of impulsive responding (t
= 2.19, p = 0.03); these associations did not vary with age (all p > 0.1).
Neuroanatomic associations of cognition varied by task but centered on
prefrontal, lateral parietal and temporal cortical regions, the thalamus and
putamen. The neuroanatomic correlates of ADHD symptoms overlapped significantly
with those of working memory (Dice's overlap coefficient: spatial, p = 0.003;
verbal, p = 0.001) and information processing (p = 0.02). Psychostimulant
medication history was associated with neither cognitive skills nor with a brain
cognition relationships. CONCLUSIONS: Diagnostic differences in the cognitive
profile of ADHD does not vary significantly with age; nor were cognitive
differences associated with psychostimulant medication history. The neuroanatomic
substrates of working memory and information overlapped with those for symptoms
within these extended families, consistent with a pathophysiological role for
these cognitive skills in familial ADHD.
PMID- 29792240
TI - Posttraumatic Stress, Depression, and Coping Following the 2015 Nepal Earthquake:
A Study on Adolescents.
AB - OBJECTIVE: The study aimed to gather data on posttraumatic stress and depression
in adolescents following the 2015 Nepal earthquake and explore the adolescents'
coping strategies. METHODS: In a questionnaire-based, cross-sectional study about
1 year after the earthquake, adolescents in two districts with different degrees
of impact were evaluated for disaster experience, coping strategies, and symptoms
of posttraumatic stress and depression measured with the Child Posttraumatic
Stress Scale and the Depression Self Rating Scale. RESULTS: In the studied sample
(N=409), the estimated prevalence of posttraumatic stress disorder (PTSD) (43.3%)
and depression (38.1%) was considerable. Prevalence of PTSD was significantly
higher in the more affected area (49.0% v 37.9%); however, the prevalence figures
were comparable in adolescents who reported a stress. The prevalence of
depression was comparable. Female gender, joint family, financial problems,
displacement, injury or being trapped in the earthquake, damage to livelihood,
and fear of death were significantly associated with a probable PTSD diagnosis.
Various coping strategies were used: talking to others, praying, helping others,
hoping for the best, and some activities were common. Drug abuse was rare. Most
of the coping strategies were comparable among the clinical groups. CONCLUSIONS:
A considerable proportion of adolescents had posttraumatic stress and depression
1 year after the earthquake. There is a need for clinical interventions and
follow-up studies regarding the outcome. Disaster Med Public Health Preparedness.
2018;page 1 of 7.
PMID- 29792241
TI - Efficacy of digital CBT for insomnia to reduce depression across demographic
groups: a randomized trial.
AB - BACKGROUND: Insomnia and depression are highly comorbid and mutually exacerbate
clinical trajectories and outcomes. Cognitive behavioral therapy for insomnia
(CBT-I) effectively reduces both insomnia and depression severity, and can be
delivered digitally. This could substantially increase the accessibility to CBT
I, which could reduce the health disparities related to insomnia; however, the
efficacy of digital CBT-I (dCBT-I) across a range of demographic groups has not
yet been adequately examined. This randomized placebo-controlled trial examined
the efficacy of dCBT-I in reducing both insomnia and depression across a wide
range of demographic groups. METHODS: Of 1358 individuals with insomnia
randomized, a final sample of 358 were retained in the dCBT-I condition and 300
in the online sleep education condition. Severity of insomnia and depression was
examined as a dependent variable. Race, socioeconomic status (SES; household
income and education), gender, and age were also tested as independent moderators
of treatment effects. RESULTS: The dCBT-I condition yielded greater reductions in
both insomnia and depression severity than sleep education, with significantly
higher rates of remission following treatment. Demographic variables (i.e.
income, race, sex, age, education) were not significant moderators of the
treatment effects, suggesting that dCBT-I is comparably efficacious across a wide
range of demographic groups. Furthermore, while differences in attrition were
found based on SES, attrition did not differ between white and black
participants. CONCLUSIONS: Results provide evidence that the wide dissemination
of dCBT-I may effectively target both insomnia and comorbid depression across a
wide spectrum of the population.
PMID- 29792242
TI - Testing a stepped care model for binge-eating disorder: a two-step randomized
controlled trial.
AB - BACKGROUND: A stepped care approach involves patients first receiving low
intensity treatment followed by higher intensity treatment. This two-step
randomized controlled trial investigated the efficacy of a sequential stepped
care approach for the psychological treatment of binge-eating disorder (BED).
METHODS: In the first step, all participants with BED (n = 135) received unguided
self-help (USH) based on a cognitive-behavioral therapy model. In the second
step, participants who remained in the trial were randomized either to 16 weeks
of group psychodynamic-interpersonal psychotherapy (GPIP) (n = 39) or to a no
treatment control condition (n = 46). Outcomes were assessed for USH in step 1,
and then for step 2 up to 6-months post-treatment using multilevel regression
slope discontinuity models. RESULTS: In the first step, USH resulted in large and
statistically significant reductions in the frequency of binge eating.
Statistically significant moderate to large reductions in eating disorder
cognitions were also noted. In the second step, there was no difference in change
in frequency of binge eating between GPIP and the control condition. Compared
with controls, GPIP resulted in significant and large improvement in attachment
avoidance and interpersonal problems. CONCLUSIONS: The findings indicated that a
second step of a stepped care approach did not significantly reduce binge-eating
symptoms beyond the effects of USH alone. The study provided some evidence for
the second step potentially to reduce factors known to maintain binge eating in
the long run, such as attachment avoidance and interpersonal problems.
PMID- 29792244
TI - Affective problems and decline in cognitive state in older adults: a systematic
review and meta-analysis.
AB - Evidence suggests that affective problems, such as depression and anxiety,
increase risk for late-life dementia. However, the extent to which affective
problems influence cognitive decline, even many years prior to clinical diagnosis
of dementia, is not clear. The present study systematically reviews and
synthesises the evidence for the association between affective problems and
decline in cognitive state (i.e., decline in non-specific cognitive function) in
older adults. An electronic search of PubMed, PsycInfo, Cochrane, and
ScienceDirect was conducted to identify studies of the association between
depression and anxiety separately and decline in cognitive state. Key inclusion
criteria were prospective, longitudinal designs with a minimum follow-up period
of 1 year. Data extraction and methodological quality assessment using the STROBE
checklist were conducted independently by two raters. A total of 34 studies (n =
71 244) met eligibility criteria, with 32 studies measuring depression (n = 68
793), and five measuring anxiety (n = 4698). A multi-level meta-analysis revealed
that depression assessed as a binary predictor (OR 1.36, 95% CI 1.05-1.76, p =
0.02) or a continuous predictor (B = -0.008, 95% CI -0.015 to -0.002, p = 0.012;
OR 0.992, 95% CI 0.985-0.998) was significantly associated with decline in
cognitive state. The number of anxiety studies was insufficient for meta
analysis, and they are described in a narrative review. Results of the present
study improve current understanding of the temporal nature of the association
between affective problems and decline in cognitive state. They also suggest that
cognitive function may need to be monitored closely in individuals with affective
disorders, as these individuals may be at particular risk of greater cognitive
decline.
PMID- 29792245
TI - The over-35s: early intervention in psychosis services entering uncharted
territory.
AB - : Aims and methodFollowing the introduction of new standards for early
intervention in psychosis (EIP) in England, EIP services are accepting referrals
for people aged 35-65. The Cambridgeshire and Peterborough EIP service (CAMEO)
aimed to explore the immediate implications for the service, especially with
regards to referral numbers and sources. Data were collected from April 2016 to
June 2017. RESULTS: Referrals for over-35s represented 25.7% of all new
referrals. Fifty per cent of referrals for over-35s were accepted onto caseload
(40.2% for under-35s). The over-35s were more likely to be referred from acute
and secondary mental health services (P < 0.01) and had longer durations of
untreated psychosis than the under-35s (P = 0.02).Clinical implicationsCAMEO has
received a significantly higher number of referrals as a result of age
inclusivity, with an increased proportion of patients suffering from severe,
acute psychotic presentations and existing mental health difficulties. This has
implications for service planning.Declaration of interestNone.
PMID- 29792243
TI - Understanding the science of portion control and the art of downsizing.
AB - Offering large portions of high-energy-dense (HED) foods increases overall intake
in children and adults. This is known as the portion size effect (PSE). It is
robust, reliable and enduring. Over time, the PSE may facilitate overeating and
ultimately positive energy balance. Therefore, it is important to understand what
drives the PSE and what might be done to counter the effects of an environment
promoting large portions, especially in children. Explanations for the PSE are
many and diverse, ranging from consumer error in estimating portion size to
simple heuristics such as cleaning the plate or eating in accordance with
consumption norms. However, individual characteristics and hedonic processes
influence the PSE, suggesting a more complex explanation than error or
heuristics. Here PSE studies are reviewed to identify interventions that can be
used to downsize portions of HED foods, with a focus on children who are still
learning about social norms for portion size. Although the scientific evidence
for the PSE is robust, there is still a need for creative downsizing solutions to
facilitate portion control as children and adolescents establish their eating
habits.
PMID- 29792246
TI - Servants of the dying: How The Death of Ivan Ilyich informs hospice care today.
PMID- 29792247
TI - Spontaneous Version of Fetal Presentation in Twin Pregnancies During Third
Trimester: Longitudinal Assessment.
AB - Little is known about longitudinal changes of the first twin presentation in twin
gestations. This is a retrospective cohort study including 411 women who were
admitted consecutively and delivered live-born twins at 36 weeks of gestation or
more. Longitudinal assessment of the first twin presentation was conducted during
gestation and at birth in all cases. Gestational age at antenatal assessment was
divided into two intervals: early-third trimester (28-31 weeks) and mid-third
trimester (32-35 weeks). Fetal presentation was categorized as vertex or non
vertex. We analyzed change of fetal presentation between antepartum intervals and
birth. First twin presentation at early-third trimester had the same presentation
at birth in 87.6% (360/411) of the study population. In this 'no change' group,
vertex presentation was seen in 95.6% (283/296) and non-vertex was seen in 67.0%
(77/115) of cases. In total, 96.1% (395/411) of the study population maintained
their presentation between mid-third trimester and birth. Vertex presentation was
seen in 98.4% (310/315) and non-vertex was seen in 88.5% (85/96) of cases. When
comparing vertex with non-vertex, vertex presentation during third trimester was
a more reliable predictor of presentation at birth (p < .001). The only factor
that contributed significantly to spontaneous version of the first twin during
mid-third trimester and birth was a lower birth weight of the first twin compared
with the second twin. In conclusion, first twin presentation with vertex during
third trimester is not likely to change into non-vertex at birth. We concluded
that vertex presentation in twin gestations at early- and mid-third trimester is
very predictable. In contrast, a non-vertex first twin presentation is relatively
unstable.
PMID- 29792248
TI - Metataxonomic Analysis of Individuals at BMI Extremes and Monozygotic Twins
Discordant for BMI.
AB - OBJECTIVE: The human gut microbiota has been demonstrated to be associated with a
number of host phenotypes, including obesity and a number of obesity-associated
phenotypes. This study is aimed at further understanding and describing the
relationship between the gut microbiota and obesity-associated measurements
obtained from human participants. SUBJECTS/METHODS: Here, we utilize genetically
informative study designs, including a four-corners design (extremes of genetic
risk for BMI and of observed BMI; N = 50) and the BMI monozygotic (MZ) discordant
twin pair design (N = 30), in order to help delineate the role of host genetics
and the gut microbiota in the development of obesity. RESULTS: Our results
highlight a negative association between BMI and alpha diversity of the gut
microbiota. The low genetic risk/high BMI group of individuals had a lower gut
microbiota alpha diversity when compared to the other three groups. Although the
difference in alpha diversity between the lean and heavy groups of the BMI
discordant MZ twin design did not achieve significance, this difference was
observed to be in the expected direction, with the heavier participants having a
lower average alpha diversity. We have also identified nine OTUs observed to be
associated with either a leaner or heavier phenotype, with enrichment for OTUs
classified to the Ruminococcaceae and Oxalobacteraceae taxonomic families.
CONCLUSION: Our study presents evidence of a relationship between BMI and alpha
diversity of the gut microbiota. In addition to these findings, a number of OTUs
were found to be significantly associated with host BMI. These findings may
highlight separate subtypes of obesity, one driven by genetic factors, the other
more heavily influenced by environmental factors.
PMID- 29792251
TI - Claiming and Naming Your Seat at the Multiauthorship Table.
PMID- 29792252
TI - Resisting the Slow Undoing of Human Rights.
PMID- 29792253
TI - Genocide Rape Trauma: A Conceptual Framework for Understanding the Psychological
Suffering of Rwandan Survivors.
AB - In 1994, the Rwandan genocide claimed the lives of approximately 1 million Tutsi
and moderate Hutu citizens. Systematic rape was a strategic component of the Hutu
extremist plan to eradicate the Tutsi minority population. This involved
collective and repeated sexual assaults with brutal violence, public humiliation,
and torture. This article maps the ongoing psychological impact on Rwandan
genocide rape survivors and identifies implications for international nursing
practice. The research formalizes their narratives, identifying a number of
interconnected elements that combine to produce myriad forms of chronic
psychological suffering in the Rwandan context. This work in turn reveals the
specific needs of these survivors that may be addressed by nursing. It allows
nurses, as experts in managing the human responses to health and illness, to
develop a more complete understanding of psychological suffering as it pertains
to vulnerable populations during and in the wake of extreme social conflict. This
clarifies the roles of nurse educators, clinicians, and policy advocates as key
agents in providing genocide rape survivors with the resources and expertise
needed to effectively manage their ongoing trauma.
PMID- 29792254
TI - Introduction of New Theory for Hand Hygiene Surveillance: Healthcare Environment
Theory.
AB - BACKGROUND AND PURPOSE: The purpose of this article is to introduce a theoretical
foundation, the healthcare environment theory (HET), tested in a quantitative,
cross-sectional, overt observational study measuring the association of
demographic variables with consistent hand hygiene compliance of the ICU nurse.
METHODS: Six environments found in a hospital ICU setting (family, church, work,
administration, community, and culture) work bi-directionally to influence and be
influenced by the nurse, simultaneously influencing each of the other
environments in a multidirectional manner. The HET was used as the theoretical
foundation for a study, which included a convenience sample of registered nurses
(RNs) from five ICUs (64 participating RNs) in four hospitals in Texas who were
observed for a total of 18 days (144 hours). The desired sample size of 613 hand
hygiene opportunities for each ICU was obtained in 3 days of observation at 3
ICUs, 4 days in one ICU, and 5 days in one ICU. The six environments were used to
support the results observed. RESULTS: Through the variables of age and having
children, hand hygiene rates were influenced by the family environment. Community
environment was associated with a change in hand hygiene behavior in hospital
hand hygiene rates in regards to age of the nurse. Younger nurses had higher hand
hygiene compliance rates than older nurses. IMPLICATIONS FOR PRACTICE: The
different hospital environments surrounding the nurse can be used to explain hand
hygiene compliance rates in association with demographic variables.
PMID- 29792255
TI - Effectiveness of Adolescent Peer Education Programs on Reducing HIV/STI Risk: An
Integrated Review.
AB - There is empirical evidence suggesting that peer education is efficacious in
changing adolescent sexual risk behaviors; however, it is unclear if there are
similarities in outcomes across studies. Thus, the purpose of this review was to
synthesize published research on peer-led HIV/STI intervention programs for
adolescents in an effort to determine the efficacy of peer education on
knowledge, attitudes, normative beliefs, and sexual behavior. Data collection
included online searches of published research from Index Medicus (Medline),
Cumulative Index to Nursing and Allied Health Literature (CINAHL), Educational
Resources Information Center (ERIC), Educational Index, and PsycINFO (including
PsycARTICLES) from 2000 to 2016. Twenty-four quantitative and six qualitative
studies were included in the review. There appears to be evidence of the
effectiveness of adolescent peer-led HIV education programs on knowledge,
attitudes, normative beliefs, and self-efficacy. However, the studies reviewed
were equivocal on changes in sexual behavior. The findings of the
qualitative/process studies demonstrated perceived program efficacy among staff
and peer educators. Peer educators and students placed a high value on peer-led
programs. Mediators and/or covariates such as culture, gender, sexual experience,
and age may impact findings of adolescent peer intervention studies; therefore,
these factors need to be considered when implementing and evaluating peer
education programs. Peer education should also focus on variables that were
gleaned from qualitative studies, such as the role of the community and
altruistic roles.
PMID- 29792256
TI - Uncaring Nurses: Mobilizing Power, Knowledge, Difference, and Resistance to
Explain Workplace Violence in Academia.
AB - BACKGROUND AND PURPOSE: Violence among nurses and in nursing academia is a
significant issue, with attention increasingly focused on damage resulting from
psychological violence, such as bullying, harassment, aggression, and incivility.
Each workplace's interpretation of violence will impact individual behavior
within the organization. Organizational and environmental factors can contribute
to violent behaviors becoming normalized in the workplace. When violent behaviors
go unconstrained, they become imbedded within the workplace culture. An increased
understanding of workplace culture is required to address workplace violence. The
purpose of this article is to demonstrate how the use of this theoretical
framework can provide greater understanding of the role of workplace culture in
sustaining violent behaviors in nursing academia. METHODS: The theoretical
perspectives of Gail Mason on interpersonal violence and Michel Foucault on power
were utilized to inform the research process and guide data analysis. RESULTS:
The framework makes possible the exposure of a dominant discourse perpetuating
violence in nursing academia. Power and violence were found to work together to
shape knowledge and influence group norms and behaviors. IMPLICATIONS FOR
PRACTICE: The framework is useful in providing greater understanding of how the
concepts of power, knowledge, difference, and resistance support the enactment of
workplace violence. Investigating the influence of these concepts in the
development of accepted practices and discourses may allow greater insight into
ways violence and power are used to negotiate and enforce organizational rules
and norms.
PMID- 29792257
TI - A Critique of the Shannon-Weaver Theory of Communication and Its Implications for
Nursing.
AB - With the Joint Commission's revelation that as much as 65%-70% of the time
miscommunication has been discovered to be the root cause of many sentinel
events, it may be time to examine a communication theory to support practice
improvement efforts. Effective communication has been shown to decrease medical
errors, improve patient satisfaction, and increase adherence to treatment plans
leading to better health outcomes; yet very few studies have examined
communication theories and their applicability to practice. This article will
examine the Shannon-Weaver Communication Theory as one theory that may support
future studies examining the teach-back communication method as a means to
improving patient health literacy leading to improvements in clinical practice.
PMID- 29792258
TI - Nurses' and Patients' Perceptions of the Quality of Psychiatric Nursing Care in
Jordan.
AB - BACKGROUND: The quality of care provided for patients in mental health-care
facilities remains a challenge for health-care providers in general and for
nurses in particular. Identifying the level of quality of care provided for
patients in mental health-care facilities as perceived by nurses and patients may
help health-care providers improve the quality of care and improve patients'
outcomes. OBJECTIVES: The purpose of the study was to assess the perceptions of
nurses and patients of the quality of nursing care, and explore the differences
in their perceptions of quality of care in mental health-care facilities in
Jordan. METHODS: A cross-sectional study was conducted using two convenience
samples of 123 nurses and 150 patients. The nurses completed the Karen-personnel
instrument, a self-administered questionnaire; One hundred and fifty patients
from several mental health-care facilities in Jordan were interviewed using the
Karen-patient instrument. The interviews were structured. RESULTS: Sixtyfour
percent of nurses rated the quality of psychiatric nursing care as satisfactory,
and 47.6% of patients perceived the quality of nursing care as satisfactory. Male
nurses, who attended courses in mental health nursing, chose to work in a
psychiatric unit, committed to work in the future in a psychiatric unit, and were
providing indirect care have significantly higher perception of quality of
psychiatric nursing care than their counterparts. Patients in military hospitals
have significantly higher perception of quality of psychiatric nursing care than
those in governmental ones (p < .05). IMPLICATIONS FOR PRACTICE: To improve the
quality of care in psychiatric units, patients and nurses need to be educated
about the quality indicators set by Joint Commission international standards
related to mental health-care facilities.
PMID- 29792259
TI - Going digital: a checklist in preparing for hospital-wide electronic medical
record implementation and digital transformation.
AB - Objective In an era of rapid digitisation of Australian hospitals, practical
guidance is needed in how to successfully implement electronic medical records
(EMRs) as both a technical innovation and a major transformative change in
clinical care. The aim of the present study was to develop a checklist that
clearly and comprehensively defines the steps that best prepare hospitals for EMR
implementation and digital transformation.Methods The checklist was developed
using a formal methodological framework comprised of: literature reviews of
relevant issues; an interactive workshop involving a multidisciplinary group of
digital leads from Queensland hospitals; a draft document based on literature and
workshop proceedings; and a review and feedback from senior clinical
leads.Results The final checklist comprised 19 questions, 13 related to EMR
implementation and six to digital transformation. Questions related to the former
included organisational considerations (leadership, governance, change leaders,
implementation plan), technical considerations (vendor choice, information
technology and project management teams, system and hardware alignment with
clinician workflows, interoperability with legacy systems) and training (user
training, post-go-live contingency plans, roll-out sequence, staff support at
point of care). Questions related to digital transformation included cultural
considerations (clinically focused vision statement and communication strategy,
readiness for change surveys), management of digital disruption syndromes and
plans for further improvement in patient care (post-go-live optimisation of
digital system, quality and benefit evaluation, ongoing digital
innovation).Conclusion This evidence-based, field-tested checklist provides
guidance to hospitals planning EMR implementation and separates readiness for EMR
from readiness for digital transformation.What is known about the topic? Many
hospitals throughout Australia have implemented, or are planning to implement,
hospital wide electronic medical records (EMRs) with varying degrees of
functionality. Few hospitals have implemented a complete end-to-end digital
system with the ability to bring about major transformation in clinical care.
Although the many challenges in implementing EMRs have been well documented, they
have not been incorporated into an evidence-based, field-tested checklist that
can practically assist hospitals in preparing for EMR implementation as both a
technical innovation and a vehicle for major digital transformation of care.What
does this paper add? This paper outlines a 19-question checklist that was
developed using a formal methodological framework comprising literature review of
relevant issues, proceedings from an interactive workshop involving a
multidisciplinary group of digital leads from hospitals throughout Queensland,
including three hospitals undertaking EMR implementation and one hospital with
complete end-to-end EMR, and review of a draft checklist by senior clinical leads
within a statewide digital healthcare improvement network. The checklist
distinguishes between issues pertaining to EMR as a technical innovation and EMR
as a vehicle for digital transformation of patient care.What are the implications
for practitioners? Successful implementation of a hospital-wide EMR requires
senior managers, clinical leads, information technology teams and project
management teams to fully address key operational and strategic issues. Using an
issues checklist may help prevent any one issue being inadvertently overlooked or
underemphasised in the planning and implementation stages, and ensure the EMR is
fully adopted and optimally used by clinician users in an ongoing digital
transformation of care.
PMID- 29792260
TI - Widening participation of Maori and Pasifika students in health careers:
evaluation of two health science academies.
AB - Objective The aim of the present study was to evaluate the short-term outcomes of
two health science academies established by a district health board in South
Auckland, New Zealand, to create a health workforce pipeline for local Maori and
Pasifika students.Methods A mixed-methods approach was used, involving background
discussions with key informants to generate an initial logic model of how the
academies work, followed by secondary analysis of students' records relating to
retention and academic achievement, a survey of senior academy students' interest
in particular health careers and face-to-face interviews and focus groups with
students, families and teachers.Results Academy students are collectively
achieving better academic results than their contemporaries, although selection
decisions are likely to contribute to these results. Academies are retaining
students, with over 70% of students transitioning from Year 11 to Years 12 and
13. Senior students are expressing long-term ambitions to work in the health
sector.Conclusions Health science academies show promise as an innovative
approach to supporting Maori and Pasifika students prepare for a career in the
health professions. Evaluating the long-term outcomes of the academies is
required to determine their contribution to addressing inequities in the local
health workforce.What is known about the topic? Despite progress in health
workforce participation for underrepresented indigenous and ethnic minority
groups in New Zealand, significant disparities persist. Within this context, a
workforce development pipeline that targets preparation of secondary school
students is recommended to address identified barriers in the pursuit of health
careers.What does this paper add? We provide an evaluation of an innovative
district health board initiative supporting high school students that is designed
to ensure their future workforce is responsive to the needs of the local
community.What are the implications for practitioners? The findings have
implications for decision makers in health workforce planning regarding the
benefits of investing at an early stage of the workforce development pipeline in
order to build an equitable and diverse health professions workforce.
PMID- 29792263
TI - An update on the use of immunohistochemistry and molecular pathology in the
diagnosis of pre-invasive and malignant lesions in gynecological oncology.
AB - OBJECTIVE: One of the most common challenges in everyday clinical practice of
gynecological oncology is to identify the type and the primary origin of a tumor.
This is a crucial step in the management, treatment, prognosis, and survival of
patients suffering from a gynecological malignancy. Immunohistochemistry has been
widely adopted over the last three decades in pathology laboratories all over the
world. Recent advances in our understanding of the differentiation of
gynecological tumors based on immunohistochemical expression have resulted in use
of immunohistochemistry as a major diagnostic tool in gynecology, for precise
tumor classification. More recently, advances in molecular pathology, have taken
this disease sub-classification further resulting in more effective personalised
treatment regimens. The aim of this review is to provide clinicians with up to
date information on the various immunohistochemical and molecular tests used in
the diagnosis of gynecological malignancies of the female genital tract and an
understanding of how to interpret them. METHODS: We performed a review of the
current literature including review articles, original research articles, and
guidelines on various immunohistochemical markers and molecular techniques which
are used for the differential diagnosis of gynecologic malignancies. CONCLUSIONS:
Immunohistochemistry is useful as an objective means for improved diagnostic
reproducibility, accuracy, and precise classification in cases where the
diagnosis with histochemical stains is inconclusive, providing a more reliable
estimate of clinical outcomes. The diagnosis, in some cases, can be further
refined by the use of molecular techniques leading to personalised medical
treatments.
PMID- 29792264
TI - Paradoxical brain embolism shadowing massive pulmonary embolism.
AB - Patent foramen ovale is frequently observed in the general population. In case of
massive pulmonary embolism, the sudden increase in the right heart cavity's
pressure may cause a right-to-left shunting across this foramen, which could be
associated with conflicting outcomes. Herein, we report a case of reversible
cardiac arrest preceded by seizures, and followed by hemodynamic stability
without any vasopressor. A brain CT-scan showed a limited ischemic stroke.
Initial echocardiographic assessment revealed an acute cor pulmonale and a right
to-left intracardiac shunt across a large patent foramen ovale, suggesting the
diagnosis of massive pulmonary embolism that was lately confirmed by a
multidetector CT-angiography. Anticoagulation therapy was rapidly complicated by
a hemorrhagic transformation of the ischemic stroke leading to a fatal outcome.
This case illustrates the double-edged circulatory effect of shunting across a
patent foramen ovale in case of massive pulmonary embolism: it may have limited
circulatory failure but caused in the meanwhile a fatal paradoxical brain
embolism.
PMID- 29792262
TI - Chronic kidney disease as a cardiovascular risk factor: lessons from kidney
donors.
AB - Chronic kidney disease (CKD) is a major risk factor for cardiovascular disease
but is often associated with other risks such as diabetes and hypertension and
can be both a cause and an effect of cardiovascular disease. Although
epidemiologic data of an independent association of reduced glomerular filtration
rate with cardiovascular risk are strong, causative mechanisms are unclear.
Living kidney donors provide a useful model for assessing the "pure" effects of
reduced kidney function on the cardiovascular system. After nephrectomy, the
glomerular filtration rate ultimately falls by about one-third so many can be
classified as having chronic kidney disease stages 2 or 3. This prompts concern
based on the data showing an elevated cardiovascular risk with these stages of
chronic kidney disease. However, initial data suggested no increase in adverse
cardiovascular effects compared with control populations. Recent reports have
shown a possible late increase in cardiovascular event rates and an early
increase in left ventricular mass and markers of risk such as urate and
albuminuria. The long-term significance of these small changes is unknown. More
detailed and long-term research is needed to determine the natural history of
these changes and their clinical significance.
PMID- 29792261
TI - Structure-based analysis of CysZ-mediated cellular uptake of sulfate.
AB - Sulfur, most abundantly found in the environment as sulfate (SO42-), is an
essential element in metabolites required by all living cells, including amino
acids, co-factors and vitamins. However, current understanding of the cellular
delivery of SO42- at the molecular level is limited. CysZ has been described as a
SO42- permease, but its sequence family is without known structural precedent.
Based on crystallographic structure information, SO42- binding and flux
experiments, we provide insight into the molecular mechanism of CysZ-mediated
translocation of SO42- across membranes. CysZ structures from three different
bacterial species display a hitherto unknown fold and have subunits organized
with inverted transmembrane topology. CysZ from Pseudomonas denitrificans
assembles as a trimer of antiparallel dimers and the CysZ structures from two
other species recapitulate dimers from this assembly. Mutational studies
highlight the functional relevance of conserved CysZ residues.
PMID- 29792266
TI - Gone but not forgotten: resolution of childhood kidney disease and the risk of
end-stage kidney disease in adults.
PMID- 29792267
TI - Isotonic fluids for volume resuscitation: is it really 6 liters of one, half a
dozen of another?
PMID- 29792268
TI - Toward individual glomerular phenotyping: advent of precision medicine in kidney
biopsies.
AB - The road to precision medicine for nephrology is approaching quickly. In the
present volume, the glomerular proteome has now been characterized at a single
glomerulus level in mouse and human kidneys. Using the Single-Pot Solid-Phase
enhanced Sample Preparation (SP3) approach the authors demonstrated that LAMP1 is
a key lysosomal protein that is increased in glomerular diseases and may play a
pathogenic role.
PMID- 29792269
TI - PCSK9 inhibition: ready for prime time in CKD?
AB - Lowering LDL cholesterol reduces the risk of atherosclerotic vascular disease in
a wide range of patients with chronic kidney disease, with no evidence of a
threshold below which further reductions no longer reduce risk. Statins safely
lower LDL cholesterol, but novel inhibitors of proprotein convertase subtilisin
kexin 9 (PCSK9) provide additional reductions which may reduce atherosclerotic
vascular disease yet further in this high risk population.
PMID- 29792270
TI - "Biomarking" the transition from genetic risk to kidney disease.
AB - Only some individuals carrying the high-risk APOL1 genotype go on to develop
kidney disease phenotypes. In this issue of Kidney International, Nadkarni and
colleagues report the associations of several biomarkers with renal outcomes in
individuals with high-risk APOL1 genotypes. In the era of precision medicine,
these findings should translate into improved longitudinal risk assessment for
this high-risk population and might also provide additional insights regarding
sites and mechanisms of APOL1 nephropathy.
PMID- 29792271
TI - DNA methylation yields epigenetic clues into the diabetic nephropathy of Pima
Indians.
AB - Environmental factors drive epigenetic programming. DNA methylation is the best
studied modification transmitting epigenetic information. A study by Qiu et al.
examined potential epigenetic roots for the decline of renal function in Pima
Indians. A genomewide survey of blood leukocytes uncovered differentially
methylated DNA sites in regulatory regions of genes associated with chronic
kidney disease. This longitudinal study provides the first clues on epigenetic
links between environmental factors and a high prevalence of diabetic kidney
disease in Pima Indians.
PMID- 29792272
TI - Facing cinacalcet-induced hypocalcemia: sit back and relax?
AB - A post hoc analysis of data from the EVOLVE study demonstrates that cinacalcet
induced hypocalcemia is common, mostly asymptomatic, and resolves spontaneously.
These findings are reassuring and may warrant therapeutic inertia. However,
previous studies in parathyroidectomized patients suggest that calcium repletion
may be beneficial and safe from bone and cardiovascular perspectives,
respectively, and as such call into question the appropriateness of a "sit back
and relax" attitude toward cinacalcet-induced hypocalcemia.
PMID- 29792273
TI - Heterogeneity of renal cortical oxygenation: seeing is believing.
AB - The limited spatial and temporal resolution of available methods for quantifying
renal tissue oxygen tension is a major impediment to identification of the roles
of renal hypoxia in kidney diseases. Intravital phosphorescence lifetime imaging
microscopy allows cellular oxygen tension in the renal cortex of live animals to
be resolved to the level of individual tubular cross-sections. This paves the way
for future investigations of the spatial relationships between cellular hypoxia
and pathophysiological events in kidney disease.
PMID- 29792274
TI - Ex vivo expanded natural regulatory T cells from patients with end-stage renal
disease or kidney transplantation are useful for autologous cell therapy.
AB - Novel concepts employing autologous, ex vivo expanded natural regulatory T cells
(nTreg) for adoptive transfer has potential to prevent organ rejection after
kidney transplantation. However, the impact of dialysis and maintenance
immunosuppression on the nTreg phenotype and peripheral survival is not well
understood, but essential when assessing patient eligibility. The current study
investigates regulatory T-cells in dialysis and kidney transplanted patients and
the feasibility of generating a clinically useful nTreg product from these
patients. Heparinized blood from 200 individuals including healthy controls,
dialysis patients with end stage renal disease and patients 1, 5, 10, 15, 20
years after kidney transplantation were analyzed. Differentiation and maturation
of nTregs were studied by flow cytometry in order to compare dialysis patients
and kidney transplanted patients under maintenance immunosuppression to healthy
controls. CD127 expressing CD4+CD25highFoxP3+ nTregs were detectable at increased
frequencies in dialysis patients with no negative impact on the nTreg end product
quality and therapeutic usefulness of the ex vivo expanded nTregs. Further,
despite that immunosuppression mildly altered nTreg maturation, neither dialysis
nor pharmacological immunosuppression or previous acute rejection episodes
impeded nTreg survival in vivo. Accordingly, the generation of autologous, highly
pure nTreg products is feasible and qualifies patients awaiting or having
received allogenic kidney transplantation for adoptive nTreg therapy. Thus, our
novel treatment approach may enable us to reduce the incidence of organ rejection
and reduce the need of long-term immunosuppression.
PMID- 29792275
TI - Collagen type III glomerulopathy.
PMID- 29792276
TI - Late renal revascularization and salvage due to unexpected collaterals.
PMID- 29792277
TI - The Case | A 69-year-old man with bladder carcinoma and renal lesions.
PMID- 29792278
TI - A novel endoscopic grading system for prediction of disease-related outcomes in
patients with diverticulosis.
AB - BACKGROUND: We describe a simple endoscopic grading system of diverticular
disease for the assessment of disease severity and prediction of outcomes.
METHODS: A retrospective analysis of prospectively maintained colonoscopy
database was conducted. A single endoscopist prospectively graded disease
severity according to the number and size of diverticula, the degree of muscular
hypertrophy and rigidity of the sigmoid colon. RESULTS: 762 patients were
included in the analysis. Mean patient age was 70 years (range 37-97). Endoscopic
severity of diverticulosis was predictive of the need for surgery, with 2% in the
mild-moderate, 12% in the severe and 33% in the acute group (p < 0001). Time to
surgery showed correlation to severity grade, with mean periods of 107.5 months
in the moderate group vs. 3 and 2.5 months in the severe and acute group (p <
0001). The mean follow up was 11 years. CONCLUSION: Surgeons should consider
using endoscopic grading as an adjunct to clinical management decisions.
PMID- 29792279
TI - Can intraoperative measurement of bone quality help in decision making for
cementless unicompartmental knee arthroplasty?
AB - BACKGROUND: In uncemented total hip arthroplasty (THA), low bone mineral density
(BMD) is associated with aseptic loosening. BMD is usually assessed via dual
energy X-ray absorptiometry (DXA) or quantitative computed tomography, which
takes time and exposes patients to radiation. Due to its low risk profile,
intraoperative measurement of the trabecular stability might be a useful
alternative to DXA. METHODS: In 24 human femora, BMD was analysed using DXA at
the femoral necks and the knees. Performing the standard Oxford Unicompartmental
Knee Arthroplasty (OUKA) implantation procedure, a wingblade (DensiProbe) coupled
to a torque probe was used to evaluate the trabecular peak torque. The standard
procedure was modified: before the completion of the central peg drill hole, the
DensiProbe was inserted into the pre-drilled hole and then turned until a loss of
resistance was achieved. The obtained data was then correlated with BMD at the
femoral neck as well as the knee. RESULTS: In all tested regions, a higher peak
torque was observed in correlation with a higher BMD. CONCLUSIONS: As
demonstrated, the DensiProbe can be a helpful tool to assess the bone quality
intraoperatively in OUKA. It can be a valuable decision guidance when faced with
choosing between a cemented and a cementless implant. Due to the fact that the
central peg hole of the OUKA can be used for the procedure, no additional risk
for the patient exists, while the additional work for the surgeon is minimal.
PMID- 29792280
TI - Resource utilization and indications for helicopter transport of head-injured
children.
AB - INTRODUCTION: Helicopter emergency medical services (HEMS) have provided benefit
for severely injured patients. However, HEMS are likely overused for the
transportation of both adult and pediatric trauma patients. In this study, we aim
to evaluate the degree of overuse of helicopter as a mode of transport for head
injured children. In addition, we propose criteria that can be used to determine
if a particular patient is suitable for air versus ground transport. MATERIALS
AND METHODS: We identified patients who were transported to our facility for head
injuries. We included only those patients who were transported from another
facility and who were seen by the neurosurgical service. We recorded a number of
data points including age, gender, race, Glasgow Coma Score (GCS), and intubation
status. We also collected data on a number of imaging findings such as mass
effect, edema, intracranial hemorrhage, and skull fractures. Patients undergoing
emergent nonneurosurgical intervention were excluded. RESULTS: Of the 373
patients meeting inclusion criteria, 116 (31.1%) underwent a neurosurgical
procedure or died and were deemed appropriate for helicopter transport. The
remaining 68.9% of patients survived their injuries without neurosurgical
intervention and were deemed nonappropriate for helicopter transport.
Multivariable logistic regression identified GCS 3-8 and/or presence of mass
effect, edema, epidural hematoma (EDH), and open-depressed skull fracture as
appropriate indications for helicopter transport. CONCLUSIONS: The majority of
patients transported to our facility by helicopter survived their head injury
without need for neurosurgical intervention. Only those patients meeting clinical
(GCS 3-8) or radiographic (mass effect, edema, EDH, open-depressed skull
fracture) criteria should be transported by air. LEVEL OF EVIDENCE: Level III
(Diagnostic Study).
PMID- 29792282
TI - Reply to letter to the editor.
PMID- 29792283
TI - Repurposing available drugs for neurodevelopmental disorders: The fragile X
experience.
AB - Many available drugs have been repurposed as treatments for neurodevelopmental
disorders. In the specific case of fragile X syndrome, many clinical trials of
available drugs have been conducted with the goal of disease modification. In
some cases, detailed understanding of basic disease mechanisms has guided the
choice of drugs for clinical trials, and several notable successes in fragile X
clinical trials have led to common use of drugs such as minocycline in routine
medical practice. Newer technologies like Disease-Gene Expression Matching (DGEM)
may allow for more rapid identification of promising repurposing candidates. A
DGEM study predicted that sulindac could be therapeutic for fragile X, and
subsequent preclinical validation studies have shown promising results. The use
of combinations of available drugs and nutraceuticals has the potential to
greatly expand the options for repurposing, and may even be a viable business
strategy.
PMID- 29792281
TI - The impact of body weight on stapled anastomosis in pediatric patients.
AB - PURPOSE: The purpose of this study is to clarify the impact of body weight on
outcomes of stapled anastomosis in pediatric patients. METHODS: A total of 253
pediatric patients who underwent intestinal anastomosis were classified according
to body weight (<3.5 kg: light group, >=3.5 kg: heavy group), and clinical
outcomes of stapled and hand-sewn anastomoses were compared. RESULTS: The light
and heavy groups included 77 (stapled: n = 13, hand-sewn: n = 64) and 176
(stapled: n = 58, hand-sewn: n = 118) patients, respectively. In both groups,
stapled anastomosis was associated with reduced time to initial oral feeding
(light group: 4 vs. 7 days, p = 0.006; heavy group: 3 vs. 5 days, p < 0.001) and
full feeding (light group: 12 vs. 16 days, p = 0.026; heavy group: 7 vs. 9 days,
p = 0.001), whereas its complication rate was not significantly different from
that of hand-sewn anastomosis (light group: 30.8 vs. 12.5%, p = 0.112; heavy
group: 3.4 vs. 2.5%, p = 0.665). In patients who underwent stapled anastomosis,
the complication rate was significantly higher in the light group (30.8 vs. 3.4%,
p = 0.009), with two cases of volvulus related to anastomotic dilatation.
CONCLUSIONS: Stapled anastomosis is an effective procedure facilitating prompt
oral feeding. However, the risk of complications, including volvulus related to
anastomotic dilatation, should be considered among patients weighing <3.5 kg.
LEVEL OF EVIDENCE: III.
PMID- 29792284
TI - Influence of large hiatus hernia on cardiac volumes. A prospective observational
cohort study by cardiovascular magnetic resonance.
AB - BACKGROUND: Large hiatus hernia (LHH) is often associated with post-prandial
dyspnea, palpitations or chest discomfort, but its effect on cardiac volumes and
performance is still debated. METHODS AND RESULTS: Before and 3-months after
laparoscopic repair, 35 patients underwent cardiovascular magnetic resonance
(CMR) in the fasting state and after a standardized meal. Preoperatively, LHH
size increased significantly after meal (p < 0.010). Compared to the fasting
state, a systematic trend of volume reduction of the cardiac chambers was
observed. In addition, both the left ventricle stroke volume (p = 0.012) and the
ejection fraction (p = 0.010) were significantly reduced. At 3-months after
surgery there was a statistically significant increase in left atrial volume (p =
0.029), overall left ventricle volume (p < 0.05) and right ventricle end-systolic
volume (p = 0.046). Both FEV1 (Forced expiratory volume) (p = 0.02) and FVC
(Forced Vital Capacity) (p = 0.01) values significantly improved after surgery.
Cardiorespiratory symptoms significantly improved compared to pre-operative
values (p < 0.01). CONCLUSIONS: The global heart function was significantly
impaired by a standardized meal in the presence of a LHH. Restoration of the
cardiac physiological status and improvement of clinical symptoms were noted
after surgery. A multidisciplinary evaluation and CMR with a challenge meal may
be added to routine pre-operative testing to select symptomatic patients for
surgical hernia repair.
PMID- 29792285
TI - Associations between trunk postural control in walking and unstable sitting at
various levels of task demand.
AB - Trunk postural control (TPC) has been investigated in several populations and
tasks. Previous work observed targeted training of TPC via isolated trunk control
tasks may improve performance in other activities (e.g., walking). However, the
nature of this relationship remains unknown. We therefore investigated the
relationship between TPC, at both the global (i.e., response to finite
perturbations) and local (i.e., resistance to continuous perturbations) levels,
during walking and unstable sitting, both at varying levels of task demand.
Thirteen individuals (11 Male, 2 Female) with no recent history (past 12 months)
of illness, injury, or musculoskeletal disorders walked on a dual-belt treadmill
at four speeds (-20%, -10%, +10%, and + 20% of self-selected walking speed) and
completed an unstable sitting task at four levels of chair instability (100, 75,
60, and 45% of an individual's "neutral" stability as defined by the
gravitational gradient). Three-dimensional trunk and pelvic kinematics were
collected. Tri-planar Lyapunov exponents and sample entropy characterized local
TPC. Global TPC was characterized by ranges of motion and, for seated trials,
metrics derived from center-of-pressure time series (i.e., path length, 95%
confidence ellipse area, mean velocity, and RMS position). No strong or
significant correlations (-0.057 < rho < 0.206) were observed between local TPC
during walking and unstable sitting tasks. However, global TPC declined in both
walking and unstable sitting as task demand increased, with a moderate inter-task
relationship (0.336 < rho < 0.544). While the mechanisms regulating local TPC are
inherently different, global TPC may be similarly regulated across both tasks,
supporting future translation of improvements in TPC between tasks.
PMID- 29792286
TI - [Prevalence of hydroxychloroquine-induced side-effects in dermatology patients: A
retrospective survey of 102 patients].
AB - AIM: Our aim was to assess the prevalence of adverse effects (AEs) pertaining to
the use and withdrawal of hydroxychloroquine (HCQ) in dermatological outpatients.
PATIENTS AND METHODS: We conducted a retrospective study between January 2013 and
June 2014 that included consecutive patients currently or previously receiving
HCQ seen in our department. AEs were collated using a standardized questionnaire
and validated by clinical and laboratory examination. Drug causality was
evaluated using the updated French drug reaction causality assessment method. The
main evaluation criterion was the prevalence of AEs in which HCQ had an intrinsic
imputability score of I>2. RESULTS: We included 102 patients (93 of whom were
women, with a median age of 44.5; range: 22-90years). HCQ was given for cutaneous
lupus in most cases (n=70). At least one AE was reported for 55 patients. Among
the 91 reported AEs, 59 (65%) had an HCQ intrinsic imputability score I>2. AEs
were responsible for permanent HCQ discontinuation in 19 cases. Of these, 8 were
unrelated to HCQ based on imputability score. The most common AEs associated with
HCQ were gastrointestinal and cutaneous signs. Of the 8 patients diagnosed with
retinopathy, only 3 were confirmed after reevaluation. CONCLUSION: AEs associated
with HCQ were reported for over 50% of patients and were responsible for
permanent HCQ discontinuation in one-third of cases. A more in-depth evaluation
of imputability seems necessary, particularly regarding ophthalmological
symptoms, since in two thirds of cases the reasons for discontinuation were not
related to HCQ.
PMID- 29792287
TI - Safety, tolerability, pharmacokinetics, and pharmacodynamics of GLPG1690, a novel
autotaxin inhibitor, to treat idiopathic pulmonary fibrosis (FLORA): a phase 2a
randomised placebo-controlled trial.
AB - BACKGROUND: Idiopathic pulmonary fibrosis (IPF) causes irreversible loss of lung
function. People with IPF have increased concentrations of autotaxin in lung
tissue and lysophosphatidic acid (LPA) in bronchoalveolar lavage fluid and
exhaled condensate. GLPG1690 (Galapagos, Mechelen, Belgium) is a novel, potent,
selective autotaxin inhibitor with good oral exposure. We explored the effects of
GLPG1690 in patients with IPF. METHODS: This was a randomised, double-blind,
placebo-controlled phase 2a study done in 17 centres in Italy, Ukraine and the
UK. Eligible patients were aged 40 years or older, non-smokers, not taking
pirfenidone or nintedanib, and had a centrally confirmed diagnosis of IPF. We
used a computer-generated randomisation schedule to assign patients 1:3 to
receive placebo or 600 mg oral GLPG1690 once daily for 12 weeks. The primary
outcomes were safety (adverse events), tolerability, pharmacokinetics, and
pharmacodynamics. Spirometry was assessed as a secondary outcome. This trial is
registered with ClinicalTrials.gov, number NCT02738801. FINDINGS: Between March
24, 2016, and May 2, 2017, 72 patients were screened., of whom 49 were ineligible
and 23 were enrolled in eight centres (six in Ukraine and two in the UK). Six
patients were assigned to receive placebo and 17 to receive GLPG1690. 20 patients
completed the study after one in each group discontinued because of adverse
events and one in the GLPG1690 group withdrew consent. Four (67%) patients in the
placebo group and 11 (65%) in the GLPG1690 group had treatment-emergent adverse
events, most of which were mild to moderate. The most frequent events in the
GLPG1690 group were infections and infestations (ten events) and respiratory,
thoracic, and mediastinal disorders (eight events) with no apparent differences
from the placebo group. Two (12%) patients in the GLPG1690 group had events that
were judged to be related to treatment. Serious adverse events were seen in two
patients in the placebo group (one had a urinary tract infection, acute kidney
injury, and lower respiratory tract infection and the other had atrioventricular
block, second degree) and one in the GLPG1690 group (cholangiocarcinoma that
resulted in discontinuation of treatment). No patients died. The pharmacokinetic
and pharmacodynamic profiles of GLPG1690 were similar to those previously shown
in healthy controls. LPA C18:2 concentrations in plasma were consistently
decreased. Mean change from baseline in forced vital capacity at week 12 was 25
mL (95% CI -75 to 124) for GLPG1690 and -70 mL (-208 to 68 mL) for placebo.
INTERPRETATION: Our findings support further development of GLPG1690 as a novel
treatment for IPF. FUNDING: Galapagos.
PMID- 29792288
TI - Tralokinumab for severe, uncontrolled asthma (STRATOS 1 and STRATOS 2): two
randomised, double-blind, placebo-controlled, phase 3 clinical trials.
AB - BACKGROUND: Tralokinumab is an anti-interleukin-13 human monoclonal antibody
developed for the treatment of severe, uncontrolled asthma. These clinical trials
aimed to assess the efficacy and safety of tralokinumab in this population.
METHODS: STRATOS 1 and STRATOS 2 were randomised, double-blind, parallel-group,
placebo-controlled, phase 3 clinical trials that enrolled participants aged 12-75
years with severe asthma that was inadequately controlled despite use of inhaled
corticosteroids (>=500 MUg per day fluticasone or equivalent) and a long-acting
beta2 agonist (but not oral corticosteroids). STRATOS 1 was done at 246 sites in
14 countries, and STRATOS 2 was done at 242 sites in 13 countries. In STRATOS 1,
participants were randomly assigned (2:1) to receive tralokinumab 300 mg or
matching placebo subcutaneously every 2 weeks or every 4 weeks for 52 weeks. In
STRATOS 2, participants were randomly assigned (1:1) to receive tralokinumab 300
mg or matching placebo subcutaneously every 2 weeks for 52 weeks. STRATOS 1
attempted to identify a biomarker-positive population with enhanced tralokinumab
benefit, which was then tested in STRATOS 2. The primary endpoint was the
annualised asthma exacerbation rate (AAER) reduction at week 52 in the all-comers
population for STRATOS 1 and in the biomarker-positive population for STRATOS 2.
All efficacy analyses for both trials were done on the full analysis set by an
intention-to-treat approach. The safety analysis set comprised any participant
who received the investigational drug and was categorised by treatment received.
These trials are registered with ClinicalTrials.gov, numbers NCT02161757 (STRATOS
1) and NCT02194699 (STRATOS 2), and with the EU Clinical Trials Register, EudraCT
2013-005614-35 (STRATOS 1) and EudraCT 2013-005615-27 (STRATOS 2). FINDINGS:
STRATOS 1 was done between June 13, 2014, and Feb 28, 2017. 1207 participants
were randomly assigned and 1202 treated as follows: tralokinumab every 2 weeks
(n=398), tralokinumab every 4 weeks (n=404), or placebo (n=400). STRATOS 2 was
done between Oct 30, 2014, and Sept 21, 2017. 856 participants were randomly
assigned and 849 treated as follows: tralokinumab every 2 weeks (n=427) and
placebo every 2 weeks (n=422). In the STRATOS 1 all-comers population,
tralokinumab every 2 weeks did not significantly reduce AAER compared with
placebo (7.0% reduction [95% CI -20.8 to 28.4]; rate ratio 0.93 [95% CI 0.72 to
1.21]; p=0.59). Baseline fractional exhaled nitric oxide (FENO) 37 ppb or greater
was identified as the preferred biomarker in STRATOS 1; in FENO-high
participants, tralokinumab every 2 weeks (n=97) reduced AAER by 44.0% (95% CI 6.0
to 66.0; rate ratio 0.56 [95% CI 0.34 to 0.94]; p=0.028) compared with placebo
(n=102). In the STRATOS 2 FENO-high population, tralokinumab every 2 weeks
(n=108) did not significantly improve AAER (15.8% reduction [95% CI -33.7 to
47.0]; rate ratio 0.84 [95% CI 0.53 to 1.34]; p=0.47) compared with placebo
(n=121). The safety profile was consistent with that of previous tralokinumab
trials. INTERPRETATION: Tralokinumab reduced AAER in participants with severe
asthma with baseline FENO 37 ppb or higher in STRATOS 1, but not in STRATOS 2.
These inconsistent effects on AAER do not support a key role for interleukin 13
in severe asthma exacerbations. FUNDING: AstraZeneca.
PMID- 29792289
TI - Combined transarterial chemoembolization and microwave ablation versus
transarterial chemoembolization in BCLC stage B hepatocellular carcinoma.
AB - PURPOSE: We aimed to compare the clinical effectiveness of combination therapy of
transarterial chemoembolization (TACE) and microwave ablation (MWA) with TACE
monotherapy in BCLC stage B HCC patients with tumor size <=7 cm and tumor number
<=5. METHODS: We retrospectively reviewed 150 BCLC stage B HCC patients who had
received TACE monotherapy or TACE-MWA combination therapy in our hospital from
March 2007 to April 2016. The patients were matched by propensity score at the
ratio of 1:2 by optimal method. The median follow-up period was 16 months. The
overall survival, tumor response and progression-free survival were compared
between the two groups by Kaplan-Meier method and Log rank test. RESULTS: Tumor
response (complete or partial response or stable disease) rates at 6, 12, 18, 24
months were 55.5%, 37.3%, 21.3%, 15.8% for TACE group, and 74%, 47.8%, 35%, 31.8%
for TACE-MWA group, respectively. The survival rates at 1, 3, 5 years were 77.5%,
42.1%, 21% for TACE group and 93.1%, 79%, 67.7% for TACE-MWA group, respectively.
Compared with TACE group, the TACE-MWA group had significantly improved
progression-free survival (P = 0.044) and overall survival (P = 0.002).
CONCLUSION: TACE-MWA combination therapy has better clinical effectiveness than
TACE monotherapy in BCLC stage B patients with tumor size <=7 cm and tumor number
<=5.
PMID- 29792290
TI - The Use of Mobile Health Applications to Improve Patient Experience: Cross
Sectional Study in Chinese Public Hospitals.
AB - BACKGROUND: The proliferation of mobile health apps has greatly changed the way
society accesses the health care industry. However, despite the widespread use of
mobile health apps by patients in China, there has been little research that
evaluates the effect of mobile health apps on patient experience during hospital
visits. OBJECTIVE: The purpose of our study was to examine whether the use of
mobile health apps improves patient experience and to find out the difference in
patient experience between users and nonusers and the characteristics associated
with the users of these apps. METHODS: We used the Chinese Outpatient Experience
Questionnaire to survey patient experience. A sample of 300 outpatients was
randomly selected from 3 comprehensive public hospitals (3 tertiary hospitals) in
Hubei province, China. Each hospital randomly selected 50 respondents from mobile
health app users and 50 from nonusers. A chi-square test was employed to compare
the different categorical characteristics between mobile health app users and
nonusers. A t test was used to test the significance in continuous variables
between user scores and nonuser scores. Multiple linear regression was conducted
to determine whether the use of mobile health apps during hospital visits was
associated with patient experience. RESULTS: The users and nonusers differed in
age (chi22=12.2, P=.002), education (chi23=9.3, P=.03), living place (chi21=7.7,
P=.006), and the need for specialists (chi24=11.0, P=.03). Compared with
nonusers, mobile health app users in China were younger, better educated, living
in urban areas, and had higher demands for specialists. In addition, mobile
health app users gave significantly higher scores than nonusers in total patient
experience scores (t298=3.919, P<.001), the 18 items and the 5 dimensions of
physician-patient communication (t298=2.93, P=.004), health information
(t298=3.556, P<.001), medical service fees (t298=3.991, P<.001), short-term
outcome (t298=4.533, P<.001), and general satisfaction (t298=4.304, P<.001).
Multiple linear regression results showed that the use of mobile health apps
during hospital visits influenced patient experience (t289=3.143, P=.002). After
controlling for other factors, it was shown that the use of mobile health apps
increased the outpatient experience scores by 17.7%. Additional results from the
study found that the self-rated health status (t289=3.746, P<.001) and monthly
income of patients (t289=2.416, P=.02) influenced the patient experience as well.
CONCLUSIONS: The use of mobile health apps could improve patient experience,
especially with regard to accessing health information, making physician-patient
communication more convenient, ensuring transparency in medical charge, and
ameliorating short-term outcomes. All of these may contribute to positive health
outcomes. Therefore, we should encourage the adoption of mobile health apps in
health care settings so as to improve patient experience.
PMID- 29792291
TI - Diurnal Variations of Depression-Related Health Information Seeking: Case Study
in Finland Using Google Trends Data.
AB - BACKGROUND: Some of the temporal variations and clock-like rhythms that govern
several different health-related behaviors can be traced in near real-time with
the help of search engine data. This is especially useful when studying phenomena
where little or no traditional data exist. One specific area where traditional
data are incomplete is the study of diurnal mood variations, or daily changes in
individuals' overall mood state in relation to depression-like symptoms.
OBJECTIVE: The objective of this exploratory study was to analyze diurnal
variations for interest in depression on the Web to discover hourly patterns of
depression interest and help seeking. METHODS: Hourly query volume data for 6
depression-related queries in Finland were downloaded from Google Trends in March
2017. A continuous wavelet transform (CWT) was applied to the hourly data to
focus on the diurnal variation. Longer term trends and noise were also eliminated
from the data to extract the diurnal variation for each query term. An analysis
of variance was conducted to determine the statistical differences between the
distributions of each hour. Data were also trichotomized and analyzed in 3 time
blocks to make comparisons between different time periods during the day.
RESULTS: Search volumes for all depression-related query terms showed a unimodal
regular pattern during the 24 hours of the day. All queries feature clear peaks
during the nighttime hours around 11 PM to 4 AM and troughs between 5 AM and 10
PM. In the means of the CWT-reconstructed data, the differences in nighttime and
daytime interest are evident, with a difference of 37.3 percentage points (pp)
for the term "Depression," 33.5 pp for "Masennustesti," 30.6 pp for "Masennus,"
12.8 pp for "Depression test," 12.0 pp for "Masennus testi," and 11.8 pp for
"Masennus oireet." The trichotomization showed peaks in the first time block
(00.00 AM-7.59 AM) for all 6 terms. The search volumes then decreased
significantly during the second time block (8.00 AM-3.59 PM) for the terms
"Masennus oireet" (P<.001), "Masennus" (P=.001), "Depression" (P=.005), and
"Depression test" (P=.004). Higher search volumes for the terms "Masennus"
(P=.14), "Masennustesti" (P=.07), and "Depression test" (P=.10) were present
between the second and third time blocks. CONCLUSIONS: Help seeking for
depression has clear diurnal patterns, with significant rise in depression
related query volumes toward the evening and night. Thus, search engine query
data support the notion of the evening-worse pattern in diurnal mood variation.
Information on the timely nature of depression-related interest on an hourly
level could improve the chances for early intervention, which is beneficial for
positive health outcomes.
PMID- 29792292
TI - Identifying Medication Management Smartphone App Features Suitable for Young
Adults With Developmental Disabilities: Delphi Consensus Study.
AB - BACKGROUND: Smartphone apps can be a tool to facilitate independent medication
management among persons with developmental disabilities. At present, multiple
medication management apps exist in the market, but only 1 has been specifically
designed for persons with developmental disabilities. Before initiating further
app development targeting this population, input from stakeholders including
persons with developmental disabilities, caregivers, and professionals regarding
the most preferred features should be obtained. OBJECTIVE: The aim of this study
was to identify medication management app features that are suitable to promote
independence in the medication management process by young adults with
developmental disabilities using a Delphi consensus method. METHODS: A
compilation of medication management app features was performed by searching the
iTunes App Store, United States, in February 2016, using the following terms:
adherence, medication, medication management, medication list, and medication
reminder. After identifying features within the retrieved apps, a final list of
42 features grouped into 4 modules (medication list, medication reminder,
medication administration record, and additional features) was included in a
questionnaire for expert consensus rating. A total of 52 experts in developmental
disabilities, including persons with developmental disabilities, caregivers, and
professionals, were invited to participate in a 3-round Delphi technique. The
purpose was to obtain consensus on features that are preferred and suitable to
promote independence in the medication management process among persons with
developmental disabilities. Consensus for the first, second, and third rounds was
defined as >=90%, >=80%, and >=75% agreement, respectively. RESULTS: A total of
75 responses were received over the 3 Delphi rounds-30 in the first round, 24 in
the second round, and 21 in the third round. At the end of the third round,
cumulative consensus was achieved for 60% (12/20) items in the medication list
module, 100% (3/3) in the medication reminder module, 67% (2/3) in the medication
administration record module, and 63% (10/16) in the additional features module.
In addition to the medication list, medication reminder, and medication
administration record features, experts selected the following top 3 most
important additional features: automatic refills through pharmacies; ability to
share medication information from the app with providers; and ability to share
medication information from the app with family, friends, and caregivers. The top
3 least important features included a link to an official drug information
source, privacy settings and password protection, and prescription refill
reminders. CONCLUSIONS: Although several mobile apps for medication management
exist, few are specifically designed to support persons with developmental
disabilities in the complex medication management process. Of the 42 different
features assessed, 64% (27/42) achieved consensus for inclusion in a future
medication management app. This study provides information on the features of a
medication management app that are most important to persons with developmental
disabilities, caregivers, and professionals.
PMID- 29792293
TI - Recruitment and Participation of Recreational Runners in a Large Epidemiological
and Genetic Research Study: Retrospective Data Analysis.
AB - BACKGROUND: With the increasing capacity for remote collection of both data and
samples for medical research, a thorough assessment is needed to determine the
association of population characteristics and recruitment methodologies with
response rates. OBJECTIVE: The aim of this research was to assess population
representativeness in a two-stage study of health and injury in recreational
runners, which consisted of an epidemiological arm and genetic analysis. METHODS:
The cost and success of various classical and internet-based methods were
analyzed, and demographic representativeness was assessed for recruitment to the
epidemiological survey, reported willingness to participate in the genetic arm of
the study, actual participation, sample return, and approval for biobank storage.
RESULTS: A total of 4965 valid responses were received, of which 1664 were deemed
eligible for genetic analysis. Younger age showed a negative association with
initial recruitment rate, expressed willingness to participate in genetic
analysis, and actual participation. Additionally, female sex was associated with
higher initial recruitment rates, and ethnic origin impacted willingness to
participate in the genetic analysis (all P<.001). CONCLUSIONS: The sharp decline
in retention through the different stages of the study in young respondents
suggests the necessity to develop specific recruitment and retention strategies
when investigating a young, physically active population.
PMID- 29792294
TI - Training Working Memory in Adolescents Using Serious Game Elements: Pilot
Randomized Controlled Trial.
AB - BACKGROUND: Working memory capacity has been found to be impaired in adolescents
with various psychological problems, such as addictive behaviors. Training of
working memory capacity can lead to significant behavioral improvements, but it
is usually long and tedious, taxing participants' motivation to train. OBJECTIVE:
This study aimed to evaluate whether adding game elements to the training could
help improve adolescents' motivation to train while improving cognition. METHODS:
A total of 84 high school students were allocated to a working memory capacity
training, a gamified working memory capacity training, or a placebo condition.
Working memory capacity, motivation to train, and drinking habits were assessed
before and after training. RESULTS: Self-reported evaluations did not show a self
reported preference for the game, but participants in the gamified working memory
capacity training condition did train significantly longer. The game successfully
increased motivation to train, but this effect faded over time. Working memory
capacity increased equally in all conditions but did not lead to significantly
lower drinking, which may be due to low drinking levels at baseline. CONCLUSIONS:
We recommend that future studies attempt to prolong this motivational effect, as
it appeared to fade over time.
PMID- 29792295
TI - Supply and Demand in mHealth Apps for Persons With Multiple Sclerosis: Systematic
Search in App Stores and Scoping Literature Review.
AB - BACKGROUND: Multiple sclerosis (MS) is a non-curable chronic inflammatory disease
of the central nervous system that affects more than 2 million people worldwide.
MS-related symptoms impact negatively on the quality of life of persons with MS,
who need to be active in the management of their health. mHealth apps could
support these patient groups by offering useful tools, providing reliable
information, and monitoring symptoms. A previous study from this group identified
needs, barriers, and facilitators for the use of mHealth solutions among persons
with MS. It is unknown how commercially available health apps meet these needs.
OBJECTIVE: The main objective of this review was to assess how the features
present in MS apps meet the reported needs of persons with MS. METHODS: We
followed a combination of scoping review methodology and systematic assessment of
features and content of mHealth apps. A search strategy was defined for the two
most popular app stores (Google Play and Apple App Store) to identify relevant
apps. Reviewers independently conducted a screening process to filter apps
according to the selection criteria. Interrater reliability was assessed through
the Fleiss-Cohen coefficient (k=.885). Data from the included MS apps were
extracted and explored according to classification criteria. RESULTS: An initial
total of 581 potentially relevant apps was found. After removing duplicates and
applying inclusion and exclusion criteria, 30 unique apps were included in the
study. A similar number of apps was found in both stores. The majority of the
apps dealt with disease management and disease and treatment information. Most
apps were developed by small and medium-sized enterprises, followed by
pharmaceutical companies. Patient education and personal data management were
among the most frequently included features in these apps. Energy management and
remote monitoring were often not present in MS apps. Very few contained
gamification elements. CONCLUSIONS: Currently available MS apps fail to meet the
needs and demands of persons with MS. There is a need for health professionals,
researchers, and industry partners to collaborate in the design of mHealth
solutions for persons with MS to increase adoption and engagement.
PMID- 29792297
TI - Antidepressant induced weight gain.
PMID- 29792296
TI - "It's Totally Okay to Be Sad, but Never Lose Hope": Content Analysis of
Infertility-Related Videos on YouTube in Relation to Viewer Preferences.
AB - BACKGROUND: Infertility patients frequently use the internet to find fertility
related information and support from people in similar circumstances. YouTube is
increasingly used as a source of health-related information and may influence
health decision making. There have been no studies examining the content of
infertility-related videos on YouTube. OBJECTIVE: The purpose of this study was
to (1) describe the content of highly viewed videos on YouTube related to
infertility and (2) identify video characteristics that relate to viewer
preference. METHODS: Using the search term "infertility," the 80 top-viewed
YouTube videos and their viewing statistics (eg, views, likes, and comments) were
collected. Videos that were non-English, unrelated to infertility, or had age
restrictions were excluded. Content analysis was used to examine videos,
employing a coding rubric that measured the presence or absence of video codes
related to purpose, tone, and demographic and fertility characteristics (eg, sex,
parity, stage of fertility treatment). RESULTS: A total of 59 videos, with a
median of 156,103 views, met the inclusion criteria and were categorized into 35
personal videos (35/59, 59%) and 24 informational-educational videos (24/59,
41%). Personal videos did not differ significantly from informational-educational
videos on number of views, dislikes, subscriptions driven, or shares. However,
personal videos had significantly more likes (P<.001) and comments (P<.001) than
informational-educational videos. The purposes of the videos were treatment
outcomes (33/59, 56%), sharing information (30/59, 51%), emotional aspects of
infertility (20/59, 34%), and advice to others (6/59, 10%). The tones of the
videos were positive (26/59, 44%), neutral (25/59, 42%), and mixed (8/59, 14%);
there were no videos with negative tone. No videos contained only male posters.
Videos with a positive tone did not differ from neutral videos in number of
views, dislikes, subscriptions driven, or shares; however, positive videos had
significantly more likes (P<.001) and comments (P<.001) than neutral videos. A
majority (21/35, 60%) of posters of personal videos shared a pregnancy
announcement. CONCLUSIONS: YouTube is a source of both technical and personal
experience-based information about infertility. However, videos that include
personal experiences may elicit greater viewer engagement. Positive videos and
stories of treatment success may provide hope to viewers but could also create
and perpetuate unrealistic expectations about the success rates of fertility
treatment.
PMID- 29792298
TI - Nanobody-Antigen Conjugates Elicit HPV-Specific Antitumor Immune Responses.
AB - High-risk human papillomavirus-associated cancers express viral oncoproteins
(e.g., E6 and E7) that induce and maintain the malignant phenotype. The viral
origin of these proteins makes them attractive targets for development of a
therapeutic vaccine. Camelid-derived single-domain antibody fragments (nanobodies
or VHHs) that recognize cell surface proteins on antigen-presenting cells (APC)
can serve as targeted delivery vehicles for antigens attached to them. Such VHHs
were shown to induce CD4+ and CD8+ T-cell responses against model antigens
conjugated to them via sortase, but antitumor responses had not yet been
investigated. Here, we tested the ability of an anti-CD11b VHH (VHHCD11b) to
target APCs and serve as the basis for a therapeutic vaccine to induce CD8+ T
cell responses against HPV+ tumors. Mice immunized with VHHCD11b conjugated to an
H-2Db-restricted immunodominant E7 epitope (E749-57) had more E7-specific CD8+ T
cells compared with those immunized with E749-57 peptide alone. These CD8+ T
cells acted prophylactically and conferred protection against a subsequent
challenge with HPV E7-expressing tumor cells. In a therapeutic setting, VHHCD11b
E749-57 vaccination resulted in greater numbers of CD8+ tumor-infiltrating
lymphocytes compared with mice receiving E749-57 peptide alone in HPV+ tumor
bearing mice, as measured by in vivo noninvasive VHH-based immune-positron
emission tomography (immunoPET), which correlated with tumor regression and
survival outcome. Together, these results demonstrate that VHHs can serve as a
therapeutic cancer vaccine platform for HPV-induced cancers. Cancer Immunol Res;
6(7); 870-80. (c)2018 AACR.
PMID- 29792299
TI - A Simple, Evidence-Based Approach to Help Guide Diagnosis of Heart Failure With
Preserved Ejection Fraction.
AB - BACKGROUND: Diagnosis of heart failure with preserved ejection fraction (HFpEF)
is challenging in euvolemic patients with dyspnea, and no evidence-based criteria
are available. We sought to develop and then validate noninvasive diagnostic
criteria that could be used to estimate the likelihood that HFpEF is present
among patients with unexplained dyspnea to guide further testing. METHODS:
Consecutive patients with unexplained dyspnea referred for invasive hemodynamic
exercise testing were retrospectively evaluated. Diagnosis of HFpEF (case) or
noncardiac dyspnea (control) was ascertained by invasive hemodynamic exercise
testing. Logistic regression was performed to evaluate the ability of clinical
findings to discriminate cases from controls. A scoring system was developed and
then validated in a separate test cohort. RESULTS: The derivation cohort included
414 consecutive patients (267 cases with HFpEF and 147 controls; HFpEF
prevalence, 64%). The test cohort included 100 consecutive patients (61 with
HFpEF; prevalence, 61%). Obesity, atrial fibrillation, age >60 years, treatment
with >=2 antihypertensives, echocardiographic E/e' ratio >9, and
echocardiographic pulmonary artery systolic pressure >35 mm Hg were selected as
the final set of predictive variables. A weighted score based on these 6
variables was used to create a composite score (H2FPEF score) ranging from 0 to
9. The odds of HFpEF doubled for each 1-unit score increase (odds ratio, 1.98;
95% CI, 1.74-2.30; P<0.0001), with an area under the curve of 0.841 ( P<0.0001).
The H2FPEF score was superior to a currently used algorithm based on expert
consensus (increase in area under the curve of 0.169; 95% CI, 0.120-0.217;
P<0.0001). Performance in the independent test cohort was maintained (area under
the curve, 0.886; P<0.0001). CONCLUSIONS: The H2FPEF score, which relies on
simple clinical characteristics and echocardiography, enables discrimination of
HFpEF from noncardiac causes of dyspnea and can assist in determination of the
need for further diagnostic testing in the evaluation of patients with
unexplained exertional dyspnea.
PMID- 29792301
TI - Digital clinical encounters.
PMID- 29792300
TI - What is the effect of secondary (high) schooling on subsequent medical school
performance? A national, UK-based, cohort study.
AB - OBJECTIVES: University academic achievement may be inversely related to the
performance of the secondary (high) school an entrant attended. Indeed, some
medical schools already offer 'grade discounts' to applicants from less well
performing schools. However, evidence to guide such policies is lacking. In this
study, we analyse a national dataset in order to understand the relationship
between the two main predictors of medical school admission in the UK (prior
educational attainment (PEA) and performance on the United Kingdom Clinical
Aptitude Test (UKCAT)) and subsequent undergraduate knowledge and skills-related
outcomes analysed separately. METHODS: The study was based on national selection
data and linked medical school outcomes for knowledge and skills-based tests
during the first five years of medical school. UKCAT scores and PEA grades were
available for 2107 students enrolled at 18 medical schools. Models were developed
to investigate the potential mediating role played by a student's previous
secondary school's performance. Multilevel models were created to explore the
influence of students' secondary schools on undergraduate achievement in medical
school. RESULTS: The ability of the UKCAT scores to predict undergraduate
academic performance was significantly mediated by PEA in all five years of
medical school. Undergraduate achievement was inversely related to secondary
school-level performance. This effect waned over time and was less marked for
skills, compared with undergraduate knowledge-based outcomes. Thus, the
predictive value of secondary school grades was generally dependent on the
secondary school in which they were obtained. CONCLUSIONS: The UKCAT scores added
some value, above and beyond secondary school achievement, in predicting
undergraduate performance, especially in the later years of study. Importantly,
the findings suggest that the academic entry criteria should be relaxed for
candidates applying from the least well performing secondary schools. In the UK,
this would translate into a decrease of approximately one to two A-level grades.
PMID- 29792303
TI - Recurrent spontaneous vertigo with interictal headshaking nystagmus.
AB - OBJECTIVE: To define a disorder characterized by recurrent spontaneous vertigo
(RSV) of unknown etiology and interictal headshaking nystagmus (HSN). METHODS: We
characterized HSN in 35 patients with RSV-HSN compared to that recorded in
randomly selected patients with compensated vestibular neuritis (VN), vestibular
migraine (VM), and Meniere disease (MD). RESULTS: The estimated time constant
(TC) of the primary phase of HSN was 12 seconds (95% confidence interval [CI] 12
13) in patients with RSV-HSN, which was larger than those in patients with VN (5
seconds, 95% CI 4-5), VM (5 seconds, 95% CI 5-6), or MD (6 seconds, 95% CI 5-6).
TCs of the horizontal vestibulo-ocular reflex were also larger during the
rotatory chair test in patients with RSV-HSN. Among the 35 patients with RSV-HSN,
7 showed vigorous long-lasting HSN with a peak slow-phase velocity >50.0 degrees
/s. In 5 patients (5 of 7, 71%) with vigorous HSN, HSN could have been induced
even with headshaking for only 2 to 5 seconds. Long-term prognosis was favorable,
with a resolution or improvement of the symptoms in more than half of the
patients during the median follow-up of 12 (range 2-58) years from symptom onset.
None developed VM, MD, or cerebellar dysfunction during the follow-up.
CONCLUSION: The clinical features and characteristics of HSN in our patients
indicate a hyperactive and asymmetric velocity-storage mechanism that gives rise
to intermittent attacks of spontaneous vertigo probably when marginal
compensation of underlying pathology is disrupted by endogenous or exogenous
factors.
PMID- 29792304
TI - Description of a new type of benign recurrent vertigo of central origin.
PMID- 29792302
TI - Blood Pressure Patterns and Subsequent Coronary Artery Calcification in Women Who
Delivered Preterm Births.
AB - Women who delivered preterm infants have excess cardiovascular disease, but
vascular pathways linking these conditions are not understood. We considered that
higher blood pressure over 25 years among women with preterm delivery may be
associated with coronary artery calcification (CAC). The CARDIA study (Coronary
Artery Risk Development in Young Adults) enrolled 1049 black and white women with
births between 1985 and 2010 (n=272 ever preterm [<37 weeks]; n=777 all term
births [>=37 weeks]). Latent mixture modeling identified blood pressure
trajectories across 20 years, and these were related to CAC at years 20 and 25.
Three systolic blood pressure (SBP) patterns were identified: low stable (n=563;
53%), moderate (n=416; 40%), and moderate increasing (n=70; 7%). Women with
moderate-increasing SBP were more likely to have delivered preterm compared with
those in the low-stable group (40% versus 21%; P<0.0001), and they were more
likely to have CAC (38.5% versus 12.2%). The SBP and CAC association varied by
preterm birth (P interaction=0.04). Women with preterm delivery and a moderate
increasing SBP had a 2.17-fold higher hazards of CAC (95% confidence interval,
1.14-4.12) compared with women with term births and a lower SBP pattern, adjusted
for cardiovascular disease risk factors and other pregnancy features. There was
no excess CAC in women with moderate-increasing SBP and term births (adjusted
hazard ratio, 1.02; 95% confidence interval, 0.49-2.14). Associations were
stronger in women with hypertensive disorders of pregnancy but also detected in
those with normotensive preterm deliveries. Women who deliver preterm infants are
more likely to follow a high-risk blood pressure pattern throughout the
childbearing years that is associated with CAC at midlife.
PMID- 29792305
TI - Sensory analysis of characterising flavours: evaluating tobacco product odours
using an expert panel.
AB - OBJECTIVES: Tobacco flavours are an important regulatory concept in several
jurisdictions, for example in the USA, Canada and Europe. The European Tobacco
Products Directive 2014/40/EU prohibits cigarettes and roll-your-own tobacco
having a characterising flavour. This directive defines characterising flavour as
'a clearly noticeable smell or taste other than one of tobacco [...]'. To
distinguish between products with and without a characterising flavour, we
trained an expert panel to identify characterising flavours by smelling. METHODS:
An expert panel (n=18) evaluated the smell of 20 tobacco products using self
defined odour attributes, following Quantitative Descriptive Analysis. The panel
was trained during 14 attribute training, consensus training and performance
monitoring sessions. Products were assessed during six test sessions. Principal
component analysis, hierarchical clustering (four and six clusters) and
Hotelling's T-tests (95% and 99% CIs) were used to determine differences and
similarities between tobacco products based on odour attributes. RESULTS: The
final attribute list contained 13 odour descriptors. Panel performance was
sufficient after 14 training sessions. Products marketed as unflavoured that
formed a cluster were considered reference products. A four-cluster method
distinguished cherry-flavoured, vanilla-flavoured and menthol-flavoured products
from reference products. Six clusters subdivided reference products into tobacco
leaves, roll-your-own and commercial products. CONCLUSIONS: An expert panel was
successfully trained to assess characterising odours in cigarettes and roll-your
own tobacco. This method could be applied to other product types such as e
cigarettes. Regulatory decisions on the choice of reference products and
significance level are needed which directly influences the products being
assessed as having a characterising odour.
PMID- 29792306
TI - Predicting the viscosity of digesta from the physical characteristics of particle
suspensions using existing rheological models.
AB - The measurement of the viscosity of digesta is complicated by settling and
compositional changes that accompany digestion. The current work determined
whether the apparent and relative viscosities (etaa and etar) of digesta could be
accurately determined from the actual and maximum solid volume fractions (phi and
phimax, respectively) using the Maron-Pierce equation. The rheological properties
of digesta from the small intestine of six pigs were determined at a shear rate
of 1 s-1 at 37 degrees C. A series of suspensions of plant fibre in a Newtonian
liquid (70% aqueous fructose) were made at viscosities similar to pig digesta by
adjusting phi The relationships between the apparent and relative viscosities
(etaa and etar) and the plant fibre properties; aspect ratio (AR) and phi and
phimax were then determined for digesta and the suspensions. The ARs for the
digesta and plant fibre particles were determined using image analysis of
scanning electron micrographs and etaa from rheometric flow curves at 37 degrees
C, phi from image analysis and gas pycnometry, and phimax from AR and suspension
viscosity. The etar of pig digesta and the test suspensions calculated using the
Maron-Pierce equation were, with the exception of two outliers, in proportion
with etaa determined using a rheometer, indicating that etar could be
successfully predicted from the Maron-Pierce equation.
PMID- 29792308
TI - Logical modelling uncovers developmental constraints for primary sex
determination of chicken gonads.
AB - In the chicken, sex determination relies on a ZZ (male)/ZW (female) chromosomal
system, but underlying mechanisms are still not fully understood. The Z-dosage
and the dominant W-chromosome hypotheses have been proposed to underlie primary
sex determination. We present a modelling approach, which assembles the current
knowledge and permits exploration of the regulation of this process in chickens.
Relying on published experimental data, we assembled a gene network, which led to
a logical model that integrates both the Z-dosage and dominant W hypotheses. This
model showed that the sexual fate of chicken gonads results from the resolution
of the mutual inhibition between DMRT1 and FOXL2, where the initial amount of
DMRT1 product determines the development of the gonads. In this respect, at the
initiation step, a W-factor would function as a secondary device, by reducing the
amount of DMRT1 in ZW gonads when the sexual fate of the gonad is settled, that
is when the SOX9 functional level is established. Developmental constraints that
are instrumental in this resolution were identified. These constraints establish
qualitative restrictions regarding the relative transcription rates of the genes
DMRT1, FOXL2 and HEMGN. Our model further clarified the role of OESTROGEN in
maintaining FOXL2 function during ovary development.
PMID- 29792307
TI - Putative vaccine candidates and drug targets identified by reverse vaccinology
and subtractive genomics approaches to control Haemophilus ducreyi, the causative
agent of chancroid.
AB - Chancroid is a sexually transmitted infection (STI) caused by the Gram-negative
bacterium Haemophilus ducreyi The control of chancroid is difficult and the only
current available treatment is antibiotic therapy; however, antibiotic resistance
has been reported in endemic areas. Owing to recent outbreaks of STIs worldwide,
it is important to keep searching for new treatment strategies and preventive
measures. Here, we applied reverse vaccinology and subtractive genomic approaches
for the in silico prediction of potential vaccine and drug targets against 28
strains of H. ducreyi We identified 847 non-host homologous proteins, being 332
exposed/secreted/membrane and 515 cytoplasmic proteins. We also checked their
essentiality, functionality and virulence. Altogether, we predicted 13 candidate
vaccine targets and three drug targets, where two vaccines (A01_1275, ABC
transporter substrate-binding protein; and A01_0690, Probable transmembrane
protein) and three drug targets (A01_0698, Purine nucleoside phosphorylase;
A01_0702, Transcription termination factor; and A01_0677, Fructose-bisphosphate
aldolase class II) are harboured by pathogenicity islands. Finally, we applied a
molecular docking approach to analyse each drug target and selected ZINC77257029,
ZINC43552589 and ZINC67912117 as promising molecules with favourable interactions
with the target active site residues. Altogether, the targets identified here may
be used in future strategies to control chancroid worldwide.
PMID- 29792309
TI - Metabolic and Physiologic Imaging Biomarkers of the Tumor Microenvironment
Predict Treatment Outcome with Radiation or a Hypoxia-Activated Prodrug in Mice.
AB - Pancreatic ductal adenocarcinoma (PDAC) is characterized by hypoxic niches that
lead to treatment resistance. Therefore, studies of tumor oxygenation and
metabolic profiling should contribute to improved treatment strategies. Here, we
define two imaging biomarkers that predict differences in tumor response to
therapy: (i) partial oxygen pressure (pO2), measured by EPR imaging; and (ii) [1
13C] pyruvate metabolism rate, measured by hyperpolarized 13C MRI. Three human
PDAC xenografts with varying treatment sensitivity (Hs766t, MiaPaCa2, and
Su.86.86) were grown in mice. The median pO2 of the mature Hs766t, MiaPaCa2, and
Su.86.86 tumors was 9.1 +/- 1.7, 11.1 +/- 2.2, and 17.6 +/- 2.6 mm Hg, and the
rate of pyruvate-to-lactate conversion was 2.72 +/- 0.48, 2.28 +/- 0.26, and 1.98
+/- 0.51 per minute, respectively (n = 6, each). These results are in agreement
with steady-state data of matabolites quantified by mass spectroscopy and
histologic analysis, indicating glycolytic and hypoxia profile in Hs766t,
MiaPaca2, and Su.86.86 tumors. Fractionated radiotherapy (5 Gy * 5) resulted in a
tumor growth delay of 16.7 +/- 1.6 and 18.0 +/- 2.7 days in MiaPaca2 and Su.86.86
tumors, respectively, compared with 6.3 +/- 2.7 days in hypoxic Hs766t tumors.
Treatment with gemcitabine, a first-line chemotherapeutic agent, or the hypoxia
activated prodrug TH-302 was more effective against Hs766t tumors (20.0 +/- 3.5
and 25.0 +/- 7.7 days increase in survival time, respectively) than MiaPaCa2 (2.7
+/- 0.4 and 6.7 +/- 0.7 days) and Su.86.86 (4.7 +/- 0.6 and 0.7 +/- 0.6 days)
tumors. Collectively, these results demonstrate the ability of molecular imaging
biomarkers to predict the response of PDAC to treatment with radiotherapy and TH
302.Significance: pO2 imaging data and clinically available metabolic imaging
data provide useful insight into predicting the treatment efficacy of
chemotherapy, radiation, and a hypoxia-activated prodrug as monotherapies and
combination therapies in PDAC tumor xenograft models. Cancer Res; 78(14); 3783
92. (c)2018 AACR.
PMID- 29792310
TI - BET Inhibition Overcomes Receptor Tyrosine Kinase-Mediated Cetuximab Resistance
in HNSCC.
AB - Cetuximab, the FDA-approved anti-EGFR antibody for head and neck squamous cell
carcinoma (HNSCC), has displayed limited efficacy due to the emergence of
intrinsic and acquired resistance. We and others have demonstrated that cetuximab
resistance in HNSCC is driven by alternative receptor tyrosine kinases (RTK),
including HER3, MET, and AXL. In an effort to overcome cetuximab resistance and
circumvent toxicities associated with the administration of multiple RTK
inhibitors, we sought to identify a common molecular target that regulates
expression of multiple RTK. Bromodomain-containing protein-4 (BRD4) has been
shown to regulate the transcription of various RTK in the context of resistance
to PI3K and HER2 inhibition in breast cancer models. We hypothesized that, in
HNSCC, targeting BRD4 could overcome cetuximab resistance by depleting
alternative RTK expression. We generated independent models of cetuximab
resistance in HNSCC cell lines and interrogated their RTK and BRD4 expression
profiles. Cetuximab-resistant clones displayed increased expression and
activation of several RTK, such as MET and AXL, as well as an increased
percentage of BRD4-expressing cells. Both genetic and pharmacologic inhibition of
BRD4 abrogated cell viability in models of acquired and intrinsic cetuximab
resistance and was associated with a robust decrease in alternative RTK
expression by cetuximab. Combined treatment with cetuximab and bromodomain
inhibitor JQ1 significantly delayed acquired resistance and RTK upregulation in
patient-derived xenograft models of HNSCC. These findings indicate that the
combination of cetuximab and bromodomain inhibition may be a promising
therapeutic strategy for patients with HNSCC.Significance: Inhibition of
bromodomain protein BRD4 represents a potential therapeutic strategy to
circumvent the toxicities and financial burden of targeting the multiple receptor
tyrosine kinases that drive cetuximab resistance in HNSCC and NSCLC.Graphical
Abstract:
http://cancerres.aacrjournals.org/content/canres/78/15/4331/F1.large.jpg Cancer
Res; 78(15); 4331-43. (c)2018 AACR.
PMID- 29792312
TI - Identification of patients with hemoglobin SS/Sbeta0 thalassemia disease and pain
crises within electronic health records.
AB - Electronic health records (EHRs) are a source of big data that provide
opportunities for conducting population-based studies and creating learning
health systems, especially for rare conditions such as sickle cell disease (SCD).
The objective of our study is to validate algorithms for accurate identification
of patients with hemoglobin (Hb) SS/Sbeta0 thalassemia and acute care encounters
for pain among SCD patients within EHR warehouse. We used data for children
receiving care at Children's Hospital of Wisconsin from 2013 to 2016 to test the
accuracy of the 2 algorithms. The algorithm for genotype identification used
composite information (blood test results, transcranial Doppler) along with
diagnoses codes. Acute pain encounters were identified using diagnoses codes and
further refined by using prescription of IV pain medications. Sensitivities and
specificities were calculated for the algorithms. Predictive values for the
algorithm to identify SCD genotype were calculated. For all assessments, the
local SCD registry and patients' charts were considered gold standards. These
included 360 children with SCD, of whom 51% were females. Our algorithm to
identify patients with HbSS/Sbeta0 thalassemia demonstrated sensitivity of 89.9%
(confidence interval [CI], 85.1%-93.7%) and specificity of 97.1% (CI, 92.7%
99.2%). This algorithm had a positive and negative predictive value of 97.9% (CI,
94.8%-99.9%) and 88.7% (CI, 82.6%-93.3%), respectively. Acute pain crises
encounters were identified with a sensitivity and specificity of 95.1% (CI, 86.3%
99.0%) and 96.1% (CI, 88.3%-99.6%). This study demonstrates the feasibility to
accurately identify patients with specific types of SCD and pain crises within an
EHR.
PMID- 29792313
TI - 65 YEARS OF THE DOUBLE HELIX: One gene, many endocrine and metabolic syndromes:
PTEN-opathies and precision medicine.
AB - An average of 10% of all cancers (range 1-40%) are caused by heritable mutations
and over the years have become powerful models for precision medicine practice.
Furthermore, such cancer predisposition genes for seemingly rare syndromes have
turned out to help explain mechanisms of sporadic carcinogenesis and often inform
normal development. The tumor suppressor PTEN encodes a ubiquitously expressed
phosphatase that counteracts the PI3K/AKT/mTOR cascade - one of the most critical
growth-promoting signaling pathways. Clinically, individuals with germline PTEN
mutations have diverse phenotypes and fall under the umbrella term PTEN hamartoma
tumor syndrome (PHTS). PHTS encompasses four clinically distinct allelic
overgrowth syndromes, namely Cowden, Bannayan-Riley-Ruvalcaba, Proteus and
Proteus-like syndromes. Relatedly, mutations in other genes encoding components
of the PI3K/AKT/mTOR pathway downstream of PTEN also predispose patients to
partially overlapping clinical manifestations, with similar effects as PTEN
malfunction. We refer to these syndromes as 'PTEN-opathies.' As a tumor
suppressor and key regulator of normal development, PTEN dysfunction can cause a
spectrum of phenotypes including benign overgrowths, malignancies, metabolic and
neurodevelopmental disorders. Relevant to clinical practice, the identification
of PTEN mutations in patients not only establishes a PHTS molecular diagnosis,
but also informs on more accurate cancer risk assessment and medical management
of those patients and affected family members. Importantly, timely diagnosis is
key, as early recognition allows for preventative measures such as high-risk
screening and surveillance even prior to cancer onset. This review highlights the
translational impact that the discovery of PTEN has had on the diagnosis,
management and treatment of PHTS.
PMID- 29792311
TI - PEDF regulates plasticity of a novel lipid-MTOC axis in prostate cancer
associated fibroblasts.
AB - Prostate tumors make metabolic adaptations to ensure adequate energy and amplify
cell cycle regulators, such as centrosomes, to sustain their proliferative
capacity. It is not known whether cancer-associated fibroblasts (CAFs) undergo
metabolic re-programming. We postulated that CAFs augment lipid storage and
amplify centrosomal or non-centrosomal microtubule-organizing centers (MTOCs)
through a pigment epithelium-derived factor (PEDF)-dependent lipid-MTOC signaling
axis. Primary human normal prostate fibroblasts (NFs) and CAFs were evaluated for
lipid content, triacylglycerol-regulating proteins, MTOC number and distribution.
CAFs were found to store more neutral lipids than NFs. Adipose triglyceride
lipase (ATGL) and PEDF were strongly expressed in NFs, whereas CAFs had minimal
to undetectable levels of PEDF or ATGL protein. At baseline, CAFs demonstrated
MTOC amplification when compared to 1-2 perinuclear MTOCs consistently observed
in NFs. Treatment with PEDF or blockade of lipogenesis suppressed lipid content
and MTOC number. In summary, our data support that CAFs have acquired a tumor
like phenotype by re-programming lipid metabolism and amplifying MTOCs.
Normalization of MTOCs by restoring PEDF or by blocking lipogenesis highlights a
previously unrecognized plasticity in centrosomes, which is regulated through a
new lipid-MTOC axis.This article has an associated First Person interview with
the first author of the paper.
PMID- 29792316
TI - Chemoresponsive Nanofluidic Pump That Turns Off in the Presence of Lead Ion.
AB - There are many applications that require the integration of a pump and a chemical
sensor so that the solution being pumped can be analyzed in real time for a
specific chemical species and the flow adjusted according to the measured
concentration of that species. We describe here an alternative strategy: a
chemoresponsive pump where a single device acts as both the sensor and pump
simultaneously. We demonstrate this concept with a nanofluidic Pb2+-responsive
pump that uses electroosmotic flow as the pumping technology, and a Pb2+-binding
ionophore that allows the device to selectively respond to Pb2+. The pump yields
high flow rates at low Pb2+ concentrations (<1 MUM), but flow rate decreases with
concentrations above this threshold and ultimately goes to zero at concentrations
above 100 MUM.
PMID- 29792317
TI - In Situ Reduction from Uranyl Ion into a Tetravalent Uranium Trimer and Hexamer
Featuring Ion-Exchange Properties and the Alexandrite Effect.
AB - By utilizing zinc amalgam as an in situ reductant and pH regulator, mild
hydrothermal reaction between UO2(CH3COO)2.2H2O, H2SO4, and Cs2CO3 or between
UO2(CH3COO)2.2H2O, C2H4(SO3H)2, and K2CO3 yielded a novel cesium UIV sulfate
trimer Cs4[U3O(SO4)7].2.2H2O (1) and a new potassium UIV disulfonic hexamer
K[U6O4(OH)5(H2O)5][C2H4(SO3)2]6.6H2O (2), respectively. Compound 1 features a
lamellar structure with a honeycomb lattice, and it represents an unprecedented
trimeric UIV cluster composed of purely inorganic moieties. Complex 2 is built
from hexanuclear U4+ cores and K+ ions interconnected by MU5-[C2H4(SO3)2]2-
groups, leading to the construction of an extended framework rather than commonly
observed discrete, neutral molecular sulfonate clusters. The various binding
modes of the sulfate and disulfonate groups, especially the multidentate ones,
enable additional bridging between metal ions, which promotes oligomerization and
isolation of polynuclear species. Furthermore, compound 1 exhibits both ion
exchange properties and the Alexandrite effect, and it is the second example of a
uranium complex without chromic functional ligands displaying the latter feature.
PMID- 29792318
TI - Condensed Tannin Reacts with SO2 during Wine Aging, Yielding Flavan-3-ol
Sulfonates.
AB - Numerous monomeric and oligomeric flavanol sulfonation products were observed in
10 wines. Levels of 0.85-20.06 and 0-14.72 mg/L were quantified for two monomeric
sulfonated flavan-3-ols and, surprisingly, were generally higher than the well
known native flavan-3-ol monomers. Increasing SO2 levels during wine aging
increased the sulfonate-modified flavan-3-ol monomers and dimers along with
higher concentrations of native monomers. The results indicate that >10% of SO2
is reacting with the C-4 carbocation, formed from acid cleavage of the
interflavan bond, perhaps by a bimolecular SN2-type reaction, and as a reducing
agent. In addition, the high SO2 wine had the lowest protein-binding tannin
levels, tannin activity, and mean degree of polymerization (mDP), and acidic SO2
treatment of condensed tannin abolishes protein binding. Thus, SO2 changes tannin
composition during wine aging, and the substantial formation of sulfonate
modified flavan-3-ols may provide an additional explanation for the reduction in
astringency of aged red wines.
PMID- 29792315
TI - Genomic Variation Among and Within Six Juglans Species.
AB - Genomic analysis in Juglans (walnuts) is expected to transform the breeding and
agricultural production of both nuts and lumber. To that end, we report here the
determination of reference sequences for six additional relatives of Juglans
regia: Juglans sigillata (also from section Dioscaryon), Juglans nigra, Juglans
microcarpa, Juglans hindsii (from section Rhysocaryon), Juglans cathayensis (from
section Cardiocaryon), and the closely related Pterocarya stenoptera While these
are 'draft' genomes, ranging in size between 640Mbp and 990Mbp, their
contiguities and accuracies can support powerful annotations of genomic variation
that are often the foundation of new avenues of research and breeding. We
annotated nucleotide divergence and synteny by creating complete pairwise
alignments of each reference genome to the remaining six. In addition, we have re
sequenced a sample of accessions from four Juglans species (including regia). The
variation discovered in these surveys comprises a critical resource for
experimentation and breeding, as well as a solid complementary annotation. To
demonstrate the potential of these resources the structural and sequence
variation in and around the polyphenol oxidase loci, PPO1 and PPO2 were
investigated. As reported for other seed crops variation in this gene is
implicated in the domestication of walnuts. The apparently Juglandaceae specific
PPO1 duplicate shows accelerated divergence and an excess of amino acid
replacement on the lineage leading to accessions of the domesticated nut crop
species, Juglans regia and sigillata.
PMID- 29792319
TI - Metal-Polydopamine Framework as an Effective Fluorescent Quencher for Highly
Sensitive Detection of Hg(II) and Ag(I) Ions through Exonuclease III Activity.
AB - In this paper, we propose a metal-polydopamine (MPDA) framework with a specific
molecular probe which appears to be the most promising approach to a strong
fluorescence quencher. The MPDA framework quenching ability toward various
organic fluorophore such as aminoethylcoumarin acetate, 6-carboxyfluorescein
(FAM), carboxyteramethylrhodamine, and Cy5 are used to establish a fluorescent
biosensor that can selectively recognize Hg2+ and Ag+ ions. The fluorescent
quenching efficiency was sufficient to achieve more than 96%. The MPDA framework
also exhibits different affinities with ssDNA and dsDNA. In addition, the FAM
labeled ssDNA was adsorbed onto the MPDA framework, based on their interaction
with the complex formed between MPDA frameworks/ssDNA taken as a sensing
platform. By taking advantage of this sensor, highly sensitive and selective
determination of Hg2+ and Ag+ ions is achieved through exonuclease III signal
amplification activity. The detection limits of Hg2+ and Ag+ achieved to be 1.3
and 34 pM, respectively, were compared to co-existing metal ions and graphene
oxide-based sensors. Furthermore, the potential applications of this study
establish the highly sensitive fluorescence detection targets in environmental
and biological fields.
PMID- 29792320
TI - Modular Cavities: Induced Fit of Polar and Apolar Guests into Halogen-Based
Receptors.
AB - Neutral triangular macrocyclic compounds, [PdX2(4,7-phen)]3.(DMF)3.Et2O (X = Cl,
Br; 4,7-phen = 4,7-phenanthroline; DMF = N, N'-dimethylformamide; Et2O = diethyl
ether), were synthesized, and their molecular structures were characterized.
Solution-state 1H NMR results suggested the formation of metal-ligand bonds, and
single-crystal X-ray crystallography revealed clear triangular structures. A
detailed examination of the structures indicated the formation of two kinds of
cavities in the solid state, where a triangular unit works as a halogen-based
receptor for polar and apolar solvents through weak hydrogen-bonding and dipole
dipole interaction.
PMID- 29792321
TI - Explanation of Dramatic pH-Dependence of Hydrogen Binding on Noble Metal
Electrode: Greatly Weakened Water Adsorption at High pH.
AB - Hydrogen oxidation reaction (HOR) and hydrogen evolution reaction (HER) are both
2 orders slower in alkaline electrolyte than in acidic electrolyte, but no
explanation has been provided. The first step toward understanding this dramatic
pH-dependent HOR/HER performance is to explain the pH-dependent hydrogen binding
to the electrode, a perplexing behavior observed experimentally. In this work, we
carried out Quantum Mechanics Molecular Dynamics (QMMD) with explicit
considerations of solvent and applied voltage ( U) to in situ simulate
water/Pt(100) interface in the condition of under-potential adsorption of
hydrogen ( HUPD). We found that as U is made more negative, the electrode tends
to repel water, which in turn increases the hydrogen binding. We predicted a 0.13
eV increase in hydrogen binding from pH = 0.2 to pH = 12.8 with a slope of 10
meV/pH, which is close to the experimental observation of 8 to 12 meV/pH. Thus,
we conclude that the changes in water adsorption are the major causes of pH
dependent hydrogen binding on a noble metal. The new insight of critical role of
surface water in modifying electrochemical reactions provides a guideline in
designing HER/HOR catalyst targeting for the alkaline electrolyte.
PMID- 29792322
TI - Metabolism of Phenolic Compounds in LPS-stimulated Raw264.7 Cells Can Impact
Their Anti-inflammatory efficacy: Indication of Hesperetin.
AB - Raw264.7 is a murine macrophage-like cell line commonly used to study the anti
inflammatory efficacy of natural compounds. However, the impacts of long-time
incubation on the tested compounds are often inappropriately ignored. Among 77
natural phenolic compounds (mainly flavonoids), only 36 remain more than 70%
after a 15-h incubation in cell culture medium at 37 degrees C. Interestingly,
for those compounds with a relatively good chemical stability, the presence of
Raw264.7 cells could accelerate their disappearance in the medium, indicating
that cellular metabolism occurred. As a representative phenolic, hesperetin was
found to be efficiently metabolized by Raw264.7 cells and the metabolite was
identified as a glucuronide in the further investigation. The glucuronidation
activity is constitutive in this cell line. At certain concentration levels of
hesperetin, the ability of hesperetin to inhibit PGD2 production in LPS-induced
Raw264.7 cells was significantly enhanced by introducing beta-glucuronidase,
which can hydrolyze hesperetin glucuronide, into the incubation medium. The
results indicate that glucuronidation and excretion of hesperetin can
significantly impact its bioactivity in Raw264.7 cells.
PMID- 29792323
TI - Cellular-Beacon-Mediated Counting for the Ultrasensitive Detection of Ebola Virus
on an Integrated Micromagnetic Platform.
AB - Ebola virus (EBOV) disease is a complex zoonosis that is highly virulent in
humans and has caused many deaths. Highly sensitive detection of EBOV is of great
importance for early-stage diagnosis for increasing the probability of survival.
Herein, we established a cellular-beacon-mediated counting strategy for an
ultrasensitive EBOV assay on a micromagnetic platform. The detection platform,
which was assisted by on-demand magnetic-field manipulation, showed high
integration and enhanced complex-sample pretreatment by magnetophoretic
separation and continuous-flow washing. Cellular beacons (i.e., fluorescent
cells) with superior optical properties were used as reporters, and each cellular
beacon was used as a fluorescent tracking unit to quantify EBOV by counting the
numbers of individual fluorescent signals on the micromagnetic platform. This
method achieves high sensitivity with a detection limit as low as 2.6 pg/mL, and
the detection limit shows little difference in a complex matrix. In addition, it
has excellent specificity and good reproducibility. These results indicate that
this method proposes an ultrasensitive detection strategy for early diagnosis of
the disease.
PMID- 29792324
TI - Photochemical Formation and Transformation of Birnessite: Effects of Cations on
Micromorphology and Crystal Structure.
AB - As important components with excellent oxidation and adsorption activity in soils
and sediments, manganese oxides affect the transportation and fate of nutrients
and pollutants in natural environments. In this work, birnessite was formed by
photocatalytic oxidation of Mn2+aq in the presence of nitrate under solar
irradiation. The effects of concentrations and species of interlayer cations
(Na+, Mg2+, and K+) on birnessite crystal structure and micromorphology were
investigated. The roles of adsorbed Mn2+ and pH in the transformation of the
photosynthetic birnessite were further studied. The results indicated that Mn2+aq
was oxidized to birnessite by superoxide radicals (O2*-) generated from the
photolysis of NO3- under UV irradiation. The particle size and thickness of
birnessite decreased with increasing cation concentration. The birnessite showed
a plate-like morphology in the presence of K+, while exhibited a rumpled sheet
like morphology when Na+ or Mg2+ was used. The different micromorphologies of
birnessites could be ascribed to the position of cations in the interlayer. The
adsorbed Mn2+ and high pH facilitated the reduction of birnessite to low-valence
manganese oxides including hausmannite, feitknechtite, and manganite. This study
suggests that interlayer cations and Mn2+ play essential roles in the
photochemical formation and transformation of birnessite in aqueous environments.
PMID- 29792325
TI - Non-geminal Aliphatic Dihalogenation Pattern in Dichlorinated Diaporthins from
Hamigera fusca NRRL 35721.
AB - Two new epimeric dihalogenated diaporthins, (9 R *)-8-methyl-9,11
dichlorodiaporthin (2) and (9 S *)-8-methyl-9,11-dichlorodiaporthin (3), have
been isolated from the soil fungus Hamigera fusca NRRL 35721 alongside the known
regioisomeric isocoumarin 8-methyl-11,11-dichlorodiaporthin (1). Their structures
were elucidated by high-resolution mass spectrometry and NMR spectroscopy
combined with molecular modeling. Compounds 1-3 are the first isocoumarins and
the first halogenated metabolites ever reported from the Hamigera genus. The new
compounds 2 and 3 display a non-geminal aliphatic dichlorination pattern
unprecedented among known fungal dihalogenated aromatic polyketides. A
bifunctional methyltransferase/aliphatic halogenase flavoenzyme is proposed to be
involved in the biosynthesis of dichlorinated diaporthins 1-3. These metabolites
are weakly cytotoxic.
PMID- 29792326
TI - Common Principles of Molecular Electronics and Nanoscale Electrochemistry.
AB - The merging of nanoscale electronics and electrochemistry can potentially
modernize the way electronic devices are currently engineered or constructed.
This Feature offers a conceptual discussion of this central topic, with
particular focus on the impact that uniting physical and chemical concepts at the
nanoscale could have on the future development of electroanalytical devices.
PMID- 29792327
TI - Multifunctional Binary Monolayers Ge xP y: Tunable Band Gap, Ferromagnetism, and
Photocatalyst for Water Splitting.
AB - The most stable structures of two-dimensional Ge xP y and Ge xAs y monolayers
with different stoichiometries (e.g., GeP, GeP2, and GeP3) are explored
systematically through the combination of the particle-swarm optimization
technique and density functional theory optimization. For GeP3, we show that the
newly predicted most stable C2/ m structure is 0.16 eV/atom lower in energy than
the state-of-the-art P3m1 structure reported previously ( Nano Lett. 2017, 17,
1833). The computed electronic band structures suggest that all the stable and
metastable monolayers of Ge xP y are semiconductors with highly tunable band gaps
under the biaxial strain, allowing strain engineering of their band gaps within
nearly the whole visible-light range. More interestingly, the hole doping can
convert the C2/ m GeP3 monolayer from nonmagnetic to ferromagnetic because of its
unique valence band structure. For the GeP2 monolayer, the predicted most stable
Pmc21 structure is a (quasi) direct-gap semiconductor that possesses a high
electron mobility of ~800 cm2 V-1 s-1 along the k a direction, which is much
higher than that of MoS2 (~200 cm2 V-1 s-1). More importantly, the Pmc21 GeP2
monolayer not only can serve as an n-type channel material in field-effect
transistors but also can be an effective catalyst for splitting water.
PMID- 29792328
TI - Transforming Nanofibers into Woven Nanotextiles for Vascular Application.
AB - This study investigates the unique properties, fabrication technique, and
vascular applications of woven nanotextiles made from low-strength nanoyarns,
which are bundles of thousands of nanofibers. An innovative robotic system was
developed to meticulously interweave nanoyarns in longitudinal and transverse
directions, resulting in a flexible, but strong woven product. This is the only
technique for producing seamless nanotextiles in tubular form from nanofibers.
The porosity and the mechanical properties of nanotextiles could be substantially
tuned by altering the number of nanoyarns per unit area. Investigations of the
physical and biological properties of the woven nanotextile revealed remarkable
and fundamental differences from its nonwoven nanofibrous form and conventional
textiles. This enhancement in the material property was attributed to the
multitude of hierarchically arranged nanofibers in the woven nanotextiles. This
patterned woven nanotextile architecture leads to a superhydrophilic behavior in
an otherwise hydrophobic material, which in turn contributed to enhanced protein
adsorption and consequent cell attachment and spreading. Short-term in vivo
testing was performed, which proved that the nanotextile conduit was robust,
suturable, kink proof, and nonthrombogenic and could act as an efficient
embolizer when deployed into an artery.
PMID- 29792329
TI - Residual Complexity Does Impact Organic Chemistry and Drug Discovery: The Case of
Rufomyazine and Rufomycin.
AB - Residual complexity (RC) involves the impact of subtle but critical structural
and biological features on drug lead validation, including unexplained effects
related to unidentified impurities. RC commonly plagues drug discovery efforts
due to the inherent imperfections of chromatographic separation methods. The new
diketopiperazine, rufomyazine (6), and the previously known antibiotic, rufomycin
(7), represent a prototypical case of RC that (almost) resulted in the
misassignment of biological activity. The case exemplifies that impurities well
below the natural abundance of 13C (1.1%) can be highly relevant and calls for
advanced analytical characterization of drug leads with extended molar dynamic
ranges of >1:1,000 using qNMR and LC-MS. Isolated from an actinomycete strain, 6
was originally found to be active against Mycobacterium tuberculosis with a
minimum inhibitory concentration (MIC) of 2 MUg/mL and high selectivity. As a
part of lead validation, the dipeptide was synthesized and surprisingly found to
be inactive. The initially observed activity was eventually attributed to a very
minor contamination (0.24% [m/m]) with a highly active cyclic peptide (MIC ~ 0.02
MUM), subsequently identified as an analogue of 7. This study illustrates the
serious implications RC can exert on organic chemistry and drug discovery, and
what efforts are vital to improve lead validation and efficiency, especially in
NP-related drug discovery programs.
PMID- 29792330
TI - Vancomycin Determination by Disrupting Electron-Transfer in a Fluorescence Turn
On Squaraine-Anthraquinone Triad.
AB - A highly sensitive and selective probe for Vancomycin (Van) in aqueous and serum
samples is developed in this study. The probe is based on a triad consisting of a
near-infrared squaraine dye (Seta-640) conjugated to two anthraquinone molecules
via Lys-d-Ala-d-Ala peptides. In the absence of Van, the close proximity and
efficient electron-transfer from the excited Seta-640 dye to anthraquinone result
in significant fluorescence quenching of the dye ("off"-state). When Van is
added, the antibiotic molecules bind with high affinity to the -d-Ala-d-Ala
ligands in a 2:1 stoichiometry (Van:triad), resulting in fluorescence recovery
that is as high as 30 times ("on"-state). Even though bound Van enhances the
fluorescence by reducing the rate of (intrinsic) polarity-induced nonradiative
decay process, this effect plays only a minor role. Instead, the main reason
behind the observed fluorescence recovery after drug binding is the effective
inhibition of electron-transfer; plausibly arising from a steric-induced
lengthening of the spatial separation between electron donor and acceptor. The
probe has detection limits of 7.0 and 96.9 nM in buffer and human serum,
respectively, operates in the clinically relevant range, is insensitive to Van
crystalline degradation product (CDP-1), and is easy to operate by using a
commonly available fluorescence spectrometer.
PMID- 29792331
TI - Predictable Particle Engineering: Programming the Energy Level, Carrier
Generation, and Conductivity of Core-Shell Particles.
AB - Core-shell structures are of particular interest in the development of advanced
composite materials as they can efficiently bring different components together
at nanoscale. The advantage of this structure greatly relies on the crucial
design of both core and shell, thus achieving an intercomponent synergistic
effect. In this report, we show that decorating semiconductor nanocrystals with a
boronate polymer shell can easily achieve programmable core-shell interactions.
Taking ZnO and anatase TiO2 nanocrystals as inner core examples, the effective
core-shell interactions can narrow the band gap of semiconductor nanocrystals,
change the HOMO and LUMO levels of boronate polymer shell, and significantly
improve the carrier density of core-shell particles. The hole mobility of core
shell particles can be improved by almost 9 orders of magnitude in comparison
with net boronate polymer, while the conductivity of core-shell particles is at
most 30-fold of nanocrystals. The particle engineering strategy is based on two
driving forces: catechol-surface binding and B-N dative bonding and having a high
ability to control and predict the shell thickness. Also, this approach is
applicable to various inorganic nanoparticles with different components, sizes,
and shapes.
PMID- 29792332
TI - Ligation-Enhanced pi-Hole...pi Interactions Involving Isocyanides: Effect of pi
Hole...pi Noncovalent Bonding on Conformational Stabilization of Acyclic
Diaminocarbene Ligands.
AB - The reaction of cis-[PdCl2(CNXyl)2] (Xyl = 2,6-Me2C6H3) with the aminoazoles [1 H
imidazol-2-amine (1), 4 H-1,2,4-triazol-3-amine (2), 1 H-tetrazol-5-amine (3), 1
H-benzimidazol-2-amine (4), 1-alkyl-1 H-benzimidazol-2-amines, where alkyl = Me
(5), Et (6)] in a 2:1 ratio in the presence of a base in CHCl3 at RT proceeds
regioselectively and leads to the binuclear diaminocarbene complexes
[(ClPdCNXyl)2{MU-C(N-azolyl)N(Xyl)C?NXyl}] (7-12; 73-91%). Compounds 7-12 were
characterized by C, H, N elemental analyses, high-resolution ESI+-MS, Fourier
transform infrared spectroscopy, 1D (1H, 13C) and 2D (1H,1H-COSY, 1H,1H-NOESY,
1H,13C-HSQC, 1H,13C-HMBC) NMR spectroscopies, and X-ray diffraction (XRDn).
Inspection of the XRDn data and results of the Hirshfeld surface analysis suggest
the presence in all six structures of intramolecular pi-holeisocyanide...piarene
interactions between the electrophilic C atom of the isocyanide moiety and the
neighboring arene ring. These interactions also result in distortion of the Pd
C=N-Xyl fragment from the linearity. Results of density functional theory
calculations [M06/MWB28 (Pd) and 6-31G* (other atoms) level of theory] for model
structures of 7-9 followed by the topological analysis of the electron density
distribution within the framework of Bader's theory (QTAIM method) reveal the
presence of these weak interactions also in a CHCl3 solution, and their
calculated strength is 1.9-2.2 kcal/mol. The natural bond orbital analysis of 7-9
revealed that pi(C-C)Xyl -> pi*(C-N)isocyanide charge transfer (CT) takes place
along with the intramolecular pi-holeisocyanide...piarene interactions. The
observed pi(C-C)Xyl -> pi*(C-N)isocyanide CT is due to ligation of the isocyanide
to the metal center, whereas in the cases of the uncomplexed p-CNC6H4NC and CNXyl
species, the effects of CT are negligible. Available CCDC data were processed
from the perspective of isocyanide-involving pi-hole...pi interactions, disclosed
the role of metal coordination in the pi-hole donor ability of isocyanides, and
verified the pi-holeisocyanide...piarene interaction effect on the stabilization
of the in-conformation in metal-bound acyclic diaminocarbenes.
PMID- 29792333
TI - Characterization of a Scalable Donor-Based Singlet-Triplet Qubit Architecture in
Silicon.
AB - We present a donor-based quadruple-quantum-dot device, designed to host two
singlet-triplet qubits fabricated by scanning tunnelling microscope lithography,
with just two leads per qubit. The design is geometrically compact, with each
pair of dots independently controlled via one gate and one reservoir. The
reservoirs both supply electrons for the dots and measure the singlet-triplet
state of each qubit via dispersive sensing. We verify the locations of the four
phosphorus donor dots via an electrostatic model of the device. We study one of
the observed singlet-triplet states with a tunnel coupling of 39 GHz and a S0-to-
T- decay of 2 ms at zero detuning. We measure a 5 GHz electrostatic interaction
between two pairs of dots separated by 65 nm. The results outline a low-gate
density pathway to a scalable 1D building block of atomic-precision singlet
triplet qubits using donors with dispersive readout.
PMID- 29792334
TI - Chemical constituents from Dendrobium hainanense.
AB - A new phenolic derivative (1) and a new dihydrophenanthrene (2) were isolated
from the aerial part of Dendrobium hainanense rofe, along with 12 known
compounds. The structures of the new compounds were elucidated by spectroscopic
analysis,and the relative configuration of compound 1 was determined by J-based
configuration analysis (JBCA) method. Bioassay result indicated that compound 1
exhibited weak antibacterial activity against Canidia albicans and Ralstonia
solanaceanum.
PMID- 29792335
TI - Synthesis of novel thymol derivatives against MRSA and ESBL producing pathogenic
bacteria.
AB - Twelve substituted aryl-azo-thymol derivatives (4a to 4 l) were synthesized and
characterized by several spectral techniques such as, FTIR, UV-vis, proton NMR,
Mass spectrometry and elemental analysis. Antimicrobial activities were evaluated
by agar-well diffusion method against isolated MRSA, ESBL-producing pathogenic
bacteria and antifungal resistant fungi, in vitro. In addition, drug likeness
properties of derivatives were assessed through bioinformatic tools such as, PASS
prediction, molecular docking and Lipinski rules of five, along with
determination of toxic nature and LD50 values. Among 12 derivatives, 4a, 4b, 4c,
4 g, 4i, 4j and 4 k had significant antibacterial and antifungal activities with
minimum inhibitory concentration values, 40 to 80 MUg/ml. Moreover, the docking
scores of derivatives were -8.27 to -11.44 kcal/mol, against 4 bacterial targets
and -9.45 to -12.49 kcal/mol against 2 fungal targets. Thus, from in vitro and in
silico studies, thymol derivatives had control of MRSA, ESBL-producing bacteria
and antifungal resistant fungi.
PMID- 29792336
TI - Evaluation of a national neurosurgical formative examination: the UK experience.
AB - INTRODUCTION: Formative assessment is a key component in medical education and
that it is a helpful process for all involved. Until recently there was no
national formative examination for neurosurgical trainees. The Neurosurgery
Annual in Training Examination (NAiTE) is an annual online, formative assessment
that was introduced in 2014. In this paper, we seek to discuss how well NAiTE
relates to established educational practice and principles and its fitness for
purpose by discussing its rationale, structure and utility. METHODS: A national
online examination was introduced in 2014. The NAiTE consists of 100 single best
answer multiple choice questions. The examination and questions with were
reviewed and the global results presented. The existing literature and
educational theory are used to guide subjective assessment of the process.
RESULTS: In 2016, 191 candidates participated in the NAiTE, of whom 154 were
trainees working in UK neurosurgical units. The mean score for early stage UK
trainees (years 1-3) was 52.4%, intermediate (years 4-5) 58.5% and senior (years
6-8) 65.4%. The NAiTE was found to be a reliable (Cronbach-Alpha of 0.89) and
valid assessment of trainees with scores approximating those attained in the
Intercollegiate Specialty Examination itself. DISCUSSION: Potential areas for
improvement are highlighted, including reference to some that have already been
implemented. CONCLUSION: Overall, the examination is a cheap, viable and reliable
means of testing trainees and encouraging their onward development and learning
as they work towards the Intercollegiate Specialty Examination.
PMID- 29792337
TI - Titanium dioxide nanoparticles induce in vitro autophagy.
AB - AIM: Concerns about the possible toxicity to environment and human health of
titanium dioxide nanoparticles (TiO2 NPs) are increasing. The aim of this study
was to investigate the relationship between toxicology and autophage in vitro.
METHODS: RAW 264.7 cells were exposed to five concentrations (50, 100, 200, 300,
and 400 MUg/mL) and two particle size of TiO2 NPs (30 and 100 nm) for 24 h.
RESULTS: The results showed that TiO2 NPs decreased cell viability, phagocytic
rate, and phagocytic index in a concentration-dependent manner, thereby inducing
autophagy. TiO2 NPs-induced autophagy was indicated by monodansyl cadaverine
staining and transmission electron microscopy. TiO2 NPs-induced messenger RNA
expression of autophagy-related proteins LC3 and Beclin-1 was also significantly
increased compared with those of the unexposed control cells. LC3 and Beclin-1
protein expression levels were markedly increased with the increase of TiO2 NPs
concentrations. CONCLUSION: These results suggest the possibility that TiO2 NPs
induced toxicology probably plays a key role in autophagy in RAW 264.7 cells, and
further exhaustive research on the harmful effects of these NPs in relevant
organisms is needed for their safe application.
PMID- 29792338
TI - Molecular mechanism for the influence of gender dimorphism on alcoholic liver
injury in mice.
AB - It is known that women develop alcoholic liver injury more rapidly and have a
lower alcohol toxic threshold than men. However, the detailed molecular
mechanisms remain unclear. The precise mechanism responsible for the sex
difference needs to be determined. Female and male mice were given ethanol by
intragastric infusion every day for 4 weeks. The pathological changes were
detected by hematoxylin-eosin, Sirius red, oil red O, periodic acid-Schiff, and
Hochest33258 staining in the liver of female and male mice. The related gene and
protein expression of hepatocytes stress, proliferation and apoptosis, glycogen
synthesis, lipid metabolism, and hepatic fibrosis were also systematically
analyzed in the female and male mice. Livers from ethanol-treated female mice had
more serious hepatocyte necrosis, liver fibrosis ( P < 0.01), substantial
micro/macrovesicular steatosis ( p < 0.01), glycogen consumption ( p < 0.05), and
hepatocytes apoptosis ( p < 0.05) than ethanol-treated male mice. The expression
of heat shock protein 27 (HSP27), HSP70, proliferating cell nuclear antigen, B
cell lymphoma/leukemia-2 (Bcl-2), and phosphorylated signal transducer and
activators of transcription 3 (p-STAT3) was higher in ethanol-treated male mice
than ethanol-treated female mice ( P < 0.05 or P < 0.01). But, the expression of
Bax (Bcl-2-associated X protein), Caspase 3, CYP2E1 (cytochrome P4502E1), and
transforming growth factor betal had the contrary results. Our study suggested
that ethanol treatment induced more expression of HSP27 and HSP70, faster
hepatocyte proliferation, higher level of glycogen, and interleukin-6 signaling
pathway activation, but less hepatocyte apoptosis and CYP2E1 expression in male
mice than female mice, which could be helpful to understand the molecular
mechanism for the influence of sex difference on alcoholic liver injury.
PMID- 29792340
TI - Multidisciplinary care model for HIV improves treatment outcome: a single-centre
experience from the Middle East.
AB - Multidisciplinary team (MDT) care models have been shown to improve clinical
outcomes among HIV patients. We aim to assess the impact of adopting MDT approach
in a tertiary HIV clinic in Muscat, Sultanate of Oman. We introduced MDT approach
in our HIV centre in January 2016 where existing team members (counsellors,
nurses, social workers, pharmacists and doctors) worked together, through care
pathways, to support patients as they go through the HIV care continuum from
diagnosis to viral suppression. Notes were reviewed for demographics and clinical
data. The primary outcome was HIV viral load (VL) suppression (<20, < 200 and <
1000 copies/ml) in measurements by December 2015 and June 2017. In December 2015,
253 patients were in care; 98.4% (249/253) were on antiretroviral therapy (ART).
Median age was 41 years and 70% were males. Median baseline CD4 was 204. In June
2017, 294 were in care with similar patient characteristics to those in care in
2015. The majority, 95.9% (282/294), were on ART; 8 of whom started ART within 3
months, hence excluded from the VL analysis. Overall, VL < 200 and < 1000 rates
increased from 71.9% and 78.7% in 2015 to 90.5% and 95.6% in 2017, with relative
risk (RR) (95% CI) of 1.26 (1.15-1.37) and 1.21 (1.13-1.30), respectively; p
value < 0.0001 for both. In a sub-analysis of 214 patients who were in care in
2015 and remained in care in 2017, VL < 200 and < 1000 rates increased from 78.5%
and 85% in 2015 to 90.2% and 94.4% in 2016, with RR (95% CI) of 1.15 (1.06 to
1.25) and 1.11 (1.04-1.18), respectively; p values of 0.0010 for both. MDT
approach has significantly improved treatment outcome for existing patients and
those who have attended our services since the introduction of the MDT model.
PMID- 29792339
TI - Pulmonary hypertension in congenital heart disease.
AB - Pulmonary hypertension is defined as a mean pulmonary arterial pressure >=25
mmHg. We focus on its relevance in congenital heart disease, reviewing
pathophysiology, diagnosis and management. Pulmonary hypertension is a relatively
common complication of congenital heart disease, with adult prevalence between 5
and 10%. A multifactorial cause is recognized, relating to the size and nature of
cardiac defect as well as environmental and genetic factors. More complex disease
is increasingly recognized rather than pure Eisenmenger complex. Remodeling of
the pulmonary vascular bed causes increased pulmonary vascular resistance
diagnosed by a collection of investigations including echocardiography, exercise
testing, cardiac catheterization, MRI and CT scanning. Management employs disease
modifying medications which are now used with increasing benefit.
PMID- 29792341
TI - Our experience with using a uniform prophylactic protocol in neurosurgery:
surgical-site infection did not occur in 272 operations.
AB - PURPOSE: Surgical site infection (SSI) is a source of concern in any surgical
procedure. Although studies with high numbers of patients are available, most of
these studies were performed by different surgeons and the exact anti-SSI
protocol is not mentioned or is not homogeneous in all patients. MATERIALS AND
METHODS: We present the results of 272 cases where SSI was successfully prevented
in our neurosurgical units and in this study we explain our institutional
protocol for achieving this positive result. We included all neurosurgical
procedures in our two centers that needed an operating room, undertaken between 9
November 2015 and 6 December 2016, retrospectively. Then we compare our results
with the existing literature. RESULTS: We performed 272 neurosurgical procedures
on 245 patients. 155 patients were male and 90 were female. We re-operated on 24
patients and 3 of them operated on three times in each instance case and others
were operated on twice. The patient's ages ranged from 2 to 86years with the mean
being 42.39 +/- 19 years. The cranial site of surgery was more common (129
procedures, 47.4%) and overall 118 operations (43.4%) were accompanied with a
prosthetic device placement. We performed 96 emergency procedures. The mean
hospitalization time was 6.19 +/- 6.77 days. We followed all patients for at
least six months. There were no reports of SSI in our neurosurgical units.
CONCLUSION: With a fixed protocol of antisepsis, hand protection and prophylactic
antibiotics, there were achieved good results. We recommend the current protocol
as an effective measure in the control of infection in the neurosurgical ward.
However, further studies are needed with more patients and a stronger study
design.
PMID- 29792342
TI - Misidentification of copperhead and cottonmouth snakes following snakebites.
AB - INTRODUCTION: Copperhead (Agkistrodon contortrix) and cottonmouth or water
moccasin (Agkistrodon piscivorus) snakes account for the majority of venomous
snakebites in the southern United States. Cottonmouth snakes are generally
considered to have more potent venom. Copperheads are considered less venomous
and there is some controversy as to whether or not bites from copperhead snakes
need to be treated with antivenom. Copperhead and juvenile cottonmouth snakes are
both brown in color. The purpose of this study was to evaluate the accuracy of
identification by the public and healthcare providers between these two species.
METHODS: Snakebite victims sometimes bring dead snakes to the hospital or have
taken pictures of the snake. When this occurred, ED personnel were asked to take
a picture of the snake, and forward the picture to the state poison control
center. The identification of the snake by witnesses and/or hospital personnel
was compared to the identification by the state herpetologist. RESULTS: During
the study period, there were 286 cases of snakebites reported to the state poison
control center. Pictures were obtained on 49 of the responsible snakes. All
copperhead snakes were identified correctly by callers. However, only 21% of
cottonmouth snakes were identified correctly, with 74% of cottonmouth snakes
being identified as copperheads. Both public and medical personnel performed
poorly on identification of cottonmouth snakes. CONCLUSIONS: Forty percent of the
snakes identified as copperheads were actually cottonmouth snakes. Juvenile
cottonmouth snakes were often identified as copperhead snakes.
PMID- 29792343
TI - Plasma-initiated graft polymerization as an immobilization platform for metal
free Russian propolis ethanol extracts designed specifically for biomaterials.
AB - The antibacterial and anti-biofilm activities of propolis have been intensively
reported. However, the application of this folk remedy as a means to prevent
biomedical implant contamination has yet to be completely evaluated. In response
to the significant resistant and infectious attributes of biofilms, biomaterials
engineered to possess specific chemical and physical properties were immobilized
with metal free Russian propolis ethanol extracts (MFRPEE), a known antibacterial
agent. The results obtained from this study begin to examine the application of
MFRPEE as a novel alternative method for the prevention of medical and biomedical
implant infections. When constructed under specific experimental conditions,
immobilized biomaterials showed excellent stability when subjected to simulated
body fluid and fetal bovine serum. The ability of immobilized biomaterials to
specifically target pathogens (both Gram-positive and Gram-negative biofilm
forming bacteria), while promoting tissue cell growth, renders these biomaterials
as potential candidates for clinical applications.
PMID- 29792344
TI - Direct formylation of 2-pyridone core of 3-N-methylcytisine via Duff reaction;
synthesis of 9-enyl, 9-ynyl and 9-imino derivatives.
AB - The first direct synthesis of 3-N-methyl-9-formylcytisine via electrophylic
formylation is described. It is established, that Vilsmeier-Haack and Gatterman
variants of this reaction are unsuccessful in the case with 3-substituted (-)
cytisine derivatives, but Duff procedure (with hexamethylenetetramine in
trifluoroacetic acid) gives a possibility to obtain the target pseudo aromatic
aldehyde with the 69% yield. Convenient precursors for [4 + 2]- or [3 + 2]
cycloaddition reactions are obtained by means of condensation of synthesized 3-N
methyl-9-formylcytisine with acetone, nitromethane and phosphorous ylides with
yields from 70 to 87%. Alternative aprroach to alkenyl products and to 9-alkynyl
3-methylcytisine is realized using the Heck and Sonogashira cross-coupling
reactions of methyl vinyl ketone, cyclohexenone or trimethylsilylacetylene with 9
bromo-3-methylcytisine (55, 70 and 60% accordingly). It is shown, that
interaction of 3-N-methyl-9-formylcytisine with hydroxylamines leads to
corresponding nitrone (93%) and oxime (70%). All individual compounds are
isolated by column chromatography and completely characterized on the basis of
NMR spectroscopy data.
PMID- 29792345
TI - Dysembryoplastic neuroepithelial tumours: clinical, radiological, pathological
features and outcome.
AB - OBJECT: To analyse the clinical, imaging and histopathological data of patients
who were diagnosed to have Dysembrioplastic Neuroepithelial Tumour (DNET) and
underwent surgery between 1995-2015. MATERIALS AND METHODS: Age at seizure onset,
age at surgery, gender, disease duration, seizure outcome of 44 patients were
analysed together with Magnetic Resonance Imaging (MRI) of 21 patients. MRI types
were classified as type 1 (cystic/polycystic-like, well-delineated, strongly
hypointense T1), type 2 (nodularlike,heterogeneous), type 3 (dysplastic-like,
iso/hyposignal T1, poor delineation, gray-white matter blurring). RESULTS:
Histopathological classification revealed simple form in 19, complex in 14 and
non-specific in 11 patients. Lobar distribution of the lesions was as follows: 21
Temporal (47.7%), 12 parietal (27.3%), 8 frontal (18.2%) and 3 occipital (6.8%).
Type 1 MRI was observed in 10, type 2 was in 7, and type 3 in 4 patients on
radiological evaluation. All cases with type 1 MRI corresponded to either simple
or complex forms and all cases with type 3 MRI corresponded to nonspecific form.
The histopathological distribution of cases with type 2 MRI was 4 as non
specific, 2 as simple, 1 as complex. There was no significant difference in the
age of onset, age at operation and duration of epilepsy between the patients with
different MRI subtypes. The majority of patients (N:36) had Engel I outcome
(81,8%). In groups with Engel II and III outcome, duration of epilepsy was
significantly higher (p:0,014) and simple form of DNET has significantly higher
seizure freedom after surgery compared to complex and nonspecific forms of DNET
(p:0,002). CONCLUSION: Patients with DNET constitute a group with favorable
outcomes after epilepsy surgery especially with early referral to surgery. Longer
duration of epilepsy was associated with worse seizure outcome for DNET patients.
There was significant correlation between radiological and histopathological
types of DNET especially in type 1 and 3.
PMID- 29792346
TI - Synthesis of novel (-)-Camphene-based thiosemicarbazones and evaluation of anti
Mycobacterium tuberculosis activity.
AB - In this work the aim of study was the synthesis and evaluation of in vitro anti
Mycobacterium tuberculosis activity as well as the cytotoxicity in VERO cells of
a series of 17 novel thiosemicarbazones derived from the natural monoterpene (-)
camphene by REMA and MTT methods. Overall, the majority of tested compounds
exhibited considerable inhibitory effects on the growth of M. tuberculosis H37Rv,
especially the derivatives 3, 4a-c, 4f, 4i, 4k, 5 and 6a-b. MIC values of 20
tested compounds ranged from 3.9 to > 250 MUg/mL. It was found that when
inserting new nitrogenous groups to the (-)-camphene increased the anti-M.
tuberculosis activity of some compounds. The SI was calculated for all compounds
that showed highly potent anti-M. tuberculosis activity and the best SI values
were 21.36, 26.92 and 31.62 (4b, 6a and 6b), and may be considered potential
candidates for future antituberculosis drugs.
PMID- 29792347
TI - Fewer adverse effects associated with a modified two-bag intravenous
acetylcysteine protocol compared to traditional three-bag regimen in paracetamol
overdose.
AB - CONTEXT: The intravenous (IV) N-acetylcysteine (NAC) regimen used worldwide in
paracetamol overdose is complex with three separate weight-based doses and is
associated with a high incidence of adverse events including non-allergic
anaphylactoid reactions (NAARs). In 2012, Denmark adopted the two-bag IV NAC
regimen which combined the first two infusions of the three-bag regimen and kept
the third infusion unchanged. We compared the safety and efficacy of the two-bag
IV NAC regimen with the traditional Danish three-bag regimen. METHODS: A medical
chart review was conducted in three Danish medical centers from January 2012
through December 2014. Safety and efficacy data were compared for patients who
received the traditional infusion protocol in Denmark or the 20-h two-bag IV
regimen. RESULTS: Four hundred and ninety-three cases received the two-bag
regimen and 274 received the three-bag regimen. The overall incidence of NAARs
was 9% with all being mild to moderate in intensity. Fewer subjects in the two
bag group (4%) developed NAARs compared to 17% in the three-bag group (p < .001).
Overall, 31 patients (4%) developed hepatotoxicity. There was no apparent
difference in hepatotoxicity rates between the groups and no deaths or liver
transplants. Patients receiving the two-bag regimen had fewer interruptions or
delays (5%) compared to the three-bag regimen cohort (12%). Overall, there were
very few medication errors reported (1%). CONCLUSIONS: The incidence of NAARs was
lower in patients receiving acetylcysteine in a two-bag regimen compared to the
traditional Danish three-bag regimen without an apparent reduction in efficacy.
PMID- 29792349
TI - Pulmonary endothelial permeability and tissue fluid balance depend on the
viscosity of the perfusion solution.
AB - Fluid filtration in the pulmonary microcirculation depends on the hydrostatic and
oncotic pressure gradients across the endothelium and the selective permeability
of the endothelial barrier. Maintaining normal fluid balance depends both on
specific properties of the endothelium and of the perfusing blood. Although some
of the essential properties of blood needed to prevent excessive fluid leak have
been identified and characterized, our understanding of these remains incomplete.
The role of perfusate viscosity in maintaining normal fluid exchange has not
previously been examined. We prepared a high-viscosity perfusion solution (HVS)
with a relative viscosity of 2.5, i.e., within the range displayed by blood
flowing in vessels of different diameters in vivo (1.5-4.0). Perfusion of
isolated murine lungs with HVS significantly reduced the rate of edema formation
compared with perfusion with a standard solution (SS), which had a lower
viscosity similar to plasma (relative viscosity 1.5). HVS did not alter capillary
filtration pressure. Increased endothelial shear stress produced by increasing
flow rates of SS, to mimic the increased shear stress produced by HVS, did not
reduce edema formation. HVS significantly reduced extravasation of Evans blue
labeled albumin compared with SS, indicating that it attenuated endothelial leak.
These findings demonstrate for the first time that the viscosity of the solution
perfusing the pulmonary microcirculation is an important physiological property
contributing to the maintenance of normal fluid exchange. This has significant
implications for our understanding of fluid homeostasis in the healthy lung,
edema formation in disease, and reconditioning of donor organs for
transplantation.
PMID- 29792350
TI - Management of veins during microvascular decompression for idiopathic trigeminal
neuralgia.
AB - OBJECT: To summarize our experience in treating veins during microvascular
decompression (MVD) procedures for idiopathic trigeminal neuralgia (TN). METHODS:
A total of 210 patients who underwent single MVD for idiopathic TN were
retrospectively studied. The offending vessels were summarized. The treatment
choices for veins during the operation were reviewed. Postoperative long-term
outcomes were determined by follow-up. RESULTS: Veins accounted for 26.7% of the
total 262 offending vessels. Neurovascular conflicts were caused by veins alone
in 23 patients (11.2%), and they were caused by arteries and veins together in 47
patients (22.8%). The offending veins were cut (15.7%) or saved (84.3%) during
the operation. Excellent long-term outcomes were acquired in 78.3% of the
patients with venous type TN. CONCLUSION: There are no acknowledged principles
guiding the treatment of veins during MVD. Our primary treatment principle is to
retain veins as often as possible.
PMID- 29792348
TI - Cell division cycle 7 kinase is a negative regulator of cell-mediated collagen
degradation.
AB - Although extensive work has delineated many of the mechanisms of extracellular
matrix (ECM) production, far less is known about pathways that regulate ECM
degradation. This is particularly true of cellular internalization and
degradation of matrix, which play an underappreciated role in ECM metabolism and
lung fibrosis. For example, genetic perturbation of this pathway leads to
exacerbated fibrosis in experimental animal models. In this work, we present the
results of an unbiased screen of Drosophila phagocytes that yielded multiple
genes that, when silenced, led to increased collagen uptake. We further describe
the function of cell division cycle 7 kinase (CDC7) as a specific suppressor of
collagen uptake. We show that the genetic or pharmacological inhibition of CDC7
results in increased expression of the collagen endocytic receptor Endo180.
Chromobox 5 (CBX5) is a putative target of CDC7, and genetic silencing of CBX5
also results in increased Endo180 and collagen uptake. Finally, CRISPR-mediated
activation of Endo180 expression results in increased collagen uptake, suggesting
that CDC7 regulates collagen internalization through increased Endo180
expression. Targeting the regulatory elements of the collagen degradative
machinery may be a useful therapeutic approach in diseases of fibrosis or
malignancy.
PMID- 29792351
TI - Peroxiredoxin 6 Inhibits Osteogenic Differentiation and Bone Formation Through
Human Dental Pulp Stem Cells and Induces Delayed Bone Development.
AB - AIMS: Peroxiredoxins (PRDXs) are thiol-specific antioxidant enzymes that regulate
redox balance that are critical for maintaining the cellular potential for self
renewal and stemness. Stem cell-based regenerative medicine is a promising
approach in tissue reconstruction. However, to obtain functional cells for use in
clinical applications, stem cell technology still requires improvements. RESULTS:
In this study, we found that PRDX6 levels were decreased during osteogenic
differentiation in human dental pulp stem cells (hDPSCs). hDPSCs stably
expressing Myc-PRDX6 (hDPSC/myc-PRDX6) inhibited cell growth in hDPSCs during
osteogenic differentiation and impaired osteogenic phenotypes such as alkaline
phosphatase (ALP) activity, mineralized nodule formation, and osteogenic marker
genes [ALP and osteocalcin (OCN)]. hDPSC cell lines stably expressing mutant
glutathione peroxidase (PRDX6(C47S)) and independent phospholipase A2
(PRDX6(S32A)) were also generated. Each mutant form of PRDX6 abolished the
impaired osteogenic phenotypes, the transforming growth factor-beta-mediated
Smad2 and p38 pathways, and RUNX2 expression. Furthermore, in vivo experiments
revealed that hDPSC/myc-PRDX6 suppressed hDPSC-based bone regeneration in
calvarial defect mice, and newborn PRDX6 transgenic mice exhibited delayed bone
development and reduced RUNX2 expression. Innovation and Conclusion: These
findings illuminate the effects of PRDX6 during osteogenic differentiation of
hDPSCs, and also suggest that regulating PRDX6 may improve the clinical utility
of stem cell-based regenerative medicine for the treatment of bone diseases.
Antioxid. Redox Signal. 00, 000-000.
PMID- 29792352
TI - Probing the settlement signals of Amphibalanus amphitrite.
AB - To achieve their reproductive potential, barnacles combine tactile exploration of
surface structural properties and integration of cellular signals originating
from their antennular sensory setae within a developmentally defined, temporally
narrow window of settlement opportunity. Behavioural assays with cyprids coupled
with biometric analysis of scanning electron microscopy-acquired images in the
presence of specific chemical compounds were used to investigate how settlement
on a substratum is altered in response to the presence of these compounds.
Impeding tactile exploration was shown which altered cellular signalling and/or
induced malformation of anatomical features of the antennular sensory setae,
which disrupted the settlement behaviour of the model barnacle species
Amphibalanus amphitrite. It is concluded that surface exploration by the cyprids
relies on mechanical and nociception-related and calcium-mediated signals while a
protein kinase C signalling cascade controls the timely metamorphosis of the
cyprids to sessile juveniles.
PMID- 29792354
TI - Challenges with biomarkers in cancer drug discovery and development.
PMID- 29792353
TI - Gastroretentive raft liquid delivery system as a new approach to release
extension for carrier-mediated drug.
AB - Gabapentin (GBP), an antiepileptic and anti-neuropathic agent, suffers from short
half-life (5-7 h), has narrow absorption window, and is absorbed via carrier
mediated mechanism resulting in frequent dosing, poor compliance, and poor
bioavailability (<60%). Moreover, GBP is a freely water-soluble drug, thus it is
considered a challenging candidate to be formulated as extended release dosage
form. In this study, raft forming systems were investigated as a potential drug
delivery system for prolonging gastric residence time of GBP. A 23 full factorial
design was adopted to study the effect of formulation variables (% gellan gum, %
GMO, and % LM-pectin 101), on the percent of GBP released at different time
intervals (1, 5, and 8 h) as well as the gel strength, and thus was achieved an
optimized formula with zero-order release profile suitable for once-daily
administration. In vivo assessment was performed in rats to evaluate gastric
residence of the gel formed. In addition, the oral bioavailability of GBP
relative to commercially available Neurontin(r) immediate release oral solution
was also investigated. Significant increase was observed for Cmax, AUC(0-t), and
AUC(0-infinity). The increase in relative bioavailability of GBP from the
optimized formula was 1.7 folds.
PMID- 29792356
TI - Isochromanes from Aspergillus fumigatus, an endophytic fungus from Cordyceps
sinensis.
AB - Four previously undescribed isochromanes were isolated from the fermentation
broth of an endophytic fungus Aspergillus fumigatus, which was obtained from the
fruiting body of Cordyceps sinensis. Their structures were elucidated through
extensive spectroscopic analyses. One racemic isochromane was further purified by
chiral HPLC to yield a pair of enantiomers and their absolute configurations were
determined by quantum chemical ECD calculations. These isolated compounds were
evaluated for cytotoxicity against two cell lines (MV4-11 and MDA-ME-231) and the
result showed that compounds 1a and 2 exhibited moderate growth inhibition
against MV4-11 cell line.
PMID- 29792355
TI - Patient activation among people living with HIV: a cross-sectional comparative
analysis with people living with diabetes mellitus.
AB - Standardized self-management supports are an integral part of care delivery for
many chronic conditions. We used the validated Patient Activation Measure
(PAM(r)) to assess level of engagement for self-management from a sample of 165
people living with HIV (PLWH) and 163 people with diabetes. We conducted
multivariable logistic regression to assess associations between demographics and
PAM(r) scores. PLWH had high levels of activation that were no different from
those of people with diabetes (mean score = 67.2, SD = 14.2 versus 65.0, SD =
14.9, p = 0.183). After adjusting for patient characteristics, only being on
disability compared to being employed or a student was associated with being less
activated (AOR = 0.276, 95%CI = 0.103-0.742). Our findings highlight the
potential for the implementation of existing standardized chronic disease self
management programs to enhance the care delivery for PLWH, with people on
disability as potential target populations.
PMID- 29792358
TI - In vitro activities of Ceiba speciosa (A.St.-Hil) Ravenna aqueous stem bark
extract.
AB - Several species of the genus Ceiba (Malvaceae) are ethnopharmacologically used.
Thus, this study aimed to investigate the in vitro beneficial properties of the
aqueous stem bark extract of Ceiba speciosa. The extract presented a great amount
of phenolic compounds (117.4 +/- 6.2 mg GAE/g). The antioxidant activity was
assessed by DPPH (IC50 = 42.87 MUg/mL), ORAC (2351.17 MUmol TE/g) and FRAP
(235.94 MUM FeSO4/g) methods. In addition, the extract reduced MCF-7 cell
viability as assessed by MTT. However, it prevented mitochondrial membrane
depolarization and reduced caspase-9 activity induced by hydrogen peroxide. In
conclusion, these findings indicate the extract is an excellent source of natural
antioxidants and is able to protect ROS-induced cell death. Therefore, C.
speciosa extract may possess beneficial properties for application in
pharmaceutical industry as an antioxidant. However, further studies to better
elucidate its mechanisms and to isolate its active compounds are required.
PMID- 29792357
TI - Synthesis and in vivo anti-ulcer evaluation of some novel piperidine linked
dihydropyrimidinone derivatives.
AB - Dihydropyrimidinone derivatives containing piperidine moiety were synthesised in
a good yield. All the compounds were confirmed by elemental analysis and spectral
data. Anti-ulcer activity of novel dihydropyrimidinone-piperidine hybrids (1-18)
was evaluated. Among them, four compounds (3, 8, 11 and 15) were found to be most
active in 80% ethanol-induced ulcer experimental animal model. All the potent
compounds were further evaluated for anti-ulcer activity by different in vivo
anti-ulcer models to study the effect of compounds on anti-secretory and
cytoprotective activities. All the active compounds inhibited the formation of
gastric ulcers and increased the formation of gastric mucin secretion. Compound
15 was found to be the most potent compound of the series as anti-ulcer agent.
Additional experimental studies on lead compound 15 will result in a new class of
orally active molecule for anti-ulcer activity.
PMID- 29792359
TI - Adverse effect analysis of high-intensity focused ultrasound in the treatment of
benign uterine diseases.
AB - OBJECTIVE: To retrospectively analyze the adverse effects of high-intensity
focused ultrasound (HIFU) in management of benign uterine diseases. MATERIALS AND
METHODS: From 2011 to 2017, 27,053 patients with benign uterine diseases were
treated with HIFU in 19 centers in China. Among them, 17,402 patients had uterine
fibroids, 8434 had adenomyosis, 876 had caesarean scar pregnancies, and 341 had
placenta accreta. RESULTS: The median age, height, weight, BMI of the patients
was 42 years, 158 mm, 56 kg, 22.5 kg/cm2, respectively. After HIFU treatment,
13,170 adverse events were observed. Based on society of interventional radiology
classification system, these adverse events were classified as Class A
(47.5030%), Class B (0.7947%), Class C (0.3327%), and Class D (0.0518%). The rate
of major adverse effects (Class C&D) was 0.3844%. Major adverse effects include
skin burn, leg pain, vaginal discharge or bleeding, urinary retention, acute
cystitis, intrauterine infection, bowel injury, acute renal failure, deep vein
thrombosis, pubic symphysis injury, post-HIFU thrombocytopenia, sciatic nerve
injury, and hydronephrosis. In 2011, the annual rate of major adverse effects was
0.9565%; the incidence decreased to 0.2852% in 2017. No significant difference
was observed in the rates of major adverse effects between patients with uterine
fibroids, adenomyosis and placenta accreta. CONCLUSIONS: Based on the results
with low rate of major adverse effects from multiple centers, we concluded that
HIFU is safe in treating patients with benign uterine diseases. With development
of this technique and more experience on the part of the physicians, the rates of
the major adverse effects will be further lowered.
PMID- 29792361
TI - Effect of blueberry extract, carriers, and combinations on the growth rate of
probiotic and pathogenic bacteria.
AB - The blueberry is recognised as a source of phenolic compounds that have
beneficial effects on human health; however, they possess low bioavailability and
can be degraded by gastrointestinal conditions. Encapsulation has been widely
used to mitigate these disadvantages; Gum Arabic (GA) and Corn Syrup Solids (CSS)
are common carriers used in this technique. The aim of this study was to evaluate
the effect of Blueberry Extract (BE), carriers and their mixtures on the kinetic
growth and maximal growth rate of probiotics and pathogenic bacteria. Kinetics
were performed in MRS medium with and without a carbon source through Optical
Density (OD) measurements and fitting these to the logistic model to compare the
maximal growth rates (umax) of the microorganisms. Each food component and its
mixtures exert a different influence on the umax of the bacteria studied (p <
0.05). This knowledge is important to improve the design of additives and
functional foods.
PMID- 29792362
TI - Studies of Suicidal Behavior Using National Registers.
PMID- 29792360
TI - Newer human inosine 5'-monophosphate dehydrogenase 2 (hIMPDH2) inhibitors as
potential anticancer agents.
AB - Human inosine 5'-monophosphate dehydrogenase 2 (hIMPDH2), being an age-old
target, has attracted attention recently for anticancer drug development.
Mycophenolic acid (MPA), a well-known immunosuppressant drug, was used a lead
structure to design and develop modestly potent and selective analogues. The
steep structure-activity relationship (SAR) requirements of the lead molecule
left little scope to synthesise newer analogues. Here, newer MPA amides were
designed, synthesised and evaluated for hIMPDH2 inhibition and cellular efficacy
in breast, prostate and glioblastoma cell lines. Few title compounds exhibited
cellular activity profile better than MPA itself. The observed differences in the
overall biological profile could be attributed to improved structural and
physicochemical properties of the analogues over MPA. This is the first report of
the activity of MPA derivatives in glioblastoma, the most aggressive brain
cancer.
PMID- 29792364
TI - Current evidence in the stability of medicines in dose administration aids:
implications for patient safety.
AB - INTRODUCTION: As the elderly population and polypharmacy are increasing, it is
predicted that interventions to enhance medication adherence, as dose
administration aids (DAA), will grow. One of the limitations of repackaging
medicines into DAA is to assure the stability of medicines, and, therefore, their
quality, efficacy and safety. Area covered: This article collects and summarises
data of all the stability studies of repackaged medicines into DAAs. Computerized
search in databases: PubMed, Google Scholar, SciELO, and reference texts related
to the field (keywords: drug stability, DAAs, compliance aids, and repackaging),
open access databases and guidelines. Also, it provides recommendations on the
suitability of repackaging and compares them with those established. Expert
opinion: Since medicines are removed from primary package, their stability can be
compromised due to psychochemical characteristics of the drug substance and
product, the dosage form, the type of DAA selected, the co-storage and splitting,
the repackaging conditions, and the conditions of storage. This review reflects
the need of more standardized stability studies to guarantee the quality of
repackaged medicines. In addition, the importance of them to support the
pharmacist to make the best decisions in order to maximize outcomes and minimize
risks related to patients' medication when repackaging it.
PMID- 29792366
TI - Nutritional characterisation of Zambian Moringa oleifera: acceptability and
safety of short-term daily supplementation in a group of malnourished girls.
AB - In Zambia, chronic malnutrition still is one of the most common problem among
children. To fight against malnutrition, the easiest short-term solution could be
to combine specific types of food with affordable local plants. A large variety
of natural food resources grow in Zambia, such as Moringa oleifera (MO), whose
leaves are known for their health benefits, but are not consumed much by local
populations. We analysed Zambian MO powder obtained from dried leaves and found
that it contains large amounts of protein, minerals and vitamins, such as iron,
calcium and carotenoids. These characteristics make MO a good and sustainable
complementary solution to malnutrition. We also evaluated the acceptability and
the safety of dietary supplementation with MO powder in malnourished children for
30 days. A daily dose of 14 g daily was safe and well accepted. Its regular use
in the menu of local populations may be viable proposition.
PMID- 29792365
TI - Protective effects of piceatannol on methylglyoxal-induced cytotoxicity in MC3T3
E1 osteoblastic cells.
AB - Methylglyoxal (MG) is a reactive alpha-oxoaldehyde that increases under diabetic
conditions and subsequently contributes to the complications associated with this
disease. Piceatannol is a naturally occurring analogue of resveratrol that
possesses multiple biological functions. The present study investigated the
effects of piceatannol on MG-induced cytotoxicity in MC3T3-E1 osteoblastic cells.
Piceatannol significantly restored MG-induced reductions in cell viability and
reduced lactate dehydrogenase release in MG-treated MC3T3-E1 osteoblastic cells,
which suggests that it suppressed MG-induced cytotoxicity. Piceatannol also
increased glyoxalase I activity and glutathione levels in MG-treated cells, which
indicates that it enhanced the glyoxalase system and thus cellular protection.
The present study also showed that piceatannol inhibited the generation of
inflammatory cytokines and reactive oxygen species and ameliorated mitochondrial
dysfunction induced by MG. Furthermore, piceatannol treatment significantly
reduced the levels of endoplasmic reticulum stress and autophagy induced by MG.
Therefore, piceatannol could be a potent option for the development of
antiglycating agents for the treatment of diabetic osteopathy.
PMID- 29792368
TI - Electrophysiological Multimodal Assessments Improve Outcome Prediction in
Traumatic Cervical Spinal Cord Injury.
AB - Outcome prediction after spinal cord injury (SCI) is essential for early
counseling and orientation of the rehabilitative intervention. Moreover,
prognostication of outcome is crucial to achieving meaningful stratification when
conceiving clinical trials. Neurophysiological examinations are commonly employed
for prognostication after SCI, but whether neurophysiology could improve the
functional prognosis based on clinical predictors remains an open question. Data
of 224 patients included in the European Multicenter Study about Spinal Cord
Injury were analyzed with bootstrapping analysis and multivariate logistical
regression to derive prediction models of complete functional recovery in the
chronic stage after traumatic cervical SCI. Within 40 days after SCI, we
evaluated age, gender, the motor and sensory cumulative scores of the
International Standards for Neurological Classification of Spinal Cord Injury
(ISNCSCI), and neurophysiological variables (motor evoked potentials, sensory
evoked potentials, nerve conduction study) as possible predictors. Positive
outcome was defined by a Spinal Cord Independence Measure total score of 100.
Analyzing clinical variables, we derived a prediction model based on the ISNCSCI
total motor score and age: the area under the receiver operating curve (AUC) was
0.936 (95% confidence interval [CI]: 0.904-0.968). Adding neurophysiological
variables to the model, the AUC increased significantly: 0.956 (95% CI: 0.930
0.982; p = 0.019). More patients could be correctly classified by adding the
electrophysiological data. Our study demonstrates that neurophysiological
assessment improves the prediction of functional prognosis after traumatic
cervical SCI, and suggests the use of neurophysiology to optimize patient
information, rehabilitation, and discharge planning and the design of future
clinical trials.
PMID- 29792367
TI - Visual and visuomotor processing of hands and tools as a case study of cross talk
between the dorsal and ventral streams.
AB - A major principle of organization of the visual system is between a dorsal stream
that processes visuomotor information and a ventral stream that supports object
recognition. Most research has focused on dissociating processing across these
two streams. Here we focus on how the two streams interact. We tested
neurologically-intact and impaired participants in an object categorization task
over two classes of objects that depend on processing within both streams-hands
and tools. We measured how unconscious processing of images from one of these
categories (e.g., tools) affects the recognition of images from the other
category (i.e., hands). Our findings with neurologically-intact participants
demonstrated that processing an image of a hand hampers the subsequent processing
of an image of a tool, and vice versa. These results were not present in apraxic
patients (N = 3). These findings suggest local and global inhibitory processes
working in tandem to co-register information across the two streams.
PMID- 29792369
TI - Gene delivery in the cornea: in vitro & ex vivo evaluation of solid lipid
nanoparticle-based vectors.
AB - AIM: Inflammation is a process that underlies sight-threatening ocular surface
diseases, and gene supplementation with the plasmid that encodes for p-IL10 will
allow the sustained de novo synthesis of the cytokine to occur in corneal cells,
and provide a long-term anti-inflammatory effect. This work describes the
development of solid lipid nanoparticle systems for the delivery of p-IL10 to
transfect the cornea. RESULTS: In vitro, vectors showed suitable features as
nonviral vectors (size, zeta-potential, DNA binding, protection and release), and
they were able to enter and transfect human corneal epithelial cells. Ex vivo,
the vectors were found to transfect the epithelium, the stroma and the
endothelium in rabbit corneal explants. Distribution of gene expression within
the cell layers of the cornea depended on the composition of the four vectors
evaluated. CONCLUSION: Solid lipid nanoparticle-based vectors are promising gene
delivery systems for corneal diseases, including inflammation.
PMID- 29792370
TI - Efficacy and safety of intravenous belimumab in Japanese patients with systemic
lupus erythematosus: A subgroup analysis of a phase 3 randomized placebo
controlled trial.
AB - OBJECTIVES: To assess the efficacy and safety of intravenous (IV) belimumab plus
standard systemic lupus erythematosus (SLE) therapy standard of care (SoC) in
Japanese patients with SLE. METHODS: A Phase 3, multicenter, double-blind,
placebo-controlled, 52-week study (BEL 113750; NCT01345253) in patients with SLE,
randomized 2:1 to belimumab 10 mg/kg plus SoC or placebo plus SoC to Week 48.
RESULTS: Sixty of 707 randomized patients were enrolled from study centers in
Japan (belimumab, n = 39; placebo, n = 21). In this cohort, more patients
achieved SLE Responder Index 4 response at Week 52 in the belimumab group
compared with placebo (46.2% [18/39] vs. 25.0% [5/20]; odds ratio, 2.57 [95%
confidence interval: 0.78, 8.47]; p=.1204). Fewer patients receiving belimumab
experienced a severe flare through Week 52, with longer median time to flare
compared with placebo. More patients with baseline prednisone dose >7.5 mg/d
receiving belimumab had a dose reduction of >=25% from baseline to <=7.5 mg/d
during Weeks 40-52, compared with placebo. No new safety issues were identified
within the Japanese cohort. CONCLUSION: In Japanese patients with SLE, belimumab
improved disease activity, with efficacy and safety results similar and
consistent to the pivotal Phase 3 trials, suggesting that belimumab is a
potential treatment option in this population.
PMID- 29792371
TI - Biologics Monitoring: Incongruity between Recommendations and Clinician
Monitoring Trends.
AB - BACKGROUND: Biologics are a commonly used treatment for moderate to severe
psoriasis. Monitoring laboratory test overuse provide little definitive benefit
to patients. OBJECTIVE: We aim to query a Humana database to gain insight
regarding current dermatologist laboratory monitoring practices for psoriasis
patients on biologics. METHODS: Data were obtained from the Humana database. Our
cohort included 333 patients with primary ICD-9 diagnosis of psoriasis (696.1)
between the years 2008-2013 who are prescribed any biologic medication. Subjects
on methotrexate, acitretin or cyclosporine were excluded from the study. We
separately queried laboratory tests by CPT codes and quantified based on
frequency over a 2-year time period. Percentages of demographic group receiving a
laboratory test at a given frequency category were calculated. RESULTS: 46% and
47% of patients received >4 comprehensive metabolic panel and complete blood
count tests respectively 2 years after starting a biologic. 18% of individuals
age >50 received greater than 4 Basic Metabolic Panel tests respectively 2 years
after starting a biologic. LIMITATIONS: Patient comorbidities might confound some
of our findings, as these laboratory tests may have been ordered for a
comorbidity rather than for biologics side effect monitoring. CONCLUSIONS: There
are inconsistencies between current monitoring practices and guidelines.
Clarifying biologics monitoring recommendations in psoriasis patients may reduce
healthcare costs and provider workload.
PMID- 29792372
TI - Sativex(r) as add-on therapy vs. further optimized first-line ANTispastics
(SAVANT) in resistant multiple sclerosis spasticity: a double-blind, placebo
controlled randomised clinical trial.
AB - : Purpose/aim: To evaluate the efficacy of tetrahydrocannabinol (THC):cannabidiol
(CBD) oromucosal spray (Sativex(r)) as add-on therapy to optimised standard
antispasticity treatment in patients with moderate to severe multiple sclerosis
(MS) spasticity. METHODS: Sativex(r) as add-on therapy vs. further optimised
first-line ANTispastics (SAVANT) was a two-phase trial. In Phase A, eligible
patients received add-on THC:CBD spray for 4 weeks to identify initial responders
[>=20% improvement from baseline in spasticity 0-10 numerical rating scale (NRS)
score]. Following washout, eligible initial responders were randomised to receive
THC:CBD spray or placebo for 12 weeks (double-blinded, Phase B). Optimisation of
underlying antispasticity medications was permitted in both groups across all
study periods. RESULTS: Of 191 patients who entered Phase A, 106 were randomised
in Phase B to receive add-on THC:CBD spray (n = 53) or placebo (n = 53). The
proportion of clinically relevant responders after 12 weeks (>=30% NRS
improvement; primary efficacy endpoint) was significantly greater with THC:CBD
spray than placebo (77.4 vs. 32.1%; p < 0.0001). Compared with placebo, THC:CBD
spray also significantly improved key secondary endpoints: changes in mean
spasticity NRS (p < 0.0001), mean pain NRS (p = 0.0013), and mean modified
Ashworth's scale (p = 0.0007) scores from Phase B baseline to week 12. Adverse
events, when present, were mild/moderate and without new safety concerns.
CONCLUSIONS: Add-on THC:CBD oromucosal spray provided better and clinically
relevant improvement of resistant MS spasticity compared with adjusting first
line antispasticity medication alone.
PMID- 29792373
TI - Systematic spatial patterns of the sense of familiarity: Hierarchical modelling
based on eye-tracking experiments.
AB - Using different types of stimuli, such as pictures, horizontally written Japanese
words, and vertically written Japanese words, this study investigated the spatial
patterns of the sense of familiarity within the visual field. The perceptual
asymmetry theory predicted that stimuli in the lower visual field would be
processed more fluently and would therefore be perceived as more familiar. The
working memory theory, originally proposed in space-number research, envisaged
type-specific spatial patterns for different stimuli. Participants made old/new
recognition memory judgements for stimuli, presented at random positions, while
their eye movements were recorded. The observed spatial patterns changed
according to the stimulus type (e.g., "more left = older" for horizontally
written words and "upper = older" for vertically written words), and this
flexibility is encapsulated by the working memory theory as follows: (a) stimulus
type-specific spatial configurations are encoded in long-term memory on the basis
of one's experience (e.g., vertically written words are empirically associated
with the "upper = older" spatial configuration), (b) the presentation of a
stimulus automatically cues the temporal activation of the associated spatial
configuration in working memory, and
PMID- 29792374
TI - Bimanual control strategies.
AB - Two tasks (A and B) were designed which required participants to sequentially
move through four target positions in a Lissajous display. Task A was designed so
that participants could complete the task using either unimanual or bimanual
control strategies. Task B was designed so that participants could complete the
task using relatively simple or more complex bimanual control strategies. The
purpose of this study was to determine which control strategy the participant
utilises to complete the two tasks when Lissajous displays are provided and to
determine the degree to which the size of the targets influences the control
strategy chosen under these conditions. The movement amplitude between two
adjacent targets and the target size resulted in an Index of Difficulty (ID) of 2
and 4 for each task. For both tasks, participants practised 15 trials (30 s per
trial) for each ID and then was administered a test trial. The results for both
Tasks A and B indicated that the ID2 condition resulted in a circular path,
whereas the ID4 condition resulted in a straight-line path on the Lissajous plot.
This suggests that at the low ID condition participants produced a continuous 1:1
with 90 degrees phase offset bimanual coordination pattern. At the high ID
condition, the participants consistently chose to switch to a more stable
unimanual left and right movements in Task A and to transition between in-phase
and anti-phase bimanual coordination patterns in Task B. In addition, both limbs'
movements were more harmonic in the low ID condition than in the high ID
condition.
PMID- 29792375
TI - Knowledge and attitudes of family doctors, dermatologists, and endocrinologists
on sun exposure and vitamin D.
AB - OBJECTIVES: Vitamin D is an important component of bone metabolism. Skin cells
synthesize vitamin D when exposed to the sun. However, prolonged sun exposure
damages the skin and increases the risk for skin cancer. The objective is to
evaluate and compare the attitudes and recommendations of family doctors,
dermatologists, and endocrinologists on exposure to the sun and vitamin D.
METHODS: In a cross-sectional study, a questionnaire was completed by personal
interview in a study population of 78 family doctors, 21 dermatologists, and 22
endocrinologists. RESULTS: On a scale of 1-10, the mean score for recommendations
to reduce sun exposure was 4.7 for dermatologists, 4.2 for endocrinologists, and
6.4 for family doctors (p < 0.001). Family doctors recommended a mean daily
exposure to the sun of 67.4 min compared to 41.4 by dermatologists, and 47.1 by
endocrinologists (p = 0.007). Dermatologists and endocrinologists were more
likely to recommend protective measures such as hats and long sleeves during sun
exposure than family doctors (p < 0.0001). There were no statistically
significant differences between male and female doctors for the entire study
population. CONCLUSIONS: There is little consensus among these medical
practitioners, whether specialists or primary care doctors, concerning sun
exposure. Further studies should evaluate the optimal duration and intensity of
sun exposure and doctors' recommendations should be based on the findings.
PMID- 29792376
TI - Somatic Angiotensin I-Converting Enzyme Regulates Self-Renewal of Mouse
Spermatogonial Stem Cells Through the Mitogen-Activated Protein Kinase Signaling
Pathway.
AB - Spermatogonial stem cell (SSC) self-renewal is an indispensable part of
spermatogenesis. Angiotensin I-converting enzyme (ACE) is a zinc dipeptidyl
carboxypeptidase that plays a critical role in the regulation of the renin
angiotensin system. In this study, we used reverse transcription-polymerase chain
reaction (RT-PCR) and western blot analysis to confirm that somatic ACE (sACE),
but not testicular ACE (tACE), is expressed in mouse testis before postpartum day
7 and in cultured SSCs. Our results revealed that sACE is located on the membrane
of SSCs. Treating cultured SSCs with the ACE competitive inhibitor captopril was
found to inhibit sACE activity, and significantly reduced the proliferation rate
of SSCs. Microarray analysis identified 651 genes with significant differential
expression. Kyoto Encyclopedia of Genes and Genomes (KEGG) pathway analysis
showed that these differentially expressed genes are mainly involved in the
mitogen-activated protein kinase (MAPK) signaling pathway. sACE was found to play
an important role in SSC self-renewal through the regulation of MAPK-dependent
cell proliferation.
PMID- 29792377
TI - Comparative analysis of probiotic bacteria based on a new definition of core
genome.
AB - The commensal genus Bifidobacterium has probiotic properties. We prepared a
public library of the gene functions of the genus Bifidobacterium for its online
annotation. Orthologous gene cluster analysis showed that the pan genomes of
Bifidobacterium and Lactobacillus exhibit striking similarities when mapped to
the Clusters of Orthologous Group (COG) database of proteins. When the core genes
in each genus were selected based on our statistical definition of "core genome",
core genes were present in at least 92% of 52 Bifidobacterium and in 97% of 178
Lactobacillus genomes. Functional comparison of the core genes of the two genera
revealed a significant difference in the categories "amino acid transport and
metabolism" representing their difference in niche specificity. Over-represented
Bifidobacterium protein families were primarily involved in host interactions,
the complex compound metabolism, and in stress responses. These findings coincide
with the published information and validate our bias-resilient definition of the
core genome.
PMID- 29792378
TI - Location and distribution of craniomaxillofacial fractures in 45 cats presented
for the treatment of head trauma.
AB - Objectives The aim of this retrospective study was to describe the location and
distribution of craniomaxillofacial (CMF) fractures in 45 cats presented to a
veterinary referral centre between 2012 and 2017. Methods Cats with a history of
head trauma, one or more CMF fractures and a pretreatment CT scan of the CMF
region were included in this study. For the purpose of the study, the CMF
skeleton was divided into 15 functional anatomical regions and the fracture sites
were allocated to one of these functional regions. Statistical analysis was
performed using R. Results Skull fractures were evident in 80.0% of cats, and
mandibular fractures in 86.7% of cats in this study. The median number of
anatomical functional regions affected was eight and there was evidence of
moderate or strong correlation between fractures of different regions of the mid
face. Where fractures were recorded in the nasopharynx and orbit they were
bilateral in 93.5% and 89.7%, respectively. Twenty-six (57.8%) of the cats had
fractures affecting one or both temporomandibular joints, which included
fractures of the mandibular fossa, condylar neck and condyle. Twenty-nine cats
(64.4%) had tooth fractures and seven cats (15.6%) had significant eye injuries.
Conclusions and relevance Cats presenting with a single symphyseal separation or
parasymphyseal fracture are very likely to have further fractures at other
locations. Fractures in the nasopharynx, orbit, nose, upper jaw, inter-maxillary
suture and zygomatic arch regions (the 'mid-face') are likely to occur together.
The pattern of distribution of mandibular fractures is not as predictable as that
for maxillary fractures. CT imaging is required to achieve a complete diagnosis
of the location and distribution of skull fractures in cats after head trauma.
PMID- 29792379
TI - Role of S-100beta in stroke.
AB - The S-100beta levels are associated with a variety of acute disorders and other
chronic diseases, such as head injury, stroke, metastatic melanoma, cardiac
surgery, bone fractures, burns and contusions. The serum S-100beta levels seem to
increase with the volume of tissue damage. Higher serum S-100beta levels have
been observed after brain damage or stroke. A number of studies have evidenced
the clinical value of S-100beta in the diagnosis and prognosis of stroke while
the S-100beta marker is elevated in the peripheral blood during the acute phase
of stroke. However, the clinical usefulness of S-100beta biomarker in the
diagnosis and prognosis of stroke has a limitation due to its low discriminating
ability in stroke diagnosis and prognosis.
PMID- 29792381
TI - A photo-switchable and thermal-enhanced fluorescent hydrogel prepared from N
isopropylacrylamide with water-soluble spiropyran derivative.
AB - Herein, a photo-switchable and thermal-enhanced fluorescent hydrogel has been
fabricated from N-isopropylacrylamide (NIPAAm) with a mixture of water-soluble
acryloyl-alpha-cyclodextrin/acryloyl-alpha-cyclodextrin-spiropyran (acryloyl
alpha-CD/ acryloyl-alpha-CD-SP) as cross-linkers. The physical properties,
photochromic properties, and fluorescent behavior of the hydrogel were
characterized. The fluorescence emission of the hydrogel can be reversibly
switched 'on/off' by UV/visible light irradiation, and meanwhile the fluorescence
intensity can be enhanced by increasing the temperature above the volume phase
transition temperature (VPTT) of the hydrogel. The hydrogel also shows
spatiotemporal fluorescent behavior, excellent cytocompatibility, and fatigue
resistance in photochromic and photo-switchable fluorescent behaviors.
PMID- 29792382
TI - Ibrutinib-associated ventricular arrhythmia in the FDA Adverse Event Reporting
System.
PMID- 29792384
TI - Percutaneous leadless pacemaker implantation in a patient with bilateral venous
thoracic outlet syndrome.
PMID- 29792383
TI - Donor Variation and Optimization of Human Mesenchymal Stem Cell Chondrogenesis in
Hyaluronic Acid.
AB - Mesenchymal stem cells (MSCs) are an attractive cell type for cartilage repair
that can undergo chondrogenesis in a variety of three-dimensional (3D) scaffolds.
Hyaluronic acid (HA) hydrogels provide a biologically relevant interface for cell
encapsulation. While previous studies have shown that MSC-laden HA constructs can
mature in vitro to match native mechanical properties using cells from animal
sources, clinical application will depend on the successful translation of these
findings to human cells. Though numerous studies have investigated chondrogenesis
of human MSC (hMSC)-laden constructs, their functional outcomes were quite
inferior to those using animal sources, and donor-specific responses to 3D HA
hydrogels have not been fully investigated. To that end, hMSCs were derived from
seven donors, and their ability to undergo chondrogenesis in pellet culture and
HA hydrogels was evaluated. Given the initial observation of overt cell
aggregation and/or gel contraction for some donors, the impact of variation in
cell and HA macromer concentration on functional outcomes during chondrogenesis
was evaluated using one young/healthy donor. The findings show marked differences
in functional chondrogenesis of hMSCs in 3D HA hydrogels based on donor.
Increasing cell density resulted in increased mechanical properties, but also
promoted construct contraction. Increasing the macromer density generally
stabilized construct dimensions and increased extracellular matrix production,
but limited the distribution of formed matrix at the center of the construct and
reduced mechanical properties. Collectively, these findings suggest that the use
of hMSCs may require tuning of cell density and gel mechanics on a donor-by-donor
basis to provide for the most robust tissue formation for clinical application.
PMID- 29792380
TI - Alirocumab as add-on therapy to statins: current evidence and clinical potential.
AB - Atherosclerotic cardiovascular diseases (ASCVDs) are associated with a
substantial mortality, physical morbidity, and mental disability. Elevated plasma
low-density lipoprotein cholesterol (LDL-C) levels play a major role in the
pathophysiology of ASCVDs. Statins have been shown to reduce ASCVD risk and
associated events and are recommended as first-line therapy for treatment of
hypercholesterolemia by current international guidelines. The key issue is to
attain guideline-recommended LDL-C levels (below 70 mg/dl) for patients at very
high cardiovascular risk. However, many high-risk and very-high-risk patients on
statin therapy remain beyond treatment goals despite lifestyle modification and
statins, and are exposed to a high risk of future cardiovascular events including
myocardial infarction (MI), stroke, revascularization procedures, and death. This
clearly emphasizes the urgent need for additional LDL-C reduction with new
therapeutic strategies to target these highly atherogenic particles and to
further reduce the burden of ASCVDs. Proprotein convertase subtilisin/kexin type
9 (PCSK9) plays a major role as a key regulator of the hepatic LDL receptor
recycling process. Developments over the past 15 years have demonstrated PCSK9
inhibition to be a novel therapeutic strategy to manage increased LDL-C levels. A
number of clinical studies using humanized monoclonal antibody technology against
PCSK9 have shown profound reductions of LDL-C levels when used either alone or in
combination with statin therapy. Recently, the first cardiovascular outcome study
demonstrated a significant reduction of ASCV events when evolocumab was added to
a statin therapy. This review will discuss current knowledge about antibody
mediated PCSK9 inhibition as add-on therapy to statin and the clinical potential
that may be expected.
PMID- 29792385
TI - An Organotypic Reconstructed Human Urethra to Study Chlamydia trachomatis
Infection.
AB - Organotypic models to investigate host-microbiome interactions are still a
challenge for the field of tissue engineering. This is particularly the case for
organs such as the urethra. Several cell line, animal, and tissue models are
available to study Chlamydia trachomatis infections, but none fully reflects
natural infection in native human tissue. Therefore, we developed an organotypic
reconstructed human urethral model (RhU) to study invasive and noninvasive
strains of C. trachomatis. Primary urethra cells were used to reconstruct
epithelium on a fibroblast populated collagen-fibrin hydrogel, yielding a RhU.
Immunohistochemistry was used to compare RhU with native urethral tissue and to
visualize the location of C. trachomatis bacteria in RhU after 10-day exposure.
RhU closely resembled native urethral tissue with respect to proliferation and
differentiation markers (keratins 6, 10, 13, 17, involucrin, SKALP [skin-derived
antileucoproteinase], vimentin, and CD31). Exposure of RhU to noninvasive and
invasive C. trachomatis strains revealed relevant differences in infection
ability because inclusions were observed (indicating active infection) in the
epithelial layer after 10 days exposure only to the invasive strain. The
noninvasive strain remained localized on the surface of the epithelial layer.
Human primary urethral fibroblasts and keratinocytes can be used to construct RhU
that closely resembles native tissue and can be used to investigate active C.
trachomatis infections. RhU provides a promising model to investigate host
microbiome interactions such as, but not limited to, the human pathogenesis of C.
trachomatis.
PMID- 29792386
TI - Disparate effects of Shb gene deficiency on disease characteristics in murine
models of myeloid, B-cell, and T-cell leukemia.
AB - The Src homology-2 domain protein B is an adaptor protein operating downstream of
tyrosine kinases. The Shb gene knockout has been found to accelerate p210
Breakpoint cluster region-cAbl oncogene 1 tyrosine kinase-induced leukemia. In
human myeloid leukemia were tumors with high Src homology-2 domain protein B mRNA
content, tumors were, however, associated with decreased latency and myeloid
leukemia exhibiting immune cell characteristics. Thus, the aim of this study was
to investigate the effects of Shb knockout on the development of leukemia in
three additional models, that is, colony stimulating factor 3 receptor-T618I
induced neutrophilic leukemia, p190 Breakpoint cluster region-cAbl oncogene 1
tyrosine kinase-induced B-cell leukemia, and G12D-Kras-induced T-cell
leukemia/thymic lymphoma. Wild-type or Shb knockout bone marrow cells expressing
the oncogenes were transplanted to bone marrow-deficient recipients. Organs from
moribund mice were collected and further analyzed. Shb knockout increased the
development of CSF3RT618I-induced leukemia and increased the white blood cell
count at the time of death. In the p190 Breakpoint cluster region-cAbl oncogene 1
tyrosine kinase B-cell model, Shb knockout reduced white blood cell counts
without affecting latency, whereas in the G12D-Kras T-cell model, thymus size was
increased without major effects on latency, suggesting that Shb knockout
accelerates the development thymic lymphoma. Cytokine secretion plays a role in
the progression of leukemia, and consequently Shb knockout bone marrows exhibited
lower expression of granulocyte colony stimulating factor and interleukin 6 in
the neutrophilic model and interleukin 7 and chemokine C-X-C motif ligand 12 (C-X
C motif chemokine 12) in the B-cell model. It is concluded that in experimental
mouse models, the absence of the Shb gene exacerbates the disease in myeloid
leukemia, whereas it alters the disease characteristics without affecting latency
in B- and T-cell leukemia. The results suggest a role of Shb in modulating the
disease characteristics depending on the oncogenic insult operating on
hematopoietic cells. These findings help explain the outcome of human disease in
relation to Src homology-2 domain protein B mRNA content.
PMID- 29792387
TI - Resveratrol prevents high-calorie diet-induced learning and memory dysfunction in
juvenile C57BL/6J mice.
AB - OBJECTIVE: Because resveratrol (RSV) has been shown to improve learning and
memory, so we investigated the potential benefit of RSV on learning and memory
deficits in juvenile mice fed with a HC diet and explored the molecular
mechanisms underlying this process. METHODS: Six-week-old C57BL/6J mice were
divided into three different diet groups: control, HC diet, and HC + RSV diet.
Serum insulin and insulin-like growth factor 1 (IGF-1) levels were measured using
enzyme-linked immunosorbent assays. Protein expression was examined by
immunohistochemistry and western blotting. RESULTS: Administration of RSV daily
(30 mg/kg) prevented the HC diet-induced increase in juvenile animal body weight
but did not improve any other physiological conditions, including fasting blood
glucose and serum cholesterol, triglyceride, insulin, and IGF-1 levels. However,
RSV did prevent learning and memory deficits in the HC group. Peroxisome
proliferator-activated receptor gamma (PPARgamma) was downregulated in the CA1
region of the hippocampus in both the HC and HC + RSV groups, but the reduction
was significantly greater in the HC + RSV group (P < .01 compared with the HC
group). Moreover, although the HC diet reduced the number of p16-positive
neurons, the HC + RSV diet significantly upregulated p16 expression in the CA1
region of the hippocampus (P < .01 compared with the HC group). CONCLUSIONS: RSV
protected against learning and memory impairments in juvenile animals fed with a
HC diet, possibly via upregulation of p16 or downregulation of PPARgamma in the
hippocampal CA1 region.
PMID- 29792389
TI - Therapist-assisted vision therapy improves outcome for stroke patients with
homonymous hemianopia alone or combined with oculomotor dysfunction.
AB - OBJECTIVE: To improve visual performance and perception in stroke patients
suffering from visual impairments by the use of therapist-assisted vision
therapy. METHODS: This study was an interventional efficacy open-label
investigation. The vision therapy was designed to enhance binocular vision, and
saccadic ability, and vergence ranges maximally, and for patients with hemianopia
also to improve peripheral awareness. The vision training consisted of one lesson
a week for 12 weeks carried out by an optometrist and a vision therapist. Between
lessons, patients were to train at home for a minimum of 15-20 min daily.
RESULTS: Twenty-four patients completed the course. Significant improvements in
visual performance were measured for all test parameters from the baseline to the
evaluation after the last lesson of vision training. The COPM results improved
both in terms of satisfaction with the completion of a task and with the way the
task was carried out (p = 0.001). Groffman tracing test results improved from
median 7.5 to 16 (p = 0.002), reading speed in words increased (p = 0.0004), and
peripheral awareness of visual markers improved (p = 0.002). CONCLUSION:
Therapist-assisted vision therapy increased peripheral visual awareness.
Furthermore patients felt safer in the traffic and in outdoor activities. Reading
speed significantly increased, and the ability to keep a moving object in focus
improved.
PMID- 29792388
TI - Cerebral ischaemia/reperfusion injury could be managed by using tramadol.
AB - OBJECTIVES: No valid treatment modality that will repair stroke damage and
provide neurological recovery has yet been identified in literature. Studies
demonstrated that adequate quality of life could be provided if post-stroke pain
could be treated sufficiently and timely. Besides its pain relief effects,
tramadol has oedema-reducing and anti-inflammatory properties. With these in
mind, this study investigated the influence of tramadol in acute and/or chronic
ischaemia/reperfusion (I/R) injury. METHODS: Putting aside the Control group, 23
Wistar albino rats were distributed to four groups to investigate the acute (Sham
A, TR-A) and chronic (Sham-C, TR-C) periods of I/R injury, and temporary aneurysm
clips were applied to their internal carotid arteries for 30 min. Four hours
after clippage, tramadol was administered to animals of TR-A and TR-C groups
intraperitoneally. After sacrificing all animals, pyknotic and necrotic neuronal
cells in hippocampal cornu ammonis (CA)1, CA2, CA3 and parietal cortical regions
were counted, and perivascular oedema, intercellular organization disorder (IOD)
and inflammatory cell infiltration were scaled histopathologically. Additionally,
tissue interleukin (IL)-1beta, IL-10, malondialdehyde, nitric oxide, tumour
necrosis factor-alpha, caspase-3, beclin-1, Atg12, LC3II/LC3I levels were
measured biochemically. RESULTS: Tramadol could minimize perivascular oedema,
IOD, parietal and hippocampal neuronal necrosis, inflammatory cell infiltration
in both periods of I/R injury histopathologically. Apart from inhibiting
apoptosis and enhancing autophagy, tramadol had no influence on any other
biochemical result. DISCUSSION: Tramadol can ameliorate the histopathological
structure of ischaemic tissue in both periods of I/R injury in rat. We suggest
further research investigating various dosages with different administration
methods of tramadol in stroke should be conducted by adopting different
explorative techniques.
PMID- 29792391
TI - Role of neutrophils in equine asthma.
AB - Neutrophilic bronchiolitis is the primary lesion in asthma-affected horses.
Neutrophils are key actors in host defense, migrating toward sites of
inflammation and infection, where they act as early responder cells toward
external insults. However, neutrophils can also mediate tissue damage in various
non-infectious inflammatory processes. Within the airways, these cells likely
contribute to bronchoconstriction, mucus hypersecretion, and pulmonary remodeling
by releasing pro-inflammatory mediators, including the cytokines interleukin (IL)
8 and IL-17, neutrophil elastase, reactive oxygen species (ROS), and neutrophil
extracellular traps (NETs). The mechanisms that regulate neutrophil functions in
the tissues are complex and incompletely understood. Therefore, the inflammatory
activity of neutrophils must be regulated with exquisite precision and timing, a
task achieved through a complex network of mechanisms that regulates neutrophil
survival. The discovery and development of compounds that can help regulate ROS,
NET formation, cytokine release, and clearance would be highly beneficial in the
design of therapies for this disease in horses. In this review, neutrophil
functions during inflammation will be discussed followed by a discussion of their
contribution to airway tissue injury in equine asthma.
PMID- 29792390
TI - Mental health crisis resolution teams and crisis care systems in England: a
national survey.
AB - : Aims and methodA national survey investigated the implementation of mental
health crisis resolution teams (CRTs) in England. CRTs were mapped and team
managers completed an online survey. RESULTS: Ninety-five per cent of mapped CRTs
(n = 233) completed the survey. Few CRTs adhered fully to national policy
guidelines. CRT implementation and local acute care system contexts varied
substantially. Access to CRTs for working-age adults appears to have improved,
compared with a similar survey in 2012, despite no evidence of higher staffing
levels. Specialist CRTs for children and for older adults with dementia have been
implemented in some areas but are uncommon.Clinical implicationsA national
mandate and policy guidelines have been insufficient to implement CRTs fully as
planned. Programmes to support adherence to the CRT model and CRT service
improvement are required. Clearer policy guidance is needed on requirements for
crisis care for young people and older adults.Declaration of interestNone.
PMID- 29792392
TI - Hemizygous Fabry disease associated with membranous nephropathy: A rare case
report?.
AB - BACKGROUND: Fabry disease may coexist with various glomerular diseases, including
IgA nephropathy, focal segmental glomerulosclerosis, etc. In this study, we
report a rare case of Fabry disease associated with membranous nephropathy (MN).
CASE PRESENTATION: A 30-year-old man with nephrotic proteinuria, normal renal
function, and no other extrarenal manifestations underwent a renal biopsy in
February 2017. Light microscopy and immunofluorescence indicated MN (stage 1).
Under an electron microscope, there were subepithelial electron-dense deposits
and abundant zebra bodies in podocytes. Both the findings of low-activity alpha
galactosidase A (alpha-Gal A, GLA) and base deletion in exon 7 of the GLA gene
(GLA-E07.1286_*7 del, a newly reported mutation) confirmed that this patient was
simultaneously afflicted with Fabry disease. CONCLUSION: This case report is an
important reminder of the role of kidney biopsy, especially electron microscopy,
as an indicator of Fabry disease and its rare coexistence with MN.?.
PMID- 29792393
TI - Outcomes of transcatheter aortic valve implantation compared with surgical aortic
valve replacement in geriatric patients with chronic kidney disease?.
AB - BACKGROUND: Transcatheter aortic valve implantation (TAVI) is a less invasive
treatment modality for patients with severe aortic valve stenosis (AS) who are at
a higher risk if they have surgery. Preoperative chronic kidney disease (CKD)
influences outcomes of cardiac surgery and is associated with a higher mortality
and more complicated hospital course. The aims of our study were to evaluate the
comparative outcomes of TAVI versus surgical aortic valve replacement (SAVR) in
geriatric patients with preoperative CKD. MATERIALS AND METHODS: We prospectively
collected data on patients > 75 years of age who underwent either SAVR or TAVI at
Shaare Zedek Medical Center, Jerusalem, Israel. The outcomes studied were
postoperative acute kidney injury (AKI), in-hospital and long-term mortality, and
major neurologic and infectious morbidity. RESULTS: A total of 318 patients were
analyzed, of those, 199 and 119 underwent SAVR and TAVI, respectively. In
patients with CKD, there was no statistically significant difference in
postoperative AKI. SAVR patients had significantly higher in-hospital mortality
(OR 5.9; 95% CI 1.6 - 29.6, p = 0.02), postoperative infection (OR 4.2; 95% CI
1.6 - 12.4, p = 0.005), and longer duration of hospital stay. Mortality at 1 and
2 years was lower in the SAVR group, although the difference was not
statistically significant (p = 0.059). CONCLUSION: For elderly patients with CKD
who are at a higher risk if they have surgery. TAVI offers a good alternative
with lower procedural risk.?.
PMID- 29792394
TI - Concomitant administration of candesartan cilexetil in patients on paclitaxel and
carboplatin combination therapy increases risk of severe neutropenia?.
AB - OBJECTIVE: Angiotensin receptor blockers (ARBs) are often used in patients on
paclitaxel (PTX) and carboplatin combination (TC) therapy to treat hypertension
caused by the co-administration of bevacizumab. The aim of this retrospective
study was to analyze the association between co-administration of ARBs and the
development of severe neutropenia in patients on TC therapy. MATERIALS AND
METHODS: In this study, 211 concomitant medications were prescribed to 173
patients on TC therapy. 24 of those patients received ARBs. The incidences of
neutropenia among those on various ARBs were compared. RESULTS: Patients on
candesartan cilexetil had the highest incidence of neutropenia compared to those
on other concomitant medications, including other ARBs. Of 173 patients, 6
received candesartan cilexetil during the first cycle of TC therapy, and all 6 of
them developed severe neutropenia. We noted that prior to TC therapy, there were
no significant differences in age, serum albumin levels, neutrophil counts, liver
injury marker, and renal function between the patients on candesartan cilexetil
and those on other ARBs. CONCLUSION: Our data suggest that a drug-drug
interaction between candesartan cilexetil and TC therapy is probable. Unlike with
other ARBs, the possible increased risk for development of severe neutropenia
should be taken into account when prescribing candesartan cilexetil in
combination with TC therapy.?.
PMID- 29792395
TI - How are patients with heart failure treated in primary care??.
AB - OBJECTIVE: The aim of this study was to assess the adherence of general
practitioners (GPs) to guidelines in patients with heart failure with reduced
ejection fraction (HFrEF) and to describe GPs' prescribing behavior regarding
patients with heart failure with preserved ejection fraction (HFpEF). MATERIALS
AND METHODS: Cross-sectional study as part of the ETIC trial. Five classes of
drugs were described: angiotensin-converting enzyme inhibitors (ACEIs) or
angiotensin receptor blockers (ARBs); beta-blockers (BBs); mineralocorticoid
receptor antagonists (MRAs); diuretics (thiazide or loop diuretics); and digoxin.
RESULTS: 178 patients were studied: their mean age was 73.5 years (+/- 10.6). Of
the 128 patients with HFpEF, 81.3% received ACEIs or ARBs, 63.3% received BBs,
13.3% received MRAs, 75.8% received diuretics, and 12.5% received digoxin. Of the
50 patients with HFrEF, 84% received ACEIs or ARBs, 74% received BBs, 20%
received MRAs, 76% received diuretics, and 2% received digoxin. 25% of the
patients were given a drug in accordance with the recommendations for drug class
but not a drug authorized for the HFrEF indication. Among the patients with HFrEF
who were treated in accordance with the recommendations, target doses were
achieved in 1/3 given ACEIs/ARBs, 1/4 given BBs, and 1/2 given MRAs. Only 6% of
the patients had a perfect Global Adherence Indicator-3 (GAI-3) with all target
doses achieved. CONCLUSION: Several drugs were prescribed even though they were
not recommended, and few patients were treated optimally. It seems to be
necessary to develop a pragmatic tool to help GPs and cardiologists in optimizing
treatment.?.
PMID- 29792396
TI - Muscle biopsy displaying "double trouble" pathology: Combined features of
periodic paralysis and dermatomyositis.
PMID- 29792397
TI - A systematic review of the concept and clinical applications of Bone Marrow
Aspirate Concentrate in Orthopaedics.
AB - INTRODUCTION: Mesenchymal stem cells (MSC's) are believed to have multipotent
plasticity with the capability to differentiate along multiple cell lineages such
as cartilage, bone, tendon, muscle, and nerve. Such multipotency has the
potential to play an important role in the repair and reconstruction of multiple
tissues across a number of orthopaedic specialties. Bone marrow and fat are the
most abundant and accessible source of MSC's with bone marrow aspirate the most
commonly being reported to stimulate healing. METHODS: This review examines the
current reported 20 Q2 clinical applications of bone marrow aspirate concentrate
and its effectiveness. RESULTS: The published studies reported techniques of
collection and preparation of BMAC in addition to its applications in a number of
orthopaedic sub-specialities. Studies could be sub-categorised into: techniques
of extraction, processing and microscopic examination of BMAC (31),
reconstruction of osseous defects/non-union (20), treatment of avascular necrosis
(9), repair of cartilage defects (8), treatment of sports injuries and tendon
injury/repair (9), injection in regenerative therapy (4), treatment of spine
conditions (4) including enhancing postoperative fusion and degenerative disc
pathology and orthopaedic oncology (4). A few published studies combined the use
of platelet-rich plasma (PRP) with BMAC (4) or compared them in different
applications (5). CONCLUSIONS: BMAC has been used in bone, cartilage and tendon
injuries with encouraging results.
PMID- 29792398
TI - Arthroscopic osteochondral autologous transplantation for the treatment of
osteochondritis dissecans of the femoral head.
AB - Osteochondritis dissecans (OCD) of the femoral head is an unusual cause of hip
pain. It can be associated with other intra-articular pathologies including:
acetabular labral tears or bone deformities such as Legg-Calve-Perthes Disease
(LCPD). In this article, we propose a modern surgical technique using an
arthroscopic antegrade and retrograde osteochondral autologous transplantation
(OAT) procedure for assessing and treating OCD lesions of the femoral head.
PMID- 29792400
TI - Interfering with transmission.
AB - The IFNlambda family of interferons controls the spread of viruses in the upper
respiratory tract and transmission between mice.
PMID- 29792402
TI - Bioabsorbable polymer everolimus-eluting stents in patients with acute myocardial
infarction: a report from the Swedish Coronary Angiography and Angioplasty
Registry.
AB - AIMS: The clinical performance of the SYNERGY drug-eluting stent (DES) in
patients with acute myocardial infarction (MI) has not been investigated in
detail. We sought to report on the outcomes after SYNERGY DES (Boston Scientific,
Marlborough, MA, USA) implantation in patients with MI undergoing percutaneous
revascularisation (PCI). METHODS AND RESULTS: We included all consecutive
patients with MI undergoing PCI with the SYNERGY DES and newer-generation DES (n
DES group) in Sweden. From March 2013 to September 2016, a total of 36,292
patients, of whom 39.7% presented with ST-elevation MI, were included. As
compared to patients in the n-DES group (n=31,403), patients in the SYNERGY group
(n=4,889) were older and presented more often with left main or three-vessel
disease involvement, as well as with restenotic lesions (p<0.001 for all
parameters). The Kaplan-Meier estimates of ST at two years in the SYNERGY and n
DES groups were 0.69% and 0.81%, respectively (adjusted HR 1.00, 95% CI: 0.69
1.46; p=0.99). Clinically relevant restenosis was encountered in 1.48% and 1.25%
of patients in the SYNERGY and n-DES groups, respectively (adjusted HR 1.05, 95%
CI: 0.81-1.37; p=0.72). No differences in the risk of all-cause death and
recurrent MI were found between the two groups after adjustment (adjusted HR
1.12, 95% CI: 0.98-1.28; p=0.10, and adjusted HR 0.95, 95% CI: 0.82-1.10; p=0.49,
respectively). CONCLUSIONS: In a large and unselected cohort of patients with MI
undergoing percutaneous revascularisation with the SYNERGY DES, stent performance
and clinical outcomes did not differ compared with other n-DES up to two years.
PMID- 29792399
TI - Meniscal allograft transplantation: a meta-analysis.
AB - PURPOSE: This meta-analysis evaluates the mid- to long-term survival outcome of
MAT (meniscal allograft transplantation). Potential prognosticators, with
particular focus on chondral status and age of the patient at the time of
transplantation, were also analysed. STUDY DESIGN: Meta-analysis. METHODS: An
online database search was performed using following search string: "meniscal
allograft transplantation" and "outcome". A total of 65 articles were analysed
for a total of 3157 performed MAT with a mean follow-up of 5.4 years. Subjective
and clinical data was analysed. RESULTS: The subjective and objective results of
2977 patients (3157 allografts) were analysed; 70% were male, 30% were female.
Thirty-eight percent received an isolated MAT. All other patients underwent at
least one concomitant procedure. Lysholm, Knee injury and Osteoarthritis Outcome
(KOOS), International Knee Documentation Committee (IKDC) and Visual Analogue
Scale (VAS) scores were analysed. All scores showed a good patient satisfaction
at long-term follow-up. The mean overall survival rate was 80.9%. Complication
rates were comparable to standard meniscal repair surgery. There was a
degenerative evolution in osteoarthritis with at least one grade in 1760
radiographically analysed patients. Concomitant procedures seem to have no effect
on the outcome. Age at transplantation is a negative prognosticator. The body
mass index (BMI) of the patient shows a slightly negative correlation with the
outcome of MAT. CONCLUSIONS: MAT is a viable solution for the younger patient
with chronic pain in the meniscectomised knee joint. The complications are not
severe and comparable to meniscal repair. The overall failure rate at final
follow-up is acceptable and the allograft heals well in most cases, but MAT
cannot be seen as a definitive solution for post-meniscectomy pain. The correct
approach to the chronic painful total meniscectomised knee joint thus requires
consideration of all pathologies including alignment, stability, meniscal
abnormality and cartilage degeneration. It requires possibly combined but
appropriate action in that order.
PMID- 29792403
TI - Index of microcirculatory resistance-guided therapy with pressure-controlled
intermittent coronary sinus occlusion improves coronary microvascular function
and reduces infarct size in patients with ST-elevation myocardial infarction: the
Oxford Acute Myocardial Infarction - Pressure-controlled Intermittent Coronary
Sinus Occlusion study (OxAMI-PICSO study).
AB - AIMS: The Oxford Acute Myocardial Infarction PICSO (OxAMI-PICSO) study aimed to
assess the efficacy of index of microcirculatory resistance (IMR)-guided therapy
with pressure-controlled intermittent coronary sinus occlusion (PICSO) in
anterior ST-elevation myocardial infarction (STEMI). METHODS AND RESULTS:
Patients with anterior STEMI treated with primary percutaneous coronary
intervention (pPCI) were enrolled. Pre-stenting IMR was measured and PICSO
treatment delivered if pre-stenting IMR was >40. No PICSO treatment was
considered in patients with a pre-stenting IMR <=40. The control group was
derived from a historical cohort of STEMI patients with pre-stenting IMR >40
enrolled in the observational OxAMI study. IMR was measured after completion of
pPCI in all patients and within 48 hours in PICSO patients and controls. Cardiac
magnetic resonance imaging was performed per protocol for infarct size (IS)
assessment within 48 hours after pPCI and at six months. A total of 105 patients
were enrolled (25 PICSO, 50 controls with pre-stenting IMR >40, 30 with pre
stenting IMR <=40). Compared to controls, patients treated with PICSO had a lower
IMR at 24-48 hours (24.8 [18.5-35.9] vs. 45.0 [32.0-51.3], p<0.001) and lower IS
at six months (26.0% [20.2-30.0] vs. 33.0% [28.0-37.0], p=0.006). CONCLUSIONS: An
IMR-guided treatment with PICSO in anterior STEMI is feasible and may be
associated with reduced IS and improved microvascular function.
PMID- 29792401
TI - Synthetic single domain antibodies for the conformational trapping of membrane
proteins.
AB - Mechanistic and structural studies of membrane proteins require their
stabilization in specific conformations. Single domain antibodies are potent
reagents for this purpose, but their generation relies on immunizations, which
impedes selections in the presence of ligands typically needed to populate
defined conformational states. To overcome this key limitation, we developed an
in vitro selection platform based on synthetic single domain antibodies named
sybodies. To target the limited hydrophilic surfaces of membrane proteins, we
designed three sybody libraries that exhibit different shapes and moderate
hydrophobicity of the randomized surface. A robust binder selection cascade
combining ribosome and phage display enabled the generation of conformation
selective, high affinity sybodies against an ABC transporter and two previously
intractable human SLC transporters, GlyT1 and ENT1. The platform does not require
access to animal facilities and builds exclusively on commercially available
reagents, thus enabling every lab to rapidly generate binders against challenging
membrane proteins.
PMID- 29792404
TI - Culotte stenting for coronary bifurcation lesions with 2nd and 3rd generation
everolimus-eluting stents: the CELTIC Bifurcation Study.
AB - AIMS: The aim of this study was to provide contemporary outcome data for patients
with de novo coronary disease and Medina 1,1,1 lesions who were treated with a
culotte two-stent technique, and to compare the performance of two modern
generation drug-eluting stent (DES) platforms, the 3-connector XIENCE and the 2
connector SYNERGY. METHODS AND RESULTS: Patients with Medina 1,1,1 bifurcation
lesions who had disease that was amenable to culotte stenting were randomised 1:1
to treatment with XIENCE or SYNERGY DES. A total of 170 patients were included.
Technical success and final kissing balloon inflation occurred in >96% of cases.
Major adverse cardiovascular or cerebrovascular events (MACCE: a composite of
death, myocardial infarction [MI], cerebrovascular accident [CVA] and target
vessel revascularisation [TVR]) occurred in 5.9% of patients by nine months. The
primary endpoint was a composite of death, MI, CVA, target vessel failure (TVF),
stent thrombosis and binary angiographic restenosis. At nine months, the primary
endpoint occurred in 19% of XIENCE patients and 16% of SYNERGY patients (p=0.003
for non-inferiority for platform performance). CONCLUSIONS: MACCE rates for
culotte stenting using contemporary everolimus-eluting DES are low at nine
months. The XIENCE and SYNERGY stents demonstrated comparable performance for the
primary endpoint.
PMID- 29792405
TI - Inhaled Glucocorticoids in Asthma.
PMID- 29792406
TI - Inhaled Glucocorticoids in Asthma.
PMID- 29792407
TI - Inhaled Glucocorticoids in Asthma.
PMID- 29792408
TI - Consequences of the 340B Drug Pricing Program.
PMID- 29792409
TI - Consequences of the 340B Drug Pricing Program.
PMID- 29792410
TI - [The Standardization Study of Data Communication and Storage for Rehabilitation
Devices].
AB - Currently, lacking standards of data communication and storage has been becoming
a huge problem in tertiary medical rehabilitation networks. Several
rehabilitation management requirements need be met, such as integrating
rehabilitation resources, sharing patient data, and augmenting efficiency of
rehabilitation therapies. By summarizing existing standards within medical
devices and data management, this paper proposed a novel standardized protocol
for rehabilitation, which is composed of standards in data format, communication
signaling and processing. To demonstrate it, an application in current tertiary
medical rehabilitation networks was also proposed in this paper. As a result, the
outcomes of this paper are expected to solve the 'information isolated island'
problem in current rehabilitation medical rehabilitation networks.
PMID- 29792411
TI - [Experiments on the Feature Selection and Classiifcation of Ultrasound
Elastography Images for the Diagnosis of Breast Cancers].
AB - Breast cancers are the most common malignant tumors in women, and how to use
ultrasound to diagnose breast cancers quantitatively is stil an unsolved problem.
This paper extracts five elastic features based on the elastography images of the
breast tumors, furthers extract four features related to gray co-occurrence
matrix to describe the texture of breast masses. we study the application of SVM
classifier to classify these features, and uses the consistency, classification
accuracy, ROC curve and AUC (area under the curve) to assess the classification
results. we used ultrasound imaging technique to colect data from the actual
patients, with the data of 195 lesions in 142 patients. Experimental results show
that the classification performance of the elastic features is good, and the
support vector machine is suitable for breast image classification, and its
classification accuracy is high, which provides a good value for diagnosis.
Meanwhile, it is found that the extracted features related to gray level co
occurrence matrix have a low diagnostic value.
PMID- 29792412
TI - Facet-Dependent Kinetics and Energetics of Hematite for Solar Water Oxidation
Reactions.
AB - The performance of a photoelectrochemical (PEC) system is highly dependent on the
charge separation, transport and transfer characteristics at the
photoelectrode|electrolyte interface. Of the factors that influence the charge
behaviors, the crystalline facets of the semiconductor in contact with the
electrolyte play an important role but has been poorly studied previously. Here,
we present a study aimed at understanding how the different facets of hematite
affect the charge separation and transfer behaviors in a solar water oxidation
reaction. Specifically, hematite crystallites with predominantly {012} and {001}
facets exposed were synthesized. Density functional theory (DFT) calculations
revealed that hematite {012} surfaces feature higher OH coverage, which was
confirmed by X-ray photoelectron spectroscopy (XPS). These surface OH groups act
as active sites to mediate water oxidation reactions, which plays a positive role
for the PEC system. These surface OH groups also facilitate charge recombination,
which compromises the charge separation capabilities of hematite. Indeed,
intensity modulated photocurrent spectroscopy (IMPS) confirmed that hematite
{012} surfaces exhibit higher rate constants for both charge transfer and
recombination. Open circuit potential (OCP) measurements revealed that the
hematite {012} surface exhibits a greater degree of Fermi level pinning effect.
Our results shed light on how different surface crystal structures may change
surface kinetics and energetics. The information is expected to contribute to
efforts on optimizing PEC performance for practical solar fuel synthesis.
PMID- 29792413
TI - Conductive Metal-Organic Frameworks as Ion-to-Electron Transducers in
Potentiometric Sensors.
AB - This paper describes an unexplored property of conductive metal-organic
frameworks (MOFs) as ion-to-electron transducers in the context of potentiometric
detection. Several conductive two-dimensional MOF analogues were drop-cast onto a
glassy carbon electrode and then covered with an ion-selective membrane to form a
potentiometric sensor. The resulting devices exhibited excellent sensing
properties toward anions and cations, characterized by a near-Nernstian response
and over 4 orders of magnitude linear range. Impedance and chronopotentiometric
measurements revealed the presence of large bulk capacitance (204 +/- 2 MUF) and
good potential stability (drift of 11.1 +/- 0.5 MUA/h). Potentiometric water test
and contact angle measurements showed that this class of materials exhibited
hydrophobicity and inhibited the formation of water layer at the
electrode/membrane interface, resulting in a highly stable sensing response with
a potential drift as low as 11.1 MUA/h. The property of ion-to-electron
transduction of conductive MOFs may form the basis for the development of this
class of materials as promising components within ion-selective electrodes.
PMID- 29792414
TI - Hybrid Assembly of Different-Sized Supertetrahedral Clusters into a Unique Non
Interpenetrated Mn-In-S Open Framework with Large Cavity.
AB - Reported here is a unique crystalline semiconductor open-framework material built
from the large-sized supertetrahedral T4 and T5 clusters with the Mn-In-S
compositions. The hybrid assembly between T4 and T5 clusters by sharing terminal
MU2-S2- is for the first time observed among the cluster-based chalcogenide open
frameworks. Such three-dimensional structure displays non-interpenetrated diamond
type topology with extra-large nonframework volume of 82%. Moreover, ion
exchange, CO2 adsorption, as well as photoluminescence properties of the title
compound are also investigated.
PMID- 29792415
TI - Two-Photon-Active Organotin(IV) Complexes for Antibacterial Function and
Superresolution Bacteria Imaging.
AB - Antibacterial agents with two-photon absorption are expected to play a
significant role in biomedical science. Herein, two novel organotin complexes,
HLSn1 and HLSn2, based on coumarin were designed, synthesized, and systematically
investigated. It was found that these complexes possessed suitable two-photon
active cross sections in the near-infrared region. Moreover, complex HLSn1 could
efficiently inhibit the growth of Gram-negative Escherichia coli and Gram
positive Bacillus subtilis, especially the latter with a minimum inhibitory
concentration (MIC; 90%) of 2 +/- 0.14 MUg mL-1, which is lower than that of
Kanamycin (Kana, 8 +/- 0.42 MUg mL-1). Importantly, two-photon imaging and
superresolution development of bacterial stain revealed that complex HLSn1 can
react with bacterial membranes, producing reactive oxygen species (ROS) and
leading to cell death. These outcomes provide promising applications in the
superresolution bacteria imaging, diagnostics, and treatment of bacterial
infectious.
PMID- 29792417
TI - Directional Passive Transport of Microdroplets in Oil-Infused Diverging Channels
for Effective Condensate Removal.
AB - Condensation widely exists in nature and industry, and its performance heavily
relies on the efficiency of condensate removal. Recent advances in micro
/nanoscale surface engineering enable condensing droplet removal from solid
surfaces without extra energy cost, but it is still challenging to achieve
passive transport of microdroplets over long distances along horizontal surfaces.
The mobility of these condensate droplets can be enhanced by lubricant oil
infusion on flat surfaces and frequent coalescence, which lead to fast growth but
random motion of droplets. In this work, we propose a novel design of diverging
microchannels with oil-infused surfaces to achieve controllable, long-distance,
and directional transport of condensing droplets on horizontal surfaces. This
idea is experimentally demonstrated with diverging copper and silicon
microchannels with nanoengineered surfaces. Along these hierarchical surface
structures, microdroplets condense on the top channel wall and submerge into
microchannels owing to the capillary pressure gradient in infusing oil. Confined
by the microchannel walls, the submerged droplets deform and maintain the back
front curvature difference, which enables the motion of droplets along the
channel diverging direction. Subsequent droplet coalescences inside the channel
further enhance this directional transport. Moreover, fast-moving deformed
droplets transfer their momentum to downstream spherical droplets through the
infusing oil. As a result, simultaneous passive transport of multiple droplets
(20-400 MUm) is achieved over long distances (beyond 7 mm). On these oil-infused
surfaces, satellite microdroplets can further nucleate and grow on an oil-cloaked
droplet, demonstrating an enlarged surface area for condensation. Our findings on
passive condensate removal offer great opportunities in condensation enhancement,
self-cleaning, and other applications requiring directional droplet transport
along horizontal surfaces.
PMID- 29792416
TI - Phosphotriesterase-Magnetic Nanoparticle Bioconjugates with Improved Enzyme
Activity in a Biocatalytic Membrane Reactor.
AB - The need to find alternative bioremediation solutions for organophosphate
degradation pushed the research to develop technologies based on organophosphate
degrading enzymes, such as phosphotriesterase. The use of free phosphotriesterase
poses limits in terms of enzyme reuse, stability, and process development. The
heterogenization of enzyme on a support and their use in bioreactors implemented
by membranes seems a suitable strategy, thanks to the ability of membranes to
compartmentalize, to govern mass transfer, and to provide a microenvironment with
tuned physicochemical and structural properties. Usually, hydrophilic membranes
are used since they easily guarantee the presence of water molecules needed for
the enzyme catalytic activity. However, hydrophobic materials exhibit a larger
shelf life and are preferred for the construction of filters and masks.
Therefore, in this work, hydrophobic polyvinylidene fluoride (PVDF) porous
membranes were used to develop biocatalytic membrane reactors (BMR). The
phosphotriesterase-like lactonase (PLL) enzyme ( SsoPox triple mutant from S.
solfataricus) endowed with thermostable phosphotriesterase activity was used as
model biocatalyst. The enzyme was covalently bound directly to the PVDF
hydrophobic membrane or it was bound to magnetic nanoparticles and then
positioned on the hydrophobic membrane surface by means of an external magnetic
field. Investigation of kinetic properties of the two BMRs and the influence of
immobilized enzyme amount revealed that the performance of the BMR was mostly
dependent on the amount of enzyme and its distribution on the immobilization
support. Magnetic nanocomposite mediated immobilization showed a much better
performance, with an observed specific activity higher than 90% compared to
grafting of the enzyme on the membrane. Even though the present work focused on
phosphotriesterase, it can be easily translated to other classes of enzymes and
related applications.
PMID- 29792418
TI - Self-Assembled PdII6 Molecular Spheroids and Their Proton Conduction Property.
AB - A series of molecular spheroids (SP1-SP4) was synthesized using pseudolinear
bisimidazole and bisbenzimidazole donors in combination with Pd(NO3)2 acceptor
via coordination-driven self-assembly. They were characterized by NMR and mass
spectrometry, and the solid-state structures of SP1 and SP3 were confirmed by X
ray diffraction. Crystal packing revealed the presence of molecular channels with
water molecules in the channels as proton source. All the systems showed proton
conductivity across a wide range of temperature and relative humidity.
Furthermore, the mode of proton conduction in these molecular spheroids was
explored by performing a control experiment using 2,4-dinitrophenol molecule,
which indicates that the proton conductivity in the present case increases with
increasing surface area of these molecular spheroids.
PMID- 29792419
TI - As(III) Removal from Drinking Water by Carbon Nanotube Membranes with Magnetron
Sputtered Copper: Performance and Mechanisms.
AB - Current approaches for functionalizing carbon nanotubes (CNTs) often utilize
harsh chemical conditions, and the resulting harmful wastes can cause various
environmental and health concerns. In this study, magnetron sputtering technique
is facilely employed to functionalize CNT membranes by depositing Cu onto premade
CNT membranes without using any chemical treatment. A comparative evaluation of
the substrate polymeric membrane (mixed cellulose ester (MCE)), MCE sputtered
with copper (Cu/MCE), the pristine CNT membrane (CNT), and CNT membrane sputtered
with Cu (Cu/CNT) shows that Cu/CNT possesses mechanically stable structures and
similar membrane permeability as MCE. More importantly, Cu/CNT outperforms other
membranes with high As(III) removal efficiency of above 90%, as compared to less
than 10% by MCE and CNT, and 75% by Cu/MCE from water. The performance of Cu/CNT
membranes for As(III) removal is also investigated as a function of ionic
strength, sputtering time, co-existing ions, solution pH, and the reusability.
Further characterizations of As speciation in the filtrate and on Cu/CNT reveal
that arsenite removal by Cu/CNT possibly began with Cu-catalyzed oxidation of
arsenite to arsenate, followed by adsorptive filtration of arsenate by the
membrane. Overall, this study demonstrates that magnetron sputtering is a
promising greener technology for the productions of metal-CNT composite membranes
for environmental applications.
PMID- 29792420
TI - Enhanced Thermoelectric Properties of Double-Filled CoSb3 via High-Pressure
Regulating.
AB - It has been discussed for a long time that synthetic pressure can effectively
optimize thermoelectric properties. The beneficial effect of synthesis pressures
on thermoelectric properties has been discussed for a long time. In this paper,
it is theoretically and experimentally demonstrated that appropriate synthesis
pressures can increase the figure of merit (ZT) through optimizing thermal
transport and electronic transport properties. Indium and barium atoms double
filled CoSb3 samples were prepared use high-pressure and high-temperature
technique for half an hour. X-ray diffraction and some structure analysis were
used to reveal the relationship between microstructures and thermoelectric
properties. In0.15Ba0.35Co4Sb12 samples were synthesized by different pressures;
sample synthesized by 3 GPa has the best electrical transport properties, and
sample synthesized by 2.5 GPa has the lowest thermal conductivity. The maximum ZT
value of sample synthesized by 3.0 GPa reached 1.18.
PMID- 29792421
TI - High-Temperature Structural Evolution in the Ba3Mo(1- x)W xNbO8.5 System and
Correlation with Ionic Transport Properties.
AB - The evolution of the hybrid structure between 9R hexagonal perovskite and
palmierite in the entire Ba3Mo(1- x)W xNbO8.5 solid solution (where x = 0, 0.25,
0.5, 0.75, and 1) was probed in the 100-900 K range by synchrotron high
resolution powder diffraction. Each sample exhibits a chemical-dependent
structural model in the low-temperature regime (from 100 to 500 K) in which 9R
and palmierite structures compete each other, the former being progressively
favored as tungsten replaces molybdenum. Above 500 K, unit cell parameters and
metal site occupancies start to converge toward a similar structural arrangement
that is completely reached at 900 K. In fact, at this temperature, the entire
solid solution discloses comparable unit cell and an almost enterely occupied M1
site, with a structure that is much closer to palmierite rather than 9R polytype.
The present crystallographic results well explain the behavior of the material's
bulk ionic conductivity, whose temperature evolution for different compositions
depends from the contribution of tetrahedral units proper of the palmierite
structure.
PMID- 29792422
TI - Toward Total Synthesis of Thiolate-Protected Metal Nanoclusters.
AB - Total synthesis, where desired organic- and/or biomolecules could be produced
from simple precursors at atomic precision and with known step-by-step reactions,
has prompted centuries-lasting bloom of organic chemistry since its
conceptualization in 1828 (Wohler synthesis of urea). Such expressive science is
also highly desirable in nanoscience, since it represents a decisive step toward
atom-by-atom customization of nanomaterials for basic and applied research.
Although total synthesis chemistry is less established in nanoscience, recent
years have witnessed seminal advances and increasing research efforts devoted
into this field. In this Account, we discuss recent progress on introducing and
developing total synthesis routes and mechanisms for atomically precise metal
nanoclusters (NCs). Due to their molecular-like formula and properties (e.g.,
HOMO-LUMO transition, strong luminescence and stereochemical activity),
atomically precise metal NCs could be regarded as "molecular metals", holding
potential applications in various practical sectors such as biomedicine, energy,
catalysis, and many others. More importantly, the molecular-like properties of
metal NCs are sensitively dictated by their size and composition, suggesting
total synthesis of them as an indispensable basis for reliably realizing their
practical applications. Atomically precise thiolate-protected Au, Ag and their
alloy NCs are employed as model NCs to exemplify design strategies and governing
principles in total synthesis of inorganic nanoparticles. This Account starts
with a brief summary of total synthesis methodologies of atomically precise metal
NCs. Following the methodological summary is a detailed discussion on the
mechanisms governing these synthetic strategies, which is the main focus of this
Account. Based on unprecedented precision (at atomic resolution) and ease
(ensured by size-dependent properties) of tracking clusters' size/structure
changes, mechanisms driving growth (e.g., reduction growth and seeded growth) and
functionalization (e.g., alloying reaction and ligand exchange) of metal NCs have
been explored at molecular level. With definitive step-by-step reaction routes,
two-electron (2 e-) reduction (driving the growth reactions) and surface motif
exchange (SME, prompting alloying and ligand exchange reactions) are discussed in
depth and details. In addition to those sub- and/or individual-cluster level
understandings, the self-assembly chemistry delivering high orderliness and
enhanced materials performance in NC assemblies/supercrystals is also deciphered.
This Account is then concluded with our perspectives toward potential development
of cluster chemistry. Advances in total synthesis chemistry of metal NCs could
not only serve as guidelines for future synthetic practice of NCs, but also
provide molecular-level clues for many pending fundamental puzzles in
nanochemistry, including nucleation growth, alloying chemistry, surface
engineering and evolution of metamaterials.
PMID- 29792423
TI - Trace Organic Pollutant Removal by VUV/UV/chlorine Process: Feasibility
Investigation for Drinking Water Treatment on a Mini-Fluidic VUV/UV Photoreaction
System and a Pilot Photoreactor.
AB - The vacuum-ultraviolet/ultraviolet/chlorine (VUV/UV/chlorine) process, with a
VUV/UV mercury lamp used as the light source, was found to be a highly efficient
advanced oxidation process (AOP) in a previous study. Hence, its application
feasibility for trace organic pollutant removal from drinking water becomes
attractive. In this work, a bench-scale mini-fluidic VUV/UV photoreaction system
was used to determine the degradation kinetics of sulfamethazine (SMN), a model
sulfonamide antibiotic frequently detected with trace levels in aquatic
environments. Results indicated that SMN (0.1 mg L-1) could be degraded rapidly
by VUV/UV/chlorine, and a synergism was observed between the VUV/UV and
UV/chlorine processes. Photon-fluence based rate constants of SMN degradation
were determined to be 6.76 * 103 and 8.51 * 103 m2 einstein-1 at chlorine doses
of 0.05 and 0.5 mg L-1, respectively. The presence of natural organic matter in
real waters significantly inhibited SMN degradation. In addition, pilot tests
were conducted to explore the practical performance of the VUV/UV/chlorine
process, thereby allowing electrical energy per order to be calculated for cost
evaluation. The effect of flow pattern on photoreactor efficiency was also
analyzed by computational fluid dynamics simulations. Both bench- and pilot-scale
tests have demonstrated that the VUV/UV/chlorine process, as a new AOP, has
potential applications to trace organic pollutant removal in small-scale water
treatment.
PMID- 29792424
TI - Comprehensive Investigation into Luminescent Properties of Ir(III) Complexes: An
Integrated Computational Study of Radiative and Nonradiative Decay Processes.
AB - A comprehensive and concrete exploration into the deactivation mechanisms of
luminescent materials is imperative, with the improvement of simulating and
computing technology. In this study, an integrated calculation scheme is employed
on five Ir(III) complexes for thorough investigation of their photophysical
properties, including radiative ( kr) and nonradiative ( knr) decay rates. As a
most famous Ir(III) complex with superior quantum efficiency, fac-Ir(ppy)3 herein
serves as a reference relative to the other four beta-diketonate complexes. Both
temperature-independent and temperature-dependent knr are taken into account
quantitatively for the first time, to unearth the role of different ancillary
ligands in the determination of luminescent properties. Since the validated
calculations of kr for the five complexes are of the same order of magnitude, the
nonemissive peculiarity of 4 is caused by large knr. The newly designed compound
5, which simply has two more -CH2 groups than 4 in the ancillary ligand, further
manifests that the reason for large knr in molecule 4 should be attributed to the
ligand resonance caused by great pi conjugation.
PMID- 29792426
TI - Correction to "Atomic-Scale Influence of Grain Boundaries on Li-Ion Conduction in
Solid Electrolytes for All-Solid-State Batteries".
PMID- 29792425
TI - Roasted Barley Extract (Mugi-cha) Containing Cyclo(d-Phe-l-Pro) Prevents Lowering
of the Cutaneous Blood Flow and Skin Temperature under Air Conditioning: A
Randomized, Double-Blind, Placebo-Controlled, Crossover Study.
AB - Roasted barley extract (RBE), also known as mugi-cha, is a well-known healthy non
caffeinated beverage, and its health functionality has been widely reported. Our
previous clinical study showed that RBE affects the cutaneous blood flow and skin
temperature after cold-water immersion and that cyclo(d-Phe-l-Pro) is responsible
for its effect. In this study, we investigated whether cyclo(d-Phe-l-Pro)
containing RBE prevents the decrease in the cutaneous blood flow and skin
temperature. Subjects remained in the air-conditioned room while ingesting RBE or
a placebo. We measured the cutaneous blood flow and skin temperature. We
evaluated the effect of RBE administration by two-way repeated measures analysis
of variance. A total of 15 subjects were enrolled. The change in cutaneous blood
flow in the RBE and placebo groups was -0.79 +/- 0.38 and -2.03 +/- 0.35 mL min-1
100 g-1, respectively ( p value of 0.041). The change in the skin temperature in
the RBE and placebo groups was -1.85 +/- 0.35 and -3.02 +/- 0.30 degrees C,
respectively ( p value of <0.001). We also did subclass analysis with cold
feeling subjects. For the seven subjects who had cold sensation, the change in
the cutaneous blood flow in the RBE and placebo groups was -0.48 +/- 0.58 and
2.56 +/- 0.48 mL min-1 100 g-1, respectively ( p value of 0.008). The change in
the skin temperature in the RBE and placebo groups was -1.46 +/- 0.74 and -2.89
+/- 0.39 degrees C, respectively ( p value of 0.009). Thus, RBE containing
cyclo(d-Phe-l-Pro) prevents the decrease in the cutaneous blood flow and skin
temperature under air conditioning.
PMID- 29792427
TI - Native Chemical Ligation-Photodesulfurization in Flow.
AB - Native chemical ligation (NCL) combined with desulfurization chemistry has
revolutionized the way in which large polypeptides and proteins are accessed by
chemical synthesis. Herein, we outline the use of flow chemistry for the ligation
based assembly of polypeptides. We also describe the development of a novel
photodesulfurization transformation that, when coupled with flow NCL, enables
efficient access to native polypeptides on time scales up to 2 orders of
magnitude faster than current batch NCL-desulfurization methods. The power of the
new ligation-photodesulfurization flow platform is showcased through the rapid
synthesis of the 36 residue clinically approved HIV entry inhibitor enfuvirtide
and the peptide diagnostic agent somatorelin.
PMID- 29792428
TI - Structures and Activities of Tiahuramides A-C, Cyclic Depsipeptides from a
Tahitian Collection of the Marine Cyanobacterium Lyngbya majuscula.
AB - The structures of three new cyclic depsipeptides, tiahuramides A (1), B (2), and
C (3), from a French Polynesian collection of the marine cyanobacterium Lyngbya
majuscula are described. The planar structures of these compounds were
established by a combination of mass spectrometry and 1D and 2D NMR experiments.
Absolute configurations of natural and nonproteinogenic amino acids were
determined through a combination of acid hydrolysis, derivitization with Marfey's
reagent, and HPLC. The absolute configuration of hydroxy acids was confirmed by
Mosher's method. The antibacterial activities of tiahuramides against three
marine bacteria were evaluated. Compound 3 was the most active compound of the
series, with an MIC of 6.7 MUM on one of the three tested bacteria. The three
peptides inhibit the first cell division of sea urchin fertilized eggs with IC50
values in the range from 3.9 to 11 MUM. Tiahuramide B (2), the most potent
compound, causes cellular alteration characteristics of apoptotic cells,
blebbing, DNA condensation, and fragmentation, already at the first egg cleavage.
The cytotoxic activity of compounds 1-3 was tested in SH-SY5Y human neuroblastoma
cells. Compounds 2 and 3 showed an IC50 of 14 and 6.0 MUM, respectively, whereas
compound 1 displayed no toxicity in this cell line at 100 MUM. To determine the
type of cell death induced by tiahuramide C (3), SH-SY5Y cells were costained
with annexin V-FITC and propidium iodide and analyzed by flow cytometry. The
double staining indicated that the cytotoxicity of compound 3 in this cell line
is produced by necrosis.
PMID- 29792429
TI - Divergent Syntheses of ( Z)-3-Alkylideneisobenzofuran-1(3 H)-ones and 1 H
Isochromen-1-ones by Copper-Catalyzed Cycloisomerization of 2-Alkynylbenzoic
Acids in Ionic Liquids.
AB - The cycloisomerization of readily available 2-alkynylbenzoic acids 1 in ionic
liquids (ILs) as recyclable reaction media has been studied under the catalytic
action of CuCl2. With substrates bearing an aryl group on the triple bond, a
mixture of ( Z)-3-alkylideneisobenzofuran-1(3 H)-ones (from 5- exo- dig
cyclization) and 1 H-isochromen-1-ones (from 6- endo- dig cyclization) was
observed in 1-ethyl-3-methylimidazolium ethyl sulfate (EmimEtSO4), while the
reaction turned out to be selective toward the formation of the isobenzofuranone
only using N-ethyl- N-methylmorpholinium dicyanamide [Mor1,2N(CN)2] as the
solvent. The 5-membered product was also obtained selectively when the substrate
bearing a terminal triple bond was employed, either in EmimEtSO4 or Mor1,2N(CN)2.
On the other hand, 2-alkynylbenzoic acids bearing an alkyl or an alkenyl group on
the triple bond selectively led, in EmimEtSO4, to 1 H-isochromen-1-ones, while
the formation of a regioisomeric mixture was observed in Mor1,2N(CN)2. In any
case, the solvent/catalyst system could be easily recycled after extraction of
the product from the reaction mixture with diethyl ether. DFT calculations have
been carried out to clarify the reaction outcome depending on reaction
conditions, and the structures of two representative products, which are ( Z)-3
benzylideneisobenzofuran-1(3 H)-one and ( Z)-3-(4
methylphenylmethylidene)isobenzofuran-1(3 H)-one, have been confirmed by X-ray
diffraction analysis.
PMID- 29792430
TI - Buchwald-Hartwig Amination of Phosphinines and the Effect of Amine Substituents
on Optoelectronic Properties of the Resulting Coupling Products.
AB - The Buchwald-Hartwig amination of a phosphinine bearing a bromophenyl moiety was
carried out using a dinuclear Ni catalyst. A variety of monoarylamines,
diarylamines, and alkylamine, as well as heterocycles, were successfully
converted into novel phosphinines bearing amine units. The photophysical
properties of these novel phosphinines were examined, including the substituent
dependent absorption/emission features and intramolecular charge-transfer
interactions.
PMID- 29792431
TI - The O + NO( v) Vibrational Relaxation Processes Revisited.
AB - We have carried out a quasiclassical trajectory study of the O + NO( v) energy
transfer process using DMBE potential energy surfaces for the ground-states of
the 2A' and 2A" manifolds. State-to-state vibrational relaxation rate constants
have been computed over the temperature range 298 and 3000 K and initial
vibrational states between v = 1 and 9. The momentum-Gaussian binning approach
has been employed to calculate the probability of the vibrational transitions. A
comparison of the calculated state-to-state rate coefficients with the results
from experimental studies and previous theoretical calculations shows the
relevance of the 1 2A" potential energy surface to the title vibrational
relaxation process.
PMID- 29792432
TI - New Basis Set for the Evaluation of Specific Rotation in Flexible Biological
Molecules in Solution.
AB - A detailed theoretical investigation of specific rotation is carried out in
solution for nine flexible molecules of biological importance. Systematic search
for the main conformers is followed by time-dependent density functional theory
(TD-DFT) calculations of specific rotation employing a wide range of basis sets.
Due to conformational flexibility of the compounds under study, the possibility
of basis set size reduction without deterioration of the results is investigated.
The increasing size (d-)aug-cc-pVXZ (X = D, T, Q) bases of Dunning et al., and
the ORP basis set, recently developed to efficiently provide molecular specific
rotation, are used for this purpose. The polarizable continuum model is employed
at all steps of the investigation. Comparison of the present results with the
available data obtained in a vacuum reveals considerable differences, the values
in solution being much closer to the experimental specific rotation data
available. The ORP basis set proves to be competitive with the d-aug-cc-pVDZ set
of Dunning in specific rotation calculations carried out in solution. While
having the same number of functions, the former yields, in general, results
considerably closer to the reference triple-zeta values. We can thus recommend
the ORP basis set to study the optical rotation in conformationally flexible
molecules in solution.
PMID- 29792433
TI - Hinduchelins A-D, Noncytotoxic Catechol Derivatives from Streptoalloteichus
hindustanus.
AB - Four new catechol derivatives, hinduchelins A-D (1-4), composed of 2,3-
dihydroxybenzoic acid, threonine, and decarboxylated phenylalanine, were isolated
from Streptoalloteichus hindustanus. Their structures and absolute configurations
were elucidated by interpretation of NMR and HRMS data and quantum chemical ECD
calculations. The iron-binding properties of the compounds were evaluated by a
pyoverdine production assay in Pseudomonas aeruginosa, and compound 4 showed
moderate ability to induce pyoverdine production at 50 MUM. None of the compounds
were cytotoxic toward HL-20, A549, SMMC-7721, MCF-7, and SW-480 tumor cell lines.
PMID- 29792434
TI - Synthesis of Densely Substituted Conjugated Dienes by Transition-Metal-Free
Reductive Coupling of Allenylboronic Acids and Tosylhydrazones.
AB - Tosylhydrazones and allenylboronic acids underwent a transition-metal-free
reductive coupling reaction. This process is suitable for synthesis of tetra- and
pentasubstituted conjugated dienes. The corresponding allenyl-Bpin substrate
showed a very poor reactivity. The reaction is suggested to involve coupling of
the in situ formed diazo compound and allenylboronic acid. The intermediate
formed in this coupling undergoes allenyl migration followed by protodeboronation
to furnish a conjugated diene as major product.
PMID- 29792435
TI - Measuring Drug-Induced Changes in Metabolite Populations of Live Bacteria: Real
Time Analysis by Raman Spectroscopy.
AB - Raman difference spectroscopy is shown to provide a wealth of molecular detail on
changes within bacterial cells caused by infusion of antibiotics or hydrogen
peroxide. Escherichia coli strains paired with chloramphenicol, dihydrofolate
reductase propargyl-based inhibitors, meropenem, or hydrogen peroxide provide
details of the depletion of protein and nucleic acid populations in real time.
Additionally, other reproducible Raman features appear and are attributed to
changes in cell metabolite populations. An initial candidate for one of the
metabolites involves population increases of citrate, an intermediate within the
tricarboxyclic acid cycle. This is supported by the observation that a strain of
E. coli without the ability to synthesize citrate, gltA, lacks an intense feature
in the Raman difference spectrum that has been ascribed to citrate. The
methodology for obtaining the Raman data involves infusing the drug into live
cells, then washing, freezing, and finally lyophilizing the cells. The freeze
dried cells are then examined under a Raman microscope. The difference spectra
[cells treated with drug] - [cells without treatment] are time-dependent and can
yield population kinetics for intracellular species in vivo. There is a strong
resemblance between the Raman difference spectra of E. coli cells treated with
meropenem and those treated with hydrogen peroxide.
PMID- 29792436
TI - Unveiling the Complex Effects of H2O on Discharge-Recharge Behaviors of Aprotic
Lithium-O2 Batteries.
AB - The addition of H2O, even trace amount, in aprotic Li-O2 batteries has a
remarkable impact on achieving high capacity by triggering solution mechanism,
and even reducing charge overpotential. However, the critical role of H2O in
promoting solution mechanism still lacks persuasive spectroscopic evidence,
moreover, the origin of low polarization remains incompletely understood. Herein,
by in situ spectroscopic identification of reaction intermediates, we directly
verify that H2O additive is able to alter oxygen reduction reaction (ORR) pathway
subjected to solution-mediated growth mechanism of Li2O2. In addition, ingress of
H2O also induces to form partial LiOH, resulting in reduced charging polarization
due to its higher conductivity; however, LiOH could not contribute to O2
evolution upon recharge. These original results unveil the complex effects of H2O
on cycling the aprotic Li-O2 batteries, which are instructive for the mechanism
study of aprotic Li-O2 batteries with protic additives or soluble catalysts.
PMID- 29792437
TI - Nickel(II)-Catalyzed [8 + 3]-Cycloaddition of 2-Aryl- N-tosylaziridines with
Tropone.
AB - The Ni-catalyzed [8 + 3]-cycloaddition of tropone with 2-aryl- N-tosylaziridines
proceeded smoothly under mild reaction conditions, affording various 4-tosyl
2,3,4,4a-tetrahydrocyclohepta[ b][1,4]oxazine derivatives in moderate to
excellent yields.
PMID- 29792438
TI - Collimonins A-D, Unstable Polyynes with Antifungal or Pigmentation Activities
from the Fungus-Feeding Bacterium Collimonas fungivorans Ter331.
AB - The isolation and structure elucidation of collimonins A-D (1-4) from the fungus
feeding bacterium Collimonas fungivorans Ter331 are reported. Collimonins are new
derivatives of polyoxygenated hexadecanoic acid, including an ene-triyne moiety.
Their absolute configurations were fully determined by combining spectroscopic,
chemical, and crystalline sponge methods. Collimonins showed antifungal or
pigmentation activities against the fungus Aspergillus niger ATCC 9029.
PMID- 29792439
TI - The Power of Triplet and Singlet Oxygen in Synthesis: 2-Oxindoles, 3-Hydroxy-2
oxindoles, and Isatins from Furans.
AB - A straightforward synthesis of substituted 2-oxindoles, 3-hydroxy-2-oxindoles,
and isatins has been developed. Easily accessible furans were transformed into
tetrahydropyranopyrrolones by a singlet oxygen initiated cascade reaction
sequence. An acid-catalyzed rearrangement, followed by aromatization, gave access
to a variety of 2-oxindole motifs, which were oxidized to 3-hydroxy-2-oxindoles
or isatins using methylene blue as a radical initiator and molecular oxygen as a
terminal oxidant.
PMID- 29792440
TI - Looking at the eyes interferes with facial emotion recognition in alexithymia.
AB - Alexithymia refers to difficulties in identifying, differentiating, and
describing feelings. This personality trait is highly prevalent in many
psychiatric conditions and may drive associated social-emotional problems,
including the ability to decode emotions in faces. This study probed alexithymic
problems with identifying clear and ambiguous blends of emotions in faces and
their underlying visual attention patterns. Using the Toronto Alexithymia Scale
20, students with high alexithymia (HA, n = 73) or low alexithymia (LA, n = 76)
were enrolled in this study. Participants judged the mixture ratio of emotional
expressions while their eye movements were recorded. Controlling for group
differences in mood and anxiety, HA showed similar accuracy but a reduced viewing
preference of facial eye regions compared to LA (p = .02). More attention to the
eye regions was related to lower accuracy in HA (p = .02) but to slightly higher
accuracy in LA (p = .07). The current findings point to a role of alexithymia in
attentional avoidance of other people's eyes, similar to previous findings in
autism spectrum disorder. Eye contact may be perceived as a greater emotional
challenge by those with alexithymia, disrupting downstream processing of facial
emotions. (PsycINFO Database Record
PMID- 29792441
TI - Human memory reconsolidation: A guiding framework and critical review of the
evidence.
AB - Research in nonhuman animals suggests that reactivation can induce a transient,
unstable state in a previously consolidated memory, during which the memory can
be disrupted or modified, necessitating a process of restabilization in order to
persist. Such findings have sparked a wave of interest into whether this
phenomenon, known as reconsolidation, occurs in humans. Translating research from
animal models to human experiments and even to clinical interventions is an
exciting prospect, but amid this excitement, relatively little work has
critically evaluated and synthesized existing research regarding human memory
reconsolidation. In this review, we formalize a framework for evaluating and
designing studies aiming to demonstrate human memory reconsolidation. We use this
framework to shed light on reconsolidation-based research in human procedural
memory, aversive and appetitive memory, and declarative memory, covering a
diverse selection of the most prominent examples of this research, including
studies of memory updating, retrieval-extinction procedures, and pharmacological
interventions such as propranolol. Across different types of memory and
procedure, there is a wealth of observations consistent with reconsolidation.
Moreover, some experimental findings are already being translated into clinically
relevant interventions. However, there are a number of inconsistent findings, and
the presence of alternative explanations means that we cannot conclusively infer
the presence of reconsolidation at the neurobiological level from current
evidence. Reconsolidation remains a viable but hotly contested explanation for
some observed changes in memory expression in both humans and animals. Developing
effective and efficient new reconsolidation-based treatments can be a goal that
unites researchers and guides future experiments. (PsycINFO Database Record
PMID- 29792442
TI - The case against specialized visual-spatial short-term memory.
AB - The dominant paradigm for understanding working memory, or the combination of the
perceptual, attentional, and mnemonic processes needed for thinking, subdivides
short-term memory (STM) according to whether memoranda are encoded in aural
verbal or visual formats. This traditional dissociation has been supported by
examples of neuropsychological patients who seem to selectively lack STM for
either aural-verbal, visual, or spatial memoranda, and by experimental research
using dual-task methods. Though this evidence is the foundation of assumptions of
modular STM systems, the case it makes for a specialized visual STM system is
surprisingly weak. I identify the key evidence supporting a distinct verbal STM
system-patients with apparent selective damage to verbal STM and the resilience
of verbal short-term memories to general dual-task interference-and apply these
benchmarks to neuropsychological and experimental investigations of visual
spatial STM. Contrary to the evidence on verbal STM, patients with apparent
visual or spatial STM deficits tend to experience a wide range of additional
deficits, making it difficult to conclude that a distinct short-term store was
damaged. Consistently with this, a meta-analysis of dual-task visual-spatial STM
research shows that robust dual-task costs are consistently observed regardless
of the domain or sensory code of the secondary task. Together, this evidence
suggests that positing a specialized visual STM system is not necessary.
(PsycINFO Database Record
PMID- 29792443
TI - Sympathy and responses to suffering: Similarity and variation in China and the
United States.
AB - Feeling sympathy in response to suffering appears to be a universal human
experience, but we know very little about how it is experienced in non-Western
cultures. In the present studies, we show that sympathy is a complex emotion that
has a distinct appraisal theme of wanting to alleviate suffering and that
cultural variation occurs in interpretations of suffering and behavioral
responses. In particular, the present studies show that sympathy is
conceptualized similarly in both the United States and China (Studies 1 and 2),
and that it is elicited by undeserved suffering in both cultures (Study 2), is
experienced as unpleasant (Study 2), and motivates a desire to help others
(Studies 2, 3, and 4). Results also revealed cultural differences in attributions
of suffering, perceptions of deservingness, and behavioral tendencies to help and
punish individuals who are suffering. The present findings support sympathy as a
distinct emotion that responds to suffering and open the door for cultural
variation in interpretations and responses to suffering, including decisions to
help. (PsycINFO Database Record
PMID- 29792444
TI - Automaticity in the recognition of nonverbal emotional vocalizations.
AB - The ability to perceive the emotions of others is crucial for everyday social
interactions. Important aspects of visual socioemotional processing, such as the
recognition of facial expressions, are known to depend on largely automatic
mechanisms. However, whether and how properties of automaticity extend to the
auditory domain remains poorly understood. Here we ask if nonverbal auditory
emotion recognition is a controlled deliberate or an automatic efficient process,
using vocalizations such as laughter, crying, and screams. In a between-subjects
design (N = 112), and covering eight emotions (four positive), we determined
whether emotion recognition accuracy (a) is improved when participants actively
deliberate about their responses (compared with when they respond as fast as
possible) and (b) is impaired when they respond under low and high levels of
cognitive load (concurrent task involving memorizing sequences of six or eight
digits, respectively). Response latencies were also measured. Mixed-effects
models revealed that recognition accuracy was high across emotions, and only
minimally affected by deliberation and cognitive load; the benefits of
deliberation and costs of cognitive load were significant mostly for positive
emotions, notably amusement/laughter, and smaller or absent for negative ones;
response latencies did not suffer under low or high cognitive load; and high
recognition accuracy (approximately 90%) could be reached within 500 ms after the
stimulus onset, with performance exceeding chance-level already between 300 and
360 ms. These findings indicate that key features of automaticity, namely fast
and efficient/effortless processing, might be a modality-independent component of
emotion recognition. (PsycINFO Database Record
PMID- 29792445
TI - The Society for Industrial and Organizational Psychology's guidelines for
education and training: An executive summary of the 2016/2017 revision.
AB - The Society for Industrial and Organizational Psychology (SIOP, Division 14 of
the American Psychological Association [APA]) maintains Guidelines for Education
and Training to provide guidance for the training of industrial-organizational (I
O) psychologists. The 2016/2017 revision combines separate documents for master's
and doctoral-level training into one document, because the competencies required
for each degree are not very different. Instead, the degrees differ in breadth
and depth. The updated Guidelines were approved as APA policy in August 2017. In
this article, we briefly review the revision process and highlight the updates
made in the latest version of the Guidelines. (PsycINFO Database Record
PMID- 29792446
TI - An expanded theory of Alzheimer's caregiving.
AB - The ancient and cross-culturally prevalent pattern of caregiving suggests that
long-term caregiving is species characteristic for humans. If so, then an
evolutionary account of the adaptation(s) that underwrite this caregiving is
necessary, particularly for the one-sided and long-term nature of Alzheimer's
caregiving. Four standard evolutionary explanations are evaluated: kin selection
theory, the grandmother hypothesis, direct reciprocity, and indirect reciprocity.
Each is found inadequate to explain caregiving because of the lack of
reproductive benefits. These evolutionary accounts also assume that relationships
are only valuable to the degree that they provide benefits and that relationship
partners are predominantly motivated by self-interest. Attachment provides
another explanation, which evolved initially to ensure infant protection and
nurturance, but was exapted for important adult relationships. Attachment
relationships naturally include caregiving and engender long-term relational
commitment. Yet attachment theory is ambiguous about whether relationships are
maintained for the sake of security benefits or because they have inherent value.
This ambiguity undermines the explanatory value of attachment theory for
Alzheimer's caregiving. Therefore, a shared identity theory is offered that
highlights the inherent value of the relationship and the loved one, transcending
the predominant focus on beneficial individual outcomes. The theory emphasizes
the frequent human motivation to benefit others because of their mutual
commitment, shared identity, and shared goals. The conclusion is that fully
understanding and supporting the arduous efforts of caregiving for loved ones
with Alzheimer's requires psychologists to fully appreciate and support the deep
and meaningful motivations that often inspire the humanity seen in caregiving.
(PsycINFO Database Record
PMID- 29792447
TI - The source model of group threat: Responding to internal and external threats.
AB - We introduce a model of group threat that articulates the opposing effects of
intergroup (between-groups) and intragroup (within-group) threat on identity
processes and group relations. The source model of group threat argues that the
perceived source of a threat is critical in predicting its consequences, such
that perceptions of intergroup threat will strengthen (in)group identity
processes and relations, whereas perceptions of intragroup threat has the
potential to undermine the same. In addition to reviewing the large literature on
intergroup threat and a smaller body of unsynthesized work on intragroup threat,
we discuss how these processes are captured in representations of monsters
(aliens, vampires, and zombies) in popular media and how these ideas can inform
interpretation of current political debates, such as those around homegrown
terrorism. This model provides a novel summary of the core effects of intergroup
and intragroup threat, generating testable hypotheses about the psychological
effects of different types of threat. Applying this model will help to make sense
of seemingly contradictory findings in the literature, illustrating how appraisal
of a threat as originating from an intergroup or intragroup source has the
capacity to change the group-based effects of that threat. (PsycINFO Database
Record
PMID- 29792448
TI - The experimental evidence for parapsychological phenomena: A review.
AB - This article presents a comprehensive integration of current experimental
evidence and theories about so-called parapsychological (psi) phenomena.
Throughout history, people have reported events that seem to violate the common
sense view of space and time. Some psychologists have been at the forefront of
investigating these phenomena with sophisticated research protocols and theory,
while others have devoted much of their careers to criticizing the field. Both
stances can be explained by psychologists' expertise on relevant processes such
as perception, memory, belief, and conscious and nonconscious processes. This
article clarifies the domain of psi, summarizes recent theories from physics and
psychology that present psi phenomena as at least plausible, and then provides an
overview of recent/updated meta-analyses. The evidence provides cumulative
support for the reality of psi, which cannot be readily explained away by the
quality of the studies, fraud, selective reporting, experimental or analytical
incompetence, or other frequent criticisms. The evidence for psi is comparable to
that for established phenomena in psychology and other disciplines, although
there is no consensual understanding of them. The article concludes with
recommendations for further progress in the field including the use of project
and data repositories, conducting multidisciplinary studies with enough power,
developing further nonconscious measures of psi and falsifiable theories,
analyzing the characteristics of successful sessions and participants, improving
the ecological validity of studies, testing how to increase effect sizes,
recruiting more researchers at least open to the possibility of psi, and
situating psi phenomena within larger domains such as the study of consciousness.
(PsycINFO Database Record
PMID- 29792449
TI - The science of teamwork: Introduction to the special issue.
AB - Provides an introduction to this special issue which explores the Science of
Teamwork-what psychological science in 2018 tells us about the process and
outcomes of teamwork in a variety of contexts. This work draws from and affects
all areas of psychology. The science and practice of teamwork is now an
interdisciplinary activity. Teamwork is a complex phenomenon requiring multiple
lenses and approaches. What follows is a description of our process in putting
together the issue and a brief description of the articles that compose it.
(PsycINFO Database Record
PMID- 29792450
TI - The evolution of work team research since Hawthorne.
AB - Since the Hawthorne studies of the 1920s and 1930s, there has been tremendous
progress in the science and the practice of work group effectiveness. We
chronicle the evolution of 3 schools of thought concerning work groups that
spawned about the time of those studies. We highlight the different emphases of
each perspective and how they eventually merged into an integrated view of
teamwork. We also illustrate the disciplinary ebbs and flows of work group
research over the past quarter century and how many different scholars from
diverse institutions are currently contributing to the literature. We highlight
the progress that has been made both in terms of scholarly insights and practical
advances. We argue that the popular Input-Process-Outcome framework has
facilitated progress in the field but has also become a limiting factor. We
conclude that future advances will be associated with: (a) the advent of new
theories, methodologies, and tools for modeling dynamic team properties; (b) a
greater appreciation for, and sophisticated conceptions of, team task
environments; and (c) conceptions of teams as entities in multilevel
environments. (PsycINFO Database Record
PMID- 29792451
TI - The science of teams in the military: Contributions from over 60 years of
research.
AB - Teams are the foundational building blocks of the military, which uses a
hierarchical structure built on and around teams to form larger units.
Consequently, team effectiveness has been a substantial focus of research within
the military for decades to ensure military teams have the human capabilities to
complete their missions and address future challenges successfully. This research
has contributed greatly to broader team theory and informed the development of
evidence-based interventions. Team-focused research supported or executed by the
military has yielded major insights into the nature of team performance, advanced
the methods for measuring and improving team performance, and broken new ground
in understanding the assembly of effective teams. Furthermore, military research
has made major contributions to advancing methodological and statistical
techniques for studying teams. We highlight the military contributions to the
broader team literature and conclude with a discussion of critical areas of
future research on teams and enduring challenges for both the military and team
science as a whole. (PsycINFO Database Record
PMID- 29792452
TI - Foundations of teamwork and collaboration.
AB - The term teamwork has graced countless motivational posters and office walls.
However, although teamwork is often easy to observe, it is somewhat more
difficult to describe and yet more difficult to produce. At a broad level,
teamwork is the process through which team members collaborate to achieve task
goals. Teamwork refers to the activities through which team inputs translate into
team outputs such as team effectiveness and satisfaction. In this article, we
describe foundational research underlying current research on teamwork. We
examine the evolution of team process models and outline primary teamwork
dimensions. We discuss selection, training, and design approaches to enhancing
teamwork, and note current applications of teamwork research in real-world
settings. (PsycINFO Database Record
PMID- 29792453
TI - Team composition and the ABCs of teamwork.
AB - In teams, some combinations of people work together better than others. A large
body of literature with a rich history suggests that the configuration of team
member attributes, called team composition, has a fundamental influence on
teamwork. Team composition shapes the emergence of affective states, behavioral
processes, and cognitive states (the ABCs of teamwork), which ultimately affect
how teams meet their objectives. The purpose of this article is to describe what
is known about team composition and its influence on the ABCs of teamwork. We
discuss what team composition is, and why it is important. We then describe key
discoveries related to how team composition shapes the ABCs of teamwork. Building
on what we know, we outline important directions for future research. (PsycINFO
Database Record
PMID- 29792454
TI - Addressing the paradox of the team innovation process: A review and practical
considerations.
AB - Facilitating team innovation is paramount to promoting progress in the science,
technology, engineering, and math fields, as well as advancing national health,
safety, prosperity, and welfare. However, innovation teams face a unique set of
challenges due to the novelty and uncertainty that is core to the definition of
innovation, as well as the paradoxical nature of idea generation and idea
implementation processes. These and other challenges must be overcome for
innovation teams to realize their full potential for producing change. The
purpose of this review is, thus, to provide insight into the unique context that
these teams function within and provide an integrative, evidence-based, and
practically useful, organizing heuristic that focuses on the most important
considerations for facilitating team innovation. Finally, we provide practical
guidance for psychologists, organizations, practitioners, scientists, educators,
policymakers, and others who employ teams to produce novel, innovative solutions
to today's problems. (PsycINFO Database Record
PMID- 29792455
TI - Debunking key assumptions about teams: The role of culture.
AB - Scholars have argued that if psychologists are to gain a true understanding of
human behavior, culture should be central to research and theory. The research on
teams is an area where better integration between the mainstream and cross
cultural literatures is critically needed, given the increasing prevalence of
multicultural teams. The purpose of this article is therefore to demonstrate how
research focused on culture's influence on teams advances current mainstream
theoretical understanding of team effectiveness. Guided by widely accepted
frameworks of team effectiveness (Ilgen, Hollenbeck, Johnson, & Jundt, 2005) and
culture (Giorgi, Lockwood, & Glynn, 2015), we extract several key assumptions
from the mainstream literature that have also been examined within the cross
cultural literature. Through a process of comparing and contrasting, we
determined which components of current models are upheld and debunked when
seeking to generalize these models to other cultural contexts outside of North
America. Although we found some consistent results across the two literatures,
most of our analyses reveal there are important boundary conditions surrounding
common team effectiveness assumptions when culture is considered. By anchoring
our analyses around fundamental aspects of teams, including how they form,
function, and finish, we then revised these assumptions according to the
integration of the teams and cross-cultural literatures. Taken together, we
provide a rich foundation for future research, and facilitate a more nuanced
understanding of human behavior within the team context. (PsycINFO Database
Record
PMID- 29792456
TI - Teamwork situated in multiteam systems: Key lessons learned and future
opportunities.
AB - Many important contexts requiring teamwork, including health care, space
exploration, national defense, and scientific discovery, present important
challenges that cannot be addressed by a single team working independently.
Instead, the complex goals these contexts present often require effectively
coordinated efforts of multiple specialized teams working together as a multiteam
system (MTS). For almost 2 decades, researchers have endeavored to understand the
novelties and nuances for teamwork and collaboration that ensue when teams
operate together as "component teams" in these interdependent systems. In this
special issue on the settings of teamwork, we aim to synthesize what is known
thus far regarding teamwork situated in MTS contexts and offer new directions and
considerations for developing, maintaining, and sustaining effective
collaboration in MTSs. Our review of extant research on MTSs reveals 7 key
lessons learned regarding teamwork situated in MTSs, but also reveals that much
is left to learn about the science and practice of ensuring effective multiteam
functioning. We elaborate these lessons and delineate 4 major opportunities for
advancing the science of MTSs as a critical embedding context for collaboration
and teamwork, now and in the future. (PsycINFO Database Record
PMID- 29792457
TI - Evaluating problem-solving teams in K-12 schools: Do they work?
AB - Teams and other collaborative structures have become commonplace in American
schools, although historically school staff members functioned more independently
from one another. In this article, we describe the growing influence of
collaboration and teaming in a variety of school contexts, but focus on the
empirical literature on problem-solving teams as reflecting the state of research
and practice in the schools. A review of the research on problem-solving teams,
using an input-mediator-outcome-input framework, provides evidence for how
teaming could become more effective and efficient in this context as well as sets
an agenda for what additional research is needed. Key challenges to school teams
are considered next, along with recommendations for change. The first challenge
is the lack of training of school staff in the key components of teaming. A
second issue is the difficulty in implementing teams in the organizational
context of schools. (PsycINFO Database Record
PMID- 29792458
TI - The trade-offs of teamwork among STEM doctoral graduates.
AB - Teamwork has increasingly become prevalent in professional fields such as
academic science, perhaps partly because research shows that teams tend to
produce superior work. Although research on teamwork has typically focused on its
impact on work products, we complement that work by examining the degree to which
teamwork influences salary, hours worked, and overall job satisfaction. Drawing
on microdata collected through the National Science Foundation's Survey of
Doctorate Recipients as well as the Survey of Earned Doctorates, we find that
doctoral degree holders in science, technology, engineering, and mathematics
(STEM) fields tend to earn substantially higher salaries and work more hours when
they engage in teamwork. We also find no comparable difference in overall job
satisfaction as a function of whether individuals work within teams.
Additionally, we find evidence that age interacts significantly with teamwork,
whereby older teamworkers tend to earn relatively more when participating in
teams without appearing to work more hours; and we show that employment sector is
important, whereby teamwork is relevant for salaries and hours worked in
education and industry but not in government. Although our study is based on
market outcomes and behavioral measures, our findings provide grounds for future
research that examines the psychological mechanisms that are relevant to
understanding why people join teams as well as the psychological consequences
that people encounter through teamwork. More generally, this study provides a
model for considering individual-level antecedents and outcomes associated with
teamwork when degrees of discretion exist with respect to teaming. (PsycINFO
Database Record
PMID- 29792459
TI - Teamwork in healthcare: Key discoveries enabling safer, high-quality care.
AB - Few industries match the scale of health care. In the United States alone, an
estimated 85% of the population has at least 1 health care encounter annually and
at least one quarter of these people experience 4 to 9 encounters annually. A
single visit requires collaboration among a multidisciplinary group of
clinicians, administrative staff, patients, and their loved ones. Multiple visits
often occur across different clinicians working in different organizations.
Ineffective care coordination and the underlying suboptimal teamwork processes
are a public health issue. Health care delivery systems exemplify complex
organizations operating under high stakes in dynamic policy and regulatory
environments. The coordination and delivery of safe, high-quality care demands
reliable teamwork and collaboration within, as well as across, organizational,
disciplinary, technical, and cultural boundaries. In this review, we synthesize
the evidence examining teams and teamwork in health care delivery settings in
order to characterize the current state of the science and to highlight gaps in
which studies can further illuminate our evidence-based understanding of teamwork
and collaboration. Specifically, we highlight evidence concerning (a) the
relationship between teamwork and multilevel outcomes, (b) effective teamwork
behaviors, (c) competencies (i.e., knowledge, skills, and attitudes) underlying
effective teamwork in the health professions, (d) teamwork interventions, (e)
team performance measurement strategies, and (f) the critical role context plays
in shaping teamwork and collaboration in practice. We also distill potential
avenues for future research and highlight opportunities to understand the
translation, dissemination, and implementation of evidence-based teamwork
principles into practice. (PsycINFO Database Record
PMID- 29792460
TI - The complexity, diversity, and science of primary care teams.
AB - This article examines the past, present and future of primary care and teamwork.
It begins with a definition and description of primary care-its uniqueness,
diversity and complexity, including the historical role of teams within primary
care. The article then reviews the emergence of innovative primary care teams,
including those grounded in new processes such as the Patient-Centered Medical
Home and interprofessional teams that include new types of health professionals,
particularly psychologists and other integrated behavioral health clinicians. The
article describes key factors that support or hinder primary care teamwork, as
well as evidence of the impact of these team-based models on patient outcomes,
costs, and team members. It also discusses the role of primary care teams within
multiteam systems (or 'teams of teams'), which are organized around the needs of
patients and families, and the unique challenges these systems pose to
coordinating care. The article concludes with recommendations for advancing teams
in primary care, including changes in payment, descriptions of team competencies,
models for primary care team training, and research necessary to inform the gaps
in scientific knowledge. (PsycINFO Database Record
PMID- 29792461
TI - Teamwork in the intensive care unit.
AB - Intensive care units (ICUs) provide care to the most severely ill hospitalized
patients. Although ICUs increasingly rely on interprofessional teams to provide
critical care, little about actual teamwork in this context is well understood.
The ICU team is typically comprised of physicians or intensivists, clinical
pharmacists, respiratory therapists, dieticians, bedside nurses, clinical
psychologists, and clinicians-in-training. ICU teams are distinguished from other
health care teams in that they are low in temporal stability, which can impede
important team dynamics. Furthermore, ICU teams must work in physically and
emotionally challenging environments. Our review of the literature reveals the
importance of information sharing and decision-making processes, and identifies
potential barriers to successful team performance, including the lack of
effective conflict management and the presence of multiple and sometimes
conflicting goals. Key knowledge gaps about ICU teams include the need for more
actionable data linking ICU team structure to team functioning and patient-,
family-, ICU-, and hospital-level outcomes. In particular, research is needed to
better delineate and define the ICU team, identify additional psychosocial
phenomena that impact ICU team performance, and address varying and often
competing indicators of ICU team effectiveness as a multivariate and multilevel
problem that requires better understanding of the independent effects and
interdependencies between nested elements (i.e., hospitals, ICUs, and ICU teams).
Ultimately, efforts to advance team-based care are essential for improving ICU
performance, but more work is needed to develop actionable interventions that
ensure that critically ill patients receive the best care possible. (PsycINFO
Database Record
PMID- 29792462
TI - Extreme teams: Toward a greater understanding of multiagency teamwork during
major emergencies and disasters.
AB - Major emergencies are extreme team decision making environments. They are
complex, dynamic, high-stakes and fast paced events, wherein successful
resolution is contingent upon effective teamwork. Not only do emergency teams
coordinate at the intrateam level (e.g., police team), but they are increasingly
required to operate at the interteam level (e.g., police, fire and ambulance
teams). This is in response to the desire for networked and cost-effective
practice and due to the evolving nature of modern threats, such as extreme
weather events and terrorist attacks, which require a multi- rather than single
agency response. Yet the capacity for interoperability between emergency teams is
under researched and poorly understood. Much of the teamwork research is based on
student-samples or in artificial lab settings, reducing the salient contextual
demands of emergencies (e.g., high-stakes, meaningful risk). Furthermore, the
minimal research that has been conducted has tended to provide broad descriptive
accounts of challenges faced during emergencies, but failed to develop and test
solutions. This article identifies what is known about emergency teams and
highlights why it is an important and timely area for research. It will focus on
the challenges and solutions to three areas of team processing: cooperation,
coordination, and communication. Future research must have a solutions-focused
approach. This can be oriented around areas: training, sociotechnical networks,
and policies/procedural guidelines. Greater collaboration between academics and
practitioners can grow knowledge in this domain, ensuring that interventions to
improve emergency teamwork are both contextually grounded and empirically
validated. (PsycINFO Database Record
PMID- 29792463
TI - Terrorist teams as loosely coupled systems.
AB - Acts of terrorism can be harrowing and cause extensive damage, yet they occur far
too frequently. How do terrorist groups organize and coordinate their attacks?
What makes those groups simultaneously cohesive and flexible in a hostile
environment? Different academic disciplines have contributed to a better
understanding of the proliferation of terrorist acts in recent years. With very
few exceptions, however, extant psychological research on terrorism has almost
exclusively focused on the individual terrorist. We leverage the team literature
to better understand how a team of terrorists radicalizes, organizes, and makes
decisions. Drawing from the work of Weick (1976), we characterize terrorist teams
as loosely coupled systems. Examples of different terrorist attacks from the last
15 years illustrate how loose coupling in terrorist teams is especially powerful
because of the high familiarity and intimacy among members of terrorist teams.
Loosely coupled structures have led to highly adaptive and resilient teams whose
actions are fluid, unpredictable, and often lethal. We conclude by discussing
implications for counterterrorism and for future research. (PsycINFO Database
Record
PMID- 29792464
TI - Debriefs: Teams learning from doing in context.
AB - Debriefs are a type of work meeting in which teams discuss, interpret, and learn
from recent events during which they collaborated. In a variety of forms,
debriefs are found across a wide range of organizational types and settings. Well
conducted debriefs can improve team effectiveness by 25% across a variety of
organizations and settings. For example, the U.S. military adopted debriefs
decades ago to promote learning and performance across the various services.
Subsequently, debriefs have been introduced in the medical field, the fire
service, aviation, education, and in a variety of organizational training and
simulation environments. After a discussion of various purposes for which
debriefs have been used, we proceed with a historical review of development of
the concepts and use in industries and contexts. We then review the psychological
factors relevant to debrief effectiveness and the outcomes for individuals,
teams, and organizations that deploy debriefs. Future directions of particular
interest to team researchers across a variety of psychological disciplines are
presented along with a review of how best to implement debriefs from a practical
perspective. (PsycINFO Database Record
PMID- 29792465
TI - Team development interventions: Evidence-based approaches for improving teamwork.
AB - The rate of teamwork and collaboration within the workforce has burgeoned over
the years, and the use of teams is projected to continue increasing. With the
rise of teamwork comes the need for interventions designed to enhance teamwork
effectiveness. Successful teams produce desired outcomes; however, it is critical
that team members demonstrate effective processes to achieve these outcomes. Team
development interventions (TDIs) increase effective team competencies and
processes, thereby leading to improvements in proximal and distal outcomes. The
effectiveness of TDIs is evident across domains (e.g., education, health care,
military, aviation), and they are applicable in a wide range of settings. To
stimulate the adoption and effective use of TDIs, the current article provides a
review of four types of evidence-based TDIs including team training, leadership
training, team building, and team debriefing. In doing so, we aim to provide
psychologists with an understanding of the scientific principles underlying TDIs
and their impact on team dynamics. Moreover, we provide evidence-based
recommendations regarding how to increase the effectiveness of TDIs as well as a
discussion on future research needed within this domain. (PsycINFO Database
Record
PMID- 29792466
TI - The science of team science: A review of the empirical evidence and research gaps
on collaboration in science.
AB - Collaborations among researchers and across disciplinary, organizational, and
cultural boundaries are vital to address increasingly complex challenges and
opportunities in science and society. In addition, unprecedented technological
advances create new opportunities to capitalize on a broader range of expertise
and information in scientific collaborations. Yet rapid increases in the demand
for scientific collaborations have outpaced changes in the factors needed to
support teams in science, such as institutional structures and policies,
scientific culture, and funding opportunities. The Science of Team Science
(SciTS) field arose with the goal of empirically addressing questions from
funding agencies, administrators, and scientists regarding the value of team
science (TS) and strategies for successfully leading, engaging in, facilitating,
and supporting science teams. Closely related fields have rich histories studying
teams, groups, organizations, and management and have built a body of evidence
for effective teaming in contexts such as industry and the military. Yet few
studies had focused on science teams. Unique contextual factors within the
scientific enterprise create an imperative to study these teams in context, and
provide opportunities to advance understanding of other complex forms of
collaboration. This review summarizes the empirical findings from the SciTS
literature, which center around five key themes: the value of TS, team
composition and its influence on TS performance, formation of science teams, team
processes central to effective team functioning, and institutional influences on
TS. Cross-cutting issues are discussed in the context of new research
opportunities to further advance SciTS evidence and better inform policies and
practices for effective TS. (PsycINFO Database Record
PMID- 29792468
TI - Teamwork and collaboration in long-duration space missions: Going to extremes.
AB - The scientific study of teamwork in the context of spaceflight has uncovered a
considerable amount of knowledge over the past 20 years. Although much is known
about the underlying factors and processes of teamwork, much is left to be
discovered for teams who will be operating in extreme isolation and confinement
during a future Mars mission. Special considerations must be made to enhance
teamwork and team well-being for multi-year missions during which the small team
will live and work together. We discuss the unique challenges of effective
teamwork in a Mars mission scenario, and the difficulties of studying teamwork
using analogs of the space environment. We then describe the National Aeronautics
and Space Administration's current practices and research on teamwork, which
includes team selection and composition, teamwork training, countermeasures to
mitigate risks to effective team performance, and the measurement and monitoring
of team functioning. We end with a discussion of the teamwork research areas that
are most critical for a successful journey to Mars. (PsycINFO Database Record
PMID- 29792467
TI - Interdisciplinary team science and the public: Steps toward a participatory team
science.
AB - Interdisciplinary team science involves research collaboration among
investigators from different disciplines who work interdependently to share
leadership and responsibility. Although over the past several decades there has
been an increase in knowledge produced by science teams, the public has not been
meaningfully engaged in this process. We argue that contemporary changes in how
science is understood and practiced offer an opportunity to reconsider engaging
the public as active participants on teams and coin the term participatory team
science to describe public engagement in team science. We discuss how public
engagement can enhance knowledge within the team to address complex problems and
suggest a different organizing framework for team science that aligns better with
how teams operate and with participatory approaches to research. We also
summarize work on public engagement in science, describe opportunities for
various types of engagement, and provide an example of participatory team science
carried out across research phases. We conclude by discussing implications of
participatory team science for psychology, including changing the default when
assembling an interdisciplinary science team by identifying meaningful roles for
public engagement through participatory team science. (PsycINFO Database Record
PMID- 29792469
TI - Unpacking team process dynamics and emergent phenomena: Challenges, conceptual
advances, and innovative methods.
AB - Psychologists have studied small-group and team effectiveness for decades, and
although there has been considerable progress, there remain significant
challenges. Meta-analyses and systematic research have provided solid evidence
for core team cognitive, motivational, affective, and behavioral processes that
contribute to team effectiveness and empirical support for interventions that
enhance team processes (e.g., team design, composition, training, and
leadership); there has been substantial evidence for a science of team
effectiveness. Nonetheless, there have also been concerns that team processes,
which are inherently dynamic, have primarily been assessed as static constructs.
Team-level processes and outcomes are multilevel phenomena that emerge, bottom-up
from the interactions among team members over time, under the shifting demands of
a work context. Thus, theoretical development that appropriately conceptualizes
the multiple levels, process dynamics, and emergence of team phenomena over time
are essential to advance understanding. Moreover, these conceptual advances
necessitate innovative research methodologies to better capture team process
dynamics. We explicate this foundation and then describe 2 promising streams of
scientific inquiry-team interaction sensors and computational modeling-that are
advancing new, unobtrusive measurement techniques and process-oriented research
methods focused on understanding the dynamics of cohesion and cognition in
teamwork. These are distinct lines of research, each endeavoring to advance the
science, but doing so through the development of very different methodologies. We
close by discussing the near-term research challenges and the potential long-term
evolution of these innovative methods, with an eye toward the future for process
oriented theory and research on team effectiveness. (PsycINFO Database Record
PMID- 29792470
TI - The science of teamwork: Progress, reflections, and the road ahead.
AB - We need teams in nearly every aspect of our lives (e.g., hospitals, schools,
flight decks, nuclear power plants, oil rigs, the military, and corporate
offices). Nearly a century of psychological science has uncovered extensive
knowledge about team-related processes and outcomes. In this article, we draw
from the reviews and articles of this special issue to identify 10 key
reflections that have arisen in the team literature, briefly summarized here.
Team researchers have developed many theories surrounding the multilayered
aspects of teams, such that now we have a solid theoretical basis for teams. We
have recognized that the collective is often stronger than the individual,
initiating the shift from individual tasks to team tasks. All teams are not
created equal, so it is important to consider the context to understand relevant
team dynamics and outcomes, but sometimes teams performing in different contexts
are more similar than not. It is critical to have teamwork-supportive
organizational conditions and environments where psychological safety can
flourish and be a mechanism to resolve conflicts, ensure safety, mitigate errors,
learn, and improve performance. There are also helpful teamwork competencies that
can increase effectiveness across teams or tasks that have been identified (e.g.,
coordination, communication, and adaptability). Even if a team is made up of
experts, it can still fail if they do not know how to cooperate, coordinate, and
communicate well together. To ensure the improvement and maintenance of effective
team functioning, the organization must implement team development interventions
and evaluate relevant team outcomes with robust diagnostic measurement. We
conclude with 3 main directions for scientists to expand upon in the future: (a)
address issues with technology to make further improvements in team assessment,
(b) learn more about multiteam systems, and (c) bridge the gap between theory and
practice. In summary, the science of teams has made substantial progress but
still has plenty of room for advancement. (PsycINFO Database Record
PMID- 29792471
TI - Sex differences in the anorexigenic effects of dexfenfluramine and amphetamine in
baboons.
AB - The anorexigenic effects of intramuscular d-amphetamine HCl (0.06-0.50 mg/kg) and
dexfenfluramine HCl (0.25-2.0 mg/kg) were determined in experimentally naive
baboons. A group of 8 adult male baboons was tested prior to a group of 7 adult
female baboons. A 120-min session occurred at 9:00 a.m. during which baboons
could respond for food pellets. Drug was given 30 min prior to the 9:00 a.m.
morning session. Beginning at 11:00 a.m., baboons had a 6-hr multiple-meal
session during which they could have up to 4 food pellet meals. Food was not
available overnight, but food was available for 90 min upon awakening such that
drug effects were evaluated in non-food-deprived animals. Under baseline
conditions baboons earned between 30 and 70 pellets during the morning session
and another 175-225 pellets during the remainder of the day. Amphetamine and
dexfenfluramine produced dose-dependent decreases in food pellet intake during
both the morning food session and the later multiple-meal session. Whereas there
were minimal sex differences in the effects of dexfenfluramine, many of the
amphetamine doses produced greater decreases in pellet intake in males than
females. These results are discordant with much of the rodent literature on abuse
related drug effects that generally reports greater effects of amphetamine in
females than males. Additional work is needed to replicate the current findings
in nonhuman primates. (PsycINFO Database Record
PMID- 29792473
TI - Cognitive and affective associations with an ecologically valid test of theory of
mind across the lifespan.
AB - OBJECTIVES: Many studies have demonstrated that theory of mind (ToM) ability
declines with increasing age. Research has found that ToM-age associations are
often mediated by other cognitive abilities particularly executive function.
However, older adults rarely complain about real-world ToM difficulties. It has
been suggested that older adults may perform better in real-world situations
compared with experimental settings. METHOD: We examined performance on the
Strange Stories Film Task (SSFT) which has been designed to assess ToM using
naturalistic, video scenarios. Sixty adults aged between 17- and 95-years-old
completed the SSFT, inhibitory control (Stroop) and working memory (letter-number
sequencing) measures, the basic empathy scale (cognitive and affective empathy),
and the broad autism phenotype questionnaire. RESULTS: ToM performance correlated
significantly with age, whereas performance on a control task did not. Partial
correlations and stepwise regression analyses demonstrated that performance on
the three SSFT ToM measures was explained by a combination of executive function
and empathy measures, with age explaining none of the variance. CONCLUSIONS:
Using a naturalistic test of ToM, performance was shown to decline with age for
ToM but not control scenarios. Across the lifespan, the variance in ToM
performance was explained by cognitive abilities and empathy but not age. Age
alone may not influence ToM ability, but may be associated with age-related
changes in cognition and social-cognition. (PsycINFO Database Record
PMID- 29792474
TI - Obsessive-compulsive disorder in the Veterans Health Administration.
AB - Little is known about the diagnosis and treatment of obsessive-compulsive
disorder (OCD) in the Veterans Health Administration (VHA). This study examined
diagnostic rates of OCD in a national sample of veterans as well as clinical
comorbidities and mental health service use following an OCD diagnosis. This
study used administrative data extracted from VHA medical records to identify
patients with an OCD diagnosis between 2010 and 2011 (N = 20,364). Descriptive
analyses examined demographic, clinical, and system-level variables associated
with OCD diagnosis as well as mental health service use in a subset of patients
newly diagnosed with OCD (n = 5,229). The OCD diagnosis rate in VHA medical
records was 0.31% of VHA patients seen in 2010-2011. Examination of new-onset OCD
diagnoses in 2010-2011 revealed that OCD was most likely to be diagnosed by
physicians (48.6%) and behavioral health providers (31.9%), predominantly in
mental health settings (87.5%). In the year following OCD diagnosis, veterans had
an average of 3.9 individual psychotherapy and 3.5 psychiatric medication visits.
These findings suggest that OCD is likely underrecognized and inadequately
treated in the VHA and highlight the need for improved diagnostic and treatment
services for veterans with OCD. (PsycINFO Database Record
PMID- 29792472
TI - Effects of exercise on experimentally manipulated craving for cannabis: A
preliminary study.
AB - Cannabis is the most commonly used illicit drug in the United States, and craving
for cannabis is related to cannabis use. Exercise has been demonstrated to reduce
craving for substances. To examine the effects of exercise on cannabis craving,
we conducted a 3-week within-subject crossover experiment. Young-adult men (n =
35) and women (n = 11), age 18-25 years (M = 20.76, SD = 1.68), who regularly
(>=3 times per week) used cannabis participated in a cue exposure paradigm to
stimulate craving. After each of three separate craving inductions, they
completed a 10-min bout of exercise that varied in intensity (rest, moderate,
vigorous). Craving was assessed before and after the induction, immediately
following the exercise, and at three 10-min intervals (total of 30 min). Results
of condition-specific, repeated measures analyses of variance showed
nonsignificant reductions in immediate postexercise craving for the moderate and
vigorous conditions. We used latent growth modeling to examine the trajectory of
craving rebound during the 30 min following exercise and explored the effect of
baseline weekly cannabis use in predicting craving rebound. Within 30 min
postexercise, craving rebounded for both the moderate, F(3, 135) = 9.10, p < .01,
and vigorous, F(3, 135) = 3.48, p < .05, conditions. We found that among cannabis
users reporting larger quantities of typical weekly cannabis use, craving
rebounded more quickly following vigorous than moderate exercise, b = 0.02, SE =
0.02, 95% confidence interval [0.00, 0.06]. The findings suggest that moderate
exercise may be useful for reducing craving, particularly among those who use
larger quantities of cannabis. (PsycINFO Database Record (c) 2018 APA, all rights
reserved).
PMID- 29792475
TI - The alliance in adult psychotherapy: A meta-analytic synthesis.
AB - The alliance continues to be one of the most investigated variables related to
success in psychotherapy irrespective of theoretical orientation. We define and
illustrate the alliance (also conceptualized as therapeutic alliance, helping
alliance, or working alliance) and then present a meta-analysis of 295
independent studies that covered more than 30,000 patients (published between
1978 and 2017) for face-to-face and Internet-based psychotherapy. The relation of
the alliance and treatment outcome was investigated using a three-level meta
analysis with random-effects restricted maximum-likelihood estimators. The
overall alliance-outcome association for face-to-face psychotherapy was r = .278
(95% confidence intervals [.256, .299], p < .0001; equivalent of d = .579). There
was heterogeneity among the effect sizes, and 2% of the 295 effect sizes
indicated negative correlations. The correlation for Internet-based psychotherapy
was approximately the same (viz., r = .275, k = 23). These results confirm the
robustness of the positive relation between the alliance and outcome. This
relation remains consistent across assessor perspectives, alliance and outcome
measures, treatment approaches, patient characteristics, and countries. The
article concludes with causality considerations, research limitations, diversity
considerations, and therapeutic practices. (PsycINFO Database Record (c) 2018
APA, all rights reserved).
PMID- 29792476
TI - A mixed-methods investigation into the perspectives on mental health and
professional treatment among former system youth with mood disorders.
AB - Research has shown that how people think about their health (or illnesses) shapes
their help-seeking behavior. In this mixed-methods study, we employed a
simultaneous concurrent design to explore the perceptions of mental illness among
an understudied population: marginalized young adults. Participants were 60 young
adults (ages 18-25) who had experienced mood disorders and used multiple public
systems of care during their childhoods. Semistructured interviews were conducted
to understand participants' illness and treatment experiences during the
transition to adulthood. A team of analysts used constant comparison to develop a
codebook of the qualitative themes, and quantitative data were examined using SAS
9.3. Findings suggest that some theoretical categories identified in past illness
perceptions frameworks are salient to marginalized young adults (e.g., identity,
management-or control-of symptoms), but both the developmental transition to
adulthood and experiences with public systems of care add nuanced variations to
illness and treatment perceptions. Our study demonstrates that young adults
possess a set of beliefs and emotions about their mental health and help-seeking
options that need to be better understood to improve engagement and quality of
mental health care for this population. Implications for practice, research, and
policy are discussed. (PsycINFO Database Record
PMID- 29792477
TI - Psychological adjustment in Spanish young adult domestic adoptees: Mental health
and licit substance consumption.
AB - Although adoptive families have been shown to provide a protective context for
human development, some adopted children and adolescents are at increased risk
for psychological adjustment problems. On the other hand, little is known about
psychological adjustment of young adult adoptees. The aim of this study is to
analyze the mental health and legal substance consumption (tobacco and alcohol)
of young adults (n = 134) who were domestically adopted by Spanish families.
Young adults showed significantly worse scores on the Symptom Check-List-90-R
(Derogatis, 1975) and also more substance use than did the Spanish general
population, but fewer difficulties than did the clinical population. On the
Global Severity Index (GSI), 65.7% of adoptees were within the normal range,
24.6% were at risk, and 9.7% were within the clinical range. Male adoptees scored
higher than expected for the general population on all subscales, whereas female
adoptees did not. Age at adoption was not found to have a significant impact on
adjustment. (PsycINFO Database Record
PMID- 29792478
TI - Acculturation in the discourse of immigrants and receiving community members:
Results from a cross-national qualitative study.
AB - This study explores the bidirectional and interactional process of acculturation
from the perspectives of immigrants and receiving community members (RCMs). Our
aim was to understand the experiences and interactions of different ethno
cultural groups and their impact on the functioning and dynamics of multicultural
communities. We conducted a cross-national, cross-cultural study of acculturation
processes, using interviews collected across two countries (Italy: urban regions
of Torino and Lecce; U.S.: Baltimore/Washington corridor) and three distinct
groups of immigrants-Moroccans and Albanians in Italy and Latin Americans in the
United States-and RCMs in Italy and the United States. Findings show that
acculturation is a complex, situated, and dynamic process, and is generally
conceived as an unbalanced and individual process of accommodation, which expects
the immigrant alone to adapt to the new context. The boundaries among
traditionally explored acculturation strategies were blurred and while
integration was the most frequently discussed strategy, it often referenced a
"soft" assimilation, limited mostly to public domains. Some differences emerged
between ethnic groups and generation of immigration as well as among RCMs who
differed by level of contact with immigrants. The need for more flexible models
and for a critical perspective on acculturation is discussed. (PsycINFO Database
Record
PMID- 29792479
TI - "Fewer but not weaker": Understanding the intersectional identities among Chinese
immigrant young gay men in Toronto.
AB - Sexual minorities of color in North America are frequently defined as a "double
minority" group. Intersectionality theory has inspired investigations into how
different forms of marginalization intersect to shape the lives of people with
multiple minority statuses. In this constructivist grounded theory study, 18
Chinese immigrant gay men between 18 and 28 years of age participated in a
semistructured individual interview to narrate their lived experiences in
relation to their intersectional identities. All interviews were transcribed
verbatim and analyzed through a constant comparative method. Several themes
emerged from the data. First, study participants perceived their sexual identity
as either compatible with or irrelevant to their cultural identity and did not
experience negotiating conflicts between their sexual and cultural identities.
Second, the intersectionality was context-specific. Study participants
experienced a certain form of marginalization in the contexts of disclosing their
gay identity and finding a dating partner within a gay community. Third,
participants considered the label double minority oversimplified and derogatory.
They emphasized that their daily lives were in a complex power structure that was
constituted by more than two identity categories. The marginalization based on
their ethnic and sexual identities weighed differently and should not be
understood as simple math. Last, despite carrying the status of minority, these
gay men indicated that their intersectional identities served as a source of
social support. This study contributes to the knowledge base around
intersectionality by uncovering its qualitative nuance and bringing to light its
contextual specificity. Practice, policy, and research implications are provided.
(PsycINFO Database Record
PMID- 29792480
TI - The impact of familial expressed emotion on clinical and personal recovery among
patients with psychiatric disorders: The mediating roles of self-stigma content
and process.
AB - The present study examined the associations of familial expressed emotion (EE)
with clinical and personal recovery among patients with psychiatric disorders, as
well as the potential mechanisms underlying these associations. Guided by the
content-process theory of self-stigma, we hypothesized that EE would be
negatively associated with clinical and personal recovery and that these
associations would be mediated by self-stigma content and process. A total of 311
patients with psychiatric disorders completed questionnaires on their perceptions
of EE, self-stigma, and recovery. Structural equation modeling demonstrated that
EE was positively associated with self-stigma content and process, which were in
turn negatively associated with clinical and personal recovery. The indirect
effects of EE on clinical and personal recovery, via self-stigma content and
process, were also significant. Multigroup analyses further demonstrated that the
impact of EE on self-stigma and recovery was generalizable across patients with
psychotic and nonpsychotic disorders. Theoretically, our findings revealed the
potential pathways through which EE may adversely affect psychiatric recovery.
Practically, our findings highlighted the importance of designing multipronged
intervention programs to reduce familial EE and its potential harmful impact on
psychiatric patients. In addition to helping family members improve their
knowledge about psychiatric disorders and adjust their communication styles,
practitioners should help psychiatric patients develop resilience against EE,
mitigate self-stigma, and achieve recovery. (PsycINFO Database Record (c) 2018
APA, all rights reserved).
PMID- 29792482
TI - Discrimination and externalizing problems among Moroccan- and Romanian-origin
early adolescents in Italy: Moderating role of cultural orientations and impulse
control.
AB - OBJECTIVE: Although discrimination is a common stressor in the everyday life of
immigrant youth, individuals are not equally susceptible to its adverse effects.
This cross-sectional study aimed to examine whether cultural orientation
preferences and impulse control (IC) moderate the association between perceived
discrimination and externalizing problems among Moroccan- and Romanian-origin
early adolescents in Italy. METHOD: The sample included 126 Moroccan and 126
Romanian youths (46% girls, 42% first-generation) aged 11-13 years and their
parents. Perceived discrimination and cultural orientations were assessed using
self-report questionnaires, while IC was evaluated via a computerized version of
the Iowa Gambling Task. Externalizing behaviors were assessed via parental
report. RESULTS: Cluster analysis identified separated, assimilated, and
integrated early adolescents. Regression analyses revealed that when facing
discrimination, youths who endorsed separation and exhibited low levels of IC
were more vulnerable to externalizing problems. In contrast, among assimilated
adolescents the discrimination-externalizing difficulties link was significant at
high levels of IC. Furthermore, low levels of IC were associated with more
externalizing problems for Romanian, but not for Moroccan early adolescents.
CONCLUSIONS: Findings underscore the need to consider both cultural orientation
processes and early adolescents' ability to control their impulses when
developing interventions aimed to reduce discrimination-related problem behaviors
in immigrant youth. Implications for theory and practice are discussed. (PsycINFO
Database Record
PMID- 29792481
TI - Risk and protective factors of intimate partner violence among South Asian
immigrant women and perceived need for services.
AB - OBJECTIVES: Limited research exists on multilevel influences of intimate partner
violence (IPV) among immigrant groups in the United States, particularly South
Asians. Using a socioecological framework, this study examined risk and
protective factors of IPV among a diverse group of South Asian immigrant
survivors of IPV and identified their perceived need for services. METHOD:
Sixteen South Asian immigrant survivors were recruited from New York; Maryland;
Virginia; and Washington, DC, using a snowball sampling method. Participants were
1st-generation and 2nd-generation immigrants born in India (n = 4), Bangladesh (n
= 4), Pakistan (n = 5), the United States (n = 2), and Sri Lanka (n = 1). Data
were collected using in-depth interviews (n = 16) and a focus group (n = 1). A
thematic analysis procedure was used to analyze the data and to identify themes
across different ecological levels. RESULTS: IPV was related to factors at
multiple levels, such as cultural normalization of abuse, gender role
expectations, need to protect family honor, arranged marriage system, abusive
partner characteristics, and women's fear of losing children and being on own.
Protective factors included supportive family and friends, religion, safety
strategies, education, and empowerment. Women highlighted the need for community
education and empowerment efforts and culturally responsive services for
addressing IPV in South Asian communities. CONCLUSIONS: South Asian survivors of
IPV have experienced, and some continue to experience, abuse due to factors
operating at multiple levels of the ecological framework. Consideration of
culturally specific risk and protective factors for IPV at multiple contexts in
women's lives could inform culturally responsive IPV prevention and intervention
strategies for South Asian communities in the United States. (PsycINFO Database
Record
PMID- 29792484
TI - "A threat on the ground": The consequences of witnessing stereotype-confirming
ingroup members in interracial interactions.
AB - OBJECTIVES: Three studies explored interpersonal consequences of engaging in
interracial interactions after witnessing racial ingroup members' stereotypical
behavior. METHOD: Study 1 used experience-sampling methodology to assess ethnic
minority students' (n = 119) intergroup anxiety, metastereotypes, and
anticipatory behaviors following one of three types of interpersonal
interactions: (a) a White person and a racial ingroup member who had behaved
stereotypically, (b) a White person and a nonstereotypical ingroup member, or (c)
neither. Studies 2 (n = 273) and 3 (n = 379) experimentally examined whether
witnessing an ingroup member's stereotypically negative behavior in interracial
interactions, compared to stereotypically positive (Study 2) or
nonstereotypically negative behavior (Study 3) differentially affected anxiety,
metastereotypes, and anticipatory behaviors in interracial versus intraracial
interactions among Black Americans. RESULTS: In Study 1, minorities reported
greater anxiety, metastereotypes, and motivation to disprove stereotypes, but
less interest in future interracial contact, following interracial interactions
involving stereotype-confirming ingroup members compared to other interactions.
In Studies 2 and 3, adverse interaction consequences were most severe when
ingroup behavior was both negative and stereotypical compared to neutral,
stereotypically positive, and nonstereotypically negative ingroup behavior.
Additionally, metastereotypes (and, to a lesser degree, anxiety) mediated
individuals' motivation to disprove stereotypes and desire future interactions
with White witnesses following stereotypically negative ingroup behavior in
interracial (vs. intraracial) interactions. CONCLUSIONS: This research highlights
the emotional, metaperceptual, and motivational outcomes following ingroup
members' stereotypical behavior in intergroup contexts that extend beyond dyadic
encounters. (PsycINFO Database Record
PMID- 29792483
TI - When anger expression might be beneficial for African Americans: The moderating
role of chronic discrimination.
AB - OBJECTIVES: Anger expression is assumed to have mostly negative health effects.
Yet, evidence is mixed on how anger expression influences African Americans'
cardiovascular health. The present research aimed to clarify this link by
examining moderating effects of chronic discrimination on the relationship
between anger expression and cardiovascular risk among African Americans in
experimental (Study 1) and epidemiological (Study 2) studies. METHOD: Study 1
examined how African Americans' trait anger expression was linked to (a)
physiologic reactivity to acute social rejection during an interracial encounter
(Session 1); and (b) total/HDL cholesterol assessed two months later (Session 2).
Study 2 examined the relationship between anger expression and total/HDL
cholesterol with a larger sample of African Americans from the Midlife in the
United States (MIDUS) survey. Both studies examined perceptions of chronic
discrimination as a moderator of the relationships between anger expression and
biological responses. RESULTS: In Study 1 higher anger expression was associated
with quicker cortisol recovery and greater testosterone reactivity following
outgroup social rejection in Session 1 and lower total/HDL cholesterol in Session
2. Study 2 replicated the relationship between anger expression and lower
total/HDL cholesterol and further showed that this relationship was unique to the
expressive aspect of anger. Importantly, in both studies, these potentially
beneficial effects of anger expression were only evident among individuals with
lower perceptions of chronic discrimination. CONCLUSIONS: These findings suggest
that anger expression, when coupled with low levels of chronic discrimination, is
associated with adaptive patterns of physiologic responses among African
Americans. (PsycINFO Database Record
PMID- 29792486
TI - Okay to say?: Initial validation of the Acceptability of Racial Microaggressions
Scale.
AB - : Despite a growing body of research documenting the negative impact of racial
microaggressions on racial and ethnic minorities' wellbeing, there remains debate
in society about whether it is acceptable to say racially microaggressive
statements. However, no scale exists to assess attitudes about the acceptability
of saying such statements. OBJECTIVES: In this study we present an initial
validation of a new scale, the Acceptability of Racial Microaggressions Scale
(ARMS), which assesses attitudes about how "okay" it is for White individuals to
say different types of racially microaggressive statements to racial and ethnic
minorities in an interpersonal interaction. METHOD AND RESULTS: We provide
exploratory (Study 1; n = 596) and confirmatory (Study 2; n = 404) factor
analytic support for the presence of four factors regarding acceptability of
saying different types of microaggressive statements: Victim Blaming, Color
Evasion, Power Evasion, and Exoticizing. We present evidence for construct
validity by testing associations with several race-related, ideological, and
personality measures. We provide evidence in Study 3 (n = 90) for test-retest
reliability over a 2-week time period, and show associations between attitudes
toward acceptability and self-reported likelihood of personal commission.
CONCLUSIONS: Overall, we provide initial psychometric and validity evidence for
the ARMS and discuss implications and potential uses of the scale. (PsycINFO
Database Record
PMID- 29792487
TI - Ethnic-racial typicality and its relation to ethnic identity and psychological
functioning.
AB - OBJECTIVES: Ethnic identity development is considered a central task of
adolescence and emerging adulthood for ethnic minority individuals. Although the
process of developing a coherent ethnic identity has received attention from
researchers, there has been little work done to elucidate the content of ethnic
identity. This study uses an inductive mixed-methods approach to address 1 aspect
of ethnic identity content: typicality, or the degree of perceived similarity
individuals feel to their ethnic-racial group. METHOD: Participants included 974
college students at 3 universities-66% women, average age 20.4 years, 5% Black,
30% Asian, 10% Latinx, 40% White, 11% Multiracial, 1% American Indian, and 4%
Other race-ethnicity. Thematic analysis was used to code qualitative categories
on what makes individuals typical of and atypical of their ethnic group. Codes
were used to quantitatively assess relations between aspects of typicality,
ethnic identity, and mental health. RESULTS: Findings suggest that individuals
judged their typicality and atypicality to their ethnic group by focusing on skin
color, hair, facial features; values related to family, achievement, and religion
spirituality; and behaviors related to arts-media, sports, spending time with
others, and food. Additionally, findings demonstrated that most individuals feel
typical of their ethnic group and, of importance, that level of perceived
typicality was inversely related to measures of ethnic identity and well-being.
Finally, participants differed in their feeling of being typical by ethnic-racial
group identifications. CONCLUSIONS: Ethnic-racial typicality provides valuable
information about ethnic identity content and is related to important mental
health outcomes. (PsycINFO Database Record
PMID- 29792488
TI - Asian Americans and materialism: Exploring the phenomenon and its why and when.
AB - OBJECTIVES: Consumer values, including but not limited to materialism, have
received much less attention than other topics within research on Asian
Americans. METHODS: Across 3 studies (N = 6,955), the author explored the
difference between Asian Americans and White/European Americans on materialism,
and the mediating and moderating mechanisms. RESULTS: Studies 1a-1c found Asian
Americans, compared to White/European Americans, more strongly endorsed
materialistic values. In Study 2, the author tested a multiple mediation model
and demonstrated that Asian Americans, compared to White/European Americans, more
strongly endorse materialistic values because they reported higher extrinsic
aspirations (i.e., stronger desires for money, image, and popularity). Finally,
in Study 3, the author tested a moderation model and found that Asian Americans
who are higher on a general tendency to adhere to norms endorse a greater level
of materialism than White/European Americans. CONCLUSIONS: The author discussed
how these results have implications for expanding the research topics within
research on Asian Americans, consequences for mental health and provide future
directions to counteract materialism. (PsycINFO Database Record
PMID- 29792485
TI - Telephone-assisted, parent-mediated CBT for rural Latino youth with anxiety: A
feasibility trial.
AB - OBJECTIVE: In this study, we tailor a child anxiety cognitive-behavior therapy
(CBT) program to fit the needs of rural Latino/a Spanish-speaking families and
examine the feasibility, acceptability, tolerability, and safety of this
intervention using 2 modes of service delivery. METHOD: Children (n = 31; age 8
13) with anxiety disorders were recruited from primary care settings and
randomized to 1 of 2 modes of parent-mediated CBT bibliotherapy: (1) telephone
delivered, therapist-assisted bibliotherapy (TTB; n = 15), and (2) a more minimal
contact, self-directed, bibliotherapy condition (SB; n = 16). Independent
evaluators administered a diagnostic interview at baseline and posttreatment;
demographic and engagement-related questionnaires were also administered.
RESULTS: In the TTB group, 10 of 15 parents (66.7%) completed the requisite
number of therapist-assisted bibliotherapy sessions, and in the SB group, 4 of 16
parents (25%) completed the requisite number of self-directed bibliotherapy
modules; this comparison was significant, chi2(1) = 5.43, p = .02. In the TTB
condition, treatment length and session duration were longer than reported in
previous studies. Barriers that were most strongly endorsed were stressors and
obstacles competing with treatment, as well as treatment demandingness. Findings
revealed good satisfaction across the conditions, although ratings were
significantly higher in the TTB group, F = 5.67, p = .028. Remission rates (i.e.,
no anxiety disorder) for those that provided posttreatment data (N = 25) were 50%
and 36% for the TTB and SB groups, respectively, chi2(1) = .465, p = .50.
CONCLUSIONS: Implications of these feasibility findings and suggestions for
future research are discussed. (clinicaltrials.gov unique identifier:
NCT01491880). (PsycINFO Database Record
PMID- 29792489
TI - What it means to be a national: A study among adolescents in multicultural
Mauritius.
AB - OBJECTIVES: We investigated adolescents' understandings of national group
membership in multicultural Mauritius. We hypothesized that tolerance toward
different cultures would be an important criterion for being Mauritian. In
addition, national identity was expected to be defined in terms of "being,"
"feeling," and "doing." The type of definition, and whether stopping being
Mauritian is perceived as possible, was expected to depend on age and national
identification. Possible differences by cultural group membership were explored.
METHOD: The sample consisted of 2,190 adolescents of predominantly the three main
cultural groups in Mauritius (Hindus, Muslims, and Creoles; Mage = 14.8 years,
SDage = 1.7; 53% girls, 47% boys). Multiple correspondence and regression
analyses were used to test the hypotheses. RESULTS: The most important criterion
mentioned for being Mauritian was respecting cultural diversity. Further, the
criteria for national belonging could be clustered into being, feeling, and doing
Mauritian. Older adolescents and higher national identifiers defined national
belonging more in terms of feeling and less in terms of being than younger
adolescents and lower national identifiers. In addition, they considered national
identity to be less changeable. There were no clear differences between the three
cultural groups. CONCLUSIONS: This study reveals the central importance of mutual
respect and tolerance as the defining criterion for being Mauritian. Moreover,
the feeling, being, and doing clusters of criteria provide a theoretically
interesting distinction for understanding national belonging. It is recommended
to test their possible correlates further and to use adult samples as well.
(PsycINFO Database Record (c) 2018 APA, all rights reserved).
PMID- 29792490
TI - Generalizability of a traditional social cognitive model of prosocial behaviors
to U.S. Latino/a youth.
AB - OBJECTIVES: We hypothesized that parental and peer support, empathy-related
traits, and prosocial moral reasoning would positively predict altruistic
prosocial behaviors, and negatively predict selfish-motivated prosocial
behaviors, in U.S. Latino youth. METHOD: Three-hundred and 6 U.S. Latina/o
adolescents (M age = 15.50 years; SD = .42 years; 46% girls; 81.0% self
identified as U.S. Mexican) from communities in the Northern Great Plains
completed measures of parental and peer support, perspective taking and empathic
concern, prosocial moral reasoning, and two types of prosocial behaviors.
RESULTS: In general, parental support was directly and indirectly related to
empathic traits, prosocial moral reasoning, and prosocial behaviors. Peer support
was indirectly related to prosocial behaviors via perspective taking, empathic
concern, and prosocial moral reasoning. CONCLUSIONS: Discussion focuses on the
generalizability of traditional models of moral socialization and prosocial
development to U.S. Latina/o youth from communities in the Northern Great Plains.
(PsycINFO Database Record (c) 2018 APA, all rights reserved).
PMID- 29792491
TI - Does oral language underpin the development of later behavior problems? A
longitudinal meta-analysis.
AB - OBJECTIVE: The purpose of this article is to estimate the overall weighted mean
effect of the relation between early language skills and later behavior problems
in school-aged children. METHOD: A systematic literature search yielded 19,790
unduplicated reports, and a structured search strategy and identification
procedure yielded 25 unique data sets, with 114 effect sizes for analysis.
Eligible reports were then coded, and effect sizes were extracted and synthesized
via robust variance estimation and random-effects meta-analytic techniques.
RESULTS: The overall correlation between early language and later behavior
problems was negative and small (r = -.14, 95% confidence interval [CI] [-.16,
.11]), and controlling for demographic variables did not reduce the magnitude of
the inverse relationship between language skill and problem behavior (r = -.16).
Moderator analyses identified receptive language, parent-reported behavior
measures, gender, and age as significant predictors of the association between
language and behavior. CONCLUSION: This article corroborates the consistent
findings of previous meta-analytic and longitudinal studies and further
identifies areas, particularly around measurement, for future research.
Furthermore, prospective longitudinal evaluations of the relations between
language deficits and behavior problems with different types of measures (teacher
/parent-report, direct assessment, classroom observation) is warranted. (PsycINFO
Database Record
PMID- 29792492
TI - Evaluation of second step on early elementary students' academic outcomes: A
randomized controlled trial.
AB - Research has consistently linked social-emotional learning to important
educational and life outcomes. Early elementary represents an opportune
developmental period to proactively support children to acquire social-emotional
skills that enable academic success. Using data from a large scale randomized
controlled trial, the purpose of this study was to investigate the impact of the
4th edition of Second Step on early elementary students' academic-related
outcomes. Participants were Kindergarten to 2nd grade students in 61 schools (310
teachers; 7,419 students) across six school districts in Washington State and
Arizona. Multilevel models (Time * Condition) indicated the program had no
positive main effect impact on academic outcomes. However, moderator analyses
revealed that quality of implementation, specifically a measure of student
engagement and dosage, was found to be associated with significant, albeit small,
reading and classroom behavior outcomes. Findings from this study provide support
for Second Step when implemented in the context of high engagement and higher
dosage to have small but potentially meaningful collateral impact on early
academic-related outcomes. (PsycINFO Database Record (c) 2018 APA, all rights
reserved).
PMID- 29792493
TI - Does increased effort compensate for performance debilitating test anxiety?
AB - It is well established that test anxiety is negatively related to examination
performance. Based on attentional control theory, the aim of this study was to
examine whether increased effort can protect against performance debilitating
test anxiety. Four hundred and sixty-six participants (male = 228; 48.9%; White =
346, 74.3%; mean age = 15.7 years) completed self-report measures of test anxiety
and effort that were matched to performance on a high-stakes secondary school
examination. The worry and bodily symptoms components of test anxiety were
negatively, and effort, positively related to examination performance. Effort
moderated the negative relation between bodily symptoms and examination
performance. At low effort, the negative relationship was amplified and at high
effort was attenuated. Compensatory effort protects performance against bodily
symptoms but not worry. It is possible that the cognitive load on working memory
arising from the combination of worry and examination demands may be too high to
be compensated by effort. (PsycINFO Database Record
PMID- 29792494
TI - Fostering youth self-efficacy to address transgender and racial diversity issues:
The role of gay-straight alliances.
AB - Gay-Straight Alliances (GSAs) aspire to empower youth to address multiple systems
of oppression, including those affecting transgender and racial/ethnic minority
youth, yet there is little indication of factors contributing to youths' self
efficacy to do so. We examined individual and group factors predicting self
efficacy to address transgender and racial issues among 295 youth in 33 high
school GSAs. Multilevel results indicated that level of GSA engagement,
individual and collective involvement in transgender- and race-specific
discussions, and in some cases intergroup friendships were associated with each
form of self-efficacy. The association between GSA engagement and transgender
self-efficacy was stronger for youth in GSAs with greater collective transgender
specific discussions. Associations with racial self-efficacy differed based on
youths' race/ethnicity. Continued research needs to identify how GSAs and similar
youth programs promote self-efficacy to address diversity issues. (PsycINFO
Database Record
PMID- 29792495
TI - First grade classroom-level adversity: Associations with teaching practices,
academic skills, and executive functioning.
AB - Using data from the National Institute of Child Health and Human Development
Study of Early Child Care and Youth Development and a model-building approach,
the authors examined direct and indirect associations between first-grade (G1)
classroom-level adversity (CLA), G1 teaching practices, and student (N = 1,073; M
= 6.64 years; 49% girls; 82% White) academic skills and executive functioning in
G1 and third grades (G3). Teachers reported the prevalence of adversity among
their students (e.g., poor home/family life, poor academic/social readiness).
Observers rated G1 teaching practices: teachers' classroom management,
controlling instruction, and amount of academic instruction (classroom
observation system). Children completed literacy and math assessments at 54
months, G1, and G3 (Woodcock Johnson Letter-Word Identification and Applied
Problems), and executive functioning at G1 and G3 (Tower of Hanoi). Direct
associations emerged between CLA and controlling instruction (positive),
classroom management, and academic instruction (both negative). In addition, CLA
was related to G1 literacy (but not math) directly and indirectly via classroom
management (negatively) and controlling instruction (positively). The addition of
G3 outcomes revealed a negative direct longitudinal association between CLA and
G3 executive functioning, and indirect associations with G3 literacy and math
through G1 teaching practices and literacy. Results support the notion that
collective student characteristics influence student outcomes in part through
teaching practices and suggest that teachers and students may benefit from the
diffusion of high-adversity classroom compositions when possible. Moreover, in
high-adversity classrooms teachers and students may benefit from supports
targeting classroom management and foundational student competencies. (PsycINFO
Database Record (c) 2018 APA, all rights reserved).
PMID- 29792496
TI - The big two personality traits and adolescents' complete mental health: The
mediation role of perceived school stress.
AB - Based on Greenspoon and Saklofske's (2001) dual-factor model of mental health, we
defined adolescents' mental health as comprised of two distinguishable factors:
positive and negative mental health. We tested the direct relations between the
Eysenck's (1967) Big Two personality traits (Extraversion and Neuroticism) and
positive and negative mental health, and explored the mediation effects of
perceived school stress in accounting for the relations. Direct and indirect
relations were estimated by using structural equation modeling with data from
1,009 Chinese adolescents in a 3-wave study. Results indicated that (a)
adolescents' levels of neuroticism showed a positive relation to negative mental
health and a negative relation to positive mental health, whereas levels of
extraversion showed a negative relation to negative mental health and a positive
relation to positive mental health; and (b) adolescents' perceived school stress
(PSS) mediated the relation between neuroticism and mental health but not the
relation between extraversion and mental health. The findings suggest that school
professionals should consider adolescents' personality traits and school-based
stress when planning and delivering mental health services. The findings of the
relations between extraversion and PSS are also discussed in light of the face
culture in China. (PsycINFO Database Record
PMID- 29792497
TI - Examining the stability of social, emotional, and behavioral risk status:
Implications for screening frequency.
AB - Responsive service delivery frameworks rely on the use of screening approaches to
identify students in need of support and to guide subsequent assessment and
intervention efforts. However, limited empirical investigations have been
directed to informing how often screening should occur for social, emotional, and
behavioral difficulties in school settings. The purpose of the current study was
to evaluate the stability of risk status on 3 different screening instruments
across 3 administrations across the course of a school year. A total of 1,594
students had complete screening data across 3 time points, corresponding to a
total of 187 teachers from 22 different public schools located within the
northeastern and midwestern United States. Across measures, we examined patterns
of risk across time points and investigated the utility of (a) different
screening schedules (fall, winter, and spring) and (b) borderline screening when
conducting multiple screenings per year. Results indicated that a large
proportion of students exhibited stable risk patterns across time points and
suggested that borderline screening may be a viable alternative for schools with
limited resources. Implications for practice and future research are discussed.
(PsycINFO Database Record
PMID- 29792498
TI - Diagnostic accuracy of a universal screening multiple gating procedure: A
replication study.
AB - The purpose of this diagnostic accuracy study was to evaluate the sensitivity and
specificity (among other indicators) of three universal screening approaches,
including the Social, Academic, and Emotional Behavior Risk Screener (SAEBRS), a
SAEBRS-based teacher nomination tool, and a multiple gating procedure (MGP). Each
screening approach was compared to the BASC-2 Behavioral and Emotional Screening
System (BESS), which served as a criterion indicator of student social-emotional
and behavioral risk. All data were collected in a concurrent fashion.
Participants included 704 students (47.7% female) from four elementary schools
within the Midwestern United States (21.6% were at risk per the BESS). Findings
yielded support for the SAEBRS, with sensitivity = .93 (95% confidence interval
[.89-.97]), specificity = .91 (.89-.93), and correct classification = .92.
Findings further supported the MGP, which yielded sensitivity = .81 (.74-.87),
specificity = .93 (.91-.95), and correct classification = .91. In contrast, the
teacher nomination tool yielded questionable levels of diagnostic accuracy
(sensitivity = .86 [.80-.91], specificity = .74 [.70-.78], and correct
classification = .76). Overall, findings were particularly supportive of SAEBRS
diagnostic accuracy, suggesting the MGP might also serve as an acceptable
approach to universal screening. Other implications for practice and directions
for future research are discussed. (PsycINFO Database Record (c) 2018 APA, all
rights reserved).
PMID- 29792499
TI - Teacher and student race as a predictor for negative feedback during instruction.
AB - There is a long and persistent gap between the academic achievement of White and
Black students in America's schools. Further, Black students are suspended from
school at a rate that is more than three times greater than White students. While
there has been some suggestion that perhaps teacher-student racial matching may
be part of a solution, the research does not currently provide adequate support
for teacher race alone to be sufficiently effective. This study analyzed 41 Black
and White teacher-student dyad mixes in elementary schools and another 41 in a
high school to examine how teacher and student race interact in terms of teacher
and student behaviors. While Black students were slightly more likely to be off
task and disruptive, both Black and White teachers were found to provide
significantly more negative feedback to Black students regardless of their
behavior. Implications for teacher practice and future study are discussed.
(PsycINFO Database Record
PMID- 29792501
TI - Optimizing the length, width, and balance of a personality scale: How do internal
characteristics affect external validity?
AB - How well can scores on a personality scale predict criteria such as behaviors and
life outcomes? This question concerns external validity, which is a core aspect
of personality assessment. The present research was conducted to examine how
external validity is influenced by a trait scale's internal characteristics, such
as its length (number of items), width (breadth of content), and balance (between
positively and negatively keyed items). Participants completed the Big Five
Inventory-2 (BFI-2), and were also assessed on a set of self-reported and peer
reported validity criteria. We used the BFI-2 item pool to construct multiple
versions, or iterations, of each Big Five trait scale that varied in terms of
length, width, and balance. We then identified systematic effects of these
internal scale characteristics on external validity associations. Regarding
length, we find that longer trait scales tend to have greater validity, with a
scale length "sweet spot" of approximately 6 to 9 items. Regarding width, we find
that broad trait scales tend to have slightly stronger, and much more consistent,
associations with external validity criteria than do narrow scales; broad scales
thus represent relatively safe bets for personality assessment, whereas narrow
scales carry greater risks but offer potentially greater rewards. Regarding
balance, we find that associations between imbalanced trait and criterion scales
can be substantially inflated or suppressed by acquiescent responding; trait
scales that include an equal number of positively and negatively keyed items can
minimize such acquiescence bias. We conclude by translating these findings into
practical advice regarding psychological assessment. (PsycINFO Database Record
PMID- 29792500
TI - The Perceived Invalidation of Emotion Scale (PIES): Development and psychometric
properties of a novel measure of current emotion invalidation.
AB - Emotion invalidation is theoretically and empirically associated with mental and
physical health problems. However, existing measures of invalidation focus on
past (e.g., childhood) invalidation and/or do not specifically emphasize
invalidation of emotion. In this article, the authors articulate a clarified
operational definition of emotion invalidation and use that definition as the
foundation for development of a new measure of current perceived emotion
invalidation across a series of five studies. Study 1 was a qualitative
investigation of people's experiences with emotional invalidation from which we
generated items. An initial item pool was vetted by expert reviewers in Study 2
and examined via exploratory factor analysis in Study 3 within both college
student and online samples. The scale was reduced to 10 items via confirmatory
factor analysis in Study 4, resulting in a brief but psychometrically promising
measure, the Perceived Invalidation of Emotion Scale (PIES). A short-term
longitudinal investigation (Study 5) revealed that PIES scores had strong test
retest reliability, and that greater perceived emotion invalidation was
associated with greater emotion dysregulation, borderline features and symptoms
of emotional distress. In addition, the PIES predicted changes in relational
health and psychological health over a 1-month period. The current set of studies
thus presents a psychometrically promising and practical measure of perceived
emotion invalidation that can provide a foundation for future research in this
burgeoning area. (PsycINFO Database Record (c) 2018 APA, all rights reserved).
PMID- 29792502
TI - Successful validation of the CAT-MH Scales in a sample of Latin American migrants
in the United States and Spain.
AB - We examined cultural differences in the item characteristic functions of self
reported of symptoms of depression, anxiety, and mania-hypomania in a Latino
population taking Computerized Adaptive Tests for Mental Health (CAT-MH) in
Spanish versus a non-Latino sample taking the tests in English. We studied
differential item functioning (DIF) of the most common adaptively administered
symptom items out of a bank of 1,008 items between Latino (n = 1276) and non
Latino (n = 798) subjects. For depression, we identified 4 items with DIF that
were good discriminators for non-Latinos but poor discriminators for Latinos.
These items were related to cheerfulness, life satisfaction, concentration, and
fatigue. The correlation between the original calibration and a Latino-only new
calibration after eliminating these items was r = .990. For anxiety, no items
with DIF were identified. The correlation between the original and new
calibrations was r = .993. For mania-hypomania, we identified 4 items with
differential item functioning that were good discriminators for non-Latinos but
poor discriminators for Latinos. These items were related to risk-taking, self
assurance, and sexual activity. The correlation between the original and new
calibration was r = .962. Once the identified items were removed, the correlation
between the original calibration and a Latino-only calibration was r = .96 or
greater. These findings reveal that the CAT-MH can be reliably used to measure
depression, anxiety, and mania in Latinos taking these tests in Spanish.
(PsycINFO Database Record (c) 2018 APA, all rights reserved).
PMID- 29792503
TI - Revisiting Carroll's survey of factor-analytic studies: Implications for the
clinical assessment of intelligence.
AB - [Correction Notice: An Erratum for this article was reported in Vol 30(8) of
Psychological Assessment (see record 2018-37729-003). In the article "Revisiting
Carroll's Survey of Factor-Analytic Studies: Implications for the Clinical
Assessment of Intelligence," by Nicholas F. Benson, A. Alexander Beaujean, Ryan
J. McGill, and Stefan C. Dombrowski (Psychological Assessment, Advance online
publication, May 24, 2018, http://dx.doi.org/10.1037/pas0000556), the majority of
values in the omegaH and omegaHS columns of Table 4 were incorrect and have been
amended. These revisions required text in the fourth paragraph of the Results
section to be changed from "Moreover, the omegaHS value for Gs is relatively high
and very close to the and omegaH values for g" to "Moreover, the omegaHS values
for Gs and Gv are relatively high, exceeding the omega and omegaH values for g."
All versions of this article have been corrected.] John Carroll's three-stratum
theory (and the decades of research behind its development) is foundational to
the contemporary practice of intellectual assessment. The present study addresses
some limitations of Carroll's work: specification, reproducibility with more
modern methods, and interpretive relevance. We reanalyzed select data sets from
Carroll's survey of factor analytic studies using confirmatory factor analysis as
well as modern indices of interpretive relevance. For the majority of data sets,
we found that Carroll likely extracted too many factors representing Stratum II
abilities. Moreover, almost all factors representing Stratum II abilities had
little-to-no interpretive relevance above and beyond that of general
intelligence. We conclude by discussing the implications of this research with
respect to the interpretive relevance and clinical utility of scores reflecting
cognitive abilities at all strata of the three-stratum theory and offer some
directions for future research. (PsycINFO Database Record
PMID- 29792504
TI - Measurement invariance of TGMD-3 in children with and without mental and
behavioral disorders.
AB - This study evaluated whether the Test of Gross Motor Development 3 (TGMD-3) is a
reliable tool to compare children with and without mental and behavioral
disorders across gross motor skill domains. A total of 1,075 children (aged 3-11
years), 98 with mental and behavioral disorders and 977 without (typically
developing), were included in the analyses. The TGMD-3 evaluates fundamental
gross motor skills of children across two domains: locomotor skills and ball
skills. Two independent testers simultaneously observed children's performances
(agreement over 95%). Each child completed one practice and then two formal
trials. Scores were recorded only during the two formal trials. Multigroup
confirmatory factor analysis tested the assumption of TGMD-3 measurement
invariance across disability groups. According to the magnitude of changes in
root mean square error of approximation and comparative fit index between nested
models, the assumption of measurement invariance across groups was valid.
Loadings of the manifest indicators on locomotor and ball skills were significant
(p < .001) in both groups. Item response theory analysis showed good reliability
results across locomotor and the ball skills full latent traits. The present
study confirmed the factorial structure of TGMD-3 and demonstrated its
feasibility across normally developing children and children with mental and
behavioral disorders. These findings provide new opportunities for understanding
the effect of specific intervention strategies on this population. (PsycINFO
Database Record (c) 2018 APA, all rights reserved).
PMID- 29792505
TI - A new test for the assessment of working memory in clinical settings: Validation
and norming of a month ordering task.
AB - Month ordering tasks have been used in experimental settings to obtain measures
of working memory (WM) capacity in older/clinical groups based solely on their
face validity. We sought to assess the appropriateness of using a month ordering
task in other contexts, including clinical settings, as a psychometrically sound
WM assessment. To this end, we constructed a month ordering task (ucMOT), studied
its reliability (internal consistency and temporal stability), and gathered
construct-related and criterion-related validity evidence for its use as a WM
assessment. The ucMOT proved to be internally consistent and temporally stable,
and analyses of the criterion-related validity evidence revealed that its scores
predicted the efficiency of language comprehension processes known to depend
crucially on WM resources, namely, processes involved in pronoun interpretation.
Furthermore, all ucMOT items discriminated between younger and older age groups;
the global scores were significantly correlated with scores on well-established
WM tasks and presented lower correlations with instruments that evaluate
different (although related) processes, namely, inhibition and processing speed.
We conclude that the ucMOT possesses solid psychometric properties. Accordingly,
we acquired normative data for the Portuguese population, which we present as a
regression-based algorithm that yields z scores adjusted for age, gender, and
years of formal education. (PsycINFO Database Record (c) 2018 APA, all rights
reserved).
PMID- 29792506
TI - Testing the validity of criminal risk assessment tools in sexually abusive youth.
AB - Although accurate risk appraisals are mandatory to provide effective treatment to
juveniles who have sexually offended (JSOs), the current knowledge on the
validity of risk assessment instruments for JSOs is inconclusive. We compared the
predictive validities of the Juvenile Sex Offender Assessment Protocol II (J-SOAP
II), the Estimate of Risk of Adolescent Sexual Offense Recidivism (ERASOR), and
the Violence Risk Appraisal Guide-Revised (VRAG-R) scores concerning sexual,
nonsexual-violent, and general criminal recidivism (based on both official and
nonregistered reoffenses) in a consecutive sample of 597 male JSOs (Mage = 14.47
years, SDage = 1.57 years) while accounting for different recidivism periods,
offense severities, and cumulative burden of adverse childhood experiences
(ACEs). Receiver Operator Characteristic (ROC) curves and Cox regression analyses
indicated that the tools allowed valid predictions of recidivism according to
their intended purposes: The ERASOR was best suited to predict sexual recidivism
within 0.5 and 3 years, the J-SOAP II was valid for predictions of sexual and
nonsexual-violent recidivism within these recidivism periods, and the VRAG-R
showed potential strengths in predicting nonsexual-violent recidivism, especially
when committed above age 18. Elevated offense severity and burden of ACEs impeded
predictive accuracies of the J-SOAP II and the VRAG-R, particularly in case of
sexual recidivism. Our findings emphasize that risk assessment for JSOs must not
rely solely on scores derived from risk assessment instruments, but a
comprehensive consideration of a JSOs offense severity and psychosocial
adversities is additionally necessary to approach accurate risk appraisals.
(PsycINFO Database Record (c) 2018 APA, all rights reserved).
PMID- 29792507
TI - Comparing self-report measures of grandiose narcissism, vulnerable narcissism,
and narcissistic personality disorder in a male offender sample.
AB - Despite a growing interest in the use of self-report measures of narcissism among
student, community, and clinical samples, the research on narcissism in prison
samples is sparse, despite elevated rates of narcissism in these samples. The
current study examined the relations between commonly used measures of grandiose
narcissism (Narcissistic Personality Inventory-13 [NPI-13]), vulnerable
narcissism (Hypersensitive Narcissism Scale [HSNS]), and narcissistic personality
disorder (NPD; Personality Diagnostic Questionnaire [PDQ]) in a sample of adult
male offenders (N = 179). The NPI-13 and PDQ NPD scales overlapped substantially
with one another and manifested similar empirical profiles (rICC = .81), with
both being substantially correlated with interview-based symptoms of NPD,
entitlement, psychopathy, and externalizing behaviors. Conversely, the HSNS
manifested more limited relations with other measures of NPD and related traits
(e.g., entitlement), as well as externalizing behaviors, and was more strongly
related to internalizing symptoms. Consistent with previous work, NPD appears to
be a blend of grandiose and vulnerable narcissism as the PDQ's empirical profile
overlapped with that of the HSNS (rICC = .51), which was not true for the NPI-13
(rICC = .18). Analyses of the incremental validity of the 3 measures suggested
that the NPI-13 was particularly successful in accounting for unique variance in
these relevant criteria. These results underscore the benefit of utilizing
multiple measures to distinguish empirical correlates of grandiose narcissism,
vulnerable narcissism, and NPD. (PsycINFO Database Record
PMID- 29792508
TI - Effects of TGF-beta Overexpression via rAAV Gene Transfer on the Early Repair
Processes in an Osteochondral Defect Model in Minipigs.
AB - BACKGROUND: Application of the chondrogenic transforming growth factor beta (TGF
beta) is an attractive approach to enhance the intrinsic biological activities in
damaged articular cartilage, especially when using direct gene transfer
strategies based on the clinically relevant recombinant adeno-associated viral
(rAAV) vectors. PURPOSE: To evaluate the ability of an rAAV-TGF-beta construct to
modulate the early repair processes in sites of focal cartilage injury in
minipigs in vivo relative to control (reporter lacZ gene) vector treatment. STUDY
DESIGN: Controlled laboratory study. METHODS: Direct administration of the
candidate rAAV-human TGF-beta (hTGF-beta) vector was performed in osteochondral
defects created in the knee joint of adult minipigs for macroscopic,
histological, immunohistochemical, histomorphometric, and micro-computed
tomography analyses after 4 weeks relative to control (rAAV- lacZ) gene transfer.
RESULTS: Successful overexpression of TGF-beta via rAAV at this time point and in
the conditions applied here triggered the cellular and metabolic activities
within the lesions relative to lacZ gene transfer but, at the same time, led to a
noticeable production of type I and X collagen without further buildup on the
subchondral bone. CONCLUSION: Gene therapy via direct, local rAAV-hTGF-beta
injection stimulates the early reparative activities in focal cartilage lesions
in vivo. CLINICAL RELEVANCE: Local delivery of therapeutic (TGF-beta) rAAV
vectors in focal defects may provide new, off-the-shelf treatments for cartilage
repair in patients in the near future.
PMID- 29792509
TI - Modulation of Excitability in the Temporoparietal Junction Relieves Virtual
Reality Sickness.
AB - Virtual reality (VR) immersion often provokes subjective discomfort and postural
instability, so called VR sickness. The neural mechanism of VR sickness is
speculated to be related to visual-vestibular information mismatch and/or
postural instability. However, the approaches proposed to relieve VR sickness
through modulation of brain activity are poorly understood. Using transcranial
direct current stimulation (tDCS), we aimed to investigate whether VR sickness
could be relieved by the modulation of cortical excitability in the
temporoparietal junction (TPJ), which is known to be involved in processing of
both vestibular and visual information. Twenty healthy subjects received tDCS
over right TPJ before VR immersion. The order of the three types of tDCS (anodal,
cathodal, and sham) was counterbalanced across subjects. We evaluated the
subjective symptoms, heart rate, and center of pressure at baseline, after tDCS,
and after VR immersion. VR immersion using head-mounted displays provoked
subjective discomfort and postural instability. However, anodal tDCS over right
TPJ ameliorated subjective disorientation symptoms and postural instability
induced by VR immersion compared with sham condition. The amelioration of VR
sickness by anodal tDCS over the right TPJ might result from relief of the
sensory conflict and/or facilitation of vestibular function. Our result not only
has potential clinical implications for the neuromodulation approach of VR
sickness but also implies a causal role of the TPJ in VR sickness.
PMID- 29792510
TI - In reply to: "Intra-aortic balloon pump protects against hydrostatic pulmonary
oedema during peripheral venoarterial-extracorporeal membrane oxygenation".
AB - Veno-arterial extracorporeal membrane oxygenation (VA-ECMO) is an increasingly
utilized life-saving measure. However, left-ventricular distention from
inadequate left-ventricular off-loading can lead to unwanted pulmonary and
cardiac complications. We are writing to indicate our agreement with a recent
article by Brechot et al. published in the June 2017 issue where the authors
demonstrated that intra-aortic balloon pump provides mechanical support to off
load the left ventricle during VA-ECMO, which prevents pulmonary edema.
PMID- 29792511
TI - When a White Horse is a Horse: Embracing the (Obvious?) Overlap Between
Acupuncture and Neuromodulation.
PMID- 29792512
TI - Use of T2MR in invasive candidiasis with and without candidemia.
AB - The mortality associated with invasive candidiasis remains unacceptably high. The
T2 magnetic resonance (T2MR) assay is a novel US FDA-approved molecular
diagnostic assay for the diagnosis of candidemia that can rapidly detect the five
most commonly isolated Candida spp. In clinical trials, T2MR has exhibited good
clinical sensitivity and specificity. Potential benefits from the adoption of
T2MR technology in the diagnostic and therapeutic algorithms for invasive
candidiasis can arise from timely diagnosis of disease, increased case detection,
tailored therapy and decrease in empiric antifungal treatment. As everyday
clinical experience with the assay is evolving, we discuss the utility of T2MR in
invasive candidiasis with and without candidemia based on the currently available
evidence regarding its performance.
PMID- 29792513
TI - Cardiogenic shock complicating peripartum cardiomyopathy: Importance of early
left ventricular unloading and bromocriptine therapy.
AB - INTRODUCTION: Acute peripartum cardiomyopathy complicated by cardiogenic shock is
a rare but life-threatening disease. A prolactin fragment is considered causal
for the pathogenesis of peripartum cardiomyopathy. This analysis sought to
investigate the role of early percutaneous mechanical circulatory support with
micro-axial flow-pumps and/or veno-arterial extracorporeal membrane oxygenation
in combination with the prolactin inhibitor bromocriptine in refractory
cardiogenic shock complicating peripartum cardiomyopathy. METHODS AND RESULTS: In
this single-centre analysis, five peripartum cardiomyopathy patients with
refractory cardiogenic shock received mechanical circulatory support with either
Impella CP microaxial pump only ( n=2) or in combination with veno-arterial
extracorporeal membrane oxygenation ( n=3) in the setting of biventricular
failure. All patients were mechanically ventilated. In all cases mechanical
circulatory support was combined with bromocriptine therapy and early
administration of levosimendan. All patients survived the acute phase of
refractory cardiogenic shock. Mechanical circulatory support using a micro-axial
pump allowed to significantly reduce catecholamine dosage. Remarkably, early left
ventricular support with micro-axial flow-pumps resulted in myocardial recovery
whereas delayed Impella (mechanical circulatory support) implantation was
associated with poor left ventricular recovery. CONCLUSION: Mechanical
circulatory support in patients with refractory cardiogenic shock complicating
peripartum cardiomyopathy was associated with a 30-day survival of 100% and a
favourable outcome. Notably, early left ventricular unloading combined with
bromocriptine therapy was associated with left ventricular recovery. Therefore,
an immediate transfer to a tertiary hospital experienced in mechanical
circulatory support in combination with bromocriptine treatment seems
indispensable for successful treatment of peripartum cardiomyopathy complicated
by cardiogenic shock.
PMID- 29792515
TI - Integrative Medicine: The Imperative for Health Justice in the Other Side of the
World.
PMID- 29792516
TI - Budget impact of adding lesinurad for second-line treatment of gout: a US health
plan perspective.
AB - AIM: Estimate budget impact of adopting lesinurad as add-on to allopurinol for
urate-lowering therapy in gout. METHODS: A budget impact model was developed for
a US payer perspective, using a Markov model to estimate costs, survival and
discontinuation in a one-million-member health plan. The population included
patients failing first-line gout therapy, followed for 5 years. RESULTS:
Incremental costs of adding lesinurad versus no lesinurad were US$241,907 and
US$1,098,220 in first and fifth years, respectively. Cumulative 5-year
incremental cost was US$3,633,440. Estimated incremental mean cost per treated
patient with gout per year was US$112. The mean per-member per-month cost
increased by US$0.06. CONCLUSION: Initiating lesinurad would result in an
incremental per-member per-month cost of US$0.06 over 5 years.
PMID- 29792514
TI - A Flow Procedure for Linearization of Genome Sequence Graphs.
AB - Efforts to incorporate human genetic variation into the reference human genome
have converged on the idea of a graph representation of genetic variation within
a species, a genome sequence graph. A sequence graph represents a set of
individual haploid reference genomes as paths in a single graph. When that set of
reference genomes is sufficiently diverse, the sequence graph implicitly contains
all frequent human genetic variations, including translocations, inversions,
deletions, and insertions. In representing a set of genomes as a sequence graph,
one encounters certain challenges. One of the most important is the problem of
graph linearization, essential both for efficiency of storage and access, and for
natural graph visualization and compatibility with other tools. The goal of graph
linearization is to order nodes of the graph in such a way that operations such
as access, traversal, and visualization are as efficient and effective as
possible. A new algorithm for the linearization of sequence graphs, called the
flow procedure (FP), is proposed in this article. Comparative experimental
evaluation of the FP against other algorithms shows that it outperforms its
rivals in the metrics most relevant to sequence graphs.
PMID- 29792517
TI - Is phage therapy suitable for treating chronic sinusitis Staphylococcus aureus
infection?
PMID- 29792518
TI - It Is the Family Context That Matters: Concurrent and Predictive Effects of
Aspects of Parent-Child Interaction on Video Gaming-Related Problems.
AB - Studies have shown that children frequently experiencing poor parent-child
interaction are prone to video gaming-related problems, but it is unclear which
specific aspects of such an interaction play a predictive role in the problems.
To extend previous research that relies primarily on the self-report method to
assess parent-child interaction, we conducted a longitudinal, mixed-methods
study. In a laboratory setting, three major aspects of interaction (i.e.,
affectivity, cohesiveness, and parental behavior) were observed in 241 parent
child dyads (Children: 43 percent female, age range = 8-15, Mage = 12.09, SDage =
1.41; Parents: 78 percent female, age range = 27-63, Mage = 44.44, SDage = 6.09).
In addition, both parent and children participants completed questionnaires that
measured children's symptoms of Internet gaming disorder (IGD) and exposure to
violent video games at baseline (Time 1) and 12 months later (Time 2). The
results revealed that at Time 1, positive affectivity and cohesiveness were
inversely associated with child-report symptoms of IGD. Also, Time 1 coerciveness
(i.e., control dimension of parental behavior) was positively associated with
Time 1 child-report exposure to violent video games and Time 2 child-report
symptoms of IGD, respectively. Apart from main effects, the results also showed
that Time 1 negative affectivity moderated the protective effects of Time 1
positive affectivity on Time 1 parent-report and Time 2 child-report exposure to
violent video games, respectively. Overall, this study identifies various key
aspects of parent-child interaction that may serve as concurrent or temporal
predictors of video gaming-related issues.
PMID- 29792519
TI - Effects of Online Physician Reviews and Physician Gender on Perceptions of
Physician Skills and Primary Care Physician (PCP) Selection.
AB - Physician review websites have become more relevant and important in people's
selection of physicians. The current study experimentally examined how online
physician reviews endorsing a primary care physician's (PCP's) technical or
interpersonal skills, along with a physician's gender, may influence people's
perceptions of the physician's skills and their willingness to choose the
physician. Participants were randomly assigned to view a mockup physician review
web page and to imagine that they needed to find a new PCP in a new city. They
were then asked to report their perceptions of the physician and willingness to
choose the physician as their PCP. The results suggested that people's
willingness to choose a PCP was affected by physician reviews through their
influence on people's perceptions of the PCP's technical and interpersonal
skills. More importantly, this study found that when physician reviews endorsed a
PCP's technical skills people perceived a female PCP to be more interpersonally
competent than a male PCP and thus were more likely to choose the female PCP. The
gendered perception, however, was not extended to a PCP's technical skills.
Practical implications for health providers and consumers are discussed.
PMID- 29792520
TI - Changes in the Neurovascular Anatomy of the Shoulder After an Open Latarjet
Procedure: Defining a Surgical Safe Zone.
AB - BACKGROUND: Although previous literature has described the relevant anatomy for
an open anterior Bankart approach of the shoulder, there is little known
regarding the anatomic relationship changes in the neurovascular structures after
an open Latarjet procedure. PURPOSE: To define the neurovascular anatomy of the
native shoulder in relation to the coracoid and to define the anatomy after the
Latarjet procedure in relation to the glenoid to determine distances to these
neurovascular structures with and without neurolysis of the musculocutaneous
nerve (MCN) from the conjoint tendon. STUDY DESIGN: Descriptive laboratory study.
METHODS: Fourteen fresh-frozen male cadaveric shoulders (7 matched pairs) were
utilized. The distances of 7 neurovascular structures (the main trunk of the MCN
at its insertion into the conjoint tendon, the MCN at its closest location to the
coracoid process, the lateral cord of the plexus, the split of the lateral cord
and MCN, the posterior cord of the plexus, the axillary nerve, and the axillary
artery) to pertinent landmarks were first measured in the native state in
relation to the coracoid. After the Latarjet procedure, these landmarks were
measured in relation to the glenoid. In addition, measurements of the MCN
distances were performed both with and without neurolysis of the MCN from the
conjoint tendon. All measurements were performed using digital calipers and
reported as medians with ranges. RESULTS: The median MCN entry into the conjoint
tendon was 56.5 mm (range, 43.0-82.2 mm) and 57.1 mm (range, 23.5-92.9 mm) from
the tip of the coracoid in the neurolysis group and nonneurolysis group,
respectively ( P = .32). After the Latarjet procedure, the median MCN entry into
the conjoint tendon was 43.8 mm (range, 20.2-58.3 mm) and 35.6 mm (range, 27.3
84.5 mm) from the 3-o'clock position of the glenoid in the neurolysis and
nonneurolysis groups, respectively ( P = .83). The median MCN entry into the
conjoint tendon was 35.6 mm (range, 25.1-58.0 mm) and 36.3 mm (range, 24.4-77.9
mm) from the 6-o'clock position in the neurolysis group and nonneurolysis group,
respectively ( P = .99). After the Latarjet procedure, the closest neurovascular
structures in relation to both the 3-o'clock and 6-o'clock positions to the
coracoid were the axillary nerve at a median 27.4 mm (range, 19.8-40.0 mm) and
27.7 mm (range, 23.2-36.1 mm), respectively. CONCLUSION: This study identified a
minimum distance medial to the glenoid after the Latarjet procedure to be
approximately 19.8 mm for the axillary nerve, 23.6 mm for the posterior cord, and
24.4 mm and 20.2 mm for the MCN without and with neurolysis, respectively.
Neurolysis of the MCN did not significantly change the distance of the nerve from
pertinent landmarks compared with no neurolysis, and routine neurolysis may not
be indicated. However, the authors still advise that there may be clinical
benefit to performing neurolysis during surgery, especially given that the short
length of the MCN puts it at risk for traction injuries during the Latarjet
procedure. CLINICAL RELEVANCE: The findings of this study provide an improved
understanding of the position of the neurovascular structures after the Latarjet
procedure. Knowledge of these minimum distances will help avoid iatrogenic damage
of the neurovascular structures when performing procedures involving transfer of
the coracoid process.
PMID- 29792521
TI - Problematic Video Gaming in a Young Spanish Population: Association with
Psychosocial Health.
AB - Problematic video gaming (PVG) is a concern for psychologists attending children
and adolescents. Uniform diagnostic criteria are lacking, and risk factors are
poorly understood. Internet gaming disorder (IGD) was included in the Diagnostic
and Statistical Manual of Mental Disorders, Fifth Edition (DSM-5), and scales
derived from its diagnostic criteria may be helpful to assess PVG. A multicenter
study was conducted in secondary schools using an IGD-derived scale (dichotomous
Nine-Item Internet Gaming Disorder Scale [IGD-9]), analyzing PVG-related
variables. Seven hundred eight students (55.8 percent male) with mean age 15.6 +/
2.7 years were included. Seventy-three percent were gamers and 22 percent heavy
gamers (HGs). Forty-five percent reported online gaming and 6.6 percent massive
multiplayer online role-playing games (MMORPGs). Fifty-nine students (8.3
percent) scored 5 or more in IGD-9 and were classified as IGD+. HG and IGD+
subjects were more frequently male and online and MMORPG gamers (p < 0.01).
However, IGD+ subjects had significantly worse psychosocial scores than IGD- (p <
0.001), while HGs did not significantly differ from casual gamers (p > 0.01). The
multivariate analysis showed that IGD+ scores were significantly associated with
worse psychosocial health and adjustment (p < 0.001), while the other variables
(male sex, online and MMORPG gaming, and HG) were not significantly associated (p
> 0.01). The IGD-9 scale scored positive in 8.3 percent of our sample. Unlike
gaming time, this scale was associated with psychosocial disturbances, making it
potentially useful as a screening method to detect candidates for clinical
intervention.
PMID- 29792522
TI - Love, Sex, and Personality Pathology: A Life History View of Personality
Pathologies and Sociosexuality.
AB - Love and sex are fundamental needs of most people, yet little research has
examined such aspects of life in relation to personality pathologies. We examined
the associations between pathological personality traits (i.e., negative
affectivity, disinhibition, antagonism, psychoticism, and detachment) and
sociosexuality (i.e., short-term mating orientation, long-term mating
orientation, and sexual behavior) among 702 university students. In addition, we
examined the mediating role of life history speed and tested whether sex
moderated the associations that these pathological personality traits had with
sociosexuality. Detachment, antagonism, disinhibition, and psychoticism had
positive associations with short-term mating interests and negative associations
with long-term mating interests. Life history speed mediated the associations
that detachment and disinhibition had with short-term mating orientation and long
term mating orientation. Although sex did moderate the association that negative
affectivity had with previous sexual behavior, we found no evidence that these
mediational processes differed between men and women. Results are discussed in
terms of the way personality traits shape the sociosexuality of men and women
using a life history paradigm.
PMID- 29792523
TI - Personality Homophily and Geographic Distance in Facebook.
AB - Personality homophily remains an understudied aspect of social networks, with the
traditional focus concerning sociodemographic variables as the basis for
assortativity, rather than psychological dispositions. We consider the effect of
personality homophily on one of the biggest constraints to human social networks:
geographic distance. We use the Big Five model of personality to make predictions
for each of the five facets: Openness to experience, Conscientiousness,
Extraversion, Agreeableness, and Neuroticism. Using a network of 313,669 Facebook
users, we investigate the difference in geographic distance between homophilous
pairs, in which both users scored similarly on a particular facet, and mixed
pairs. In accordance with our hypotheses, we find that pairs of open and
conscientious users are geographically further apart than mixed pairs. Pairs of
extraverts, on the other hand, tend to be geographically closer together. We find
mixed results for the Neuroticism facet, and no significant effects for the
Agreeableness facet. The results are discussed in the context of personality
homophily and the impact of geographic distance on social connections.
PMID- 29792525
TI - Modelling category goodness judgments in children with residual sound errors.
AB - This study investigates category goodness judgments of /r/ in adults and children
with and without residual speech errors (RSEs) using natural speech stimuli.
Thirty adults, 38 children with RSE (ages 7-16) and 35 age-matched typically
developing (TD) children provided category goodness judgments on whole words,
recorded from 27 child speakers, with /r/ in various phonetic environments. The
salient acoustic property of /r/ - the lowered third formant (F3) - was
normalized in two ways. A logistic mixed-effect model quantified the
relationships between listeners' responses and the third formant frequency, vowel
context and clinical group status. Goodness judgments from the adult group showed
a statistically significant interaction with the F3 parameter when compared to
both child groups (p < 0.001) using both normalization methods. The RSE group did
not differ significantly from the TD group in judgments of /r/. All listeners
were significantly more likely to judge /r/ as correct in a front-vowel context.
Our results suggest that normalized /r/ F3 is a statistically significant
predictor of category goodness judgments for both adults and children, but
children do not appear to make adult-like judgments. Category goodness judgments
do not have a clear relationship with /r/ production abilities in children with
RSE. These findings may have implications for clinical activities that include
category goodness judgments in natural speech, especially for recorded
productions.
PMID- 29792524
TI - Managing comorbid cardiovascular disease and sleep apnea with pharmacotherapy.
AB - INTRODUCTION: Highly prevalent sleep disordered breathing (SDB) has been
recognized as an independent cardiovascular disease (CVD) risk factor. Although
these two entities often coexist, there is a shortage of sufficiently-powered
studies testing the interplay between the course of sleep apnea and CVD
pharmacotherapy. The mutual relationship between treated/untreated obstructive
sleep apnea (OSA) with ongoing cardiovascular pharmacotherapies is an evident gap
in clinical expertise. Areas covered: In this article, the authors review the
available evidence and outline future research directions concerning the
reciprocal relationship between the pharmacological treatment of CVD and SDB.
Several attempts have been made to identify the most efficacious hypotensive
agents for patients with both OSA and hypertension. Various cardiovascular drugs
are also evaluated in terms of their influence on sleep apnea severity. Expert
opinion: The question of whether OSA should be included in cardiovascular
pharmacotherapy individualization algorithms is a matter of debate and more
evidence is needed. Cautious intensification of diuretics with the use of
aldosterone receptor antagonists deserves attention when both high blood pressure
and sleep apnea coexist.
PMID- 29792526
TI - Phages & antibiotic resistance: are the most abundant entities on earth ready for
a comeback?
AB - Bacteriophages, which lost out to antibiotic therapy in the past, may be poised
to make a comeback. Once discarded because of their narrow activity spectrum, it
can now be viewed as a major advantage that these intracellular, self-replicating
entities can exert their killing effect with minimal damage to the commensal
microbiome. In eastern Europe, phages continue to be used both prophylactically
and therapeutically to treat infections. More recently, much needed regulated
clinical trials are underway with a view to restoring phage therapy as a tool for
mainstream medicine, although current regulations may impede their full
potential. One hundred years after their discovery, and amid an antibiotic
resistance crisis, we must ask, what can be done to harness their full
antibacterial potential?
PMID- 29792528
TI - Dissociation of cerebrospinal fluid amyloid-beta and tau levels in patients with
prolonged posttraumatic disorders of consciousness.
AB - BACKGROUND: Traumatic brain injury (TBI) is a major risk factor for Alzheimer's
disease (AD). Although the mechanisms that lead to AD after a TBI are unclear, we
hypothesize that changes in amyloid-beta (Abeta) metabolism and abnormal tau
phosphorylation are reasonable candidates. OBJECTIVE: To investigate Abeta and
tau dynamics in the chronic phase of TBI. METHODS: We evaluated Abeta1-42, total
tau (t-tau), and phosphorylated tau (p-tau) levels in the cerebrospinal fluid
(CSF) of 15 patients who developed a prolonged disorder of consciousness after a
severe TBI (mean time from TBI 271.6 +/- 176.5 days). RESULTS: Reduced Abeta1-42
levels (median 258 pg/ml, range 90-833.6) were observed in 14/15 patients (93.3%)
with severe post-TBI disorders of consciousness. These CSF analysis data did not
correlate with time since TBI or with the patients' level of consciousness as
determined by the Coma Recovery Scale Revised. Normal t-tau levels (median 95.2
pg/ml, range 52-256.9) were found in all patients. Normal p-tau levels (median
22.2 pg/ml, range 14-72) were observed in 14/15 patients, with just a single
patient having a slightly increased p-tau level. CONCLUSION: The present findings
show that Abeta and tau are differently affected in the chronic phase of severe
TBI.
PMID- 29792527
TI - Enteric Neural Stem Cells Expressing Insulin-Like Growth Factor 1: A Novel
Cellular Therapy for Hirschsprung's Disease in Mouse Model.
AB - Transplanting enteric neural stem cells (ENSCs) is an innovative approach for
replacing enteric neurons in Hirschsprung's disease (HSCR). However,
posttransplantation cell survival and differentiation limit efficacy. We aimed to
investigate whether transplantation of ENSCs engineered with insulin-like growth
factor 1 (IGF-1) could improve survival and differentiation of the engrafted
cells and promote functional recovery of the aganglionic colon. ENSCs were
isolated from the intestine of neonatal mice and genetically modified to express
IGF-1. After implantation into the mice aganglionic colon induced by benzalkonium
chloride, survival and differentiation of engrafted cells were assessed by
immunohistochemistry for GFP, neuronal, and glial cell markers. Colonic motility
was quantified by colonic bead expulsion time and response to electrical field
stimulation (EFS). Expression of the neural marker nNOS and the glial cell marker
(glial fibrillary acidic protein [GFAP]) was increased in IGF-1-ENSCs. IGF-1 had
also improved neuroglial differentiation of ENSCs following transplantation in
vivo. Colonic motility was significantly improved after IGF-1-ENSC
transplantation, as demonstrated by reduction of colonic bead expulsion time and
recovery of EFS-induced relaxation. IGF-1 has enhanced neurogenesis and function
of ENSCs upon transplantation for enteric nervous system replacement, which may
provide a potential novel therapy for the treatment of HSCR.
PMID- 29792529
TI - miR-155 accelerates proliferation of mouse hepatocytes during liver regeneration
by directly targeting SOCS1.
AB - Liver regeneration after two-thirds partial hepatectomy (PH) is a clinically
significant repair process for restoring proper liver architecture. Although
microRNA-155 (miR-155) has been found to serve as a crucial microRNA regulator
that controls liver cell function and proliferation, little is known about its
specific role in the regenerating liver. Using a mouse model with miR-155
overexpression or miR-155 knockout, we investigated the molecular mechanisms of
miR-155 in liver regeneration. We found a marked induction of miR-155 in C57BL/6
mice after PH. Furthermore, RL-m155 mice showed enhanced liver regeneration as a
result of accelerated progression of hepatocytes into the cell cycle, mainly
through an increase in cyclin levels. However, proliferation of hepatocytes was
delayed in miR-155-deficient livers. Expression of suppressor of cytokine
signaling 1 (SOCS1) was dramatically downregulated in the process of liver
regeneration, and enhancement of SOCS1 contributed to impaired proliferation of
hepatocytes. Additionally, in vitro and in vivo experiments showed that
adenovirus- or adeno-associated virus-mediated overexpression of SOCS1 attenuated
improved liver regeneration induced by miR-155 overexpression. Our study shows
that miR-155 is a pro-proliferative regulator in liver regeneration by
facilitating the cell cycle and directly targeting SOCS1. NEW & NOTEWORTHY Our
findings suggest a microRNA-155 (miR-155)-mediated positive regulation pattern in
liver regeneration. A series of in vivo and in vitro studies showed that miR-155
upregulation enhanced partial hepatectomy-induced proliferation of hepatocytes by
promoting the cell cycle without inducing DNA damage or apoptosis. Suppressor of
cytokine signaling 1, a target gene of miR-155, antagonized the proliferation
promoting effect of miR-155. Therefore, pharmacological intervention targeting
miR-155 may be therapeutically beneficial in various liver diseases.
PMID- 29792530
TI - Manganese transport and toxicity in polarized WIF-B hepatocytes.
AB - Manganese (Mn) toxicity arises from nutritional problems, community and
occupational exposures, and genetic risks. Mn blood levels are controlled by
hepatobiliary clearance. The goals of this study were to determine the cellular
distribution of Mn transporters in polarized hepatocytes, to establish an in
vitro assay for hepatocyte Mn efflux, and to examine possible roles the Mn
transporters would play in metal import and export. For these experiments,
hepatocytoma WIF-B cells were grown for 12-14 days to achieve maximal polarity.
Immunoblots showed that Mn transporters ZIP8, ZnT10, ferroportin (Fpn), and ZIP14
were present. Indirect immunofluorescence microscopy localized Fpn and ZIP14 to
WIF-B cell basolateral domains whereas ZnT10 and ZIP8 associated with
intracellular vesicular compartments. ZIP8-positive structures were distributed
uniformly throughout the cytoplasm, but ZnT10-positive vesicles were adjacent to
apical bile compartments. WIF-B cells were sensitive to Mn toxicity, showing
decreased viability after 16 h exposure to >250 MUM MnCl2. However, the
hepatocytes were resistant to 4-h exposures of up to 500 MUM MnCl2 despite 50
fold increased Mn content. Washout experiments showed time-dependent efflux with
80% Mn released after a 4 h chase period. Hepcidin reduced levels of Fpn in WIF-B
cells, clearing Fpn from the cell surface, but Mn efflux was unaffected. The
secretory inhibitor, brefeldin A, did block release of Mn from WIF-B cells,
suggesting vesicle fusion may be involved in export. These results point to a
possible role of ZnT10 to import Mn into vesicles that subsequently fuse with the
apical membrane and empty their contents into bile. NEW & NOTEWORTHY Polarized
WIF-B hepatocytes express manganese (Mn) transporters ZIP8, ZnT10, ferroportin
(Fpn), and ZIP14. Fpn and ZIP14 localize to basolateral domains. ZnT10-positive
vesicles were adjacent to apical bile compartments, and ZIP8-positive vesicles
were distributed uniformly throughout the cytoplasm. WIF-B hepatocyte Mn export
was resistant to hepcidin but inhibited by brefeldin A, pointing to an efflux
mechanism involving ZnT10-mediated uptake of Mn into vesicles that subsequently
fuse with and empty their contents across the apical bile canalicular membrane.
PMID- 29792532
TI - The Moderating Influence of Disorder on Coached Overreporting Using the MMPI-2
RF.
AB - Overreporting has always been a concern within psychological evaluations. The
Minnesota Multiphasic Personality Inventory-2-Restructured Form (Ben-Porath &
Tellegen, 2008/2011 ) contains validity scales designed for detecting noncredible
responses. In this study, 270 undergraduates were instructed to feign either
schizophrenia, posttraumatic stress disorder (PTSD) or generalized anxiety
disorder (GAD); some participants were coached on symptoms and validity scales.
Results at both the individual protocol and mean validity scale score levels
suggest that each feigned disorder moderates the effectiveness of coaching on
validity scale detection. One finding of this study suggests that schizophrenia
is generally more difficult to successfully feign than PTSD or GAD. Another
finding suggests that the majority of individuals able to avoid detection as
symptom overreporters are able to successfully endorse at least some disorder
relevant symptoms. We suggest that future research focus on the systematic
exploration of other factors influencing the effectiveness of validity scales as
well as the development of validity scales designed to detect the overreporting
of internalizing forms of psychopathology.
PMID- 29792531
TI - Expression of lysophosphatidic acid receptor 5 is necessary for the regulation of
intestinal Na+/H+ exchanger 3 by lysophosphatidic acid in vivo.
AB - Lysophosphatidic acid (LPA) is a bioactive lipid molecule, which regulates a
broad range of pathophysiological processes. Recent studies have demonstrated
that LPA modulates electrolyte flux in the intestine, and its potential as an
antidiarrheal agent has been suggested. Of six LPA receptors, LPA5 is highly
expressed in the intestine. Recent studies by our group have demonstrated
activation of Na+/H+ exchanger 3 (NHE3) by LPA5. However, much of what has been
elucidated was achieved using colonic cell lines that were transfected to express
LPA5. In the current study, we engineered a mouse that lacks LPA5 in intestinal
epithelial cells, Lpar5DeltaIEC, and investigated the role of LPA5 in NHE3
regulation and fluid absorption in vivo. The intestine of Lpar5DeltaIEC mice
appeared morphologically normal, and the stool frequency and fecal water content
were unchanged compared with wild-type mice. Basal rates of NHE3 activity and
fluid absorption and total NHE3 expression were not changed in Lpar5DeltaIEC
mice. However, LPA did not activate NHE3 activity or fluid absorption in
Lpar5DeltaIEC mice, providing direct evidence for the regulatory role of LPA5.
NHE3 activation involves trafficking of NHE3 from the terminal web to microvilli,
and this mobilization of NHE3 by LPA was abolished in Lpar5DeltaIEC mice.
Dysregulation of NHE3 was specific to LPA, and insulin and cholera toxin were
able to stimulate and inhibit NHE3, respectively, in both wild-type and
Lpar5DeltaIEC mice. The current study for the first time demonstrates the
necessity of LPA5 in LPA-mediated stimulation of NHE3 in vivo. NEW & NOTEWORTHY
This study is the first to assess the role of LPA5 in NHE3 regulation and fluid
absorption in vivo using a mouse that lacks LPA5 in intestinal epithelial cells,
Lpar5DeltaIEC. Basal rates of NHE3 activity and fluid absorption, and total NHE3
expression were not changed in Lpar5DeltaIEC mice. However, LPA did not activate
NHE3 activity or fluid absorption in Lpar5DeltaIEC mice, providing direct
evidence for the regulatory role of LPA5.
PMID- 29792533
TI - Efficacy of the resilience and adjustment intervention after traumatic brain
injury: a randomized controlled trial.
AB - OBJECTIVE: Examine a psychoeducational and skill-building intervention's
effectiveness for individuals after traumatic brain injury (TBI), using a two
arm, parallel, randomized, controlled trial with wait-listed control. METHODS:
The Resilience and Adjustment Intervention (RAI) targets adjustment challenges
and emphasizes education, skill-building and psychological support. Overall, 160
outpatients were randomly assigned to a treatment or wait-list control (WLC)
group. The manualized treatment was delivered in seven 1-h sessions. The Connor
Davidson Resilience Scale (CD-RISC) was the primary outcome measure. Secondary
measures included the Mayo Portland Adaptability Inventory-4 (MPAI-4), Brief
Symptom Inventory-18 (BSI-18) and 13-Item Stress Test. RESULTS: After adjusting
for injury severity, education and time postinjury, the RAI group (N = 75)
demonstrated a significantly greater increase in resilience (effect size = 1.03)
compared to the WLC group (N = 73). Participants in the RAI group demonstrated
more favourable scores on the MPAI-4 Adjustment and Ability Indices, BSI-18 and
the 13-item Stress Test. However, only the CD-RISC and BSI-18 demonstrated a
clinically significant difference. In addition, RAI participants demonstrated
maintenance of gains from pre-treatment to 3-month follow-up; however, only the
BSI-18 maintained a clinically significant difference. CONCLUSIONS: Investigation
provided evidence that a resilience-focused intervention can improve
psychological health and adjustment after TBI. Additional research is needed to
ascertain the longer term benefits of intervention and the efficacy of
alternative delivery methods (e.g., via telephone, Internet).
PMID- 29792534
TI - Intensity of previous teaching but not diagnostic skills influences
stigmatization of patients with substance use disorder by general practice
residents. A vignette study among French final-year residents in general
practice.
AB - BACKGROUND: High levels of stigma towards patients with substance use disorder
(SUD) have been found in health professionals and medical students. OBJECTIVES:
To assess the capability of residents in general practice to diagnose SUD
correctly; to assess their stigmatization of patients with SUD and to assess the
correlation between both variables. We hypothesized a negative correlation.
METHODS: In 2014, we conducted a cross-sectional survey among French residents in
general practice, using a self-administered questionnaire. First, a clinical case
of SUD (tramadol) was presented, to assess the diagnosis and retained diagnostic
criteria. A second clinical vignette was presented (intravenous heroin user) to
assess stigmatization with the Attitudes to Mental Illness Questionnaire (AMIQ).
Its score ranges from -10 (negative attitude) to +10 (positive attitude). AMIQ
scores of residents who diagnosed SUD correctly versus incorrectly, and who had
received at least six hours versus less than six hours of teaching on this topic,
were compared using Student's t-test. RESULTS: Of 1284 solicited residents, 303
participated (23.6%), 249 residents diagnosed SUD correctly (82.2%). The mean
AMIQ score was -3.91 (SD 2.4) without significant difference regarding the
correct diagnosis of SUD; but with a significant difference between residents who
had received training in SUD for at least six hours versus residents less trained
(AMIQ scores -3.76 (SD 2.46) versus -4.50 (SD 2.27), p = .0354). CONCLUSION:
Residents in general practice had a good capacity to diagnose SUD correctly but
on average expressed negative attitudes toward people with SUD. More SUD teaching
seems to help in reducing stigmatizing attitudes.
PMID- 29792536
TI - Outcomes in paediatric external dacryocystorhinostomy: a single-centre
experience.
AB - PURPOSE: To report the outcomes of external dacryocystorhinostomy (Ext DCR) in
paediatric patients. METHODS: A single-centre, retrospective, interventional, non
comparative case series was performed on all paediatric patients who underwent
Ext DCR between July 2010 and July 2014. Surgery was performed as per standard
Ext DCR protocols with only anterior flap suturing. Data collected include
demographics, clinical presentations, primary diagnosis, associated systemic
anomalies, past interventions, indications for the surgery, use of adjuvants,
intraoperative and post-operative complications, aetiology of DCR failure,
anatomical and functional successes. RESULTS: 135 eyes of 114 children underwent
Ext DCR during the study period. Mean age was 9.68 +/- 4.36 years and epiphora
was the most common presentation (92.5%, 125/135). Persistent congenital
nasolacrimal duct obstruction refractory to earlier interventions of probing or
intubation was the most common indication for the surgery noted in 57% (77/135).
Adjuvants like mitomycin C and intubation were used in 70% (95/135) and 72%
(98/135) of the surgeries, respectively. At a 6-month follow-up, anatomical and
functional successes were noted in 91.1% (124/135) and 90.3% (123/135),
respectively. 12 eyes showed anatomical failure and one eye showed functional
failure. The most common cause of DCR failure was a complete cicatricial closure
of the ostium (83.4%, 10/12). CONCLUSIONS: This study shows that Ext DCR is a
safe surgery for paediatric populations with a high success rates of beyond 90%.
PMID- 29792535
TI - Does the Poly (ADP-Ribose) Polymerase Inhibitor Veliparib Merit Further Study for
Cancer-Associated Weight Loss? Observations and Conclusions from Sixty
Prospectively Treated Patients.
AB - BACKGROUND: More than 80% of patients with advanced cancer develop weight loss.
Because preclinical data suggest poly (ADP-ribose) polymerase (PARP) inhibitors
can treat this weight loss, this study was undertaken to explore the PARP
inhibitor veliparib for this indication. OBJECTIVE: The current study was
undertaken to analyze prospectively gathered data on weight in cancer patients on
PARP inhibitors. DESIGN/SETTING: The current study relied on a previously
published, prospectively conducted phase 1 single institution trial that combined
veliparib and topotecan (NCT01012817) as antineoplastic therapy for advanced
cancer patients. Serial weight data and, when available and clinically relevant,
computerized tomography scans were also examined. MEASUREMENTS: The primary
endpoint was 10% or greater weight gain from trial enrollment. RESULTS: Nearly
all 60 patients lost weight over time. Only one patient manifested a 10% or
greater gain in weight. However, review of computerized tomography L3 images
showed this weight gain was a manifestation of ascites. Four other patients
gained 5% of their baseline weight. However, findings in two patients with
available radiographs showed no evidence of muscle augmentation. CONCLUSIONS: The
addition of the PARP inhibitor veliparib to chemotherapy does not appear to
result in notable weight gain or in weight maintenance in patients with advanced
cancer. Interventions other than PARP inhibitors should be considered for the
palliation/treatment of cancer-associated weight loss.
PMID- 29792537
TI - Recognizing, quantifying and managing patient-ventilator asynchrony in invasive
and noninvasive ventilation.
AB - INTRODUCTION: Patient-ventilator asynchrony may occur with modes of partial
ventilatory support. Because this problem is associated with worsened outcomes,
identifying and managing asynchronies has been recognized as a relevant clinical
problem during both invasive and noninvasive (NIV) mechanical ventilation. Areas
covered: In this review article, we first describe the different forms of patient
ventilator asynchrony and how they are classified and quantified. Then, we show
how these asynchronies can be recognized, considering the techniques used to
properly detect asynchronies, by either ventilator waveform observation, or
through systems based on more complexes mathematical algorithms, by means of
adjunctive signals, such as the electrical activity of the diaphragm or
esophageal pressure. Finally, we describe the actions that can be undertaken in
order to limit the rate of asynchronies during both invasive ventilation and NIV
mechanical ventilation, such as modifications of the ventilator mode and/or
settings, variation of the sedation regimen (type and doses), and other technical
pitfalls. Expert commentary: Detection of asynchronies is crucial in order to
reduce their incidence, adopting adjustments of the ventilator settings, sedation
regimen, and other technical pitfalls. It remains to be clarified whether the
relationship between high incidence of asynchrony and worsened outcome is
causative or just associative.
PMID- 29792538
TI - Single-Nucleotide Polymorphisms in IL23R-IL12RB2 (rs1495965) Are Highly Prevalent
in Patients with Behcet's Uveitis, and Vary between Populations.
AB - PURPOSE: To test the frequency of single-nucleotide polymorphisms in the IL-10,
IL23R-IL12RB2 genes in patients with Behcet's uveitis. METHODS: Blood samples
were collected from 89 Israeli and Turkish patients, and from healthy control
subjects of different origins. Genomic DNA was extracted from peripheral blood
leukocytes and genotyped. RESULTS: The risk allele, A, in rs1800871, of IL-10
gene was highly prevalent in Behcet's uveitis and healthy control samples alike;
highest among the Turkish groups. Prevalence of G allele, in rs1495965, in the
IL23R-IL12RB2 gene was high in Behcet's uveitis patients, and among healthy
Turkish and Israelis of Middle Eastern origin, while lower among the other
Israeli control group (77.9%, 78.9%, 27.8%, respectively, P < 0.001). CONCLUSION:
Our findings highlight the differences between populations and may account for
the increased prevalence of the disease among Turkish and Israelis of Middle
Eastern origin. Further studies are required to map other healthy and affected
populations.
PMID- 29792539
TI - Why anthropology should be a compulsory component in medical training.
PMID- 29792540
TI - Cerebral amyloid angiopathy: diagnosis and potential therapies.
AB - INTRODUCTION: Cerebral amyloid angiopathy (CAA) is characterized by the
pathologic deposition of amyloid-beta within cortical and leptomeningeal
arteries, arterioles, capillaries and, in rare cases, the venules of the brain.
It is often associated with the development of lobar intracerebral hemorrhages
(ICHs) but may cause other neurologic symptoms or be asymptomatic. Magnetic
resonance imaging characteristics, such as lobar microbleeds, support a diagnosis
of CAA and assist with hemorrhage risk assessments. Immunosuppressants are used
to treat rarer inflammatory forms of CAA. For the more common forms of CAA, the
use of antihypertensive medications can prevent ICH recurrence while the use of
antithrombotics may increase hemorrhage risk. Anti-amyloid approaches to
treatment have not yet been investigated in phase 3 trials. Areas covered: A
literature search was conducted using MEDLINE on the topics of imaging,
biomarkers, ICH prevention and treatment trials in CAA, focusing on its current
diagnosis and management and opportunities for future therapeutic approaches.
Expert commentary: There is likely a significant unrecognized burden of CAA in
the elderly population. Continued research efforts to discover biomarkers that
allow the early diagnosis of CAA will enhance the opportunity to develop
treatment interventions.
PMID- 29792541
TI - Interaction of low frequency external electric fields and pancreatic beta-cell: a
mathematical modeling approach to identify the influence of excitation
parameters.
AB - PURPOSE: Although the effect of electromagnetic fields on biological systems has
attracted attraction in recent years, there has not been any conclusive result
concerning the effects of interaction and the underlying mechanisms involved.
Besides the complexity of biological systems, the parameters of the applied
electromagnetic field have not been estimated in most of the experiments.
MATERIALS AND METHODS: In this study, we have used computational approach in
order to find the excitation parameters of an external electric field which
produces sensible effects in the function of insulin secretory machinery, whose
failure triggers the diabetes disease. A mathematical model of the human beta
cell has been used and the effects of external electric fields with different
amplitudes, frequencies and wave shapes have been studied. RESULTS: The results
from our simulations show that the external electric field can influence the
membrane electrical activity and perhaps the insulin secretion when its amplitude
exceeds a threshold value. Furthermore, our simulations reveal that different
waveforms have distinct effects on the beta-cell membrane electrical activity and
the characteristic features of the excitation like frequency would change the
interaction mechanism. CONCLUSION: The results could help the researchers to
investigate the possible role of the environmental electromagnetic fields on the
promotion of diabetes disease.
PMID- 29792543
TI - Restructuring Saudi Board in Restorative Dentistry (SBRD) curriculum using
CanMEDS competency.
AB - OBJECTIVE: The purpose of this paper is to describe the process of adopting the
Canadian Medical Education Directions for Specialists (CanMEDS) 2015 competency
framework in a dental specialty program to reconstruct the Saudi Board in
Restorative Dentistry (SBRD) curriculum and disseminate the lessons learned.
Method and development process: The process of curriculum development was started
with the selection of SBRD curriculum committee and review of CanMEDS framework.
The Committee conducted needs assessment among the stakeholders and adopted
CanMEDS 2015 competencies through a careful process. A modeled curriculum was
developed after taking feedback, review of existing literature, and unique
context of dentistry. Curriculum: Several unique features are incorporated. For
example, milestones and continuum of learning are developed to enable residents
develop competencies at different stages (transition to discipline, foundation of
discipline, and core of discipline). Academic activities are restructured to
encourage interactive, student-centered approaches, team work, intellectual
curiosity, and scholarship. Learning outcomes are integrated throughout within
several modules. Many formative assessment tools are adopted to promote learning
and evaluate clinical skills. CONCLUSIONS: This is the first published example of
adopting CanMEDS competency framework in a dental specialty program. The success
of developing SBRD curriculum has encouraged other dental specialties toward
adopting CanMEDS 2015 frameworks for their own curricula.
PMID- 29792545
TI - An update on quality control for the PFA-100/PFA-200.
AB - Testing of platelet function comprises a crucial element of hemostasis
assessment, particularly for investigations into bleeding and/or bruising. The
Platelet Function Analyzer (PFA)-100 is the most utilized primary hemostasis
screening test system available, as recently remodeled/upgraded to the PFA-200.
Internal quality control (IQC) and external quality assessment (EQA) (including
proficiency testing) represent critical elements of ensuring test practice
quality. Although true for all tests, IQC and EQA are logistically challenging
for platelet function testing, inclusive of the PFA-100/200. We accordingly
update our experience with novel yet feasible approaches to both IQC and EQA of
PFA-100/200. Over the past 10 years, a total of 43 challenges have been tested,
with most challenges designed to mimic moderate or severe primary hemostasis
defects. The current report is restricted to the last four years and has also
differentially assessed PFA-100 vs. PFA-200 EQA results to identify potential
variance. Numerical results for closure times (CTs) and participant-supplied
interpretive comments were analyzed. Reported CTs for each challenge were within
limits of expectation, and good reproducibility was evidenced by repeated
challenges. Coefficients of variation (CVs) for challenges, generally ranging
from 15% to 25%, were similar or better than those obtained using native whole
blood and consistent with past reports. Participant interpretations were
generally consistent with test data and expectations. There was no evident
difference in PFA-100 vs. PFA-200 EQA test results. The EQA material has also
been successfully evaluated from the perspective of potential IQC. To conclude,
IQC and EQA processes for the PFA-100/200 have been established that are highly
reproducible, supporting the concept of EQA/IQC for platelet function testing,
and also facilitating monitoring and improvement in its performance. In terms of
EQA, PFA-100 and PFA-200 instruments appear to behave similarly.
PMID- 29792542
TI - A Novel Research Definition of Bladder Health in Women and Girls: Implications
for Research and Public Health Promotion.
AB - BACKGROUND: Bladder health in women and girls is poorly understood, in part, due
to absence of a definition for clinical or research purposes. This article
describes the process used by a National Institutes of Health funded
transdisciplinary research team (The Prevention of Lower Urinary Tract Symptoms
[PLUS] Consortium) to develop a definition of bladder health. METHODS: The PLUS
Consortium identified currently accepted lower urinary tract symptoms (LUTS) and
outlined elements of storage and emptying functions of the bladder. Consistent
with the World Health Organization's definition of health, PLUS concluded that
absence of LUTS was insufficient and emphasizes the bladder's ability to adapt to
short-term physical, psychosocial, and environmental challenges for the final
definition. Definitions for subjective experiences and objective measures of
bladder dysfunction and health were drafted. An additional bioregulatory function
to protect against infection, neoplasia, chemical, or biologic threats was
proposed. RESULTS: PLUS proposes that bladder health be defined as: "A complete
state of physical, mental, and social well-being related to bladder function and
not merely the absence of LUTS. Healthy bladder function permits daily
activities, adapts to short-term physical or environmental stressors, and allows
optimal well-being (e.g., travel, exercise, social, occupational, or other
activities)." Definitions for each element of bladder function are reported with
suggested subjective and objective measures. CONCLUSIONS: PLUS used a
comprehensive transdisciplinary process to develop a bladder health definition.
This will inform instrument development for evaluation of bladder health
promotion and prevention of LUTS in research and public health initiatives.
PMID- 29792544
TI - Synergistic Phytochemicals Fail to Protect Against Ovariectomy Induced Bone Loss
in Rats.
AB - Menopause induces a loss of bone as a result of estrogen deficiency. Despite
pharmaceutical options for the treatment of osteopenia and osteoporosis, many
aging women use dietary supplements with estrogenic activity to prevent bone loss
and other menopausal-related symptoms. Such supplements are yet to be tested for
efficacy against a Food and Drug Administration (FDA) approved medication for
menopausal bone loss such as zoledronic acid (ZA). The postmenopausal rat model
was used to investigate the efficacy of various synergistic phytochemical blends
mixed into the diet for 16 weeks. Retired-breeder, Fischer 344 rats were randomly
assigned to sham or ovariectomy surgery and 4 treatment groups: ZA; genistein
supplementation; and a low dose and high dose blend of genistein, resveratrol,
and quercetin. Ovariectomy resulted in a loss of both trabecular and cortical
bone which was prevented with ZA. The phytochemical blends tested were unable to
reverse these losses. Despite the lack of effectiveness in preventing bone loss,
a significant dose-response trend was observed in the phytochemical-rich diets in
bone adipocyte number compared to ovariectomized control rats. Data from this
study indicate that estrogenic phytochemicals are not as efficacious as ZA in
preventing menopausal-related bone loss but may have beneficial effects on bone
marrow adiposity in rats.
PMID- 29792546
TI - Application of a mixture model to assess the effect of measles-mumps-rubella
vaccine on the mumps epidemic in children from kindergarten to early school age
in Jiangsu Province, China.
AB - A single dose of the measles-mumps-rubella (MMR) vaccine has been applied in
routine immunizations for children in China; however, the Immunoglobulin G (IgG)
antibody level of mumps in children from kindergarten to early school age with
MMR vaccine immunization history has not been elucidated. This study aimed to
describe the immunity profile of children from kindergarten to early school age
to identify the susceptible population. In Jiangsu Province, a cross-sectional
survey of 4- to 8-year-old children who were vaccinated with at least one dose of
MMR vaccine was conducted. IgG antibody results were analyzed by employing both
the Enzyme-Linked Immunosorbent Assay (ELISA) cutoff values and the mixture
model. A total of 7436 eligible subjects were enrolled: 3386 subjects were in
kindergarten, and 4450 were in primary school. The overall seroprevalence (75.4%,
95% CI: 74.4%-76.4%) and Geometric mean concentration (GMC, 201.4U/ml, 95% CI:
194.1-209.4) of mumps antibodies in 2016 were low. The seroprevalence of children
in kindergarten (78.1%, 95% CI: 76.6%-79.4%) was significantly higher than that
of children in primary school (73.2%, 95% CI: 71.2%-74.6%). The GMC was
negatively correlated with the time of inoculation (F = 32.17, P = 0.002). The
mixture model enables a more comprehensive understanding of serological results
by investigating four levels of antibody response, suggesting that there is a
small fraction of the population with waning immunity. Children in kindergarten
and primary school whom had received one dose of MMR vaccine were at a higher
risk of mumps infection, particularly the 7-year-old group in the central region.
Therefore, the single-dose MMR vaccine schedule has a limited impact on mumps
control and prevention, and a two-dose MMR vaccine schedule should be introduced.
PMID- 29792547
TI - A method to quantify genotoxicity of malathion in rainbow trout using the
weighted averaging.
AB - DNA breakage has been frequently used as a biomarker of the pesticide toxicity.
The present study introduced a method to quantify the DNA breakage in
Oncorhynchus mykiss exposed to the pesticide malathion. Specimens were exposed to
different concentrations of malathion for 1-9 days and their gill and liver were
sampled. DNA was extracted and electrophoresed using agarose gel. The pixel
density curves were obtained from the gel smears. The area under the curves was
arbitrarily divided from three up to seven segments using a Java macro in the
software ImageJ. Some weighted averaging methods were used to calculate DNA
breakage in each gel lane. Akaike information criterion (AIC) was used to find
the best analysis of variance. The liver was more sensitive than the gill showing
a larger number of significant differences among the specimens exposed to various
concentrations of malathion. The geometric weighted averaging on the data
extracted from the seven-segment pixel density curve resulted to the lowest AIC.
The double-strand DNA breakage of O. mykiss was able to detect malathion in
freshwater in concentrations over 0.05 mg L-1.
PMID- 29792548
TI - Comparison of the Ocular Penetration and Pharmacokinetics Between Natamycin and
Voriconazole After Topical Instillation in Rabbits.
AB - PURPOSE: To investigate the ocular penetration of natamycin (NAT) and
voriconazole (VRC) after topical instillation in New Zealand white rabbits using
simplified liquid chromatography-tandem mass spectrometry (LC-MS/MS) and high
performance liquid chromatography. METHODS: Seventy-eight healthy rabbits were
randomly divided into 3 groups. In the first 2 groups, 72 rabbits were used for
single-dose testing (36 for NAT, 36 for VRC), in which 50 MUL of 5.0% NAT or 1.0%
VRC was instilled into the rabbits' left eyes. In the 3rd group, 6 rabbits were
used for repeated-dose testing in which 50 MUL of 5.0% NAT was instilled into
their left eyes 12 times (once per hour) during the daytime. These animals were
sacrificed immediately to collect their aqueous humors and corneas. RESULTS:
After a single topical instillation, the highest concentrations in the cornea and
aqueous humor for VRC were 34.1 MUg/g and 14.7 MUg/mL, respectively. The
permeability ratios of aqueous/cornea were from 0.1 to 1.26. The highest
concentrations in cornea and aqueous humor for NAT were 299.3 ng/g and 27.1
ng/mL, respectively. The permeability ratios of aqueous/cornea were from 0.02 to
0.23. In the repeated-dose group, the NAT concentrations in the cornea and
aqueous humor were 10,569 ng/g and 54.4 ng/mL, respectively. The permeability
ratio was as low as 0.0051. CONCLUSION: The better corneal penetration of VRC
suggests that it is more suitable for deep corneal fungal infections than NAT via
topical ocular administration.
PMID- 29792550
TI - Reply to "A refugee patient with meningococcal meningitis type B."
PMID- 29792549
TI - Analysis of the effects of individual- and community- level predictors on migrant
children's primary immunization in Yiwu city, east China.
AB - BACKGROUND: To evaluate the effects of a wide range of individual- and community
level factors on migrant children's primary immunization. METHODS: Migrant
children aged 13-24 months (born from 1 June 2013 to 31 May 2014) were surveyed
in Yiwu city, in June 2015. Social-demographic factors and vaccination records
were collected. Five factors at community-level including community wealth,
distance to the nearest immunization clinic, maternal education, antenatal clinic
(ANC) visits, and hospital delivery were constructed. The relationship between
individual- and community-level factors and a child's vaccination status for
Chinese primary immunization were evaluated by logistic regression model and the
adjusted odds ratio (AOR) with the p-values and 95% confidence interval (CI) were
calculated. RESULTS: The community wealth (aOR:1.4; 95% CI:1.1-2.7), the
community maternal education (aOR:1.8; 95% CI:1.4-4.5), the community ANC visits
(aOR:1.8; 95% CI:1.3-2.8), and the community hospital delivery (aOR:2.2; 95%
CI:1.6-4.8) were positively associated with the completeness of primary
immunization, while community distance to the nearest immunization clinic was
negatively associated with the completeness of primary immunization (aOR:0.7; 95%
CI:0.5-0.9). The coverage of completeness of primary immunization was higher
among children living in rural areas than those living in urban areas (aOR:1.7;
95% CI: 1.3-3.1). CONCLUSIONS: In order to achieve better vaccination coverage
among migrant children in Yiwu, as well as to close the gaps and disparities of
acceptability and accessibility in childhood vaccination in urban areas, policy
makers should design interventions based on the factors addressed in this study.
PMID- 29792551
TI - Aponeurosis influences the relationship between muscle gearing and force.
AB - Aponeuroses are connective tissues found on the surface of pennate muscles and
are in close association with muscle fascicles. In addition to transmitting
muscle forces to the external tendon, aponeurosis has been hypothesized to
influence the direction of muscle shape change during a contraction. Muscle shape
changes affect muscle contractile force and velocity because they influence the
gear ratio with which muscle fascicles transmit force and velocity to the tendon.
If aponeurosis modulates muscle shape changes, altering the aponeurosis' radial
integrity with incisions should alter gearing. We tested the hypothesis that
incising the aponeurosis would lead to decreased gearing across force conditions
with an in situ preparation of the turkey lateral gastrocnemius muscle. We found
that multiple full-length incisions in the aponeurosis altered the relationship
between gearing and force relative to the intact aponeurosis condition.
Specifically, after multiple aponeurosis incisions, gear ratio decreased by 19%
in the high-force contractions compared with the intact condition. These results
suggest that aponeuroses influence muscle shape change and can alter muscle
contractile force and speed through their effect on muscle gearing. NEW &
NOTEWORTHY Muscle gearing is determined by muscle shape change during a
contraction and varies with the force of contraction. Variable gearing influences
muscle force and speed, but how gearing is modulated is not well understood.
Incising the aponeurosis before and after contractions demonstrates that
aponeurosis plays a role in modulating gearing.
PMID- 29792552
TI - Immobilization rapidly induces thioredoxin-interacting protein gene expression
together with insulin resistance in rat skeletal muscle.
AB - Acute short duration of disuse induces the development of insulin resistance for
glucose uptake in rodent skeletal muscle. Because thioredoxin-interacting protein
(TXNIP) has been implicated in the downregulation of insulin signaling and
glucose uptake, we examined the possibility that muscle disuse rapidly induces
insulin resistance via increased TXNIP mRNA and protein expression. Male Wistar
rats were subjected to unilateral 6-h hindlimb immobilization by plaster cast. At
the end of this period, the soleus muscles from both immobilized and
contralateral nonimmobilized hindlimbs were excised and examined. The 6-h
immobilization resulted in an increase in TXNIP mRNA and protein expressions
together with a decrease in insulin-stimulated 2-deoxyglucose uptake in the rat
soleus muscle. Additionally, in the rats euthanized 6 h after the plaster cast
removal, TXNIP protein expression and insulin-stimulated glucose uptake in the
immobilized muscle had both been restored to a normal level. Various
interventions (pretreatment with transcription inhibitor actinomycin D or AMP
dependent protein kinase activator 5-aminoimidazole-4-carboxamide ribonucleotide)
also suppressed the increase in TXNIP protein expression in 6-h-immobilized
muscle together with partial prevention of insulin resistance for glucose uptake.
These results suggested the possibility that increased TXNIP protein expression
in immobilized rat soleus muscles was associated with the rapid induction of
insulin resistance for glucose uptake in that tissue. NEW & NOTEWORTHY The
cellular mechanism by which disuse rapidly induces muscle insulin resistance for
glucose uptake remains to be identified. Using a rat hindlimb immobilization
model, our findings suggest the possibility that transcriptional upregulation of
thioredoxin-interacting protein is associated with the immobilization-induced
rapid development of insulin resistance in skeletal muscle.
PMID- 29792553
TI - Mitochondrial complex I defect resulting from exercise-induced lower limb
ischemia in patients with peripheral arterial disease.
AB - This study aims to compare the structural and mitochondrial alterations between
muscle segments affected by exercise-induced ischemia and segments of the same
muscle without ischemia, in the same subject. In a prospective analysis, 34
patients presenting either peripheral arterial disease or chronic coronary
syndrome without any evidence of peripheral arterial disease were eligible for
inclusion based on findings indicating a need for either a femoro-popliteal
bypass or a saphenous harvesting for coronary bypass. Before surgery, we assessed
the level of exercise-induced ischemia in proximal and distal sections of the
thigh by the measurement of transcutaneous oxygen pressure during an exercise
treadmill test. Distal and proximal biopsies of the sartorius muscle were
procured during vascular surgical procedures to assess mitochondrial function and
morphometric parameters of the sartorius myofibers. Comparisons were made between
the distal and proximal biopsies, with respect to these parameters. Thirteen of
the study patients that initially presented with peripheral arterial disease had
evidence of an isolated distal thigh exercise-induced ischemia, associated with a
35% decrease in the mitochondrial complex I enzymatic activity in the distal
muscle biopsy. This defect was also associated with a decreased expression of the
manganese superoxide dismutase enzyme and with alterations of the shapes of the
myofibers. No functional or structural alterations were observed in the patients
with coronary syndrome. We validated a specific model ischemia in peripheral
arterial disease characterized by muscular alterations. This "Distal-Proximal
Sartorius Model" would be promising to explore the physiopathological
consequences specific to chronic ischemia. NEW & NOTEWORTHY We compared proximal
versus distal biopsies of the sartorius muscle in patients with superficial
femoral artery stenosis or occlusion and proof of, distal only, regional blood
flow impairment with exercise oximetry. We identified a decrease in the
mitochondrial complex I enzymatic activity and antioxidant system impairment at
the distal level only. We validate a model to explore the physiopathological
consequences of chronic muscle ischemia.
PMID- 29792554
TI - Effect of acetazolamide and methazolamide on diaphragm and dorsiflexor fatigue: a
randomized controlled trial.
AB - Acetazolamide, a carbonic anhydrase (CA) inhibitor used clinically and to prevent
acute mountain sickness, worsens skeletal muscle fatigue in animals and humans.
In animals, methazolamide, a methylated analog of acetazolamide and an equally
potent CA inhibitor, reportedly exacerbates fatigue less than acetazolamide.
Accordingly, we sought to determine, in humans, if methazolamide would attenuate
diaphragm and dorsiflexor fatigue compared with acetazolamide. Healthy men
(dorsiflexor: n = 12; diaphragm: n = 7) performed fatiguing exercise on three
occasions, after ingesting acetazolamide (250 mg three times a day) and then in
random order, methazolamide (100 mg twice a day) or placebo for 48 h. For both
muscles, subjects exercised at a fixed intensity until exhaustion on
acetazolamide, with subsequent iso-time and -workload trials. Diaphragm exercise
was performed using a threshold-loading device, while dorsiflexor exercise was
isometric. Neuromuscular function was determined pre- and postexercise by
potentiated transdiaphragmatic twitch pressure and dorsiflexor torque in response
to stimulation of the phrenic and fibular nerve, respectively. Diaphragm
contractility 3-10 min postexercise was impaired more for acetazolamide than
methazolamide or placebo (82 +/- 10, 87 +/- 9, and 91 +/- 8% of pre-exercise
value; P < 0.05). Similarly, dorsiflexor fatigue was greater for acetazolamide
than methazolamide (mean twitch torque of 61 +/- 11 vs. 57 +/- 13% of baseline, P
< 0.05). In normoxia, methazolamide leads to less neuromuscular fatigue than
acetazolamide, indicating a possible benefit for clinical use or in the
prophylaxis of acute mountain sickness. NEW & NOTEWORTHY Acetazolamide, a
carbonic anhydrase inhibitor, may worsen diaphragm and locomotor muscle fatigue
after exercise; whereas, in animals, methazolamide does not impair diaphragm
function. Compared with both methazolamide and the placebo, acetazolamide
significantly compromised dorsiflexor function at rest and after exhaustive
exercise. Similarly, diaphragm function was most compromised on acetazolamide
followed by methazolamide and placebo. Methazolamide may be preferable over
acetazolamide for clinical use and altitude illness prophylaxis to avoid skeletal
muscle dysfunction.
PMID- 29792555
TI - Vaccine hesitancy among caregivers and association with childhood vaccination
timeliness in Addis Ababa, Ethiopia.
AB - INTRODUCTION: Vaccines are vital to reducing childhood mortality, and prevent an
estimated 2 to 3 million deaths annually which disproportionately occur in the
developing world. Overall vaccine coverage is typically used as a metric to
evaluate the adequacy of vaccine program performance, though it does not account
for untimely administration, which may unnecessarily prolong children's
susceptibility to disease. This study explored a hypothesized positive
association between increasing vaccine hesitancy and untimeliness of
immunizations administered under the Expanded Program on Immunization (EPI) in
Addis Ababa, Ethiopia. METHODS: This cross-sectional survey employed a multistage
sampling design, randomly selecting one health center within five sub-cities of
Addis Ababa. Caregivers of 3 to 12-month-old infants completed a questionnaire on
vaccine hesitancy, and their infants' vaccination cards were examined to assess
timeliness of received vaccinations. RESULTS: The sample comprised 350
caregivers. Overall, 82.3% of the surveyed children received all recommended
vaccines, although only 55.9% of these vaccinations were timely. Few caregivers
(3.4%) reported ever hesitating and 3.7% reported ever refusing a vaccine for
their child. Vaccine hesitancy significantly increased the odds of untimely
vaccination (AOR 1.94, 95% CI: 1.02, 3.71) in the adjusted analysis. CONCLUSIONS:
This study found high vaccine coverage among a sample of 350 young children in
Addis Ababa, though only half received all recommended vaccines on time. High
vaccine hesitancy was strongly associated with infants' untimely vaccination,
indicating that increased efforts to educate community members and providers
about vaccines may have a beneficial impact on vaccine timeliness in Addis Ababa.
PMID- 29792556
TI - Effect of Wii training on hand function in children with hemiplegic cerebral
palsy.
AB - OBJECTIVE: The purpose of this study was to investigate the effect of Wii
training on hand function in children with hemiplegic cerebral palsy. METHODS: A
randomized controlled trial was conducted in 40 children with hemiplegic cerebral
palsy (8-12 years). The experimental group received Wii training involving four
games for 40 minutes a day, three times a week for 12 weeks plus usual care. The
control group received usual care alone. Outcomes were spasticity measured using
the modified Ashworth scale, grip strength measured using dynamometry, and hand
function measured using the Peabody developmental motor scale (2nd ed.). Outcomes
were measured at baseline and after 12 weeks of intervention. RESULTS: Spasticity
in the experimental group decreased by 0.4 out of 4.0 (95% CI 0.1 to 0.8) more
than the control group by 12 weeks. Power grip strength increased by 1.6 kg (95%
CI 0.7 to 2.5) and pinch grip strength by 1.2 kg (95% CI 0.8 to 1.6) more than
the control group by 12 weeks. Hand function increased by 6 out of 52 (95% CI 5
to 7) more than the control group by 12 weeks. CONCLUSION: Wii training plus
usual care decreases spasticity and increases grip strength and hand function in
children with hemiplegic cerebral palsy.
PMID- 29792557
TI - Improving vaccine-related pain, distress or fear in healthy children and
adolescents-a systematic search of patient-focused interventions.
AB - OBJECTIVE: The WHO recently highlighted the need for research into potential
interventions that can be used to mitigate pain during mass vaccinations, in
addition to interventions specific for adolescents. The current review examines
the literature on potential interventions that can be used during mass
vaccination settings in healthy individuals between the ages of 4 and 15 years
old. METHODS: Criteria for inclusion were: 1)participants between the ages of 4
15 years, 2)interventions that were patient-focused, 3)vaccinations in healthy
individuals, 4)outcome measures to include self-reported pain, fear or distress.
RESULTS: Twenty-seven articles were identified with a total of 31 interventions.
Eleven interventions used injection-site specific interventions, 17 used patient
led interventions and three used a combination of both site-specific and patient
led interventions. CONCLUSION: Interventions using coolant and vibration
together, as well as a combination of site-specific and patient-led
interventions, showed the most consistent effects in reducing self-reported pain,
fear or distress.
PMID- 29792558
TI - Epidemiologic features and intervention effect of fall injury among rural school
aged children in southwest China: a short-term cohort study.
AB - Falls are the top one type in all unintentional injuries. In this study, we aim
to explore the epidemiological characteristics of falls and assess the
intervention effect. Our research had interviewed 2854 rural children in
southwest China. Then, we used School-Family-Individual (SFI) comprehensive
education model to conduct an intervention among 1506 children and follow up them
for one year. The changes in injury knowledge and incidence rate before and after
intervention were compared. We found the fall injury was 37.32% (178/477) and
ranked top one in the total injuries. After intervention, the children's fall
injuries-related knowledge was significantly increased by 15.29 percent (P <
0.001). While falls incidence significantly decreased after- intervention (6.24%
vs. 3.93%; P < 0.001). From the results we concluded that the falls rate was high
and was the prior reason of all injuries. SFI intervention model can effectively
reduce the incidence of the fall injury.
PMID- 29792559
TI - Frozen White-Leg Shrimp (Litopenaeus vannamei) in Korean Markets as a Source of
Aeromonas spp. Harboring Antibiotic and Heavy Metal Resistance Genes.
AB - As the most consumed shrimp variety, white-leg shrimp (Litopenaeus vannamei) owns
a high market demand in Korea. This study sought to screen the frozen white-leg
shrimp for Aeromonas spp. harboring antimicrobial and heavy metal resistance
characteristics. A total of 44 Aeromonas spp. strains were isolated and tested
for antibiotic susceptibility and heavy metal tolerance followed by PCR-based
detection of resistance genes and integrons. It was observed that resistance to
ampicillin and oxacillin was 100% among isolates. Besides, 95%, 89%, 86%, 80%,
66%, and 43% of the isolates were resistant to nalidixic acid, tetracycline,
cephalothin, streptomycin, trimethoprim-sulfamethoxazole, and imipenem,
respectively, and less resistance to other antibiotics was also observed. Cr
resistance was the highest (91%) among five heavy metals tested, whereas 57%,
32%, 20%, and 9% of the isolates were tolerant to Cu, Pb, Cd, and Hg,
respectively. The PCR assays implied the presence of qnrB, qnrS, tetA, tetE,
aac(6')-Ib, and aphAI-IAB, and intI1 genes among 80%, 77%, 18%, 30%, 9%, 0.25%,
and 82% of the isolates, respectively. There were 35 (80%) integron 1-positive
isolates harboring qacE2, dfrA1, orfC, orfD, aadB, catB3, oxa-10, and aadA1 genes
in varying combinations. In addition, heavy metal resistance genes, CopA, merA,
and CzcA were positive in 9%, 7%, and 27% of the isolates, respectively.
According to these outcomes, the frozen white-leg shrimp in Korean markets can be
suggested as a source of multidrug and heavy metal-resistant Aeromonas spp. that
carries genetic determinants.
PMID- 29792560
TI - Acceleration profile of an acrobatic act during training and shows using wearable
technology.
AB - The purpose of this study was to describe the mechanical characteristics of a
trampoline circus act and its individual tracks performed in training and shows
using a tri-axial accelerometer. A track is an artist's specific role within a
choreographed act. Seven male acrobats performed their trampoline act during
training and shows while wearing a triaxial accelerometer and reported ratings of
perceived exertion (RPE) after each trial. Average acceleration (AVG), root mean
square (RMS), root mean to the fourth (RM4), time spent in specific acceleration
ranges and RPE were measured/recorded from training and show acts. Paired t-tests
compared dependent variables between training and show. Acceleration AVG, RMS and
RM4 were significantly higher (p < 0.05) in training than show. RPE was
significantly higher (p < 0.05) in show than training. No significant differences
existed in time spent in any of the acceleration ranges between training and
show. GPS devices have been used to manage workloads in field sports but are
inoperable in theatres. But, inertial measurements may be an effective
alternative to describe mechanical demands in theatre or arena environments.
Wearable technology may be useful to coaches to improve understanding of track
demands to manage artist workloads.
PMID- 29792561
TI - Testimonial Psychotherapy in Immigrant Survivors of Intimate Partner Violence: A
Case Series.
AB - Testimonial psychotherapy is a therapeutic ritual for facilitating the recovery
of survivors of human rights violations that focuses on sharing the trauma
narrative. Originally developed in Chile as a method for collecting evidence
during legal proceedings, testimonial therapy has been widely applied
transculturally as a unique treatment modality for populations that are not
amenable to traditional Western psychotherapy. In this case report, we first
review the literature on testimonial therapy to this date. We go on to describe
how testimonial therapy has been specifically adapted to facilitate recovery for
immigrant survivors of intimate partner violence (IPV). We present three Latin
American women who underwent testimonial psychotherapy while receiving
psychiatric treatment at a Northern Virginia community clinic affiliated with the
George Washington University. The therapy consisted of guided trauma narrative
sessions and a Latin- American Catholic inspired reverential ceremony in a
Spanish-speaking women's domestic violence group. In this case series we provide
excerpts from the women's testimony and feedback from physicians who observed the
ceremony. We found that testimonial psychotherapy was accepted by our three IPV
survivors and logistically feasible in a small community clinic. We conceptualize
testimonial psychotherapy as a humanistic therapy that focuses on strengthening
the person. Our case report suggests testimonial psychotherapy as a useful
adjunct to formal psychotherapy for post-traumatic stress symptoms.
PMID- 29792562
TI - Combined Effects and Cross-Interactions of Different Antibiotics and Polypeptides
in Salmonella bredeney.
AB - Salmonella spp. are health-threatening foodborne pathogens. The increasingly
common spread of antibiotic-resistant Salmonella spp. is a major public
healthcare issue worldwide. In this study, we wished to explore (1) antibiotic or
polypeptide combinations to inhibit multidrug-resistant Salmonella bredeney and
(2) the regulation of cross-resistance and collateral sensitivity of antibiotics
and polypeptides. We undertook a study to select antibiotic combinations. Then,
we promoted drug-resistant strains of S. bredeney after 15 types of antibiotic
treatment. From each evolving population, the S. bredeney strain was exposed to a
particular single drug. Then, we analyzed how the evolved S. bredeney strains
acquired resistance or susceptibility to other drugs. A total of 105 combinations
were tested against S. bredeney following the protocols of CLSI-2016 and EUCAST
2017. The synergistic interactions between drug pairings were diverse. Notably,
polypeptides were more likely to be linked to synergistic combinations: 56%
(19/34) of the synergistic pairings were relevant to polypeptides.
Simultaneously, macrolides demonstrated antagonism toward polypeptides. The
latter were more frequently related to collateral sensitivity than the other
drugs because the other 13 drugs sensitized S. bredeney to polypeptides. In an
experimental evolution involving 15 drugs, single drug-evolved strains were
examined against the other 14 drugs, and the results were compared with the
minimal inhibitory concentration of the ancestral strain. Single drug-evolved S.
bredeney strains could alter the sensitivity to other drugs, and S. bredeney
evolution against antibiotics could sensitize it to polypeptides.
PMID- 29792563
TI - Ability of crime, demographic and business data to forecast areas of increased
violence.
AB - Identifying geographic areas and time periods of increased violence is of
considerable importance in prevention planning. This study compared the
performance of multiple data sources to prospectively forecast areas of increased
interpersonal violence. We used 2011-2014 data from a large metropolitan county
on interpersonal violence (homicide, assault, rape and robbery) and forecasted
violence at the level of census block-groups and over a one-month moving time
window. Inputs to a Random Forest model included historical crime records from
the police department, demographic data from the US Census Bureau, and
administrative data on licensed businesses. Among 279 block groups, a model
utilizing all data sources was found to prospectively improve the identification
of the top 5% most violent block-group months (positive predictive value = 52.1%;
negative predictive value = 97.5%; sensitivity = 43.4%; specificity = 98.2%).
Predictive modelling with simple inputs can help communities more efficiently
focus violence prevention resources geographically.
PMID- 29792565
TI - Anticipatory judgements associated with vision of an opponent's end-effector: An
approach by motion perturbation and spatial occlusion.
AB - This study was aimed at determining how the visual information of an end-effector
(racket) and the intermediate extremity (arm) of a tennis server contribute to
the receiver's anticipatory judgement of ball direction. In all, 15 experienced
tennis players and 15 novice counterparts viewed a spatially occluded computer
graphics animation of a tennis serve (no-occlusion, racket-occlusion, and body
occlusion) and made anticipatory judgements of ball direction on a visual
analogue scale (VAS). The patterns of the serve motions were generated by a
simulation technique that computationally perturbs the rotation speed of the
selected racket-arm joint (forearm pronation and elbow extension) on a captured
serve motion. The results suggested that the anticipatory judgements were
monotonically attuned with the perturbation rate of the forearm pronation speed
excepting under the conditions of the racket-occlusion model. Although such
attunements were not observed in the elbow perturbation conditions, the results
of correlation analysis indicated that the residual information in the spatially
occluded models had a similar effect to the no-occlusion model within the
individual experienced participants. The findings support the notion that end
effector (racket) provides deterministic cues for anticipation, as well as imply
that players are able to benefit from the relative motion of an intermediate
extremity (elbow extension).
PMID- 29792566
TI - Clues to an Evolutionary Mystery: The Genes for T-Toxin, Enabler of the
Devastating 1970 Southern Corn Leaf Blight Epidemic, Are Present in Ancestral
Species, Suggesting an Ancient Origin.
AB - The Southern corn leaf blight (SCLB) epidemic of 1970 devastated fields of T
cytoplasm corn planted in monoculture throughout the eastern United States. The
epidemic was driven by race T, a previously unseen race of Cochliobolus
heterostrophus. A second fungus, Phyllosticta zeae-maydis, with the same
biological specificity, appeared coincidentally. Race T produces T-toxin, while
Phyllosticta zeae-maydis produces PM-toxin, both host-selective polyketide toxins
necessary for supervirulence. The present abundance of genome sequences offers an
opportunity to tackle the evolutionary origins of T- and PM- toxin biosynthetic
genes, previously thought unique to these species. Using the C. heterostrophus
genes as probes, we identified orthologs in six additional Dothideomycete and
three Eurotiomycete species. In stark contrast to the genetically fragmented race
T Tox1 locus that encodes these genes, all newly found Tox1-like genes in other
species reside at a single collinear locus. This compact arrangement,
phylogenetic analyses, comparisons of Tox1 protein tree topology to a species
tree, and Tox1 gene characteristics suggest that the locus is ancient and that
some species, including C. heterostrophus, gained Tox1 by horizontal gene
transfer. C. heterostrophus and Phyllosticta zeae-maydis did not exchange Tox1
DNA at the time of the SCLB epidemic, but how they acquired Tox1 remains
uncertain. The presence of additional genes in Tox1-like clusters of other
species, although not in C. heterostrophus and Phyllosticta zeae-maydis, suggests
that the metabolites produced differ from T- and PM-toxin.
PMID- 29792567
TI - Effects of age and body mass index on breast characteristics: a cluster analysis.
AB - : Limited research has quantified variation in the characteristics of the breasts
among women and determined how these breast characteristics are influenced by age
and body mass. The aim of this study was to classify the breasts of women in the
community into different categories based on comprehensive and objective
measurements of the characteristics of their breasts and torsos, and to determine
the effect of age and body mass index on the prevalence of these breast
categories. Four breast characteristic clusters were identified (X-Large, Very
ptotic & Splayed; Large, Ptotic & Splayed; Medium & Mildly-ptotic; and Small &
Non-ptotic), with age and BMI shown to significantly affect the breast
characteristic clusters. These results highlight the difference in breast
characteristics exhibited among women and how these clusters are affected by age
and BMI. The breast characteristic clusters identified in this study could be
used as a basis for future bra designs and sizing systems in order to improve bra
fit for women. Practitioner summary: This original research provides evidence for
bra designers and manufacturers on the diverse breast characteristics exhibited
by women within the population and the significant effect that both body mass
index and age have on the breast characteristic clusters. Future bra designs
should consider the variation in breast characteristics among women.
ABBREVIATIONS: BMI: Body Mass Index; UBCC: Under-bust chest circumference.
PMID- 29792568
TI - Physical ergonomics awareness in an offshore processing platform among Malaysian
oil and gas workers.
AB - INTRODUCTION: The reliability of offshore oil and gas (O&G) facilities depends on
the operation and maintenance activities, where human physical intervention is
involved. Workers are often exposed to ergonomics hazards due to inefficient
workplace design. Ergonomics awareness among workers is a crucial factor in
mitigating such hazards. OBJECTIVES: This study intends to evaluate the state of
physical ergonomics awareness among Malaysian O&G workers and to assess their
perception toward the criticality of physical ergonomics issues within an
offshore processing facility. METHODS: Data were collected through online
questionnaire distribution. The respondents were required to evaluate three
sections of the questionnaire to reflect their state of physical ergonomics
awareness: design criteria in an offshore workplace that are related to physical
ergonomics; effects of physical ergonomics implementation in design; criticality
of physical ergonomics issues. RESULTS: The results showed a good level of
physical ergonomics awareness among respondents without them being influenced by
dissimilar experiences (engineering design and operation/maintenance) and the
range of experience in an offshore workplace. CONCLUSION: This study provides an
overview of Malaysian O&G workers' outlook toward the physical ergonomics issues
in an offshore workplace. These data could be further analyzed in future as
relevant aspects of designing an offshore facility.
PMID- 29792569
TI - The Relationship between Suicidal Responses and Traumatic Brain Injury and Severe
Insomnia in Active Duty, Veteran, and Civilian Populations.
AB - This study examined how a positive traumatic brain injury (TBI) screening and
insomnia severity relate to suicidal outcomes across active duty, veteran, and
civilian samples. Data were used from 3,993 participants from 19 studies. We
conducted a series of analyses by group to identify which significantly differed
on the variables of interest. TBI and insomnia each had independent relationships
with outcomes over and above the impact of the other factor. Veterans presented
as clinically worse across the outcomes. However, the relationship between
insomnia and suicidal responses was stronger for active duty military compared to
veterans. Continued research on TBIs and insomnia severity across groups will
improve quality of care for those at risk of suicide.
PMID- 29792564
TI - Cognitive and Behavioral Resilience Among Young Gay and Bisexual Men Living with
HIV.
AB - PURPOSE: HIV/AIDS disproportionately affects young gay, bisexual, and other men
who have sex with men (Y-GBMSM). Resilience remains understudied among Y-GBMSM
living with HIV, but represents a potentially important framework for improving
HIV-related outcomes in this population. We sought to explore cognitive and
behavioral dimensions of resilience and their correlates among Y-GBMSM to gain
insights to inform future interventions. METHODS: Our study sample consisted of
200 Y-GBMSM living with HIV enrolled in a multisite study of the Adolescent
Medicine Trials Network for HIV/AIDS Interventions (ATN). Participants completed
a one-time, self-administered structured questionnaire, including validated
scales capturing a range of cognitive, behavioral, demographic, and psychosocial
data. Utilizing these data, we examined cognitive and behavioral dimensions of
resilience and their potential psychosocial correlates using linear regression
modeling. RESULTS: Multiple regression analyses demonstrated that education,
stigma, social support, ethnic identity, internalized homonegativity, and
behavioral resilience were statistically significant predictors of cognitive
resilience (P < 0.001, R2 = 0.678). Social support satisfaction and cognitive
resilience were significant predictors of behavioral resilience (P < 0.001, R2 =
0.141). CONCLUSIONS: Our findings point to potential strategies for incorporating
resilience-promoting features into future interventions to support Y-GBMSM living
with HIV. Specifically, strengths-based interventions in this population should
seek to enhance social support, promote positive identity development, and
encourage education. Future research can also seek to utilize and refine our
measures of resilience among youth.
PMID- 29792570
TI - A model for predicting the risk of musculoskeletal disorders among computer
professionals.
AB - OBJECTIVE: This study aimed to develop a model for predicting the risk of
musculoskeletal disorders among computer professionals. MATERIALS AND METHODS: A
preliminary study with a modified Nordic musculoskeletal questionnaire was
conducted to identify the risk in different body parts of the professionals
during their work. A discrete postural evaluation of the dynamic postures
involved in the work was assessed using rapid upper limb assessment. Postural,
physiological and work-related factors were considered as attributes of the
model. The model was developed using various machine learning algorithms, and was
then tested and validated. RESULTS: The postural factor of the computer
professionals was found to be significantly (p < 0.01) correlated with the
musculoskeletal disorders. Results of the logistic regression analysis showed
that physiological and work-related factors were also significantly (p < 0.05)
associated with musculoskeletal disorders. The Random Forest algorithm and Naive
Bayes Classifier predicted the risk of musculoskeletal disorders with the highest
accuracy (81.25%). CONCLUSION: Postural, physiological and work-related factors
contribute to the development of musculoskeletal disorders. The Random Forest
algorithm or Naive Bayes Classifier model developed based on these factors could
be used to accurately predict the risk of musculoskeletal disorders among
computer professionals at any instance of time, during their work.
PMID- 29792571
TI - Extracorporeal Membrane Oxygenation and Temperature Management in
Postresuscitation.
PMID- 29792573
TI - Inherent Resistance to 14alpha-Demethylation Inhibitor Fungicides in
Colletotrichum truncatum Is Likely Linked to CYP51A and/or CYP51B Gene Variants.
AB - Anthracnose disease, caused by Colletotrichum truncatum, affects marketable yield
during preharvest production and postharvest storage of fruits and vegetables
worldwide. Demethylation inhibitor (DMI) fungicides are among the very few
chemical classes of single-site mode of action fungicides that are effective in
controlling anthracnose disease. However, some species are inherently resistant
to DMIs and more information is needed to understand this phenomenon. Isolates of
C. truncatum were collected from the United States and China from peach, soybean,
citrus, and begonia and sensitivity to six DMIs (difenoconazole, propiconazole,
metconazole, tebuconazole, flutriafol, and fenbuconazole) was determined.
Compared with DMI sensitive isolates of C. fructicola, C. siamense, and C.
fioriniae (EC50 value ranging from 0.03 to 16.2 ug/ml to six DMIs), C. truncatum
and C. nymphaeae were resistant to flutriafol and fenbuconazole (with EC50 values
of more 50 ug/ml). Moreover, C. truncatum was resistant to tebuconazole and
metconazole (with resistance factors of 27.4 and 96.0) and displayed reduced
sensitivity to difenoconazole and propiconazole (with resistance factors of 5.1
and 5.2). Analysis of the Colletotrichum spp. genome revealed two potential DMI
targets, CYP51A and CYP51B, that putatively encode P450 sterol 14alpha
demethylases. Both genes were identified and sequenced from C. truncatum and
other species and no correlation between CYP51 gene expression levels and
fungicide sensitivity was found. Four amino acid variations L208Y, H238R, S302A,
and I366L in CYP51A, and three variations H373 N, M376L, and S511T in CYP51B
correlated with the DMI resistance phenotype. CYP51A structure model analysis
suggested the four alterations may reduce azole affinity. Likewise, CYP51B
structure analysis suggested the H373 N and M376L variants may change the
conformation of the DMI binding pocket, thereby causing differential sensitivity
to DMI fungicides in C. truncatum.
PMID- 29792574
TI - Evaluation of a Mobile Application for Pelvic Floor Exercises.
AB - BACKGROUND: Pelvic floor exercises are effective in improving muscle strength and
urinary incontinence symptoms. Increasingly popular mobile applications can be
effective in teaching patients these exercises. INTRODUCTION: A mobile
application, Bwom(c), aims to educate women about pelvic floor exercises with
individually tailored plans relevant to the user's risk factors. The objective of
this study is to assess the understandability and actionability of Bwom.
MATERIALS AND METHODS: This is a cross-sectional survey of patients and providers
at an academic medical center. The survey utilized the Patient Education Material
Assessment Tool (PEMAT) validated by the U.S. Department of Health Services,
which provides scores on understandability and actionability. Participants
completed an initial demographic survey, used Bwom for 2 weeks, and then
completed the PEMAT tool. RESULTS: Twenty five patients and 22 providers
participated in the study, for a total of 47 participants. Providers included
gynecology residents and faculty (91%), pelvic floor physical therapists (5%),
and other healthcare professionals (5%). The majority of patients were age 31-40
(70%) and Caucasian (55%). Thirty nine percent had not yet tried pelvic floor
exercises on their own before the study. The mean understandability score was
93.8% (+/-11.7) and the mean actionability score was 91.7% (+/-16.3). DISCUSSION:
This study paves the way for future investigations into the effectiveness of this
app in decreasing symptoms of pelvic floor weakness and incontinence.
CONCLUSIONS: This study demonstrates that Bwom shows promise as a mobile
application to educate women about pelvic floor exercises by providing user
friendly actions in an understandable way.
PMID- 29792576
TI - Using a deep learning network to recognise low back pain in static standing.
AB - Low back pain (LBP) remains one of the most prevalent musculoskeletal disorders,
while algorithms that able to recognise LBP patients from healthy population
using balance performance data are rarely seen. In this study, human balance and
body sway performance during standing trials were utilised to recognise chronic
LBP populations using deep neural networks. To be specific, 44 chronic LBP and
healthy individuals performed static standing tasks, while their spine kinematics
and centre of pressure were recorded. A deep learning network with long short
term memory units was used for training, prediction and implementation. The
performance of the model was evaluated by: (a) overall accuracy, (b) precision,
(c) recall, (d) F1 measure, (e) receiver-operating characteristic and (f) area
under the curve. Results indicated that deep neural networks could recognise LBP
populations with precision up to 97.2% and recall up to 97.2%. Meanwhile, the
results showed that the model with the C7 sensor output performed the best.
Practitioner summary: Low back pain (LBP) remains the most common musculoskeletal
disorder. In this study, we investigated the feasibility of applying artificial
intelligent deep neural network in detecting LBP population from healthy controls
with their kinematics data. Results showed a deep learning network can solve the
above classification problem with both promising precision and recall
performance.
PMID- 29792572
TI - GalNAc-siRNA Conjugates: Leading the Way for Delivery of RNAi Therapeutics.
AB - Short-interfering RNA (siRNA)-induced RNAi responses have great potential to
treat a wide variety of human diseases from cancer to pandemic viral outbreaks to
Parkinson's Disease. However, before siRNAs can become drugs, they must overcome
a billion years of evolutionary defenses designed to keep invading RNAs on the
outside cells from getting to the inside of cells. Not surprisingly, significant
effort has been placed in developing a wide array of delivery technologies.
Foremost of these has been the development of N-acetylgalactosamine (GalNAc)
siRNA conjugates for delivery to liver. Tris-GalNAc binds to the
Asialoglycoprotein receptor that is highly expressed on hepatocytes resulting in
rapid endocytosis. While the exact mechanism of escape across the endosomal lipid
bilayer membrane remains unknown, sufficient amounts of siRNAs enter the
cytoplasm to induce robust, target selective RNAi responses in vivo. Multiple
GalNAc-siRNA conjugate clinical trials, including two phase III trials, are
currently underway by three biotech companies to treat a wide variety of
diseases. GalNAc-siRNA conjugates are a simple solution to the siRNA delivery
problem for liver hepatocytes and have shown the RNAi (and antisense
oligonucleotide) field the path forward for targeting other tissue types.
PMID- 29792577
TI - Serious Consequences of Malnutrition and Delirium in Frail Older Patients.
AB - Malnutrition is highly prevalent in geriatric patients and is associated with an
increased risk of death. In addition, delirium is a common condition of the older
hospitalized patients. As brain has a high nutritional requirement, malnutrition
may play an important role in cognitive dysfunction including the development of
delirium. This study investigated the association between delirium and
malnutrition in frail older patients and the effects on adverse outcomes. It was
found that 75% of the patients with delirium suffered from malnutrition
indicating that malnutrition contributes to the development of delirium in older
hospitalized patients. Patients suffering from both malnutrition and delirium had
a four times higher mortality in one month follow-up, a seven times increased
rate of discharge to nursing homes and three days more in hospital. All older
patients should routinely be assessed for malnutrition and delirium at admission
to hospital and to prevent the development of delirium a close follow-up must
take place to ensure adequate nutrition.
PMID- 29792578
TI - It Is Official: Vector-Borne and Zoonotic Diseases in the United States and
Territories on the Rise-Now What?
PMID- 29792579
TI - Effects of Essential Oil from Thymus vulgaris on Viability and Inflammation in
Zebrafish Embryos.
AB - Innate immunity provides the initial response against pathogens and includes the
inflammatory response. Regulation of the initiation and duration of neutrophil
and mononuclear cell influx during inflammation determines both the
successfulness of pathogen elimination and the level of resulting tissue damage.
Zebrafish embryos provide excellent opportunities to visualize the inflammatory
response. Neutrophil granules may be stained with Sudan black, and variation in
neutrophil counts may be used to monitor the level of the response. Inflammation
may be triggered by injuring the caudal fin, providing an opportunity for testing
possible anti-inflammatory compounds in a whole-animal system. The use of
homeopathic compounds as anti-inflammatory treatments is common in alternative
medicine. Effects of unfractionated essential oil from Thymus vulgaris and its
specific component, carvacrol, have been examined in cells in culture and in
rodents. Our work extends this research to zebrafish, and includes toxicity and
morphological studies as well as examination of anti-inflammatory effects
following tail fin injury. Our results show that zebrafish are more sensitive to
thyme oil compared to cells in culture, that cardiac defects arise due to thyme
oil treatment, and that thyme oil reduces neutrophil infiltration during an
inflammatory response.
PMID- 29792580
TI - The Influence of Attentional Focus on Balance Control over Seven Days of
Training.
AB - This study examined the training effect of attentional focus (external focus,
internal focus, or no focus instructions) on a dynamic balance task. Participants
completed baseline balance testing, seven consecutive days of dynamic balance
board training, and retention testing 24 hours after the last session. The novel
finding of this study was the presence of a training effect on balance control
when adopting an external focus relative to an internal focus or no focus
instructions. Further, we report the unique observation that more patterned
behavior was adopted regardless of the focus instructions. These findings provide
insight into how instructions can be altered to enhance human balance control and
complement the constrained-action hypothesis.
PMID- 29792582
TI - Advancing Child Sexual Abuse Prevention in Schools: An Exploration of the
Effectiveness of the Enough! Online Training Program for K-12 Teachers.
AB - Child sexual abuse (CSA) in schools and educator misconduct represents a threat
to the safety and well-being of our children. The Enough! Preventing Child Sexual
Abuse in My School program is a 1-hour online training course developed to
address the problem of sexual misconduct and CSA in K-12 education via the use of
two avatars/teachers who are navigating CSA and misconduct in their schools. One
hundred and thirty-four teachers from three school districts participated in a
study to examine the effectiveness of the program in terms of knowledge
awareness, including prevalence rates, types of CSA behaviors, impact of CSA on
children, signs and symptoms, reporting responsibilities and responses to
suspected abuse. Participants were randomly assigned to intervention and control
groups: the intervention group (A) received the Enough! training and completed a
pre-/posttest and an evaluation of the training (n = 61), Group B (control)
completed the posttest only (n = 55), and Group C (control) completed both the
pre- and posttests (n = 18). Results indicated that the intervention group's
knowledge was significantly higher than that of the control group's at posttest.
Group A participants reported a high level of satisfaction in the training, as
well as increased knowledge, awareness and willingness to take action in the
future. This study points to the need to further test the effectiveness of the
Enough! program (and programs like it) on a wider-basis in an effort for
educators to be better prepared to protect from the threat of CSA and sexual
misconduct.
PMID- 29792583
TI - Educator Sexual Misconduct and Texas Educator Discipline Database Construction.
AB - The purpose of this research is to describe Texas educator sexual misconduct
(ESM) by examining 8 years of sanctions issued to educators (N = 1415) for either
sexual misconduct or inappropriate relationships with students or minors. We
first examine Texas ESM from the perspective of quality database construction and
then describe the demographic characteristics of educators sanctioned for ESM
between 2008 and 2016. Differences in the demographic characteristics of
educators sanctioned for ESM vary according to the definition of ESM employed by
the state education agency. Younger and early career educators are more likely to
engage in inappropriate relationships with students or minors, whereas older and
later-career teachers are more likely to engage in sexual misconduct as that term
is defined by the state education agency. Over one-third of educators sanctioned
for ESM were either new to the profession or new to their school district when
sanctioned. Recommendations are offered for database construction, policy, and
practice.
PMID- 29792581
TI - Victimization and Violence: An Exploration of the Relationship Between Child
Sexual Abuse, Violence, and Delinquency.
AB - Child sexual abuse (CSA) continues to be a major public health issue with
significant short- and long-term consequences. However, little contemporary
research has examined the relationship between CSA and delinquent and violent
behavior in adolescence. Children who have been sexually abused experience a
unique form of victimization compared to children who have endured other forms of
maltreatment, as CSA can result in feelings of shame, powerlessness and boundary
violations. The purpose of this study was to examine the effect of CSA on
delinquent and violent behavior in adolescence. We examined self-report data at
the age 18 interview from the Longitudinal Studies of Child Abuse and Neglect
(LONGSCAN) on measures of sexual abuse experience, and engagement in delinquent
and violent behavior in the past year. All participants reported either a history
of maltreatment or were identified at-risk based on demographic risk factors.
Participants included 368 males and 445 females who self-reported experiences of
CSA and delinquent and violent behavior (N = 813). Findings indicated that, when
controlling for gender and race, the odds of engagement in delinquent and violent
behavior for those who have experienced CSA are 1.7 times higher than for those
who have not. Additionally, female victims of CSA were .52 times less likely to
engage in violent and delinquent behavior compared to their male counterparts.
Further efforts are needed to examine the effects of CSA on violent and
delinquent behavior to better guide treatment efforts that prevent juvenile
justice involvement.
PMID- 29792584
TI - Neonatal intake of oleanolic acid attenuates the subsequent development of high
fructose diet-induced non-alcoholic fatty liver disease in rats.
AB - Dietary manipulations during the early postnatal period are associated with the
development of metabolic disorders including non-alcoholic fatty liver disease
(NAFLD) or long-term protection against metabolic dysfunction. We investigated
the potential hepatoprotective effects of neonatal administration of oleanolic
acid (OA), a phytochemical, on the subsequent development in adulthood, of
dietary fructose-induced NAFLD. Male and female suckling rats (n=112) were
gavaged with; distilled water (DW), OA (60 mg/kg), high fructose solution (HF;
20% w/v) and OA+HF (OAHF) for 7 days. The rats were weaned onto normal rat chow
on day 21 up to day 55. From day 56, half of the rats in each treatment group
were continued on plain water or HF as drinking fluid for 8 weeks. Hepatic lipid
accumulation and hepatic histomorphometry were then determined. Fructose
consumption in adulthood following neonatal fructose intake (HF+F) caused a 47
49% increase in hepatic lipid content of both male and female rats (P<0.05).
However, fructose administered in adulthood only, caused a significant increase
(P<0.05) in liver lipid content in females only. NAFLD activity scores for
inflammation and steatosis were higher in the fructose-fed rats compared with
other groups (P<0.05). Steatosis, low-grade inflammation and fibrosis were
observed in rats that received HF+F. NAFLD area fraction for fibrosis was three
times higher in rats that received fructose neonatally and in adulthood compared
with the rats in the negative control group (P<0.05). Treatment with OA during a
critical window of developmental plasticity in rats prevented the development of
fructose-induced NAFLD.
PMID- 29792585
TI - Assessment in Work Productivity and the Relationship with Cognitive Symptoms
(AtWoRC): primary analysis from a Canadian open-label study of vortioxetine in
patients with major depressive disorder (MDD).
AB - OBJECTIVE: The Assessment in Work Productivity and the Relationship with
Cognitive Symptoms (AtWoRC) study aimed to assess the association between
cognitive symptoms and work productivity in gainfully employed patients receiving
vortioxetine for a major depressive episode (MDE). METHODS: Patients diagnosed
with major depressive disorder (MDD) and treated with vortioxetine independently
of study enrollment were assessed over 52 weeks at visits that emulated a real
life setting. Patients were classified as those receiving vortioxetine as the
first treatment for their current MDE (first treatment) or having shown
inadequate response to a previous antidepressant (switch). The primary endpoint
was the correlation between changes in patient-reported cognitive symptoms (20
item Perceived Deficits Questionnaire [PDQ-D-20]) and changes in work
productivity loss (Work Limitations Questionnaire [WLQ]) at week 12. Additional
assessments included changes in symptom and disease severity, cognitive
performance, functioning, work loss, and safety. RESULTS: In the week 12 primary
analysis, 196 eligible patients at 26 Canadian sites were enrolled, received at
least one treatment dose, and attended at least one postbaseline study visit.
This analysis demonstrated a significant, strong correlation between PDQ-D-20 and
WLQ productivity loss scores (r=0.634; p<0.001), and this correlation was
significant in both first treatment and switch patients (p<0.001). A weaker
correlation between Digit Symbol Substitution Test and WLQ scores was found (r=
0.244; p=0.003). CONCLUSION: At 12 weeks, improvements in cognitive dysfunction
were significantly associated with improvements in workplace productivity in
patients with MDD, suggesting a role for vortioxetine in functional recovery in
MDD.
PMID- 29792586
TI - The incubation period of hepatitis E genotype 1: insights from pooled analyses of
travellers.
AB - Hepatitis E virus genotype 1 (HEV G1) is an important cause of morbidity and
mortality in Africa and Asia. HEV G1's natural history, including the incubation
period, remains poorly understood, hindering surveillance efforts and effective
control. Using individual-level data from 85 travel-related HEV G1 cases in
England and Wales, we estimate the incubation period distribution using survival
analysis methods, which allow for appropriate inference when only time ranges,
rather than exact times are known for the exposure to HEV and symptom onset. We
estimated a 29.8-day (95% confidence interval (CI) 24.1-36.0) median incubation
period with 5% of people expected to develop symptoms within 14.3 days (95% CI
10.1-21.7) and 95% within 61.9 days (95% CI 47.4-74.4) of exposure. These
estimates can help refine clinical case definitions and inform the design of
disease burden and intervention studies.
PMID- 29792587
TI - Child abuse potential in mothers with early life maltreatment, borderline
personality disorder and depression.
AB - BACKGROUND: Early life maltreatment (ELM), borderline personality disorder (BPD)
and major depressive disorder (MDD) have been shown to increase the potential of
abuse. Emotion regulation is an identified mediator for the association of ELM
and BPD with abuse potential. Until now, there has been no study to account for
the co-occurrence of these risk factors in one analysis, although BPD and MDD are
known as common sequelae of ELM. This is paired with a lack of studies
investigating the effects of abuse potential on child well-being.AimsOur study
aims at (a) disentangling the effects of maternal ELM, MDD and BPD on abuse
potential; (b) exploring the role of emotion regulation as a mediator; and (c)
testing for intergenerational effects of abuse potential on child
psychopathology. METHOD: The research design included 114 mothers with/without
ELM, BPD and MDD in remission and their children, all of which were between 5 and
12 years of age. A path analysis was conducted to investigate the multiple
associations between our variables. RESULTS: ELM, MDD and BPD were all associated
with abuse potential, with emotion regulation acting as a mediator for BPD and
MDD. Furthermore, an elevated abuse potential was related to higher
psychopathology in the child. CONCLUSIONS: History of ELM as well as the common
sequelae, BPD and MDD, pose risks for child abuse. Our findings suggest
improvement of emotion regulation as a potential target for intervention
programs. These programs should also aim at non-substantiated cases because even
an elevated abuse potential affected child mental health.Declaration of
interestNone.
PMID- 29792588
TI - Candida kantuleensis sp. nov., a d-xylose-fermenting yeast species isolated from
peat in a tropical peat swamp forest.
AB - Three strains (DMKU-XE11T, DMKU-XE15 and DMKU-XE20) representing a single novel
anamorphic and d-xylose-fermenting yeast species were obtained from three peat
samples collected from Khan Thulee peat swamp forest in Surat Thani province,
Thailand. The strains differed from each other by one to two nucleotide
substitutions in the sequences of the D1/D2 region of the large subunit (LSU)
rRNA gene and zero to one nucleotide substitution in the internal transcribed
spacer (ITS) region. Phylogenetic analysis based on the combined sequences of the
ITS and the D1/D2 regions showed that the three strains represented a single
Candida species that was distinct from the other related species in the
Lodderomyces/Candida albicans clade. The three strains form a subclade with the
other Candida species including Candida sanyaensis, Candida tropicalis and
Candida sojae. C. sanyaensis was the most closely related species, with 2.1-2.4 %
nucleotide substitutions in the D1/D2 region of the LSU rRNA gene, and 3.8-4.0 %
nucleotide substitutions in the ITS region. The three strains (DMKU-XE11T, DMKU
XE15 and DMKU-XE20) were assigned as a single novel species, which was named
Candida kantuleensis sp. nov. The type strain is DMKU-XE11T (=CBS 15219T=TBRC
7764T). The MycoBank number for C. kantuleensis sp. nov. is MB 824179.
PMID- 29792589
TI - Using average nucleotide identity to improve taxonomic assignments in prokaryotic
genomes at the NCBI.
AB - Average nucleotide identity analysis is a useful tool to verify taxonomic
identities in prokaryotic genomes, for both complete and draft assemblies. Using
optimum threshold ranges appropriate for different prokaryotic taxa, we have
reviewed all prokaryotic genome assemblies in GenBank with regard to their
taxonomic identity. We present the methods used to make such comparisons, the
current status of GenBank verifications, and recent developments in confirming
species assignments in new genome submissions.
PMID- 29792590
TI - Altererythrobacter flavus sp. nov., isolated from mangrove sediment.
AB - A Gram-negative, aerobic, non-motile, rod-shaped bacterium, designated MS1-4T,
was isolated from mangrove sediment of the Jiulong River Estuary, Fujian
Province, China. The isolate formed yellow colonies on ZB 2216E agar. Optimal
growth was observed at pH 6.0, at 34 degrees C and in the presence of 4 % (w/v)
NaCl. Strain MS1-4T shared highest 16S rRNA gene sequence similarity of 97.7 %
with Altererythrobacter mangrovi C9-11T, followed by Altererythrobacter
ishigakiensis JPCCMB0017T (97.2 %). Phylogenetic analysis indicated that strain
MS1-4T formed a clade with A. mangrovi C9-11T within the genus
Altererythrobacter. The main cellular fatty acid was summed feature 8 (C18 :
1omega7c and/or C18 : 1omega6c) and the sole respiratory quinone was ubiquinone Q
10. The main polar lipids consisted of diphosphatidylglycerol,
phosphatidylethanolamine, phosphatidylglycerol and glycolipids. The G+C content
of the DNA was 60.4 mol%. Based on data from this polyphasic characterization,
strain MS1-4T should be classified as representing a novel species in the genus
Altererythrobacter, for which the name Altererythrobacter flavus sp. nov. is
proposed. The type strain is MS1-4T (=MCCC 1K02683T=NBRC 112977T).
PMID- 29792591
TI - Comprehensive evolutionary and phylogenetic analysis of Hepacivirus N (HNV).
AB - Hepaciviruses (HVs) have been detected in several domestic and wild animals and
present high genetic diversity. The actual classification divides the genus
Hepacivirus into 14 species (A-N), according to their phylogenetic relationships,
including the bovine hepacivirus [Hepacivirus N (HNV)]. In this study, we
confirmed HNV circulation in Brazil and sequenced the whole genome of two
strains. Based on the current classification of HCV, which is divided into
genotypes and subtypes, we analysed all available bovine hepacivirus sequences in
the GenBank database and proposed an HNV classification. All of the sequences
were grouped into a single genotype, putatively named 'genotype 1'. This genotype
can be clearly divided into four subtypes: A and D containing sequences from
Germany and Brazil, respectively, and B and C containing Ghanaian sequences. In
addition, the NS3-coding region was used to estimate the time to the most recent
common ancestor (TMRCA) of each subtype, using a Bayesian approach and a relaxed
molecular clock model. The analyses indicated a common origin of the virus
circulating in Germany and Brazil. Ghanaian sequences seemed to have an older
TMRCA, indicating a long time of circulation of these viruses in the African
continent.
PMID- 29792592
TI - 43 degrees Convegno Nazionale di Studi di Medicina Trasfusionale, Genova, 23-25
maggio 2018.
PMID- 29792593
TI - 2018 Canadian Urological Association guideline for Peyronie's disease and
congenital penile curvature.
PMID- 29792596
TI - A Swiss army knife for targeting receptors.
AB - A compound can change the activity of NMDA receptors in some regions of a synapse
without affecting those in other regions.
PMID- 29792595
TI - BRG1 governs glucocorticoid receptor interactions with chromatin and pioneer
factors across the genome.
AB - The Glucocorticoid Receptor (GR) alters transcriptional activity in response to
hormones by interacting with chromatin at GR binding sites (GBSs) throughout the
genome. Our work in human breast cancer cells identifies three classes of GBSs
with distinct epigenetic characteristics and reveals that BRG1 interacts with
GBSs prior to hormone exposure. The GBSs pre-occupied by BRG1 are more accessible
and transcriptionally active than other GBSs. BRG1 is required for a proper and
robust transcriptional hormone response and knockdown of BRG1 blocks recruitment
of the pioneer factors FOXA1 and GATA3 to GBSs. Finally, GR interaction with
FOXA1 and GATA3 binding sites was restricted to sites pre-bound by BRG1. These
findings demonstrate that BRG1 establishes specialized chromatin environments
that define multiple classes of GBS. This in turn predicts that GR and other
transcriptional activators function via multiple distinct chromatin-based
mechanisms to modulate the transcriptional response.
PMID- 29792594
TI - An NMDAR positive and negative allosteric modulator series share a binding site
and are interconverted by methyl groups.
AB - N-methyl-d-aspartate receptors (NMDARs) are an important receptor in the brain
and have been implicated in multiple neurological disorders. Many non-selective
NMDAR-targeting drugs are poorly tolerated, leading to efforts to target NMDAR
subtypes to improve the therapeutic index. We describe here a series of negative
allosteric NMDAR modulators with submaximal inhibition at saturating
concentrations. Modest changes to the chemical structure interconvert negative
and positive modulation. All modulators share the ability to enhance agonist
potency and are use-dependent, requiring the binding of both agonists before
modulators act with high potency. Data suggest that these modulators, including
both enantiomers, bind to the same site on the receptor and share structural
determinants of action. Due to the modulator properties, submaximal negative
modulators in this series may spare NMDAR at the synapse, while augmenting the
response of NMDAR in extrasynaptic spaces. These modulators could serve as useful
tools to probe the role of extrasynaptic NMDARs.
PMID- 29792598
TI - [Poisson Noise Removal Using Patch-order Resampling PCA Algorithm].
AB - The problem of Poisson denoising is common in various photon-limited imaging
applications, especialy in low-light imaging, astronomy and nuclear medical
applications. Due to the smal sample problem and the related insufficient self
similarity between patches of whole image, many denoising algorithms cannot
obtain the favorable denoising performance. We propose patch-order resampling PCA
algorithm for Poisson noise reduction. Firstly, we use the patch-ordered
operations to sort the extracted image patches and exploit the bootstrap
resampling method to resample the different blocks of spectral images to obtain
more data matrix of image samples. Then, we select the patches with largest
weights corresponding to the vectors of image samples data matrix as the most
similar patches. Finaly, we use principal component analysis algorithm for
processing the image to obtain the final denoised image. Experiments results show
that the proposed method achieves excelent Poisson noise removal performance in
the photon-limited images with smal sample problems.
PMID- 29792597
TI - Increasing plant diversity with border crops reduces insecticide use and
increases crop yield in urban agriculture.
AB - Urban agriculture is making an increasing contribution to food security in large
cities around the world. The potential contribution of biodiversity to ecological
intensification in urban agricultural systems has not been investigated. We
present monitoring data collected from rice fields in 34 community farms in mega
urban Shanghai, China, from 2001 to 2015, and show that the presence of a border
crop of soybeans and neighboring crops (maize, eggplant and Chinese cabbage),
both without weed control, increased invertebrate predator abundance, decreased
the abundance of pests and dependence on insecticides, and increased grain yield
and economic profits. Two 2 year randomized experiments with the low and high
diversity practices in the same locations confirmed these results. Our study
shows that diversifying farming practices can make an important contribution to
ecological intensification and the sustainable use of associated ecosystem
services in an urban ecosystem.
PMID- 29792599
TI - [Research of Wireless Ultrasonic Doppler Fetal Heart Detection System].
AB - Fetal heart rate (FHR) is an important index to the fetal health evaluation.
Therefore, the study of the system is of great significance to the monitoring of
FHR. In this paper, the detection principle, the overal framework of the system,
hardware composition, PC software interface and so on have been made a detailed
implements. The display of instant heart rate, heart rate trendline, and fetal
heartbeat have been achieved. A large amount of test data of this system has been
got by fetal feart simulator testing in the laboratory and preliminary clinical
tests in the hospital. The testing result showed that using the system can
achieve good accuracy and repeatability.
PMID- 29792600
TI - [Application of RFID and Big data in Surgical Instruments Usage Analysis and
Performance Tracking].
AB - Through the use of RFID technology, the project carries out life-cycle tracking
on the surgical instruments, and analyzes the quality control, performance,
supply, maintenance, replacement and new disposition of the surgical instruments.
Through the large data accumulated by the system, the medical devices are
purchased, used and guaranteed. The establishment of quality control and
operation of the important management assessment system, can effectively improve
the management of surgical instruments use efficiency.
PMID- 29792601
TI - [Development of System of Measuring Multiple Physiological Parameters by Special
Cushion].
AB - In order to monitor the physiological condition of the human body in daily life,
this study has designed a system of measuring physiological parameters based on
cushion, which could detect heart rate and respiration rate when people are
sitting. The system can continuously colect signal from cushion with a state of
low pressure and without sticking any electrode on people's bodies. The hardware
part mainly includes the signal acquisition circuit of the sensor, microcomputer
control module and Bluetooth wireless transmission module. For the two
physiological signals have the characteristic of the large noise and low
frequency, we use the Butterworth low-pass filter to process the signal according
to an optimized Matlab algorithm. The results show that this system can measure
heart rate and respiration rate accurately. Thus, the real-time monitoring on
body's information can be implemented.
PMID- 29792602
TI - [Collaborative Work Based on Heterogeneous Systems:a New Mode of Hospital
Information System].
AB - Hospital information technology has introduced a new mode of colaborative work
based on heterogeneous systems and it wil become the new norm. The article
ilustrates some successful typical cases of information exchange in the
heterogeneous systems for colaborative work in Chinese PLA general hospital and
puts forward some principles in the hospital's design of heterogeneous
information systems.
PMID- 29792603
TI - [A Safe and General Type of Convenient Installation and Instantly Removable A
shaped Scalpel Handle].
AB - Objective: In order to overcome the disadvantages of the common single handle
cold scalpel such as cicatrix cutting inefficient, large amount of skin losses,
margin concave and convex, cutting marks poor healing, a safe and general type of
convenient instalation and instantly removable"A"shaped scalpel handle was
developed. Methods: For the convenience of the single hand independent, cicatrix
cutting smoothly and conveniently, man-machine design"A"shaped scalpel handle and
application. Results: The"A"shaped scalpel handle has good precision and
controllability. When loading or unloading the blades, it's convenient, efficient
and safe, free choice of direction. Conclusion: The"A"shaped scalpel handle with
cicatrix cutting single hand is independent, universal for al blades, can
conveniently instal and instantly remove, is portable and easy to storage, has
wide range of applications. The prevention of sharp instrument injuries reduces
the occupation injury risks and improves operation efficiency
PMID- 29792604
TI - [Research Progress of Articular Cartilage Scaffold Materials for Tissue
Engineering].
AB - Articular cartilage injury is common in clinical in recent years, due to the
trauma or bone disease. There are many methods for the repair of articular
cartilage injury currently, but each has its limitations. With the development of
nanotechnology and bionic-technology, the scaffold plays an important role with
tissue engineering technique in the repair of articular cartilage injury, in
which the composite materials are the hot direction of the research and
development, the ful application of nanotechnology and bionic-technology prospect
in the future.
PMID- 29792605
TI - [High-risk Active Implantable Medical Devices Standards].
AB - Objective: Comprehensive standards of active implantable medical devices with
high risks are required by China's current industrial development, and standard
problems and ideas are discussed in this paper. Methods: Analysis of the status
of China's active implantable medical device standards and international
standards. For the booming field for active implantable medical devices with high
risks, international standard's problems, ideas and trends are analyzed. Results:
Bottleneck of the development of China's active implantable medical device
standards is the lack of Chinese medical devices industry actual independent
research and development capability. China's independent standard research on the
basis of independent core technology of active implantable medical devices is the
opportunity to promote the internationalization of China standard. Conclusion:
Improving the standards of active implantable medical devices based on
independent core technology, combined with problems of existing international
standards, to further improve and develop international standards and lead high
level international technical standards.
PMID- 29792606
TI - [Safety Evaluation of the Leachable Substances of High Polymer Material
Products].
AB - Combined with the characteristics of polymer material properties and contact with
the human body, this paper investigates safety evaluation necessary, general
evaluation strategies, specific research ideas and methods of leachable
substances in polymer material products in order to provide reference for the
researcher and reviewer of this kind of products from the point of risk control.
PMID- 29792607
TI - [Application and Safety Evaluation of Bisphenol A in Medical Instruments].
AB - Bisphenol A (BPA) is widely used in the production of medical instruments, and
has direct or indirect contacts with the human body through the digestive tract,
respiratory tract, blood and other ways to enter the body. At present, the main
regulatory for bisphenol A is focus on the food packaging and products for
babies. There is no clear limits made for the use of bisphenol A in medical
instruments. Government lacks the safety evaluation for the application of
bisphenol A in the medical instruments. There are imperfections in the regulation
and supervision of the potential risks in the application of bisphenol A. The
release of bisphenol A when using medical instruments could lead to potential
harmful impact on human's health, it is necessary to evaluate the safety of
bisphenol A in medical instruments.
PMID- 29792608
TI - [The Hemostatic Effect and Safety Evaluation Tests of Soluble Hemostatic Gauze].
AB - Objective: The aim of this paper is to study the hemostasis and security of
soluble hemostatic gauze in the rabbit liver hemorrhage model. Methods: After
making the rabbit liver hemorrhage model, the control group used sterile gauze to
stop bleeding, the positive control group used TISTAT to stop bleeding, the test
group used soluble hemostatic gauze to stop bleeding. Hemostasis, blood loss and
animal clinical symptoms were measured. Liver and kidney parameters, along with
histopathology were recorded and analyzed. Transmission electron microscopy
examination was also done. Results: The blood loss is cut back and hemostasis is
shortened in the test group. Other tests have no difference with the control
group. Conclusion: No toxic effects on rabbit are found in the test group. The
hemostatic effects have no difference with positive control group.
PMID- 29792609
TI - [Discussion on the Monitoring of Medical Device Adverse Event].
AB - Objective: Analyzing the status of medical device adverse events, the function of
the clinical medical engineers in medical device adverse event monitoring was
investigated. Methods: Through introducing the functions of the various
departments in the medical device adverse event monitoring, the technical
requirements and management responsibilities of clinical medical engineer and
medical staff were defined. Results: Enhancing the function of clinical medical
engineers in medical device adverse event monitoring,which is an effective
measure to prevent medical device adverse events. Conclusion: Play the functions
of clinical engineers in the medical device adverse event monitoring, which is
significant to improve the using quality of medical devices and to ensure patient
safety.
PMID- 29792611
TI - [Testing Efifciency Stability of Light Energy Transfer in Endoscope Lighting
Optical Cable Using Gray Scale Measurement].
AB - This paper proposes a new testing method used for medical endoscope lighting
optical cable. It compares the experiment result from gray scale measurement and
the one from the traditional luminous flux measurement. It proposes the idea that
we can apply the gray scale measurement to other feature testing of the endoscope
as well.
PMID- 29792610
TI - Relationship of receptors of adipokines with hypertension and obesity. Murine
model
AB - Background: The aim of this paper is to investigated the contribution of adipose
tissue thought the adipokines and kidney failure (KF) Methods: In male rats were
fed with a standard lab diet (C) or a hypercaloric diet including 30% sucrose;
obese group (Ob) and obese with kidney failure group (Ob/KF). We evaluated the
changes of adipokines under conditions of obesity and KF, using 5/6 surgeryto
induce vascular injury. The anterior and media branches of the left kidney artery
were tied together, leaving the posterior branch viable to enable the kidney to
function. The right kidney was removed. Results: A 90% survival rate of the
animals was achieved due to special care taken. Kidney function progressively
decreased after surgery. Compared with the control group, in the other two groups
(Ob and Ob/KF) the level of leptin increased and that of adiponectin decreased (p
< 0.01). Post-surgery increases were observed in blood pressure, lipids,
creatinine and insulin (p < 0.01). Conclusion: This model is proposed for the
study pathophysiological mechanisms that lead to obesity and complications of
kidney or cardiovascular function.
PMID- 29792613
TI - [Research on the Application of Lean Management in Medical Consumables Material
Logistics Management].
AB - Objective: Solve the problems of high cost, low utilization rate of resources,
low medical care quality problem in medical consumables material logistics
management for scientific of medical consumables management. Methods: Analysis of
the problems existing in the domestic medical consumables material logistics
management in hospital, based on lean management method, SPD(Supply, Processing,
Distribution) for specific applications, combined HBOS(Hospital Business
Operation System), HIS (Hospital Information System) system for medical
consumables material management. Results: Achieve the lean management in medical
consumables material purchase, warehouse construction, push, clinical use and
retrospect. Conclusion: Lean management in medical consumables material can
effectively control the cost in logistics management, optimize the alocation of
resources, liberate unnecessary time of medical staff, improve the quality of
medical care. It is a scientific management method.
PMID- 29792612
TI - [CT Quality Control Test from the Second Level 2nd Class Hospitals in Shanghai].
AB - The purpose of this study is to assess the present situation about the overal
performance quality of CT scanners from Second Level 2nd Class hospitals and
private hospitals in Shanghai. The test and evaluation methods refer to
Specification for Quality Assurance Test for Computed Tomography X-ray Scanners
(GB 17589-2011). A total of 62 CT scanners from 59 hospitals were tested. The
mean value of high-contrast resolution was 6.8 lp/cm, low contrast detectability
was 2.4, CT number of water was 3.0 HU, noise was 0.43% and uniformity was 2.3
HU. In quality control tests, 20 of 62 CT scanners were qualified, and the
percent of pass was only 32%. The equipment aging and lack of preventive
maintenance were main reasons for performance degradation of CT scanners.
PMID- 29792615
TI - [Application of Image Plate in Quality Assurance of Medical Accelerator].
AB - Objective: To explore the value of Computed Radiography(CR) in quality control
for the medical linear accelerator. Methods: By using both CR and autoradiography
film respectively, we tested the alignment of light fields with radiation fields,
colimator rotation with center, couch rotation with center and multi-leave
colimators(MLC) position accuracy of medical linear accelerator. Each tests were
carried out ten times repetitive colection. Then compared the differences between
this two methods. Results: There were no significant difference between CR and
autoradiography film in the same grup(P>0.05). Conclusion: CR can be used in
quality control for the medical linear accelerator since it can meet quality
control requirements of radiotherapy.
PMID- 29792614
TI - Ocular evisceration etiology
AB - Background: The aim of this paper was to determine the most common etiology of
ocular evisceration in a tertiary-level hospital. Methods: Observational and
retrospective study in the Ophthalmology Department from January 2013 to December
2016 at the Centro Medico Nacional Siglo XXI. Results: Of the 54 eviscerations,
exogenous endophthalmitis was found 25.90%, ocular trauma 22.2%, painful blind
eye 14.8%, perforated corneal ulcer and endogenous endophthalmitis both in 13%
and perforated infectious corneal ulcers 11.1%; 70.4% were associated with
systemic comorbidities and 79.6% were associated with ophthalmologic
comorbidities. Conclusions: Exogenous endophthalmitis is the most frequent
evisceration; the loss of an eyeball, has important social and labor impact, and
economic for the Instituto Mexicano del Seguro Social.
PMID- 29792616
TI - [The Solution of Improving Radiotherapy Quality].
AB - Improving radiotherapy quality is the core connotation of radiotherapy technician
work. This paper summarizes the status of technician work in the department,
finds the existing problems and proposes solution. Through the implementation of
solution, radiotherapy quality is improved. It has reference value for safe use
of radiotherapy equipment and promoting position accuracy.
PMID- 29792617
TI - [The Resolution of Wet Wrap Bag after Disinfected in the Pulsation Sterilizer].
AB - Our hospital has instaled a couple of high temperature and high pressure
pulsation sterilizers. However, after the process of disinfection and
sterilization, we found that many non-woven bags in which wrapped the
contaminated medical devices were wet. This abnormal phenomenon continuously has
happened a couple of times. Having excessive condensed water inside the wrap bag
wil severely affect the sterilization effect, so we did a scrupulous survey of
the phenomenon and the structure of the machine and the steam boiler. At last, we
came up with a perfect solution, that is, to make a redesign of the steam boiler
and the steam conveying pipeline network.
PMID- 29792618
TI - [Study on the Characteristics of EMG Signal of the Masticatory Muscles in
Different Materials].
AB - Objective: To investigate the activities of different soft and hard materials
during right chewing masticatory muscles, describing the masticatory muscles of
time domain and frequency domain features. Methods: 11 experimental subjects who
conform to the standards of measurement chew two materials of different soft and
hard texture. Then record surface EMG of each bilateral temporalis anterior
bundle, masseter, two bilateral anterior abdominal muscles, analysis to 5 kinds
of characteristics of the study of EMG. Results: When subjects chewing different
soft and hard materials, al the EMG features in the bilateral anterior temporalis
and masseter values had significant difference (P < 0.05). The results in
bilateral digastric anterior abdominal except zero crossing rate have significant
difference; median frequency on the right side of the masticatory muscle has
decreased trend. Conclusion: The anterior temporalis and masseter EMG active more
intense when chewing hard objects; the right side of the masticatory muscles have
obvious fatigue trend after chewing hard masticatory 30 cycles.
PMID- 29792623
TI - [The Automatic X-ray Radiological Positions Detection Based on Contour and Gary
Feature Matching].
AB - Objective: Designed a method that can automatically distinguish the X-ray
radiological positions based on image information. : For any selected input
clinical X-ray digital images, we extract the image outline in the edge detection
method using optimal threshold selection, then process binarization. After
compared with the whole body phantom X-ray images, then process gray level
similarity traverse detection. : By optimizing the decision, the best matching
region of the same input film was obtained, and the imaging part of the patient
is determined based on prior knowledge. : The algorithm is fast and has a high
degree of robust.
PMID- 29792624
TI - [A Drug Delivery Device for Drug-eluting Stents Simulating the Cardiovascular
Blood Flow Pattern].
AB - A novel drug delivery device simulating the cardiovascular bloodfl ow pattern was
introduced. The device was used to simulate the in vivo drug release in the drug
eluting stents. The results regarding the drug release rate were subject to the
pattern from in vivo measurement. Therefore, the device was validated as a
measurement method for in vivo drug release rate.
PMID- 29792625
TI - [Electrical Stimulation System with Surface Electromyography Feedback].
AB - Currently, various kinds of electrical stimulation equipment are used in the
rehabilitation of muscle function for patients with hemiplegia, but many defects
can be found in those designs, for example, insufficient feedback parameter,
unsynchronized information, unintuitive display and so on. Therefore, this study
introduces an electrical stimulation system with surface electromyography (sEMG)
feedback based on LabVIEW, which combines with multi-channel sEMG acquisition,
electrical stimulator and other hardware system. This system can not only provide
a wide electrical stimulation parameters range for frequency, pulse width and
intensity, but also acquire sEMG during the treatment. Meanwhile, this system can
compute iEMG, CCR, RMS and MPF in real-time. The verification results shows that
the whole system is effective and stable. This system can help physicians observe
the muscle condition of different patients, who can explore suitable electrical
stimulation parameters to design individualized treatment projects.
PMID- 29792626
TI - [Eyes-Brain-Hands Coordination Training System for Mental Retarded Children].
AB - In order to help improving mental attention and sensory integration ability of
mental retarded children, this paper proposes an interactive eyes-brain-hands
coordination training system. This system realizes the principle of seeing,
thinking and moving of hands by an interactive operation between the computer
software custom icons and a touch control panel, so it can improve cognitive
function and activity of daily living. The results show this training platform
has a high degree of application and acceptance, and provides a portable training
method for mental retarded children.
PMID- 29792627
TI - [Discussion on the Hospital Mobile Workstation Architecture].
AB - With the development of internet technology, the wireless network now has become
an indispensable part of people's life. Wireless networks and the mobile terminal
began to spread inthe medical areas. Many foreign hospitals have mobile internet
technology for hospital medical work, some large domestic hospitals also try to
work in this area, and have got some clinicaly practical. In our institute, there
has launched a mobile medical construction work, this paper is about our hospital
construction of mobile medical platform work.
PMID- 29792628
TI - [The Key Technology Study on Cloud Computing Platform for ECG Monitoring Based on
Regional Internet of Things].
AB - This paper explores the methods of building the internet of things of a regional
ECG monitoring, focused on the implementation of ECG monitoring center based on
cloud computing platform. It analyzes implementation principles of automatic
identifi cation in the types of arrhythmia. It also studies the system
architecture and key techniques of cloud computing platform, including server
load balancing technology, reliable storage of massive smalfi les and the
implications of quick search function.
PMID- 29792629
TI - [A Linear Transmit Design of Ultrasound System Based on AD9106].
AB - The linear transmit solution is considered as one of the technologies which can
improve the signal to noise ratio (SNR) of the transmit channel of the medical
ultrasound system effectively. A linear transmit design based on AD9106 is
introduced. The design can reduce the system design complexity and achieve the
desired functionality of the different imaging modes and techniques.
PMID- 29792630
TI - [Study of the Anti-corrosion and Anti-fretting Properties of Surfi cial Treated
magnesium Alloy Bone Plate].
AB - The weakening of mechanical properties caused by rapid degradation has been an
impediment to the clinical application of magnesium aloy for a long time. In this
paper, the effect of surface treatment on the anti-corrosion and anti-fretting
properties of magnesium aloy ZK60 was studied. Firstly, an oxidizing layer whose
outer layer was porous was first made on the surface of magnesium aloy through
micro-arc oxidation treatment (MAO). Then ahydroxyapatitecoating was fabricated
by electrodeposition on the oxidizing layer to seal the porous layer. The
corrosion resistance and fretting performance of them were investigated in vitro
under a simulated bone-plate service condition. Polarization testing results
showed that both of them can signifi cantly enhanced the corrosion resistance of
magnesium aloy and the corrosion resistance of the latter was better. The
fretting testing results showed that obvious coatingfl aking occurred on the worn
surface of the latter, and its anti-fretting properties are inferior to that of
the former.
PMID- 29792631
TI - [ECG Signal Processing Methods and Application].
AB - Hospital ECG detection is divided into detection EEG and Holter ECG
detection.This paper mainly summarizes ECG signal denoising, detection methods of
each band, compression and transmission of ECG and ECG classification algorithms.
PMID- 29792632
TI - [Present Situation and Thinking on the Reform of the Classifi cation and
Regulation of Medical Devices in China].
AB - This paper introduces the domestic device regulatory classifi cation. Risk
factors of medical equipment are analyzed. Combined with the analysis of the
present situation of medical device regulatory classifi cation in china, this
paper puts forward advice to reform and improve China's medical device regulatory
classifi cation system.
PMID- 29792633
TI - [Development of Implantable Medical Device Traceability Management Information
System].
AB - Objective: Using modern information technology means to manage implant medical
traceability. Methods: Collect and analyze the implantable medical device
traceability management problems inside, combine with their own work practice,
learn from the advanced methods of other industry and foreign key links for
traceability management and process of study and analysis, find out the model,
scheme and basic requirements for the traceability management by network.
Results: Design and develop an information system for traceability management of
implant medical instruments, including the flow of goods, supervision, traceback
and employing the traceability. Conclusion: The system well regulates the
traceability management system, unifies the traceability management processes,
improves the efficiency of traceability management. The design of this system
also conforms to the future development of traceability management direction,
many aspects also meet needs of administrations, the medical departments and the
users.
PMID- 29792634
TI - [Analysis on the Common Problems of Second Type of Passive Medical Device
Registration in Jiangxi Province under the New Laws and Regulations].
AB - In this paper, the common problems of the registration of second type of passive
medical devices in the implementation process of the new regulations in Jiangxi
province were analyzed, and some suggestions for improvement were put forward.
PMID- 29792635
TI - [The Reflection on Common Problems of Resorbable Adhesion Barrier Devices for Use
in Abdominal and/or Pelvic Surgery Clinical Studies].
AB - Resorbable adhesion barrier devices for use in abdominal and/or pelvic surgery
are high-risk implantable medical devices. There are some difficulties in their
clinical research. This article summarizes and analyzes the common problems of
resorbable adhesion barrier devices for use in abdominal and/or pelvic surgery
clinical studies from the perspective of technical review, in order to provide
reference for Chinese manufacturers and investigators in the design of clinical
studies and clinical research.
PMID- 29792636
TI - [Analysis of Adverse Events in Clinical Use of Catheter].
AB - In recent years, with the extensive use of various clinical catheter, Adverse
events in the clinical catheter products also showed an upward trend. Here we wil
review the common adverse event performance, risk point and reasons of AEs
adverse events about the catheter during the clinical use, through a large number
of clinical research, visit and literature review, in order to provide evidence
to support in reducing the incidence of catheter clinical adverse events, and
prevent recurrence and spread of the serious adverse events during the using of
process.
PMID- 29792637
TI - [Investigation on the Allocation of Nursing Devices in Liaoning Province].
AB - In this article,the nursing devices alocation information of 294 hospitals,
including the origin, purchase time, funding sources, failure rate and
satisfaction with the performance, efficiency, and after-sales services, is
surveyed by convenient sampling. The confi guration and application of nursing
devices in Liaoning Province is known. It is hoped to be helpful for providing a
reference for the demand of nursing device market.
PMID- 29792638
TI - [Bone Tomography Scan Optimization in SPECT/CT Equipment of Nuclear Medicine].
AB - Objective: To study and search for a balance between the image quality and
acquisition speed in tomography of whole body bone scan. Methods: Adjustments of
acquisition conditions were carried out gradualy every two months since April
2014. The qualities of fused SPECT/CT images were diagnosed by three doctors.
Then the picture would be evaluated comprehensively by analyzing image quality
and image resolution after adjusting image acquisition conditions. Results: Seven
kinds of image acquisition conditions taken were in line with diagnostic
requirements. The third method is extended to clinical work best. Conclusion: To
obtain a high colection effi ciency, parameters of bone tomography acquisition
can be set a frame of 5 seconds, total 64 (5.625o), automatic probe close and
continuous scanning. Also recommends the use of "continuous" instead of "step and
shoot" approach in bone SPECT acquisition. tomography, emission-computed, single
photon, bone tomography, program optimization.
PMID- 29792639
TI - [Results of Five-year Quality Control Program and the Change of QA Mode for
Helical Tomotherapy Machine].
AB - Helical tomotherapy (HT), as a new IMRT technology, utilizes a fan beam of
radiation for treatment. It combines the main characteristics of a modern CT
scanner and a linear accelerator to achieve the function of helical tomotherapy.
Due to the complexity of the system with a highly integrated and automated
features, monitoring its operation, continuing to improve the stability and
reliability, and simplifying its quality control procedures has become an
important part of quality assurance (QA) for HT. Based on the results of afi ve
year quality control (QC) program, and the initial application of new QA
equipment, this study wil summarize the standardization mode of its QA and
explore the changes of QA mode.
PMID- 29792640
TI - [Serial Cases of Troubleshooting of NeuViz CT].
AB - The article describes the specifi c examples of fault maintenance of the Neusoft
NeuViz series CT, so as toprovide some maintenance references for coleagues.
PMID- 29792641
TI - [Seven Cases of Troubleshooting and Analysis on VARIAN IX Medical Linear
Accelerator].
AB - This paper briefly introduced seven cases of malfunctions occurred in the VARIAN
IX linear accelerator during operation, i.e., control board malfunction of
electronic gun (GFIL interlock), modulator malfunction (HVCB interlock), energy
programming board malfunction (EXQ1), energy conversion potentiometer malfunction
(CARR interlock), MLC malfunction, thermostat valve malfunction (PUMP interlock)
and ionization chamber malfunction (ION interlock). Moreover, malfunction cases
analyzed and troubleshooting methods presented in this paper can provide
reference for colleagues.
PMID- 29792642
TI - [Variation of SpO2 during a Step-wise Paced Breathing Procedure].
AB - Rhythmic respiratory movement in a deep and slow pattern can be beneficial to
cardiovascular system, this paper investigates the effect of step-wise paced
breathing procedure on blood oxygen saturation (SpO2). Experiment objects were
divided into two groups, the normobaric hypoxia (simulated altitude of 4 500
meters hypoxia environment, 8 persons), normoxia and normobaric group (laboratory
environment, 49 persons). The respiratory movements were performed by a high-to
low progressive change in two groups respectively. During the experiment, each
object's blood oxygen saturation and heart rate were recorded. Results showed
that progressive guided breathing could significantly increase the subjects'
blood oxygen saturation level from 90% to 95% under the hypoxic condition. Even
under the normobaric and normoxic condition, progressive guided breathing with
stable blood oxygen saturation level can also enhance the blood oxygen saturation
level. In both groups, mean heart rate declined in the progressive guided
breathing. The research showed that the step-wise paced breathing technique could
regulate the blood oxygen saturation and effectively improve the level of blood
oxygen saturation by adjusting the respiratory motion in the low oxygen
environment.
PMID- 29792643
TI - [Influence Factors on Analyzing Transmission Time of Relative Blood Volume Based
on Ultrasonic].
AB - Ultrasound-based measurement of relative blood volume can be used to assess
patient's dry weight during hemodialysis. The results of relative blood volume
were calculated from the ultrasonic transmission time measurement in the arteriol
pot, and the accuracy of transmission time measurement is directly related to the
reliability of the results of relative blood volume. There are various factors
which influence the travel time, this article analyzed patients themselves,
measuring device and the external factors, and advised appropriate counter
measures.
PMID- 29792645
TI - [A Novel and Fast P Wave Detection Algorithm in ECG Signals].
AB - This paper presents a new, simple and fast algorithm of automated P wave
detection in multi-lead ECG Signals. Range of QRS-T complex is detected firstly.
Then QRS-T complex is eliminated. Final y, P wave's onset and offset are detected
by using the average of low-pass difference method and tangent method. Tangent
method is always used to locate the offset of T Wave but it wil firstly be used
to locate the P wave onset and offset in this paper. The proposed algorithm is
tested by the annotated CSE database. Result shows that algorithm test result has
a good consistency with BIS CSE annotation. Compared with the mean and standard
deviation of P wave onset and offset, our algorithm and CSE annotation is-2.01
ms, 3.94 ms and 4.96 ms, 5.86 ms.
PMID- 29792644
TI - [Method of Permissible Source Region Selection Based on FMT Image and CT Data].
AB - In Fluorescence Molecular Tomography (FMT), the reconstruction and fusion display
of inner light source can be realized with the aid of CT data. A method is
proposed in this paper to select permissible source region based on FMT image and
CT data. The position and depth of light source can be estimated in FMT image.
According to the coordinate relation of the two mode image, the light source
position can be marked in CT data and mesh was produced on the marked CT data.
Experimental results show that, the method can reduce the ill-posedness of the
inverse problem and improve the accuracy of reconstruction of inner light source.
PMID- 29792646
TI - [Wireless Passive Body Sensor for Temperature Monitoring Using Near Field
Communication Technology].
AB - In this study, we designed a wireless body temperature sensor (WBTS) based on
near field communication (NFC) technology. Just attaching the WBTS to a mobile
phone with NFC function, the real-time body temperature of human subjects can be
acquired by an application program without seperate power supply. The WBTS is
mainly composed of a digital body temperature probe (d-BTP), a NFC unit and an
antenna. The d-BTP acquires and processes body temperature data through a micro
control er, and the NFC unit and antenna are used for wireless energy
transmission and data communication between the mobile phone and WBTS. UART
communication protocol is used in the communication between the d-BTP and NFC
unit, and data compression technique is adopted for improving transmission
efficiency and decreasing power loss. In tests, the error of WBTS is +/-0.1 oC,
in range of 32 oC to 42 oC. The WBTS has advantages of high accuracy, low power
loss, strong anti-interference ability, dispensation with independent power
supply etc., and it can be integrated into wearable apparatuses for temperature
monitoring and health management.
PMID- 29792647
TI - [Development of Health Intelligent Management Cloud Platform on Maternal and
Infant Monitor].
AB - Objective: Using the wireless mobile communication technology and Internet
technology, cloud platform to build maternal and infant health intelligent
management. Methods: Using the J2EE technology based on B/S three-tier to build
health intelligent management cloud platform. Vital signs parameters (such as
blood pressure, blood oxygen saturation, heart rate, breathing, pulse,
temperature, instantaneous heart rate of pregnant women, etc) achieved the real
time detection and wireless remote transmission. Results: Realized the
digitization management of maternal health information, health records, health
analysis; Through this platform to realize the consulting between the patient and
doctor online. Conclusion: The Pregnant women can upload and download various
vital signs parameters at any time to check the personal health analysis report
through mobile phone client.
PMID- 29792648
TI - Urological myriad.
PMID- 29792649
TI - Network meta-analysis, a new statistical technique at urologists' disposal to
improve decision making.
PMID- 29792650
TI - Prostate Cancer - Local Treatment after Radiorecurrence: HIFU - High-Intensity
Focused Ultrasound.
PMID- 29792651
TI - Prostate Cancer - Local Treatment after Radiorecurrence: Surgery - Back to the
future?
PMID- 29792652
TI - Prostate Cancer - Local Treatment after Radiorecurrence: Salvage Cryoablation.
PMID- 29792654
TI - [Design of ECG Signal Acquisition Terminal Based on ADS1298R].
AB - The home health monitoring of the ECG signal acquisition and display terminal is
designed with MSP430F6659 and ADS1298R chip of TI company. The basic principles
of ECG col ection is introduced in the beginning, and then the overal scheme of
the system is described by MSP430F6659 and ADS1298R chip as the core, and the
modules peripheral interface, real-time display in LCD, data storage and USB are
introduced. The ECG signal acquisition terminal designed in this paper has the
characteristics of smal size, low power consumption and so on.
PMID- 29792653
TI - Editorial Comment: The protective effect of Papaverine and Alprostadil in rat
testes after ischemia and reperfusion injury.
PMID- 29792655
TI - [Development of Magnetic Anastomosis Device for Colostomy].
AB - Introduction of a new magnetic anastomosis device for colostomy including its
design and operaging principal. The anastomosis device is composed of magnetic
base and anastomosis ring. It is convenient for colon and abdominal subcutaneous
tissue going together through the magnetic attraction. The colostomy completes
with magnetic compression anastomosis. The device has the advantage of making
operation easer, reducing the operation steps and can better solve the colostomy
ischemic necrosis, colostomy retraction, colostomy joint complications of skin
mucous membrane and the skin diease around the colostomy. Patients can real y
benefit from this device.
PMID- 29792656
TI - [Integrated"U"Shaped Cutting Edge Rongeurs of Pruning Finger-Toe and Bending
Truncating Pin].
AB - Objective: In order to overcome the problems that bone rongeur and Kirschner
forcep's less function and easy damage, trivial and inefficient, bulky volume,
bone and needle broken edge is not neat, needle tail easy spatter wounding and
other defects, integrated "U" shaped cutting edge rongeurs of pruning finger-toe
and bending-truncating pin is desigened. Methods: Pruning-truncating rongeurs set
the trimming, bending and shearing, straight and twisting, loading and unloading,
filing and stripping, string devices, aintenance functions and other functions in
one, compare with bone rongeurs in clinical application. Results: Pruning
truncating rongeurs are molding once, manipulation convenient, light and safe,
anti damage and maintenance free, cost-effective. Conclusion: Pruning-truncating
rongeurs are highly integrated and portable anti-lost, preparation instrument
swift, man-machine coordination, sharp instrument injury prevention, to improve
the operation efficiency.
PMID- 29792657
TI - [Research of Kinematics and Kinetics Models of Human Knee Joint].
AB - Human knee joint as a research object, it has become an effective way to
establish models of human knee joint. The types of human knee joint models are
identified, and each type of model is simply introduced respectively. Focusing on
the types of the kinematics and kinetics models of human knee joint on the basis
of anatomy, the current research status is detailedly described and
comprehensively analyzed at home and abroad correspondingly. Through in-depth
study the various kinematics and kinetics models, and their advantages and
limitations are discovered. The existing problems are summarized, solution and
development trend are also proposed.
PMID- 29792659
TI - [Study of Regulatory Requirements of Customized Device in the U.S.].
AB - This article introduces the regulatory requirements of customized device in the
U.S. And it also proposes suggestion and reference through comparative study on
relationship among customized device, humanitarian use device and 3D printing
device,and on similarities and differences of American regulatory requirements
between customized device, and humanitarian used device.
PMID- 29792658
TI - [Study of Medical Devices Use-related Risk Based on Human Factors Engineering].
AB - This article briefly introduced human factors engineering concepts and depicts
the risk management process for addressing use-related hazards, explained the
important role of human factors engineering in elimination or reduction of use
related hazards, and provides the general process of medical devices use-related
risk study. Some advices are given to eliminate or reduce of use-related hazards
of medical devices.
PMID- 29792660
TI - Experience of status epilepticus in the Neurology department of a tertiary-level
hospital in Mexico
AB - Background: The status epilepticus is a neurological emergency that continues to
be little identified early in emergency rooms and hospitalized patients, with
significant morbidity and mortality. The aim of this paper was to establish the
etiology and evolution of the status epilepticus in hospitalized patients in a
tertiary-level hospital. Methodology: Descriptive, cross-analytical study of
adult patients hospitalized with the diagnosis of epileptic status at a tertiary
level hospital, between August 2010 and February 2016. Results: 19 patients, mean
age 35 years +/- 19. With 15 years of convulsive epileptic status, 13 subjects
with generalized seizures, 4 with alert alterations and 2 with focal seizures. In
4 cases, structural damage was detected, 4 due to non-specific inflammation, 2
due to Neuroinfection, 2 with anoxoischemic encephalopathy and 3 cases without
evident etiology; of the 17 survivors, 10 with Rankin Scale modified from 4-5
points. Conclusions: The status epilepticus is a common diagnosis in third level
centers, with significant morbi mortality. After a complete study protocol, the
etiological diagnosis is reached in most cases.
PMID- 29792661
TI - [Medical Device Adverse Events Primary Analysis on Esophageal Stents].
AB - Objective: To probe into the characteristics and influencing factors of ADR cases
induced by Esophageal stents, so as to provide effective resolutions for safety
use. Methods Methods: By retrieving published MDR cases induced by Esophageal
stents in FDA MAUDE database and CNKI database, 153 cases of adverse events in
MAUDE database from 2012 to 2015 and 96 valid documents between 1994 and 2015
were obtained, screened and analyzed retrospectively. Results: The main MDR
performance were gastrointestinal bleeding, chest pain, foreign body sensation,
etc, due to the risk inherent in the product or risk during use. Conclusion: The
public safety of Esophageal stents use can be ensued according to perfecting
related laws, establishing and regulating the MDR monitor system and
strengthening the responsibility of authority, manufacturers, suppliers and
medical institutions.
PMID- 29792662
TI - [Testing Equipment on Vascular Mechanical Properties].
AB - The vascular mechanical parameters are important indicators for human vascular
and they play important roles in clinical research. This paper developed a new
vascular mechanical properties testing system. This system not only realizes the
tensile rupture test in one dimensional, but also the reciprocating tensile test
for vascular, which provides more comprehensive experimental data and theoretical
basis for the study of human vascular. The system consists of three parts: the
mechanical platform, hardware circuit and upper computer system. The mechanical
platform transforms the rotation movement of motor into linear movement via the
structure of bal screw. And the bal screw and tension sensor are connected, which
is used for mechanical data reading. The displacement data is col ected by
displacement sensor. Experiments show that the accuracy is better than 0.292%,
and could meet the demand of the testing of vascular biomechanical
characteristics.
PMID- 29792663
TI - [Comparative Study on Two ECG Standards].
AB - The recording and analyzing ECG is able to measure and interpret ECG data, which
is convenient for doctors' subsequent medical diagnosis, so in recent years it
has rapid development. This ECG must implement YY 1139-2013 Diagnostic
electrocardiographic devices standard, and YY 0782-2010 Medical electrical
equipment-Part 2-51:Particular requirements for safety, including essential
performance, of recording and analyzing single channel and multichannel of
electrocardiographs standard. The two standards have links and differences. By
analyzing, comparing, inducing two standards, this article sums up the links and
differences of the two standards, describes the differences of the two standards
between macro and micro point of views in detail, helps ECG testing personnel to
deepen the understanding of the standard terms, and improve the detection
efficiency of ECG.
PMID- 29792664
TI - Tricolor White-Light-Emitting Carbon Dots with Multiple-Cores@Shell Structure for
WLED Application.
AB - The past few years have witnessed the rapid development of carbon dots (CDs) due
to their outstanding optical properties and a wide range of applications.
However, the design and control of CDs with long-wavelength multicolor emission
are still huge challenges to be addressed for their practical use in different
fields. Here, novel nitrogen-doped multiple-core@shell-structured AC-CDs with
tricolor emissions of red, green, and blue were constructed via one-pot
hydrothermal method from 5-amino-1,10-phenanthroline and citric acid as reactants
and the growth process of AC-CDs was monitored with the reaction time in the
synthetic system. The origin of different fluorescence emissions was explored
using the unique coordination ability of the surface groups of AC-CDs. An obvious
concentration dependence of fluorescent properties was observed for the as
prepared AC-CDs, and a highly fluorescent quantum yield (QY) of 67% for red
emission at 630 nm can be obtained by adjusting concentration of AC-CDs. The pure
white-light emission (0.33, 0.33; Commission Internationale de l'Elcairage
coordinate) was carried out from single carbon dot with QY of 29% through
regulation of the excitation and concentration of multiple-core@shell-structured
AC-CDs. In addition, because of their excellent photoluminescent properties, the
white-emitting AC-CDs as emitting phosphor can be easily used in the fabrication
of white-light-emitting diode with good anti-photobleaching and temperature
stability.
PMID- 29792665
TI - Highly Conductive and Robust Three-Dimensional Host with Excellent Alkali Metal
Infiltration Boosts Ultrastable Lithium and Sodium Metal Anodes.
AB - The direct utilization of metallic lithium and sodium as the anodes for
rechargeable batteries would be highly advantageous, which has been considered as
one of the most promising choices for next-generation high-energy-density storage
devices. Although the induced safety concerns, inferior rate, and cycling
performance severely hinder the commercialization of lithium metal batteries
(LMBs) and sodium metal batteries (SMBs), the recent development of
nanotechnology-based solutions really revives the lithium/sodium metal anodes for
high-energy batteries. In this work, an ultrastable carbon textile (CT)-based
host with excellent infiltration for both metallic Li and Na has been designed
and exhibits more flat voltage profiles, lower stripping/plating overpotential,
and better cycling stability both in symmetric cell and full cell configurations,
even in additive-free carbonate-based electrolyte compared with pure Li/Na
electrodes. The highly conductive and mechanically robust three-dimensional CTs
not only offer a stable scaffold against hyperactive lithium and sodium but also
enable uniform nucleation and growth during stripping/plating process, which
effectively suppress the dendrite growth and stabilize the electrode dimension.
This facile strategy provides new insights into the design of stable hosts with
prestored alkali metal to address the multifaceted issues in LMBs and SMBs
simultaneously.
PMID- 29792666
TI - Chemical Evolution in Silicon-Graphite Composite Anodes Investigated by
Vibrational Spectroscopy.
AB - Silicon-graphite composites are under development for the next generation of high
capacity lithium-ion anodes, and vibrational spectroscopy is a powerful tool to
identify the different mechanisms that contribute to performance loss. With alloy
anodes, the underlying causes of cell failure are significantly different in half
cells with lithium metal counter electrodes compared to full cells with standard
cathodes. However, most studies which take advantage of vibrational spectroscopy
have only examined half-cells. In this work, a combination of FTIR and Raman
spectroscopy describes several factors that lead to degradation in full pouch
cells with LiNi0.5Mn0.3Co0.2O2 (NMC532) cathodes. The spectroscopic signatures
evolve after longer term cycling compared to the initial formation cycles.
Several side-reactions that consume lithium ions have clear FTIR signatures, and
comparison to a library of reference compounds facilitates identification. Raman
microspectroscopy combined with mapping shows that the composite anodes are not
homogeneous but segregate into graphite-rich and silicon-rich phases. Lithiation
does not proceed uniformly either. A basis analysis of Raman maps identifies
electrochemically inactive regions of the anodes. The spectroscopic results
presented here emphasize the importance of improving electrode processing and SEI
stability to enable practical composite anodes with high silicon loadings.
PMID- 29792667
TI - Control of Selectivity in Palladium(II)-Catalyzed Oxidative Transformations of
Allenes.
AB - Oxidation reactions play a central role in organic synthesis, and it is highly
desirable that these reactions are mild and occur under catalytic conditions. In
Nature, oxidation reactions occur under mild conditions via cascade processes,
and furthermore, they often occur in an enantioselective manner with many of them
involving molecular oxygen or hydrogen peroxide as the terminal oxidant. Inspired
by the reactions in Nature, we have developed a number of Pd(II)-catalyzed
cascade reactions under mild oxidative conditions. These reactions have an
intrinsic advantage of step economy and rely on selectivity control in each step.
In this Account, we will discuss the control of chemo-, regio-, and
diastereoselectivity in Pd(II)-catalyzed dehydrogenative cascade coupling
reactions. The enantioselective version of this methodology has also been
addressed, and new chiral centers have been introduced using a catalytic amount
of a chiral phosphoric acid (CPA). Research on this topic has provided access to
important compounds attractive for synthetic and pharmaceutical chemists. These
compounds include carbocyclic, heterocyclic, and polycyclic systems, as well as
polyunsaturated open-chain structures. Reactions leading to these compounds are
initiated by coordination of an allene and an unsaturated pi-bond moiety, such as
olefin, alkyne, or another allene, to the Pd(II) center, followed by allene
attack involving a C(sp3)-H cleavage under mild reaction conditions. Recent
progress within our research group has shown that weakly coordinating groups
(e.g., hydroxyl, alkoxide, or ketone) could also initiate the allene attack on
Pd(II), which is essential for the oxidative carbocyclization. Furthermore, a
highly selective palladium-catalyzed allenic C(sp3)-H bond oxidation of allenes
in the absence of an assisting group was developed, which provides a novel and
straightforward synthesis of [3]dendralene derivatives. For the oxidative
systems, benzoquinone (BQ) and its derivatives are commonly used as oxidants or
catalytic co-oxidants (electron transfer mediators, ETMs) together with molecular
oxygen. A variety of transformations including carbocyclization, acetoxylation,
arylation, carbonylation, borylation, beta-hydride elimination, alkynylation,
alkoxylation, and olefination have been demonstrated to be compatible with this
Pd(II)-based catalytic oxidative system. Recently, several challenging synthetic
targets, such as cyclobutenes, seven-membered ring carbocycles, spirocyclic
derivatives, functional cyclohexenes, and chiral cyclopentenone derivatives were
obtained with high selectivity using these methods. The mechanisms of the
reactions were mainly studied by kinetic isotope effects (KIEs) or DFT
computations, which showed that in most cases the C(sp3)-H cleavage is the rate
determining step (RDS) or partially RDS. This Account will describe our efforts
toward the development of highly selective and atom-economic palladium(II)
catalyzed oxidative transformation of allenes (including enallenes, dienallenes,
bisallenes, allenynes, simple allenes, and allenols) with a focus on overcoming
the selectivity problem during the reactions.
PMID- 29792669
TI - Self-Assembling Glutamate-Functionalized Cyclodextrin Molecular Tube for Specific
Enrichment of N-Linked Glycopeptides.
AB - Cyclodextrin molecular tube (CDMT), a new comer of cyclodextrin family, possesses
large and hydrophilic outer area and stable structure. Its development and
applications remain highly desired, especially in the field of separation and
enrichment. Herein, we developed a CDMT-based enrichment platform focusing on the
specific capture of glycopeptides. To enhance the hydrophilicity of CDMT, it was
functionalized with glutamate (glu). The prepared gluCDMT exhibited large
hydrophilic surface, high stability, and good acidic/alkalic resistance. A solid
monolithic support was employed to immobilize gluCDMT by a host-guest self
assembly synthetic strategy, which did not occupy the surface hydrophilic sites.
The gluCDMT-based monolith exhibited high binding capacity (~50 mg g-1), good
ability to capture glycopeptides (23 HRP glycopeptides and 28 IgG glycopeptides),
and high selectivity (horseradish peroxidase/bovine serum albumin = 1:10 000).
Moreover, the developed platform was successfully applied to analyze glycopetides
in acute myelogenous leukemia cell lysate and human serum samples.
PMID- 29792668
TI - Out-of-Plane Ionic Conductivity Measurement Configuration for High-Throughput
Experiments.
AB - An approach for measuring conductivity of thin-film electrolytes in an out-of
plane configuration, amenable to high-throughput experimentation, is presented. A
comprehensive analysis of the geometric requirements for success is performed.
Using samaria-doped ceria (Ce0.8Sm0.2O1.9, SDC) excellent agreement between bulk
samples and thin films with continuous and patterned electrodes, 100-500 MUm in
diameter, is demonstrated. Films were deposited on conductive Nb-doped SrTiO3,
and conductivity was measured by AC impedance spectroscopy over the temperature
range from ~200 to ~500 degrees C. The patterned electrode geometry, which
encompassed an array of microdot metal electrodes for making top contact, enabled
measurements at hundreds of positions on the film, implying the potential for
measuring hundreds of composition in a single library.
PMID- 29792670
TI - Biomarker-Based Metabolic Labeling for Redirected and Enhanced Immune Response.
AB - Installation of an antibody-recruiting moiety on the surface of disease-relevant
cells can lead to the selective destruction of targets by the immune system. Such
an approach can be an alternative strategy to traditional chemotherapeutics in
cancer therapy and possibly other diseases. Herein we describe the development of
a new strategy to selectively label targets with an antibody-recruiting moiety
through its covalent and stable installation, complementing existing methods of
employing reversible binding. This is achieved through selective delivery of
1,3,4- O-acetyl- N-azidoacetylmannosamine (Ac3ManNAz) to folate receptor
overexpressing cells using an Ac3ManNAz-folate conjugate via a cleavable linker.
As such, Ac3ManNAz is converted to cell surface glycan bearing an azido group,
which serves as an anchor to introduce l-rhamnose (Rha), a hapten, via a click
reaction with aza-dibenzocyclooctyne (DBCO)-Rha. We tested this method in several
cell lines including KB, HEK-293, and MCF7 and were able to demonstrate the
following: 1) Rha can be selectively installed to the folate receptor
overexpressing cell surface and 2) the Rha installed on the target surface can
recruit anti-rhamnose (anti-Rha) antibodies, leading to the destruction of target
cells via complement-dependent cytotoxicity (CDC) and antibody-dependent cellular
phagocytosis (ADCP).
PMID- 29792671
TI - The Chemoattractant Glorin Is Inactivated by Ester Cleavage during Early
Multicellular Development of Polysphondylium pallidum.
AB - Among the amoebozoan species capable of forming fruiting bodies, the dictyostelid
social amoebae stand out since they form true multicellular organisms by means of
single cell aggregation. Upon food depletion, cells migrate across gradients of
extracellular signals initiated by cells in aggregation centers. The model
species that is widely used to study multicellular development of social amoebae,
Dictyostelium discoideum, uses cyclic adenosine monophosphate (cAMP) as a
chemoattractant to coordinate aggregation. Molecular phylogeny studies suggested
that social amoebae evolved in four major groups, of which groups 1 and 2 are
paraphyletic to groups 3 and 4. During early development, intercellular
communication with cAMP appears to be restricted to group 4 species. Cells of
group 1 and 2 taxa do not respond chemotactically to extracellular cAMP and
likely use a dipeptide chemoattractant known as glorin ( N-propionyl-gamma-L
glutamyl-L-ornithin-delta-lactam-ethylester) to regulate aggregation. Directional
migration of glorin-responsive cells requires the periodic breakdown of the
chemoattractant. Here, we identified an extracellular enzymatic activity
(glorinase) in the glorin-responsive group 2 taxon Polysphondylium pallidum
leading to the inactivation of glorin. We determined the inactivation mechanism
to proceed via hydrolytic ethyl ester cleavage of the gamma-glutamyl moiety of
glorin. Synthetic glorinamide, in which the ethyl ester group was substituted by
an ethyl amide group, had glorin-like biological activity but was resistant to
degradation by glorinase. Our observations pave the way for future investigations
toward an ancient eukaryotic chemotaxis system.
PMID- 29792672
TI - Biosynthesis of Lincosamide Antibiotics: Reactions Associated with Degradation
and Detoxification Pathways Play a Constructive Role.
AB - Natural products typically are small molecules produced by living organisms.
These products possess a wide variety of biological activities and thus have
historically played a critical role in medicinal chemistry and chemical biology
either as chemotherapeutic agents or as useful tools. Natural products are not
synthesized for use by human beings; rather, living organisms produce them in
response to various biochemical processes and environmental concerns, both
internal and external. These processes/concerns are often dynamic and thus
motivate the diversification, optimization, and selection of small molecules in
line with changes in biological function. Consequently, the interactions between
living organisms and their environments serve as an engine that drives
coevolution of natural products and their biological functions and ultimately
programs the constant theme of small-molecule development in nature based on
biosynthesis generality and specificity. Following this theme, we herein review
the biosynthesis of lincosamide antibiotics and dissect the process through which
nature creates an unusual eight-carbon aminosugar (lincosamide) and then
functionalizes this common high-carbon chain-containing sugar core with diverse l
proline derivatives and sulfur appendages to form individual members, including
the clinically useful anti-infective agent lincomycin A and its naturally
occurring analogues celesticetin and Bu-2545. The biosynthesis of lincosamide
antibiotics is unique in that it results from an intersection of anabolic and
catabolic chemistry. Many reactions that are usually involved in degradation and
detoxification play a constructive role in biosynthetic processes. Formation of
the trans-4-propyl-l-proline residue in lincomycin A biosynthesis requires an
oxidation-associated degradation-like pathway composed of heme peroxidase
catalyzed ortho-hydroxylation and non-heme 2,3-dioxygenase-catalyzed extradiol
cleavage for l-tyrosine processing prior to the building-up process. Mycothiol
(MSH) and ergothioneine (EGT), two small-molecule thiols that are known for their
redox-relevant roles in protection against various endogenous and exogenous
stresses, function through two unusual S-glycosylations to mediate an eight
carbon aminosugar transfer, activation, and modification during the molecular
assembly and tailoring processes in lincosamide antibiotic biosynthesis. Related
intermediates include an MSH S-conjugate, mercapturic acid, and a thiomethyl
product, which are reminiscent of intermediates found in thiol-mediated
detoxification metabolism. In these biosynthetic pathways, "old" protein folds
can result in "new" enzymatic activity, such as the DinB-2 fold protein for thiol
exchange between EGT and MSH, the gamma-glutamyltranspeptidase homologue for C-C
bond cleavage, and the pyridoxal-5'-phosphate-dependent enzyme for diverse S
functionalization, generating interest in how nature develops remarkably diverse
biochemical functions using a limited range of protein scaffolds. These findings
highlight what we can learn from natural product biosynthesis, the recognition of
its generality and specificity, and the natural theme of the development of
bioactive small molecules, which enables the diversification process to advance
and expand small-molecule functions.
PMID- 29792673
TI - Recent Advances on Sodium-Oxygen Batteries: A Chemical Perspective.
AB - Releasing greenhouse gases into the atmosphere because of widespread use of
fossil fuels by humankind has resulted in raising the earth's temperature during
the past few decades. Known as global warming, increasing the earth's temperature
may in turn endanger civilization on the earth by starting a cycle of
environmental changes including climate change and sea level rise. Therefore,
replacing fossil fuels with more sustainable energy resources has been considered
as one of the main strategies to tackle the global warming crisis. In this
regard, energy saving devices are required to store the energy from sustainable
resources like wind and solar when they are available and deliver them on demand.
Moreover, developing plug-in electric vehicles (PEVs) as an alternative for
internal combustion engines has been extensively pursued, since a major sector of
fossil fuels is used for transportation purposes. However, currently available
battery systems fail to meet the required demands for energy storage. Alkali
metal-O2 battery systems demonstrate a promising prospect as a high-energy
density solution regarding the increasing demand of mankind for energy storage.
Combining a metallic negative electrode with a breathing oxygen electrode, a
metal-O2 cell can be considered as a half battery/half fuel cell system. The
negative electrode in the metal-O2 cells operates a conversion reaction rather
than intercalation mechanism, which eliminates the need for a host lattice. In
addition, the positive electrode material (O2) comes from the ambient air and
hence is not stored in the battery. Therefore, the resultant battery systems
exhibit the highest theoretical energy density, which is comparable to that of
gasoline. Accordingly, an unprecedented amount of research activity was directed
toward alkali metal-O2 batteries in the past decade in response to the need for
high-energy storage technology in electric transportation. This extensive
research surge has resulted in a rapid expansion of our knowledge about alkali
metal-O2 batteries. The present Account summarizes the most recent findings over
the underlying chemistry of all components in Na-O2 cells as one of the most
efficient members of alkali metal-O2 family.
PMID- 29792674
TI - Partial Intrinsic Disorder Governs the Dengue Capsid Protein Conformational
Ensemble.
AB - The 11 kDa, positively charged dengue capsid protein (C protein) exists stably as
a homodimer and colocalizes with the viral genome within mature viral particles.
Its core is composed of four alpha helices encompassing a small hydrophobic patch
that may interact with lipids, but approximately 20% of the protein at the N
terminus is intrinsically disordered, making it challenging to elucidate its
conformational landscape. Here, we combine small-angle X-ray scattering (SAXS),
amide hydrogen-deuterium exchange mass spectrometry (HDXMS), and atomic
resolution molecular dynamics (MD) simulations to probe the dynamics of dengue C
proteins. We show that the use of MD force fields (FFs) optimized for
intrinsically disordered proteins (IDPs) is necessary to capture their
conformational landscape and validate the computationally generated ensembles
with reference to SAXS and HDXMS data. Representative ensembles of the C protein
dimer are characterized by alternating, clamp-like exposure and occlusion of the
internal hydrophobic patch, as well as by residual helical structure at the
disordered N-terminus previously identified as a potential source of
autoinhibition. Such dynamics are likely to determine the multifunctionality of
the C protein during the flavivirus life cycle and hence impact the design of
novel antiviral compounds.
PMID- 29792675
TI - Electron-Phonon Coupling and Resonant Relaxation from 1D and 1P States in PbS
Quantum Dots.
AB - Observations of the hot-phonon bottleneck, which is predicted to slow the rate of
hot carrier cooling in quantum confined nanocrystals, have been limited to date
for reasons that are not fully understood. We used time-resolved infrared
spectroscopy to directly measure higher energy intraband transitions in PbS
colloidal quantum dots. Direct measurements of these intraband transitions
permitted detailed analysis of the electronic overlap of the quantum confined
states that may influence their relaxation processes. In smaller PbS
nanocrystals, where the hot-phonon bottleneck is expected to be most pronounced,
we found that relaxation of parity selection rules combined with stronger
electron-phonon coupling led to greater spectral overlap of transitions among the
quantum confined states. This created pathways for fast energy transfer and
relaxation that may bypass the predicted hot-phonon bottleneck. In contrast,
larger, but still quantum confined nanocrystals did not exhibit such relaxation
of the parity selection rules and possessed narrower intraband states. These
observations were consistent with slower relaxation dynamics that have been
measured in larger quantum confined systems. These findings indicated that, at
small radii, electron-phonon interactions overcome the advantageous increase in
energetic separation of the electronic states for PbS quantum dots. Selection of
appropriately sized quantum dots, which minimize spectral broadening due to
electron-phonon interactions while maximizing electronic state separation, is
necessary to observe the hot-phonon bottleneck. Such optimization may provide a
framework for achieving efficient hot carrier collection and multiple exciton
generation.
PMID- 29792676
TI - Dramatic Increase in Polymer Glass Transition Temperature under Extreme
Nanoconfinement in Weakly Interacting Nanoparticle Films.
AB - Properties of polymers in polymer nanocomposites and nanopores have been shown to
deviate from their respective bulk properties due to physical confinement as well
as polymer-particle interfacial interactions. However, separating the confinement
effects from the interfacial effects under extreme nanoconfinement is
experimentally challenging. Capillary rise infiltration enables polymer
infiltration into nanoparticle (NP) packings, thereby confining polymers within
extremely small pores and dramatically increasing the interfacial area, providing
a good system to systematically distinguish the role of each effect on polymer
properties. In this study, we investigate the effect of spatial confinement on
the glass transition temperature ( Tg) of polystyrene (PS) infiltrated into SiO2
NP films. The degree of confinement is tuned by varying the molecular weight of
polymers, the size of NPs (diameters between 11 and 100 nm, producing 3-30 nm
average pore sizes), and the fill-fraction of PS in the NP films. We show that in
these dense NP packings the Tg of confined PS, which interacts weakly with SiO2
NPs, significantly increases with decreasing pore size such that for the two
molecular weights of PS studied the Tg increases by up to 50 K in 11 nm NP
packings, while Tg is close to the bulk Tg in 100 nm NP packings. Interestingly,
as the fill-fraction of PS is decreased, resulting in the accumulation of the
polymer in the contacts between nanoparticles, hence an increased specific
interfacial area, the Tg further increases relative to the fully filled films by
another 5-8 K, indicating the strong role of geometrical confinement as opposed
to the interfacial effects on the measured Tg values.
PMID- 29792677
TI - Ultra-Low-Power Smart Electronic Nose System Based on Three-Dimensional Tin Oxide
Nanotube Arrays.
AB - In this work, we present a high-performance smart electronic nose (E-nose) system
consisting of a multiplexed tin oxide (SnO2) nanotube sensor array, read-out
circuit, wireless data transmission unit, mobile phone receiver, and data
processing application (App). Using the designed nanotube sensor device structure
in conjunction with multiple electrode materials, high-sensitivity gas detection
and discrimination have been achieved at room temperature, enabling a 1000 times
reduction of the sensor's power consumption as compared to a conventional device
using thin film SnO2. The experimental results demonstrate that the developed E
nose can identify indoor target gases using a simple vector-matching gas
recognition algorithm. In addition, the fabricated E-nose has achieved state-of
the-art sensitivity for H2 and benzene detection at room temperature with metal
oxide sensors. Such a smart E-nose system can address the imperative needs for
distributed environmental monitoring in smart homes, smart buildings, and smart
cities.
PMID- 29792678
TI - Combinatorially Screened Peptide as Targeted Covalent Binder: Alteration of Bait
Conjugated Peptide to Reactive Modifier.
AB - A peptide-type covalent binder for a target protein was obtained by combinatorial
screening of fluoroprobe-conjugated peptide libraries on bacteriophage T7. The
solvatochromic fluoroprobe works as a bait during the affinity selection process
of phage display. To obtain the targeted covalent binder, the bait in the
selected consensus peptide was altered into a reactive warhead possessing a
sulfonyl fluoride. The reaction efficiency and site/position specificity of the
covalent conjugation between the binder and the target protein were evaluated by
liquid chromatography-tandem mass spectrometry (LC-MS/MS), and rationalized by a
protein-ligand docking simulation.
PMID- 29792679
TI - A Nanozyme- and Ambient Light-Based Smartphone Platform for Simultaneous
Detection of Dual Biomarkers from Exposure to Organophosphorus Pesticides.
AB - A transparent, lateral-flow test strip coupled with a smartphone-based ambient
light sensor was first proposed for detecting enzymatic inhibition and
phosphorylation. The principle of the platform is based on the simultaneous
measurement of the total amount of the enzyme and enzyme activity to biomonitor
exposure to organophosphorus (OP) pesticides. In this study,
butyrylcholinesterase (BChE) was adopted as the model enzyme and ethyl paraoxon
was chosen as an analyte representing OP pesticides. The total amount of BChE was
quantified by a sensitive colorimetric signal originating from a sandwich
immunochromatographic assay utilizing PtPd nanoparticles as a colorimetric probe,
which exhibited excellent catalytic activity for phenols. In the sandwich
immunoassay, only one antibody against BChE was simultaneously utilized as the
recognition antibody and the labeling antibody due to the tetrameric structure of
native BChE. The BChE activity was estimated by another colorimetric signal using
the Ellman assay. Both colorimetric signals on two separated test strips were
detected by the smartphone-based ambient light sensor. The proposed sensor
operated with an LED in a 3D-printed substrate, which emitted excitation light
and transmitted it through a transparent, lateral-flow test strip. With the
increase in the colorimetric signal in the test line of the test strip, the
intensity of the transmitted light decreased. The smartphone-based sensor showed
excellent linear responses for assaying the total amount of BChE and active BChE
ranging from 0.05 to 6.4 nM and from 0.1 to 6.4 nM, respectively. A high
portability and low detection limit were simultaneously realized in the common
smartphone-based device. This low-cost, portable, easy-operation, and sensitive
immunoassay strategy shows great potential for online detection of OP exposure
and monitoring other disease biomarkers.
PMID- 29792681
TI - Protein-Based Electronic Skin Akin to Biological Tissues.
AB - Human skin provides an interface that transduces external stimuli into electrical
signals for communication with the brain. There has been considerable effort to
produce soft, flexible, and stretchable electronic skin (E-skin) devices.
However, common polymers cannot imitate human skin perfectly due to their poor
biocompatibility, biofunctionality, and permeability to many chemicals and
biomolecules. Herein, we report on highly flexible, stretchable, conformal,
molecule-permeable, and skin-adhering E-skins that combine a metallic nanowire
(NW) network and silk protein hydrogel. The silk protein hydrogels offer high
stretchability and stability under hydration through the addition of Ca2+ ions
and glycerol. The NW electrodes exhibit stable operation when subjected to large
deformations and hydration. Meanwhile, the hydrogel window provides water and
biomolecules to the electrodes (communication between the environment and the
electrode). These favorable characteristics allow the E-skin to be capable of
sensing strain, electrochemical, and electrophysiological signals.
PMID- 29792680
TI - Quantification of Cellular Folate Species by LC-MS after Stabilization by
Derivatization.
AB - Folate cofactors play a key role in one-carbon metabolism. Analysis of individual
folate species is hampered by the low chemical stability and high
interconvertibility of folates, which can lead to severe experimental bias. Here,
we present a complete workflow that employs simultaneous extraction and
stabilization of folates by derivatization. We perform reductive methylation
employing stable isotope labeled reagents to retain information on the position
and redox state of one-carbon units as well as the redox state of the pteridine
ring. The derivatives are analyzed by a targeted LC(HILIC)-MS/MS method without
the need for deconjugation, thereby also preserving the glutamation state of
folates. The presented method does not only improve analyte coverage and
sensitivity as compared to other published methods, it also greatly simplifies
sample handling and storage. Finally, we report differences in the response of
bacterial and mammalian systems to pharmacological inhibition of dihydrofolate
reductase.
PMID- 29792682
TI - Calix[4]arene Based Redox Sensitive Molecular Probe for SERS Guided Recognition
of Labile Iron Pool in Tumor Cells.
AB - Targeting the intracellular "labile" iron pool is turned as a key modulator for
cancer progression since the former is responsible for several pathological
processes in tumor cells. Herein, we report a nonfluorescent calix[4]arene based
triazole appended molecular probe (PTBC) for redox-specific detection of Fe3+
under physiological condition by UV-vis, FT-IR, 1H NMR, HR-MS spectroscopies,
ITC, and the binding strategy between Calix[4]arene and Fe3+ was modeled by DFT
calculations. As a new insight PTBC probe showed significant Raman fingerprint
through surface enhanced Raman scattering (SERS) modality revealing the
ultrasensitive detection of Fe3+ with a LOD of 2 nM. Interestingly, intracellular
"iron pool" has been recognized in human lung adenocarcinoma cells (A549) by the
PTBC illustrating the distinct Raman mapping. Finally, PTBC imparted cytotoxicity
via reactive oxygen species (ROS) generation in cellular milieu signifies its
capability as a theranostic molecular probe.
PMID- 29792683
TI - Top-Down Deep Sequencing of Ubiquitin Using Two-Dimensional Mass Spectrometry.
AB - Two-dimensional mass spectrometry (2DMS) allows data independent fragmentation of
all ions in a sample and correlation of fragment ions to their precursors without
isolation prior to fragmentation. Developments in computer capabilities and
implementations in Fourier transform ion cyclotron resonance (FTICR) MS over the
past decade have allowed the technique to become a useful analytical tool for
bottom-up proteomics (BUP) and, more recently, in top-down protein analysis
(TDP). In this work, a new method of TDP is developed using 2D FTICR MS, called
MS/2D FTICR MS or MS/2DMS. In MS/2DMS, an entire protein is initially fragmented
in a hexapole collision cell, e.g., with collisionally activated dissociation
(CAD). The primary fragments are then sent to the ICR cell, where 2DMS is
performed with infrared multiphoton dissociation (IRMPD) or electron-capture
dissociation (ECD). The resulting 2D mass spectra retain information equivalent
to a set of TDP MS3 experiments on the selected protein. Up to n - 1
fragmentation steps can be added to the process, as long as an ion of interest
can be unambiguously fragmented before the ICR cell, leading to an MS n/2DMS
experiment whose output is a 2D mass spectrum retaining information equivalent to
MS n. MS/2DMS and MS/MS/2DMS are used in this work for the structural analysis of
ubiquitin (Ubi), noting several unique features which aid fragment
identification. The use of CAD-MS/IRMPD-2DMS, CAD-MS/ECD-2DMS, and MS2/2DMS
using, respectively, in-source dissociation (ISD), CAD, and ECD-2DMS led to 97%
cleavage coverage for Ubi.
PMID- 29792684
TI - Label-Free Optical Marker for Red-Blood-Cell Phenotyping of Inherited Anemias.
AB - The gold-standard methods for anemia diagnosis are complete blood counts and
peripheral-smear observations. However, these do not allow for a complete
differential diagnosis as that requires biochemical assays, which are label
dependent techniques. On the other hand, recent studies focus on label-free
quantitative phase imaging (QPI) of blood samples to investigate blood diseases
by using video-based morphological methods. However, when sick cells are very
similar to healthy ones in terms of morphometric features, identification of a
blood disease becomes challenging even with QPI. Here, we introduce a label-free
optical marker (LOM) to detect red-blood-cell (RBC) phenotypes, demonstrating
that a single set of all-optical parameters can clearly identify a signature
directly related to an erythrocyte disease through modeling each RBC as a
biological lens. We tested this novel biophotonic analysis by proving that
several inherited anemias, such as iron-deficiency anemia, thalassemia,
hereditary spherocytosis, and congenital dyserythropoietic anemia, can be
identified and sorted, thus opening a novel route for blood diagnosis on a
completely different concept based on LOMs.
PMID- 29792685
TI - Vertical Ultrafiltration-Facilitated DNA Digestion for Rapid and Sensitive UHPLC
MS/MS Detection of DNA Modifications.
AB - LC-MS/MS technologies provide important and powerful analytical tools for
chemical structure-dependent identification and quantification of epigenetically
crucial DNA modifications. To perform LC-MS/MS analysis, it is better to convert
DNA to 2'-deoxynucleosides through enzymatic digestion. Here, we observed that
inorganic cations Na+ and K+ and phosphate buffers, which were often found in
various DNA solutions, significantly inhibited DNA digestion as catalyzed by
typical set of DNase I, snake venom phosphodiesterase, and calf intestine
alkaline phosphatase, leading to poor or varying performance on UHPLC-MS/MS
analysis. We then developed an efficient and unique vertical-ultrafiltration
approach, enabling us to remove these inorganic salts without DNA loss.
Consequently, the removal of inorganic salts by ultrafiltration facilitated the
followed DNA digestion and thus enhanced the final UHPLC-MS/MS detection.
Benefiting from the developed vertical-ultrafiltration approach, it is also
feasible to integrate the desalting step with the other two steps of DNA
digestion and protein removal. By investigating the time course of DNA digestion,
we observed a differential release rate of 2'-deoxycytidine and 5-methyl-2'
deoxycytidine causing a measurement bias on the methylation frequency. We further
exploited Mg2+ to eliminate this bias by stimulating DNase set-based DNA
digestion. These innovative approaches enable us to perform rapid, sensitive, and
robust UHPLC-MS/MS analysis of methylated DNA 2'-deoxycytidine, demethylation
intermediates, and probably other DNA modifications.
PMID- 29792686
TI - High-Throughput Screens for cis-Acting RNA Sequence Elements That Promote Nuclear
Retention.
PMID- 29792687
TI - Comobility of GABARAP and Phosphatidylinositol 4-Kinase 2A on Cytoplasmic
Vesicles.
AB - We previously reported that recruitment of the type IIA phosphatidylinositol 4
kinase (PI4K2A) to autophagosomes by GABARAP, a member of the Atg8 family of
autophagy-related proteins, is important for autophagosome-lysosome fusion.
Because both PI4K2A and GABARAP have also been implicated in the intracellular
trafficking of plasma membrane receptors in the secretory/endocytic pathway, we
characterized their interaction in cells under nonautophagic conditions.
Fluorescence fluctuation spectroscopy measurements revealed that GABARAP exists
predominantly as a cytosolic monomer in live cells, but is recruited to small
cytoplasmic vesicles upon overexpression of PI4K2A. C-Terminal lipidation of
GABARAP, which is essential for its autophagic activities, is not necessary for
its recruitment to these PI4K2A-containing transport vesicles. However, a GABARAP
truncation mutant lacking C-terminal residues 103-117 fails to bind to PI4K2A, is
not recruited to cytoplasmic vesicles, and does not codistribute with PI4K2A on
subcellular organelles. These observations suggest that the PI4K2A-GABARAP
interaction plays a role in membrane trafficking both under autophagic and
nonautophagic conditions.
PMID- 29792688
TI - Rhodium Complexes Bearing PAlP Pincer Ligands.
AB - We report rhodium complexes bearing PAlP pincer ligands with an X-type aluminyl
moiety. IR spectroscopy and single-crystal X-ray diffraction analysis of a
carbonyl complex exhibit the considerable sigma-donating ability of the aluminyl
ligand, whose Lewis acidity is confirmed through coordination of pyridine to the
aluminum center. The X-type PAlP-Rh complexes catalyze C2-selective
monoalkylation of pyridine with alkenes.
PMID- 29792689
TI - Selectivity within a Family of Bacterial Phosphothreonine Lyases.
AB - Phosphothreonine lyases are bacterial effector proteins secreted into host cells
to facilitate the infection process. This enzyme family catalyzes an irreversible
elimination reaction that converts phosphothreonine or phosphoserine to
dehydrobutyrine or dehydroalanine, respectively. Herein, we report a study of
substrate selectivity for each of the four known phosphothreonine lyases. This
was accomplished using a combination of mass spectrometry and enzyme kinetics
assays for a series of phosphorylated peptides derived from the mitogen-activated
protein kinase (MAPK) activation loop. These studies provide the first
experimental evidence that VirA, a putative phosphothreonine lyase identified
through homology, is indeed capable of catalyzing phosphate elimination. These
studies further demonstrate that OspF is the most promiscuous phosphothreonine
lyase, whereas SpvC is the most specific for the MAPK activation loop. Our
studies reveal that phospholyases are dramatically more efficient at catalyzing
elimination from phosphothreonine than from phosphoserine. Together, our data
suggest that each enzyme likely has preferred substrates, either within the MAPK
family or beyond. Fully understanding the extent of selectivity is key to
understanding the impact of phosphothreonine lyases during bacterial infection
and to exploiting their unique chemistry for a range of applications.
PMID- 29792690
TI - A General Method To Increase Stokes Shift by Introducing Alternating Vibronic
Structures.
AB - Fluorescent dyes have enabled much progress in the broad range of biomedical
fields. However, many commercially available dyes suffer from small Stokes
shifts, resulting in poor signal-to-noise ratio and self-quenching on current
microscope configurations. In this work, we have developed a general method to
significantly increase the Stokes shifts of common fluorophores. By simply
appending a 1,4-diethyl-decahydro-quinoxaline (DQ) moiety onto the conjugated
structure, we introduced a vibronic backbone that could facilely expand the
Stokes shifts, emission wavelength, and photostability of 11 different
fluorophores by more than 3-fold. This generalizable method could significantly
improve the imaging efficiency of commercial fluorophores. As a demonstration, we
showed that the DQ derivative of hemicyanine generated 5-fold signal in mouse
models over indocyanine green. Furthermore, the DQ-modified fluorophores could
pair with their parent molecules to conduct one-excitation, multiple emission
imaging, allowing us to study the cell behavior more robustly. This approach
shows promise in generating dyes suitable for super-resolution microscopy and
second window near-infrared imaging.
PMID- 29792691
TI - The Role of Small Nanoparticles on the Formation of Hot Spots under Microwave
Assisted Hydrothermal Heating.
AB - Herein, we report a detailed study of microwave-matter interaction focused on the
role of small nanoparticles and the effects on microwave thermal heating. We have
used a model reaction (degradation of methylene blue) to study the influence of
temperature, size, and catalytic properties of the nanoparticles in the potential
formation of hot spots. Total mineralization was achieved after 3 h microwave
heating at 200 degrees C in the presence of 2 nm TiO2 nanoparticles (92%
calculated TOC decay), but the reaction resulted in a mixture of intermediates
(52% TOC decay) in the absence of TiO2. The effect of temperature was evaluated
by carrying out the reaction at 120 degrees C, and the results were similar to
those obtained in the absence of TiO2, but with lower TOC removal efficiencies
(12-14%). For comparison, the degradation of MB was also followed using
(noncatalytic) SiO2 and MnO2 nanoparticles of comparable size. Differences in the
degradation efficiency may be ascribed to the formation of hot spots at the
particles surface, as a result of large heat accumulation liable to provide
enough energy to the system to accomplish C-C bond break and to achieve total
mineralization.
PMID- 29792692
TI - Small Molecule Targeted Recruitment of a Nuclease to RNA.
AB - The choreography between RNA synthesis and degradation is a key determinant in
biology. Engineered systems such as CRISPR have been developed to rid a cell of
RNAs. Here, we show that a small molecule can recruit a nuclease to a specific
transcript, triggering its destruction. A small molecule that selectively binds
the oncogenic microRNA(miR)-96 hairpin precursor was appended with a short 2'-5'
poly(A) oligonucleotide. The conjugate locally activated endogenous, latent
ribonuclease (RNase L), which selectively cleaved the miR-96 precursor in cancer
cells in a catalytic and sub-stoichiometric fashion. Silencing miR-96 derepressed
pro-apoptotic FOXO1 transcription factor, triggering apoptosis in breast cancer,
but not healthy breast, cells. These results demonstrate that small molecules can
be programmed to selectively cleave RNA via nuclease recruitment and has broad
implications.
PMID- 29792693
TI - A Titanium Oxo Cluster Model Study of Synergistic Effect of Co-coordinated Dye
Ligands on Photocurrent Responses.
AB - The use of multiple sensitizers in dye sensitized solar cells has been attractive
as a promising way to achieve highly efficient photovoltaic performance. However,
except for the complementary absorption, synergistic effects among the dye
components have not been well understood. Herein, using ferrocene-1-carboxylate
(FcCO2) and catechol (Cat) as dye ligands, two titanium oxo clusters (TOCs),
[Ti3O(OiPr)6(Cat)(FcCO2)2] (1) and [Ti7O4(OiPr)8(Cat)5(FcCO2)2] (2), were
synthesized and structurally characterized. Another TOC, [Ti7O3(OiPr)12(Cat)4( o
BDC)] (3) ( o-BDC = o-benzene dicarboxylate), was also prepared as a contrast.
Electronic spectra and theoretical calculations showed that charge transfer
occurs from ligands FcCO2 and Cat to the TiO cluster core and the contribution of
redox active FcCO2 is greater than that of Cat. Using the clusters as TiO-dye pre
anchored precursors, multi-dye sensitized TiO2 electrodes were prepared. Although
the two dyes FcCO2 and Cat do not complement each other in spectra, a synergistic
effect on the enhancement of photocurrent responses was found and discussed in
view of the inter-dyes electron communication.
PMID- 29792694
TI - Biomimetic Artificial Epigenetic Code for Targeted Acetylation of Histones.
AB - While the central role of locus-specific acetylation of histone proteins in
eukaryotic gene expression is well established, the availability of designer
tools to regulate acetylation at particular nucleosome sites remains limited.
Here, we develop a unique strategy to introduce acetylation by constructing a
bifunctional molecule designated Bi-PIP. Bi-PIP has a P300/CBP-selective
bromodomain inhibitor (Bi) as a P300/CBP recruiter and a pyrrole-imidazole
polyamide (PIP) as a sequence-selective DNA binder. Biochemical assays verified
that Bi-PIPs recruit P300 to the nucleosomes having their target DNA sequences
and extensively accelerate acetylation. Bi-PIPs also activated transcription of
genes that have corresponding cognate DNA sequences inside living cells. Our
results demonstrate that Bi-PIPs could act as a synthetic programmable histone
code of acetylation, which emulates the bromodomain-mediated natural propagation
system of histone acetylation to activate gene expression in a sequence-selective
manner.
PMID- 29792695
TI - Bond Dissociation Energies of Metallo-supramolecular Building Blocks: Insight
from Fragmentation of Selectively Self-Assembled Heterometallic Metallo
supramolecular Aggregates.
AB - A series of selectively self-assembled metallo-supramolecular square-like
macrocycles with unsymmetric ditopic linkers and two different types of metal
corners, i.e., {Pd(eta3-2-Me-C3H4)} and {M(dppp)} with dppp = 1,3
bis(diphenylphosphino)propane and M = Pd2+ or Pt2+, have been studied in the gas
phase using collision-induced dissociation. The aggregates show distinct
fragmentation patterns determined by ligand length, i.e, aggregate size, and type
of metal corner. Information on relative binding strength can be deduced. This is
of particular interest for (methylallyl)Pd as a relatively new building block in
metallo-supramolecular chemistry. The phosphane end of the unsymmetric ligand
connected to (eta3-2-Me-C3H4)Pd is bound significantly stronger than its pyridine
end to (dppp)Pt and (dppp)Pd. These results are corroborated by DFT calculations.
PMID- 29792697
TI - Association of Type 2 Diabetes with Submicron Titanium Dioxide Crystals in the
Pancreas.
AB - Pigment-grade titanium dioxide (TiO2) of 200-300 nm particle diameter is the most
widely used submicron-sized particle material. Inhaled and ingested TiO2
particles enter the bloodstream, are phagocytized by macrophages and neutrophils,
are inflammatory, and activate the NLRP3 inflammasome. In this pilot study of 11
pancreatic specimens, 8 of the type 2 diabetic pancreas and 3 of the nondiabetic
pancreas, we show that particles comprising 110 +/- 70 nm average diameter TiO2
monocrystals abound in the type 2 diabetic pancreas, but not in the nondiabetic
pancreas. In the type 2 diabetic pancreas, the count of the crystals is as high
as 108-109 per gram.
PMID- 29792698
TI - Site-Selective Switching Strategies to Functionalize Polyazines.
AB - Many drug fragments and therapeutic compounds contain multiple pyridines and
diazines. Developing site-selective reactions where specific C-H bonds can be
transformed in polyazine structures would enable rapid access to valuable
derivatives. We present a study that addresses this challenge by selectively
installing a phosphonium ion as a versatile functional handle. Inherent factors
that control site-selectivity are described along with mechanistically driven
approaches for site-selective switching, where the C-+PPh3 group can be
predictably installed at other positions in the polyazine system. Simple
protocols, readily available reagents, and application to complex drug-like
molecules make this approach appealing to medicinal chemists.
PMID- 29792696
TI - Biochemical and Structural Characterization of a Schiff Base in the Radical
Mediated Biosynthesis of 4-Demethylwyosine by TYW1.
AB - TYW1 is a radical S-adenosyl-l-methionine (SAM) enzyme that catalyzes the
condensation of pyruvate and N-methylguanosine to form the posttranscriptional
modification, 4-demethylwyosine, in situ on transfer RNA (tRNA). Two mechanisms
have been proposed for this transformation, with one of the possible mechanisms
invoking a Schiff base intermediate formed between a conserved lysine residue and
pyruvate. Utilizing a combination of mass spectrometry and X-ray crystallography,
we have obtained evidence to support the formation of a Schiff base lysine adduct
in TYW1. When 13C labeled pyruvate is used, the mass shift of the adduct matches
that of the labeled pyruvate, indicating that pyruvate is the source of the
adduct. Furthermore, a crystal structure of TYW1 provides visualization of the
Schiff base lysine-pyruvate adduct, which is positioned directly adjacent to the
auxiliary [4Fe-4S] cluster. The adduct coordinates the unique iron of the
auxiliary cluster through the lysine nitrogen and a carboxylate oxygen,
reminiscent of how the radical SAM [4Fe-4S] cluster is coordinated by SAM. The
structure provides insight into the binding site for tRNA and further suggests
how radical SAM chemistry can be combined with Schiff base chemistry for RNA
modification.
PMID- 29792700
TI - Energy Landscapes of Mini-Dumbbell DNA Octanucleotides.
AB - Single-stranded DNA structures play a significant role in biological systems, in
particular during replication, translation, and DNA repair. Tracts of simple
repetitive DNA are associated with slipped-strand mispairing, which can lead to
genetic diseases. Recent NMR studies of TTTA and CCTG repeats have shown that
these sequences form mini-dumbbells (MDBs), leading to frameshift mutations. Here
we explore the energy landscapes of (CCTG)2 and (TTTA)2, which are currently the
smallest known molecules to form MDBs. While (CCTG)2 MDBs are stable, (TTTA)2
exhibits numerous other structures with lower energies. A key factor identified
in the stabilization of MDB structures is the bonding strength between residues 1
and 4, and 5 and 8.
PMID- 29792699
TI - Water-Soluble Ruthenium(II) Carbonyls with 1,3,5-Triaza-7-phosphoadamantane.
AB - As a continuation of our strategy for preparing new Ru(II) precursors with
improved water solubility through the introduction of highly water-soluble 1,3,5
triaza-7-phosphoadamantane (PTA) supporting ligands in the coordination sphere,
in this work, we address the largely unexplored preparation of Ru(II)-PTA
carbonyls. Two complementary synthetic approaches were used: (1) the treatment of
a series of neutral Ru(II)-CO-dmso compounds of general formula RuCl2(CO)
n(dmso)4- n ( n = 1-3, 1-5) with PTA; (2) the reaction of Ru(II)-PTA complexes
with CO. Through the first approach, we obtained and fully characterized seven
novel neutral compounds bearing from one to three PTA ligands per Ru atom,
namely, the four monocarbonyls, cis, cis, trans-RuCl2(CO)(dmso-S)(PTA)2 (6),
trans-RuCl2(CO)(PTA)3 (7), cis, mer-RuCl2(CO)(PTA)3 (8), and trans, trans, trans
RuCl2(CO)(OH2)(PTA)2 (10), and the three dicarbonyls, trans, trans, trans
RuCl2(CO)2(PTA)2 (11), [RuCl2(CO)2(PTA)]2 (12), and cis, cis, trans
RuCl2(CO)2(PTA)2 (13). The less stable, and thus more elusive, species fac
RuCl2(CO)(PTA)3 (9) and cis, cis, cis-RuCl2(CO)2(PTA)2 (14) were also
unambiguously identified but could not be obtained in pure form and fully
characterized. The complementary synthetic approach, that involved the treatment
of the trans- and cis-RuCl2(PTA)4 (15, 16) isomers with CO, afforded only one new
Ru(II)-PTA carbonyl, the cationic species cis-[RuCl(CO)(PTA)4]Cl (17). In
general, the choice of the solvent was very relevant for obtaining the products
with high yield and purity. We were unable to isolate Ru(II)-PTA compounds with
more than two carbonyls. The thermodynamically preferred species have CO trans to
Cl and two mutually trans PTAs, and only in the dinuclear compound 12 there is a
single PTA per Ru atom. Compounds 7 and 17 feature the unprecedented trans
{Ru(CO)(PTA)} fragment. The X-ray structures of cis, cis, cis-RuCl2(CO)2(dmso)2
(3), 6-8, 10, 11, 13, and 17 are also reported. All compounds are new, are air
stable, and show a good solubility in water ( S from 10 to 165 g.L-1) and, most
often, also in chloroform.
PMID- 29792701
TI - Spatial and Temporal Variability in Emissions of Fluorinated Gases from a
California Landfill.
AB - Emissions of twelve (hydro)chlorofluorocarbons (F-gases) and methane were
quantified using large-scale static chambers as a function of cover type (daily,
intermediate, final) and seasonal variation (wet, dry) at a California landfill.
The majority of the F-gas fluxes was positive and varied over 7 orders of
magnitude across the cover types in a given season (wet: 10-8 to 10-1 g/m2-day;
dry: 10-9 to 10-2 g/m2-day). The highest fluxes were from active filling areas
with thin, coarse-grained daily covers, whereas the lowest fluxes were from the
thick, fine-grained final cover. Historical F-gas replacement trends, waste age,
and cover soil geotechnical properties affected flux with significantly lower F
gas fluxes than methane flux (10-4 to 10+1 g/m2-day). Both flux and variability
of flux decreased with the order: daily to intermediate to final covers; coarser
to finer cover materials; low to high fines content cover soils; high to low
degree of saturation cover soils; and thin to thick covers. Cover-specific F-gas
fluxes were approximately one order of magnitude higher in the wet than dry
season, due to combined effects of comparatively high saturations, high void
ratios, and low temperatures. Emissions were primarily controlled by type and
relative areal extent of cover materials and secondarily by season.
PMID- 29792702
TI - Peniisocoumarins A-J: Isocoumarins from Penicillium commune QQF-3, an Endophytic
Fungus of the Mangrove Plant Kandelia candel.
AB - Ten new isocoumarins, named peniisocoumarins A-J (1-9 and 11), along with three
known analogues (10, 12, and 13) were obtained from the fermentation of an
endophytic fungus, Penicillium commune QQF-3, which was isolated from a fresh
fruit of the mangrove plant Kandelia candel. Their structures were elucidated
through extensive spectroscopic analysis. The absolute configurations of 1-7 were
determined by single-crystal X-ray diffraction and modified Mosher's method, and
those of 8, 9, and 11 were assigned on the basis of experimental and calculated
electronic circular dichroism data. Compounds 1 and 2 were unusual dimeric
isocoumarins with a symmetric four-membered core. These isolated compounds (1-13)
were evaluated for their cytotoxicity and enzyme inhibitory activities against
alpha-glucosidase and Mycobacterium tuberculosis protein tyrosine phosphatase B
(MptpB). Among them, compounds 3, 7, 9, and 11 exhibited potent inhibitory
effects against alpha-glucosidase with IC50 values ranging from 38.1 to 78.1 MUM,
and compound 7 was found to inhibit MptpB with an IC50 value of 20.7 MUM.
PMID- 29792703
TI - Structure-Based Design of Inhibitors with Improved Selectivity for Steroidogenic
Cytochrome P450 17A1 over Cytochrome P450 21A2.
AB - Inhibition of androgen biosynthesis is clinically effective for treating androgen
responsive prostate cancer. Abiraterone is a clinical first-in-class inhibitor of
cytochrome P450 17A1 (CYP17A1) required for androgen biosynthesis. However,
abiraterone also causes hypertension, hypokalemia, and edema, likely due in part
to off-target inhibition of another steroidogenic cytochrome P450, CYP21A2.
Abiraterone analogs were designed based on structural evidence that B-ring
substituents may favorably interact with polar residues in binding CYP17A1 and
sterically clash with residues in the CYP21A2 active site. The best analogs
increased selectivity of CYP17A1 inhibition up to 84-fold compared with 6.6-fold
for abiraterone. Cocrystallization with CYP17A1 validated the intended new
contacts with CYP17A1 active site residues. Docking these analogs into CYP21A2
identified steric clashes that likely underlie decreased binding and CYP21A2
inhibition. Overall, these analogs may offer a clinical advantage in the form of
reduced side effects.
PMID- 29792704
TI - Double Hydrogen-Atom Exchange Reactions of HX (X = F, Cl, Br, I) with HO2.
AB - A novel double hydrogen atom exchange process, HX + H'O2 -> H'X + HO2 for the
halogen series X = F, Cl, Br, and I, is identified using theoretical methods.
These concerted reactions are mediated through a stabilized five-membered planar
ring transition state structure. The transition state barrier for the double
exchange process is found to be significantly lower than that for the abstraction
reaction of a single hydrogen atom. Density functional theory employing the M11
exchange functional is used to compute parameters of the potential energy surface
and the rate coefficients are obtained using transition state theory with small
curvature tunneling. For low temperatures, the exchange reaction proceeds at a
rate several orders of magnitude faster than the abstraction channel, which is
also calculated. The exchange process may be observed using isotope scrambling
reactions; such reactions may contribute to observed isotope abundances in the
atmosphere. The rate coefficients for the isotopically labeled reactions are
computed. It is found that the trends in reactivity within the series of halogen
reactions can be quantitatively understood using the degree of electron
delocalization at the transition state. The barriers are found to fall as the
electronegativity of the halogen atom decreases.
PMID- 29792705
TI - Total Synthesis of Boletopsin 11 Enabled by Directed ortho-C(sp2)-H Arylation.
AB - A nine-step synthesis of boletopsin 11 (1), a bioactive fungal natural product,
is disclosed. Key features include a one-pot [O]-oxa-Michael cascade to establish
the polyoxygenated dibenzofuran core followed by a Pd-catalyzed directed ortho
C(sp2)-H arylation to complete the fully functionalized carbon skeleton.
Exploration of the latter transformation led to the discovery of an unexpected
tandem ortho-C(sp2)-H arylation event, and the scope of the directed ortho-C(sp2)
H reaction was further investigated with coupling partners varying in
stereoelectronic properties.
PMID- 29792706
TI - Adaptable Detection Strategies in Membrane-Based Immunoassays: Calibration-Free
Quantitation with Surface-Enhanced Raman Scattering Readout.
AB - This paper presents a method for immunometric biomarker quantitation that uses
standard flow-through assay reagents and obviates the need for constructing a
calibration curve. The approach relies on a nitrocellulose immunoassay substrate
with multiple physical addresses for analyte capture, each modified with
different amounts of an analyte-specific capture antibody. As such, each address
generates a distinctly different readout signal that is proportional to the
analyte concentration in the sample. To establish the feasibility of this
concept, equations derived from antibody-antigen binding equilibrium were first
applied in modeling experiments. Next, nitrocellulose membranes with multiple
capture antibody addresses were fabricated for detection of a model analyte,
human Immunoglobulin G (hIgG), by a heterogeneous sandwich immunoassay using
antibody-modified gold nanoparticles (AuNPs) as the immunolabel. Counting the
number of colored capture addresses visible to the unassisted eye enabled
semiquantitative hIgG determination. We then demonstrated that, by leveraging the
localized surface plasmon resonance of the AuNPs, surface-enhanced Raman
spectroscopy (SERS) can be used for quantitative readout. By comparing the SERS
signal intensities from each capture address with values predicted using
immunoassay equilibrium theory, the concentration of hIgG can be determined (~30%
average absolute deviation) without reference to a calibration curve. This work
also demonstrates the ability to manipulate the dynamic range of the assay over
~4 orders of magnitude (from 2 ng mL-1 to 10 MUg mL-1). The potential prospects
in applying this concept to point-of-need diagnostics are also discussed.
PMID- 29792707
TI - Nanoscale Conductive Filament with Alternating Rectification as an Artificial
Synapse Building Block.
AB - A popular approach for resistive memory (RRAM)-based hardware implementation of
neural networks utilizes one (or two) device that functions as an analog synapse
in a crossbar structure of perpendicular pre- and postsynaptic neurons. An ideal
fully automated, large-scale artificial neural network, which matches a biologic
counterpart (in terms of density and energy consumption), thus requires
nanosized, extremely low power devices with a wide dynamic range and multilevel
functionality. Unfortunately the trade-off between these traits proves to be a
serious obstacle in the realization of brain-inspired computing platforms yet to
be overcome. This study demonstrates an alternative manner for the implementation
of artificial synapses in which the local stoichiometry of metal oxide materials
is delicately manipulated to form a single nanoscale conductive filament that may
be used as a synaptic gap building block in an equivalent manner to the
functionality of a single connexon (a signaling pore between synapses) with
dynamic rectification direction. The structure, of a few nanometers in size, is
based on the formation of defect states and shows current rectification
properties that can be consecutively flipped to a forward or reverse direction to
create either an excitatory or inhibitory (positive or negative) weight
parameter. Alternatively, a plurality of these artificial connexons may be used
to create a synthetic rectifying synaptic gap junction. In addition, the junction
plasticity may be altered in a differential digital scheme (opposed to
conventional analog RRAM conductivity manipulation) by changing the ratio of
forward to reverse rectifying connexons.
PMID- 29792708
TI - Nonalcoholic Fatty Liver Disease and Diabetes Are Associated with Decreased
CYP3A4 Protein Expression and Activity in Human Liver.
AB - Nonalcoholic fatty liver disease (NAFLD) is a major cause of chronic liver
disease in the Western population. We investigated the association of
nonalcoholic fatty liver disease (NAFLD) and diabetes mellitus on CYP3A4 activity
in human liver tissue from brain dead donors ( n = 74). Histopathologically
graded livers were grouped into normal ( n = 24), nonalcoholic fatty liver (NAFL,
n = 26), and nonalcoholic steatohepatitis (NASH, n = 24) categories. The rate of
conversion of midazolam to its 1-hydroxy metabolite was used to assess in vitro
CYP3A4 activity in human liver microsomes (HLM). A proteomics approach was
utilized to quantify the protein expression of CYP3A4 and related enzymes.
Moreover, a physiologically based pharmacokinetic (PBPK) model was developed to
allow prediction of midazolam concentration in NAFL and NASH livers. CYP3A4
activity in NAFL and NASH was 1.9- and 3.1-fold ( p < 0.05) lower than normal
donors, respectively. Intrinsic clearance (CLint) was 2.7- ( p < 0.05) and 4.1
fold ( p < 0.01) lower in donors with NAFL and NASH, respectively. CYP3A4 protein
expression was significantly lower in NAFL and NASH donors ( p < 0.05) and
accounted for significant midazolam hydroxylation variability in a multiple
linear regression analysis (beta = 0.869, r2 = 0.762, P < 0.01). Diabetes was
also associated with decreased CYP3A4 activity and protein expression. Both
midazolam CLint and CYP3A4 protein abundance decreased significantly with
increase in hepatic fat accumulation. Age and gender did not exhibit any
significant association with the observed alterations. Predicted midazolam
exposure was 1.7- and 2.3-fold higher for NAFL and NASH, respectively, which may
result in a longer period of sedation in these disease-states. Data suggests that
NAFLD and diabetes are associated with the decreased hepatic CYP3A4 activity.
Thus, further evaluation of clinical consequences of these findings on the
efficacy and safety of CYP3A4 substrates is warranted.
PMID- 29792709
TI - Glycofunctionalization of Poly(lactic- co-glycolic acid) Polymers: Building
Blocks for the Generation of Defined Sugar-Coated Nanoparticles.
AB - A set of poly(lactic- co-glycolic acid) polymers functionalized with different
monosaccharides as well as glycodendrimers and surface-decorated nanoparticles
(NPs) were synthesized and characterized. The functionalization of the polymer
was carried out through amide bond formation with amino-modified sugar monomers
and through a biocompatible chemoselective method exploiting the reducing end of
a free sugar. The assemblage of the NPs adopting a nanoprecipitation method was
straightforward and allowed the preparation of sugars/sugar dendrimer coated NPs.
PMID- 29792710
TI - Measuring the Adhesion Forces for the Multivalent Binding of Vancomycin
Conjugated Dendrimer to Bacterial Cell-Wall Peptide.
AB - Multivalent ligand-receptor interaction provides the fundamental basis for the
hypothetical notion that high binding avidity relates to the strong force of
adhesion. Despite its increasing importance in the design of targeted
nanoconjugates, an understanding of the physical forces underlying the
multivalent interaction remains a subject of urgent investigation. In this study,
we designed three vancomycin (Van)-conjugated dendrimers G5(Van) n ( n = mean
valency = 0, 1, 4) for bacterial targeting with generation 5 (G5)
poly(amidoamine) dendrimer as a multivalent scaffold and evaluated both their
binding avidity and physical force of adhesion to a bacterial model surface by
employing surface plasmon resonance (SPR) spectroscopy and atomic force
microscopy. The SPR experiment for these conjugates was performed in a biosensor
chip surface immobilized with a bacterial cell-wall peptide Lys-d-Ala-d-Ala. Of
these, G5(Van)4 bound most tightly with a KD of 0.34 nM, which represents an
increase in avidity by 2 or 3 orders of magnitude relative to a monovalent
conjugate G5(Van)1 or free vancomycin, respectively. By single-molecule force
spectroscopy, we measured the adhesion force between G5(Van) n and the same cell
wall peptide immobilized on the surface. The distribution of adhesion forces
increased in proportion to vancomycin valency with the mean force of 134 pN at n
= 4 greater than 96 pN at n = 1 at a loading rate of 5200 pN/s. In summary, our
results are strongly supportive of the positive correlation between the avidity
and adhesion force in the multivalent interaction of vancomycin nanoconjugates.
PMID- 29792711
TI - Interfacial Emulsification: An Emerging Monodisperse Droplet Generation Method
for Microreactors and Bioanalysis.
AB - The generation of uniform droplets has been extensively investigated owing to its
profound potentials both in scientific research and engineering applications.
Although various methods have been put forward to expand this area, new
innovations are still needed to improve the technical convenience and save
instrumental cost. In this feature article, we highlight an interfacial
emulsification technique that we developed in the past several years. This
technique serves as a platform for preparing uniform droplets that are formed on
the air-liquid interface of the continuous phase based on interfacial shearing.
Three specific aspects of interfacial emulsification are reviewed, including its
basic design and principle, the preparation of droplets with controllable size
and adjustable components, and practical applications of the method in
bioanalysis, microreactors, and particle synthesis. Compared to other droplet
generation methods, several attractive advantages and perspectives for further
development have been summarized.
PMID- 29792712
TI - Targeted Discovery and Combinatorial Biosynthesis of Polycyclic Tetramate
Macrolactam Combamides A-E.
AB - Polycyclic tetramate macrolactams (PoTeMs) are a growing class of natural
products with distinct structure and diverse biological activities. By promoter
engineering and heterologous expression of the cryptic cbm gene cluster, four new
PoTeMs, combamides A-E (1-4), were identified. Additionally, two new derivatives,
combamides E (5) and F (6), were generated via combinatorial biosynthesis.
Together, our findings provide a sound base for expanding the structure
diversities of PoTeMs through genome mining and combinatorial biosynthesis.
PMID- 29792714
TI - Docking Screens for Dual Inhibitors of Disparate Drug Targets for Parkinson's
Disease.
AB - Modulation of multiple biological targets with a single drug can lead to
synergistic therapeutic effects and has been demonstrated to be essential for
efficient treatment of CNS disorders. However, rational design of compounds that
interact with several targets is very challenging. Here, we demonstrate that
structure-based virtual screening can guide the discovery of multi-target ligands
of unrelated proteins relevant for Parkinson's disease. A library with 5.4
million molecules was docked to crystal structures of the A2A adenosine receptor
(A2AAR) and monoamine oxidase B (MAO-B). Twenty-four compounds that were among
the highest ranked for both binding sites were evaluated experimentally,
resulting in the discovery of four dual-target ligands. The most potent compound
was an A2AAR antagonist with nanomolar affinity ( Ki = 19 nM) and inhibited MAO-B
with an IC50 of 100 nM. Optimization guided by the predicted binding modes led to
the identification of a second potent dual-target scaffold. The two discovered
scaffolds were shown to counteract 6-hydroxydopamine-induced neurotoxicity in
dopaminergic neuronal-like SH-SY5Y cells. Structure-based screening can hence be
used to identify ligands with specific polypharmacological profiles, providing
new avenues for drug development against complex diseases.
PMID- 29792713
TI - GaN Nanowire Arrays for Efficient Optical Read-Out and Optoelectronic Control of
NV Centers in Diamond.
AB - Solid-state quantum emitters embedded in a semiconductor crystal environment are
potentially scalable platforms for quantum optical networks operated at room
temperature. Prominent representatives are nitrogen-vacancy (NV) centers in
diamond showing coherent entanglement and interference with each other. However,
these emitters suffer from inefficient optical outcoupling from the diamond and
from fluctuations of their charge state. Here, we demonstrate the implementation
of regular n-type gallium nitride nanowire arrays on diamond as photonic
waveguides to tailor the emission direction of surface-near NV centers and to
electrically control their charge state in a p-i-n nanodiode. We show that the
electrical excitation of single NV centers in such a diode can efficiently
replace optical pumping. By the engineering of the array parameters, we find an
optical read-out efficiency enhanced by a factor of 10 and predict a lateral NV
NV coupling 3 orders of magnitude stronger through evanescently coupled nanowire
antennas compared to planar diamond not covered by nanowires, which opens up new
possibilities for large-scale on-chip quantum-computing applications.
PMID- 29792715
TI - Quantitative Analysis of Peptide-Matrix Interactions in Lyophilized Solids Using
Photolytic Labeling.
AB - Peptide-matrix interactions in lyophilized solids were explored using photolytic
labeling with reversed phase high performance liquid chromatography (rp-HPLC) and
mass spectrometric (MS) analysis. A model peptide (Ac-QELHKLQ-NHCH3) derived from
salmon calcitonin was first labeled with a heterobifunctional cross-linker NHS
diazirine (succinimidyl 4,4'-azipentanoate; SDA) at Lys5 in solution, with ~100%
conversion. The SDA labeled peptide was then formulated with the following
excipients at a 1:400 molar ratio and lyophilized: sucrose, trehalose, mannitol,
histidine, arginine, urea, and NaCl. The lyophilized samples and corresponding
solution controls were exposed to UV at 365 nm to induce photolytic labeling, and
the products were identified by MS and quantified with rp-HPLC or MS. Peptide
excipient adducts were detected in the lyophilized solids except the NaCl
formulation. With the exception of the histidine formulation, peptide-excipient
adducts were not detected in solution and the fractional conversion to peptide
water adducts in solution was significantly greater than in lyophilized solids,
as expected. In lyophilized solids, the fractional conversion to peptide-water
adducts was poorly correlated with bulk moisture content, suggesting that the
local water content near the labeled lysine residue differs from the measured
bulk average. In lyophilized solids, the fractional conversion to peptide
excipient adducts was assessed using MS extracted ion chromatograms (EIC);
subject to the assumption of equal ionization efficiencies, the fractional
conversion to excipient adducts varied with excipient type. The results
demonstrate that the local environment near the lysine residue of the peptide in
the lyophilized solids can be quantitatively probed with a photolytic labeling
method.
PMID- 29792716
TI - Options to improve the quality of kidney grafts from expanded criteria donors
experimental study.
AB - INTRODUCTION: There is a worldwide discrepancy between the number of donors and
the number of organs needed for transplantation, leading to certain expansion of
criteria, resulting in acceptance of donor organs. This means that organs with
worse parenchymal qualities may be harvested for transplantation. One
possibility, how to ameliorate the quality of these organs, is to change the
concept of their preservation during cold ischemia or even after sudden
circulatory arrest, prior to the collection of the organ itself. The goal of our
experimental study was to try to improve organ quality from these marginal
donors. METHODS: In the first part of our experimental study, we tested
retrograde oxygen persufflation (ROP) in preservation of ischemically damaged
kidney grafts. In ten animals (domestic pig), we compared standard intra-arterial
perfusion of the grafts using cooled perfusion solution (N=5), with a retrograde
oxygen persufflation method (N=5). The main criterion for evaluation was the
histopathological analysis of renal parenchyma after transplantation of the
kidney graft. In the second part of our experimental study, again using an animal
model of an ischemic kidney (laboratory rabbit), we compared machine perfusion of
the kidney graft with routinely used perfusion by hydrostatic pressure. For
evaluation, we added another two criteria - the volume of perfusate that flowed
through the graft and the temperature drop during perfusion. RESULTS: In the
first part of the study, we proved the ability of ROP to preserve and even
ameliorate the quality of ischemically damaged kidney grafts. Results of
histopathological analysis of samples taken during ROP were without statistically
significant difference in comparison with those taken during standard intra
arterial perfusion. In the second part of the study, we observed a significant
difference in maximal flow rate measured during perfusion of the kidney grafts,
favoring mechanical perfusion over perfusion using hydrostatic pressure
(p=0.004). The same situation was seen with the drop of temperature measured in
the parenchyma of the grafts (p<0.001). Finally, histopathological evaluation of
the renal parenchyma found better washing out of blood particles from the
capillaries during mechanical perfusion (p=0.005). CONCLUSION: The presented
results of our experimental studies establish that alternative methods of
preservation during cold ischemia and before removal of kidney grafts from the
donor may be beneficial for its function after transplantation. We believe that
these methods may be suitable especially for so-called marginal grafts from
extended criteria donors.Key words: transplantation donors after circulatory
death controlled organ perfusion in situ perfusion retrograde oxygen
persufflation.
PMID- 29792717
TI - Postoperative monitoring of colorectal anastomosis - experimental study.
AB - INTRODUCTION: Inadequate blood supply is one of the major risk factors for
colorectal anastomotic leak. Early postoperative detection of local ischemic
changes can predict complicated healing and lead to better outcome. Microdialysis
(MD) offers real-time evaluation of adequate bowel perfusion through monitoring
of tissue metabolism. The aim of this study was to assess the feasibility of MD
for early detection of ischemic changes in colorectal anastomosis. METHOD: Five
pigs with end-to-end colorectal anastomosis were included. MD catheter was placed
intramurally 5mm from anastomotic edge. Occlusive ischemia was induced after 3
measurements and followed by another 3 hours of monitoring. Tissue levels of
different metabolites were measured every 60 minutes before and after ischemia
induction. Mann-Whitney test was used to compare pre and post ischemic changes.
RESULTS: The monitoring of colorectal anastomosis using MD was technically
feasible and associated with no complications. Significant changes caused by
local ischemia were observed in decreased levels of glucose or pyruvate and
increased levels of lactate and glycerol. All metabolic changes were detectable
already in first samples 60 minutes after ischemia induction. CONCLUSION:
Postoperative ischemic changes in colorectal anastomosis can be detected by means
of microdialysis.Key words: colorectal anastomosis anastomotic leak
microdialysis.
PMID- 29792718
TI - Fixation of biomaterial to metallic stent and fixation of stents after circular
endoscopic dissection in the esophagus on an animal model.
AB - INTRODUCTION: Complete circular endoscopic (submucosal) resection (CER) performed
using the endoscopic submucosal dissection (ESD) technique is burdened with a
high incidence of post-operative strictures in the esophagus. The most effective
method of preventing them is not known so far; one of the possible methods is to
prevent these strictures directly at the site of their formation by covering the
defect with a stent. The aim of the study was to find a way to fix a selected
biomaterial to a stent, and subsequently, to fix the stent at the CER site to
prevent esophageal strictures in an animal model. METHOD: Miniature piglets from
the Czech Academy of Sciences breeding unit in Libechov (N=10) were used.
Endoscopy was performed using a single-channel endoscope. First, we made two
circular mucosal cuts spaced 5 cm apart in the middle esophagus and we performed
the CER between them using the endoscopic submucosal dissection technique. After
that, we covered the defect with a stent coated with biomaterial (Xe-Derma(r))
while we tried to prevent stent migration into the stomach. For stent fixation,
we tested endo-clips (N=3), the Apollo endoscopic system (N=1) and the suspension
technique using two polyamide threads (N=6) anchored in the nasal septum. We
performed a control endoscopy, stent removal and subsequent autopsy after 12
weeks. RESULTS: All procedures were completed successfully without serious
complications or deaths. Although stents covered with Xe-Derma(r) were applied to
the entire resection area, one case of mediastinitis and one paraesophageal
abscess were found during autopsy, most likely due to microperforations caused
during the procedure. Histological analysis showed that after contact with the
biomaterial, re-epithelisation took place within one week of application to the
resection area. Stent migration occurred in each case when the stent was attached
to the esophageal wall by endo-clips or with the endoscopic suture system
(Apollo). There was no stent dislocation in the cases where the stent was
suspended by two polyamide threads. CONCLUSION: We developed a technique of
fixing biomaterial to the surface of metallic stents which we used to prevent the
formation of esophageal strictures after CER. Distal suspension fixation of the
stent with a polyamide thread proved to be the most effective, while fixations by
endo-clips or with the endoscopic suture system were ineffective.Key words:
benign esophageal strictures circular endoscopic resection endoscopic submucosal
dissection complication prevention.
PMID- 29792719
TI - [Sinusoidal obstruction syndrome induced by monocrotaline in a large animal
experiment - a pilot study].
AB - INTRODUCTION: Sinusoidal obstruction syndrome (SOS) is a disease which is caused
by toxic injury to hepatic sinusoids. This syndrome is most frequently caused by
myeloablative radiochemotherapy in patients before hematopoietic stem cells
transplantation and also by oxaliplatin mainly in patients with colorectal liver
metastases. The aim of this study was to establish a large animal model of SOS,
which would enable further study of this disease and facilitate translation of
experimental outcomes into human medicine. METHODS: A total of 27 domestic pigs
(Prestice Black-Pied pig) were involved in this study (12 females). A group with
a higher dose of monocrotaline (180 mg/kg) included 5 animals, and the remaining
22 pigs formed another group with a lower dose (36 mg/kg). Monocrotaline was
administered via the portal vein and one week after the administration, partial
hepatectomy of the left lateral liver lobe was performed. The animals were
followed up for 3 weeks after monocrotaline administration. Regular ultrasound
examinations were performed as well as examination of biochemical markers of
liver and kidney functions and histological examination of liver parenchyma
samples. RESULTS: The features of toxic liver injury which we observed in case of
all animals were comparable with macroscopic and microscopic appearance of SOS.
We recorded AST, ALT, bilirubin and ammonia elevation after monocrotaline
administration. Echogenicity on ultrasound images of injured liver parenchyma was
higher compared to echogenicity of healthy parenchyma. All the five animals from
the first group with a higher monocrotaline dose had died before partial
hepatectomy (1st-3rd day after monocrotaline administration). Death before
partial hepatectomy occurred in 3 cases (6th and 7th day after monocrotaline
administration) in the second group of 22 animals with a lower dose of
monocrotaline. Death after partial hepatectomy occurred in 8 cases (7th-17th day
after moncrotaline administration) in the same group. 11 animals survived the
entire experimental period. The cause of death (in both groups) was metabolic
failure in 10 animals and exsanguination in 4 animals, both due to severe
hepatopathy. Death of 2 animals was not associated with monocrotaline
intoxication (strangulation of small intestine, gastrectasis). CONCLUSIONS: We
established a large animal model of SOS induced by monocrotaline administration
(36 mg/kg via portal vein). This model can contribute to research of therapeutic
modalities for this disease or to evaluation of surgical treatment of patients
with SOS.Key words: sinusoidal obstruction syndrome monocrotaline oxaliplatin
hepatotoxicity experimental model.
PMID- 29792720
TI - [Experimental processing of corrosion casts of large animal organs].
AB - INTRODUCTION: Corrosion casts (CCs) are used for the visualization and assessment
of hollow structures. CCs with filled capillaries enable (with the help of
imaging methods) to obtain data for mathematical organ perfusion modelling. As
the processing is more difficult in case of organs with greater volume of the
vasculature, mainly organs from small animals have been cast up to now. The aim
of this study was to optimize the protocol of corrosion casting of different
organs of pig. Porcine organs are relatively easily accessible and frequently
used in experimental medicine. METHOD: Organs from 10 healthy Prestice Black-Pied
pigs (6 females, body weight 35-45 kg), were used in this study (liver, spleen,
kidneys and small intestine). The organs were dissected, heparin was administered
into the systemic circulation and then the vascular bed of the organs was flushed
with heparinized saline either in situ (liver) or after their removal (spleen,
kidney, small intestine). All handling was done under the water surface to
prevent air embolization. The next step was an intraarterial (in case of the
liver also intraportal) administration of Biodur E20(r) (Heidelberg, Germany)
resin. After hardening of the resin the organ tissue was dissolved by 15% KOH and
the specimen was rinsed with tap water. Voluminous casts were stored in 70%
denatured alcohol, the smaller ones were lyophilized. The casts were assessed
with a stereomicroscope, computed and microcomputed tomography (CT and microCT),
a scanning electron microscope (SEM) and high-resolution digital microscope
(HRDM). RESULTS: High-quality CCs of the porcine liver, kidneys, spleen and small
intestine were created owing to the sophisticated organ harvesting, the suitable
resin and casting procedure. Macroscopic clarity was improved thanks to the
possibility of resin dying. Scanning by CT was performed and showed to be a
suitable method for the liver cast examination. MicroCT, SEM and HRDM produced
images of the most detailed structures of vascular bed. Despite the fact that SEM
seems to be an irreplaceable method for CCs quality control, it seems that this
modality could be partly replaced by HRDM. MicroCT enabled to obtain data about
three-dimensional layout of the vascular bed and data for mathematical modelling
of organ perfusion. With regard to the quality of the CCs, they could also be
used to teach human anatomy. CONCLUSIONS: The protocol of the corrosion casting
of the porcine liver, kidneys, spleen and small intestine CCs was optimized.
Thanks to different imaging methods, the CCs can be used as a source of data on
three-dimensional architecture of the vascular bed. These data can be used for
mathematical modeling of organ perfusion which can be helpful for example for
optimization of organ resections.Key words: corrosion casts microvasculature
Biodur E20(r) domestic pig animal model.
PMID- 29792721
TI - [Use of viscoelastic methods in surgery].
AB - INTRODUCTION: Major liver resections are associated with high morbidity and
mortality. The main causes of this fact include a significant blood loss which
may be associated with this surgical procedure. Hemocoagulation disorder,
diagnosed by standard laboratory tests, is very frequent in the postoperative
period and often requires the administration of blood derivatives. Several recent
studies, however, have questioned the presence of such coagulopathy when
viscoelastic methods are used to assess the coagulation process. The studies have
concluded that that the coagulation disorder only exists at a laboratory level,
the coagulation process itself being unaffected, and no therapeutic intervention
is therefore needed. The use of viscoelastic methods may play a crucial role in
deciding whether or not to correct the assumed coagulation disorder. METHOD: Our
study was designed as a prospective observational study. Data were collected in
the Surgical Intensive Care Unit during one year (1 January - 31 December 2016).
The study included 18 patients who underwent major liver resection. When
coagulation disorder was diagnosed using standard coagulation tests in the
postoperative period, we performed a ROTEM examination. The results of the
standard and ROTEM examination were then compared. RESULTS: Out of the total of
18 patients enrolled in the study, a coagulopathy was diagnosed in 15 cases (83%)
using standard coagulation tests. In these patients, we performed rotational
tromboelastometry (ROTEM) which did not show any coagulation disorder.
CONCLUSION: Our study has demonstrated that when viscoelastic methods are used to
evaluate the function of blood clotting in patients after major hepatic surgery,
no coagulopathy has been found as opposed to the evaluation with standard blood
clotting tests. Unnecessary transfusions of blood derivatives can be avoided as
well as all risks linked to their administration. Viscoelastic methods of
coagulation assessment (ROTEM, TEG) help provide a detailed insight into the
coagulation process and our observations have shown that they should play a
significant role in the postoperative assessment of patients following major
hepatic resections.Key words: ROTEM - viscoelastic methods - coagulopathy.
PMID- 29792722
TI - [Laparoscopic versus open left pancreatectomy: surgical stress response
comparison in the porcine model].
AB - INTRODUCTION: Although several techniques of laparoscopic left pancreatectomy
have already been developed through experiments on animals and human patients,
there is still insufficient information about their pathophysiological
mechanisms, especially the impact on surgical stress. METHOD: In a group of 10
pigs, open left pancreatectomy was performed, and the other group of 10 pigs
underwent laparoscopic left pancreatectomy. Postoperative stress was compared by
determining serum levels of leukocytes, interleukin 1, 6 and CRP from peripheral
venous blood collection. The blood was collected prior to incision, 1 and 2 hours
after incision, 24 hours after the beginning of the procedure, and on the 7th
postoperative day. RESULTS: No statistically significant difference was found
between open and laparoscopic left pancreatectomy in the measured values of
leukocytes, IL-1 and 6 and CRP.Key words: laparoscopy - left pancreatectomy
surgical stress response interleukin 1 interleukin 6.
PMID- 29792723
TI - [Experimental promotion of liver regeneration after portal vein branch ligation].
AB - INTRODUCTION: Portal vein embolization or ligation (PVE/PVL) is part of most
multi-stage liver procedures in the case of low future liver remnant volume
(FLRV). PVE initiates compensatory hypertrophy of non-occluded liver parenchyma.
This hypertrophy is stimulated by an increased volume of portal blood in the non
occluded veins. PVE results in adequate FLRV growth necessary for resection only
in 63-96% patients. The aim of this publication is to summarize the possibilities
of influencing liver regeneration after PVE/PVL in an experiment using cytokines
(TNF-alpha, IL-6), a monoclonal antibody against TGF-beta1 (MAB TGF-beta1) and
mesenchymal stem cells (MSC). METHODS: The experimental model of PVE/PVL was
chosen as best compatible for potential use in human medicine. 9 (control group),
9 (TNF-alpha group), 8 (IL-6 group), 6 (MSC group) and 7 piglets (MAB TGF-beta1
group) were enrolled in individual studies. We performed laparotomy with PVL of
the right-sided liver lobes under general anaesthesia. The following amounts of
substances were applied in the non-occluded portal vein branches immediately
after PVL: physiological solution (control group), recombinant porcine TNF-alpha
(5 MUg/kg), recombinant porcine IL-6 (0.5 MUg/kg) and MSC (8.75, 14.0, 17.0,
17.5, 43.0 and 61.0 x 106 MSC). MAB TGF-beta1 was applied 24 hours after PVL (40
MUg/kg). Biochemical parameters were analysed repeatedly and FLRV ultrasound
assessments were performed in the postoperative period. The experiments were
ended on postoperative day 14 by sacryfiing the animals under general
anaesthesia. Liver samples of hypertrophic and atrophic liver parenchyma were
analysed. RESULTS: Repeated ultrasound assessments of the effects of MSC, TNF
alpha, IL-6 and MAB TGF-beta1 compared with the physiological solution in the
control group demonstrated statistically significant acceleration of FLRV growth
in the experimental groups. For MSC, maximum growth was observed between
postoperative days 3 and 7, on day 7 for TNF-alpha, between days 3 and 7 for MAB
TGF-beta1 and on day 7 for IL-6. Serum levels of AST and ALT increased after PVL
and MSC whereas other biochemical parameters showed no statistically significant
differences. We identified individual MSC using immunohistochemistry in the
hypertrophic tissue of the MSC group. A statistically significant difference was
observed in the number of binucleated hepatocytes, with their increased
concentration in the IL-6 group. CONCLUSION: Application of IL-6, TNF-alpha, MAB
TGF-beta1 and MSC seems to provide suitable stimulation for achieving faster FLRV
growth. Nevertheless, many controversial questions still remain to be answered
with respect to the mechanism of their respective effects.Key words: liver
regeneration portal vein embolization large animal experiment mesenchymal stem
cells cytokines.
PMID- 29792724
TI - A systematic understanding of signaling by ErbB2 in cancer using
phosphoproteomics.
AB - ErbB2 is an important receptor tyrosine kinase and a member of the ErbB family.
Although it does not have a specific ligand, it transmits signals downstream by
heterodimerization with other receptors in the family. It plays a major role in a
variety of cellular responses like proliferation, differentiation, and adhesion.
ErbB2 is amplified at the DNA level in breast cancer (20%-30%) and gastric cancer
(10%-20%), and trastuzumab is effective as a therapeutic antibody. This review is
a critical analysis of the currently published data on the signaling pathways of
ErbB2 and the interacting proteins. It also focuses on the techniques that are
currently available to evaluate the entire phosphoproteome following activation
of ErbB2. Identification of new and relevant phosphoproteins can not only serve
as new therapeutic targets but also as a surrogate marker in patients to assess
the activity of compounds that inhibit ErbB2. Overall, such analysis will improve
understanding of signaling by ErbB2.
PMID- 29792725
TI - Novel Breast Imaging and Machine Learning: Predicting Breast Lesion Malignancy at
Cone-Beam CT Using Machine Learning Techniques.
AB - OBJECTIVE: The purpose of this study is to evaluate the diagnostic performance of
machine learning techniques for malignancy prediction at breast cone-beam CT
(CBCT) and to compare them to human readers. SUBJECTS AND METHODS: Five machine
learning techniques, including random forests, back propagation neural networks
(BPN), extreme learning machines, support vector machines, and K-nearest
neighbors, were used to train diagnostic models on a clinical breast CBCT dataset
with internal validation by repeated 10-fold cross-validation. Two independent
blinded human readers with profound experience in breast imaging and breast CBCT
analyzed the same CBCT dataset. Diagnostic performance was compared using AUC,
sensitivity, and specificity. RESULTS: The clinical dataset comprised 35 patients
(American College of Radiology density type C and D breasts) with 81 suspicious
breast lesions examined with contrast-enhanced breast CBCT. Forty-five lesions
were histopathologically proven to be malignant. Among the machine learning
techniques, BPNs provided the best diagnostic performance, with AUC of 0.91,
sensitivity of 0.85, and specificity of 0.82. The diagnostic performance of the
human readers was AUC of 0.84, sensitivity of 0.89, and specificity of 0.72 for
reader 1 and AUC of 0.72, sensitivity of 0.71, and specificity of 0.67 for reader
2. AUC was significantly higher for BPN when compared with both reader 1 (p =
0.01) and reader 2 (p < 0.001). CONCLUSION: Machine learning techniques provide a
high and robust diagnostic performance in the prediction of malignancy in breast
lesions identified at CBCT. BPNs showed the best diagnostic performance,
surpassing human readers in terms of AUC and specificity.
PMID- 29792727
TI - Added Value of Including Entire Brain on Body Imaging With FDG PET/MRI.
AB - OBJECTIVE: FDG PET/MRI examination of the body is routinely performed from the
skull base to the mid thigh. Many types of brain abnormalities potentially could
be detected on PET/MRI if the head was included. The objective of this study was
therefore to identify and characterize brain findings incidentally detected on
PET/MRI of the body with the head included. MATERIALS AND METHODS: We
retrospectively identified 269 patients with FDG PET/MRI whole-body scans that
included the head. PET/MR images of the brain were reviewed by a nuclear medicine
physician and neuroradiologist, first individually and then concurrently. Both
PET and MRI findings were identified, including abnormal FDG uptake, standardized
uptake value, lesion size, and MRI signal characteristics. For each patient,
relevant medical history and prior imaging were reviewed. RESULTS: Of the 269
subjects, 173 were women and 96 were men (mean age, 57.4 years). Only the initial
PET/MR image of each patient was reviewed. A total of 37 of the 269 patients
(13.8%) had abnormal brain findings noted on the PET/MRI whole-body scan. Sixteen
patients (5.9%) had vascular disease, nine patients (3.3%) had posttherapy
changes, and two (0.7%) had benign cystic lesions in the brain. Twelve patients
(4.5%) had serious nonvascular brain abnormalities, including cerebral metastasis
in five patients and pituitary adenomas in two patients. Only nine subjects
(3.3%) had a new neurologic or cognitive symptom suggestive of a brain
abnormality. CONCLUSION: Routine body imaging with FDG PET/MRI of the area from
the skull base to the mid thigh may miss important brain abnormalities when the
head is not included. The additional brain abnormalities identified on whole-body
imaging may provide added clinical value to the management of oncology patients.
PMID- 29792726
TI - I Like Them...Will They Like Me? Evidence for the Role of the Ventrolateral
Prefrontal Cortex During Mismatched Social Appraisals in Anxious Youth.
AB - OBJECTIVE: Socially anxious adolescents report distress during social decision
making, wherein their favorable view of peers directly conflicts with their
expectation to be viewed negatively by peers; a phenomenon we refer to as
"mismatch bias." The present study utilizes a novel paradigm with dynamic social
stimuli to explore the correlates of mismatch biases in anxious and healthy
youth. METHOD: The behavioral and neural correlates of mismatch biases were
assessed in healthy (N = 17) and anxious (N = 14) youth during functional MRI.
Participants completed a novel task where they viewed silent videos of unknown
peers. After viewing each video, participants appraised the social desirability
of the peer ("How much do you think you would like them [if you met them]") or
predicted how socially desirable the peer would find them ("How much do you think
they would like you [if you met them]"). Each participant's mismatch bias was
calculated as the difference between their appraisal of peers and their
prediction of peers' appraisal of them. RESULTS: We found that anxious youth
exhibited mismatch bias: they rated unknown peers as more desirable than they
predicted peers would rate them. This effect was not present in the healthy
group. Mismatch biases were associated with increased engagement of the
ventrolateral prefrontal cortex (vlPFC), a region broadly involved in flexible
cognitions and behavioral selection. In addition, greater mismatch biases and
vlPFC activation during mismatch biases were associated with more severe anxiety
symptoms. CONCLUSIONS: The findings highlight the importance of understanding
mismatch biases to inform treatments that target distress elicited by discrepant
social appraisals in anxious youth.
PMID- 29792728
TI - Single-Acquisition Triple-Bolus Dual-Energy CT Protocol for Comprehensive
Evaluation of Renal Masses: A Single-Center Randomized Noninferiority Trial.
AB - OBJECTIVE: The primary objective of this study was to compare triple-bolus dual
energy CT (DECT) against standard triple-phase MDCT in terms of appropriateness
of patient treatment. SUBJECTS AND METHODS: One hundred twenty-four patients with
suspected renal masses seen at ultrasound were randomized into triple-bolus DECT
and triple-phase MDCT groups. Patients in the triple-bolus DECT group underwent
synchronous corticomedullary nephrographic delayed-phase triple-bolus DECT. In
the triple-phase MDCT group, single-energy triple-phase scans were acquired after
an unenhanced scan. The primary outcome was appropriateness of treatment received
at 1 year. The predefined noninferiority limit was 10%. Histopathologic analysis
or follow-up confirmed the benign or malignant nature of the masses. Diagnostic
accuracy to differentiate benign from malignant masses was calculated. Size
specific dose estimates were compared. RESULTS: After excluding six patients, 118
patients were analyzed (62 triple-bolus DECT; 56 triple-phase MDCT). Treatment
appropriateness was not significantly different (p = 0.9397) between the two
groups (61/62 [98.39%; 95% CI, 95.26-101.52%] for triple-bolus DECT vs 55/56
[98.21%; 95% CI, 94.74-101.68%] for triple-phase MDCT). The absolute difference
was 0.18% (95% CI, -4.48% to 4.84%). Both techniques had similar diagnostic
accuracy (sensitivity, 98.25% vs 96.67%; specificity, 98.17% vs 97.97%). The mean
(+/- SD) size-specific dose estimate was significantly lower for triple-bolus
DECT than for triple-phase MDCT (19.02 +/- 4.07 vs 57.04 +/- 15.17 mGy; p <
0.0001). CONCLUSION: Single-acquisition triple-bolus DECT is noninferior to
triple-phase MDCT, with similar diagnostic accuracy but delivering significantly
less radiation.
PMID- 29792730
TI - Nivolumab for the treatment of colorectal cancer.
AB - INTRODUCTION: Despite a variety of therapies for advanced metastatic colorectal
cancer being available, the outcomes in this malignancy remain suboptimal.
Immunotherapy has been slow to impact the management of this patient group.
Checkpoint inhibitors, such as nivolumab, have had disappointing results when
used broadly. However, for the subset of patients with microsatellite unstable
colorectal cancer, the use of checkpoint inhibitors such as nivolumab appears to
be transformative, and will provide a new therapeutic option for patient with
advanced disease. Areas covered: Nivolumab gained regulatory approval for the
treatment of dMMR/MSI-H metastatic colorectal cancer in mid 2017. The current
review will summarize the clinical evidence of checkpoint inhibitors in
metastatic colorectal cancer, with a focus on nivolumab. Expert commentary: For
patients with dMMR/MSI-H mCRC, the use of nivolumab has now been shown to have
objective and sustained clinical responses in a pivotal phase II trial. While
additional data are limited, the therapeutic role for augmenting an immune
response in metastatic colorectal cancer is likely to continue to expand. Further
combination trials of nivolumab with immunologic and non-immunologic agents are
ongoing.
PMID- 29792732
TI - Phosphatidylinositol 3-kinase beta and delta isoforms play key roles in
metastasis of prostate cancer DU145 cells.
AB - Metastasis is the main cause of the lethality of prostate cancer. Class I
phosphatidylinositol 3-kinases (PI3Ks), which contain 4 isoforms, alpha, beta,
delta, and gamma, are known to play important roles in cell growth, migration,
invasion, and so on. However, the respective role of each PI3K isoform in cancer
cell migration and invasion remains unknown. In a study that aimed to elucidate
the respective role of the 4 PI3K isoforms, we investigated the change in
migratory and invasive ability of DU145 cells after treatment with each PI3K
isoform-specific inhibitor. Both migration and invasion of DU145 cells were
potently blocked by each of the PI3Kbeta inhibitors (GSK2636771 and TGX221) and
PI3Kdelta inhibitors (CAL101 and IC87114) while not obviously affected by
PI3Kalpha inhibitor BYL719 or PI3Kgamma inhibitor AS252424. Furthermore, knocking
down PI3Kbeta or PI3Kdelta isoform led to a significant decrease in migration of
DU145. The results suggest that PI3Kbeta and PI3Kdelta play key roles in prostate
cancer cell migration, while PI3Kalpha and PI3Kgamma might be redundant. Oral
administration of GSK2636771 (100 mg/kg) and CAL101 (30 mg/kg) inhibited tumor
growth in bone, an experimental model by intratibia injection of DU145 cells,
with improved bone structure and bone mineral density analyzed by micro-computed
tomography. Tissue staining indicated reduction of metastatic DU145 cells and
osteoclasts in the bones of GSK2636771- and CAL101-treated mice compared to the
untreated group. In summary, our results indicated the distinct roles of 4 PI3K
isoforms in the migration of prostate cancer DU145 cells, and they demonstrated
the in vitro and in vivo antimetastatic effect of PI3K-isoform specific
inhibitors, most of which are in clinical trials.-Zhang, Z., Liu, J., Wang, Y.,
Tan, X., Zhao, W., Xing, X., Qiu, Y., Wang, R., Jin, M., Fan, G., Zhang, P.,
Zhong, Y, Kong, D. Phosphatidylinositol 3-kinase beta and delta isoforms play key
roles in metastasis of prostate cancer DU145 cells.
PMID- 29792731
TI - APR3 modulates oxidative stress and mitochondrial function in ARPE-19 cells.
AB - Impairment of retinal pigment epithelial (RPE) cells is considered a key
contributor to the development of age-related macular degeneration. Apoptosis
related protein 3 (APR3) was recently discovered after treatment with all- trans
retinoic acid, a pivotal molecule in RPE cells. However, the function of APR3
remains poorly understood. In the present study, we found that APR3 could
interact with nuclear factor (erythroid-derived 2)-like 2, which is a regulator
of phase II enzymes, and that knockdown of APR3 promoted nuclear factor
(erythroid-derived 2)-like 2 nuclear translocation and activated expression of
phase II enzymes, which was accompanied by improved redox status and
mitochondrial activity. Overexpression of APR3 revealed its mitochondrial
localization and induced a robust production of reactive oxygen species that was
accompanied by impaired mitochondrial oxygen consumption, complex activity, and
lower ATP content, resulting in significant changes in mitochondrial structure,
which may contribute to cell apoptosis. High doses of all- trans retinoic acid
treatment were found to significantly induce APR3 expression, increase reactive
oxygen species levels, and decrease ATP content, which were abolished by
knockdown of APR3. These results indicate that APR3 plays a vital role in
regulating redox status and mitochondrial activity and thus suggest APR3 might be
a potential novel target for study of treatment of age-related macular
degeneration.-Li, Y., Zou, X., Gao, J., Cao, K., Feng, Z., Liu, J. APR3 modulates
oxidative stress and mitochondrial function in ARPE-19 cells.
PMID- 29792729
TI - 90-kVp low-tube-voltage CT pulmonary angiography in combination with advanced
modeled iterative reconstruction algorithm: effects on radiation dose, image
quality and diagnostic accuracy for the detection of pulmonary embolism.
AB - OBJECTIVE: To evaluate low-tube-voltage 90-kVp CT pulmonary angiography (CTPA)
with advanced modeled iterative reconstruction algorithm (Admire) compared to 120
kVp equivalent dual-energy (DE) acquisition with regards to radiation exposure,
image quality and diagnostic accuracy for pulmonary embolism (PE) assessment.
METHODS: CTPA studies of 40 patients with suspected PE (56.7 +/- 16.3 years)
performed on a third-generation 192-slice dual-source CT scanner were
retrospectively included. 120-kVp equivalent linearly-blended (60% 90-kVp, 40%
150-kVp) and 90-kVp images were reconstructed. Attenuation and noise of the
pulmonary trunk were measured to calculate contrast-to-noise ratios (CNR). Three
radiologists assessed the presence of central and segmental PE and diagnostic
confidence. Interobserver agreement was calculated using intraclass correlation
coefficient (ICC). Radiation exposure was assessed as effective dose (ED).
RESULTS: Pulmonary trunk CNR values were significantly increased in 90-kVp
compared to linearly-blended series (15.4 +/- 6.3 vs 11.3 +/- 4.6, p < 0.001).
Diagnostic accuracy for PE assessment was similar in both series with excellent
interobserver agreement (p = 0.48; ICC, 0.83; p = 0.48). Overall confidence for
PE assessment was rated excellent for both series with a significant advantage
for linearly-blended series (p < 0.001; 4.1 vs 3.8). ED was reduced by 37.2% with
90-kVp compared to 120-kVp equivalent image series (1.1 +/- 0.6 vs 1.7 +/- 0.7
mSv, p < 0.001). CONCLUSION: 90-kVp CTPA with Admire provided increased
quantitative image quality with similar diagnostic accuracy and confidence for PE
assessment compared to 120-kVp equivalent acquisition, while radiation dose was
reduced by 37.2%. Advances in knowledge: 90-kVp CTPA with an advanced iterative
reconstruction algorithm results in excellent image quality and reduction of
radiation exposure without limiting diagnostic performance.
PMID- 29792733
TI - Feasibility and Acceptability of Nurse-Led Primary Palliative Care for Older
Adults with Chronic Conditions: A Pilot Study.
AB - BACKGROUND: Many older adults live with serious illness for years before their
death. Nurse-led primary palliative care could improve their quality of life and
ability to stay in their community. OBJECTIVES: To assess feasibility and
acceptability of a nurse-led Transitional Palliative Care (TPC) program for older
adults with serious illness. METHODS: The study was a pilot trial of the TPC
program in which registered nurses assisted patients with symptom management,
communication with care providers, and advance care planning. Forty-one older
adults with chronic conditions were enrolled in TPC or standard care groups.
Feasibility was assessed through enrollment and attrition rates and degree of
intervention execution. Acceptability was assessed through surveys and exit
interviews with participants and intervention nurses. RESULTS: Enrollment rate
for those approached was 68%, and completion rate for those enrolled was 71%. The
TPC group found the intervention acceptable and helpful and was more satisfied
with care received than the control group. However, one-third of participants
perceived that TPC was more than they needed, despite the number of symptoms they
experienced and the burdensomeness of their symptoms. More than half of the
participants had little to no difficulty participating in daily activities.
CONCLUSION: This study demonstrated that the nurse-led TPC program is feasible,
acceptable, and perceived as helpful. However, further refinement is needed in
selection criteria to identify the population who would most benefit from primary
palliative care before future test of the efficacy of this intervention.
PMID- 29792734
TI - Clinical updates on perianal fistulas in Crohn's disease.
AB - INTRODUCTION: Perianal fistulizing disease is an aggressive and debilitating
phenotype of Crohn's disease (CD), representing a significant therapeutic
challenge. New work has led to advancement in epidemiology and long-term outcomes
of perianal disease. The range of therapeutic options continues to expand,
including new biologic agents, biosimilars, and stem cell therapy. Areas covered:
We discuss updates to all aspects of management of perianal disease, with a focus
on the last 3 years of published data. Areas considered include new data on
epidemiology and prognostication, medical and surgical therapy, and stem cell
therapy. Expert commentary: The presence of perianal disease at CD diagnosis
portends a significantly worse disease course. Patients with perianal disease
require close monitoring to identify those who are at risk for worsening disease,
suboptimal biologic drug levels, and signs of developing neoplasm. With the
impending availability of local mesenchymal stem cell therapy, this becomes
increasingly important as this therapy, although extremely promising, is thus far
only effective in patients without proctitis.
PMID- 29792736
TI - Overutilization of Health Care Resources for Breast Pain.
AB - OBJECTIVE: The objective of this study is to analyze the incidence of women with
breast pain who present to an imaging center and assess the imaging findings,
outcomes, and workup costs at breast imaging centers affiliated with one
institution. MATERIALS AND METHODS: Demographic characteristics of and imaging
findings for female patients presenting with breast pain at three community
breast imaging centers between January 1, 2014, and December 31, 2014, were
reviewed. Patients who were pregnant, were lactating, had a history of breast
cancer, or presented with palpable nipple or skin findings were excluded.
RESULTS: A total of 799 patients met the study criteria. Pain was diffuse in 30%,
was focal in 30%, and was not localized in 40%. Of the 799 patients with breast
pain, 790 (99%) presented for a diagnostic evaluation; 759 (95%) of these
evaluated patients had negative findings. A benign sonographic correlate was
detected in the area of pain in 5% of patients (39/799). One patient had a single
cancer detected in the contralateral asymptomatic breast. When correlations
between breast pain and the presence of cancer in the study patients were
compared with the concurrent cancer detection rate in the screening population
(5.5 cases per 1000 examinations performed), breast pain was not found to be a
sign of breast cancer (p = 0.027). Patients younger than 40 years (316/799)
underwent a total of 454 workup studies for breast pain; all findings were
benign, and the cost of these studies was $87,322. Patients 40 years or older
(483/799) underwent 745 workup studies, for a cost of $152,732. CONCLUSION:
Breast pain represents an area of overutilization of health care resources. For
female patients who present with pure breast pain, breast imaging centers should
consider the following imaging protocols and education for referring physicians:
an annual screening mammogram should be recommended for women 40 years or older,
and reassurance without imaging should be offered to patients younger than 40
years.
PMID- 29792735
TI - Hip Fracture in the Setting of Limited Life Expectancy: The Importance of
Considering Goals of Care and Prognosis.
AB - IMPORTANCE: Many older patients with a limited life expectancy experience
fragility fracture of the hip, and this event is associated with increased risk
of premature mortality, functional decline, and institutionalization. The
treating team, in collaboration with patients and their families, must determine
whether a surgical or conservative approach is in the patient's best interest
when a patient has limited life expectancy. OBSERVATION: Goals of care
discussions appear to be rare in the setting of fragility fracture. The urgent
nature of the problem makes such discussions challenging. We believe that many
physicians have not considered goals of care discussions to be a standard
component of fragility fracture management. CONCLUSIONS: We propose that
physicians caring for patients with limited life expectancy and fragility
fracture of the hip should initiate a goals of care discussion to help determine
whether operative repair will be the most patient-centered approach. Training on
conducting goals of care discussions should be a standard part of surgical
training programs. Goals of care discussions should include prognosis, patient
values and preferences, pain, likelihood for functional recovery, and burdens and
benefits of surgical versus nonsurgical management. Multidisciplinary input is
required, and many patients will benefit from geriatric and/or palliative care
team involvement.
PMID- 29792737
TI - Assessing the Recall Rate for Screening Mammography: Comparing the Medicare
Hospital Compare Dataset With the National Mammography Database.
AB - OBJECTIVE: High-quality screening mammography has been shown to substantially
reduce mortality from breast cancer. Recall rate is a principal performance
metric for screening mammography because it directly relates to the rate of false
positive examinations. This study aims to compare the recall rate derived using
two sources-the claims-based Hospital Compare (HC) dataset from the Centers for
Medicare & Medicaid Services versus the National Mammography Database (NMD) from
the American College of Radiology-to understand the implications in pay-for
performance and quality improvement activities. MATERIALS AND METHODS: This study
retrospectively compared the recall rate reported by NMD facilities with that
reported in the HC dataset. Site matching was performed by facility name and zip
code, followed by manual verification. Scatterplots, correlations, a paired t
test, and Bland-Altman analysis were performed to assess association between the
two measures. RESULTS: During the period from October 1 to December 1, 2016, 92
facilities were unambiguously matched using 2014-2015 records in both datasets.
The recall rates were positively correlated (r = 0.428, p < 0.001), but the mean
HC recall rate (8.5% +/- 2.86% [SD]) was significantly (p < 0.001) lower than the
mean NMD recall rate (10.6% +/- 3.90%). CONCLUSION: The NMD and HC are two
commonly used datasets for measuring screening mammography recall rate. Although
recall rates are correlated at the individual facility level, there are important
differences that have implications for quality improvement and pay-for
performance.
PMID- 29792738
TI - Integrated Interventional Radiology Residency Program Websites: A Development in
Progress.
AB - OBJECTIVE: The purpose of this study is to evaluate the comprehensiveness of
interventional radiology (IR) residency program websites. MATERIALS AND METHODS:
We determined whether all integrated IR residency programs approved in 2016 by
the Society for Interventional Radiology had a dedicated residency program
website. On each website, we searched for 38 elements identified as important
considerations for medical students applying to diagnostic radiology residency
and IR fellowship programs. With the use of t tests and ANOVA, both the
prevalence of criteria between regions and program sizes were compared. RESULTS:
Of 61 IR residency programs that were identified, 44 (72%) had dedicated
websites. On average, the websites included 38% of the 38 elements evaluated.
Only two residency program websites (5%) included more than 50% of the criteria
assessed. The information most commonly found was a contact e-mail (95% of
websites), a mailing address (91%), and a comprehensive listing of faculty (75%).
The information that was least commonly included was clinical responsibility
progression (7%), a description of didactics (5%), and simulation experience
(5%). No significant difference in website comprehensiveness was noted between
regions (p = 0.590) or between different quartiles of ranking of IR fellowship on
an online social networking service for U.S. clinicians (p = 0.198). CONCLUSION:
Nearly one-third of integrated IR residency programs do not have a dedicated
website. Those that do exist are inadequately comprehensive, with less than 40%
of assessed criteria present. Contact information and information about life
outside of work were the most commonly included elements, with a description of
clinical training opportunities less frequently included. Addressing these gaps
in website content will help IR residency programs better inform prospective
students and may increase the number of applicants.
PMID- 29792739
TI - X-Ray Phase-Contrast Technology in Breast Imaging: Principles, Options, and
Clinical Application.
AB - OBJECTIVE: The purpose of this article is to review different x-ray phase
contrast breast imaging techniques and their potential application in clinical
settings. CONCLUSION: Phase-contrast imaging depicts not only the absorption
contrast but also the refraction contrast of the transmitted x-ray beam. Early
data suggest that this new modality may overcome some of the diagnostic
limitations associated with current clinically available mammography systems and
that it has potential for improving breast cancer detection.
PMID- 29792740
TI - Colloid Adenocarcinoma of the Lung: CT and PET/CT Findings in Seven Patients.
AB - OBJECTIVE: We aimed to assess CT and 18F-FDG PET/CT findings of colloid
adenocarcinoma of the lung in seven patients. MATERIALS AND METHODS: From 2010 to
2017, seven patients with surgically proven colloid adenocarcinoma of the lung
were identified. CT (both enhanced and unenhanced) and PET/CT findings were
analyzed, and the imaging features were compared with histopathologic reports.
Clinical and demographic features were also analyzed. RESULTS: In all cases
except one, tumors showed low attenuation on unenhanced CT scans, ranging in
attenuation from -16.5 to 20.7 HU (median, 9.2 HU). After contrast medium
injection, enhancement was scant, so net enhancement ranged from 0.4 to 29.0 HU
(median, 4.1 HU). All tumors had a lobulated contour. Stippled calcifications
within the tumor were seen in one patient. The maximum standardized uptake value
of tumors on PET/CT ranged from 1.5 to 4.5 (median, 3.5). In six of seven
patients, FDG accumulation was seen in the tumor walls (n = 3, curvilinear
uptake) or in both the tumor walls and tumor septa (n = 3, crisscross uptake).
Six patients were alive without recurrence after a median follow-up period of 2.3
years (range, 2 months to 5 years). In one patient, who was alive at follow-up 4
years after imaging and had received adjuvant concurrent chemoradiation therapy
after lobectomy, recurrent disease was detected 6 months after completion of the
therapy. CONCLUSION: On CT, pulmonary colloid adenocarcinomas present as
lobulated homogeneously low-attenuation tumors. At PET, curvilinear or crisscross
FDG uptake is seen within the tumor where tumor cells are lining the walls or
septal structures.
PMID- 29792741
TI - Mesenchymal Lesions of the Breast: What Radiologists Need to Know.
AB - OBJECTIVE: Mesenchymal breast tumors originate from the various components of
mammary stroma. The aim of this review is to discuss the clinical presentation,
imaging appearance, and management of mesenchymal breast lesions. CONCLUSION:
Although many mesenchymal tumors exhibit characteristic findings on imaging,
others show nonspecific characteristics and require tissue biopsy for diagnosis.
An awareness of the clinical and imaging presentation is essential in guiding the
differential diagnosis and patient management.
PMID- 29792742
TI - Screening Mammography Findings From One Standard Projection Only in the Era of
Full-Field Digital Mammography and Digital Breast Tomosynthesis.
AB - OBJECTIVE: The purpose of this article is to compare outcomes of findings seen on
one view only from screening full-field digital mammography (FFDM) and FFDM plus
digital breast tomosynthesis (DBT). MATERIALS AND METHODS: A retrospective review
was performed of 103,070 consecutive screening mammograms obtained from February
2011 through June 2014 at two community-based breast centers. Recalled findings
prospectively seen on one view only were analyzed. Pearson chi-square test and
Fisher exact test were performed, and 95% CIs were determined. RESULTS:
Mammograms were acquired using FFDM (n = 71,656) and FFDM-DBT (n = 31,414) during
the study period, and 2213 FFDM (3.1%) and 433 FFDM-DBT (1.4%) mammograms were
recalled for one-view-only findings (p < 0.0001). The final study population
(1592 FFDM and 354 FFDM-DBT) was defined after 689 of these recalled FFDM and 92
of these recalled FFDM-DBT examinations were excluded for insufficient
mammographic follow-up (< 24 months). Summation artifacts accounted for more one
view-only findings from FFDM (1067/1592; 67.0%) than FFDM-DBT (190/354; 53.7%) (p
< 0.0001). In the FFDM cohort, 28 one-view-only findings proved malignant (24
invasive ductal carcinoma [IDC], one invasive lobular carcinoma [ILC], and three
ductal carcinoma in situ [DCIS]). In the FFDM-DBT cohort, 14 one-view-only
findings proved malignant (11 IDC, one ILC, and two DCIS). The positive
predictive value (PPV) of a one-view-only finding was significantly lower for
FFDM (1.8%) than for FFDM-DBT (4.0%) (p = 0.010). CONCLUSION: One-view-only
findings occur with both FFDM and FFDM-DBT and remain an important but uncommon
sign of malignancy. They are more frequent, are more likely to represent
summation artifacts, and have a lower PPV with FFDM than with FFDM-DBT.
PMID- 29792743
TI - Lesions of Ligamentum Teres: Diagnostic Performance of MRI and MR Arthrography-A
Systematic Review and Meta-Analysis.
AB - OBJECTIVE: The purpose of this study is to determine the diagnostic performance
of MRI and MR arthrography for depicting ligamentum teres lesions. MATERIALS AND
METHODS: A literature search was performed. Original studies reporting the
diagnostic accuracy of MRI examinations for the depiction of ligamentum teres
lesions were included. RESULTS: Eight studies entailing 1456 MRI examinations
were included (frequency of median ligamentum teres injury, 25.9%; interquartile
range, 14.1-45.3%). Two studies reported the results of unenhanced MRI
examinations, and their diagnostic performance could not be estimated.
Sensitivity, specificity, and diagnostic odds ratio (DOR) of all MRI examinations
were 64.7%, 86.9%, and 12.2, respectively, whereas the sensitivity, specificity,
and DOR of MR arthrography examinations were 82.2%, 88.6%, and 35.9,
respectively. The heterogeneity (I2) for all MRI and MR arthrography examinations
was 92.3% and 88.2%, respectively. Five blinded MR arthrography studies with 643
MR arthrography examinations found an appropriate threshold effect for summary
ROC construction (AUC, 0.95). The summary estimate of these studies yielded a
sensitivity of 87.8%, a specificity of 91%, and DOR of 73.1. The heterogeneity
(I2) for this group was 64.3%. In patients with low pretest probability (25%), MR
arthrography enabled the exclusion of ligamentum teres lesion (postprobability
for a negative result, 4%; negative likelihood ratio, 0.13). CONCLUSION: MR
arthrography can depict ligamentum teres lesions with high accuracy. However, its
diagnostic performance for differentiating various types of ligamentum teres
lesions (partial, complete ligamentum teres tears, and hypertrophic ligamentum
teres), as well as the diagnostic performance of unenhanced MRI for the depiction
of ligamentum teres lesions, is yet to be determined because of the paucity of
reported data in the literature.
PMID- 29792744
TI - JOURNAL CLUB: Extracolonic Findings at CT Colonography: Systematic Review and
Meta-Analysis.
AB - OBJECTIVE: The purpose of this study was to perform a systematic review and meta
analysis of published studies on CT colonography (CTC) in which extracolonic
findings were assessed. MATERIALS AND METHODS: A systematic review of studies of
screening CTC and of CTC to evaluate symptoms (1994-June 2017) was conducted to
estimate the rate of extra-colonic findings and associated additional workup
recommendations. The primary outcome was potentially important extracolonic
findings, defined as CT Colonography Imaging Reporting and Data System (C-RADS)
category E4 or as having high clinical importance (if C-RADS was not used).
Secondary outcomes included likely unimportant extracolonic findings (i.e., C
RADS category E3 or similar). Random-effects and meta-regression analyses were
used to generate pooled estimates and to explore risk factors for extracolonic
findings related to various cohort characteristics. RESULTS: Primary data were
acquired from 44 studies (49,676 patients). The pooled rate of potentially
important extracolonic findings was 4.9% (95% CI, 3.7-6.4%) with a high degree of
heterogeneity (I2 = 95%). This estimate progressively declined over time (9% per
year since 2006) and was significantly related to the reporting system (lower for
C-RADS than for low, moderate, high clinical significance reporting). C-RADS
specific meta-analysis (32,746 patients) showed rates of potentially significant
extracolonic findings in 2.8% (95% CI, 1.9-3.5%) of the cohort without symptoms
and 5.2% (95% CI, 3.5-7.6%) of the cohort with symptoms and in 5.7% (95% CI, 3.3
9.8%) of seniors (>= 65 years) versus 2.3% (95% CI, 1.2-4.5%) of those younger
than 65 years. The overall pooled rates of recommended workup were 8.2% (95% CI,
6.6-10.1%) for all extracolonic findings and 4.0% (95% CI, 2.7-5.9%) for
potentially important extracolonic findings. CONCLUSION: With use of the more
robust C-RADS classification, potentially important extracolonic findings at CTC
occur in less than 3% of cohorts without symptoms. For all extracolonic findings,
the rate of suggested or recommended additional workup is approximately 8% but
decreases to 4% for potentially important extracolonic findings.
PMID- 29792745
TI - Postoperative MRI of Massive Rotator Cuff Tears.
AB - OBJECTIVE: The aim of this article is to review the postoperative MRI appearances
of irreparable massive rotator cuff tears (RCTs) after surgery was performed
using newer techniques, including patch repair, muscle tendon transfer, superior
capsular reconstruction, and subacromial balloon implantation. CONCLUSION: Newer
surgical techniques are emerging for the management of massive RCTs. As
radiologists become increasingly likely to encounter postoperative imaging
studies of RCTs repaired using these techniques, familiarity with the normal
postoperative appearances and complications associated with these techniques
becomes important.
PMID- 29792746
TI - High-Resolution CT Findings of Obstructive and Restrictive Phenotypes of Chronic
Lung Allograft Dysfunction: More Than Just Bronchiolitis Obliterans Syndrome.
AB - OBJECTIVE: The purpose of this article is to review the high-resolution CT
characteristics of individual obstructive and restrictive chronic lung allograft
dysfunction (CLAD) phenotypes to aid in making accurate diagnoses and guiding
treatment. CONCLUSION: Long-term survival and function after lung transplant are
considerably worse compared with after other organ transplants. CLAD is
implicated as a major limiting factor for long-term graft viability. Historically
thought to be a single entity, bronchiolitis obliterans syndrome, CLAD is
actually a heterogeneous group of disorders with distinct subtypes.
PMID- 29792747
TI - Interpretation Time Using a Concurrent-Read Computer-Aided Detection System for
Automated Breast Ultrasound in Breast Cancer Screening of Women With Dense Breast
Tissue.
AB - OBJECTIVE: The purpose of this study was to compare diagnostic accuracy and
interpretation time of screening automated breast ultrasound (ABUS) for women
with dense breast tissue without and with use of a recently U.S. Food and Drug
Administration-approved computer-aided detection (CAD) system for concurrent
read. MATERIALS AND METHODS: In a retrospective observer performance study, 18
radiologists interpreted a cancer-enriched set (i.e., cancer prevalence higher
than in the original screening cohort) of 185 screening ABUS studies (52 with and
133 without breast cancer). These studies were from a large cohort of ABUS
screened patients interpreted as BI-RADS density C or D. Each reader interpreted
each case twice in a counterbalanced study, once without the CAD system and once
with it, separated by 4 weeks. For each case, each reader identified abnormal
findings and reported BI-RADS assessment category and level of suspicion for
breast cancer. Interpretation time was recorded. Level of suspicion data were
compared to evaluate diagnostic accuracy by means of the Dorfman-Berbaum-Metz
method of jackknife with ANOVA ROC analysis. Interpretation times were compared
by ANOVA. RESULTS: The ROC AUC was 0.848 with the CAD system, compared with 0.828
without it, for a difference of 0.020 (95% CI, -0.011 to 0.051) and was
statistically noninferior to the AUC without the CAD system with respect to a
margin of -0.05 (p = 0.000086). The mean interpretation time was 3 minutes 33
seconds per case without the CAD system and 2 minutes 24 seconds with it, for a
difference of 1 minute 9 seconds saved (95% CI, 44-93 seconds; p = 0.000014), or
a reduction in interpretation time to 67% of the time without the CAD system.
CONCLUSION: Use of the concurrent-read CAD system for interpretation of screening
ABUS studies of women with dense breast tissue who do not have symptoms is
expected to make interpretation significantly faster and produce noninferior
diagnostic accuracy compared with interpretation without the CAD system.
PMID- 29792748
TI - Portal Vein Thrombosis: Imaging the Spectrum of Disease With an Emphasis on MRI
Features.
AB - OBJECTIVE: The purpose of this article is to review the classic and uncommon
imaging findings of portal vein thrombosis (PVT) (acute, chronic, congenital, and
septic thrombus) as visualized on multiple modalities, with an emphasis on MRI
findings. Additional aims are to understand the imaging of obliterative portal
venopathy and its clinical significance, appreciate morphologic changes of the
biliary system that may accompany PVT, and recognize changes in liver enhancement
patterns seen with PVT related to the hepatic arterial buffer response. The
review also addresses morphologic changes of the liver that may occur after PVT,
including nodular regenerative hyperplasia, central hepatic hypertrophy, and
peripheral fibrosis that may stimulate cirrhosis, as well as the importance of
portal vein mapping and the diagnostic findings and clinical significance of
tumor within the portal vein in the liver transplant population. CONCLUSION: PVT
may be a complication of liver cirrhosis, but it may also occur as a primary
vascular disorder without liver disease. PVT can result in portal hypertension
and may present with variceal bleeding or hypersplenism. Radiologists should be
familiar with the imaging of PVT in patients of various ages and in different
clinical scenarios. PVT can influence hepatic perfusion, the shape of the bile
ducts, and liver architecture. Bland PVT and tumor-related PVT have major
implications for hepatic transplant.
PMID- 29792749
TI - Engagement with a Text-Messaging Intervention Improves Adherence in Adolescents
with Type 1 Diabetes: Brief Report.
AB - Adherence to diabetes management is a challenge for adolescents with type 1
diabetes (T1D). Positive psychology interventions have improved adherence to
treatment recommendations in adults with chronic health conditions but have not
been widely tested in pediatric populations. We hypothesized that higher
engagement with a text-messaging intervention to promote positive affect would
increase the effects on diabetes management among adolescents with T1D.
Adolescents with T1D (n = 48) and their caregivers were randomized to either an
attention control condition or a novel positive psychology intervention delivered
through personalized automated text messaging. We examined rates of engagement
(percent response to text messages) in relation to demographic factors, and we
explored the effect of engagement in relation to adherence and glycemic control.
Adolescent engagement was good (mean response rate of 76%) over the 8-week
intervention. Engagement was related to adolescents' gender, race, baseline
glycemic control, and blood glucose monitoring, but not to treatment type (pump
vs. injection), diabetes duration, age, or household income. There was a
significant effect of level of engagement on better caregiver-reported adherence,
but adolescents' engagement was not related to self-reported adherence or
glycemic control. These results indicate feasibility and initial efficacy of
using automated text-messaging to deliver an intervention aimed at promoting
adherence in adolescents with T1D.
PMID- 29792750
TI - Metrics to Evaluate Quality of Glycemic Control: Comparison of Time in Target,
Hypoglycemic, and Hyperglycemic Ranges with "Risk Indices".
AB - OBJECTIVE: We sought to cross validate several metrics for quality of glycemic
control, hypoglycemia, and hyperglycemia. RESEARCH DESIGN AND METHODS: We
analyzed the mathematical properties of several metrics for overall glycemic
control, and for hypo- and hyperglycemia, to evaluate their similarities,
differences, and interrelationships. We used linear regression to describe
interrelationships and examined correlations between metrics within three
conceptual groups. RESULTS: There were consistently high correlations between
%Time in range (%TIR) and previously described risk indices (M100, Blood Glucose
Risk Index [BGRI], Glycemic Risk Assessment Diabetes Equation [GRADE], Index of
Glycemic Control [IGC]), and with J-Index (J). There were also high correlations
among %Hypoglycemia, Low Blood Glucose Index (LBGI), percentage of GRADE
attributable to hypoglycemia (GRADE%Hypoglycemia), and Hypoglycemia Index, but
negligible correlation with J. There were high correlations of percentage of time
in hyperglycemic range (%Hyperglycemia) with High Blood Glucose Index (HBGI),
percentage of GRADE attributable to hyperglycemia (GRADE%Hyperglycemia),
Hyperglycemia Index, and J. %TIR is highly negatively correlated with
%Hyperglycemia but very weakly correlated with %Hypoglycemia. By adjusting the
parameters used in IGC, Hypoglycemia Index, Hyperglycemia Index, or in MR, one
can more closely approximate the properties of BGRI, LBGI, or HBGI, and of GRADE,
GRADE%Hypoglycemia, or GRADE%Hyperglycemia. CONCLUSIONS: Simple readily
understandable criteria such as %TIR, %Hypoglycemia, and %Hyperglycemia are
highly correlated with and appear to be as informative as "risk indices." The J
Index is sensitive to hyperglycemia but insensitive to hypoglycemia.
PMID- 29792752
TI - Expanding the Reach of Early Psychosis Intervention.
PMID- 29792751
TI - Glucose Sensing in the Subcutaneous Tissue: Attempting to Correlate the Immune
Response with Continuous Glucose Monitoring Accuracy.
PMID- 29792755
TI - Dalangtan Saline Playa in a Hyperarid Region on Tibet Plateau: I. Evolution and
Environments.
AB - Since 2008, we have been studying a saline lake, Dalangtan (DLT) Playa, and its
surroundings in a hyperarid region of the Qaidam Basin on the Tibetan Plateau as
a potential Mars analog site. We describe the evolution of saline deposits in the
Qaidam Basin (including DLT), based on investigative findings accumulated over
the course of 60 years of geological surveys. In addition, we report regional
meteorological patterns recorded for the past 32 years along with meteorological
station recorded data at DLT since 2012. Overall, the DLT area on the Tibetan
Plateau has low atmospheric pressure, high ultraviolet radiation, low annual mean
temperatures (T) but large seasonal and diurnal T cycles, and extremely low
relative humidity, all of which bear some similarities with the equatorial region
on Mars. In addition, salt types similar to those found on Mars, such as
magnesium-sulfates, chlorides, and perchlorates, are found at the surface and
subsurface in the DLT area (and the other two playas in the Qaidam Basin), thus
supporting DLT as a Mars analog in terms of mineralogy and geochemistry.
PMID- 29792754
TI - Loss of Chromosome 18q11.2-q12.1 Is Predictive for Survival in Patients With
Metastatic Colorectal Cancer Treated With Bevacizumab.
AB - Purpose Patients with metastatic colorectal cancer (mCRC) have limited benefit
from the addition of bevacizumab to standard chemotherapy. However, a subset
probably benefits substantially, highlighting an unmet clinical need for a
biomarker of response to bevacizumab. Previously, we demonstrated that losses of
chromosomes 5q34, 17q12, and 18q11.2-q12.1 had a significant correlation with
progression-free survival (PFS) in patients with mCRC treated with bevacizumab in
the CAIRO2 clinical trial but not in patients who did not receive bevacizumab in
the CAIRO trial. This study was designed to validate these findings. Materials
and Methods Primary mCRC samples were analyzed from two cohorts of patients who
received bevacizumab as first-line treatment; 96 samples from the European
multicenter study Angiopredict (APD) and 81 samples from the Italian multicenter
study, MOMA. A third cohort of 90 samples from patients with mCRC who did not
receive bevacizumab was analyzed. Copy number aberrations of tumor biopsy
specimens were measured by shallow whole-genome sequencing and were correlated
with PFS, overall survival (OS), and response. Results Loss of chromosome 18q11.2
q12.1 was associated with prolonged PFS most significantly in both the cohorts
that received bevacizumab (APD: hazard ratio, 0.54; P = .01; PFS difference, 65
days; MOMA: hazard ratio, 0.55; P = .019; PFS difference, 49 days). A similar
association was found for OS and overall response rate in these two cohorts,
which became significant when combined with the CAIRO2 cohort. Median PFS in the
cohort of patients with mCRC who did not receive bevacizumab and in the CAIRO
cohort was similar to that of the APD, MOMA, and CAIRO2 patients without an
18q11.2-q12.1 loss. Conclusion We conclude that the loss of chromosome 18q11.2
q12.1 is consistently predictive for prolonged PFS in patients receiving
bevacizumab. The predictive value of this loss is substantiated by a significant
gain in OS and overall response rate.
PMID- 29792756
TI - Uterine or paracervical lidocaine application for pain control during
intrauterine contraceptive device insertion: a meta-analysis of randomised
controlled trials.
AB - OBJECTIVE: Systematic review and meta-analysis to assess the effects of uterine
or paracervical lidocaine application on pain control during IUD insertion.
METHODS: PubMed and five other electronic research databases were searched
through 15 November 2017 for RCTs comparing lidocaine treatment vs. a control
(placebo or no-intervention) to prevent pain during IUD insertion. Searched terms
included 'IUD insertion', 'lidocaine' and 'randomised controlled trial'. RCTs
evaluating lidocaine treatment before IUD insertion without restriction of
language, age and IUD type. Pain measured by visual pain scales at tenaculum
placement, IUD insertion and immediate post-IUD insertion. Results of random
effects meta-analyses were reported as mean differences (MDs) of visual pain
scale (VPS) scores and their 95% confidence intervals (CIs). RESULTS: Eleven RCTs
(n = 1458 women) reporting paracervical lidocaine block or uterine mucosa
lidocaine application before IUD insertion. Lidocaine produced lower VPS scores
during tenaculum placement (MD -0.99, 95% CI: -1.73 to -0.26), IUD insertion (MD
1.26, 95% CI: -2.23 to -0.29) and immediate post-IUD insertion period (MD -1.25,
95% CI: -2.17 to -0.33). CONCLUSION: Lidocaine treatment was associated with
modest reduction of pain during tenaculum placement and after IUD insertion.
PMID- 29792757
TI - FIELD TRIALS OF ONTARIO RABIES VACCINE BAIT IN THE NORTHEASTERN USA, 2012-14.
AB - In the US, rabies virus (RV) has been enzootic in raccoons ( Procyon lotor) since
the late 1940s. Oral rabies vaccination (ORV) was implemented in the 1990s to
halt the spread of raccoon RV and continues to be used as a wildlife management
tool. Our objective was to evaluate a recombinant human adenovirus-rabies virus
glycoprotein vaccine in northern New York, Vermont, and New Hampshire over a 3-yr
period, using changes in RV neutralizing antibody (RVNA) seroprevalence in
raccoon populations as an immunologic index of ORV impact. Vaccine baits were
distributed at 75 baits/km2 and 750-m flight-line spacing in the study area.
Animal sampling occurred during 10-d intervals pre- and post-ORV during 2012-14
within eight study cells: four northern cells had a history of ORV with a
different vaccine for 3 or more years prior and four southern cells were ORV
naive. Baseline raccoon RVNA seroprevalence was 27.3% ( n=1,079, 95% confidence
interval [CI]: 24.8-30.1) before ORV in 2012. Raccoon RVNA seroprevalence
averaged 68.5% ( n=1,551, 95% CI: 66.2-70.8) post-ORV during the 3-yr study. The
RVNA seroprevalence levels in this study were considered to be adequate for
stopping raccoon RV transmission and supported and expanded the results from a
West Virginia field trial, as well as earlier evaluations along the Canada-US
border.
PMID- 29792758
TI - Investigation of a Large-Scale Gopher Tortoise ( Gopherus polyphemus) Mortality
Event on a Public Conservation Land in Florida, USA.
AB - In August 2015, a gopher tortoise ( Gopherus polyphemus) mortality event was
documented on a 40-ha area of Lake Louisa State Park, Lake County, Florida, US.
To quantify the extent of the die-off and the seroprevalence of Mycoplasma
agassizii and Mycoplasma testudineum, two causative agents of mycoplasmal upper
respiratory tract disease (URTD), we conducted a tortoise shell survey on 25 and
26 August 2015 and collected blood samples from live tortoises on 2-4 September
2015 and 1-13 August 2016 within the area of documented mortality. We discovered
94 shells and measured the degree of disarticulation to estimate time since
mortality. Results indicated that most mortalities likely occurred more than 3 yr
before discovery. Of the 42 blood samples collected in 2016, 31% ( n=13) tested
positive, 17% ( n=7) were suspect, and 52% ( n=22) were negative for M. agassizii
antibodies; all blood samples were negative for M. testudineum. Sixty-nine
percent (9/13) of seropositive tortoises exhibited clinical signs of URTD, and
seropositive individuals were more likely to express clinical signs than
seronegative tortoises. However, 32% (7/22) of seronegative individuals also
exhibited some clinical signs, including naris and eye abnormalities. We suggest
additional research to determine causality of this mortality event, as well as
examine risks associated with its spread.
PMID- 29792759
TI - Hematologic Parameters and Viral Status for Zika, Chikungunya, Bluetongue, and
Epizootic Hemorrhagic Disease in White-tailed Deer ( Odocoileus virginianus) on
St. John, US Virgin Islands.
AB - A population of white-tailed deer ( Odocoileus virginianus) resides throughout
the island of St. John, US Virgin Islands, predominately in the Virgin Islands
National Park. Adult deer ( n=23), ranging from 1 yr to 8 yr old, were assessed
to characterize body condition and health. Serologic samples were screened for
important viral pathogens in the area, including Zika, chikungunya, bluetongue,
and epizootic hemorrhagic disease viruses. Samples were collected in July 2016;
males were in velvet and all females were in diestrus. Deer had recovered from a
severe drought the previous year but were generally healthy, with a low-level but
high incidence of tick parasitism. Marked statistically significant changes in
hematocrit and hemoglobin levels were associated with the effects of the
anesthetic mixture used for capture. No other statistically significant
differences were observed. Serum from four deer induced reduction in Zika virus
plaques, suggesting possible exposure. No serum was reactive for chikungunya
virus. Bluetongue and epizootic hemorrhagic disease antibodies were present in
50% of the sampled deer, but no clinical signs associated with disease were
observed during the study period. These data will be valuable for future dynamic
health assessment and may help assess changes to the population, such as those
induced by climate change, infectious disease, or other demographic events.
PMID- 29792760
TI - Seroprevalence of Equine Herpesviruses 1 and 9 (EHV-1 and EHV-9) in Wild Grevy's
Zebra ( Equus grevyi) in Kenya.
AB - Equid herpesviruses types 1 (EHV-1) and 9 (EHV-9) are unusual among herpesviruses
in that they lack strong host specificity, and the full extent of their host
range remains unclear. The virus establishes latency for long periods and can be
reactivated and shed, resulting in clinical disease in susceptible species. A
sensitive and specific peptide-based enzyme-linked immunosorbent assay was
developed to study the seroprevalence of both viruses in a broad range of species
among both wild and captive populations. We used this assay to study the
seroprevalences of EHV-1 and EHV-9 in a natural population of the highly
endangered Grevy's zebra ( Equus grevyi) in Kenya, sampled during a 4-yr period
(2012-15). The results were compared with those obtained from captive Grevy's
zebras from a previous study. The wild population had a significantly higher
seroprevalence of EHV-9 compared with the captive population, suggesting that
captivity might reduce exposure to this serotype. In contrast, the
seroprevalences of EHV-1 between captive and wild groups was not significantly
different. The seroprevalence of EHV-9 was not significantly higher than EHV-1 in
zebras within the wild Kenyan population.
PMID- 29792761
TI - Theileriosis in Multiple Neonatal White-tailed Deer ( Odocoileus virginianus) in
Delaware, USA.
AB - Postmortem examination of 21 neonatal white-tailed deer ( Odocoileus virginianus)
from Delaware, US identified six fawns with Theileria spp. organisms or suspected
infection.
PMID- 29792762
TI - Genetic profiling in acute myeloid leukemia: a path to predicting treatment
outcome.
AB - INTRODUCTION: Despite substantial progresses in acute myeloid leukemia (AML)
diagnosis and treatment, at least half of patient will eventually die for the
disease. In the last decades, the use of genetic and genomic approaches allowed
the identification of patients with higher risk of recurrence after and/or
resistance to CHT. However, though many novel drugs have been proposed and
tested, only little clinical improvements have been made concerning the treatment
of the so called 'high risk' patients. Areas covered: In this article, the
authors, based on their own experience and the most updated literature, review
the basic knowledge of AML prognostication and treatment prediction developed
throughout genetic and genomic profiling, and focus on the use of gene expression
profiling as a promising predictive tool. The role of next generation sequencing,
run on qPCR/digital PCR platforms or polyvalent ones such as the Nanostring
NCounterTM and RNA-sequencing techniques in the near future will also be briefly
discussed. Expert commentary: The authors believe that a combination of genetic
(including both germline and somatic data), epigenetic and transcriptional data
will represent, in the future, the molecular basis for treatment decision with
the highest predictive potential.
PMID- 29792763
TI - Overlapping molecular signaling of IRX-2 and Ta1 resulting in synergistic
biological activity.
PMID- 29792766
TI - Research roundup.
AB - Synopses of a selection of recently published research articles of relevance to
palliative care.
PMID- 29792764
TI - Use of a depression and sleep impairment treatment guideline to improve quality
of life for patients with sickle cell disease.
AB - BACKGROUND: Pain in sickle cell disease (SCD) is often joined by other affective
disorders such as depression and/or sleep impairment that can impact pain levels
and quality of life (QoL). AIM: To develop a guideline to improve the process of
assessment and treatment of depression and sleep impairment in patients admitted
with SCD. METHOD: An interdisciplinary team used the Stetler model to create the
Guideline for the Evaluation and Treatment of Depression and Sleep Impairment in
Sickle Cell Disease. Patients were assessed, offered treatments and reassessed
during the project period. RESULTS: Both depression and QoL scores showed
significant improvement by the end of the project. Significant correlations were
found between pain, depression and sleep; depression, pain, sleep and QoL; sleep,
pain and depression; and QoL and depression. CONCLUSIONS: Interdisciplinary teams
are effective in creating a guideline to assess and treat depression and sleep
impairment and their effects on pain and QoL in patients with SCD.
PMID- 29792765
TI - Exploring the relationship between volunteering and hospice sustainability in the
UK: a theoretical model.
AB - AIM: To explore the relationship between volunteering and the sustainability of
UK voluntary hospices. METHODS: A narrative literature review was conducted to
inform the development of a theoretical model. Eight databases were searched:
CINAHL (EBSCO), British Nursing Index, Intute: Health and Life Sciences, ERIC,
SCOPUS, ASSIA (CSA), Cochrane Library and Google Scholar. RESULTS: A total of 90
documents were analysed. Emerging themes included the importance of volunteering
to the hospice economy and workforce, the quality of services, and public and
community support. Findings suggest that hospice sustainability is dependent on
volunteers; however, the supply and retention of volunteers is affected by
internal and external factors. CONCLUSIONS: A theoretical model was developed to
illustrate the relationship between volunteering and hospice sustainability. It
demonstrates the factors necessary for hospice sustainability and the reciprocal
impact that these factors and volunteering have on each other. The model has a
practical application as an assessment framework and strategic planning tool.
PMID- 29792767
TI - Politics and palliative care: Zambia.
AB - Dion Smyth's review of palliative nursing on the internet.
PMID- 29792768
TI - Thai nursing students' experiences of caring for patients at the end of life: a
phenomenological study.
AB - AIM: To describe Thai nursing students' experiences of providing end-of-life care
(EoLC). METHODS: Data were collected via in-depth interviews with 21 nursing
students and were analysed using Van Manen's method. FINDINGS: Eight themes
emerged based on Van Manen's four lived worlds: feeling excited and worried when
receiving an assigned case; feeling disappointed with the health-care team's
provision of psychosocial support for patients at the end-of-life and their
families; being in a time of uncertainty; recognising that EoLC is a time for
gaining merit; knowing that a peaceful place and privacy are needed for promoting
a peaceful death; knowing that the real-life classroom is around the patient's
bedside; feeling lonely and needing help and support; and creating relationships
with patients and families as a nurse. CONCLUSIONS: To promote nursing students'
positive clinical experiences in providing EoLC, enhancing staff's competency and
closing the theory-practice gap regarding palliative care are necessary
objectives.
PMID- 29792769
TI - The evidence of early specialist palliative care on patient and caregiver
outcomes.
AB - Although there are many differences regarding what palliative care is and to whom
it should be delivered, its delivery and integration earlier in the disease
trajectory have been advocated since 1990. More recently, there has been a
heightened interest in early access to specialist palliative care through its
provision earlier in the disease trajectory to improve patient and caregiver
outcomes. This article explores the challenges in understanding and defining
'early' specialist palliative care. It also examines the available evidence on
early specialist palliative care interventions and their association with patient
and caregiver outcomes. Finally, recommendations for future direction of research
and practice are discussed.
PMID- 29792771
TI - Is blogging a new form of communication in palliative health care? A qualitative
research study.
AB - AIM: To illuminate experiences of living with life-threatening diseases as
described in blogs and the experience of blogging about these matters. METHODS: A
secondary analysis of 21 blogs was performed. RESULTS: It was difficult for
bloggers to accept what they perceived to be the unacceptable aspects of having
an life-threatening disease. They searched for hope and acceptance, and tried to
manage their life. They felt strengthened by supportive encounters with health
professionals, relatives, friends, and from their blogging. However, they also
felt that they were set aside in relation to both health professionals and
relatives. CONCLUSION: These patients appreciated being able to express their
feelings and received support from their readers. Even if patient blogs can be
used in health care, research and education, there is a lack of research studies
that have examined the benefits of using blogging for any of these purposes.
PMID- 29792772
TI - Genome Sequence Resources for the Wheat Stripe Rust Pathogen (Puccinia
striiformis f. sp. tritici) and the Barley Stripe Rust Pathogen (Puccinia
striiformis f. sp. hordei).
AB - Puccinia striiformis f. sp. tritici causes devastating stripe (yellow) rust on
wheat and P. striiformis f. sp. hordei causes stripe rust on barley. Several P.
striiformis f. sp. tritici genomes are available, but no P. striiformis f. sp.
hordei genome is available. More genomes of P. striiformis f. sp. tritici and P.
striiformis f. sp. hordei are needed to understand the genome evolution and
molecular mechanisms of their pathogenicity. We sequenced P. striiformis f. sp.
tritici isolate 93-210 and P. striiformis f. sp. hordei isolate 93TX-2, using
PacBio and Illumina technologies and RNA sequencing. Their genomic sequences were
assembled to contigs with high continuity and showed significant structural
differences. The circular mitochondria genomes of both were complete. These
genomes provide high-quality resources for deciphering the genomic basis of rapid
evolution and host adaptation, identifying genes for avirulence and other
important traits, and studying host-pathogen interactions.
PMID- 29792773
TI - Experiential or Material Purchases? Social Class Determines Purchase Happiness.
AB - Which should people buy to make themselves happy: experiences or material goods?
The answer depends in part on the level of resources already available in their
lives. Across multiple studies using a range of methodologies, we found that
individuals of higher social class, whose abundant resources make it possible to
focus on self-development and self-expression, were made happier by experiential
over material purchases. No such experiential advantage emerged for individuals
of lower social class, whose lesser resources engender concern with resource
management and wise use of limited finances. Instead, lower-class individuals
were made happier from material purchases or were equally happy from experiential
and material purchases.
PMID- 29792774
TI - When the Good Looks Bad: An Experimental Exploration of the Repulsion Effect.
AB - When people are choosing among different options, context seems to play a vital
role. For instance, adding a third option can increase the probability of
choosing a similar dominating option. This attraction effect is one of the most
widely studied phenomena in decision-making research. Its prevalence, however,
has been challenged recently by the tainting hypothesis, according to which the
inferior option contaminates the attribute space in which it is located, leading
to a repulsion effect. In an attempt to test the tainting hypothesis and explore
the conditions under which dominated options make dominating options look bad, we
conducted four preregistered perceptual decision-making studies with a total of
301 participants. We identified two factors influencing individuals' behavior:
stimulus display and stimulus design. Our results contribute to a growing body of
literature showing how presentation format influences behavior in preferential
and perceptual decision-making tasks.
PMID- 29792775
TI - A narrative review of the technical standards for extracorporeal life support
devices (pumps and oxygenators) in Europe.
AB - This review summarizes the European rules to control the market when introducing
new products. In particular, it shows all the steps to achieve the European
Conformity (CE Mark), a certification that all new medical products must achieve
before being used in Europe. Extracorporeal membrane oxygenation (ECMO) devices
are exposed to the same procedures. Hereby, we present some regulatory issues
regarding pumps and oxygenators, providing technical details as released by the
manufacturers on their websites and information charts.
PMID- 29792777
TI - Dismantling a complex of anther smuts (Microbotryum) on carnivorous plants in the
genus Pinguicula.
AB - The anther smuts of the genus Microbotryum are known from host plant species
belonging to the Caryophyllaceae, Dipsacaceae, Lamiaceae, Lentibulariaceae,
Montiaceae, and Primulaceae. Of these, the anther smuts on Caryophyllaceae, in
particular on Silene spp., are best known because they include model organisms
studied in many disciplines of fungal biology. For Microbotryum species parasitic
on Caryophyllaceae, a high degree of host specificity was revealed and several
cryptic species were described. In contrast, the host specificity within
Microbotryum pinguiculae occurring in anthers of different Pinguicula species
(Lentibulariaceae) has not been investigated in detail until now. The anther
smuts on Pinguicula alpina, P. villosa, and P. vulgaris, on which M. pinguiculae
was described, were analyzed using nuc rDNA ITS1-5.8S-ITS2 and nuc rDNA 28S D1-D2
sequences and morphology to determine if they belong to one polyphagous species
or rather represent three host-specific species. The results of the morphological
investigations revealed no decisive differences between the anther smuts on
different Pinguicula species. However, genetic divergence and molecular
phylogenetic analyses, which split the specimens according to host plant species,
supported host specificity of the anther smuts on different Pinguicula species.
Accordingly, in addition to Microbotryum pinguiculae s. str. on Pinguicula
vulgaris, M. alpinum sp. nov. on P. alpina from Europe and M. liroi sp. nov. on
P. villosa from Asia are described and illustrated.
PMID- 29792776
TI - Prevalence of Inadequate Immunity to Measles, Mumps, Rubella, and Varicella in
MLB and NBA Athletes.
AB - BACKGROUND: Multiple outbreaks of vaccine-preventable viral diseases have
occurred in professional sports in recent years. Currently, there is no
established protocol for vaccination or immunity screening for professional
athletes. HYPOTHESIS: There are significant differences in the prevalence of
inadequate immunity dependent on age, sport, country of birth, and participation
in collegiate sports. STUDY DESIGN: Cross-sectional cohort study. LEVEL OF
EVIDENCE: Level 4. METHODS: A sample of Major League Baseball (MLB) and National
Basketball Association (NBA) players were screened for serologic evidence of
immunity to measles, mumps, rubella, and varicella prior to the 2015 and 2016
seasons. The results were designated as adequate (immune) or inadequate
(equivocal or nonimmune) based on laboratory criteria. Comparison with an age
matched control group was performed using data from the National Health and
Nutrition Examination Survey (NHANES). RESULTS: A total of 98 athletes (62 MLB,
36 NBA) were screened. The prevalence of inadequate immunity for any virus was
35.5% in MLB players and 33.3% in NBA players. There was a significantly greater
risk of inadequate immunity to rubella (risk ratio, 6.38; P < 0.01) and varicella
(risk ratio, 4.21; P < 0.01) in athletes compared with the age-matched NHANES
population. Our analysis did not reveal differences in rates of immunity based on
sport, country of birth (US born vs international), or participation in college
athletics. There was a lower rate of inadequate immunity to varicella with
increasing age (odds ratio, 0.72; P = 0.05). CONCLUSION: One-third of athletes
studied had inadequate immunity to 1 of the 4 viruses tested. Younger players had
a significantly greater risk of inadequate immunity to varicella. Birth outside
the US and lack of participation in college athletics were not found to influence
immunity rates. CLINICAL RELEVANCE: These results can inform the development of
future screening programs to prevent outbreaks of viral infections in
professional athletes.
PMID- 29792779
TI - Association of Colistin-Resistant KPC Clonal Strains with Subsequent Infections
and Colonization and Biofilm Production.
AB - Carbapenemase-producing organisms are pandemic and a significant threat to public
health. We investigated the clonal relatedness of colistin-resistant Klebsiella
pneumoniae strains producing KPC-type carbapenemase (KPC-KP) causing subsequent
infections or colonization. Moreover, we aimed to gain insight into the ability
of biofilm production in K. pneumoniae strains producing carbapenemase. Twenty
two consecutive KPC-KP and one KPC-negative strain was identified from an adult
intensive care unit in Brazil. Seventy-five percent of isolates that harbored the
blaKPC gene exhibited genetic relatedness by pulsed-field gel electrophoresis,
and none presented the plasmid-mediated mcr-1 and blaNDM genes. This study showed
that the majority of repeated KPC infections in adults were caused by a clone
that caused the previous infections/colonizations even after a long period of
time and illustrates the capacity of multiple clones producing biofilms to
coexist in the same patient at the same time, becoming a reservoir of KPC-KP in
the hospital environment.
PMID- 29792778
TI - Does Iron Supplementation Improve Performance in Iron-Deficient Nonanemic
Athletes?
AB - CONTEXT: Supplementing iron-deficient nonanemic (IDNA) athletes with iron to
improve performance is a trend in endurance sports. OBJECTIVES: To investigate
the benefits of iron on performance, identify a ferritin level cutoff in IDNA
athletes, and determine which iron supplementation regimens are most effective.
DATA SOURCES: A search of the PubMed, CINAHL, Embase, ERIC, and Cochrane
databases was performed in 2014 including all articles. Citations of pertinent
review articles were also searched. In 2017, the search was repeated. STUDY
SELECTION: Inclusion criteria comprised studies of level 1 to 3 evidence, written
in the English language, that researched iron supplementation in nonanemic
athletes and reported performance outcomes. STUDY DESIGN: Systematic review.
LEVEL OF EVIDENCE: Level 3. DATA EXTRACTION: The search terms used included
athletic performance, resistance training, athletes, physical endurance, iron,
iron deficiency, supplement, non-anemic, low ferritin, ferritin, ferritin blood
level, athletes, and sports. RESULTS: A total of 1884 studies were identified
through the initial database search, and 13 were identified through searching
references of relevant review articles. A subsequent database search identified
46 studies. Following exclusions, 12 studies with a total of 283 participants
were included. Supplementing IDNA athletes with iron improved performance in 6
studies (146 participants) and did not improve performance in the other 6 studies
(137 participants). In the 6 studies that showed improved performance with iron
supplementation, all used a ferritin level cutoff of <=20 MUg/L for treatment.
Additionally, all studies that showed improved performance used oral iron as a
supplement. CONCLUSION: The evidence is equivocal as to whether iron
supplementation in IDNA athletes improves athletic performance. Supplementing
athletes with ferritin levels <20 MUg/L may be more beneficial than supplementing
athletes with higher baseline ferritin levels.
PMID- 29792781
TI - Is blaCTX-M-1 Riding the Same Plasmid Among Horses in Sweden and France?
AB - A predominance of the blaCTX-M-1/IncHI1 plasmid combination in horses has been
reported in Czech-Republic, Denmark, and The Netherlands. To clarify a possible
specific plasmid epidemiology of blaCTX-M-1 in horses in a European perspective,
a collection of 74 extended-spectrum beta-lactamase-producing Escherichia coli
recovered from diseased horses in France and Sweden during the period 2009-2014
was investigated in respect of their genetic relatedness, plasmid content, and
molecular features. Overall, 80% of E. coli isolates from diseased horses
harbored blaCTX-M-1 on large IncHI1 plasmids with plasmid sequence type (pST) 2
and pST9 more prevalent in Sweden and France, respectively. In French isolates,
IncI1/pST3 plasmids were also identified. The CTX-M-1-producing E. coli belonged
principally to the clonal complex 10. ST641, together with its single locus
variant, and ST1730 constituted two other major groups. The rep-PCR clustering
highlighted a clonal dissemination of E. coli CTX-M-1 producers in different
regions of the same country and during several years. The STs found in our
isolates were also reported in The Netherlands, suggesting a common source of
contamination in Europe, although only further cooperative investigation will
clarify this issue. On the other hand, the spread of IncI1/pST3 plasmids among
horses constitutes another worrisome issue considering their successful spread in
other animal hosts such as chicken or bovines. Monitoring evolution and
propagation of antimicrobial resistance in equine environment is a priority to
avoid further propagation of antimicrobial resistance mechanisms threatening
human and animal health.
PMID- 29792782
TI - 25-Hydroxyvitamin D3 and 1,25 Dihydroxyvitamin D3 as an Antiviral and
Immunomodulator Against Herpes Simplex Virus-1 Infection in HeLa Cells.
AB - The antiviral and immunomodulatory role of vitamin D has been shown in various
viral infections. However, there is scanty literature available about the effect
of vitamin D supplementation in herpes simplex virus-1 (HSV-1) infection.
Therefore, the present study aimed to evaluate the role of two different forms of
vitamin D: 25-hydroxyvitamin D3 (25D3) and 1,25-dihydroxyvitamin D3 (1,25D3)
against HSV-1 in HeLa cells. The HeLa cells were supplemented with either 25D3 or
1,25D3 before HSV-1 infection and were studied after 6, 12, and 24 h
postinfection (p.i.). The mRNA levels of toll-like receptors (TLRs), (2, 3, 4, 7,
and 9), vitamin D signaling genes, and HSV-1 were studied using real-time PCR.
The HSV-1 DNA load was estimated in culture supernatant. The supplementation of
25D3 and 1,25D3 significantly downregulated the mRNA levels of TLR2 (p < 0.0001)
at 12 h p.i. The mRNA levels of TLR9 were found to be significantly downregulated
in 1,25D3-supplemented cells at 12 h p.i. Furthermore, the significant
downregulation was observed in HSV-1 titer in both 25D3- and 1,25D3-supplemented
cells at 24 h p.i.(p < 0.0001). However, the effect of 25D3 supplementation
persisted till 24 h p.i. with significant downregulation of TLR2 (p < 0.05) mRNA
levels. The supplementation of both 25D3 and 1,25D3 before HSV-1 infection was
found to downregulate the viral titer and TLR2 mRNA during the intial phase of
infection. However, the effect of 25D3 supplementation was found to last for a
longer duration compared with 1,25D3.
PMID- 29792780
TI - Cardiovascular Screening Practices and Attitudes From the NCAA Autonomous "Power"
5 Conferences.
AB - BACKGROUND:: The development of athlete-specific electrocardiogram (ECG)
interpretation standards, along with recent rates of sudden cardiac death (SCD)
in athletes being higher than previously estimated, has heightened the debate in
the sports medicine community regarding cardiovascular screening of the college
athlete, including whether certain high-risk subsets, such as male basketball
athletes, should undergo more intensive screening. HYPOTHESIS:: ECG and/or
echocardiography screening in National Collegiate Athletic Association Autonomous
5 Division I (A5DI) schools will be more common than previous reports, and there
will be more frequent use of noninvasive cardiac screening for men's basketball
players than the general athlete population. STUDY DESIGN:: Cross-sectional,
quantitative study. LEVEL OF EVIDENCE:: Level 4. METHODS:: The head team
physician for each of the 65 schools in the A5DI conferences was contacted to
complete an anonymous survey regarding cardiovascular screening practices at
their institution. The survey inquired about current screening protocols, whether
SCD epidemiology (SCD-E) was considered in establishing those practices, and
whether awareness of present epidemiology altered physician attitudes toward
screening. RESULTS:: A total of 45 of the 65 team physicians (69%) responded. All
schools reported performing history and a physical evaluation. While 17 (38%)
perform only history and physical, 26 (58%) also include an ECG, and 12 (27%)
include echocardiography for all student-athletes. Specifically for male
basketball athletes, 10 (22%) schools perform only history and physical, 32 (71%)
include ECG, and 20 (45%) include echocardiography. Additionally, 64% reported
using SCD-E in developing their screening protocol. Those that had not considered
SCD-E indicated they were unlikely to change their screening protocol when
presented with current SCD-E. CONCLUSION:: The majority (62%) of A5DI
institutions include ECG and/or echocardiography as part of their cardiovascular
screening of all athletes, increasing to 78% when specifically analyzing male
basketball athletes. CLINICAL RELEVANCE:: A5DI institutions, presumably with
greater resources, have largely implemented more intensive cardiovascular
screening than just history and physical for all student-athletes and
specifically for men's basketball-the athlete group at greatest risk.
PMID- 29792783
TI - Phylogenetic studies in Genabea, Myrmecocystis, and related genera.
AB - A multigene phylogenetic analysis of Genabea, Myrmecocystis, and related genera
in Pyronemataceae was conducted to establish genetically supported generic
limits. The nuc rDNA 28S gene, translation elongation factor 1-alpha gene (TEF1),
and RNA polymerase II second-largest subunit gene (RPB2) significantly supported
the monophyly of several distinct genera of hypogeous Pyronemataceae. The genetic
identity of Genabea fragilis is established, and the new species Genabea
hyalospora, G. urbana, Myrmecocystis mediterranea, and M. microspora are proposed
to accommodate undescribed lineages. Two rare species, M. sphaerospora and M.
spinospora, are tentatively identified based on collections that are
morphologically similar to the original descriptions. The genus Genea was found
to be monophyletic, except for G. cazaresii, which is nested among epigeous
species of Humaria. The new combination Humaria cazaresii is proposed to
accommodate this species.
PMID- 29792784
TI - Xylaria karyophthora: a new seed-inhabiting fungus of Greenheart from Guyana.
AB - In 2010-2011, a Xylaria sp. was documented growing from seeds of both
Chlorocardium rodiei and Chlorocardium venenosum, a commercially valuable timber
in Guyana. We conducted extensive surveys in 2015-2016, where this Xylaria sp.
was observed fruiting from ca. 80% of dispersed seeds in both natural and logged
forests in the Upper Demerara-Berbice, Potaro-Siparuni, and the Cuyuni-Mazaruni
districts of central Guyana. Species of Xylaria are ascomycetous fungi generally
characterized by black, carbonaceous, multiperitheciate ascoma commonly found
growing on dead wood. Combined teleomorphic and molecular data indicate that the
fungus represents a novel species, described here as Xylaria karyophthora.
PMID- 29792785
TI - Two cases of combined patellar tendon avulsion from the tibia and patella.
AB - Avulsion fractures of the inferior pole of the patella and proximal tibial
apophysis are independently rare injuries. They occur in children due to the
relative weakness of the apophyseal cartilage compared to the ligaments and
tendons. The combination of these two fractures, is exceedingly rare, with only a
few previously described cases in the literature. Due to the infrequent
presentation of this injury, careful examination and consideration of advanced
imaging is important for diagnosis and preoperative planning. Here we present two
cases of combined sleeve fractures of the inferior pole of the patella and tibial
apophysis, with discussion of the pathophysiology, classification, identification
and management of the injury.
PMID- 29792787
TI - [Study and Preliminary Evaluation for Established of Medical Device Software
Model].
AB - Based on common software quality evaluation model, this paper explores medical
device softwares model established in the basis of the information transmission
form. Applying this model, a medical device software evaluation principle has
been established, and two different existing forms of medical device software are
verified.
PMID- 29792788
TI - [Advice on Data Analysis Methods for Clinical Trials of Medical Devices].
AB - This paper provides an overview on data analysis of medical devices undergoing
clinical trials during medical device evaluation. It reports some common
questions occurred in study design phase and data analysis phase. Then the paper
proposes some advice on data analysis methods for different types of products,
which may provide technical references for reviewers and clinical data analysts.
PMID- 29792786
TI - Imageless navigation total hip arthroplasty - an evaluation of operative time.
AB - INTRODUCTION: Imageless navigation has been successfully integrated in knee
arthroplasty but its effectiveness in total hip arthroplasty (THA) has been
debated. It has consistently been shown that navigation adds significant time and
cost to the operation. Further, the relative success of traditional hip
replacements has impeded the adoption of new techniques. METHODS: We compared the
operative time between fifty total hip replacements with and without the use of
imageless navigation by a single senior surgeon in a retrospective study. We
employed standard statistical tools to compare the two methods. A correlation
based analysis was used to delimit the "learned" phase of imageless navigation to
make comparisons meaningful. RESULTS: Contrary to what has previously been
reported, there was no significant difference between operative time in
navigated, when compared to traditional operations (p = 0.498). Only fourteen
operations were required to delimit the learning phase of this operation.
DISCUSSION: This is the first study that demonstrates no added operative time
when using imageless navigation in THA, achieved with an improved workflow. The
results also demonstrate a very reasonable learning curve.
PMID- 29792789
TI - [Implementation of Heterogeneous Data Sharing in Regional Medical Treatment Based
on HL7 Middleware].
AB - This paper introduces the current situation of the development of regional
medical information in our country, analyzes the problem of heterogeneous data
sharing, and tries to realize the heterogeneous information sharing by middleware
based on HL7.
PMID- 29792790
TI - [Development of Modern Medical Equipment Management System Based on Web].
AB - Under the concept of Internet+, this paper proposed modern medical equipment
management system, which could satisfy our hospital and also agree with the
evaluation of 3A hospital. The system can monitor, analyze and effectively manage
the whole life of the equipment that included assembly, use, maintenance, update
and rejection. The system mainly includes eleven modules that are equipment
management, repair management, online-repair management, PM management, metering
management, benefit analysis, guarantee management, supporter management, barcode
management, inventory management and inspection management. The system could help
to manage medical equipment effectively and optimize resource a location.
PMID- 29792791
TI - [An Algorithm to Eliminate Power Frequency Interference in ECG Using Template].
AB - Researching an algorithm to eliminate power frequency interference in ECG. The
algorithm first creates power frequency interference template, then, subtracts
the template from the original ECG signals, final y, the algorithm gets the ECG
signals without interference. Experiment shows the algorithm can eliminate
interference effectively and has none side effect to normal signal. It's
efficient and suitable for practice.
PMID- 29792792
TI - Per-oral Endoscopic Myotomy (POEM): A safe and effective treatment for achalasia
in geriatricpatients
AB - Background: Esophageal achalasia is a primary motor disorder of the esophagus
characterized by impair relaxation of the lower esophageal sphincter and absent
of esophageal peristalsis. Per-oral endoscopic myotomy is an alternative
treatment to surgical Heller myotomy in patients over 65 years old. The aim of
this paper was to describe the results of peroral endoscopic myotomy (POEM) or
the treatment of achalasia in geriatric patients. Methods: We included patients
over 65 years old with POEM, from retrospective cohort review, in which POEM was
performed with a standardized technique in our department. Results: 12 patients
were included, the procedure was successful in 98% of patients, minor adverse
events occurred without mortality. Conclusions: POEM is a safe and effective
technique for the treatment of achalasia, the results of the study are similar to
those reported in the literature.
PMID- 29792793
TI - [Rationalized Upgrade of Endoscopy Center 's Sterilization Equipment]
AB - Objective: To adapt to hospital's modernized construction, we took effect
measures to ameliorate disinfection procedure and increase disinfection
efficiency for Electronic Endoscopy. Methods: Through confirming the character of
disinfection procedure for Electronic Endoscopy in conjunction with infection
controlling, we investigated the practical administrative mode in hospital for
disinfection procedure of Electronic Endoscopy. Results: After constantly
ameliorating administrative mode for disinfection procedure, the disinfection
efficiency under its supervision was significantly increased. Conclusion:
Reasonable administration and region partition of Electronic Endoscopy
disinfection could improve disinfection efficiency in hospital, thus ensuring
clinical demand for Endoscopy Center and promoting sustainable development of
Department of Internal Medicine.
PMID- 29792794
TI - Healthcare-associated infections: Appropriate empirical antibiotic treatment
AB - Background: Health-care Associated Infections (HAI) are one of the main causes of
death in critically ill patients. The aim of this paper is to establish an
appropriate empirical antibiotic treatment for the main HAI in an Intensive Care
Unit(ICU). Methods: A retrospective, observational, descriptive and analytical
study of the culture results from January, 2014 to December, 2015. The causative
microorganisms were identified, as well as sensitivity and antibiotic resistance.
Results: Of the three main HAI in the ICU were Ventilator Associated Pneumonia
(VAP), whose most common germs were methicillin-resistant Staphylococcus aureus,
Acinetobacter baumannii, Pseudomonas aeruginosa; Urinary Tract Infection
Associated with Urinary Catheter (IVU-CU), Escherichia coli BLEE and Pseudomonas
aeruginosa were isolated in 70%, and 56% of the bloodstream infections of the
germs that caused this infection were three, the most frequent being Escherichia
coli, followed by Klebsiella oxytoca and methicillin-resistant Staphylococcus
aureus. Conclusions: VAP was the most frequent HAI and resistant methicillin
Staphylococcus aureus was the most prevalent in this type of infection. The
proposed empiric antibiotic treatment was as follows: VAP (vancomycin plus
amikacin plus meropenem), IVU-CU (meropenem) and STIs (vancomycin plus cefepime).
PMID- 29792795
TI - Tuning the Morphology of Nanostructured Peptide Films by the Introduction of a
Secondary Structure Conformational Constraint: A Case Study of Hierarchical Self
Assembly.
AB - Peptide self-assembly is ubiquitous in nature. It governs the organization of
proteins, controlling their folding kinetics and preserving their structural
stability and bioactivity. In this connection, model oligopeptides may give
important insights into the molecular mechanisms and elementary forces driving
the formation of supramolecular structures. In this contribution, we show that a
single residue substitution, that is, Aib (alpha-aminoisobutyric acid) in place
of Ala at position 4 of an -(l-Ala)5-homo-oligomer, strongly alters the
aggregation process. In particular, this process is initiated by the formation of
small peptide clusters that promote aggregation on the nanometer scale and,
through a hierarchical self-assembly, lead to mesoscopic structures of
micrometric dimensions. Furthermore, we show that the use of the well-established
Langmuir-Blodgett technique represents an effective strategy for coating extended
areas of inorganic substrates by densely packed peptide layers, thus paving the
way for application of peptide films as templates for biomineralization,
biocompatible coating of surfaces, and scaffolds for tissue engineering.
PMID- 29792796
TI - Nano-LC/NSI MS Refines Lipidomics by Enhancing Lipid Coverage, Measurement
Sensitivity, and Linear Dynamic Range.
AB - Nano-liquid chromatography (nLC)-nanoelectrospray (NSI) is one of the
cornerstones of mass-spectrometry-based bioanalytics. Nevertheless, the
application of nLC is not yet prevalent in lipid analyses. In this study, we
established a reproducible nLC separation for global lipidomics and describe the
merits of using such a miniaturized system for lipid analyses. In order to enable
comprehensive lipid analyses that is not restricted to specific lipid classes, we
particularly optimized sample preparation conditions and reversed-phase
separation parameters. We further benchmarked the developed nLC system to a
commonly used high flow HPLC/ESI MS system in terms of lipidome coverage and
sensitivity. The comparison revealed an intensity gain between 2 and 3 orders of
magnitude for individual lipid classes and an increase in the linear dynamic
range of up to 2 orders of magnitude. Furthermore, the analysis of the yeast
lipidome using nLC/NSI resulted in more than a 3-fold gain in lipid
identifications. All in all, we identified 447 lipids from the core phospholipid
lipid classes (PA, PE, PC, PS, PG, and PI) in Saccharomyces cerevisiae.
PMID- 29792797
TI - Determination of a Focused Mini Kinase Panel for Early Identification of
Selective Kinase Inhibitors.
AB - We analyzed an extensive data set of 3000 Janssen kinase inhibitors (spanning
some 40 therapeutic projects) profiled at 414 kinases in the DiscoverX KINOME
scan to better understand the necessity of using such a full kinase panel versus
simply profiling one's compound at a much smaller number of kinases, or mini
kinase panel (MKP), to assess its selectivity. To this end, we generated a series
of MKPs over a range of sizes and of varying kinase membership using Monte Carlo
simulations. By defining the kinase hit index (KHI), we quantified a compound's
selectivity based on the number of kinases it hits. We find that certain
combinations (rather than a random selection) of kinases can result in a much
lower average error. Indeed, we identified a focused MKP with a 45.1% improvement
in the average error (compared to random) that yields an overall correlation of
R2 = 0.786-0.826 for the KHI compared to the full kinase panel value. Unlike
using a full kinase panel, which is both time and cost restrictive, a focused MKP
is amenable to the triaging of all early stage compounds. In this way,
promiscuous compounds are filtered out early on, leaving the most selective
compounds for lead optimization.
PMID- 29792798
TI - Structural Analysis of Phospholipid Using Hydrogen Abstraction Dissociation and
Oxygen Attachment Dissociation in Tandem Mass Spectrometry.
AB - Gas-phase hydrogen radicals were introduced into a quadrupole ion trap containing
singly charged phospholipids to obtain structural fragmentation patterns in
tandem mass spectrometry (MS/MS). Saturated and unsaturated phosphatidylcholines
were used as a model phospholipid, whose chain-length ranges between 16 and 24.
The MS/MS spectrum yielded a continuous series of fragment ions with a mass
difference of 14 Da, representing the saturated fatty acyl chains. The fragment
ions corresponding to the double-bond position within a single fatty acyl chain
showed a characteristic mass difference of 12 Da. The detection of these
diagnostic product ions enabled the structural analysis of double-bond isomers of
phospholipids. To further investigate the potential of radical-induced
dissociation for the isomeric analysis of phospholipids, gas-phase hydroxyl
radicals, and triplet oxygen atoms were employed in tandem mass spectrometry. The
methylene bridges adjacent to the double-bond positions were selectively
dissociated, accompanied by oxidation of the double bonds. Tandem mass
spectrometry incorporating multiple radical species facilitates the structural
analysis of isomeric phospholipids.
PMID- 29792799
TI - In Vivo Evaluation of Reduction-Responsive Alendronate-Hyaluronan-Curcumin
Polymer-Drug Conjugates for Targeted Therapy of Bone Metastatic Breast Cancer.
AB - Many cancers, such as human breast cancer and lung cancer, easily metastasize to
bones, leading to the formation of secondary tumors in advanced stages. On the
basis of the CD44-targeted effect of oHA and the bone-targeted effect of ALN, we
prepared a reduction-responsive, CD44 receptor-targeting and bone-targeting
nanomicelle, called CUR-loaded ALN-oHA-S-S-CUR micelles. In this study, we aimed
to evaluate the antitumor activity and bone-targeting ability of CUR-loaded ALN
oHA-S-S-CUR micelles. The in vivo experiment results showed that a larger number
of micelles was gathered in the bone metastatic tumor tissue and reduced the bone
destruction. The CUR-loaded ALN-oHA-S-S-CUR micelles markedly inhibited the tumor
growth. So the CUR-loaded ALN-oHA-S-S-CUR micelles constitute a promising drug
delivery system for bone tumor therapy.
PMID- 29792800
TI - Effects of Enhanced Flexibility and Pore Size Distribution on Adsorption-Induced
Deformation of Mesoporous Materials.
AB - Here, we present a new model of adsorption-induced deformation of mesoporous
solids. The model is based on a simplified version of local density functional
theory in the framework of solvation free energy. Instead of density, which is
treated as constant here, we used film thickness and pore radius as order
parameters. This allows us to obtain a self-consistent system of equations
describing simultaneously the processes of gas adsorption and adsorbent
deformation, as well as conditions for capillary condensation and evaporation. In
the limit of infinitely rigid pore walls, when the film becomes several
monolayers thick, the model reduces to the well-known Derjaguin-Broekhoff-de Boer
theory for pores with cylindrical geometry. We have investigated the effects of
enhanced flexibility of the solid as well as the influence of pore size
distribution on the adsorption/deformation process. The formulation of the theory
allows to determine the average pore size and its width from the desorption
branch of the strain isotherm only. The model reproduces the nonmonotonic
behavior of the strain isotherm at low relative pressure. Furthermore, we discuss
the effect of rigidity of the adsorbent on the pore size distribution, showing
qualitatively different results of the adsorption isotherms for rigid and highly
flexible materials, in particular, the shift of evaporation pressure to lower
values and the absence of a limiting value of the loading at high relative
pressure. We also discuss the results of the theory with respect to experimental
data obtained from the literature.
PMID- 29792802
TI - The Anti-Biofouling Properties of Superhydrophobic Surfaces are Short-Lived.
AB - Superhydrophobic surfaces are present in nature on the leaves of many plant
species. Water rolls on these surfaces, and the rolling motion picks up particles
including bacteria and viruses. Man-made superhydrophobic surfaces have been made
in an effort to reduce biofouling. We show here that the anti-biofouling property
of a superhydrophobic surface is due to an entrapped air-bubble layer that
reduces contact between the bacteria and the surface. Further, we showed that
prolonged immersion of superhydrophobic surfaces in water led to loss of the
bubble-layer and subsequent bacterial adhesion that unexpectedly exceeded that of
the control materials. This behavior was not restricted to one particular type of
material but was evident on different types of superhydrophobic surfaces. This
work is important in that it suggests that superhydrophobic surfaces may actually
encourage bacterial adhesion during longer term exposure.
PMID- 29792801
TI - Development of a Novel Sulfoxide-Containing MS-Cleavable Homobifunctional
Cysteine-Reactive Cross-Linker for Studying Protein-Protein Interactions.
AB - Cross-linking mass spectrometry (XL-MS) has become an emerging technology for
defining protein-protein interactions (PPIs) and elucidating architectures of
large protein complexes. Up to now, the most widely used cross-linking reagents
target lysines. Although such reagents have been successfully applied to map PPIs
at the proteome-wide scale, comprehensive PPI profiling would require additional
cross-linking chemistries. Cysteine is one of the most reactive amino acids and
an attractive target for cross-linking owing to its unique role in protein
structures. Although sulfhydryl-reactive cross-linkers are commercially
available, their applications in XL-MS studies remain sparse, likely due to the
difficulty in identifying cysteine cross-linked peptides. Previously, we
developed a new class of sulfoxide-containing MS-cleavable cross-linkers to
enable fast and accurate identification of cross-linked peptides using multistage
tandem mass spectrometry (MS n). Here, we present the development of a new
sulfoxide-containing MS-cleavable homobifunctional cysteine-reactive cross
linker, bismaleimide sulfoxide (BMSO). We demonstrate that BMSO-cross-linked
peptides display the same characteristic fragmentation pattern during collision
induced dissociation (CID) as other sulfoxide-containing MS-cleavable cross
linked peptides, thus permitting their simplified analysis and unambiguous
identification by MS n. Additionally, we show that BMSO can complement amine- and
acidic-residue-reactive reagents for mapping protein-interaction regions.
Collectively, this work not only enlarges the toolbox of MS-cleavable cross
linkers with diverse chemistries, but more importantly expands our capacity and
capability of studying PPIs in general.
PMID- 29792803
TI - Macroporous-Enabled Highly Deformable Layered Hydrogels with Designed pH
Response.
AB - Environment-responsive hydrogel structures are of great interest in materials
research and have a wide range of applications. By using a flow lithography
technique, we report a one-step and high-throughput fabrication method for the
synthesis of highly pH-responsive hydrogels with designed shape transformations.
In this method, heterogeneous hydrogels with porous and nonporous layers are
synthesized using a single UV exposure in a microfluidic channel. During the UV
polymerization, the porous layers, which are formed by using polymerization
induced phase separation (PIPS), significantly increase the swelling capability
and enhance the swelling rate of the hydrogels. Because the flow-lithography
approach allows various patterns of porous/nonporous layers with great control
and enables the simple integration of PIPS, resultant layered hydrogels show
extraordinary deformations with desired pH response. More importantly, our
fabrication approach can not only make 2D deformation of hydrogel structures such
as bending but also can achieve 3D structural deformation such as helical and
buckling structures, enabled by nonuniform UV polymerization we developed.
PMID- 29792804
TI - Total Synthesis of (+/-)-Crinane from 6,6-Dibromobicyclo[3.1.0]hexane Using a 5-
exo- trig Radical Cyclization Reaction to Assemble the C3a-Arylated
Perhydroindole Substructure.
AB - Crinane embodies the tetracyclic framework associated with some of the most
common Amaryllidaceae alkaloids. It has now been prepared in 10 steps from 6,6
dibromobicyclo[3.1.0]hexane (2). The initial step involves the thermally induced
electrocyclic ring opening of cyclopropane 3 and capture of the resulting pi
allyl cation with benzylamine to give an allylic amine that is readily elaborated
to the 3 degrees -amine 10. This last compound was engaged in a 5- exo- trig free
radical cyclization reaction to give the C3a-arylated perhydroindole 11. Compound
11 was then converted, over two steps, into (+/-)-crinane, the hydrochloride salt
of which has been subjected to single-crystal X-ray analysis.
PMID- 29792805
TI - Cheminformatic Insight into the Differences between Terrestrial and Marine
Originated Natural Products.
AB - This is a new golden age for drug discovery based on natural products derived
from both marine and terrestrial sources. Herein, a straightforward but important
question is "what are the major structural differences between marine natural
products (MNPs) and terrestrial natural products (TNPs)?" To answer this
question, we analyzed the important physicochemical properties, structural
features, and drug-likeness of the two types of natural products and discussed
their differences from the perspective of evolution. In general, MNPs have lower
solubility and are often larger than TNPs. On average, particularly from the
perspective of unique fragments and scaffolds, MNPs usually possess more long
chains and large rings, especially 8- to 10-membered rings. MNPs also have more
nitrogen atoms and halogens, notably bromines, and fewer oxygen atoms, suggesting
that MNPs may be synthesized by more diverse biosynthetic pathways than TNPs.
Analysis of the frequently occurring Murcko frameworks in MNPs and TNPS also
reveals a striking difference between MNPs and TNPs. The scaffolds of the former
tend to be longer and often contain ester bonds connected to 10-membered rings,
while the scaffolds of the latter tend to be shorter and often bear more stable
ring systems and bond types. Besides, the prediction from the naive Bayesian drug
likeness classification model suggests that most compounds in MNPs and TNPs are
drug-like, although MNPs are slightly more drug-like than TNPs. We believe that
MNPs and TNPs with novel drug-like scaffolds have great potential to be drug
leads or drug candidates in drug discovery campaigns.
PMID- 29792806
TI - Fate of Fluorescence Labels-Their Adsorption and Desorption Kinetics to Silver
Nanoparticles.
AB - Silver nanoparticles are among the most widely used and produced nanoparticles.
Because of their frequent application in consumer products, the assessment of
their toxicological potential has seen a renewed importance. A major difficulty
is the traceability of nanoparticles in in vitro and in vivo experiments. Even if
the particles are labeled, for example, by a fluorescent marker, the dynamic
exchange of ligands often prohibits their spatial localization. Our study
provides an insight into the adsorption and desorption kinetics of two different
fluorescent labels on silver nanoparticles with a core radius of 3 nm by dynamic
light scattering, small-angle X-ray scattering, and fluorescence spectroscopy. We
used BSA-FITC and tyrosine as examples for common fluorescent ligands. It is
shown that the adsorption of BSA-FITC takes at least 3 days, whereas tyrosine
adsorbs immediately. The quantitative amount of stabilizer on the particle
surface was determined by fluorescence spectroscopy and revealed that the
particles are stabilized by a monolayer of BSA-FITC (corresponding to 20 +/- 9
molecules), whereas tyrosine forms a multilayered structure consisting of 15900
+/- 200 molecules. Desorption experiments show that the BSA-FITC-stabilized
particles are ideally suited for application in in vitro and in vivo experiments
because the ligand desorption takes several days. Depending on the BSA
concentration in the particles surroundings, the rate constant is k = 0.2 per day
or lower when applying first order kinetics, that is, 50% of the BSA-FITC
molecules are released from the particle's surface within 3.4 days. For
illustration, we provide a first application of the fluorescence-labeled
particles in an uptake study with two different commonly used cell lines, the
human liver cell model HepG2 and the human intestinal cell model of
differentiated Caco-2 cells.
PMID- 29792807
TI - Micelles Protect Intact Metallo-supramolecular Block Copolymer Complexes from
Solution to Gas Phase during Electrospray Ionization.
AB - Supramolecular diblock copolymers using metal-ligand coordination can be
synthesized under ambient conditions by delicate design of the end groups of the
homopolymer chains. However, mass spectrometric analysis of such metallo
supramolecular copolymers is challenging. One of the reasons is the nonpolarity
of the polymer chains, making it hard to disperse the complexes in electrospray
ionization (ESI)-friendly environments. The other difficulty is the noncovalent
nature of such copolymers, which is easily disrupted during the ionization. Here,
we demonstrate that the intact metallo-supramolecular diblock copolymers can be
maintained sufficiently during the ESI process in aqueous solution within
micelles. The high-resolution mass spectrometric evidence revealed that the
surfactant molecules effectively protect the noncovalent binding of the complexes
into gaseous ions. Intriguingly, surfactant molecules were sufficiently detached
away from the copolymer complexes, giving unambiguous mass spectra that were
predominated by intact diblock copolymers. This ESI-based approach allowed us to
investigate the relative bond strengths of metal-to-ligand complexation using
collision-induced dissociation (CID) in the ion trap mass spectrometry.
Conformational features and collision cross sections of the copolymers were thus
obtained using subsequent ion mobility spectrometry mass spectrometry (IMS-MS).
Remarkable environment-dependent conformations of the denoted diblock copolymers
were found using this mass spectrometric platform.
PMID- 29792808
TI - Eradication of Established Tumors by Chemically Self-Assembled Nanoring Labeled T
Cells.
AB - Our laboratory has developed chemically self-assembled nanorings (CSANs) as
prosthetic antigen receptors (PARs) for the nongenetic modification of T cell
surfaces. PARs have been successfully employed in vitro to activate T cells for
the selective killing of leukemia cells. However, PAR efficacy has yet to be
evaluated in vivo or against solid tumors. Therefore, we developed bispecific
PARs that selectively target the human CD3 receptor and human epithelial cell
adhesion molecule (EpCAM), which is overexpressed on multiple carcinomas and
cancer stem cells. The alphaEpCAM/alphaCD3 PARs were found to stably bind T cells
for >4 days, and treating EpCAM+ MCF-7 breast cancer cells with
alphaEpCAM/alphaCD3 PAR-functionalized T cells resulted in the induction of IL-2,
IFN-gamma, and MCF-7 cytotoxicity. Furthermore, an orthotopic breast cancer model
validated the ability of alphaEpCAM/alphaCD3 PAR therapy to direct T cell lytic
activity toward EpCAM+ breast cancer cells in vivo, leading to tumor eradication.
In vivo biodistribution studies demonstrated that PAR-T cells were formed in vivo
and persist for over 48 h with rapid accumulation in tumor tissue. Following PAR
treatment, the production of IL-2, IFN-gamma, IL-6, and TNF-alpha could be
significantly reduced by an infusion of clinically relevant concentrations of the
FDA-approved antibiotic, trimethoprim, signaling pharmacologic PAR deactivation.
Importantly, CSANs did not induce naive T cell activation and thus exhibit a
limited potential to induce naive T cell anergy. In addition, murine
immunogenicity studies demonstrated that CSANs do not induce a significant
antibody response nor do they activate splenic cells. Collectively, our results
demonstrate that bispecific CSANs are able to nongenetically generate reversibly
modified T cells that are capable of eradicating targeted solid tumors.
PMID- 29792809
TI - Thionine Self-Assembled Structures on Graphene: Formation, Organization, and
Doping.
AB - The association of organic molecules with two-dimensional (2D) materials,
creating hybrid systems with mutual influences, constitutes an important testbed
for both basic science self-assembly studies and perspective applications.
Following this concept, in this work, we show a rich phenomenology that is
involved in the interaction of thionine with graphene, leading to a hybrid
material formed by well-organized self-assembled structures atop graphene. This
composite system is investigated by atomic force microscopy, electric transport
measurements, Raman spectroscopy, and first principles calculations, which show
(1) an interesting time evolution of thionine self-assembled structures atop
graphene; (2) a highly oriented final molecular assembly (in accordance with the
underlying graphene surface symmetry); and (3) a strong n-type doping effect
introduced in graphene by thionine. The nature of the thionine-substrate
interaction is further analyzed in experiments using mica as a polar substrate.
The present results may help pave the way to achieve tailored 2D material hybrid
devices via properly chosen molecular self-assembly processes.
PMID- 29792810
TI - Optogenetic Inhibition of Galphaq Protein Signaling Reduces Calcium Oscillation
Stochasticity.
AB - As fast terminators of G-protein coupled receptor (GPCR) signaling, regulators of
G-protein signaling (RGS) serve critical roles in fine-tuning second messenger
levels and, consequently, cellular responses to external stimuli. Here, we report
the creation of an optogenetic RGS2 (opto-RGS2) that suppresses agonist-evoked
calcium oscillations by the inactivation of Galphaq protein. In this system,
cryptochrome-mediated heterodimerization of the catalytic RGS2-box with its N
terminal amphipathic helix reconstitutes a functional membrane-localized complex
that can dynamically suppress store-operated release of calcium. Engineered opto
RGS2 cell lines were used to establish the role of RGS2 as a key inhibitory
feedback regulator of the stochasticity of the Galphaq-mediated calcium spike
timing. RGS2 reduced the stochasticity of carbachol-stimulated calcium
oscillations, and the feedback inhibition was coupled to the global calcium
elevation by calmodulin/RGS2 interactions. The identification of a critical
negative feedback circuit exemplifies the utility of optogenetic approaches for
interrogating RGS/GPCR biology and calcium encoding principles through temporally
precise molecular gain-of-function.
PMID- 29792811
TI - Tandem Mass Spectrometry of Peptide Ions by Microwave Excited Hydrogen and Water
Plasmas.
AB - A thermal cracking cell that served as the atomic hydrogen source for hydrogen
attachment/abstraction dissociation (HAD) analysis has an intrinsic problem to
produce a beam of atoms reactive against heated tungsten capillary. A plasma
excited by 2.45 GHz microwave discharge can deliver reactive species to a
quadrupole ion trap confining analyte ions without excessive heating of the
radical source components. The radical (H*) production performance of the
developed source was evaluated by optical emission spectroscopy and H* attachment
reaction to fullerene ions. The source exhibited the H* attachment rate as high
as a thermal cracking source forming H* in the high temperature tungsten
capillary to induce fragmentation processes preserving post-translational
modifications. Water vapor was introduced to the source to confirm the stability
to generate oxygen containing radicals, which were found present in the water
vapor plasma together with atomic hydrogen. Injection of radicals from a water
vapor plasma successfully dissociated peptide ions to c-/z- and a-/x-type ions as
the case of HAD induced by a thermal cracking cell.
PMID- 29792813
TI - Metabolomics Reveals the Molecular Mechanisms of Copper Induced Cucumber Leaf (
Cucumis sativus) Senescence.
AB - Excess copper may disturb plant photosynthesis and induce leaf senescence. The
underlying toxicity mechanism is not well understood. Here, 3-week-old cucumber
plants were foliar exposed to different copper concentrations (10, 100, and 500
mg/L) for a final dose of 0.21, 2.1, and 10 mg/plant, using CuSO4 as the Cu ion
source for 7 days, three times per day. Metabolomics quantified 149 primary and
79 secondary metabolites. A number of intermediates of the tricarboxylic acid
(TCA) cycle were significantly down-regulated 1.4-2.4 fold, indicating a
perturbed carbohydrate metabolism. Ascorbate and aldarate metabolism and
shikimate-phenylpropanoid biosynthesis (antioxidant and defense related pathways)
were perturbed by excess copper. These metabolic responses occur even at the
lowest copper dose considered although no phenotype changes were observed at this
dose. High copper dose resulted in a 2-fold increase in phytol, a degradation
product of chlorophyll. Polyphenol metabolomics revealed that some flavonoids
were down-regulated, while the nonflavonoid 4-hydroxycinnamic acid and trans-2
hydroxycinnamic acid were significantly up-regulated 4- and 26-fold compared to
the control. This study enhances current understanding of copper toxicity to
plants and demonstrates that metabolomics profiling provides a more comprehensive
view of plant responses to stressors, which can be applied to other plant species
and contaminants.
PMID- 29792812
TI - Ultralow Damping in Nanometer-Thick Epitaxial Spinel Ferrite Thin Films.
AB - Pure spin currents, unaccompanied by dissipative charge flow, are essential for
realizing energy-efficient nanomagnetic information and communications devices.
Thin-film magnetic insulators have been identified as promising materials for
spin-current technology because they are thought to exhibit lower damping
compared with their metallic counterparts. However, insulating behavior is not a
sufficient requirement for low damping, as evidenced by the very limited options
for low-damping insulators. Here, we demonstrate a new class of nanometer-thick
ultralow-damping insulating thin films based on design criteria that minimize
orbital angular momentum and structural disorder. Specifically, we show ultralow
damping in <20 nm thick spinel-structure magnesium aluminum ferrite (MAFO), in
which magnetization arises from Fe3+ ions with zero orbital angular momentum.
These epitaxial MAFO thin films exhibit a Gilbert damping parameter of ~0.0015
and negligible inhomogeneous linewidth broadening, resulting in narrow half width
at half-maximum linewidths of ~0.6 mT around 10 GHz. Our findings offer an
attractive thin-film platform for enabling integrated insulating spintronics.
PMID- 29792814
TI - Perspectives of a myosin motor activator agent with increased selectivity.
AB - Clinical treatment of heart failure is still not fully solved. A novel class of
agents, the myosin motor activators, acts directly on cardiac myosin resulting in
an increased force generation and prolongation of contraction. Omecamtiv
mecarbil, the lead molecule of this group, is now in human phase 3 displaying
promising clinical performance. However, omecamtiv mecarbil is not selective to
myosin, because it readily binds to and activates cardiac ryanodine receptors
(RyR-2), an effect that may cause complications in case of overdose. In this
study, in silico analysis was performed to investigate the docking of omecamtiv
mecarbil and other structural analogues to cardiac myosin heavy chain and RyR-2
to select the structure that has a higher selectivity to myosin over RyR-2. In
silico docking studies revealed that omecamtiv mecarbil has comparable affinity
to myosin and RyR-2: the respective Kd values are 0.60 and 0.87 MUmol/L. Another
compound, CK-1032100, has much lower affinity to RyR-2 than omecamtiv mecarbil,
while it still has a moderate affinity to myosin. It was concluded that further
research starting from the chemical structure of CK-1032100 may result a better
myosin activator burdened probably less by the RyR-2 binding side effect. It also
is possible, however, that the selectivity of omecamtiv mecarbil to myosin over
RyR-2 cannot be substantially improved, because similar moieties seem to be
responsible for the high affinity to both myosin and RyR-2.
PMID- 29792815
TI - Molecular Mechanisms of Fast Neurotransmitter Release.
AB - This review summarizes current knowledge of synaptic proteins that are central to
synaptic vesicle fusion in presynaptic active zones, including SNAREs (soluble N
ethylmaleimide sensitive factor attachment protein receptors), synaptotagmin,
complexin, Munc18 (mammalian uncoordinated-18), and Munc13 (mammalian
uncoordinated-13), and highlights recent insights in the cooperation of these
proteins for neurotransmitter release. Structural and functional studies of the
synaptic fusion machinery suggest new molecular models of synaptic vesicle
priming and Ca2+-triggered fusion. These studies will be a stepping-stone toward
answering the question of how the synaptic vesicle fusion machinery achieves such
high speed and sensitivity.
PMID- 29792816
TI - The Physics of the Metaphase Spindle.
AB - The assembly of the mitotic spindle and the subsequent segregation of sister
chromatids are based on the self-organized action of microtubule filaments, motor
proteins, and other microtubule-associated proteins, which constitute the
fundamental force-generating elements in the system. Many of the components in
the spindle have been identified, but until recently it remained unclear how
their collective behaviors resulted in such a robust bipolar structure. Here, we
review the current understanding of the physics of the metaphase spindle that is
only now starting to emerge.
PMID- 29792817
TI - Macroscopic Theory for Evolving Biological Systems Akin to Thermodynamics.
AB - We present a macroscopic theory to characterize the plasticity, robustness, and
evolvability of biological responses and their fluctuations. First, linear
approximation in intracellular reaction dynamics is used to demonstrate
proportional changes in the expression of all cellular components in response to
a given environmental stress, with the proportion coefficient determined by the
change in growth rate as a consequence of the steady growth of cells. We further
demonstrate that this relationship is supported through adaptation experiments of
bacteria, perhaps too well as this proportionality is held even across cultures
of different types of conditions. On the basis of simulations of cell models, we
further show that this global proportionality is a consequence of evolution in
which expression changes in response to environmental or genetic perturbations
are constrained along a unique one-dimensional curve, which is a result of
evolutionary robustness. It then follows that the expression changes induced by
environmental changes are proportionally reduced across different components of a
cell by evolution, which is akin to the Le Chatelier thermodynamics principle.
Finally, with the aid of a fluctuation-response relationship, this
proportionality is shown to hold between fluctuations caused by genetic changes
and those caused by noise. Overall, these results and support from the
theoretical and experimental literature suggest a formulation of cellular systems
akin to thermodynamics, in which a macroscopic potential is given by the growth
rate (or fitness) represented as a function of environmental and evolutionary
changes.
PMID- 29792818
TI - Substrate-Induced Formation of Ribosomal Decoding Center for Accurate and Rapid
Genetic Code Translation.
AB - Accurate translation of genetic information is crucial for synthesis of
functional proteins in all organisms. We use recent experimental data to discuss
how induced fit affects accuracy of initial codon selection on the ribosome by
aminoacyl transfer RNA in ternary complex ( T3) with elongation factor Tu (EF-Tu)
and guanosine-5'-triphosphate (GTP). We define actual accuracy ([Formula: see
text]) of a particular protein synthesis system as its current accuracy and the
effective selectivity ([Formula: see text]) as [Formula: see text] in the limit
of zero ribosomal binding affinity for T3. Intrinsic selectivity ([Formula: see
text]), defined as the upper thermodynamic limit of [Formula: see text], is
determined by the free energy difference between near-cognate and cognate T3 in
the pre-GTP hydrolysis state on the ribosome. [Formula: see text] is much larger
than [Formula: see text], suggesting the possibility of a considerable increase
in [Formula: see text] and [Formula: see text] at negligible kinetic cost.
Induced fit increases [Formula: see text] and [Formula: see text] without
affecting [Formula: see text], and aminoglycoside antibiotics reduce [Formula:
see text] and [Formula: see text] at unaltered [Formula: see text].
PMID- 29792819
TI - Distinct Mechanisms of Transcription Initiation by RNA Polymerases I and II.
AB - RNA polymerases I and II (Pol I and Pol II) are the eukaryotic enzymes that
catalyze DNA-dependent synthesis of ribosomal RNA and messenger RNA,
respectively. Recent work shows that the transcribing forms of both enzymes are
similar and the fundamental mechanisms of RNA chain elongation are conserved.
However, the mechanisms of transcription initiation and its regulation differ
between Pol I and Pol II. Recent structural studies of Pol I complexes with
transcription initiation factors provided insights into how the polymerase
recognizes its specific promoter DNA, how it may open DNA, and how initiation may
be regulated. Comparison with the well-studied Pol II initiation system reveals a
distinct architecture of the initiation complex and visualizes promoter- and gene
class-specific aspects of transcription initiation. On the basis of new
structural studies, we derive a model of the Pol I transcription cycle and
provide a molecular movie of Pol I transcription that can be used for teaching.
PMID- 29792820
TI - Hydrogel-Tissue Chemistry: Principles and Applications.
AB - Over the past five years, a rapidly developing experimental approach has enabled
high-resolution and high-content information retrieval from intact multicellular
animal (metazoan) systems. New chemical and physical forms are created in the
hydrogel-tissue chemistry process, and the retention and retrieval of crucial
phenotypic information regarding constituent cells and molecules (and their joint
interrelationships) are thereby enabled. For example, rich data sets defining
both single-cell-resolution gene expression and single-cell-resolution activity
during behavior can now be collected while still preserving information on three
dimensional positioning and/or brain-wide wiring of those very same neurons-even
within vertebrate brains. This new approach and its variants, as applied to
neuroscience, are beginning to illuminate the fundamental cellular and chemical
representations of sensation, cognition, and action. More generally, reimagining
metazoans as metareactants-or positionally defined three-dimensional graphs of
constituent chemicals made available for ongoing functionalization,
transformation, and readout-is stimulating innovation across biology and
medicine.
PMID- 29792823
TI - Principles of Systems Biology, No. 29.
AB - This month: in silico labeling of microscopy images (Christiansen/Finkbeiner),
single-cell lineage trees and data integration (Rajewsky, Satija), gene
expression (Weinberger/Simpson, Tavazoie, Ameres/Zuber), and signalling networks
(Mercer/Wollscheid, Fussenegger).
PMID- 29792822
TI - Genetic identity in genebanks: application of the SolCAP 12K SNP array in
fingerprinting and diversity analysis in the global in trust potato collection.
AB - Breeders rely on genetic integrity of material from genebanks; however,
admixture, mislabeling, and errors in original data can occur and be detrimental.
Two hundred and fifty accessions, representing paired samples consisting of
original mother plants and their in vitro counterparts from the cultivated potato
collection at the International Potato Center (CIP) were fingerprinted using the
Infinium 12K V2 Potato Array to confirm genetic identity of the accessions and
evaluate genetic diversity of the potato collection. Diploid, triploid, and
tetraploid accessions were included, representing seven cultivated potato taxa
(based on Hawkes, 1990). Fingerprints between voucher mother plants maintained in
the field and in vitro clones of the same accession were used to evaluate
identity, relatedness, and ancestry using hierarchal clustering and model-based
Bayesian admixture analyses. Generally, in vitro and field clones of the same
accession grouped together; however, 11 (4.4%) accessions were mismatches
genetically, and in some cases the SNP data revealed the identity of the mixed
accession. SNP genotypes were used to assess genetic diversity and to evaluate
inter- and intraspecific relationships along with determining population
structure and hybrid origins. Phylogenetic analyses suggest that the triploids
included in this study are genetically similar. Further, some genetic
redundancies among individual accessions were also identified along with some
putative misclassified accessions. Accessions generally clustered together based
on taxonomic classification and ploidy level with some deviations. STRUCTURE
analysis identified six populations with significant gene flow among the
populations, as well as revealed hybrid taxa and accessions. Overall, the
Infinium 12K V2 Potato Array proved useful in confirming identity and
highlighting the diversity in this subset of the CIP collection, providing new
insights into the accessions evaluated. This study provides a model for genetic
identity of plant genetic resources collections as mistakes in conservation of
these collections and in genebanks is a reality. For breeders and other users of
these collections, confirmed identity is critical, as well as for quality
management programs and to provide insights into the accessions evaluated.
PMID- 29792821
TI - Exhaustive-exercise-induced oxidative stress alteration of erythrocyte oxygen
release capacity.
AB - The aim of the present study was to explore the effect of exhaustive running
exercise in the oxygen release capacity of rat erythrocytes. Rats were divided
into sedentary control, moderate running exercise, and exhaustive running
exercise groups. The thermodynamic and kinetic properties of the erythrocyte
oxygen release process of the different groups were tested. We also determined
the degree of band-3 oxidation and phosphorylation, anion transport activity, and
carbonic anhydrase isoform II activity. Biochemical studies suggested that
exhaustive running significantly increased oxidative injury parameters in
thiobarbituric acid reactive substances and methaemoglobin levels. Furthermore,
exhaustive running significantly decreased anion transport activity and carbonic
anhydrase isoform II activity. Thermodynamic analysis indicated that erythrocytes
oxygen release ability also significantly increased due to elevated 2,3-DPG level
after exhaustive running. Kinetic analysis indicated that exhaustive running
resulted in significantly decreased T50 value. We presented evidence that
exhaustive running remarkably impacted thermodynamic and kinetic properties of
RBC oxygen release. In addition, changes in 2,3-DPG levels and band-3 oxidation
and phosphorylation could be the driving force for exhaustive-running-induced
alterations in erythrocyte oxygen release thermodynamic and kinetic properties.
PMID- 29792824
TI - A Host Proteome Atlas of Streptococcus pyogenes Infection.
AB - Multiplex quantitative proteomics analysis of mice infected with Group A
Streptococcus reveals organ-specific biomarkers of infection.
PMID- 29792825
TI - G1/S Transcription Factor Copy Number Is a Growth-Dependent Determinant of Cell
Cycle Commitment in Yeast.
AB - To understand how commitment to cell division in late G1 phase (Start) is
controlled by growth and nutrients in budding yeast, we determined the absolute
concentrations of the G1/S transcription factors SBF (composed of Swi4 and Swi6)
and MBF (composed of Mbp1 and Swi6), the transcriptional repressor Whi5, and the
G1 cyclins, Cln1 and Cln2, in single live yeast cells using scanning number and
brightness (sN&B) microscopy. In rich medium, Whi5, Mbp1, and Swi6 concentrations
were independent of cell size, whereas Swi4 concentration doubled in G1 phase,
leading to a size-dependent decrease in the Whi5/Swi4 ratio. In small cells, SBF
and MBF copy numbers were insufficient to saturate target G1/S promoters, but
this restriction diminished as cells grew in size. In poor medium, SBF and MBF
subunits, as well as Cln1, were elevated, consistent with a smaller cell size at
Start. A mathematical model constrained by sN&B data suggested that size- and
nutrient-dependent occupancy of G1/S promoters by SBF/MBF helps set the cell size
threshold for Start activation.
PMID- 29792827
TI - Reply.
PMID- 29792826
TI - Fast-Evolving Human-Specific Neural Enhancers Are Associated with Aging-Related
Diseases.
AB - The antagonistic pleiotropy theory hypothesizes that evolutionary adaptations
maximizing the fitness in early age increase disease burden after reproduction.
This theory remains largely untested at the molecular level. Here, we analyzed
enhancer evolution in primates to investigate the relationships between aging
related diseases and enhancers acquired after the human-chimpanzee divergence. We
report a 5-fold increased evolutionary rate of enhancers that are activated in
neural tissues, leading to fixation of ~100 human-specific enhancers potentially
under adaptation. These enhancers show prognostic expression levels and
correlations with driver genes in cancer, and their nearby genes are enriched in
known loci associated with aging-related diseases. Using CRISPR/Cas9, we further
functionally validated an enhancer on chr8p23.1 as activator counteracting REST,
a master regulator known to be a transcriptional suppressor of Alzheimer disease.
Our results suggest an evolutionary origin of aging-related diseases: the side
effects of human-specific, neural-tissue expressed enhancers. Thus, adaptive
molecular changes in human macroevolution may introduce vulnerabilities to
disease development in modern populations.
PMID- 29792828
TI - Recurrence of Pericardial Mesothelioma Affecting the Myocardium After Pericardial
Resection.
AB - Primary pericardial mesothelioma represents less than 1% of all malignant
mesotheliomas. These tumors are very rare, difficult to diagnose, and have a poor
response to established treatments. Common clinical presentations include
constrictive symptoms, cardiac tamponade, and cardiac failure. Surgical resection
can be curative in early stages and for localized tumors, and pericardiectomy and
chemotherapy are often used as palliative approaches. We present the case of a 54
year-old woman who has so far survived 4 years after a primary resection of a
pericardial mesothelioma and was referred with myocardial and aortopulmonary
window recurrences for further treatment.
PMID- 29792829
TI - Alfieri Edge-to-Edge Mitral Valve Repair for All Seasons?
PMID- 29792830
TI - Subglottic Resections: How I Teach It.
PMID- 29792831
TI - Novel generations of laboratory instruments should not worsen analytical quality:
The case of GEM Premier 5000.
PMID- 29792832
TI - Sperm DNA fragmentation in the total and vital fractions before and after density
gradient centrifugation: Significance in male fertility diagnosis.
AB - BACKGROUND: Sperm DNA fragmentation measured by different techniques make
comparisons impossible due to lack of standardization. Induction of DNA damage
after sperm preparation in the entire fraction has been observed on independent
occasions but findings are not consistent. METHODS: Men presenting at a
University hospital setup for infertility treatment. DNA damage via TUNEL assay
was validated on fresh semen samples, as conventional semen parameters, to reduce
variability of results. RESULTS: Sperm motility in neat semen inversely
correlated with sperm DNA fragmentation in the total fraction, but, total count,
leukocytes and immature germ cells significantly affected the vital fraction.
Sperm DNA fragmentation was observed both in normal and subnormal semen samples,
but was significantly different in the total fraction of astheno-, asthenoterato-
and oligoteratozoospermic men. After density gradient centrifugation, sperm DNA
fragmentation increased significantly in the total but decreased in the vital
fraction. Advancing male age significantly influenced damage in the total but not
in the vital population. CONCLUSIONS: These findings provide opportunities to
investigate the significance of the total and the vital fractions both in natural
conception and after different assisted reproductive technologies.
PMID- 29792834
TI - Improvement of Esthetic, Functional, and Social Well-Being After Orthognathic
Surgical Intervention: A Sampling of Postsurgical Patients Over a 10-Year Period
From 2007 to 2017.
AB - PURPOSE: The objectives of this study were to evaluate the effect of orthognathic
surgery on the long-term quality of life of patients who received this treatment
and to delineate the common reasons for dissatisfaction. MATERIALS AND METHODS:
In this retrospective cohort study, patients who underwent orthognathic surgery
were studied. One hundred thirty-two patients who had undergone orthognathic
surgery from 2007 to 2017 in the oral and maxillofacial surgery department
participated in this study. They were divided based on their dentofacial
deformity into those with Class II malocclusion and those with Class III
malocclusion. Each participant completed a modified questionnaire used to assess
the patient's esthetic, social, and functional abilities after orthognathic
surgery. RESULTS: The rate of esthetic improvement in orthognathic surgery
patients was 91.7%. No significant difference between male and female patients
was found regarding the changes in social, esthetic, and functional aspects
before and after orthognathic surgery. Both genders recommended orthognathic
surgery for patients with similar problems. One in four patients was dissatisfied
with the nasal appearance after the surgical procedure (25.8%). CONCLUSIONS: In
this study the patients' satisfaction from the orthognathic surgical procedure
was mostly a result of improvements in facial esthetics, followed by
psychological well-being and then functional abilities. Most dissatisfaction
after the orthognathic surgical procedure was related to nasal appearance.
PMID- 29792835
TI - Safety and Efficacy of Outpatient Parotidectomy.
AB - PURPOSE: Given the increasing costs of medical care, there has been a shift to
outpatient elective surgeries in certain patient populations among all surgical
specialties. The goal of this study was to compare the safety and efficacy of
outpatient parotidectomy with traditional inpatient parotidectomy. MATERIALS AND
METHODS: This is a retrospective chart review of all patients who underwent a
parotidectomy at a single tertiary academic center from 2007 through 2017.
RESULTS: There were 568 patients who met the inclusion criteria. There was no
difference in demographics or patient comorbidities between the inpatient and
outpatient groups. There was no increased incidence of postoperative
complications or extent of postoperative care in patients who underwent
outpatient parotidectomy. On average at the authors' institution, the direct
outpatient parotidectomy cost was $1,200 less than the inpatient equivalent.
CONCLUSION: Outpatient parotidectomy can be performed safely and cost effectively
with no increased risk of complications.
PMID- 29792833
TI - Self-diffusion of water-soluble fullerene derivatives in mouse erythrocytes.
AB - Self-diffusion of water-soluble fullerene derivative (WSFD) C60[S(CH2)3SO3Na]5H
in mouse red blood cells (RBC) was characterized by 1H pulsed field gradient NMR
technique. It was found that a fraction of fullerene molecules (~13% of the
fullerene derivative added in aqueous RBC suspension) shows a self-diffusion
coefficient of (5.5 +/- 0.8).10-12 m2/s, which is matching the coefficient of the
lateral diffusion of lipids in the erythrocyte membrane (DL = (5.4 +/- 0.8).10-12
m2/s). This experimental finding evidences the absorption of the fullerene
derivative by RBC. Fullerene derivative molecules are also absorbed by RBC ghosts
and phosphatidylcholine liposomes as manifested in self-diffusion coefficients of
(7.9 +/- 1.2).10-12 m2/s and (7.7 +/- 1.2).10-12 m2/s, which are also close to
the lateral diffusion coefficients of (6.5 +/- 1.0).10-12 m2/s and (8.5 +/-
1.3).10-12 m2/s, respectively. The obtained results suggest that fullerene
derivative molecules are, probably, fixed on the RBC surface. The average
residence time of the fullerene derivative molecule on RBC was estimated as 440
+/- 70 ms. Thus, the pulsed field gradient NMR was shown to be a versatile
technique for investigation of the interactions of the fullerene derivatives with
blood cells providing essential information, which can be projected on their
behavior in-vivo after intravenous administration while screening as potential
drug candidates.
PMID- 29792836
TI - Medicare in Oral and Maxillofacial Surgery: Disparities in Access Part 1.
PMID- 29792837
TI - Total Avulsed Ear Management With Radial Forearm Free Flap.
AB - Avulsive injuries to the ear are extremely challenging to treat. The literature
documents numerous ways to manage such injuries. This report describes a case of
total auricular cartilaginous avulsion that occurred during a motor vehicle
accident. The avulsed segment had an ischemia time longer than 8 hours by the
time of presentation at the authors' tertiary care facility. The avulsed segment
was de-epithelialized and embedded into the volar forearm. After a healing period
of 6 months, a radial forearm free flap was harvested and the ear was
reconstructed. After ensuring good flap uptake and adequate primary healing, the
flap was debulked and reshaped at 4 months after inset.
PMID- 29792838
TI - Systemic Solutions in Retinopathy of Prematurity.
AB - PURPOSE: To describe a framework for screening and treatment of retinopathy of
prematurity (ROP) using telemedicine screening with laser and/or vascular
endothelial growth factor inhibitor treatment strategies. DESIGN: Literature
review and perspective. METHODS: Review of the literature and the author's
experience. RESULTS: Undetermined. CONCLUSIONS: Telemedicine can be deployed on a
national basis to provide quaternary-level screening for ROP. At-risk patients
for treatment could then be directed to receive either laser photocoagulation or
vascular endothelial growth factor inhibitor intravitreal injections followed at
a later time by examination under anesthesia, fluorescein angiography, and diode
laser photocoagulation as indicated.
PMID- 29792839
TI - Patient choice of adjunctive nonpharmacologic pain management during first
trimester abortion: a randomized controlled trial.
AB - OBJECTIVE: To determine if offering patients a choice of adjunctive
nonpharmacologic pain management during first-trimester aspiration abortion
results in lower pain scores when compared with standard care. STUDY DESIGN: We
enrolled women receiving first-trimester aspiration abortion at the University of
Hawaii. We randomized patients to standard care (control) or standard care plus a
choice of nonpharmacologic pain management options (intervention). Standard care
was ibuprofen 800 mg orally at least 30 min preprocedure, lidocaine paracervical
block and anticipatory guidance from the provider. We measured pain on a 100-mm
visual analog scale immediately postprocedure with adequate sample size to detect
a 20-mm difference in pain scores. RESULTS: Seventy-four women participated in
the trial and reported an overall mean pain score of 61.9+/-27.0. Participants in
the control and intervention groups reported similar overall mean pain scores
(control 60.6+/-28.8, intervention 63.3+/-28.5). We found procedure time,
complications, provider-perceived case difficulty and patient satisfaction with
pain management to be similar between groups. Providers underestimated
participant pain compared to participants' own scores (mean physician estimate of
participant pain: 46.3+/-18.5, mean participant pain score: 61.9+/-27.0, p<.01).
Intervention group participants most frequently selected ambient music (59%) as
the nonpharmacologic intervention. Forty-one percent (15/37) of participants in
the intervention group chose more than one nonpharmacologic intervention.
CONCLUSIONS: Participants in the control group reported similar pain scores to
participants in the intervention group. Procedure time and difficulty were
similar between the two groups. IMPLICATIONS: Incorporating patient choice into a
nonpharmacologic pain management model did not result in lower pain scores. This
approach did increase the patient's visit time. Abortion providers frequently use
nonpharmacologic pain management in the United States, and these techniques did
not negatively impact patient pain scores in our study.
PMID- 29792840
TI - Is pregnancy fatalism normal? An attitudinal assessment among women trying to get
pregnant and those not using contraception.
AB - OBJECTIVES: To assess factors associated with pregnancy fatalism among U.S. adult
women. STUDY DESIGN: I used data from the Change and Consistency in Contraceptive
Use study, which collected information from a national sample of 4634 U.S. women
aged 18-39 at baseline (59% response rate). I assessed pregnancy fatalism based
on agreement with the statement: "It doesn't matter whether I use birth control,
when it is my time to get pregnant, it will happen." I compared fatalism among
all respondents to fatalism among respondents who were trying to get pregnant and
those who did not want to get pregnant but were not using contraception. I used
logistic regression to assess associations between nonuse of contraception and
pregnancy fatalism at baseline and whether respondents were trying to get
pregnant 6 months later. RESULTS: Overall, 36% of the sample expressed some
degree of pregnancy fatalism, and proportions were higher for respondents trying
to get pregnant (55%) and those not using contraception (57%). The association
between pregnancy fatalism and trying to get pregnant was maintained after
controlling for other characteristics [odds ratio (OR) 1.4, p=.01], as was the
association for nonuse of contraception (OR 2.08, p<.001). Contraceptive nonusers
at baseline were more likely than users to be trying to get pregnant 6 months
later, especially if they expressed a fatalistic outlook at baseline.
CONCLUSIONS: Pregnancy fatalism may be a common outlook among women who are
trying to get pregnant. Associations between fatalism and nonuse of contraception
may be more complex than previously recognized. IMPLICATIONS: Gaining a better
understanding of the dynamics of pregnancy planning might inform our
understanding of why some women do not use contraception.
PMID- 29792842
TI - Reply by Authors.
PMID- 29792841
TI - Decarboxylation of Ang-(1-7) to Ala1-Ang-(1-7) leads to significant changes in
pharmacodynamics.
AB - The heptapeptide angiotensin (Ang)-(1-7) is part of the beneficial arm of the
renin-angiotensin system. Ang-(1-7) has cardiovascular protective effects,
stimulates regeneration, and opposes the often detrimental effects of AngII. We
recently identified the G protein-coupled receptors Mas and MrgD as receptors for
the heptapeptide. Ala1-Ang-(1-7) (Alamandine), a decarboxylated form of Ang-(1
7), has similar vasorelaxant effects, but has been described as only stimulating
MrgD. Therefore, this study aimed to characterise the consequences of the lack of
the carboxyl group in amino acid 1 on intracellular signalling and to identify
the receptor fingerprint for Ala1-Ang-(1-7). In primary endothelial and mesangial
cells, Ala1-Ang-(1-7) elevated cAMP concentration. Dose response curves generated
with Ang-(1-7) and Ala1-Ang-(1-7) significantly differed from each other, with a
much lower EC50 and a bell-shape curve for Ala1-Ang-(1-7). We provided
pharmacological proof that both, Mas and MrgD, are functional receptors for Ala1
Ang-(1-7). Consequently, in primary mesangial cells with genetic deficiency in
both receptors, the heptapeptide failed to increase cAMP concentration. As we
previously described for Ang-(1-7), the Ala1-Ang-(1-7)-mediated cAMP increase in
Mas/MrgD-transfected HEK293 cells and primary cells was blocked by the AT2
receptor blocker, PD123319. The very distinct dose-response curves for both
heptapeptides could be explained by in silico modelling, electrostatic potential
calculations, and an involvement of Galpha i for higher concentrations of Ala1
Ang-(1-7). Our results identify Ala1-Ang-(1-7) as a peptide with specific
pharmacodynamic properties and builds the basis for the design of more potent and
efficient Ang-(1-7) analogues for therapeutic intervention in a rapidly growing
number of diseases.
PMID- 29792843
TI - Editorial Comment.
PMID- 29792844
TI - Bizarre multilobated myeloma cells mimicking megakaryocytes.
PMID- 29792845
TI - Current frontline endocrine treatment options for women with hormone receptor
positive, Human Epidermal Growth Factor Receptor 2 (HER2)-negative advanced-stage
breast cancer.
AB - Despite the recent advances in breast cancer early detection and awareness, a
significant portion of patients present with an advanced-stage disease and more
patients will progress to stage IV despite adequate treatment of their initial
early-stage disease. Hormone receptor (HR)-positive, Human Epidermal Growth
Factor Receptor-2 (HER2)-negative subtype is the commonest among all breast
cancer subtypes. The management of the advanced-stage disease of this subtype has
evolved significantly over the past few years. The emergence of estrogen receptor
down regulators (fulvestrant), mTOR-inhibitors and the recent introduction of
CDK4/6 inhibitors, like palbociclib, abemaciclib and ribociclib, has resulted in
a significant and a historical improvement in treatment outcomes. In this paper,
we review many of the recently reported clinical trials that led to the approval
of these new drugs in the first-line settings, along with the current
international guidelines.
PMID- 29792847
TI - The polymorphisms of ATOH 7, ET-1 and ACE in non-arteritic anterior ischemic
optic neuropathy.
AB - Non-arteritic anterior ischemic optic neuropathy (NAION) is a common cause of
acute optic neuropathy in the elderly. The role of the genetic polymorphisms of
Atonal Homolog 7 (ATOH7), Endothelin-1 (ET-1) and Angiotensin Converting Enzyme
(ACE) in NAION and the combined effects of the gene-gene and gene-medical
comorbidities on NAION were not clear. We conducted a perspective, case-control
study. 71 NAION patients and 142 age and sex-matched healthy controls were
enrolled. Single nucleotide polymorphisms of ATOH7 (rs1900004), ET-1 (rs5370) and
ACE (rs1799752) were identified by polymerase chain reaction (PCR) method and all
PCR products were screened with Sanger sequencing. The prevalence of genetic
factors in NAION patients were compared to normal people, and assessed in
conditional logistic regression models. The modified effects of gene-gene or gene
medical comorbidities on NAION development were assessed with a multiplicative
model. A significant high risk was found in the T allele of ATOH7 in NAION, with
an odds ratio (OR) of 1.55 (P = 0.04). Conditional logistic regression analysis,
including diabetes and hypertension, revealed that ATOH7 TT genotype carriers
conferred a significantly increased risk of NAION (TT/CC + CT, OR = 3.32, 95%
confidence interval (CI) = 1.16-9.53, P = 0.03). Interaction analysis showed that
ET-1 (P = 0.01), ACE (P = 0.046) and hypertension (P = 0.02) have modified
effects on NAION development. Our results showed that the polymorphism of optic
disc associated gene-ATOH7 conferred a significant risk of NAION. Combination of
ATOH7 and ET-1, ATOH7 and ACE, as well as ATOH7 and hypertension, increased the
susceptibility of NAION. Our data may be useful for NAION predicting.
PMID- 29792848
TI - Subthalamic nucleus deep brain stimulation protects neurons by activating
autophagy via PP2A inactivation in a rat model of Parkinson's disease.
AB - Deep brain stimulation (DBS) of the subthalamic nucleus (STN) is an effective
therapeutic strategy for alleviating disability in patients with moderate to
severe Parkinson's disease (PD). Preclinical studies have shown that stimulation
of the rat STN can protect against nigral dopaminergic neuron loss. However, the
underlying mechanism is unclear. To investigate the molecular basis of the
neuroprotective effects of STN stimulation, a rat model of PD was established by
unilaterally injecting 6-hydroxydopamine (6-OHDA) into the striatum. PD rats were
subjected to DBS of the STN (STN-DBS) and the effects on motor symptoms and
number of nigral tyrosine hydroxylase-positive (TH+) neurons was examined. We
found that STN-DBS improved movement disorder and mitigated the loss of TH+
neurons induced by 6-OHDA. Furthermore, STN-DBS blocked protein phosphatase
(PP)2A activation induced by 6-OHDA and led to the phosphorylation of B cell
lymphoma (Bcl)-2, thereby increasing its activity. This induced its
disassociation from Beclin1, a positive regulator of autophagy, leading to
autophagy and inhibition of apoptosis. These findings demonstrate for the first
time that STN-DBS could exert neuroprotective effects against 6-OHDA-induced cell
injury in PD by inducing autophagy via PP2A inactivation and dissociation of the
Bcl-2/Beclin1 complex, thereby providing a molecular basis of STN-DBS
neuroprotection for PD.
PMID- 29792849
TI - The role and mechanism of KCa3.1 channels in human monocyte migration induced by
palmitic acid.
AB - Monocyte migration into diseased tissues contributes to the pathogenesis of
diseases. Intermediate-conductance Ca2+-activated K+ (KCa3.1) channels play an
important role in cell migration. However, the role of KCa3.1 channels in
mediating monocyte migration induced by palmitic acid (PA) is still unclear.
Using cultured THP-1 cells and peripheral blood mononuclear cells from healthy
subjects, we investigated the role and signaling mechanisms of KCa3.1 channels in
mediating the migration induced by PA. Using methods of Western blotting
analysis, RNA interference, cell migration assay and ELISA, we found that PA
treated monocytes exhibited increment of the protein levels of KCa3.1 channel and
monocyte chemoattractant protein-1 (MCP-1), and the effects were reversed by co
incubation of PA with anti-TLR2/4 antibodies or by specific inhibitors of p38
MAPK, or NF-kappaB. In addition, PA increased monocyte migration, which was
abolished by a specific KCa3.1 channel blocker, TRAM-34, or KCa3.1 small
interfering RNA (siRNA). The expression and secretion of MCP-1 induced by PA was
also similarly prevented by TRAM-34 and KCa3.1 siRNA. These results demonstrate
for the first time that PA upregulates KCa3.1 channels through TLR2/4, p38-MAPK
and NF-kappaB pathway to promote the expression of MCP-1, and then induce the
trans-endothelial migration of monocytes.
PMID- 29792846
TI - Adenosine receptor distribution in Rhesus monkey ocular tissue.
AB - Adenosine receptor (ADOR) antagonists, such as 7-methylxanthine (7-MX), have been
shown to slow myopia progression in humans and animal models. Adenosine receptors
are found throughout the body, and regulate the release of neurotransmitters such
as dopamine and glutamate. However, the role of adenosine in eye growth is
unclear. Evidence suggests that 7-MX increases scleral collagen fibril diameter,
hence preventing axial elongation. This study used immunohistochemistry (IHC) and
reverse-transcription quantitative polymerase chain reaction (RT-qPCR) to examine
the distribution of the four ADORs in the normal monkey eye to help elucidate
potential mechanisms of action. Eyes were enucleated from six Rhesus monkeys.
Anterior segments and eyecups were separated into components and flash-frozen for
RNA extraction or fixed in 4% paraformaldehyde and processed for
immunohistochemistry against ADORA1, ADORA2a, ADORA2b, and ADORA3. RNA was
reverse-transcribed, and qPCR was performed using custom primers. Relative gene
expression was calculated using the DeltaDeltaCt method normalizing to liver
expression, and statistical analysis was performed using Relative Expression
Software Tool. ADORA1 immunostaining was highest in the iris sphincter muscle,
trabecular meshwork, ciliary epithelium, and retinal nerve fiber layer. ADORA2a
immunostaining was highest in the corneal epithelium, trabecular meshwork,
ciliary epithelium, retinal nerve fiber layer, and scleral fibroblasts. ADORA2b
immunostaining was highest in corneal basal epithelium, limbal stem cells, iris
sphincter, ciliary muscle, ciliary epithelium, choroid, isolated retinal ganglion
cells and scattered scleral fibroblasts. ADORA3 immunostaining was highest in the
iris sphincter, ciliary muscle, ciliary epithelium, choroid, isolated retinal
ganglion cells, and scleral fibroblasts. Compared to liver mRNA, ADORA1 mRNA was
significantly higher in the brain, retina and choroid, and significantly lower in
the iris/ciliary body. ADORA2a expression was higher in brain and retina, ADORA2b
expression was higher in retina, and ADORA3 was higher in the choroid. In
conclusion, immunohistochemistry and RT-qPCR indicated differential patterns of
expression of the four adenosine receptors in the ocular tissues of the normal
non-human primate. The presence of ADORs in scleral fibroblasts and the choroid
may support mechanisms by which ADOR antagonists prevent myopia. The potential
effects of ADOR inhibition on both anterior and posterior ocular structures
warrant investigation.
PMID- 29792850
TI - MicroRNA-182 inhibits HCMV replication through activation of type I IFN response
by targeting FOXO3 in neural cells.
AB - Human cytomegalovirus (HCMV) has led to kinds of clinical disorders and great
morbidity worldwide, such as sensorineural hearing loss (SNHL), mental
retardation, and developmental delays in immunocompromised individuals.
Congenital HCMV infection is a leading cause of birth defects, primarily
manifesting as neurological disorders. Previous studies reported that HCMV has
evolved a variety of mechanisms to evade the immune system, such as dysregulation
of miRNAs. However, reports concerning the role of miRNA in HCMV infection in
neural cells are limited. Here, we reported that a host microRNA, miR-182, was
significantly up-regulated by HCMV infection in U-251MG and NPCs cells.
Subsequently, our results of in vitro and in vivo experiments demonstrated that
miR-182 was a positive regulator of interferon regulatory factor 7 (IRF7) by
directly targeting FOXO3, resulting in the induction of IFN-I response and
suppression of HCMV replication in neural cells. Taken together, our findings
provide detailed molecular mechanisms of the antiviral function of miR-182
against HCMV infection in neural cells, and suggest an intrinsic anti-HCMV
therapeutic target.
PMID- 29792851
TI - Collagen-induced arthritis in Dark Agouti rats as a model for study of
immunological sexual dimorphisms in the human disease.
AB - Collagen-induced arthritis (CIA) is a frequently used animal model of rheumatoid
arthritis, human autoimmune disease that exhibits clear sex bias in incidence and
clinical course. Female Dark Agouti rats immunized for CIA showed also greater
incidence and higher arthritic score than their male counterparts. The study
investigated sex differences in mechanisms controlling the primary immune
responses in draining lymph nodes (dLNs), as a factor contributing to this
dimorphism. The higher frequencies of CD4 + CD25 + Foxp3- cells, presumably
activated effector T (Teff) cells, and IL-17+, IFN-gamma + and IL-17 + IFN-gamma
+ T cells were found in female compared with male rat dLNs. However, the
frequency of CD4 + CD25 + Foxp3+ T regulatory cells (Treg) did not differ between
sexes. Thus, CD4+ Teff cells/Treg ratio, and IL-17+ T cells/Treg and IFN-gamma +
T cells/Treg ratios were higher in female than in male rats, and among them was
found lower frequency of PD-1+ cells. This suggested less efficient control of
(auto)immune Th1/Th17 cell responses in female rat dLNs. On the contrary, the
frequency of IL-4+ T cells was lower in female than in male rat dLNs.
Consistently, the ratio of serum levels of collagen-specific IgG2a (IFN-gamma
dependent, with an important pathogenic role in CIA) and IgG1 (IL-4-dependent)
was shifted towards IgG2a in female compared with male rats. As a whole, the
study suggests that sexual dimorphism in the control of T cell
activation/polarization could contribute to sex bias in the susceptibility to
CIA. Moreover, the study advises the use of animals of both sexes in the
preclinical testing of new drugs for rheumatoid arthritis.
PMID- 29792853
TI - Preoperative obstructive sleep apnea screening in gynecologic oncology patients.
AB - BACKGROUND: Women with a gynecologic cancer tend to be older, obese, and
postmenopausal, characteristics that are associated with an increased risk for
obstructive sleep apnea. However, there is limited investigation regarding the
condition's prevalence in this population or its impact on postoperative
outcomes. In other surgical populations, patients with obstructive sleep apnea
have been observed to be at increased risk for adverse postoperative events.
OBJECTIVE: We sought to estimate the prevalence of obstructive sleep apnea among
gynecologic oncology patients undergoing elective surgery and to investigate for
a relationship between obstructive sleep apnea and postoperative outcomes. STUDY
DESIGN: Patients referred to an academic gynecologic oncology practice were
approached for enrollment in this prospective, observational study. Patients were
considered eligible for study enrollment if they were scheduled for a nonemergent
inpatient surgery and could provide informed consent. Enrolled patients were
evaluated for a preexisting diagnosis of obstructive sleep apnea. Those without a
prior diagnosis were screened using the validated, 4-item STOP questionnaire (ie,
Snore loudly, daytime Tiredness, Observed apnea, elevated blood Pressure). All
patients who screened positive for obstructive sleep apnea were referred for
polysomnography. The primary outcome was the prevalence of women with obstructive
sleep apnea or those who screened at high risk for the condition. Secondary
outcomes examined the correlation between body mass index (kg/m2) with
obstructive sleep apnea and assessed for a relationship between obstructive sleep
apnea and postoperative outcomes. RESULTS: Over a 22-month accrual period, 383
eligible patients were consecutively approached to participate in the study. A
cohort of 260 patients were enrolled. A total of 33/260 patients (13%) were
identified as having a previous diagnosis of obstructive sleep apnea. An
additional 66/260 (25%) screened at risk for the condition using the STOP
questionnaire. Of the patients who screened positive, 8/66 (12%) completed
polysomnography, all of whom (8/8 [100%]) were found to have obstructive sleep
apnea. The prevalence of previously diagnosed obstructive sleep apnea or
screening at risk for the condition increased as body mass index increased (P <
.001). Women with untreated obstructive sleep apnea and those who screened at
risk for the condition were found to have an increased risk for postoperative
hypoxemia (odds ratio, 3.5; 95% confidence interval, 1.8-4.7; P = .011) and
delayed return of bowel function (odds ratio, 2.1; 95% confidence interval, 1.3
4.5; P = .009). CONCLUSION: The prevalence of obstructive sleep apnea or
screening at risk for the condition is high among women presenting for surgery
with a gynecologic oncologist. Providers should consider evaluating a patient's
risk for obstructive sleep apnea in the preoperative setting, especially when
risk factors for the condition are present.
PMID- 29792852
TI - Is there a survival advantage in diagnosing endometrial cancer in asymptomatic
postmenopausal patients? An Israeli Gynecology Oncology Group study.
AB - BACKGROUND: Incidental ultrasonographic findings in asymptomatic postmenopausal
women, such as thickened endometrium or polyps, often lead to invasive procedures
and to the occasional diagnosis of endometrial cancer. Data supporting a survival
advantage of endometrial cancer diagnosed prior to the onset of postmenopausal
bleeding are lacking. OBJECTIVE: To compare the survival of asymptomatic and
bleeding postmenopausal patients diagnosed with endometrial cancer. STUDY DESIGN:
This was an Israeli Gynecology Oncology Group retrospective multicenter study of
1607 postmenopausal patients with endometrial cancer: 233 asymptomatic patients
and 1374 presenting with postmenopausal bleeding. Clinical, pathological, and
survival measures were compared. RESULTS: There was no significant difference
between the asymptomatic and the postmenopausal bleeding groups in the proportion
of patients in stage II-IV (23.5% vs 23.8%; P = .9) or in high-grade histology
(41.0% vs 38.4%; P = .12). Among patients with stage-I tumors, asymptomatic
patients had a greater proportion than postmenopausal bleeding patients of stage
IA (82.1% vs 66.2%; P < .01) and a smaller proportion received adjuvant
postoperative radiotherapy (30.5% vs 40.6%; P = .02). There was no difference
between asymptomatic and postmenopausal bleeding patients in the 5-year
recurrence-free survival (79.1% vs 79.4%; P = .85), disease-specific survival
(83.2% vs 82.2%; P = .57), or overall survival (79.7% vs 76.8%; P = .37).
CONCLUSION: Endometrial cancer diagnosed in asymptomatic postmenopausal women is
not associated with higher survival rates. Operative hysteroscopy/curettage
procedures in asymptomatic patients with ultrasonographically diagnosed
endometrial polyps or thick endometrium are rarely indicated. It is reasonable to
reserve these procedures for patients whose ultrasonographic findings demonstrate
significant change over time.
PMID- 29792856
TI - Comparison of the 3-D patterns of the parasympathetic nervous system in the lung
at late developmental stages between mouse and chicken.
AB - Although the basic schema of the body plan is similar among different species of
amniotes (mammals, birds, and reptiles), the lung is an exception. Here, anatomy
and physiology are considerably different, particularly between mammals and
birds. In mammals, inhaled and exhaled airs mix in the airways, whereas in birds
the inspired air flows unidirectionally without mixing with the expired air. This
bird-specific respiration system is enabled by the complex tubular structures
called parabronchi where gas exchange takes place, and also by the bellow-like
air sacs appended to the main part of the lung. That the lung is predominantly
governed by the parasympathetic nervous system has been shown mostly by
physiological studies in mammals. However, how the parasympathetic nervous system
in the lung is established during late development has largely been unexplored
both in mammals and birds. In this study, by combining immunocytochemistry, the
tissue-clearing CUBIC method, and ink-injection to airways, we have visualized
the 3-D distribution patterns of parasympathetic nerves and ganglia in the lung
at late developmental stages of mice and chickens. These patterns were further
compared between these species, and three prominent similarities emerged: (1)
parasympathetic postganglionic fibers and ganglia are widely distributed in the
lung covering the proximal and distal portions, (2) the gas exchange units,
alveoli in mice and parabronchi in chickens, are devoid of parasympathetic
nerves, (3) parasympathetic nerves are in close association with smooth muscle
cells, particularly at the base of the gas exchange units. These observations
suggest that despite gross differences in anatomy, the basic mechanisms
underlying parasympathetic control of smooth muscles and gas exchange might be
conserved between mammals and birds.
PMID- 29792855
TI - The versatile hippo pathway in oral-maxillofacial development and bone
remodeling.
AB - The Hippo signaling pathway is implicated in key aspects of cell proliferation,
control of organ size, stem cell functions and tumor suppression. Its functions
are primarily mediated either through direct effects on transcription factors to
influence target gene expression or through crosstalk with other signaling
pathways that regulate multiple physiological activities. Studies are revealing
Hippo pathway involvement in diverse functions including renewal of intestinal
epithelium, promotion of myocardial cell proliferation, cancer suppression, etc.
In this review we discuss Hippo pathway signaling in oral-maxillofacial
development and bone remodeling under normal and pathological conditions and
highlight promising future research directions.
PMID- 29792854
TI - Bergmann glial Sonic hedgehog signaling activity is required for proper
cerebellar cortical expansion and architecture.
AB - Neuronal-glial relationships play a critical role in the maintenance of central
nervous system architecture and neuronal specification. A deeper understanding of
these relationships can elucidate cellular cross-talk capable of sustaining
proper development of neural tissues. In the cerebellum, cerebellar granule
neuron precursors (CGNPs) proliferate in response to Purkinje neuron-derived
Sonic hedgehog (Shh) before ultimately exiting the cell cycle and migrating
radially along Bergmann glial fibers. However, the function of Bergmann glia in
CGNP proliferation remains not well defined. Interestingly, the Hh pathway is
also activated in Bergmann glia, but the role of Shh signaling in these cells is
unknown. In this study, we show that specific ablation of Shh signaling using the
tamoxifen-inducible TNCYFP-CreER line to eliminate Shh pathway activator
Smoothened in Bergmann glia is sufficient to cause severe cerebellar hypoplasia
and a significant reduction in CGNP proliferation. TNCYFP-CreER; SmoF/- (SmoCKO)
mice demonstrate an obvious reduction in cerebellar size within two days of
ablation of Shh signaling. Mutant cerebella have severely reduced proliferation
and increased differentiation of CGNPs due to a significant decrease in Shh
activity and concomitant activation of Wnt signaling in SmoCKO CGNPs, suggesting
that this pathway is involved in cross-talk with the Shh pathway in regulating
CGNP proliferation. In addition, Purkinje cells are ectopically located, their
dendrites stunted, and the Bergmann glial network disorganized. Collectively,
these data demonstrate a previously unappreciated role for Bergmann glial Shh
signaling activity in the proliferation of CGNPs and proper maintenance of
cerebellar architecture.
PMID- 29792857
TI - TGF-beta plays a vital role in triple-negative breast cancer (TNBC) drug
resistance through regulating stemness, EMT and apoptosis.
AB - Triple negative breast cancer (TNBC) is the most malignant subtype of breast
cancer in which the cell surface lacks usual targets for drug to exhibit its
effects. Epirubicin (Epi) is widely used for TNBC, but a substantial number of
patients develop Epi resistance that is usually associated with poor prognosis.
Transforming growth factor (TGF-beta) is a multifunctional cytokine. In recent
study, it appears that TGF-beta influences the cancer stem cell population, thus,
the drug resistance of cancer may also be affected. We used epirubicin to treat
MDA-MB-231 (MB-231) cells and found that TGF-beta and breast cancer stem cell
markers CD44+CD24- were increased and were dose-dependent of epirubicin. We
established drug-resistant cell line from parental MB-231 cells by chronic
treatment with low-concentration epirubicin. The MB-231/Epi cell line showed
relatively slow growth rate with varied morphology. Transwell assay and drug
sensitivity assay revealed that the malignant cell behaviors in terms of
migration, invasion and epirubicin-resistant properties were markedly increased
in the MB-231/Epi cells. Western blot, immunofluorescence assay, and flow
cytometry were used to analyze the expression levels of the breast cancer stem
cell markers, CD44 and CD24. Mammospheres assay showed that the stemness of MB
231/Epi was increased compared to their parental cells. Interestingly, MB-231/Epi
cells showed different expression levels of apoptosis-related markers: Bcl2, Bax;
EMT-related markers E-cadherin, N-cadherin and cell cycle-related marker
cyclinD1. These genes have all been shown to be regulated by the TGF-beta
pathway. Taken together, our findings suggest that TGF-beta plays a vital role in
TNBC epirubicin-resistance through regulating stemness, EMT and apoptosis.
PMID- 29792858
TI - Luciferase of the Japanese syllid polychaete Odontosyllis undecimdonta.
AB - Odontosyllis undecimdonta is a marine syllid polychaete that produces bright
internal and exuded bioluminescence. Despite over fifty years of biochemical
investigation into Odontosyllis bioluminescence, the light-emitting small
molecule substrate and catalyzing luciferase protein have remained a mystery.
Here we describe the discovery of a bioluminescent protein fraction from O.
undecimdonta, the identification of the luciferase using peptide and RNA
sequencing, and the in vitro reconstruction of the bioluminescence reaction using
highly purified O. undecimdonta luciferin and recombinant luciferase. Lastly, we
found no identifiably homologous proteins in publicly available datasets. This
suggests that the syllid polychaetes contain an evolutionarily unique luciferase
among all characterized luminous taxa.
PMID- 29792859
TI - Long non-coding RNA MIAT is estrogen-responsive and promotes estrogen-induced
proliferation in ER-positive breast cancer cells.
AB - Estrogen drives the development and progression of estrogen receptor (ER)
positive breast cancer. However, the detailed mechanism underlying ER-driven
carcinogenesis remains unclear despite extensive studies. Previously reports
indicated higher expression of long non-coding RNA (lncRNA) myocardial infarction
associated transcript (MIAT) in ER-positive breast cancer tissues than in ER
negative tissues. However, the functional relevance of MIAT in ER-positive breast
cancer tumorigenesis was poorly understood. Here, we investigated the role of
lncRNA MIAT in ER-positive breast cancer cells. MIAT was over-expressed in ER
positive breast cancer tissues and ER-positive breast cancer cell line MCF-7.
Activating estrogen signaling by diethylstilbestrol (DES) led to a dose- and time
dependent up-regulation of MIAT in MCF-7 cells that was dependent on ERalpha, as
evidenced by ERalpha silencing and pharmacological inhibition using ER antagonist
ICI 182780. Silencing MIAT decreased DES-induced MCF-7 cell proliferation while
overexpressing MIAT increased MCF-7 cell proliferation. Further mechanistic study
identified that MIAT was critical for G1 to S phase cell cycle transition. Taken
together, these results suggest that lncRNA MIAT is an estrogen-inducible lncRNA
and a key regulator in ER-positive breast cancer cell growth. MIAT could serve as
a potential biomarker and promising therapeutic target for ER-positive breast
cancer.
PMID- 29792860
TI - Diphenyleneiodonium enhances oxidative stress and inhibits Japanese encephalitis
virus induced autophagy and ER stress pathways.
AB - Diphenyleneiodonium (DPI) and N-acetyl-l-cysteine (NAC), two widely used anti
oxidants, were employed to evaluate the role of oxidative stress in Japanese
encephalitis virus (JEV) induced autophagy, stress responses and replication. DPI
and NAC exerted opposite effects on ROS levels in JEV infected mouse neuronal
cells (Neuro2a), mouse embryonic fibroblasts (MEFs) and human epithelial cells
(HeLa). While NAC effectively quenched ROS, DPI enhanced ROS levels, suggesting
that DPI induces oxidative stress in JEV infected cells. DPI treatment of JEV
infected Neuro2a cells further blocked autophagy induction and activation of all
three arms of the ER stress pathway, and, inhibited virus particle release.
Autophagy induction in JEV infection has been previously shown to be linked to
the activation of XBP1 and ATF6 ER stress sensors. Our data suggests that DPI
mediated block of autophagy is a result of inhibition of ER stress responses and
is not associated with an anti-oxidative effect. Since DPI has a wide inhibitory
potential for all Flavin dependent enzymes, it is likely that the signalling
pathways for ER stress and autophagy during JEV infection are modulated by DPI
sensitive enzymes.
PMID- 29792861
TI - Neuroligin-3 protects retinal cells from H2O2-induced cell death via activation
of Nrf2 signaling.
AB - Intensified oxidative stress can cause severe damage to human retinal pigment
epithelium (RPE) cells and retinal ganglion cells (RGCs). The potential effect of
neuroligin-3 (NLGN3) against the process is studied here. Our results show that
NLGN3 efficiently inhibited hydrogen peroxide (H2O2)-induced death and apoptosis
in human RPE cells and RGCs. H2O2-induced reactive oxygen species (ROS)
production, lipid peroxidation and DNA damage in retinal cells were alleviated by
NLGN3. NLGN3 activated nuclear-factor-E2-related factor 2 (Nrf2) signaling,
enabling Nrf2 protein stabilization, nuclear translocation and expression of key
anti-oxidant enzymes (HO1, NOQ1 and GCLC) in RPE cells and RGCs. Further results
demonstrate that NLGN3 activated Akt-mTORC1 signaling in retinal cells.
Conversely, Akt-mTORC1 inhibitors (RAD001 and LY294002) reduced NLGN3-induced
HO1, NOQ1 and GCLC mRNA expression. Significantly, Nrf2 silencing by targeted
shRNAs reversed NLGN3-induced retinal cytoprotection against H2O2. We conclude
that NLGN3 activates Nrf2 signaling to protect human retinal cells from H2O2.
NLGN3 could be further tested as a valuable retinal protection agent.
PMID- 29792862
TI - The reason for the low Ca2+-sensitivity of thin filaments associated with the
Glu41Lys mutation in the TPM2 gene is "freezing" of tropomyosin near the outer
domain of actin and inhibition of actin monomer switching off during the ATPase
cycle.
AB - The E41K mutation in TPM2 gene encoding muscle regulatory protein beta
tropomyosin is associated with nemaline myopathy and cap disease. The mutation
results in a reduced Ca2+-sensitivity of the thin filaments and in muscle
weakness. To elucidate the structural basis of the reduced Ca2+-sensitivity of
the thin filaments, we studied multistep changes in spatial arrangement of
tropomyosin (Tpm), actin and myosin heads during the ATPase cycle in
reconstituted fibers, using the polarized fluorescence microscopy. The E41K
mutation inhibits troponin's ability to shift Tpm to the closed position at high
Ca2+, thus restraining the transition of the thin filaments from the "off" to the
"on" state. The mutation also inhibits the ability of S1 to shift Tpm to the open
position, decreases the amount of the myosin heads bound strongly to actin at
high Ca2+, but increases the number of such heads at low Ca2+. These changes may
contribute to the low Ca2+-sensitivity and muscle weakness. As the mutation has
no effect on troponin's ability to switch actin monomers on at high Ca2+ and
inhibits their switching off at low Ca2+, the use of reagents that increase the
Ca2+-sensitivity of the troponin complex may not be appropriate to restore muscle
function in patients with this mutation.
PMID- 29792864
TI - Delavatine A, an unusual isoquinoline alkaloid exerts anti-inflammation on LPS
induced proinflammatory cytokines production by suppressing NF-kappaB activation
in BV-2 microglia.
AB - Delavatine A, an unusual isoquinoline alkaloid isolated from I. delavayi, was
first studied for anti-inflammatory effect using lipopolysaccharide (LPS)-induced
BV-2 microglia. In the present study, we found that delavatine A substantially
suppressed the LPS-induced pro-inflammatory mediators, nitric oxide (NO), and
tumor necrosis factor-a (TNF-a), interleukin-6 (IL-6), interleukin-1beta (IL
1beta) in BV-2 microglial cells. These effects resulted from the inhibition of
their regulatory genes inducible NO synthase (iNOS), cycloxygenase-2 (COX-2) and
TNF-a, IL-6, IL-1beta. In addition, we examined several pathways related to
inflammation. The results revealed that delavatine A significantly decreased LPS
induced the activation of nuclear factor-kappaB (NF-kappaB) by suppressing the
p65 subunits, and the phosphorylation of IkappaBalpha, while not related to
PI3K/Akt or MAPK pathways.
PMID- 29792863
TI - Identification of immunodominant CD8 epitope in the stalk domain of influenza B
viral hemagglutinin.
AB - Human infections by type B influenza virus constitute about 25% of all influenza
cases. The viral hemagglutinin is comprised of two subunits, HA1 and HA2. While
HA1 is constantly evolving in an unpredictable fashion, the HA2 subunit is highly
conserved, making it a potential candidate for a universal vaccine. However,
immunodominant epitopes in the HA2 subunit remain largely unknown. To delineate
MHC Class I epitopes, we first identified 9-mer H-2Kd-restricted CD8 T cell
epitopes in the HA2 domain by in silico analyses, followed by evaluating the
immunodominance of these peptides in mice challenged with the virus. Of three
peptides selected through in silico analysis, the universally conserved peptide,
YYSTAASSL (B/HA2-190), possessed the highest predicted binding affinity to MHC
Class I and was most effective in inducing IL-2 and TNF-alpha in mouse
splenocytes. Importantly, the peptide demonstrated best capability of stimulating
peptide-specific ex-vivo cytotoxicity against target cells. Taken together, this
finding would be of value for assessment of cell-mediated immune responses
elicited by vaccines based on the highly conserved HA2 stalk domain.
PMID- 29792865
TI - Aspirin restores ABT-737-mediated apoptosis in human renal carcinoma cells.
AB - Aspirin is a novel chemopreventive agent against malignancy. However, outcomes of
aspirin monotherapy of renal cell carcinoma (RCC) are inconsistent across
studies. ABT-737, an BH3 mimetic inhibitor, is also a promising antitumor drug.
Cancer cells including those from RCC, that have high levels of Mcl-1, are
refractory to ABT-737-induced apoptosis. We here investigated how aspirin
treatment modulates the ABT-737-induced apoptosis. Using the in vitro model of
human 786-O cells, we showed that aspirin had sensitized cells to ABT-737 induced
apoptosis. Such aspirin-induced changes of ABT-737 resistance was accompanied by
a host of biochemical events like protein phosphatase 2A (PP2A) activation, AKT
dephosphorylation, Mcl-1/FLICE inhibiting protein (FLIP)/XIAP downregulation, and
Bax mitochondrial redistribution. The PP2A inhibitor, okadaic acid, was able to
reverse the apirin-induced apoptotic changes. Apart from the aspirin treatment,
Mcl-1 silencing also rendered cells vulnerable to ABT-737 induced apoptosis.
Since PP2A, Akt, and Mcl-1 play critical roles in RCC malignancy and treatment
resistance, our present study showed that aspirin, an alternative adjuvant agent,
had recalled ABT-737 sensitivity in the RCC cells through processes involving the
PP2A/Akt/Mcl-1 axis.
PMID- 29792866
TI - Cardiac extracellular matrix hydrogel together with or without inducer cocktail
improves human adipose tissue-derived stem cells differentiation into
cardiomyocyte-like cells.
AB - Studies have demonstrated that differentiation of stem cells into cardiomyocytes
is a complex phenomenon that requires sufficient inducing factors at various time
points. Cardiac extracellular matrix (cECM) could provide tissue specific
microenvironment and act as an inductive template for efficient cell
differentiation. The aim of this study was to investigate the effect of cECM on
differentiation of human adipose tissue-derived stem cells (hADSCs) into
cardiomyocytes using cECM hydrogel in combination with a cardiac inductive
cocktail. hADSCs were cultured on ECM-coated plates with and without inductive
cocktail for 3weeks. qRT-PCR and western blot analysis were used to evaluate the
expression pattern of special cardiac genes and proteins. When hADSCs were
cultured in the presence of cECM cardiac genes including GATA4, HAND1, HAND2,
NKX2.5, Troponin I, betaMHC, Connexin43 were highly expressed in differentiated
cells. Also Connexin43, cTnI and betaMHC proteins were expressed as well. We
could show that cECM by itself could affect viability, proliferation and
differentiation of hADSCs. However, combination of cECM with a cardiac inducing
cocktail could improve the results.
PMID- 29792868
TI - AH6809 decreases production of inflammatory mediators by PGE2 - EP2 - cAMP
signaling pathway in an experimentally induced pure cerebral concussion in rats.
AB - Increasing evidence suggests that PGE2 metabolic pathway is involved in
pathological changes of the secondary brain injury after traumatic brain injury.
However, the underlying mechanisms, in particular, the correlation between
various key enzymes and the brain injury, has remained to be fully explored. More
specifically, it remains to be ascertained whether AH6809 (an EP2 receptor
antagonist) would interfere with the downstream of the PGE2, regulate the
inflammatory mediators and improve neuronal damage in the hippocampus by PGE2 -
EP2 - cAMP signaling pathway. The expression and pathological changes of
cyclooxygenase-1 (COX-1), cyclooxygenase-2 (COX-2), microsomal prostaglandin-E
synthase-1 (mPGES-1), E-prostanoid receptor 2 (EP2), tumor necrosis factor-alpha
(TNF-alpha), interleukin-1beta (IL-1beta) and inducible nitricoxide synthase
(iNOS) in the CA1 area of hippocampus were evaluated by immunohistochemistry,
Western blot and RT-PCR after pure cerebral concussion (PCC) induced by a metal
pendulum closed brain injury in rats followed by AH6809 treatment. The morphology
and number of neurons in CA1 region were analyzed by cresyl violet staining. The
concentration of prostaglandin E2 (PGE2) and cyclic adenosine monophosphate
(cAMP) was assayed by ELISA. Many neurons in hippocampal CA1 area appeared to
undergo necrosis and the number of neurons was concomitantly reduced after PCC
injury. With the passage of time, the protein and mRNA expression of various key
enzymes including COX-1, COX-2 and mPGES-1, EP2 receptor, and inflammatory
mediators including TNF-alpha, IL-1beta and iNOS was increased; meanwhile, the
concentration of PGE2 and cAMP was enhanced. After PCC injury given AH6809
intervention, injury of neurons in hippocampal CA1 area was attenuated. The
protein and mRNA expression of COX-1, COX-2, mPGES-1, EP2, TNF-alpha, IL-1beta
and iNOS was decreased, this was coupled with reduction of PGE2 and cAMP. The
results suggest that PGE2 metabolic pathway is involved in secondary pathological
changes of PCC. AH6809 improves the recovery of injured neurons in the
hippocampal CA1 area and downregulates the inflammatory mediators by PGE2 - EP2 -
cAMP signaling pathway.
PMID- 29792867
TI - Effects of amphetamine exposure during adolescence on behavior and prelimbic
cortex neuron activity in adulthood.
AB - Repeated exposure to psychostimulants during adolescence produces long-lasting
changes in behavior that may be mediated by disrupted development of the
mesocorticolimbic dopamine system. Here, we tested this hypothesis by assessing
the effects of amphetamine (AMPH) and dopamine receptor-selective drugs on
behavior and neuron activity in the prelimbic region of the medial prefrontal
cortex (PFC). Adolescent male, Sprague-Dawley rats were given saline or 3 mg/kg
AMPH between postnatal day (P) 27 and P45. In Experiment 1, locomotor behavior
was assessed during adulthood following challenges with a dopamine D1 (SKF 82958)
or D2 (quinpirole) receptor-selective agonist. In Experiment 2, pre-exposed rats
were challenged during adulthood with AMPH and a D1 (SKF 83566) or D2
(eticlopride) receptor-selective antagonist. In Experiment 3, the activity of
putative pyramidal cells in the prelimbic cortex was recorded as rats behaved in
an open-field arena before and after challenge injections with AMPH and one of
the antagonists. We found that compared to controls, adolescent pre-exposed rats
were more sensitive to the stimulant effects of AMPH and the dopamine receptor
agonists, as well as to the ability of the antagonists to reverse AMPH-induced
stereotypy. Prelimbic neurons from AMPH pre-exposed rats were also more likely to
respond to an AMPH challenge in adulthood, primarily by reducing their activity,
and the antagonists reversed these effects. Our results suggest that exposure to
AMPH during adolescence leads to enduring adaptations in the mesocorticolimbic
dopamine system that likely mediate heightened response to the drug during
adulthood.
PMID- 29792869
TI - Preferential inputs from cholecystokinin-positive neurons to the somatic
compartment of parvalbumin-expressing neurons in the mouse primary somatosensory
cortex.
AB - Parvalbumin-positive (PV+) neurons in the cerebral cortex, mostly corresponding
to fast-spiking basket cells, have been implicated in higher-order brain
functions and psychiatric disorders. We previously demonstrated that the somatic
compartment of PV+ neurons received inhibitory inputs mainly from vasoactive
intestinal polypeptide (VIP)+ neurons, whereas inhibitory inputs to the dendritic
compartment were derived mostly from PV+ and somatostatin (SOM)+ neurons.
However, a substantial number of the axosomatic inputs have remained
unidentified. Here we show preferential innervation of the somatic compartment of
PV+ neurons by cholecystokinin (CCK)+ neurons in the mouse primary somatosensory
cortex. CCK+ neurons, a minor population of GABAergic neurons (3.2%), displayed
no colocalization with PV or SOM immunoreactivity but partial overlap with VIP
immunoreactivity (27.7%). Confocal laser scanning microscopy observation of CCK+
synaptic inputs to PV+ neurons revealed that CCK+ neurons preferred the somatic
compartment to the dendritic compartment of PV+ neurons and provided
approximately 33% of the axosomatic inhibitory inputs to PV+ neurons.
Additionally, 20.9% and 12.1% of the axosomatic inputs were derived from
CCK+/VIP+ and CCK+/VIP-negative (-) neurons, presumably double bouquet and large
basket cells, respectively. Furthermore, the densities of the axosomatic inputs
from CCK+ and/or VIP+ neurons to PV+ neurons were not significantly different
among the cortical layers. The present findings suggest that, by preferentially
innervating the cell bodies of PV+ neurons, both CCK+/VIP- basket and CCK+/VIP+
double bouquet cells might efficiently interfere with action potential generation
of PV+ neurons, and that the two types of CCK+ neurons might have a large impact
on cortical activity through PV+ neuron inhibition.
PMID- 29792870
TI - Peripheral complement interactions with amyloid beta peptide in Alzheimer's
disease: Polymorphisms, structure, and function of complement receptor 1.
AB - INTRODUCTION: Genome-wide association studies consistently show that single
nucleotide polymorphisms (SNPs) in the complement receptor 1 (CR1) gene modestly
but significantly alter Alzheimer's disease (AD) risk. Follow-up research has
assumed that CR1 is expressed in the human brain despite a paucity of evidence
for its function there. Alternatively, erythrocytes contain >80% of the body's
CR1, where, in primates, it is known to bind circulating pathogens. METHODS:
Multidisciplinary methods were employed. RESULTS: Conventional Western blots and
quantitative polymerase chain reaction failed to detect CR1 in the human brain.
Brain immunohistochemistry revealed only vascular CR1. By contrast, erythrocyte
CR1 immunoreactivity was readily observed and was significantly deficient in AD,
as was CR1-mediated erythrocyte capture of circulating amyloid beta peptide. CR1
SNPs associated with decreased erythrocyte CR1 increased AD risk, whereas a CR1
SNP associated with increased erythrocyte CR1 decreased AD risk. DISCUSSION: SNP
effects on erythrocyte CR1 likely underlie the association of CR1 polymorphisms
with AD risk.
PMID- 29792871
TI - Cerebral small vessel disease and the risk of dementia: A systematic review and
meta-analysis of population-based evidence.
AB - INTRODUCTION: Cerebral small vessel disease is increasingly linked to dementia.
METHODS: We systematically searched Medline, Embase, and Cochrane databases for
prospective population-based studies addressing associations of white matter
hyperintensities, covert brain infarcts (i.e., clinically silent infarcts), and
cerebral microbleeds with risk of all-dementia or Alzheimer's disease and
performed meta-analyses. RESULTS: We identified 11 studies on white matter
hyperintensities, covert brain infarcts, or cerebral microbleeds with risk of all
dementia or Alzheimer's disease. Pooled analyses showed an association of white
matter hyperintensity volume and a borderline association of covert brain
infarcts with risk of all-dementia (hazard ratio: 1.39 [95% confidence interval:
1.00; 1.94], N = 3913, and 1.47 [95% confidence interval: 0.97; 2.22], N = 8296).
Microbleeds were not statistically significantly associated with an increased
risk of all-dementia (hazard ratio: 1.25 [95% confidence interval: 0.66; 2.38], N
= 8739). DISCUSSION: White matter hyperintensities are associated with an
increased risk of all-dementia and Alzheimer's disease in the general population.
However, studies are warranted to further determine the role of markers of
cerebral small vessel disease in dementia.
PMID- 29792872
TI - Association of glucocerebrosidase polymorphisms and mutations with dementia in
incident Parkinson's disease.
AB - INTRODUCTION: Both polymorphisms and mutations in glucocerebrosidase (GBA) may
influence the development of dementia in patients with Parkinson's disease.
METHODS: Four hundred forty-two patients and 419 controls were followed for 7
years. Dementia was diagnosed using established criteria. Participants were
analyzed for GBA genetic variants, including E326K, T369M, and L444P.
Associations between GBA carrier status and dementia were assessed with Cox
survival analysis. RESULTS: A total of 12.0% of patients with Parkinson's disease
carried a GBA variant, and nearly half (22/53) of them progressed to dementia
during follow-up. Carriers of deleterious GBA mutations (adjusted hazard ratio
3.81, 95% confidence interval 1.35 to 10.72; P = .011) or polymorphisms (adjusted
hazard ratio 1.79; 95% confidence interval 1.07 to 3.00; P = .028) progressed to
dementia more rapidly than noncarriers. DISCUSSION: GBA variants are of great
clinical relevance for the development of dementia in Parkinson's disease,
especially due to the relatively higher frequency of these alleles compared with
other risk alleles.
PMID- 29792875
TI - Modelling the skip-and-resurgence of Japanese encephalitis epidemics in Hong
Kong.
AB - Japanese encephalitis virus (JEV) is a zoonotic mosquito-borne virus, persisting
in pigs, Ardeid birds and Culex mosquitoes. It is endemic to China and
Southeastern Asia. The case-fatality ratio (CFR) or the rate of permanent
psychiatric sequelae is 30% among symptomatic patients. There were no reported
local JEV human cases between 2006 and 2010 in Hong Kong, but it was followed by
a resurgence of cases from 2011 to 2017. The mechanism behind this "skip-and
resurgence" patterns is unclear. This work aims to reveal the mechanism behind
the "skip-and-resurgence" patterns using mathematical modelling and likelihood
based inference techniques. We found that pig-to-pig transmission increases the
size of JEV epidemics but is unlikely to maintain the same level of transmission
among pigs. The disappearance of JEV human cases in 2006-2010 could be explained
by a sudden reduction of the population of farm pigs as a result of the
implementation of the voluntary "pig-rearing licence surrendering" policy. The
resurgence could be explained by of a new strain in 2011, which increased the
transmissibility of the virus or the spill-over ratio from reservoir to host or
both.
PMID- 29792876
TI - Temperature-dependent sex determination, realized by hormonal dynamics with
enzymatic reactions sensitive to ambient temperature.
AB - Temperature-dependent sex determination (TSD) is adopted by many animal taxa,
including reptiles and fishes. In some species, the eggs develop into females
under a low hatching temperature, whereas they will develop into males under a
high hatching temperature (called the FM-pattern). In other species, the eggs
develop into males (or females) under a low (or high) hatching temperature (MF
pattern). Still, in other species, the eggs develop into females, males, or
females, respectively, when under a low, intermediate, or high hatching
temperature (FMF-pattern). In this paper, we study a mechanism for realizing TSD.
Specifically, we explore a hypothesis that the temperature dependence of
enzymatic reaction rates causes a clear switching of sex hormone levels with
gradual change of temperature. Herein, we analyze a simple hormonal-dynamics with
temperature-sensitive rates of enzymatic reactions included in the sex
determining gene-protein regulatory network. We first examined the cases in which
the enzymatic reactions followed Arrhenius equation. The MF-pattern appeared when
the rates of aromatase production and/or estradiol production depend more
strongly on temperature than do the rates of their decay. By contrast, the FM
pattern appeared when the temperature dependence is stronger for the decay rates
of aromatase and/or estradiol than their production rates. However, the FMF
pattern appeared only when some enzymatic reactions follow Berthelot-Hood
equation, which exhibits a stronger temperature dependence under higher
temperatures than Arrhenius equation. We discuss the possible mechanisms for TSD
of FMF-pattern, including alternative splicing and post-translational
modification.
PMID- 29792873
TI - Effect of Alzheimer's disease risk and protective factors on cognitive
trajectories in subjective memory complainers: An INSIGHT-preAD study.
AB - INTRODUCTION: Cognitive change in people at risk of Alzheimer's disease (AD) such
as subjective memory complainers is highly variable across individuals. METHODS:
We used latent class growth modeling to identify distinct classes of nonlinear
trajectories of cognitive change over 2 years follow-up from 265 subjective
memory complainers individuals (age 70 years and older) of the INSIGHT-preAD
cohort. We determined the effect of cortical amyloid load, hippocampus and basal
forebrain volumes, and education on the cognitive trajectory classes. RESULTS:
Latent class growth modeling identified distinct nonlinear cognitive
trajectories. Education was associated with higher performing trajectories,
whereas global amyloid load and basal forebrain atrophy were associated with
lower performing trajectories. DISCUSSION: Distinct classes of cognitive
trajectories were associated with risk and protective factors of AD. These
associations support the notion that the identified cognitive trajectories
reflect different risk for AD that may be useful for selecting high-risk
individuals for intervention trials.
PMID- 29792874
TI - PET staging of amyloidosis using striatum.
AB - INTRODUCTION: Amyloid positron emission tomography (PET) data are commonly
expressed as binary measures of cortical deposition. However, not all individuals
with high cortical amyloid will experience rapid cognitive decline. Motivated by
postmortem data, we evaluated a three-stage PET classification: low cortical;
high cortical, low striatal; and high cortical, high striatal amyloid;
hypothesizing this model could better reflect Alzheimer's dementia progression
than a model based only on cortical measures. METHODS: We classified PET data
from 1433 participants (646 normal, 574 mild cognitive impairment, and 213 AD),
explored the successive involvement of cortex and striatum using 3-year follow-up
PET data, and evaluated the associations between PET stages, hippocampal volumes,
and cognition. RESULTS: Follow-up data indicated that PET detects amyloid first
in cortex and then in striatum. Our three-category staging including striatum
better predicted hippocampal volumes and subsequent cognition than a three
category staging including only cortical amyloid. DISCUSSION: PET can evaluate
amyloid expansion from cortex to subcortex. Using striatal signal as a marker of
advanced amyloidosis may increase predictive power in Alzheimer's dementia
research.
PMID- 29792877
TI - Coordinating Multi-Protein Mismatch Repair by Managing Diffusion Mechanics on the
DNA.
AB - DNA mismatch repair (MMR) corrects DNA base-pairing errors that occur during DNA
replication. MMR catalyzes strand-specific DNA degradation and resynthesis by
dynamic molecular coordination of sequential downstream pathways. The temporal
and mechanistic order of molecular events is essential to insure interactions in
MMR that occur over long distances on the DNA. Biophysical real-time studies of
highly conserved components on mismatched DNA have shed light on the mechanics of
MMR. Single-molecule imaging has visualized stochastically coordinated MMR
interactions that are based on thermal fluctuation-driven motions. In this
review, we describe the role of diffusivity and stochasticity in MMR beginning
with mismatch recognition through strand-specific excision. We conclude with a
perspective of the possible research directions that should solve the remaining
questions in MMR.
PMID- 29792878
TI - Deoxyelephantopin ameliorates lipopolysaccharides (LPS)-induced memory
impairments in rats: Evidence for its anti-neuroinflammatory properties.
AB - AIM: Neuroinflammation is a critical pathogenic mechanism of most
neurodegenerative disorders especially, Alzheimer's disease (AD).
Lipopolysaccharides (LPS) are known to induce neuroinflammation which is evident
from significant upsurge of pro-inflammatory mediators in in vitro BV-2
microglial cells and in vivo animal models. In present study, we investigated
anti-neuroinflammatory properties of deoxyelephantopin (DET) isolated from
Elephantopus scaber in LPS-induced neuroinflammatory rat model. MATERIALS AND
METHODS: In this study, DET (0.625. 1.25 and 2.5 mg/kg, i.p.) was administered in
rats for 21 days and those animals were challenged with single injection of LPS
(250 MUg/kg, i.p.) for 7 days. Cognitive and behavioral assessment was carried
out for 7 days followed by molecular assessment on brain hippocampus. Statistical
significance was analyzed with one-way analysis of variance followed by Dunnett's
test to compare the treatment groups with the control group. KEY FINDINGS: DET
ameliorated LPS-induced neuroinflammation by suppressing major pro-inflammatory
mediators such as iNOS and COX-2. Furthermore, DET enhanced the anti-inflammatory
cytokines and concomitantly suppressed the pro-inflammatory cytokines and
chemokine production. DET treatment also reversed LPS-induced behavioral and
memory deficits and attenuated LPS-induced elevation of the expression of AD
markers. DET improved synaptic-functionality via enhancing the activity of pre-
and post-synaptic markers, like PSD-95 and SYP. DET also prevented LPS-induced
apoptotic neurodegeneration via inhibition of PARP-1, caspase-3 and cleaved
caspase-3. SIGNIFICANCE: Overall, our studies suggest DET can prevent
neuroinflammation-associated memory impairment and neurodegeneration and it could
be developed as a therapeutic agent for the treatment of neuroinflammation
mediated and neurodegenerative disorders, such as AD.
PMID- 29792879
TI - Astragaloside suppresses apoptosis of the podocytes in rats with diabetic
nephropathy via miR-378/TRAF5 signaling pathway.
AB - AIMS: Apoptosis of podocytes plays a crucial role in diabetic nephropathy (DN)
development, and astragaloside (AS-IV) has a significant impact on podocyte
apoptosis. This study aims to explore the effect of AS-IV on diabetic nephropathy
progression. MATERIALS AND METHODS: The diabetic nephropathy model was
established in rats with streptozotocin (STZ) injection. The albuminuria was
examined by using the enzyme linked immunosorbent assay (ELISA). The expression
of miR-378, tumor-necrosis factor (TNF) receptor (TNFR)-associated factor 5
(TRAF5) mRNA and protein was analyzed by qRT-PCR and western blot, respectively.
Cell transfection was conducted for modulating endogenous expression of miR-378.
Dual luciferase reporter assay was used to evaluate the interaction between miR
378 and TRAF5. The terminal deoxynucleotidy transferase dUTP nick end labeling
(TUNEL) staining assay was performed for apoptosis detection. KEY FINDINGS: AS-IV
protected diabetic rats from developing into diabetic nephropathy. The expression
of miR-378 was down-regulated in diabetic nephropathy rats, which was reversed by
AS-IV. AS-IV enhanced the expression of miR-378 in podocytes treated with high
glucose. MiR-378 negatively regulated TRAF5. AS-IV inhibited the expression of
TRAF5 through miR-378. AS-IV suppressed apoptosis of podocytes via targeting miR
378. SIGNIFICANCE: AS-IV suppresses apoptosis of the podocytes through the miR
378/TRAF5 signaling pathway, and thereby repressing diabetic nephropathy
development.
PMID- 29792881
TI - The Temporal Association of Robotic Surgical Diffusion with Overtreatment of the
Small Renal Mass.
AB - PURPOSE: We evaluated contemporary practice patterns in the management of small
renal masses. MATERIALS AND METHODS: We identified 52,804 patients in the NCDB
(National Cancer Database) who were diagnosed with a small renal mass (4 cm or
less) between 2010 and 2014. Utilization trends of active surveillance, ablation
and robotic, laparoscopic and open surgical techniques were compared among all
comers, elderly patients 75 years old or older and individuals with competing
health risks, defined as a Charlson index of 2 or greater. Multivariable logistic
regression models were used to assess factors associated with robotic renal
surgery and active surveillance. RESULTS: Surgery remained the primary treatment
modality across all years studied, performed in 75.0% and 74.2% of cases in 2010
and 2014, respectively. Although increases in active surveillance from 4.8% in
2010 to 6.0% in 2014 (p <0.001) and robotic renal surgery (22.1% in 2010 to 39.7%
in 2014, p <0.001) were observed, the increase in the proportion of small renal
masses treated with robotic partial and radical nephrectomy was greater than that
of active surveillance (82.0% and 63.0%, respectively, vs 25.0%). Subgroup
analyses in individuals 75 years old or older, or with a Charlson index of 2 or
greater likewise revealed preferential increases in robotic surgery vs active
surveillance. On multivariable analysis later year of diagnosis was associated
with increased performance of robotic renal surgery compared to active
surveillance (2014 vs 2010 OR 1.44, 95% CI 1.20-1.72, p <0.001) and nonrobotic
procedural interventions (2014 vs 2010 OR 2.59, 95% CI 2.30-2.93, p <0.001).
CONCLUSIONS: Robotic surgical extirpation has outpaced the adoption of active
surveillance of small renal masses. This raises concern that the diffusion of
robotic technology propagates overtreatment, particularly among elderly and
comorbid individuals.
PMID- 29792880
TI - Molecular alterations during larval development of Haemonchus contortus in vitro
are under tight post-transcriptional control.
AB - In this study, we explored the molecular alterations in the developmental switch
from the L3 to the exsheathed L3 (xL3) and to the L4 stage of Haemonchus
contortus in vitro using an integrated transcriptomic, proteomic and
bioinformatic approach. Totals of 9,754 mRNAs, 88 microRNAs (miRNAs) and 1,591
proteins were identified, and 6,686 miRNA-mRNA pairs inferred in all larval
stages studied. Approximately 16% of transcripts in the combined transcriptome
(representing all three larval stages) were expressed as proteins, and there were
positive correlations (r = 0.39-0.44) between mRNA transcription and protein
expression in the three distinct developmental stages of the parasite. Of the
predicted targets, 1,019 (27.0%) mRNA transcripts were expressed as proteins, and
there was a negative correlation (r = -0.60 to -0.50) in the differential mRNA
transcription and protein expression between developmental stages upon pairwise
comparison. The changes in transcription (mRNA and miRNA) and protein expression
from the free-living to the parasitic life cycle phase of H. contortus related to
enrichments in biological pathways associated with metabolism (e.g., carbohydrate
and lipid degradation, and amino acid metabolism), environmental information
processing (e.g., signal transduction, signalling molecules and interactions)
and/or genetic information processing (e.g., transcription and translation).
Specifically, fatty acid degradation, steroid hormone biosynthesis and the Rap1
signalling pathway were suppressed, whereas transcription, translation and
protein processing in the endoplasmic reticulum were upregulated during the
transition from the free-living L3 to the parasitic xL3 and L4 stages of the
nematode in vitro. Dominant post-transcriptional regulation was inferred to
elicit these changes, and particular miRNAs (e.g., hco-miR-34 and hco-miR-252)
appear to play roles in stress responses and/or environmental adaptations during
developmental transitions of H. contortus. Taken together, these integrated
results provide a comprehensive insight into the developmental biology of this
important parasite at the molecular level in vitro. The approach applied here to
H. contortus can be readily applied to other parasitic nematodes.
PMID- 29792882
TI - Use of Automated Performance Metrics to Measure Surgeon Performance during
Robotic Vesicourethral Anastomosis and Methodical Development of a Training
Tutorial.
AB - PURPOSE: We sought to develop and validate automated performance metrics to
measure surgeon performance of vesicourethral anastomosis during robotic assisted
radical prostatectomy. Furthermore, we sought to methodically develop a
standardized training tutorial for robotic vesicourethral anastomosis. MATERIALS
AND METHODS: We captured automated performance metrics for motion tracking and
system events data, and synchronized surgical video during robotic assisted
radical prostatectomy. Nonautomated performance metrics were manually annotated
by video review. Automated and nonautomated performance metrics were compared
between experts with 100 or more console cases and novices with fewer than 100
cases. Needle driving gestures were classified and compared. We then applied task
deconstruction, cognitive task analysis and Delphi methodology to develop a
standardized robotic vesicourethral anastomosis tutorial. RESULTS: We analyzed 70
vesicourethral anastomoses with a total of 1,745 stitches. For automated
performance metrics experts outperformed novices in completion time (p <0.01),
EndoWrist(r) articulation (p <0.03), instrument movement efficiency (p <0.02) and
camera manipulation (p <0.01). For nonautomated performance metrics experts had
more optimal needle to needle driver positioning, fewer needle driving attempts,
a more optimal needle entry angle and less tissue trauma (each p <0.01). We
identified 14 common robotic needle driving gestures. Random gestures were
associated with lower efficiency (p <0.01), more attempts (p <0.04) and more
trauma (p <0.01). The finalized tutorial contained 66 statements and figures.
Consensus among 8 expert surgeons was achieved after 2 rounds, including among 58
(88%) after round 1 and 8 (12%) after round 2. CONCLUSIONS: Automated performance
metrics can distinguish surgeon expertise during vesicourethral anastomosis. The
expert vesicourethral anastomosis technique was associated with more efficient
movement and less tissue trauma. Standardizing robotic vesicourethral anastomosis
and using a methodically developed tutorial may help improve robotic surgical
training.
PMID- 29792884
TI - Pharmacological inhibition of DNA methylation attenuates pressure overload
induced cardiac hypertrophy in rats.
AB - BACKGROUND: Heart failure is associated with altered gene expression and DNA
methylation. De novo DNA methylation is associated with gene silencing, but its
role in cardiac pathology remains incompletely understood. We hypothesized that
inhibition of DNA methyltransferases (DNMT) might prevent the deregulation of
gene expression and the deterioration of cardiac function under pressure overload
(PO). To test this hypothesis, we evaluated a DNMT inhibitor in PO in rats and
analysed DNA methylation in cardiomyocytes. METHODS AND RESULTS: Young male
Wistar rats were subjected to PO by transverse aortic constriction (TAC) or to
sham surgery. Rats from both groups received solvent or 12.5 mg/kg body weight of
the non-nucleosidic DNMT inhibitor RG108, initiated on the day of the
intervention. After 4 weeks, we analysed cardiac function by MRI, fibrosis with
Sirius Red staining, gene expression by RNA sequencing and qPCR, and DNA
methylation by reduced representation bisulphite sequencing (RRBS). RG108
attenuated the ~70% increase in heart weight/body weight ratio of TAC over sham
to 47% over sham, partially rescued reduced contractility, diminished the
fibrotic response and the downregulation of a set of genes including Atp2a2
(SERCA2a) and Adrb1 (beta1-adrenoceptor). RG108 was associated with significantly
lower global DNA methylation in cardiomyocytes by ~2%. The differentially
methylated pathways were "cardiac hypertrophy", "cell death" and "xenobiotic
metabolism signalling". Among these, "cardiac hypertrophy" was associated with
significant methylation differences in the group comparison sham vs. TAC, but not
significant between sham+RG108 and TAC+RG108 treatment, suggesting that RG108
partially prevented differential methylation. However, when comparing TAC and
TAC+RG108, the pathway cardiac hypertrophy was not significantly differentially
methylated. CONCLUSIONS: DNMT inhibitor treatment is associated with attenuation
of cardiac hypertrophy and moderate changes in cardiomyocyte DNA methylation. The
potential mechanistic link between these two effects and the role of non-myocytes
need further clarification.
PMID- 29792885
TI - Effects of vasopressin on neural processing of infant crying in expectant
fathers.
AB - In a randomized, double blind, placebo-controlled, within-subject magnetic
resonance imaging study, we examined the effect of 20 IU intranasal vasopressin
on the neural processing of infant crying in 25 fathers-to-be. We explored
whether familial background modulates vasopressin effects, and whether
vasopressin differentially affects cry processing coupled with neutral or
emotional contextual information. Participants listened to cries accompanied by
neutral ('this is an infant') or emotional ('this infant is sick/bored')
contextual information, and neutral control sounds ('this is a saw').
Additionally, participants reported on their childhood experiences of parental
love-withdrawal and abuse. Infant crying (vs control sounds) was associated with
increased activation in the bilateral auditory cortex and posterior medial
cortex. No effects of vasopressin were found in this 'cry network'. Exploratory
whole-brain analyses suggested that effects of vasopressin in the anterior
cingulate cortex, paracingulate gyrus and supplemental motor area were stronger
in fathers who experienced lower (vs higher) levels of love-withdrawal. No
interaction was observed for abuse. Vasopressin increased activation in response
to cries accompanied by emotional vs neutral contextual information in several
brain regions, e.g. the cerebellum, brainstem (midbrain), posterior medial
cortex, hippocampus, putamen, and insula. Our results suggest that the experience
of love-withdrawal may modulate the vasopressin system, influencing effects of
vasopressin administration on cry processing. Results further suggest a role for
vasopressin in the processing of cry sounds with emotional contextual
information.
PMID- 29792883
TI - Plasma N-Glycan Signatures Are Associated With Features of Inflammatory Bowel
Diseases.
AB - BACKGROUND & AIMS: Biomarkers are needed for early detection of Crohn's disease
(CD) and ulcerative colitis (UC) or to predict patient outcomes. Glycosylation is
a common and complex posttranslational modification of proteins that affects
their structure and activity. We compared plasma N-glycosylation profiles between
patients with CD or UC and healthy individuals (controls). METHODS: We analyzed
the total plasma N-glycomes of 2635 patients with inflammatory bowel diseases and
996 controls by mass spectrometry with a linkage-specific sialic acid
derivatization technique. Plasma samples were acquired from 2 hospitals in Italy
(discovery cohort, 1989 patients with inflammatory bowel disease [IBD] and 570
controls) and 1 medical center in the United States (validation cohort, 646 cases
of IBD and 426 controls). Sixty-three glycoforms met our criteria for relative
quantification and were extracted from the raw data with the software MassyTools.
Common features shared by the glycan compositions were combined in 78 derived
traits, including the number of antennae of complex-type glycans and levels of
fucosylation, bisection, galactosylation, and sialylation. Associations of plasma
N-glycomes with age, sex, CD, UC, and IBD-related parameters such as disease
location, surgery and medication, level of C-reactive protein, and sedimentation
rate were tested by linear and logistic regression. RESULTS: Plasma samples from
patients with IBD had a higher abundance of large-size glycans compared with
controls, a decreased relative abundance of hybrid and high-mannose structures,
lower fucosylation, lower galactosylation, and higher sialylation (alpha2,3- and
alpha2,6-linked). We could discriminate plasma from patients with CD from that of
patients with UC based on higher bisection, lower galactosylation, and higher
sialylation (alpha2,3-linked). Glycosylation patterns were associated with
disease location and progression, the need for a more potent medication, and
surgery. These results were replicated in a large independent cohort.
CONCLUSIONS: We performed high-throughput analysis to compare total plasma N
glycomes of individuals with vs without IBD and to identify patterns associated
with disease features and the need for treatment. These profiles might be used in
diagnosis and for predicting patients' responses to treatment.
PMID- 29792886
TI - t-GRASP, a targeted GRASP for assessing neuronal connectivity.
AB - BACKGROUND: Understanding how behaviors are generated by neural circuits requires
knowledge of the synaptic connections between the composite neurons. Methods for
mapping synaptic connections, such as electron microscopy and paired recordings,
are labor intensive and alternative methods are thus desirable. NEW METHOD:
Development of a targeted GFP Reconstitution Across Synaptic Partners(GRASP)
method, t-GRASP, for assessing neural connectivity is described. RESULTS:
Numerous different pre-synaptic and post-synaptic/dendritic proteins were tested
for enhancing the specificity of GRASP signal to synaptic regions. Pairing of
both targeted pre- and post-t-GRASP constructs resulted in strong preferential
GRASP signal in synaptic regions in Drosophila larval sensory neurons, larval
neuromuscular junctions, and adult photoreceptor neurons with minimal false
positive signal. COMPARISON WITH EXISTING METHODS: Activity-independent t-GRASP
exhibits an enhancement of GRASP signal specificity for synaptic contact sites as
compared to existing Drosophila GRASP methods. Fly strains were developed for
expression of both pre- and post-t-GRASP with each of the three Drosophila binary
transcription systems, thus enabling GRASP assays to be performed between any two
driver pairs of any transcription system in either direction, an option not
available for existing Drosophila GRASP methods. CONCLUSIONS: t-GRASP is a novel
targeted GRASP method for assessing synaptic connectivity between Drosophila
neurons. Its flexibility of use with all three Drosophila binary transcription
systems significantly expands the potential use of GRASP in Drosophila.
PMID- 29792887
TI - Auditory priming improves neural synchronization in auditory-motor entrainment.
AB - Neurophysiological research has shown that auditory and motor systems interact
during movement to rhythmic auditory stimuli through a process called
entrainment. This study explores the neural oscillations underlying auditory
motor entrainment using electroencephalography. Forty young adults were randomly
assigned to one of two control conditions, an auditory-only condition or a motor
only condition, prior to a rhythmic auditory-motor synchronization condition
(referred to as combined condition). Participants assigned to the auditory-only
condition auditory-first group) listened to 400 trials of auditory stimuli
presented every 800 ms, while those in the motor-only condition (motor-first
group) were asked to tap rhythmically every 800 ms without any external stimuli.
Following their control condition, all participants completed an auditory-motor
combined condition that required tapping along with auditory stimuli every 800
ms. As expected, the neural processes for the combined condition for each group
were different compared to their respective control condition. Time-frequency
analysis of total power at an electrode site on the left central scalp (C3)
indicated that the neural oscillations elicited by auditory stimuli, especially
in the beta and gamma range, drove the auditory-motor entrainment. For the
combined condition, the auditory-first group had significantly lower evoked power
for a region of interest representing sensorimotor processing (4-20 Hz) and less
total power in a region associated with anticipation and predictive timing (13-16
Hz) than the motor-first group. Thus, the auditory-only condition served as a
priming facilitator of the neural processes in the combined condition, more so
than the motor-only condition. Results suggest that even brief periods of
rhythmic training of the auditory system leads to neural efficiency facilitating
the motor system during the process of entrainment. These findings have
implications for interventions using rhythmic auditory stimulation.
PMID- 29792888
TI - Oral vitamin-A-coupled valsartan nanomedicine: High hepatic stellate cell
receptors accessibility and prolonged enterohepatic residence.
AB - So far, liver fibrosis still has no clinically-approved treatment. The loss of
stored vitamin-A (VA) in hepatic stellate cells (HSCs), the main regulators to
hepatic fibrosis, can be applied as a mechanism for their targeting. Valsartan is
a good candidate for this approach; it is a marketed oral-therapy with inverse-
and partial-agonistic activity to the over-expressed angiotensin-II type1
receptor (AT1R) and depleted nuclear peroxisome proliferator-activated receptor
gamma (PPAR-gamma), respectively, in activated HSCs. However, efficacy on AT1R
and PPAR-gamma necessitates high drug permeability which is lacking in valsartan.
In the current study, liposomes were used as nanocarriers for valsartan to
improve its permeability and hence efficacy. They were coupled to VA and
characterized for HSCs-targeting. Tracing of orally-administered fluorescently
labeled VA-coupled liposomes in normal rats and their fluorescence intensity
quantification in different organs convincingly demonstrated their intestinal
entrapment. On the other hands, their administration to rats with induced
fibrosis revealed preferential hepatic, and less intestinal, accumulation which
lasted up to six days. This indicated their uptake by intestinal stellate cells
that acted as a depot for their release over time. Confocal microscopical
examination of immunofluorescently-stained HSCs in liver sections, with
considerable formula accumulation, confirmed HSCs-targeting and nuclear uptake.
Consequently, VA-coupled valsartan-loaded liposomes (VLC)-therapy resulted in
profound re-expression of hepatic Mas-receptor and PPAR-gamma, potent reduction
of fibrogenic mediators' level and nearly normal liver function tests. Therefore,
VLC epitomizes a promising antifibrotic therapy with exceptional extended action
and additional PPAR-gamma agonistic activity.
PMID- 29792890
TI - The visual cortex and visual cognition in Huntington's disease: An overview of
current literature.
AB - The processing of visual stimuli from retina to higher cortical areas has been
extensively studied in the human brain. In Huntington's disease (HD), an
inherited neurodegenerative disorder, it is suggested that visual processing
deficits are present in addition to more characteristic signs such as motor
disturbances, cognitive dysfunction, and behavioral changes. Visual deficits are
clinically important because they influence overall cognitive performance and
have implications for daily functioning. The aim of this review is to summarize
current literature on clinical visual deficits, visual cognitive impairment, and
underlying visual cortical changes in HD patients. A literature search was
conducted using the electronic database of PubMed/Medline. This review shows that
changes of the visual system in patients with HD were not the primary focus of
currently published studies. Still, early atrophy and alterations of the
posterior cerebral cortex was frequently observed, primarily in the associative
visual cortical areas such as the lingual and fusiform gyri, and lateral
occipital cortex. Changes were even present in the premanifest phase, before
clinical onset of motor symptoms, suggesting a primary region for cortical
degeneration in HD. Although impairments in visuospatial processing and visual
perception were reported in early disease stages, heterogeneous cognitive
batteries were used, making a direct comparison between studies difficult. The
use of a standardized battery of visual cognitive tasks might therefore provide
more detailed information regarding the extent of impairments in specific visual
domains. Further research could provide more insight into clinical, functional,
and pathophysiological changes of the visual pathway in HD.
PMID- 29792889
TI - ROS-triggered degradable iron-chelating nanogels: Safely improving iron
elimination in vivo.
AB - Iron-mediated generation of highly toxic Reactive Oxygen Species (ROS) plays a
major role in the process leading to iron overload-related diseases. The long
term subcutaneous administration of Deferoxamine (DFO) is currently clinically
approved to improve patient symptoms and survival. However, non-specific toxicity
and short circulation times of the drug in humans often leads to poor patient
compliance. Herein, thioketal-based ROS-responsive polymeric nanogels containing
DFO moieties (rNG-DFO) were designed to chelate iron and to degrade under
oxidative stimuli into fragments <10 nm to enhance excretion of iron-bound
chelates. Serum ferritin levels and iron concentrations in major organs of IO
mice decreased following treatment with rNG-DFO, and fecal elimination of iron
bound chelates increased compared to free DFO. Furthermore, rNG-DFO decreased
iron mediated oxidative stress levels in vitro and reduced iron-mediated
inflammation in the liver of IO mice. The study confirms that ROS-responsive
nanogels may serve as a promising alternative to DFO for safer and more efficient
iron chelation therapy.
PMID- 29792892
TI - Beef quality labels: A combination of sensory acceptance test, stated willingness
to pay, and choice-based conjoint analysis.
AB - Consumer perspectives of beef quality are complex, leading to a market that is
increasingly differentiating. Thus, ongoing monitoring and assessment of changes
in consumer perspectives is essential to identify changing market conditions.
Often only credence and search characteristics are evaluated in consumer studies;
therefore the object of the present study is to examine consumer preferences and
perceptions towards beef steaks, also including experience characteristics, using
a mixed methods approach. For this reason, 55 consumers participated in an
experiment in Germany, including a sensory acceptance test, stated willingness to
pay, and choice-based conjoint analysis (CBCA). Different quality characteristics
were included, but a focus on the quality labels of 'dry aged beef', 'Block House
beef', and 'Angus beef' was predominant throughout the experiment with the
results showing that quality labels significantly increased overall liking as
well as the stated willingness to pay. Quality labels were also the one of the
most important characteristics in the conjoint analysis, after origin and price.
The results of all applied methods are comparable for the characteristic quality
label. The combination of sensory acceptance test and CBCA were additionally able
to evaluate all three kinds of beef quality characteristics, which could not be
evaluated together only using a single method. This suggests that a mixture of
methods should be used to gain better knowledge on the true behavior of beef
consumers. Experience and credence characteristics, including beef quality
labels, present opportunities for future research as well as the potential for
determining product and market differentiation.
PMID- 29792891
TI - PCL/EUG scaffolds with tunable stiffness can regulate macrophage secretion
behavior.
AB - Osteoarthritis (OA) is a prevalent joint disorder worldwide. Recent studies
suggested that macrophages play an important role in the progression of OA.
However, the detailed pathology related to macrophages is still ambiguous,
especially those related to mechanotransduction. In this study, PCL/EUG composite
scaffolds were first fabricated by electrospinning. The stiffness of as
fabricated scaffolds was controlled by adjusting the PCL-versus-EUG ratio. The
mechanical properties, structural characterics and chemical composition of the
scaffolds were investigated using various materials characterization techniques.
The results showed that the stiffness of the scaffolds was in the same range as
the cartilage tissues with OA. Confocal microscope and RT-PCR were performed to
investigate the macrophages cultured on the scaffolds. Significant morphological
change of cells was observed. The expression of inflammatory and fibrosis-related
cytokines increases as the scaffold stiffness decreases, similar to the trend
observed in OA progression.
PMID- 29792893
TI - TOX expression decreases with progression of colorectal cancers and is associated
with CD4 T-cell density and Fusobacterium nucleatum infection.
AB - Fusobacterium nucleatum in the tumor microenvironment plays an important role in
the development of colorectal cancer. The underlying mechanism of action,
however, remains to be elucidated. We evaluated the relation of F nucleatum
amount to thymocyte selection-associated high-mobility group box (TOX) protein
expression and CD4+ T-cell density in 138 human colorectal tissues. TOX
expression and CD4+ T-cell density in Fnucleatum-negative tissues were
significantly higher compared to those in Fnucleatum-positive tissues (P < .001
and P = .002, respectively). We found a negative correlation between F nucleatum
abundance and TOX expression (P < .001) and CD4+ T-cell density (P < .001). TOX
expression in normal mucosa, hyperplastic polyps, and adenomas was significantly
higher than in sessile serrated adenomas and different stages of carcinomas (P <
.05). Moreover, CD4+ T-cell density in high-TOX expression tissues was
significantly higher than in low-TOX expression tissues (P = .003). A positive
correlation was found between TOX expression and CD4+ T-cell density in
colorectal tissues (Spearman correlation coefficient: 0.362, 95% confidence
interval: 0.051-0.641, P = .022). Our findings suggest that F nucleatum may
suppress antitumor immune responses by decreasing CD4+ T-cell density and TOX
expression in the progression of colorectal cancer.
PMID- 29792894
TI - Medical students reflect on the future of Pre-Exposure Prophylaxis use among
adolescents and young adults.
PMID- 29792895
TI - Pharmacogenomics of drug-induced liver injury (DILI): Molecular biology to
clinical applications.
AB - A 21-year old woman was admitted to hospital with a two-week history of painless
jaundice, fatigue and anorexia having previously been fit and well. One month
prior to presentation, the patient had taken a five-day course of amoxicillin
clavulanic acid for an infected skin cyst. Otherwise, she was only on the oral
contraceptive pill and reported minimal alcohol intake. On examination, she was
deeply jaundiced, but alert and oriented with no asterixis. She had no stigmata
of chronic liver disease, but hepatomegaly extending 3 cm from below the right
subcostal margin was evident. Investigations showed: white cell count 13.4 *
109/L (normal 3.6-9.3), haemoglobin 11.8 g/dl (normal 11-15), platelet count 356
* 109/L (normal 170-420), sodium 138 mmol/L (normal 134-144), potassium 3.5
mmol/L (normal 3.5-5.0), creatinine 32 umol/L (normal 40-75), albumin 30 g/L
(normal 35-48), alanine aminotransferase 707 IU/L (normal 15-54), alkaline
phosphatase 151 IU/L (normal 30-130), bilirubin 384 umol/L (normal 7-31) and
prothrombin time 27.2 s (normal 11.7-14). Screening for hepatitis A, B, C, E,
Epstein-Barr virus, cytomegalovirus and autoimmune hepatitis was negative. Tests
for anti-smooth muscle, antinuclear, and anti-liver-kidney microsomal-1
antibodies were negative; immunoglobulin levels and ceruloplasmin levels were
normal. Liver ultrasonography demonstrated a liver of normal contour with no
biliary dilatation, a normal spleen size and patent vessels. Liver biopsy
revealed severe portal interface hepatitis with lobular inflammation and scant
plasma cells. Her clinical condition deteriorated in the following days with
prothrombin time and bilirubin rising to 56.6 s and 470 umol/L, respectively. At
follow-up after 11 days, her alanine aminotransferase level was 1,931 IU/L. She
developed grade 2 hepatic encephalopathy 14 days after presentation, and was
listed for a super-urgent liver transplant. Human leucocyte antigen (HLA) typing
was performed as a part of preparatory investigations and showed the patient
carried the HLA haplotype HLA-DRB1*15:02-DQB1*06:01. Following orthotopic
transplantation of a deceased donor graft her explant histology revealed severe
ongoing hepatitis with multi-acinar necrosis (Fig. 1A and B). This case raised a
number of important questions about the diagnosis of drug-induced liver injury
and tools available for clinicians to make the best decisions for patient care:
In this Grand Rounds article, we will explore these questions, describing the
pathophysiology, diagnostic and prognostic biomarkers, and clinical management of
drug-induced liver injury. We will also discuss ongoing areas of uncertainty.
PMID- 29792896
TI - Exploring new treatment paradigms for alcoholic hepatitis by extrapolating from
NASH and cholestasis.
PMID- 29792897
TI - Mathematical modeling and numerical simulation of the mitotic spindle orientation
system.
AB - The mitotic spindle orientation and position is crucial for the fidelity of
chromosome segregation during asymmetric cell division to generate daughter cells
with different sizes or fates. This mechanism is best understood in the budding
yeast Saccharomyces cerevisiae, named the spindle position checkpoint (SPOC). The
SPOC inhibits cells from exiting mitosis until the mitotic spindle is properly
oriented along the mother-daughter polarity axis. Despite many experimental
studies, the mechanisms underlying SPOC regulation remains elusive and unexplored
theoretically. Here, a minimal mathematical is developed to describe SPOC
activation and silencing having autocatalytic feedback-loop. Numerical
simulations of the nonlinear ordinary differential equations (ODEs) model
accurately reproduce the phenotype of SPOC mechanism. Bifurcation analysis of the
nonlinear ODEs reveals the orientation dependency on spindle pole bodies, and how
this dependence is altered by parameter values. Partial differential equation
(PDEs) model as well as linear stability analysis indicate that diffusion play no
major role using experimental high diffusion values. These results provide for
systems understanding on the molecular organization of spindle orientation system
via mathematical modeling. The presented mathematical model is easy to understand
and, within the above mentioned context, can be used as a base for further
development of quantitative models in asymmetric cell-division.
PMID- 29792898
TI - Energy drink exposures reported to Texas poison centers: Analysis of adverse
incidents in relation to total sales, 2010-2014.
AB - The ill-defined term "energy drink" includes a disparate group of products
(beverages, shots, concentrates, and workout powders) having large differences in
caffeine content and concentration and intended use. Hence, inaccurate
conclusions may be drawn when describing adverse events associated with "energy
drinks". The FDA is considering new regulation of these products but product
specificity is needed to evaluate safety. To help address this, we queried Texas
Poison Center Network data for single substance exposures to "energy drinks" from
2010 to 2014, then analyzed adverse events by product type. We specifically
compared energy beverage exposures with sales data for the same time period to
evaluate the safety profile of this category of energy drinks. Among 855
documented "energy drink" exposures, poison center-determined outcome severity
revealed 291 with no/minimal effects, 417 judged nontoxic or minor/not followed,
64 moderate and 4 major effects, and no deaths. Serious complications included 2
seizures and 1 episode of ventricular tachycardia. Outcome severity by category
for beverages: 11 moderate/1 major effects (none in children <17 years); shots:
19 moderate/2 major; non-liquids: 16 moderate/1 major; concentrates: 7 moderate;
unknown: 10 moderate. Call incidence to poison centers for beverage type
exposures was 0.58 (for moderate effects) and 0.053 (for major) per hundred
million units sold. Small volume and concentrated products were associated with a
greater number of adverse effects than beverage versions of "energy drinks".
PMID- 29792899
TI - Protective effect of exendin-4 treatment on erectile dysfunction induced by
chronic methylglyoxal administration in rats.
AB - OBJECTIVE: The aim of this study was to investigate the effect of chronic exendin
4 (Ex-4) treatment on corpus cavernosum (CC) dysfunction in methylglyoxal (MGO)
administered rats. METHODS: Male rats were divided into four groups as control,
MGO (75 mg/kg/day in drinking water for 12 weeks), MGO + low-dose Ex-4 (0.1
MUg/kg twice daily subcutaneously for 12 weeks concomitant with MGO), and MGO +
high-dose Ex-4 (1 MUg/kg twice daily subcutaneously for 12 weeks concomitant with
MGO). Nitric oxide (NO)-mediated endothelium-dependent and neurogenic CC
relaxations were evaluated by acetylcholine (ACh) and electrical field
stimulation (EFS), respectively. Apoptosis was determined by TUNEL. Endothelial
nitric oxide synthase (eNOS), phosphorylated eNOS (p-eNOS), NADPH oxidase subunit
gp91phox (NOX2), and Rho kinase (ROCK2) expressions in CC were investigated by
immunohistochemistry. Levels of the malondialdehyde (MDA) and advanced oxidation
protein products (AOPP) were also measured. RESULTS: In MGO administered rats,
both endothelium-dependent and neurogenic CC relaxations were significantly
impaired as compared to controls. Apoptotic cell death and levels of MDA and AOPP
increased significantly in MGO administered rats. eNOS and p-eNOS expressions
decreased significantly in MGO group, while gp91phox expressions increased
significantly. The diminished relaxation in response to ACh or EFS as well as the
changes in expression of proteins in MGO groups were significantly improved by
exendin-4 treatment. TUNEL-positive cells, and levels of MDA and AOPP in MGO
group rats were also significantly reduced by exendin-4. CONCLUSION: Exendin-4
treatment improves NO-mediated CC relaxations in MGO administered rats probably
by inhibiting NADPH oxidase.
PMID- 29792900
TI - The sulfoconjugation of androstenone and dehydroepiandrosterone by human and
porcine sulfotransferase enzymes.
AB - Porcine sulfotransferase 2A1 (pSULT2A1) is a key enzyme involved in the
testicular and hepatic sulfoconjugation of steroids such as
dehydroepiandrosterone (DHEA) and potentially androstenone. This latter steroid
is a major cause of boar taint, which is an unpleasant off-odour and off-flavour
in pork from male pigs. Sulfotransferase 2B1 (pSULT2B1) may also be important,
although no direct evidence exists for its involvement in sulfoconjugation of
steroids. The purpose of this study was to investigate the sulfoconjugation
activity of human and porcine sulfotransferases towards DHEA and androstenone.
pcDNA 3.1 vectors expressing porcine (p) SULT2A1, pSULT2B1, human (h) SULT2A1,
hSULT2B1a, and hSULT2B1b enzymes were transfected into human embryonic kidney
cells. Transfected cells were then incubated with either androstenone or
dehydroepiandrosterone (DHEA) in both time-course and enzyme kinetics studies.
The production of sulfonates of androstenone metabolites and DHEA sulfonate
increased over time for all enzymes with the exception of pSULT2B1. Enzyme
kinetics analysis showed that androstenone and DHEA were poor substrates for the
human orthologs, hSULT2B1a and hSULT2B1b. Human and porcine SULT2A1 showed
substantially different substrate affinities for androstenone (Km 5.8 +/- 0.6 uM
and 74.1 +/- 15.9 uM, respectively) and DHEA (Km 9.4 +/- 2.5 uM and 3.3 +/- 1.9
uM, respectively). However, these enzymes did show relatively similar sulfonation
efficiencies for DHEA (Vmax/Km 50.5 and 72.9 for hSULT2A1 and pSULT2A1,
respectively). These results highlight the species differences in sulfonation
activity and provide direct evidence, for the first time, suggesting that
pSULT2B1 is not involved in sulfonation of either androstenone metabolites or
DHEA.
PMID- 29792902
TI - Session 4 discussion: The built environment.
AB - The following is a transcript from "The Built Environment" session during the
Ingestive Behavior Research Conference at Purdue University.
PMID- 29792901
TI - Identification and functional characterization, including cytokine production
modulation, of the novel chicken Interleukin-11.
AB - Interleukin (IL)-11 plays an important role in the immune system. However, IL-11
has not yet been characterized in avian species, including chickens. This study
is the first to clone and functionally characterize chicken IL-11 (chIL-11).
Multiple alignments and phylogenetic tree comparisons of chIL-11 with IL-11
proteins from other species revealed high levels of conservation and a close
relationship between chicken and Japanese quail IL-11. Our results demonstrate
that chIL-11 was a functional ligand of IL-11RA and IL-6ST in chicken HD11 and
OU2 cell lines, as well as activated and regulated JAK-STAT, NF-kappaB, PI3K/AKT,
and MAPK signaling pathways in chicken cell lines. In addition, chIL-11 inhibited
nitric oxide production, affected proliferation of both tested cell lines,
inhibited Type 1 and 17 T helper (Th) cytokine and IL-26, IL-12, and IL-17A
induced interferon-gamma production, and enhanced Th2 cytokine (IL-4 and IL-10)
production. Taken together, functional analysis of chIL-11 revealed it bound to
IL-11RA and IL-6ST and activated the JAK-STAT, NF-kappaB, and MAPK signaling
pathways, which resulted in modulation of Th1/Th17 and Th2 cytokine production in
chicken HD11 and OU2 cell lines. Overall, this indicates chIL-11 has a role in
both the innate and adaptive immune system.
PMID- 29792903
TI - Endogenous pararetrovirus sequences are widely present in Citrinae genomes.
AB - Endogenous pararetroviruses (EPRVs) are characterized in several plant genomes
and their biological effects have been reported. In this study, hundreds of EPRV
segments were identified in six Citrinae genomes. A total of 1034 EPRV segments
were identified in the genomes of sweet orange, 2036 in pummelo, 598 in
clementine mandarin, 752 in Ichang papeda, 2060 in citron and 245 in atalantia.
Genomic analysis indicated that EPRV segments tend to cluster as hot spots in the
genomes, particularly on chromosome 2 and 5. Large numbers of simple repeats and
transposable elements were identified in the 2-kb flanking regions of the EPRV
segments. Comparative genomic analysis and PCR experiments showed that there are
highly conserved EPRV segments and species-specific EPRV segments between the
Citrinae genomes. Phylogenetic analysis suggested that the integration events of
EPRVs could initiate in a common progenitor of Citrinae species and repeatedly
occur during the Citrinae divergence.
PMID- 29792904
TI - Monoclonal Antibody DL11C8 Identifies ADAM23 as a Component of Lipid Raft
Microdomains.
AB - A disintegrin and metalloprotease protein 23 (ADAM23) is a transmembrane type I
glycoprotein involved with the development and maintenance of the nervous system,
including neurite outgrowth, neuronal adhesion and differentiation and regulation
of synaptic transmission. In addition, ADAM23 seems to participate in immune
response and tumor establishment through interaction with different members of
integrin receptors. Here, we describe a novel monoclonal antibody (DL11C8) that
specifically recognizes the cysteine-rich domain of both pre-protein (100 kDa)
and mature (70 kDa) forms of ADAM23 from different species, including human,
rodents and avian orthologs. Using this antibody, we detected both forms of
ADAM23 on the cell surface of three neuronal cell lineages (Neuro-2a, SH-SY5Y and
CHLA-20), with a higher relative content of ADAM23100 kDa. Furthermore, we
demonstrate for the first time that a catalytically inactive member of the ADAM
family is present in the membrane signaling platforms, namely lipid rafts.
Indeed, the mature ADAM2370 kDa partitions between raft and non-raft membrane
domains, while the pro-protein ADAM23100 kDa is mainly expressed in non-raft
domains. These membranous distributions were observed in both different brain
regions homogenates and primary cultured neurons lysates from mouse cortex and
cerebellum. Taken together, these findings point out ADAM23 as a lipid raft
molecular component.
PMID- 29792905
TI - Together Means More Happiness: Relationship Status Moderates the Association
between Brain Structure and Life Satisfaction.
AB - Life satisfaction reflects an individual's general evaluation of their overall
quality of life. It has been hypothesized that relationship status (i.e. state of
intimate relationship such as marriage, unmarried cohabiting, dating with others,
single or divorce) may influence individual life satisfaction. However, there is
little accessible empirical evidence that allows us to explore this proposition.
Using a large sample of young adults (n = 1031) from the Human Connectome Project
(HCP), we showed that compared to other relationship statuses (e.g., individuals
who were single or divorced, individuals who dated others, and etcetera),
marriage/cohabitation subjects not only revealed a higher life satisfaction plus
higher emotional and instrumental support, but also reduced perceived stress,
which contributed to higher life satisfaction. Using general linear model with
cortical thickness as the dependent variable, life satisfaction was negatively
associated with the left superior frontal gyrus (SFG) and bilateral middle
frontal gyrus (MFG). Interestingly, both right MFG and left SFG could interact
with relationship status to predict self-reported life satisfaction, in addition
to being associated with a much lower life satisfaction in non-married/cohabiting
individuals. These effects were independent of emotional, instrumental support,
and socioeconomic status. Besides, statistical significance of the moderation
effect pertaining to relationship status was lost once perceived stress was
included as a covariate into the moderation model. Our findings provided
empirical evidence for the potentially positive role of relationship status in
life satisfaction, and also showed that remission of stress may be a critical
factor.
PMID- 29792906
TI - Four novel antibacterial sesquiterpene-alpha-amino acid quaternary ammonium
hybrids from the mycelium of mushroom Stereum hirsutum.
AB - The mushroom Stereum hirsutum is parasitized by Tremella aurantia to form a
heterogeneous basidiocarp Jin'er, which has been used as food and folk medicine
in Chinese society. In present work, the S. hirsutum was fermented in YMG broth,
and four novel mixed terpenes, stereumamides A-D (1-4), which are sesquiterpenes
combined with alpha-amino acids to form quaternary ammonium hybrids, were
isolated from the Stereum hirsutum FP-91666 and their structures were elucidated
by spectroscopic data analysis. Stereumamides A and D showed antibacterial
activity against Escherichia coli, Staphylococcus aureus, and Salmonella
typhimurium, with the minimum inhibitory concentration (MIC) values of 12.5-25.0
MUg/mL. The stereumamides A-D should be apparently the first example of naturally
occurring a quaternary ammonium compound (QAC) conjugated by sesquiterpene with
an alpha-amino acid. QAC is a common antibacterial agent in food industry, which
is found in the mycelium of Stereum hirsutum would suggest that the complex
basidiocarp is a functional food and veritable folk medicine.
PMID- 29792907
TI - Electrocortical reactivity to negative and positive facial expressions in
individuals with a family history of major depression.
AB - Facial expressions signaling threat and mood-congruent loss have been used to
probe abnormal neural reactivity in major depressive disorder (MDD) and may be
implicated in genetic vulnerability to MDD. This study investigated electro
cortical reactivity to facial expressions in 101 unaffected, adult first-degree
relatives of probands with MDD and non-relative controls (n = 101). We
investigated event-related potentials (ERPs) to five facial expressions of basic
emotion: fear, anger, disgust, sadness and happiness under both subliminal
(masked) and conscious (unmasked) presentation conditions, and the source
localization of group differences. In the conscious condition, controls showed a
distinctly positive-going shift in responsive to negative versus happy faces,
reflected in a greater positivity for the VPP frontally and the P300 parietally,
and less negativity for the N200. By contrast, relatives showed less
differentiation of emotions, reflected in less VPP and P300 positivity,
particularly for anger and disgust, which produced an enhanced N200 for sadness.
These group differences were consistently source localized to the anterior
cingulate cortex. The findings contribute new evidence for neural disruptions
underlying the differentiation of salient emotions in familial risk for
depression. These disruptions occur to the appraisal (~200 ms post-stimulus)
through to the context evaluation (~300 ms+ post-stimulus) phases of emotion
processing, consistent with theories that risk for depression involves biased or
attenuated processing of emotion.
PMID- 29792908
TI - Acute effects of caffeine on threat-selective attention: moderation by anxiety
and EEG theta/beta ratio.
AB - BACKGROUND: Spontaneous EEG theta/beta ratio (TBR) probably marks prefrontal
cortical (PFC) executive control, and its regulation of attentional threat-bias.
Caffeine at moderate doses may strengthen executive control through increased PFC
catecholamine action, dependent on basal PFC function. GOAL: To test if caffeine
affects threat-bias, moderated by baseline frontal TBR and trait-anxiety.
METHODS: A pictorial emotional Stroop task was used to assess threat-bias in
forty female participants in a cross-over, double-blind study after placebo and
200 mg caffeine. RESULTS: At baseline and after placebo, comparable relations
were observed for negative pictures: high TBR was related to low threat-bias in
low trait-anxious people. Caffeine had opposite effects on threat-bias in low
trait-anxious people with low and high TBR. CONCLUSIONS: This further supports
TBR as a marker of executive control and highlights the importance of taking
baseline executive function into consideration when studying effects of caffeine
on executive functions.
PMID- 29792909
TI - Dermatofibrosarcoma protuberans: A retrospective study of clinicopathologic
features and related Akt/mTOR, STAT3, ERK, cyclin D1, and PD-L1 expression.
AB - BACKGROUND: Little is known regarding oncoproteins other than platelet-derived
growth factor subunit B in dermatofibrosarcoma protuberans (DFSP). Moreover, the
risk factors for worse prognosis are controversial. OBJECTIVE: We sought to
determine the clinicopathologic features and key factors for adverse outcome in
DFSP, including the implication of expression of protein kinase B (Akt)/mammalian
target of rapamycin (mTOR), signal transducer and activator of transcription 3
(STAT3), extracellular signal regulated kinase (ERK), cyclin D1, and programmed
death ligand 1 (PD-L1). METHODS: Clinicopathologic and immunohistochemical
analyses were performed for 44 DFSPs having wide local excision and 92
dermatofibromas as controls. RESULTS: Compared with the 35 nonrecurrent DFSPs,
the 9 recurrent DFSPs exhibited larger tumor size, deeper invasion beyond the
subcutis, and more diverse histologic subtype. The fibrosarcomatous subtype
revealed frequent mitotic figures and a high cyclin D1-positive index. The 2
metastatic DFSPs (1 each of the fibrosarcomatous and myxoid subtypes)
demonstrated 4 and 11 instances of local recurrence, respectively, as well as
larger tumor size, deeper invasion beyond the subcutis, and high expression of
cyclin D1. Expression of Akt/mTOR, STAT3, ERK, and PD-L1 ranged from none or low
in the primary skin lesions to high in the corresponding metastatic sites.
Akt/mTOR and ERK were expressed more frequently in DFSP than in dermatofibroma.
LIMITATIONS: Lack of information on patients before hospital evaluation.
CONCLUSION: Complex factors beyond fibrosarcomatous subtype may portend local
recurrence or metastasis. Akt/mTOR, STAT3, ERK, and PD-L1 may be associated with
development and/or progression of DFSP.
PMID- 29792910
TI - Perception of children with visible untreated and treated caries.
AB - OBJECTIVES: This study aimed to analyse if children with untreated or treated
caries (restorations/missing teeth) are perceived differently compared to
children with healthy teeth and to explore possible differences in the perception
by laypersons and dental experts. METHODS: Eye movements of female experts (n =
20) and laypersons (n = 18) were recorded by eye-tracking while paired images
(neutral expression/teeth not visible; emotional expression/smiling, teeth
visible) of children with healthy teeth, with visible untreated or treated caries
(restorations/missing teeth as a consequence of caries treatment), each n = 13,
were presented. First fixation, total fixation time and number of fixations on
the areas of interest (eyes, nose, mouth) in the first two seconds of
presentation were determined. Furthermore, the images were rated regarding
arousal, valence and attractivity. Statistical analysis was performed using Mann
Whitney-U- and Kruskal-Wallis-tests (alpha = 0.05). RESULTS: Generally,
laypersons spent more time exploring and fixating the eye region than the mouth,
while dental experts more often first percept and longer and more often fixated
the mouth region, especially in images with emotional expression. Dental experts,
but not laypersons, were significantly longer fixating the mouth of children with
untreated caries than the mouth of children with healthy teeth in images with
emotional expression. When evaluating images with emotional expression, both
dental experts and laypersons rated children with healthy teeth to be more
attractive, pleasant and calm than children with untreated or treated caries.
CONCLUSIONS: Children with visible treated and untreated caries were differently
perceived by laypersons and dental experts than children with healthy teeth.
PMID- 29792912
TI - Heat shock protein B1 is required for the prolactin-induced cytoprotective
effects on pancreatic islets.
AB - The success of islet transplantation has improved lately. Unfortunately, it is
still compromised by cell loss. We have shown that prolactin (PRL) inhibits beta
cell apoptosis and up-regulates the antiapoptotic Heat Shock Protein B1 (HSPB1)
in human islets. Since its function in pancreatic islets has not been studied, we
explored the role of HSPB1 in PRL-induced beta-cell survival. The significant PRL
induced cytoprotection in control cells was abrogated in HSPB1 silenced cells,
overexpression of HSPB1 recovered survival. PRL-mediated inhibition of cytokine
induced caspase activities and cytokine-induced decrease of BCL-2/BAX ratio was
significantly reverted in knocked-down cells. Kinetics of HSPB1 and HSF1
expression were studied in primary cultures of murine and human pancreatic
islets. These findings are highly relevant for the improvement of clinical islet
transplantation success rate since our results demonstrated a key role for HSPB1
pointing it as a promising target for beta-cell cytoprotection through the up
regulation of an endogenous protective pathway.
PMID- 29792911
TI - Prolonged drug release properties for orodispersible films by combining hot-melt
extrusion and solvent casting methods.
AB - Orodispersible films (ODFs) are an advantageous dosage form to accomplish patient
convenience and compliance in oral drug delivery. They provide a number of
special application features, such as the ease of administration without water
and suitability for patients with swallowing problems. However, this promising
dosage form has been limited to immediate release formulations so far. The aim of
this study was to develop a thin film produced by solvent casting, which is
rapidly disintegrating when placed in the mouth, but which provides prolonged
drug release characteristics by incorporating drug-loaded matrix particles (MPs).
MPs were produced by hot-melt extrusion and subsequent milling, using
theophylline anhydrous as model drug and Eudragit(r) RS as matrix-forming agent
enabling prolonged drug release. ODFs were manufactured using hypromellose as
film former. Dissolution studies were performed to investigate the kinetics and
the duration of drug release. Additionally, disintegration time was determined
using the PharmaTest(r) disintegration tester equipped with a specific sample
holder for ODFs. All produced ODFs containing theophylline-loaded MPs show fast
disintegration while the drug release was prolonged. The degree of release
prolongation increases with increasing sizes of incorporated MPs. Matrix
controlled release kinetics were found for ODFs containing MPs with at least 315
um in size. In summary, the production of fast disintegrating ODFs with prolonged
release properties was feasible. Furthermore, freely adjustable dissolution
profiles could be realized for ODFs by incorporating MPs of various particle
sizes.
PMID- 29792913
TI - Comparison of cerebral ventricular volumes and cortical thicknesses in normal
rats and Genetic Absence Epilepsy (GAERS): A developmental study.
AB - Ventricular enlargement and cortical atrophy have been associated with various
central nervous system diseases. The aim of the present study was to measure the
volumes of the lateral (LV) and third (3V) ventricles and to determine the
cortical thickness for the motor (MCx), somatosensory (SSCx), visual (VCx) and
auditory (AuCx) cortex and the striatum of Wistar rats, in a developmental series
at 10, 20, 30, and 60 days postnatal, and to compare them with similar data from
genetic absence epilepsy rats from Strasbourg (GAERS). Serial sections were taken
from the brains of Wistar and GAERS animals and were Nissl stained. Photographs
were taken from specific sections of the brain for measurements of ventricular
volume, cortical and striatal thickness. The image-j computer program was used
for the volume and thickness measurements. The data was statistically analyzed by
3-way ANOVA using SPSS 15. Comparison of the measurements of GAERS and Wistar
animals showed no statistically significant differences at any of the
developmental stages regarding the ventricular (LV and 3V) volumes. However, at
P60 and P30 of the MCx, P30 of the SSCx, P20 of the VCx and AuCx showed a
significantly thinner cortical thickness in the GAERS than in the Wistar animals.
The striatal measurements showed significant decrease in thickness of the
striatum at P30 and P60. Further, brain size measurements (between the two
temporal poles) showed significant decrease in the size at P30 and P60 of GAERS
animals. The presence of thinner cortical and striatal thicknesses and smaller
brain size in GAERS animals may suggests that these changes could be involved in
the mechanism of epileptogenicity or be a result of the epileptogenicity.
PMID- 29792914
TI - Modulation of diverse oncogenic transcription factors by thymoquinone, an
essential oil compound isolated from the seeds of Nigella sativa Linn.
PMID- 29792916
TI - Flow cytometry-based method facilitates optimization of PMA treatment condition
for PMA-qPCR method.
AB - Coupling propidium monoazide (PMA) with quantitative PCR (PMA-qPCR) has been
successfully applied to specific detection and quantification of viable cells in
various samples. The optimal PMA treatment condition is usually determined
through qPCR. However, it is a tedious, time consuming and costly process
including DNA extraction and qPCR. To overcome this problem, a flow cytometry
based (FCM-based) method was first proposed in this study to replace qPCR for
screening of the optimal PMA treatment condition for Helicobacter pylori, since
the pure culture treated with PMA was actually a single cell suspension with
fluorescent dye. Results showed that the optimal PMA treatment condition (30 MUM
of PMA and 8 min of exposure time) determined by the novel method was the same as
that determined by the qPCR-based method, which demonstrate the feasibility of
this approach. In addition, with the comparison of the qPCR-based method, the FCM
based method allows screening of the optimal PMA treatment condition become much
more simple, rapid and economical.
PMID- 29792917
TI - Storage, visualization, and navigation of 3D genomics data.
AB - The field of 3D genomics grew at increasing rates in the last decade. The volume
and complexity of 2D and 3D data produced is progressively outpacing the
capacities of the technology previously used for distributing genome sequences.
The emergence of new technologies provides also novel opportunities for the
development of innovative approaches. In this paper, we review the state-of-the
art computing technology, as well as the solutions adopted by the platforms
currently available.
PMID- 29792918
TI - Translational -omics: Future potential and current challenges in precision
medicine.
AB - Rapid advances in computational science and biotechnology are paving the way for
precision medicine - a vision in next-generation healthcare that promises to
provide a care package uniquely tailored to each individual's molecular make-up.
Until relatively recently, the focus has been firmly centred around the genome;
however, over the past two decades there has been a surge in the study of
molecular activity within other biological domains
(proteome/transcriptome/metabolome) involved in health and pathogenesis. The term
'-omics' is broadly applied to these disciplines and 'translational -omics'
refers to clinical utilisation of data derived from these scientific approaches.
Translational -omics represents the cornerstone of the precision medicine
initiative and offers positively disruptive solutions in global healthcare from a
humanitarian, scientific and economic standpoint. However, there are unique
challenges anticipated for all stakeholders within the precision medicine
community, and addressing these early on in the adoption of precision approaches
is critical. Herein, we outline the potential for translational -omics in
precision medicine, highlight key roadblocks to successful implementation and
propose potential solutions to current and expected problems.
PMID- 29792915
TI - The CD44-HA axis and inflammation in atherosclerosis: A temporal perspective.
AB - Cardiovascular disease (CVD) due to atherosclerosis is a disease of chronic
inflammation at both the systemic and the tissue level. CD44 has previously been
implicated in atherosclerosis in both humans and mice. This multi-faceted
receptor plays a critical part in the inflammatory response during the onset of
CVD, though little is known of CD44's role during the latter stages of the
disease. This review focuses on the role of CD44-dependent HA-dependent effects
on inflammatory cells in several key processes, from disease initiation
throughout the progression of atherosclerosis. Understanding how CD44 and HA
regulate inflammation in atherogenesis is key in determining the utility of the
CD44-HA axis as a therapeutic target to halt disease and potentially promote
disease regression.
PMID- 29792920
TI - Inner ear drug delivery: Recent advances, challenges, and perspective.
AB - Effective and safe treatment of auditory and vestibular diseases has become
increasingly dependent on inner ear drug delivery systems. This review highlights
recent advances in inner ear drug delivery research and technologies. The focus
is on strategies to overcome delivery barriers and to improve drug residence and
targeting, with special attention to in vivo animal and human studies. The
research in gene and stem cell delivery to the inner ear is briefly reviewed.
Newly developed research tools to address experimental challenges and safety
issues are discussed. Local drug delivery to the inner ear with non-invasive or
minimally invasive approaches still remains challenging. Nanocarrier-based
systems with sustained and targeted delivery properties may be promising for
future clinical applications. Precisely controlled intratympanic and
intracochlear administration with minimized trauma to the delicate inner ear
represents the future perspective in inner ear drug research and development.
Trans-oval window delivery may be promising as it allows direct delivery of drugs
to the vestibule for vestibular disorders while avoiding the undesired effects
due to drug distribution to the cochlea.
PMID- 29792919
TI - Seeing the light: Shifting from wild rhizomes to extraction of active ingredients
from above-ground parts of Paris polyphylla var. yunnanensis.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: The dried rhizomes of Paris polyphylla var.
yunnanensis are widely used in traditional Chinese medicine (TCM) as hemostatic,
antitumor, and antimicrobial agents. More than 70 Chinese patent medicines are
based on P. polyphylla var. yunnanensis rhizomes. Steroidal saponins are
considered as the main active ingredients of these rhizomes. However, wild
populations of P. polyphylla var. yunnanensis are greatly threatened due to the
illegal wild harvest and over-utilization of the rhizomes. In contrast, the
renewable above-ground parts (leaves and stems) of P. polyphylla var. yunnanensis
are usually thrown away as waste material, whether from wild or cultivated
material. AIM OF THE STUDY: The aim of this study was to use HPLC analyses of
chemical constituents and bioactive assays to assess whether the above-ground
parts could be an alternative source of active ingredients to the rhizomes of P.
polyphylla var. yunnanensis. MATERIALS AND METHODS: The saponin components of the
rhizomes and above-ground parts of P. polyphylla var. yunnanensis were analyzed
by HPLC-UV. The total saponins extracted from the rhizomes and above-ground parts
of P. polyphylla var. yunnanensis were evaluated for their hemostatic, cytotoxic,
and antimicrobial activities by using the rabbit blood in vitro based on
turbidimetric method, MTT assay method, and a dilution antimicrobial
susceptibility test method, respectively. RESULTS: Four bioactive spirostanol
saponins (paris saponins I, II, VI, and VII) were detected in the total saponins
from the rhizomes and above-ground parts of P. polyphylla var. yunnanensis, which
indicated they should have similar pharmacological properties. The bioactive
assays revealed that both the parts of P. polyphylla var. yunnanensis exhibited
the same hemostatic, cytotoxic, and antimicrobial effects. CONCLUSION: Our
results revealed that based on saponin content in the above-ground parts of P.
polyphylla var. yunnanensis and the requirements stipulated in 2015 of Chinese
Pharmacopoeia, the above-ground parts (especially its leaves) can be an
alternative and more sustainable source of active ingredients compared to the
rhizomes.
PMID- 29792921
TI - Characterization of solution stress degradation products of aliskiren and
prediction of their physicochemical and ADMET properties.
AB - Forced degradation studies on aliskiren were carried out according to ICH and WHO
guidelines. Six degradation products were formed in total in the solution state.
Their separation among themselves and from the drug was successfully achieved on
a C-18 column utilizing acetonitrile and phosphate buffer (pH 3.0) in the mobile
phase, which was run in a gradient mode. To characterize them, a complete mass
fragmentation pathway of the drug was first established with the help of MS/TOF
and MSn data. This was followed by LC-MS/TOF studies on the degradation products.
Some of the degradation products were also isolated and subjected to 1D (1H, 13C
and DEPT-135) and 2D (COSY, HSQC and HMBC) NMR studies for confirmation of their
structures. An interesting observation was hydrolysis followed by cyclization in
case of three degradation products. Also, acetonitrile was found to react with
aliskiren, leading to formation of a pseudo degradation product. Additionally,
comparative ADMET properties of the drug and degradation products were
established using ADMET PredictorTM.
PMID- 29792922
TI - Rapid resolution of multi-drug resistance bacterial genome harbouring mcr-1 and
blaCMY-2 using MinION sequencing platform.
PMID- 29792923
TI - High throughput sequencing identifies chilling responsive genes in sweetpotato
(Ipomoea batatas Lam.) during storage.
AB - Sweetpotato (Ipomoea batatas L.) is a globally important economic food crop. It
belongs to Convolvulaceae family and origins in the tropics; however, sweetpotato
is sensitive to cold stress during storage. In this study, we performed
transcriptome sequencing to investigate the sweetpotato response to chilling
stress during storage. A total of 110,110 unigenes were generated via high
throughput sequencing. Differentially expressed genes (DEGs) analysis showed that
18,681 genes were up-regulated and 21,983 genes were down-regulated in low
temperature condition. Many DEGs were related to the cell membrane system,
antioxidant enzymes, carbohydrate metabolism, and hormone metabolism, which are
potentially associated with sweetpotato resistance to low temperature. The
existence of DEGs suggests a molecular basis for the biochemical and
physiological consequences of sweetpotato in low temperature storage conditions.
Our analysis will provide a new target for enhancement of sweetpotato cold stress
tolerance in postharvest storage through genetic manipulation.
PMID- 29792924
TI - Creation of an Acellular Vaginal Matrix for Potential Vaginal Augmentation and
Cloacal Repair.
AB - STUDY OBJECTIVE: Our aim was to use porcine vagina to create a vaginal matrix and
test its cellular biocompatibility. DESIGN, SETTING, AND PARTICIPANTS: Vagina was
harvested from pigs and decellularized (DC) using a combination of detergents
(Triton X-100 and sodium deoxycholate) and enzymes (DNAse/RNAse). INTERVENTIONS:
The presence of cellular material, collagen structural integrity, and basement
membrane proteins were assessed histologically. To address cytocompatibility,
porcine adipose-derived mesenchymal stem cells were harvested from abdominal fat
together with vaginal epithelial cells and seeded onto the mucosal aspect of the
vaginal scaffold. Both cell populations were seeded individually and assessed
histologically at days 3 and 10. MAIN OUTCOME MEASURES AND RESULTS: The
combination of enzymes and detergents resulted in a totally acellular matrix with
very low DNA amount (control = 97.5 ng/MUL +/- 10.8 vs DC = 40.1 ng/MUL +/- 0.33;
P = .02). The extracellular matrix showed retention of collagen fibers and
elastin and a 50% retention in glycosaminoglycan content (control = 1.18 MUg/mg
+/- 0.28; DC = 1.35 MUg/mg +/- 0.1; P = .03) and an intact basement membrane
(positive for laminin and collagen IV). Seeded scaffolds showed cell attachment
with adipose-derived mesenchymal stem cells and vaginal epithelial cells at days
3 and 10. CONCLUSION: It is possible to generate an acellular porcine vaginal
matrix capable of supporting cells to reconstruct the vagina for future
preclinical testing, and holds promise for creating clinically relevant-sized
tissue for human application.
PMID- 29792925
TI - Change in patient-reported outcomes in patients with and without mechanical
symptoms undergoing arthroscopic meniscal surgery: A prospective cohort study.
AB - OBJECTIVE: Patients with degenerative or traumatic meniscal tears are at high
risk of developing knee osteoarthritis. We investigated if younger (<=40 years)
and older (>40 years) patients with preoperative mechanical symptoms (MS)
improved more in patient-reported outcomes after meniscal surgery than those
without MS. DESIGN: Patients from Knee Arthroscopy Cohort Southern Denmark (KACS)
undergoing arthroscopic surgery for a meniscal tear completed online
questionnaires before surgery, and at 12 and 52 weeks follow-up. Questionnaires
included self-reported presence of MS (i.e., sensation of catching and/or
locking) and the Knee injury and Osteoarthritis Outcome Score (KOOS). We analyzed
between-group differences in change in KOOS4 from baseline to 52 weeks, using an
adjusted mixed linear model. RESULTS: 150 younger patients (mean age 31 (SD 7),
67% men) and 491 older patients (mean age 54 (SD 9), 53% men) constituted the
baseline cohorts. Patients with MS generally had worse self-reported outcomes
before surgery. At 52 weeks follow-up, younger patients with preoperative MS had
improved more in KOOS4 scores than younger patients without preoperative MS
(adjusted mean difference 10.5, 95% CI: 4.3, 16.6), but did not exceed the
absolute postoperative KOOS4 scores observed for those without MS. No difference
in improvement was observed between older patients with or without MS (adjusted
mean difference 0.7, 95% CI: -2.6, 3.9). CONCLUSIONS: Younger patients (<=40
years) with preoperative MS experienced greater improvements after arthroscopic
surgery compared to younger patients without MS. Our observational study result
needs to be confirmed in randomized trials.
PMID- 29792926
TI - Prediction of midlife hand osteoarthritis in young men.
AB - OBJECTIVES: Improved prediction modeling in osteoarthritis (OA) may encourage
risk reduction through calculation of individual and population lifetime risks.
There are currently no prediction models for hand OA. Thus, we aimed to 1)
develop a prediction model for hand OA in men and 2) to contrast its
discriminative performance to a prediction model for lung cancer and chronic
obstructive pulmonary disease (COPD). METHODS: We included 40,118 men aged 18
years undergoing mandatory conscription in Sweden 1969-70. Incident hand OA and
lung cancer/COPD were obtained from diagnostic codes in the Swedish National
Patient Register 1987-2010, i.e., until subjects were 59 years of age. We studied
the strongest candidate predictors from five domains; socioeconomic, local
biomechanical, systemic, lifestyle-related and general health factors, using
logistic regression with backward elimination of candidate predictors with P >
0.2 to determine final models. To avoid overfitting we used bootstrapping.
RESULTS: The strongest predictors for hand OA were body mass index (BMI), elbow
flexor strength, systolic blood pressure, lower education and sleep problems. We
observed excellent agreement between observed and predicted values, yet the
discrimination was moderate (Area Under the Curve [AUC] = 0.62, 95% CI = 0.58
0.64). The discrimination in the prediction model for lung cancer/COPD was good
(AUC = 0.74, 95% CI = 0.72-0.76). CONCLUSION: This prediction model for hand OA
was capable of discriminating between persons with and without hand OA to a
similar extent that has been previously reported for knee OA. Still, prediction
of OA is more challenging than for chronic pulmonary disease.
PMID- 29792927
TI - Bacillus subtilis as probiotic candidate for red sea bream: Growth performance,
oxidative status, and immune response traits.
AB - The effects of dietary administration of Bacillus subtilis on the growth,
digestive enzyme activity, blood chemistry, oxidative status and immune response
of red sea bream (Pagrus major) were evaluated in the current study. Fish fed
five different levels of B. subtilis at 0 (BS0), 1 * 104 (BS1), 1 * 106 (BS2), 1
* 108 (BS3) and 1 * 1010 (BS4) CFU kg-1 diet for 60 days. The obtained results
showed that B. subtilis supplementation significantly improved growth performance
(FBW, WG and SGR), feed utilization (FI, FCE, PER and PG) and whole-body protein
content when compared to the control group (P < 0.05). Furthermore, the specific
activities of amylase, protease and lipase enzymes up regulated significantly
upon B. subtilis incorporation in red sea bream diets (P < 0.05). No changes have
been reported on blood biochemical variables except for the plasma total protein,
which increased significantly in fish fed BS3 diet when compared with the control
diet (P < 0.05). Hematocrit, hemoglobin and the nitro blue tetrazolium values
also reported the highest values significantly in fish fed B. subtilis,
especially in case of BS3 and BS4 diets (P < 0.05). Serum bactericidal activity
enhanced significantly in BS2, BS3 and BS4 groups (P < 0.05), while mucus
bactericidal activity showed no significant activity among tested groups (P >
0.05). Serum lysozyme activity exhibited higher values in case of BS3 and BS4
groups than BS0 group (P < 0.05), while mucus lysozyme activity increased only in
BS3 group. Also, serum peroxidase activity enhanced significantly in fish fed BS2
and BS3 diets (P < 0.05), however, no activities were observed in the collected
mucus. All groups reflexed high tolerance ability against oxidative stress except
for BS0 and BS1 groups. Additionally, catalase activity increased significantly
in all B. subtilis fed groups when compared to BS0 group (P < 0.05). Considering
the obtained results, the supplementation of B. subtilis in the diet of red sea
bream at 1 * 108 and 1 * 1010 CFU kg-1 diet could improve the growth, feed
utilization, health condition and immune response.
PMID- 29792928
TI - Translation of dipeptide repeat proteins from the C9ORF72 expanded repeat is
associated with cellular stress.
AB - Expansion of a hexanucleotide repeat (HRE), GGGGCC, in the C9ORF72 gene is
recognized as the most common cause of familial amyotrophic lateral sclerosis
(FALS), frontotemporal dementia (FTD) and ALS-FTD, as well as 5-10% of sporadic
ALS. Despite the location of the HRE in the non-coding region (with respect to
the main C9ORF72 gene product), dipeptide repeat proteins (DPRs) that are thought
to be toxic are translated from the HRE in all three reading frames from both the
sense and antisense transcript. Here, we identified a CUG that has a good Kozak
consensus sequence as the translation initiation codon. Mutation of this CTG
significantly suppressed polyglycine-alanine (GA) translation. GA was translated
when the G4C2 construct was placed as the second cistron in a bicistronic
construct. CRISPR/Cas9-induced knockout of a non-canonical translation initiation
factor, eIF2A, impaired GA translation. Transfection of G4C2 constructs induced
an integrated stress response (ISR), while triggering the ISR led to a
continuation of translation of GA with a decline in conventional cap-dependent
translation. These in vitro observations were confirmed in chick embryo neural
cells. The findings suggest that DPRs translated from an HRE in C9ORF72 aggregate
and lead to an ISR that then leads to continuing DPR production and aggregation,
thereby creating a continuing pathogenic cycle.
PMID- 29792929
TI - Recent advances in intra-articular drug delivery systems for osteoarthritis
therapy.
AB - Osteoarthritis (OA) is the most common degenerative disease of the joint. Despite
many reports and numerous clinical trials, OA is not entirely understood, and
there is no effective treatment available for this disease. To satisfy this unmet
medical need, drug delivery systems (DDSs) containing disease-modifying OA drugs
(DMOADs) for intra-articular (IA) administration are required to improve the
health of OA patients. DDSs should provide controlled and/or sustained drug
release, enabling long-term treatment with a reduced number of injections. This
paper reviews the role and interaction among different tissues involved in OA and
summarizes recent clinical trials and research on DDSs, focusing on small
molecule delivery. To achieve an ideal treatment, various key criteria have been
identified to design and develop an IA DDS matching the clinical needs.
PMID- 29792930
TI - Functional genomics for the oleaginous yeast Yarrowia lipolytica.
AB - Oleaginous yeasts are valuable systems for biosustainable production of
hydrocarbon-based chemicals. Yarrowia lipolytica is one of the best characterized
of these yeast with respect to genome annotation and flux analysis of metabolic
processes. Nonetheless, progress is hampered by a dearth of genome-wide tools
enabling functional genomics. In order to remedy this deficiency, we developed a
library of Y. lipolytica insertion mutants via transposon mutagenesis. The Hermes
DNA transposon was expressed to achieve saturation mutagenesis of the genome.
Over 534,000 independent insertions were identified by next-generation
sequencing. Poisson analysis of insertion density classified ~ 22% of genes as
essential. As expected, most essential genes have homologs in Saccharomyces
cerevisiae and Schizosaccharomyces pombe, and the majority of those are also
essential. As an obligate aerobe, Y. lipolytica has significantly more
respiration - related genes that are classified as essential than do S.
cerevisiae and S. pombe. Contributions of non-essential genes to growth in
glucose and glycerol carbon sources were assessed and used to evaluate two recent
genome-scale models of Y. lipolytica metabolism. Fluorescence-activated cell
sorting identified mutants in which lipid accumulation is increased. Our findings
provide insights into biosynthetic pathways, compartmentalization of enzymes, and
distinct functions of paralogs. This functional genomic analysis of the
oleaginous yeast Y. lipolytica provides an important resource for modeling,
bioengineering, and design of synthetic minimalized strains of respiratory
yeasts.
PMID- 29792931
TI - Engineering the production of dipicolinic acid in E. coli.
AB - Dicarboxylic acids, such as the phthalic acids and their derivatives, are
monomeric components in several important polyesters and polyamides. In most
cases, these compounds are derived from fossil fuels and are not easily
biodegradable. Dipicolinic acid (DPA) is a biologically derived aromatic di-acid
that has a similar structure to isophthalic acid. Furthermore, DPA has been shown
to give rise to polyesters, is readily biodegradable, and is non-toxic. DPA is
naturally produced by Bacillus and Clostridium species during sporulation and can
comprise up to 15% of the dry weight of bacterial spores. In this paper we
demonstrate the first heterologous production of DPA and identify the genes
appropriate for gram-scale production in the industrial workhorse organism, E.
coli. Initially, several combinations of genes from the lysine pathway, including
lysC, asd, dapA, and dapB, were overexpressed to determine which genes are
necessary for recombinant production in E. coli. The in vitro activity of
dipicolinate synthase was then compared between Bacillus subtilis and Clostridium
perfringens. Next, in order to improve DPA production from glucose, an optimized
strain was created that lacked several genes (lysA, tdh, and metA), resulting in
5.21 g/L DPA when 5 g/L of aspartate was supplied. Then, several aspartate
kinases and dipicolinate synthases were screened for optimal activity in E. coli.
The optimal genes were combined with the overexpression of phosphoenolpyruvate
carboxylase to develop a full biosynthetic pathway capable of producing a titer
of 4.7 g/L DPA directly from glucose. In summary, we have performed a detailed
biochemical study of the key pathway enzyme dipicolinate synthase and achieved
scalable heterogeneous production of DPA in the workhorse organism E. coli.
PMID- 29792932
TI - Cystine/glutamate transporter, system xc-, is involved in nitric oxide production
in mouse peritoneal macrophages.
AB - The amino acid transport system xc- is important for maintaining intracellular
glutathione levels and extracellular redox balance. The main component of system
xc-, xCT, is strongly induced by various stimuli, including oxidative stress and
bacterial lipopolysaccharides (LPS) in macrophages. In the present study, we
investigated the production of nitric oxide by LPS-stimulated mouse peritoneal
macrophages isolated from both xCT-deficient and wild-type mice. After culturing
macrophages in the presence of LPS for 24-48 h, nitrite levels in the medium of
xCT-deficient macrophages were significantly decreased compared to that of wild
type cells. However, the transport activity of arginine, a precursor of nitric
oxide, and the expression of nitric oxide synthase 2 in xCT-deficient macrophages
were similar to those of wild-type cells. When wild-type macrophages were
cultured in the medium that contained no cystine, nitric oxide production was
decreased to the level similar to that of the xCT-deficient macrophages. When xCT
deficient macrophages were cultured with 2-mercaptoethanol, intracellular
cysteine levels were increased and nitrite accumulation in the medium was
significantly increased. On the other hand, when these cells were cultured with
buthionine sulfoximine, an inhibitor of glutathione synthesis, nitrite
accumulation in the medium was essentially unchanged, although intracellular
glutathione levels were very low. Reactive oxygen species levels in xCT-deficient
macrophages were higher than those of wild-type cells, and treatment with LPS
caused an increase in oxidative stress in both cells. These results suggest that
intracellular cysteine supplied by xCT contributes to nitric oxide production and
the reduction of oxidative stress in macrophages.
PMID- 29792933
TI - Role of neuronal nitric oxide synthase in slowly progressive dopaminergic
neurodegeneration in the Zitter rat.
AB - Neuronal nitric oxide synthase (nNOS) is involved in nigrostriatal dopaminergic
(DA) neurodegeneration. However, little is known about the distribution patterns
and functions of nNOS in slowly progressive DA neurodegeneration. Here we
describe the spatiotemporal change in nNOS expression over the course of
neurodegeneration and the effect of short- or long-term treatment with the nNOS
inhibitor, 7-nitroindazole (7-NI), in zitter (zi/zi) rats. In the substantia
nigra pars compacta (SNc), nNOS expression was significantly increased with
progression of neurodegeneration. nNOS-immunoreactive (ir) cells were in the
vicinity of tyrosine hydroxylase-ir (TH-ir) DA neurons, and some of these cells
were also positive for calbindin. nNOS in the caudate-putamen (CPu) showed little
difference during progression of neurodegeneration. However, immunoelectron
microscopic analysis revealed that abundant TH-ir fibers in the CPu were
degenerated due to compression by vacuoles that contained swollen neuronal and
glial elements. Additionally, lipid peroxidation as a marker of membrane
oxidation was significantly increased in zi/zi rats. Short-term 7-NI treatment
attenuated the increase in lipid peroxidation and inhibited the vacuolation in
the CPu. Moreover, long-term 7-NI treatment significantly protected TH-ir neurons
in the SNc, and TH-ir fibers and DA contents in the CPu. These results show that
nNOS exacerbates slowly progressive DA neurodegeneration, and the neuroprotective
effects of 7-NI may result from suppression of membrane oxidation that causes
abnormal membrane structures in zi/zi rats.
PMID- 29792934
TI - Pretarsal skin height changes in children receiving topical prostaglandin
analogue therapy for primary congenital glaucoma.
AB - PURPOSE: To compare pretarsal skin height (PTSH), as proxy indicator of deepening
of the upper eyelid sulcus, in children with primary congenital glaucoma (PCG)
treated with topical prostaglandin analogues (PGAs) with PTSH in healthy children
(control group 1) and children with PCG but not using PGAs (control group 2).
METHODS: We recruited children with PCG who had been using PGAs for at least 6
months (PCG/PGA group). PTSH in all participants was measured using ImageJ
software from photographs taken in a standardized manner. The PTSH was compared
for the PCG group and both control groups. RESULTS: A total of 34 children with
PCG and 41 controls (31 in group 1; 10 in group 2) were included. The difference
in PTSH between children in the PCG/PGA group and both control groups was
statistically significant (mean difference, >=1.7 mm [P < 0.01]). CONCLUSIONS:
The PTSH was significantly greater in children with PCG using PGAs compared to
children with PCG not using PGAs and healthy children. Children and their parents
should be counseled about lid abnormalities prior to commencing treatment with
PGAs.
PMID- 29792936
TI - Efficient Detection of Copy Number Mutations in PMS2 Exons with a Close Homolog.
AB - Detection of 3' PMS2 copy-number mutations that cause Lynch syndrome is difficult
because of highly homologous pseudogenes. To improve the accuracy and efficiency
of clinical screening for these mutations, we developed a new method to analyze
standard capture-based, next-generation sequencing data to identify deletions and
duplications in PMS2 exons 9 to 15. The approach captures sequences using PMS2
targets, maps sequences randomly among regions with equal mapping quality, counts
reads aligned to homologous exons and introns, and flags read count ratios
outside of empirically derived reference ranges. The method was trained on 1352
samples, including 8 known positives, and tested on 719 samples, including 17
known positives. Clinical implementation of the first version of this method
detected new mutations in the training (N = 7) and test (N = 2) sets that had not
been identified by our initial clinical testing pipeline. The described final
method showed complete sensitivity in both sample sets and false-positive rates
of 5% (training) and 7% (test), dramatically decreasing the number of cases
needing additional mutation evaluation. This approach leveraged the differences
between gene and pseudogene to distinguish between PMS2 and PMS2CL copy-number
mutations. These methods enable efficient and sensitive Lynch syndrome screening
for 3' PMS2 copy-number mutations and may be applied similarly to other genomic
regions with highly homologous pseudogenes.
PMID- 29792935
TI - LP533401 restores bone health in 5/6 nephrectomized rats by a decrease of gut
derived serotonin and regulation of serum phosphate through the inhibition of
phosphate co-transporters expression in the kidneys.
AB - LP533401 is an orally bioavailable small molecule that inhibits tryptophan
hydroxylase-1, an enzyme responsible for the synthesis of gut-derived serotonin
(GDS). Recently, we showed that increased GDS in rats with chronic kidney disease
(CKD) affected bone strength and metabolism. We tested the hypothesis that
treatment with LP533401 could reverse CKD-induced bone loss in uremia. Sixteen
weeks after 5/6 nephrectomy, rats were randomized into untreated (CKD), treated
with vehicle (VEH) and LP533401 at a dose of 30 or 100 mg/kg daily for 8 weeks.
Treatment with LP533401 decreased serotonin turnover and restored bone mineral
status, microarchitecture, and strength in CKD rats to the values observed in the
controls. In parallel with the reduction of serotonin, serum phosphate levels
also decreased, particularly in the LP533401, 100 mg/kg group. The mechanism
underlying this phenomenon resulted from decreased expression of the renal
VDR/FGF1R/Klotho/Npt2a/Npt2c axis, leading to elevated phosphate excretion in the
kidneys. The elevated urinary phosphate excretion resulted in improved bone
mineral status and strength in LP533401-treated rats. Unexpectedly, the standard
VEH used in this model was able to reduce renal VDR/FGF1R/Klotho/Npt2a
expression, leading to a compensatory increase in Npt2c mRNA levels, secondary
disturbances in phosphate-regulated hormones and partial improvement in the
mineral status of the trabecular bone. The decrease of serotonin synthesis
together with the simultaneous reduction of renal Npt2a and Npt2c expression in
rats treated with LP533401, 100 mg/kg led to an increase in 1,25(OH)2D3 levels;
this mechanism seems to be particularly beneficial in relation to the mineral
status of cortical bone.
PMID- 29792938
TI - Sella Turcica Bridging As A Predictor Of Class II Malocclusion-An Investigative
Study.
AB - BACKGROUND: Sella turcica, the bony depression located in sphenoid bone houses
and protects the pituitary gland. Formation and development of the sella turcica
and teeth share, in common, the involvement of neural crest cells. The anterior
part of the sella turcica is believed to develop mainly from neural crest cells,
and dental epithelial progenitor cells differentiate through sequential and
reciprocal interaction with neural crest-derived mesenchyme. Thus, any structural
deviations in the sella, like bridging or roofing, are believed to be related to
specific deviations in the facial skeleton and dental anomalies. Until now, there
have been no studies concerning the prevalence of sella turcica bridging in
skeletal Class II subjects. OBJECTIVE: The aim of this study was to analyse the
prevalence of sella turcica bridging in subjects with class I and class II
skeletal types and to check whether sella can be considered as diagnostic marker
for skeletal class II malocclusion. MATERIALS AND METHODS: Lateral cephalometric
images of 205 subjects in the age range of 13 to 25 years were retrospectively
analysed and classified for the type of skeletal malocclusion and the radiographs
were evaluated for the prevalence of bridging of the sella in these subjects.
RESULTS: The results show significance in the prevalence of sella turcica
bridging (partial/complete) among subjects with skeletal class II malocclusion.
PMID- 29792937
TI - A Reliable Targeted Next-Generation Sequencing Strategy for Diagnosis of
Myopathies and Muscular Dystrophies, Especially for the Giant Titin and Nebulin
Genes.
AB - Myopathies and muscular dystrophies (M-MDs) are genetically heterogeneous
diseases, with >100 identified genes, including the giant and complex titin (TTN)
and nebulin (NEB) genes. Next-generation sequencing technology revolutionized M
MD diagnosis and revealed high frequency of TTN and NEB variants. We developed a
next-generation sequencing diagnostic strategy targeted to the coding sequences
of 135 M-MD genes. Comparison of two targeted capture technologies (SeqCap EZ
Choice library capture kit and Nextera Rapid Capture Custom Enrichment kit) and
of two whole-exome sequencing kits (SureSelect V5 and TruSeq RapidExome capture)
revealed best coverage with the SeqCap EZ Choice protocol. A marked decrease in
coverage was observed with the other kits, affecting mostly the first exons of
genes and the repeated regions of TTN and NEB. Bioinformatics analysis strategy
was fine-tuned to achieve optimal detection of variants, including small
insertions/deletions (INDELs) and copy number variants (CNVs). Analysis of a
cohort of 128 patients allowed the detection of 52 substitutions, 13 INDELs
(including a trinucleotide repeat expansion), and 3 CNVs. Two INDELs were
localized in the repeated regions of NEB, suggesting that these mutations may be
frequent but underestimated. A large deletion was also identified in TTN that is,
to our knowledge, the first published CNV in this gene.
PMID- 29792939
TI - Impact of antithrombotic treatment in orbital haematoma.
AB - INTRODUCTION: Orbital haematomas threaten the visual prognosis, but no treatment
guidelines have been proposed. Antithrombotics could affect their prognosis and
treatment. This study aimed to evaluate the effect of antithrombotics in the
management of orbital haematomas and to suggest a standardised protocol. MATERIAL
AND METHODS: We conducted a retrospective study by sending a standardised
questionnaire to 20 French maxillofacial surgery university departments to
collect all the cases of orbital haematoma. RESULTS: Twenty-five cases from 10
centres were collected, including five patients treated with anticoagulant and
one patient treated with dual antiplatelet. Antithrombotics increased the risk of
amaurosis and ocular disorders significantly. Surgery was performed for 66.7% of
patients treated with antithrombotic and for 89.5% of other patients. Surgical
delay was longer in patients treated with antithrombotic. Surgical drainage was
used in most of the cases, whereas canthotomy with inferior cantholysis was the
least-used technique. CONCLUSION: Antithrombotics appear to worsen the functional
prognosis of orbital haematomas. A surgical management of orbital haematoma in
patients treated with antithrombotics is not contraindicated. Surgical delay must
be shortened as much as possible. A lateral canthotomy with inferior cantholysis
seems to be an appropriate solution.
PMID- 29792942
TI - Optimisation of decontamination method and influence of culture media on the
recovery of Mycobacterium avium subspecies paratuberculosis from spiked water
sediments.
AB - : The recovery of Mycobacterium avium subspecies paratuberculosis (Map) from the
environment can be a laborious process - owing to Map being fastidious, its low
number, and also high numbers of other microbial populations in such settings.
Protocols i.e. filtration, decontamination and modified elution were devised to
recover Map from spiked water sediments. Three culture media: Herrold's Egg Yolk
Media (HEYM), Middlebrook 7H10 (M-7H10) and Bactec 12B were then employed to grow
the organism following its elution. In the sterile sediment samples the recovery
of Map was significant between the time of exposure for each of HEYM and M-7H10,
and insignificant between both media (P < 0.05). However, in the non-sterile
sediment samples, the HEYM grew other background microflora including moulds at
all the times of exposure whilst 4 h followed by M-7H10 culture yielded Map
colonies without any background microflora. Using sterile samples only for the
Bactec 12B, the recovery of Map decreased as time of exposure increased. Based on
these findings, M-7H10 should be considered for the recovery of Map from the
natural environment including water sediments where the recovery of diverse
microbial species remains a challenge. SIGNIFICANCE OF THE STUDY: Map is a robust
pathogen that abides in the environment. In water treatment operations, Map
associates with floccules and other particulate matter including sediments. It is
also a fastidious organism, and its detection and recovery from the water
environment is a laborious process and can be misleading within the abundance of
other mycobacterial species owing to their close resemblance in phylogenetic
traits. In the absence of a reliable recovery method, Map continues to pose
public health risks through biofilm in household water tanks, hence the need for
the development of a reliable recovery protocol to monitor the presence of Map in
water systems in order to curtail its public health risks.
PMID- 29792941
TI - In-situ analysis of grain rotation and lattice strain within a magnesium
polycrystal based on synchrotron polychromatic X-ray diffraction technique: (I)
prior to twin.
AB - Hexagonal-close-packed structure aggregates exhibit complicated deformation
behaviors, involving different slips and twinning. Synchrotron polychromatic X
ray microdiffraction (micro-XRD) was utilized to study in situ an extruded Mg-3Al
1Zn strip subjected to uniaxial tension. The evolution of grain rotation and
lattice strain was analyzed under the load levels from 12 to 73 MPa. The micro
XRD data was used to map an area of 396 * 200 MUm within the region of interest.
The experimental set-up and X-ray diffraction microscopy in two dimensions allow
the morphology, orientation and strain of the target grain to be determined at
the submicron size. Results depict local orientation fluctuation, lattice strain
evolution, slips system and elastic modulus within the same grain. As the applied
load increases, the grain's rotation is accelerated between 46 MPa and 51 MPa at
which level of load the grain-scale plastic deformation is activated. The
predominantly slip modes prior to twin are identified as the combination of b1->
= (0002) [112-0] andb3-> = (0002) [2-110]. During the inspection, all reflection
planes displayed an onset of micro yielding at the macro load level of ~38 MPa.
In this work, we confirm that magnesium is nearly elastic isotropic.
PMID- 29792943
TI - The development of a screening protocol for Salmonella spp. and enteropathogenic
Yersinia spp. in samples from wild boar (Sus scrofa) also generating MLVA-data
for Y. enterocolitica and Y. pseudotuberculosis.
AB - Salmonellosis and yersiniosis are notifiable human diseases that are commonly
associated with contaminated food. Domestic pigs as well as wild boars and other
wild-life have been identified as reservoirs of these bacteria. Methods for
cultivation and molecular epidemiological investigations of Salmonella spp. are
well established, however, cultivation of enteropathogenic Yersinia spp. is time-
consuming and the commonly used method for molecular epidemiological
investigations, pulsed-field gel electrophoresis, lack in discriminatory power.
The aim of this study was to develop and evaluate a screening protocol well
suited for wildlife samples and other highly contaminated samples. The method is
based on PCR-screening followed by Multiple Loci Variant number tandem repeat
Analysis (MLVA) on enrichment broth to obtain molecular epidemiological data for
enteropathogenic Yersinia spp. without the need for pure isolates. The
performance of the protocol was evaluated using wild boar samples (n=354)
including tonsils, faeces and lymph nodes from 90 Swedish wild boars. The new
protocol performed as well as or better than the established ISO-standards for
detection and cultivation of Y. enterocolitica and Salmonella spp., however for
cultivation of Y. pseudotuberculosis, further development is needed. The
selection for motility seems beneficial for the enrichment of Salmonella spp. and
Y. enterocolitica. Further, the selective enrichment prior to PCR-analysis
eliminates inhibitory factors present in the original sample. In total, ten
isolates of Y. enterocolitica of various bio-serotypes were obtained, and the
MLVA-profile of these isolates were consistent with the profiles from the
corresponding enrichment broth. Further, 22 isolates of Salmonella spp.
comprising six different serovars were obtained with S. Fulica, S. Hadar and a
monophasic S. Typhimurium being the most common. In conclusion, the presented
screening protocol offers a rapid and efficient way to obtain prevalence data
from a large sample set as well as MLVA-data within a short time frame. These
results can hence improve the knowledge on the epidemiology and distribution of
these pathogens and their importance to public health.
PMID- 29792940
TI - A randomized control trial to determine the effectiveness and physiological
effects of spinal manipulation and spinal mobilization compared to each other and
a sham condition in patients with chronic low back pain: Study protocol for The
RELIEF Study.
AB - BACKGROUND: Low back pain (LBP) is one of the most common reasons for seeking
medical care. Manipulative therapies are a common treatment for LBP. Few studies
have compared the effectiveness of different types of manipulative therapies.
Moreover, the physiologic mechanisms underlying these treatments are not fully
understood. Herein, we present the study protocol for The Researching the
Effectiveness of Lumbar Interventions for Enhancing Function Study (The RELIEF
Study). METHODS AND STUDY DESIGN: The RELIEF Study is a Phase II RCT with a
nested mechanistic design. It is a single-blinded, sham-controlled study to test
the mechanisms and effectiveness of two manual therapy techniques applied to
individuals (n = 162; 18-45 years of age) with chronic LBP. The clinical outcome
data from the mechanistic component will be pooled across experiments to permit
an exploratory Phase II RCT investigating the effectiveness. Participants will be
randomized into one of three separate experiments that constitute the mechanistic
component to determine the muscular, spinal, and cortical effects of manual
therapies. Within each of these experimental groups study participants will be
randomly assigned to one of the three treatment arms: 1) spinal manipulation, 2)
spinal mobilization, or 3) sham laser therapy. Treatments will be delivered twice
per week for 3-weeks. DISCUSSION: This data from this will shed light on the
mechanisms underlying popular treatments for LBP. Additionally, the coupling of
this basic science work in the context of a clinical trial will also permit
examination of the clinical efficacy of two different types of manipulative
therapies.
PMID- 29792944
TI - Enrichment of low-density symbiont DNA from minute insects.
AB - Symbioses between bacteria and insects are often associated with changes in
important biological traits that can significantly affect host fitness. To a
large extent, studies of these interactions have been based on physiological
changes or induced phenotypes in the host, and the genetic mechanisms by which
symbionts interact with their hosts have only recently become better understood.
Learning about symbionts has been challenging in part due to difficulties such as
obtaining enough high quality genomic material for high throughput sequencing
technology, especially for symbionts present in low titers, and in small or
difficult to rear non-model hosts. Here we introduce a new method that
substantially increases the yield of bacterial DNA in minute arthropod hosts, and
requires less starting material relative to previous published methods.
PMID- 29792945
TI - Cross-linking by epichlorohydrin and diepoxybutane correlates with cytotoxicity
and leads to apoptosis in human leukemia (HL-60) cells.
AB - The bifunctional alkylating agents epichlorohydrin (ECH) and diepoxybutane (DEB)
have been linked to increased cancer risks in industrial workers. These compounds
react with DNA and proteins, leading to genotoxic effects. We used the comet
assay to monitor formation of cross-links in HL-60 cells treated with ECH, DEB,
and the structurally related anti-cancer drug mechlorethamine (HN2). We report a
time- and dose-dependent cytotoxicity that correlated with cross-linking
activity, following the order HN2 > DEB > ECH. The rate of cross-link repair also
varied with drug, with ECH-induced lesions the fastest to repair. High drug doses
led to the formation of saturating amounts of HN2 cross-links that were repaired
inefficiently. DEB and ECH produced fewer overall cross-links, but some were also
resistant to repair. These persistent cross-links may activate cell-cycle arrest
to allow repair of damage, with prolonged arrest triggering apoptosis.
Quantitative reverse transcription polymerase chain reaction experiments revealed
that treatment of HL-60 cells with DEB and ECH results in up-regulation of
several genes involved in the intrinsic (mitochondrial) apoptosis pathway,
including BAX, BAK1, CASP-9, APAF-1, and BCL-2. These findings contribute to our
understanding of the principles underlying the carcinogenic potentials of these
xenobiotics.
PMID- 29792946
TI - Investigation of nonalcoholic fatty liver disease-induced drug metabolism by
comparative global toxicoproteomics.
AB - Non-alcoholic fatty liver disease (NAFLD) includes conditions such as steatosis,
non-alcoholic steatohepatitis, and ultimately hepatocellular carcinoma. Although
the pathology of NAFLD is well-established, NAFLD-induced drug metabolism
mediated by cytochrome P450 (CYP) in the liver has remained largely unexplored.
Therefore, we investigated NAFLD-induced drug metabolism mediated by CYP by
quantitative toxicoproteomics analysis. After administration of a methionine
choline deficient (MCD) diet to induce development of NAFLD, tandem mass tags
based liquid chromatography-tandem mass spectrometry analysis was conducted to
investigate the dynamics of hepatic proteins. A total of 1295 proteins were
identified, of which 934 were quantified by proteomic analysis. Among these
proteins, 21 proteins were up-regulated and 51 proteins were down-regulated by
the MCD diet. Notably, domain annotation enrichment using InterPro indicated that
proteins related to CYPs were significantly decreased. When we investigated CYP
activity using in vivo and in vitro CYP cocktail assays, most CYPs were
significantly decreased, whereas CYP2D was not changed after administration of
the MCD diet. In conclusion, we identified significantly altered levels of CYPs
and their activities induced by the MCD diet and confirmed the NAFLD-induced drug
metabolism by pharmacokinetic analysis.
PMID- 29792947
TI - TRAIL attenuates sulforaphane-mediated Nrf2 and sustains ROS generation, leading
to apoptosis of TRAIL-resistant human bladder cancer cells.
AB - Tumor necrosis factor-related apoptosis inducing ligand (TRAIL) can
preferentially initiate apoptosis in malignant cells with minimal toxicity to
normal cells. Unfortunately, many human cancer cells are refractory to TRAIL
induced apoptosis through many unknown mechanisms. Here, we report that TRAIL
resistance can be reversed in human bladder cancer cell lines by treatment with
sulforaphane (SFN), a well-known chemopreventive isothiocyanate in various
cruciferous vegetables. Combined treatment with SFN and TRAIL (SFN/TRAIL)
significantly induced apoptosis concomitant with activation of caspases, loss of
mitochondrial membrane potential (MMP), Bid truncation, and induction of death
receptor 5. Transient knockdown of Bid prevented collapse of MMP induced by
SFN/TRAIL, consequently reducing apoptotic effects. Furthermore, SFN increased
both the generation of reactive oxygen species (ROS) and the activation of
nuclear factor erythroid 2-related factor 2 (Nrf2), which is an anti-oxidant
enzyme. Interestingly, TRAIL effectively suppressed SFN-mediated nuclear
translocation of Nrf2, and the period of ROS generation was more extended
compared to that of treatment with SFN alone. In addition, silencing of Nrf2
increased apoptosis in cells treated with SFN/TRAIL; however, blockade of ROS
generation inhibited apoptotic activity. These data suggest that SFN-induced ROS
generation promotes TRAIL sensitivity and SFN can be used for the management of
TRAIL-resistant cancer.
PMID- 29792948
TI - Comparative transcriptome analysis reveals significant differences in gene
expression between appressoria and hyphae in Colletotrichum gloeosporioides.
AB - Fruit rot caused mainly by Colletotrichum gloeosporioides is a major cause of pre
and/or post-harvest diseases, which seriously constrains production, marketing,
and export of fruits. To infect the host, this fungus evolves a specialized
infection structure called the appressorium. Extensive past studies have
characterized many appressorium-related genes in C. gloeosporioides, separately.
However, a comprehensive understanding of the genes contributing to appressorium
formation is far from complete. Here, global changes in gene expression were
analyzed between appressoria and hyphae using RNA-Seq. We identified 4071 genes
that are up-regulated in appressorium and discovered 468 unigenes that are
expressed only in appressoria, compared with the fungal hyphae. Differentially
expressed genes between appressoria and hyphae were assigned to 107 KEGG
pathways, including metabolic pathways, secondary metabolite biosynthesis,
molecular transport and signal transduction. Fourteen putative ABC transporter
genes are significantly up-regulated in appressoria, and in contrast, twenty-six
down-regulated. One hundred and one transcription factor genes show more than a 2
fold up-regulation in appressoria compared to hyphae. The up-regulation of 39
secreted protein candidates is observed, suggesting they may play important roles
in initial infection processes. Our data demonstrate that appressorium
development of C. gloeosporioides is accompanied by significant changes in gene
expression, which provides novel insights to elucidate how this fungus regulates
its development, pathogenicity and immune evasion.
PMID- 29792949
TI - sRNAome and transcriptome analysis provide insight into chilling response of
cowpea pods.
AB - Cowpea is an important horticultural crop in tropical and subtropical areas of
Asia, Africa, and Latin America, as well as parts of southern Europe and Central
and South America. Chilling injury is a common physiological hazard of cowpea in
cold chain logistics which reduce the cowpea pod's nutritional quality and
product value. However, the molecular mechanism involved in chilling injury
remains unclear in cowpea pods. RNA-Seq and sRNA-Seq technologies were employed
to decipher the miRNAs and mRNAs expression profiles and their regulatory
networks in cowpea pods involved in chilling stress. Differentially expressed
miRNAs and mRNA profiles were obtained based on cluster analysis, miRNAs and
target genes were found to show coherent relationships in the regulatory networks
of chilling injury. Furthermore, we found that numerous miRNAs and nat-siRNAs'
targets were predicted to be key enzymes involved in the redox reactions such as
POD, CAT, AO and LOX, energy metabolism such as ATPase, FAD and NAD related
enzymes and different transcription factors such as WRKY, bHLH, MYB, ERF and NAC
which play important roles in chilling injury.
PMID- 29792950
TI - Transcriptome profile analysis reveals the ontogenesis of rooted chichi in Ginkgo
biloba L.
AB - The Ginkgo biloba L. chichi is a unique organ. To explore the molecular
mechanisms underlying the ontogenesis of G. biloba chichi, we used RNA-seq to
analyse the transcriptome profile of rooted chichi at two developmental stages
(ch1 and ch2) and nearby tissues (ck), and each sample had three biological
replicates. A total of 57.74 Gb of clean bases were generated in nine cDNA
libraries. These bases were de novo assembled into 68,277 unigenes with average
length of 844 bp, and 51.47% of the unigenes had a match in at least one public
database. The differentially expressed genes (DEGs) in ch1 vs. ck and ch2 vs. ck
were 2748 and 8594, respectively. The DEGs involved in the auxin signal pathway,
auxin polar transport, storage-related proteins, and the cell cycle pathway might
play roles in the ontogenesis of chichi. The quantitative real-time PCR results
were closely correlated with transcriptome data. The transcriptome resources
generated in the current study provide gene expression profiles and differential
expression profiles of G. biloba chichi and offer an essential resource to probe
the molecular mechanisms underlying the ontogenesis of G. biloba chichi.
PMID- 29792952
TI - Anticancer mechanism of Sinapic acid in PC-3 and LNCaP human prostate cancer cell
lines.
AB - Sinapic acid (SA) is a derivative of hydroxycinnamic acid and found in various
vegetables and fruit species. Aim was to evaluate the anticancer effects of SA in
PC-3 and LNCaP human prostate cancer cells. The effect of SA on cell viability
was determined using XTT assay. Expressions of 8 genes for apoptosis and 6 genes
for metastasis were evaluated by qPCR. Caspase-3 activity was determined using
caspase-3 colorimetric assay kit. Effect of SA on cell invasion was evaluated
with cell invasion assay. The IC50 dose of SA in PC-3 and LNCaP cells was found
to be 1000 MUM for 72 h. SA treatment increased the expression of BAX, CASP3,
CASP8, CYCS, FAS, TIMP-1 and CDH1 however significant decreased the expression of
MMP-9 in PC-3 cells. In LNCaP cells, the expressions of BAX, CASP3, CASP7 and
CYCS were significantly elevated; however, a decrease was seen in the expressions
of CDH2, MMP-2 and MMP-9 in the SA treatment. Moreover, SA significantly
increased caspase-3 activity and suppressed the cell invasion. In conclusion, it
is thought that SA has anticancer effect on prostate cancer cells. However, more
detailed studies should be conduct to illuminate molecular mechanism of apoptotic
and antimetastatic activity of SA.
PMID- 29792953
TI - Protective effect of S-nitrosoglutathione administration against hyperglycemia
induced disruption of blood brain barrier is mediated by modulation of tight
junction proteins and cell adhesion molecules.
AB - Diabetes is associated with increased blood brain barrier (BBB) permeability
resulting in neurological deficits. The present study investigated the role of S
nitrosoglutathione (GSNO) on tight junction proteins and cell adhesion molecules
in streptozotocin-induced diabetic mice. Diabetes was induced by intraperitoneal
injection of streptozotocin (40 mg/kg body weight) for 5 days in mice. GSNO was
administered daily (100 MUg/kg body weight, orally) for 8 weeks after the
induction of diabetes. A significant decline was observed in the cognitive
ability of diabetic animals assessed using radial arm maze test. A significant
increase was observed in nitrotyrosine levels in cortex and hippocampus of
diabetic mice. Relative mRNA and protein expression of tight junction proteins
viz; zona occludens-1 (ZO-1) and occludin were significantly lower in the
microvessels isolated from cortex and hippocampus of diabetic animals, whereas
expression of claudin-5 was unaltered. Immunofluorescence of tight junction
proteins confirmed loss of ZO-1 and occludin in the diabetic brain. Furthermore,
significant increase in interstitial cell adhesion molecule (ICAM)-1 and vascular
cell adhesion molecule (VCAM)-1 mRNA and protein levels was observed in diabetic
animals. Ultrastructure of microvessels from diabetic brain was also altered
thereby confirming BBB disruption. GSNO administration to diabetic animals, on
the other hand, was able to ameliorate loss of ZO-1 and occludin as well as
normalize ICAM-1 and VCAM-1 expression, restore BBB integrity, and improve
cognitive deficits. The findings clearly suggest that GSNO is a therapeutic
molecule with potential to protect BBB and prevent diabetes induced neurological
deficits.
PMID- 29792954
TI - Increased brain docosahexaenoic acid has no effect on the resolution of
neuroinflammation following intracerebroventricular lipopolysaccharide injection.
AB - Resolution of inflammation in the periphery was once thought to be a passive
process, but new research now suggests it is an active process mediated by
specialized pro-resolving lipid mediators (SPM) derived from omega-3
polyunsaturated fatty acids (n-3 PUFA). However, this has yet to be illustrated
in neuroinflammation. The purpose of this study was to measure resolution of
neuroinflammation and to test whether increasing brain docosahexaenoic acid (DHA)
affects the resolution of neuroinflammation. C57Bl/6 mice, fat-1 mice and their
wildtype littermates, fed either fish oil or safflower oil, received
lipopolysaccharide (LPS) in the left lateral ventricle. Animals were then
euthanized at various time points for immunohistochemistry, gene expression, and
lipidomic analyses. Peak microglial activation was observed at 5 days post
surgery and the resolution index was 10 days. Of the approximately 350 genes
significantly changed over the 28 days post LPS injection, 130 were uniquely
changed at 3 days post injection. No changes were observed in the bioactive
mediator pools. However, a few lysophospholipid species were decreased at 24hr
post surgery. When brain DHA is increased, microglial cell density did not
resolve faster and did not alter gene expression. In conclusion, resolution of
neuroinflammation appears to be independent of SPM. Increasing brain DHA had no
effect in this model.
PMID- 29792955
TI - Fisetin alleviates oxidative stress after traumatic brain injury via the Nrf2-ARE
pathway.
AB - Fisetin, a natural flavonoid, has neuroprotection properties in many brain injury
models. However, its role in traumatic brain injury (TBI) has not been fully
explained. In the present study, we aimed to explore the neuroprotective effects
of fisetin in a mouse model of TBI. We found that fisetin improved neurological
function, reduced cerebral edema, attenuated brain lesion and ameliorated blood
brain barrier (BBB) disruption after TBI. Moreover, the up-regulation of
malondialdehyde (MDA) and the activity of glutathione peroxidase (GPx) were
reversed by fisetin treatment. Furthermore, administration of fisetin suppressed
neuron cell death and apoptosis, increased the expression of B-cell lymphoma 2
(Bcl-2), while decreased the expression of Bcl-2-associated X protein (Bax) and
caspase-3 after TBI. In addition, fisetin activated the nuclear factor erythroid
2-related factor 2 (Nrf2)-antioxidant response element (ARE) pathway following
TBI. However, fisetin only failed to suppress oxidative stress in Nrf2-/- mice.
In conclusion, our data provided the first evidence that fisetin played a
critical role in neuroprotection after TBI partly through the activation of the
Nrf2-ARE pathway.
PMID- 29792951
TI - An analysis of variability in genome organisation of intracellular calcium
release channels across insect orders.
AB - Using publicly available genomic data, combined with RT-PCR validation, we
explore structural genomic variation for two major ion channels across insect
classes. We have manually curated ryanodine receptor (RyR) and inositol 1,4,5
trisphosphate receptor (IP3R) ORFs and their corresponding genomic structures
from 26 different insects covering major insect orders. We found that, despite
high protein identity for both RyRs (>75%) and IP3Rs (~67%), the overall
complexity of the gene structure varies greatly between different insect orders
with the simplest genes (fewest introns) found in Diptera and the most complex in
Lepidoptera. Analysis of intron conservation patterns indicated that the majority
of conserved introns are found close to the 5' end of the channels and in RyR
around the highly conserved mutually exclusive splice site. Of the two channels
the IP3Rs appear to have a less well conserved organisation with a greater
overall number of unique introns seen between insect orders. We experimentally
validated two of the manually curated ORFs for IP3Rs and confirmed an atypical
(3799aa) IP3R receptor in Myzus persicae, which is approximately 1000 amino acids
larger than previously reported for IP3Rs.
PMID- 29792956
TI - Development of photo-modified starch/kefiran/TiO2 bio-nanocomposite as an
environmentally-friendly food packaging material.
AB - This paper reports on an experiment in which starch/kefiran/TiO2 (SKT)-based bio
nanocomposite films were developed and modified by photo-chemical reaction. In so
doing, film-forming solutions were exposed to ultraviolet A (UV-A) for different
times (1, 6, and 12 h). The obtained results indicated that increasing UV-A
exposure time brought about an increase (~14.9%) in the tensile strength of bio
nanocomposites. However, elongation at break and Young's modulus of irradiated
film specimen decreased (~32%, ~12%, respectively) by increasing UV-A exposure
time, and the moisture-sensitive parameters of specimen decreased using UV-A
irradiation. According to the results, the functional properties of irradiated
bio-nanocomposite are depended on the ratio of cross-linkages between polymer
chains and the potentially produced mono and disaccharide by UV-A.
PMID- 29792957
TI - High-activity production of xylanase by Pichia stipitis: Purification,
characterization, kinetic evaluation and xylooligosaccharides production.
AB - As an industrially important biological macromolecule, xylanase hydrolyzes xylan
to produce xylooligosaccarides (XOS). XOS, with a degree of polymerization (DP) 2
to 4, are important prebiotics used as food ingredients. In this study, xylanase
(5536 U/g substrate) was produced by Pichia stipitis using corncob and wheat bran
mixture under solid state fermentation. Crude xylanase were purified and
biochemically characterized. XOS hydrolyzed by crude and purified xylanases were
quantified. Molecular weight of the purified enzyme was around 31.6 kDa on SDS
PAGE. Enzyme kinetics showed Km and Vmax values of 4.52 mg/mL and 9.17
MUmol/min/mL, respectively. The optimal conditions were pH 6.0 and 50 degrees C.
Xylanase was stable at pH 5-8 for 60 min by retaining 57% activity and at 50
degrees C for 80 min by retaining 65% activity. Cooper and potassium had no
inhibitory effect on xylanase activity. Xylan hydrolysates produced by purified
xylanase contained 92% XOS consisting of 14% xylotetroase (DP 4), 49% xylotriose
(DP 3) and 29% xylobiose (DP 2). These findings indicate the potential of
applying purified xylanase for industrial XOS production.
PMID- 29792958
TI - Impact of cooking conditions on the properties of rice: Combined temperature and
cooking time.
AB - Changes in the properties of cooked rice under various cooking conditions were
investigated. Waxy, low-, and high-amylose rice were subjected to treatment with
different cooking temperatures (50, 70, 90 degrees C) for different cooking
times (15, 30, 45 min). The results showed that cooking greatly increased the
swelling behavior of waxy rice but decreased the swelling behavior and amylose
leaching of low- and high-amylose rice. As the cooking temperature increased,
rapidly digestible starch increased significantly for all rice products, whereas
slowly digestible starch and resistant starch had a certain degree of reduction.
Variation in the cooking time produced little effects on starch digestibility.
Gelatinization temperature was positively correlated with temperature and time,
whereas gelatinization enthalpy was negatively correlated with temperature and
time. Pasting properties of all rice products decreased significantly as cooking
temperature and time increased. The study showed that both cooking temperature
and cooking time had significant impacts on the physicochemical properties and
starch digestibility of waxy, low-, and high-amylose rice to various extents.
Temperature had a more pronounced impact on the extent of change to the in vitro
digestibility than did cooking time.
PMID- 29792961
TI - Composite films of regenerate cellulose with chitosan and polyvinyl alcohol:
Evaluation of water adsorption, mechanical and optical properties.
AB - The aim of this study was to develop composite films from cellulose, chitosan and
polyvinyl alcohol to obtain environmentally friendly materials. Toughness, burst
strength, distance to burst and water adsorption properties were measured and
analysed as a function of cellulose (3-5%), chitosan (0-1%) and polyvinyl alcohol
(0-4%) contents. Polynomial models were obtained. Light-barrier properties,
transparency, morphology, structural and thermal analyses were assessed. Results
showed that chitosan and polyvinyl alcohol enhanced the mechanical properties of
cellulose-based films. Toughness values ranged from 0.47 to 8.01 MJ/m3, burst
strength values ranged from 929 to 6291 g, distance to burst ranged from 1.25 to
2.52 mm and water adsorption values ranged from 52.30 to 143.56%. Cellulose and
chitosan improved the UV light protection effect of the films. However, PVA
increased the transmittance meanwhile improved the film transparency. FT-IR and
DSC showed an interaction between the components of the films. Results showed
that it is feasible to obtain cellulose-chitosan-polyvinyl alcohol composite
films with improved mechanical properties, high capacity to adsorb water, good
barrier properties against UV radiations and adequate transparency value. These
properties could be useful for potential packaging applications in the food
industry or as a partial alternative to synthetic films.
PMID- 29792959
TI - Fabrication and cytotoxicity assessment of cellulose nanofibrils using Bassia
eriophora biomass.
AB - Cellulose nanofibrils (CNs) are eco-friendly, biodegradable, biocompatible,
renewable, cost-effective, and possess excellent mechanical properties. We
fabricated CNs from Bassia eriophora biomass, and the structure and morphology
were investigated by transmission electron microscopy that revealed 2-6 MUm long
fibrillated structures with diameters of 15-40 nm. CNs biocompatibility was
assessed using in vitro based assays, including cell viability assay, AO/EB
staining, Hoechst staining, JC-1 staining, and gene expression analysis. The
assessment of cellular and nuclear morphologies of human mesenchymal stem cells
(hMSCs) showed that CNs do not affect cell viability and morphology. JC-1
staining results revealed that CNs do not cause mitochondrial membrane potential
of hMSCs. Cell-based in vitro assays revealed that CNs are biocompatible even at
high concentrations. The CNs effect on cell cycle regulated gene expression was
studied that results suggested that CCND1 and CCND3 gene expression levels
increased slightly, when compared with control. But CCNG1, CYCS3, and CCNC1 genes
has no significant difference was observed. Overall, our results suggested that
CNs can be used for tissue engineering and regenerative medicine.
PMID- 29792960
TI - Hybrid chitosan-TiO2/ZnS prepared under mild conditions with visible-light driven
photocatalytic activity.
AB - Thin films of chitosan (CS) coated on glass substrates were used as support for
the immobilization of nanostructures TiO2/ZnS via a mild chemical process
followed by a hydrothermal treatment at 90 degrees C. The preparation route
involved the coating of the CS film on the glass substrate followed by the
deposition of a thin layer of Ti(OBu)4 precursor and immersion in a solution of
Zn(O2CCH3)2 and Na2S. After a hydrothermal treatment at 90 degrees C, an even
layer of TiO2 anatase decorated by ZnS nanorods was generated. Evidence of the
formation of TiO2 anatase and ZnS was confirmed by XRD, Raman and XPS, and the
morphology of the TiO2 layer and ZnS nanoparticles was analyzed by FE-SEM
observations. The photocatalytic activity of the CS-TiO2-ZnS systems was
evaluated by the degradation of a wide range of organic compounds including
aromatic amines and carboxylic acids under a conventional halogen lamp.
PMID- 29792962
TI - Effect of casein and inulin addition on physico-chemical characteristics of low
fat camel dairy cream.
AB - The effect of the addition of the camel casein fraction on some physico-chemical
properties of low fat camel milk cream was studied. Oil-in-water emulsions, 25,
30, and 35 (w/w) fat, were prepared using inulin, camel skim milk, milk fat and
variable percentages of casein (1, 2, and 3% w/w). The droplet size, zeta
potential, surface protein concentration, viscosity and surface tension of low
fat dairy creams was measured. Cream containing 2% (w/w) casein had better
stability. The modifications in physico-chemical properties appeared to be driven
by changes in particle size distribution caused by droplet aggregation. The cream
containing 2% casein leads to a gradual decrease in droplet size, as the particle
size decreased, apparent viscosity increased. When casein concentration
increased, zeta-potential decreased due to combination of c terminal (negative
charge) with the surface of fat particles but steric repulsion improved textural
properties. Cream with 30% fat and 2% casein had the best result.
PMID- 29792963
TI - Extraction, characterization and biological activity of sulfated polysaccharides
from seaweed Dictyopteris divaricata.
AB - Dictyopteris divaricata is a kind of important brown algae with many biological
activities. It has been receiving more and more attention, yet there are rarely
studies done on its polysaccharides. In this study, the optimum extraction and
biological activity of seaweed polysaccharides from Dictyopteris divaricata
(DDSP) were investigated. Response surface methodology (RSM), based on a three
level, three-variable Box-Behnken design (BBD), was employed to obtain the best
possible combinations for maximum polysaccharides yield. The optimum extraction
conditions were as follows: liquid-solid ratio of 110 mL/g, extraction time of 6
h and extraction temperature of 100 degrees C. Under these conditions, the
experimental yield was 3.05%, which was in close agreement with the predicted
value of 3.15%. The average molecular weight of DDSP was 58.05 kDa. Gas
chromatograph (GC) results showed that DDSP was composed of fucose, xylose,
mannose, glucose and galactose with the corresponding molar ratio of
4.45:2.74:1.00:2.94:1.35. Biological activity showed that DDSP exhibited strong
antioxidant activity in vitro and possessed the potential on stimulating immune
response of RAW264.7 cells. So DDSP can be used as a natural ingredient in
functional foods.
PMID- 29792964
TI - A family 30 glucurono-xylanase from Bacillus subtilis LC9: Expression,
characterization and its application in Chinese bread making.
AB - A GH30-8 endoxylanase was identified from an environmental Bacillus subtilis
isolate following growth selection on aspen wood glucuronoxylan. The putative
endoxylanase was cloned for protein expression and characterization in the Gram
positive protease deficient protein expression host B. subtilis WB800. The
extracellular activity obtained was 55 U/mL, which was 14.5-fold higher than that
obtained with the native species. The apparent molecular mass of BsXyn30 was
estimated as 43 kDa by SDS-PAGE. BsXyn30 showed an optimal activity at pH 7.0 and
60 degrees C. Recombinant BsXyn30 displayed maximum activity against aspen wood
xylan, followed by beechwood xylan but showed no catalytic activity on arabinose
substituted xylans. Analysis of hydrolyzed products of beechwood xylan by thin
layer chromatography and mass spectroscopy revealed the presence of
xylooligosaccharides with a single methyl-glucuronic acid residue. BsXyn30
exhibited very low activity for hydrolysis xylotetraose and xylopentaose, but had
no detectable activity against xylobiose and xylotriose. Using BsXyn30 as an
additive in breadmaking, a decrease in water-holding capacity, an increase in
dough expansion as well as improvements in volume and specific volume of the
bread were recorded. Thus, the present study provided the basis for the
application of GH30 xylanase in breadmaking.
PMID- 29792965
TI - Characterization, sulfated modification and bioactivity of a novel polysaccharide
from Millettia dielsiana.
AB - Millettia dielsiana is a traditional herb medicine to treat rheumatic arthritis
and gynecological diseases. In this study, a novel polysaccharide (MDP1) with a
molecular weight of 139.54 kDa was isolated from Millettia dielsiana by DEAE-52
cellulose chromatography. Its sulfated derivative (S-MDP1) was prepared by the
chlorosulfonic acid-pyridine method. Structural analysis indicated that MDP1 was
a pectic polysaccharide and did not exhibit a triple helical conformation. In
vitro, MDP1 and S-MDP1 had protective effect on H2O2-induced PC12 cells death.
Immunological assay showed that MDP1 and S-MDP1 significantly stimulated NO
production and phagocytic activity in RAW264.7 cells, and promoted lymphocyte
proliferation. Anticoagulant assay indicated that S-MDP1 effectively prolonged
activated partial thromboplastin time (APTT) and thrombin time (TT), but not
prothrombin time (PT), and MDP1 prolonged APTT and TT weakly. These results
implied that MDP1 and S-MDP1 had the potential to be used as antioxidant,
immunomodulatory and anticoagulant agents.
PMID- 29792966
TI - One-step fabrication of chitosan-Fe(OH)3 beads for efficient adsorption of
anionic dyes.
AB - In this study, we reported the one-step synthesis of chitosan-Fe(OH)3 beads
without the use of acid solvent, which could be used as effective adsorbents for
anionic dyes removal. The preparation process was easy and green. The as-prepared
beads were characterized for structural and morphological analysis in detail
using several techniques, such as Scanning Electron Microscope, Fourier Transform
Infrared Spectroscopy, X-Ray Diffraction, X-ray photoelectron spectroscopy and
Thermogravimetric analysis. The content of Fe(OH)3 in chitosan-Fe(OH)3 beads was
54.64 wt%. The removal efficiencies toward anionic dyes: congo red (CR) and
methyl orange (MO) by chitosan-Fe(OH)3 beads were higher than pure chitosan
beads. Moreover, the incorporation of Fe(OH)3 into chitosan beads could overcome
the obstacle that powdery Fe(OH)3 particles are difficult to be separated from
the adsorption solutions. The maximum adsorption capacities from Langmuir model
for CR and MO by chitosan-Fe(OH)3 beads were 445.32 and 314.45 mg/g,
respectively. The thermodynamic data indicated that the adsorption processes were
spontaneous and endothermic. In addition, chitosan-Fe(OH)3 beads also showed good
reusability and the removal efficiencies for both dyes retained above 95% after
five cycles. From this work, it suggests that chitosan-Fe(OH)3 beads have great
potential as low-cost and effective adsorbents for the removal of anionic dyes.
PMID- 29792969
TI - Impact of extended-spectrum beta-lactamases and carbapenem-resistant Gram
negative infections on sepsis mortality at the emergency department: a cohort
study.
PMID- 29792967
TI - Validated reverse phase HPLC diode array method for the quantification of intact
bevacizumab, infliximab and trastuzumab for long-term stability study.
AB - The aim of the present study was to develop suitable and reliable method for
quantification three of the most worldwide used therapeutic monoclonal antibodies
(mAbs) -bevazizumab (BVZ), infliximab (INF) and trastuzumab (TTZ)- to be used in
long-term stability studies. Reverse phase (RP) was selected by its greater
sensibility and reproducibility comparing with other chromatographic modes. Then
a high performance liquid chromatography with diode array detection (RP)HPLC/DAD
method was checked. Since the three mAbs represent the active ingredient in the
medicines in which they are formulated, the selected method was validated for
each one in accordance with the International Conference on Harmonization (ICH)
guidelines for pharmaceuticals for human use. Then method was validated in terms
of linearity, accuracy, precision, (repeatability, intermediate precision)
specificity (by forced degradation studies), robustness and system suitability.
Spectral peak purity analysis strategy was used to test mAb degradations.
Comparative study of the results indicated similar behavior for the three mAbs.
Forced degradation studies also provided deep knowledge of these important bio
macromolecules. At last the method was successfully used to quantify BVZ, INF and
TTZ in long-term stability studies performed under hospital conditions of use and
they showed great stability regarding quantification during the time of the
study.
PMID- 29792970
TI - Investigation of the efficacy of alcohol-based solutions on adenovirus serotypes
8, 19 and 37, common causes of epidemic keratoconjunctivitis, after an adenovirus
outbreak in hospital.
AB - BACKGROUND: Hand antiseptics are of great importance in the prevention of
nosocomial infections. Due to the frequent occurrence of adenoviral epidemic
keratoconjunctivitis outbreaks in the study hospital, it was necessary to
investigate the efficiency of alcohol-based solutions on adenoviruses. AIM: To
investigate the efficacy of alcohol-based solutions commonly found in hand
antiseptics against adenovirus serotypes 8, 19 and 37. METHODS: The efficacy of
ethanol, isopropanol, chlorhexidine-digluconate, n-butanol and different
combinations of these antiseptics on adenovirus serotypes that typically cause
epidemic keratoconjunctivitis was investigated. The effect of antiseptic
substances was investigated using a quantitative suspension test technique
according to EN-14476. Antiseptics were prepared as follows: 70% ethanol, 70%
isopropanol, 70% ethanol+0.5% chlorhexidine-digluconate, 70% isopropanol+0.5%
chlorhexidine-digluconate, 60% ethanol+10% isopropanol, 60% ethanol+10%
isopropanol+0.5% chlorhexidine-digluconate and 60% ethanol+10% isopropanol+1% n
butanol. The effect of antiseptics was evaluated at 30, 60 and 120 s. FINDINGS:
The highest reduction in virus titre was detected with 60% ethanol+10%
isopropanol+1% n-butanol. This caused a reduction of 2.5log10, 3 log10 and
2.5log10 in adenovirus serotypes 8, 19 and 37, respectively. The lowest reduction
in virus titre was detected with 70% ethanol+0.5% chlorhexidine-digluconate and
70% isopropanol+0.5% chlorhexidine-digluconate. These combinations caused a
reduction of 1.62log10 in adenovirus serotypes 19 and 37. All antiseptics showed
efficacy levels below 4log10 which is regarded as the efficiency limit by EN
14476. CONCLUSION: The alcohol-based solutions tested in this study were not
found to be sufficiently effective against adenovirus serotypes. Further studies
to investigate the efficiency of different alcohol-based solutions are required.
PMID- 29792968
TI - Applicability of endochitinase of Flavobacterium johnsoniae with
transglycosylation activity in generating long-chain chitooligosaccharides.
AB - Chitin and its derivatives are used for a variety of applications. Flavobacterium
johnsoniae UW101 is an aerobic Gram-negative bacterium. Genome analysis of F.
johnsoniae UW101 revealed the presence of 10 glycoside hydrolases (GHs) that may
degrade or modify chitin. The gene encoding chitinase B (FjchiB), which encodes a
single catalytic GH18 domain has been cloned and heterologously expressed in
Escherichia coli. FjChiB was optimally active in 50 mM sodium citrate buffer (pH
6.0) at 40 degrees C. FjChiB was salt-tolerant and catalytically versatile, with
substrate specificity towards 75% DDA (degree of de-acetylation) chitosan,
followed by colloidal chitin. Chitotetraose (DP4) was the shortest of the
oligomeric substrates used by FjChiB. The Km and Vmax values of FjChiB for
colloidal chitin were 49.38 mg/ml and 11.2 nanokat mg-1, respectively. The
overall catalytic efficiency (kcat/Km) of FjChiB was 1.40 * 103 mg-1 ml s-1.
FjChiB exhibited transglycosylation (TG) with chitopentaose (DP5) and
chitohexaose (DP6) substrates. The TG by FjChiB was fine-tuned by introducing a
tryptophan (G106W) and asparagine (D148N) in the highly conserved catalytic
groove and catalytic center, respectively. Hydrolytic products profile and
homology modelling indicated that FjChiB is an endochitinase that holds promise
for the conversion of chitin into useful products through both TG and/or
hydrolysis.
PMID- 29792971
TI - Impact of active surveillance and infection control measures on carbapenem
resistant Gram-negative bacterial colonization and infections in intensive care.
AB - BACKGROUND: Carbapenem-resistant Gram-negative bacteria (CRGNB) infections
constitute a global threat for critically ill patients and the outcome of their
hospitalization. Early identification of CRGNB through rectal surveillance
cultures and routine infection control measures including contact precautions,
use of appropriate disinfectants, staff education on cleaning, and hand hygiene
may reduce the dissemination of CRGNB. AIM: To assess the impact of enhanced
infection control measures on CRGNB infections in a nine-bed polyvalent intensive
care unit in a tertiary level hospital in an endemic area. METHODS: A quasi
experimental study, which included patients with CRGNB infection retrospectively
for six months and those participating in an active surveillance programme
prospectively for the subsequent 22 months. Active surveillance programme (weekly
rectal swabs) was implemented including two sub-periods with infection control
measures and enhanced infection control measures. CRGNB incidence, prevalence,
colonization pressure, infections and compliance with infection control measures
and enhanced infection control measures were recorded. Analysis was performed
through time-series and interrupted time-series. FINDINGS: During the active
surveillance programme, enhanced infection control measures led to a steeper
downwards trend in incidence, prevalence, and colonization pressure for CRGNB
compared to the infection control measures sub-period. The linear trend was for
carbapenem-resistant Klebsiella pneumoniae (CRKP) and Pseudomonas aeruginosa
(CRPA) infections to decrease from 19.6 to 8.1 infections per 1000 bed-days (IBD)
(P = 0.001) and from 5.1 to 1.79 IBD (P = 0.043), respectively. By contrast,
carbapenem-resistant Acinetobacter baumannii infections increased from 5.2 to
15.3 IBD (P = 0.001). CONCLUSION: Enhanced infection control measures including
enhanced hand hygiene, active surveillance combined with contact precautions,
education, audits and feedback policies and interventions could reduce CRKP and
CRPA in endemic areas.
PMID- 29792972
TI - Association Between Early Confirmatory Testing and the Adoption of Active
Surveillance for Men With Favorable-risk Prostate Cancer.
AB - OBJECTIVE: To examine the relationship between the use and results of early
confirmatory testing and persistence on active surveillance (AS). METHODS: We
identified all men in the Michigan Urological Surgery Improvement Collaborative
registry diagnosed with favorable-risk prostate cancer from June 2016 to June
2017. We next examined trends in the use of early confirmatory test(s), defined
as repeat biopsy, prostate magnetic resonance imaging, or molecular classifiers
obtained within 6 months of the initial cancer diagnosis, in patients with
favorable-risk prostate cancer. We then compared the proportion of men remaining
on AS 6 months after diagnosis according to reassuring vs nonreassuring results,
also stratifying by age and Gleason score. RESULTS: Among 2529 patients, 32.7%
underwent early confirmatory testing within 6 months of diagnosis. Its use
increased from 25.4% in the second quarter of 2016 to 34.9% in the second quarter
of 2017 (P = .025). Molecular classifiers were most frequently used (55%),
followed by magnetic resonance imaging (34%) and repeat biopsy (11%). Sixty-four
percent (n = 523) had a reassuring result. Rates of AS were higher for patients
with early reassuring results; 82% remained on AS (n = 427) compared to 52% (n =
157) of those with nonreassuring results and 51% (n = 873) with no early
confirmatory testing (P <.001). CONCLUSION: Rates of AS are higher among men with
early reassuring results, supporting the clinical utility of these tests.
Nonetheless, high rates of AS among patients with nonreassuring results
underscore the complexity of shared decision-making in this setting.
PMID- 29792973
TI - Re: Jianrong Huang et al: The Application of Suctioning Flexible Ureteroscopy
with Intelligent Pressure Control in Treating Upper Urinary Tract Calculi on
Patients With a Solitary Kidney. UROLOGY 111: 44-47, 2018.
PMID- 29792974
TI - Patient Compliance With Maintenance Intravesical Therapy for Nonmuscle Invasive
Bladder Cancer.
AB - OBJECTIVE: To assess patient adherence to intravesical instillation therapy for
nonmuscle invasive urothelial carcinoma outside of clinical trials. MATERIALS AND
METHODS: We reviewed the records of patients from 2000 to 2013 who received
intravesical therapy for nonmuscle invasive urothelial carcinoma. Patients with
evidence of tumor recurrence or progression were excluded. We performed
univariable and multivariable regression analyses to predict adherence to
intravesical therapy. RESULTS: A total of 729 patients started 861 induction
cycles, 63% with bacillus Calmette-Guerin (BCG) and 37% with mitomycin C (MMC).
The rate of completion of 6 weeks induction therapy with BCG and MMC was similar
(86% and 87%, respectively). Within the BCG cohort, 161 (35%) patients commenced
the Southwest Oncology Group (SWOG) maintenance protocol after induction and 16
(10%) completed all 21 treatments. A monthly protocol for BCG was started by 87
patients (19%) and 48 (55%) completed all 9 treatments. MMC therapy was started
in 270 patients, 97 of whom (36%) commenced monthly maintenance treatment, and 46
(47%) completed treatments. Median number of instillations was 7 for patients
undergoing monthly maintenance therapy (MMC or BCG) and 9 for patients allocated
to 3 years BCG. On multivariable analysis, recurrence after prior treatment of
urothelial carcinoma was predictive of patients' adherence to treatment.
CONCLUSION: Compliance with intravesical therapy is low in clinical practice,
notably for longer treatment schedules.
PMID- 29792975
TI - Bladder Agenesis and Associated Pelvic Arterial Anomaly in 2 Female Pediatric
Patients.
AB - Bladder agenesis is an extremely rare congenital anomaly of the genitourinary
tract. Two female patients with known diagnoses of bladder agenesis presented for
prerenal transplant evaluation and neobladder creation. Similar unique pelvic
arterial malformations were identified through preoperative imaging and
intraoperative examination. With these similar findings, it could be proposed
that such anatomical variants are products of the same insult or involve a causal
relationship, with vascular aberrancies potentially provoking pelvic organ
maldevelopment. We advocate detailed mapping of the pelvic arterial tree
preoperatively in bladder agenesis cases to prevent arterial injury and to safely
delineate anatomy for appropriate transplant placement.
PMID- 29792977
TI - Hydromorphone Conversion Dilemma: A Millennial Problem.
PMID- 29792976
TI - Simultaneous Bilateral Ureteral Calculi: A New Paradigm for Management.
AB - OBJECTIVE: To define the need for emergent intervention between patients with
simultaneous bilateral ureteral calculi (SBUC) compared to unilateral ureteral
calculi (UUC). Patients with SBUC represent a potential urological emergency due
to possible anuria or electrolyte imbalance. While conventional practice mandates
immediate intervention in these patients, little data exist to define the rate of
these events. METHODS: Records of all patients with ureteral stones treated
ureteroscopically over an 11-year period were reviewed to identify those with
SBUC. Patient presenting characteristics, time from diagnosis to intervention,
and postoperative outcomes were noted. To determine the need for emergent
intervention, we compared metabolic and infectious parameters between SBUC
patients and age- and sex-matched patients with UUC. RESULTS: A total of 3800
patients presented with ureteral calculi including 42 (1.1%) with SBUC. Two
thirds of patients with SBUC had an established diagnosis of nephrolithiasis.
Among the 42 patients with SBUC, 11 (26.2%) were considered emergent due to
metabolic (5 of 11, 45.5%), infectious (1 of 11, 9.1%), or both metabolic and
infectious indications (5 of 11, 45.5%). No patients required acute dialysis
before surgical intervention. Compared to patients with UUC, those with SBUC were
significantly more likely to require emergent management (P = .03, odds ratio
2.3). Univariate and multivariate analyses showed this to be due to anuria (P =
.001) and acidosis (P = .003). CONCLUSION: SBUC is an uncommon condition and, in
this series, only the minority of patients presented emergently. Therefore,
patients with SBUC can often be managed electively if counseled on clinical signs
warranting emergent medical attention. Appropriately selected patients have
excellent outcomes following single stage bilateral ureteroscopy.
PMID- 29792978
TI - Authors' Response.
PMID- 29792979
TI - Immune Checkpoint Inhibitor Toxicity Review for the Palliative Care Clinician.
AB - Immune checkpoint inhibitors (ICIs) have opened an exciting chapter in the
treatment of patients with advanced cancer. For the palliative care clinician,
however, ICIs present several new challenges, including new ways to define
treatment success, as well as treatment-related toxicities that differ in nature
and timing from traditional chemotherapy. In this article, we review the
mechanism of action of ICIs, as well as selected published data supporting the
efficacy of ICIs in patients with advanced cancer. In addition, we summarize
existing data of ICI toxicity prevalence, patterns of severity, and timing of
onset. Finally, we briefly review key principles from published guidelines on the
management of ICI toxicities.
PMID- 29792980
TI - Language Used by Health Care Professionals to Describe Dying at an Acute Care
Hospital.
AB - CONTEXT: Clinicians often rely on documentation to relay information, and this
remains the mainstay of interprofessional communication regarding patient care.
However, there has been scant research focused on clinicians' documentation of
dying in hospital and how this is communicated to other team members in patient
charting. OBJECTIVES: To understand the language used to describe the
deterioration and death of patients in an acute academic tertiary care center and
to identify whether patient diagnoses or palliative care (PC) involvement was
associated with clearer descriptions of this process. METHODS: We conducted a
retrospective chart review of the final admission of 150 patients who died on an
inpatient internal medicine unit. Conventional and summative content analysis was
performed of the language used to describe, either directly or indirectly, that
the patient's death was imminent. RESULTS: Of the 150 deaths, the median age was
79.5 (range 22-101), 58% were males, and 69% spoke English. A total of 45% of
deaths were from cancer, and 66% occurred with prior PC team involvement. There
was no documentation of the dying process in 18 (12%) charts. In the remainder,
clinicians' documentation of imminent death fell into three categories: 1)
identification of the current state using specific labels; for example, dying
(24.7%) or end of life (15.3%), or less specific language, unwell or doing poorly
(6.0%); 2) predicting the future state using specific or more vague predictions;
for example, hours to days (7.3%) or poor or guarded prognosis (26.0%); and 3)
using care provided to the patient to imply patient status; for example, PC
(49.3%) or comfort care (28.7%). PC involvement, but not a malignant diagnosis,
was associated with more frequent use of specific language to describe the
current state (P = 0.004) or future state (P = 0.02). CONCLUSION: Death and dying
in hospital is inadequately documented and often described using unclear and
vague language. PC involvement is associated with clearer language to describe
this process.
PMID- 29792984
TI - 'Convergence' created psychoneuroimmunology, and is needed again to secure the
future of the field.
PMID- 29792983
TI - Impact of genetic influence on serum total- and free 25-hydroxyvitamin-D in
humans.
AB - Serum 25-hydroxyvitamin D /25OHD/ levels in humans are determined primarily by
environmental factors such as UV-B radiation and diet, including vitamin D
intake. Although some genetic determinants of 25OHD levels have been shown, the
magnitude of this association has not yet been clarified. The present study
evaluates the genetic contribution to total- /t-25OHD/ and free-25OHD /f-25OHD/
in a representative sample of the Hungarian population (n = 462). The study was
performed at the end of winter to minimize the effect of sunlight, which is a
major determinant of serum vitamin D levels. Single nucleotide polymorphisms
(SNPs) of five genes playing major roles in vitamin D metabolism were
investigated (NADSYN1, DHCR7, GC, CYP2R1 and CYP24A1). The selected SNPs account
for 13.1% of the variance of t-25OHD levels. More than half of the genetic effect
on t-25OHD levels was explained by two polymorphisms (rs7935125 in NADSYN1 and
rs2762941 in CYP24A1), which had not previously been investigated with respect to
vitamin D metabolism. No SNPs exhibited association with f-25OHD levels.
Unexpectedly, SNPs that showed univariate associations with vitamin D binding
protein (DBP) levels were not associated with f-25OHD levels questioning the
biological significance of these polymorphisms. The present study shows that t
25OHD levels are significantly influenced by genetic factors, however, the
clinical significance of this observation remains to be defined, as variation in
f-25OHD levels are marginally explained by genetic effects.
PMID- 29792982
TI - Portuguese children dietary exposure to multiple mycotoxins - An overview of risk
assessment under MYCOMIX project.
AB - Mycotoxins are secondary fungi metabolites that induce acute and chronic toxic
effects in humans and animals. Simultaneous contamination of cereal-based
products by multiple mycotoxins has been increasingly reported, including in food
products usually consumed by children. Although some previous authors assessed
the health risk of children exposed to multiple mycotoxins, scarce data are
available on the risk associated with the ingestion of multiple mycotoxins
through different food products. MYCOMIX, a national funded project, intended to
contribute to fill this gap. The present study aimed to overview the risk
assessment of multiple mycotoxins performed under MYCOMIX, assessing for the
first time, the risk associated with the exposure to 13 mycotoxins in breakfast
cereals, infant cereals and biscuits consumed by children from Lisbon region,
Portugal. Results on mycotoxins occurrence showed that 94% of samples were
contaminated with at least one mycotoxin, although at levels below the legislated
limits. Co-contamination was observed in 75% of the analysed samples. Estimated
aflatoxins exposure suggested a potential adverse health effect for percentiles
of intake above or equal to P50. The obtained results suggested that future
research actions should be set in motion in order to protect children health.
PMID- 29792981
TI - Probabilistic non-carcinogenic and carcinogenic risk assessments (Monte Carlo
simulation method) of the measured acrylamide content in Tah-dig using QuEChERS
extraction and UHPLC-MS/MS.
AB - A modified "Quick, Easy, Cheap, Effective, Rugged, and Safe" QuEChERS in
combination with Ultra-High Performance Liquid Chromatography/tandem mass
spectrometry (UHPLC-MS/MS) method was optimized for the determination of
acrylamide content in different types of tah-dig (rice, bread, and potato). Also,
the non-carcinogenic and carcinogenic risks (target hazard quotient (THQ) and
incremental lifetime cancer risk (ILCR)) due to ingestion of acrylamide via tah
dig in the adults and children were assessed by Monte Carlo simulation (MCS)
method. The recoveries of acrylamide at five concentration levels (n = 3) ranged
from 83.82% to 106.41%. The repeatability of the proposed method was demonstrated
with RSD% in the range of 11.3-20%. In addition, the limits of detection and
quantification were reported as 5 ngg-1 and 15 ngg-1, respectively. The mean
levels of the acrylamide contents in rice tah-dig, bread tah-dig, and potato tah
dig were measured as 24.65 ngg-1, 39.48 ngg-1, and 714.11 ngg-1, respectively.
The highest acrylamide content was determined in potato tah-dig (2100 ngg-1) and
the lowest acrylamide in rice tah-dig (<=LOQ). Based on the conducted risk
assessment, the P (95%) of cumulative probability in the MCS method, the lowest
and highest THQ was observed in the adults (ingestion bread tah-dig: 1.29E-2),
and children (ingestion potato tah-dig: 1.90E+00), respectively. Additionally,
the lowest and highest ILCR were reported in adults (ingestion bread tah-dig:
1.29E-5) and children (ingestion potato tah-dig: 7.49E-3), respectively. The rank
order of type tah-dig based on THQ and ILCR for all groups of consumers was
potato tah-dig > rice tah-dig > bread tah-dig. There is a considerable non
carcinogenic risk for the children due to ingestion potato tah-dig (THQ > 1).
Additionally, the significant carcinogenic risk for the Iranian adults and
children due to consumption of rice, bread, and potato tah-dig (ILCR > 1.00E-5)
was observed.
PMID- 29792985
TI - Novel excipients - Regulatory challenges and perspectives - The EU insight.
AB - Novel excipients are indispensable in development of modern, advanced drug
delivery systems and biotechnology-derived drugs. Although numerous novel
excipients are developed for pharmaceutical use, they are not frequently seen in
medicinal products due to the strict regulatory requirements and perception that
their use makes new product evaluation more complex with risk of delays in the
approval process. Regulators regard novel excipients as new substances and
whenever new excipient is used in a formulation it must be subjected to full
evaluation, similarly to the one required for new active substance. Consequently,
the amount of information required in support of the regulatory approval (i.e.
marketing authorization) is much more complex and comprehensive than for
established excipients. This short review provides an insight into the use of
novel excipients in medicinal products approved in the European Union. In
addition, barriers and challenges in development of novel excipients are being
discussed as well as means to overcome those barriers.
PMID- 29792986
TI - Model-based NIR spectroscopy implementation for in-line assay monitoring during a
pharmaceutical suspension manufacturing process.
AB - The implementation of Process Analytical Technology (PAT) instruments is
generally achieved stochastically. Sub-optimal PAT locations could introduce
variation in the measurements which is not related to the analyte of interest.
For this reason, rational approaches should be considered to establish an optimal
sensor placement where relevant measurements are possible and the impact of
disturbances is minimized. The aim of this paper is to demonstrate how
mechanistic modelling can support appropriate sensor implementation by means of a
case study. A PAT method was developed for a bottle filling process of a
pharmaceutical formulation with the goal of increasing the yield of the process
by gaining process understanding and redefining the endpoint of the process. To
ensure proper measurements, an advanced measuring interfacing was assembled. The
design of this device was rationalized with the help of a model-based approach
using three-dimensional Computational Fluid Dynamics modeling. This allows to
maximize the performance of the PAT method and exploit its full benefits.
PMID- 29792987
TI - The rheological and textural characterization of Soluplus(r)/Vitamin E
composites.
AB - Soluplus(r) is a graft amphiphilic copolymer that is frequently used as an
excipient in solid dosage forms as a dissolution and a solubility enhancer. We
discovered that Soluplus(r) can be dissolved in vitamin E. The result is a tacky
and highly adhesive material. Our research objective was to evaluate the
rheological, adhesive, and textural properties of the Soluplus(r)/Vitamin E
composites. In this study, Soluplus(r) was dissolved under heat in vitamin E at
increasing concentrations from 0 to 40% (by weight). The flow behavior of the
Soluplus(r)/Vitamin E composites was determined by applying shear stress using an
advanced AR2000 rheometer. Under the linear viscoelastic region (LVR), the
rheological properties of the blends such as dynamic viscosity (eta'), storage
modulus (G'), loss modulus (G"), and the phase angle tangent (tan delta) were
measured. Hardness, adhesiveness, and cohesiveness of the blends were also
measured with a TA.XT plus texture analyzer. Rheological analysis showed that the
viscosity of the Soluplus(r)/Vitamin E composites increased with an increase in
Soluplus(r) concentration but decreased as the temperature increased from 20 to
90 degrees C. The adhesiveness of the blends also significantly increased with
an increase in Soluplus(r) concentration. The results from this study indicated
that Soluplus(r)/Vitamin E composites have the potential to be exploited in
applications where the use of highly adhesive material is desirable.
PMID- 29792988
TI - Towards quantitative prediction of the performance of dry powder inhalers by
multi-scale simulations and experiments.
AB - This work demonstrates the use of multi-scale simulations coupled with
experiments to build a quantitative prediction tool for the performance of
adhesive mixtures in a dry powder inhaler (DPI). Using discrete element model
(DEM), the behaviour of fine-carrier particle assemblies upon different
mechanisms encountered during dose entrainment and dispersion can be described at
the individual particle level. Combining these results with computational fluid
dynamics (CFD) simulations, the complete dosing event from a DPI can be captured
and key performance measures can be extracted. A concept of apparent surface
energy, ASE, was introduced to overcome challenges associated with the complex
particle properties, e.g. irregular particle shapes and surface roughness. This
approach correctly predicts trends observed experimentally regarding API
adhesivity, flow rate and device geometry. By incorporating the effects of drug
load, critical adhesion and surface energy distributions to the simulation tool,
the fine particle fraction could be predicted with good agreement to experiments
for two different formulations in two different devices at two flow rates. It is
concluded that multi-scale simulations provide a useful tool to support device
and formulation development, as well as to gain further insight into the physical
mechanisms governing dispersion from DPIs.
PMID- 29792989
TI - Novel genotypes and multilocus genotypes of Enterocytozoon bieneusi in pigs in
northwestern China: A public health concern.
AB - Enterocytozoon bieneusi, the most important and common microsporidian species,
inhabits in most animals and humans causing diarrhea and systemic diseases. The
objectives of the present study were to examine the prevalence and genetic
variability of E. bieneusi in pigs in Shaanxi province, northwestern China. A
total of 560 pig faecal samples were collected from five different farms in
Shaanxi province and molecularly characterized using multilocus genotyping (MLST)
technology. High E. bieneusi infection rate (78.9%) was observed in these
samples. 12 known and 22 possible novel ITS genotypes were identified, with the
novel SZZD1 as the predominant genotype distributed in all age groups and pig
farms. 32 (including 11 known and 21 novel ones) of them belong to the zoonotic
group 1. MLST analysis showed that 109 ITS positive samples formed 87 distinct
multilocus genotypes (MLGs). An incomplete linkage disequilibrium (LD) and clonal
genetic structure of E. bieneusi were found in pigs in Shaanxi province. These
findings indicated the complex population structures of E. bieneusi in pigs in
Shaanxi province and provided baseline data for better understanding of the
epidemiological status of E. bieneusi in this province.
PMID- 29792991
TI - Codon adaptation biases among sylvatic and urban genotypes of Dengue virus type
2.
AB - Dengue virus (DENV) emerged from the sylvatic environment and colonized urban
settings, being sustained in a human-Aedes-human transmission chain, mainly by
the bites of females of the anthropophilic species Aedes aegypti. Herein, we
sought evidence for fine-tuning in viral codon usage, possibly due to viral
adaptation to human transmission. We compared the codon adaptation of DENV
serotype 2 (DENV-2) genotypes from urban and sylvatic habitats and tried to
correlate the findings with key evolutionary determinants. We found that DENV-2
codons of urban and sylvatic genotypes had a higher CAI to humans than to Ae.
aegypti. Remarkably, we found no significant differences in codon adaptation to
human between urban American/Asian and sylvatic DENV-2 genotypes. Moreover, CAI
values were significantly different, when comparing all genotypes to Ae. aegypti
codon preferences, with lower values for sylvatic than urban genotypes. In
summary, our findings suggest the presence of a molecular signature among the
genotypes that circulate in sylvatic and urban environments, and may help explain
the trafficking of DENV-2 strains to an urban cycle.
PMID- 29792990
TI - Identification of group A rotaviruses from Zambian fruit bats provides evidence
for long-distance dispersal events in Africa.
AB - Group A rotavirus (RVA) is a major cause of diarrhea in children worldwide.
Although RVA infects many animals, little is known about RVA in bats. The present
study investigated the genetic diversity of RVA in Zambian bats. We identified
RVA from two straw-colored fruit bats (Eidolon helvum) and an Egyptian fruit bat
(Rousettus aegyptiacus), and analyzed the genome sequences of these strains.
Genome segments of the RVA strains from Zambian E. helvum showed 97%-99%
nucleotide sequence identity with those of other RVA strains from E. helvum in
Cameroon, which is 2800 km from the sampling locations. These findings suggest
that migratory straw-colored fruit bat species, distributed across sub-Saharan
Africa, have the potential to disseminate RVA across long distances. By contrast,
the RVA strain from Zambian R. aegyptiacus carried highly divergent NSP2 and NSP4
genes, leading us to propose novel genotypes N21 and E27, respectively. Notably,
this RVA strain also shared the same genotype for VP6 and NSP3 with the RVA
strains from Zambian E. helvum, suggesting interspecies transmission and genetic
reassortment may have occurred between these two bat species in the past. Our
study has important implications for RVA dispersal in bat populations, and
expands our knowledge of the ecology, diversity and evolutionary relationships of
RVA.
PMID- 29792992
TI - Frailty status as a predictor of 3-month cognitive and functional recovery
following spinal surgery: a prospective pilot study.
AB - BACKGROUND CONTEXT: As increasing numbers of elderly Americans undergo spinal
surgery, it is important to identify which patients are at highest risk for poor
cognitive and functional recovery. Frailty is a geriatric syndrome that has been
closely linked to poor outcomes, and short-form screening may be a helpful tool
for preoperative identification of at-risk patients. PURPOSE: This study aimed to
conduct a pilot study on the usefulness of a short-form screening tool to
identify elderly patients at increased risk for prolonged cognitive and
functional recovery following elective spine surgery. STUDY DESIGN/SETTING: This
is a prospective, comparative cohort study. PATIENT SAMPLE: The sample comprised
100 patients over age 65 who underwent elective spinal surgery (cervical or
lumbar) at a single, large academic medical center from 2013 to 2014. OUTCOME
MEASURES: Fatigue, Resistance, Ambulation, Illnesses, Loss of Weight (FRAIL)
scale, Postoperative Quality of Recovery Scale (PQRS), and instrumental
activities of daily living (IADL) scores were the outcome measures. METHODS:
Included patients were assessed with the FRAIL scale and stratified as robust,
pre-frail, or frail. The PQRS and IADL scores were also obtained. Patients were
re-examined at 1 day, 3 days, 1 month, and 3 months after surgery for cognitive
recovery at 3 months, and secondarily, functional recovery at 3 months. RESULTS:
At 3 months, only 50% of frail patients had recovered to their cognitive baseline
compared with 60.7% of pre-frail and 69.2% of robust patients (trend). At 3
months, 66.7% of frail patients had recovered to their functional baseline
compared with 57% of pre-frail and 76.9% of robust patients (trend). Using
multivariate regression modeling, at 3 months, frail patients were less likely to
have recovered to their cognitive baseline compared with pre-frail and robust
patients (odds ratio 0.39, confidence interval 0.131-1.161). CONCLUSIONS: This
pilot study demonstrates a trend toward poorer cognitive recovery 3 months
following elective spinal surgery for frail patients. Frailty screening can help
preoperatively identify patients who may experience protracted cognitive and
functional recovery.
PMID- 29792993
TI - Responsiveness of the Japanese Orthopaedic Association Back Pain Evaluation
Questionnaire in lumbar surgery and its threshold for indicating clinically
important differences.
AB - BACKGROUND CONTEXT: Introduced in 2007, the Japanese Orthopaedic Association Back
Pain Evaluation Questionnaire (JOABPEQ) has been widely used, but its
psychometric properties have not been well studied. PURPOSE: The objective of
this study was to assess the responsiveness of the JOABPEQ in lumbar surgery and
its threshold for indicating clinically important differences. STUDY DESIGN: This
is a prospective study. PATIENT SAMPLE: Two hundred three consecutive patients
underwent lumbar surgeries between July 2013 and November 2015 in a single
hospital. Of the 203 patients, 181 patients who completed 1 year of follow-up
were included. OUTCOME MEASURES: Before and after surgery, the patients were
asked to complete the questionnaire, including JOABPEQ, the 8-Item Short Form
Health Survey (SF-8), and EuroQol-5D (EQ-5D). The participants were divided into
five anchoring groups, ranging from "much better" to "much worse," according to
reports from both physicians and patients. MATERIALS AND METHODS: The
responsiveness of measures was compared among five domains of the JOABPEQ ("low
back pain," "walking ability," "lumbar function," "social function," and "mental
health"), two domains of the SF-8 (the physical component summary [PCS] and the
mental component summary [MCS]), and the EQ-5D. The responsiveness was assessed
by the paired t test, the effect size, and the standardized response mean. The
Spearman rank correlation coefficient and the receiver operating characteristic
(ROC) curve were assessed using the five anchoring groups as external criteria.
The clinically important differences, based on the ROC curve, were assessed.
RESULTS: Walking ability was most responsive, followed by low back pain and the
PCS. The MCS was least responsive, followed by mental health and lumbar function.
Social function and the EQ-5D had intermediate-level responsiveness. The
substantial clinically important differences occurred at 20 points for low back
pain and lumbar function, 23 points for walking ability, 14 points for social
function, and 8 points for mental health. CONCLUSIONS: The JOABPEQ domains are
responsive measures in patients who undergo lumbar surgery. For physical
function, the threshold for substantial clinically important differences was
approximately 20 points for the JOABPEQ.
PMID- 29792994
TI - Lumbar spinal stenosis: comparison of surgical practice variation and clinical
outcome in three national spine registries.
AB - BACKGROUND CONTEXT: Decompression surgery for lumbar spinal stenosis (LSS) is the
most common spinal procedure in the elderly. To avoid persisting low back pain,
adding arthrodesis has been recommended, especially if there is a coexisting
degenerative spondylolisthesis. However, this strategy remains controversial,
resulting in practice-based variation. PURPOSE: The present study aimed to
evaluate in a pragmatic study if surgical selection criteria and variation in use
of arthrodesis in three Scandinavian countries can be linked to variation in
treatment effectiveness. STUDY DESIGN: This is an observational study based on a
combined cohort from the national spine registries of Norway, Sweden, and
Denmark. PATIENT SAMPLE: Patients aged 50 and older operated during 2011-2013 for
LSS were included. OUTCOME MEASURES: Patient-Reported Outcome Measures (PROMs):
Oswestry Disability Index (ODI) (primary outcome), Numeric Rating Scale (NRS) for
leg pain and back pain, and health-related quality of life (Euro-Qol-5D) were
reported. Analysis included case-mix adjustment. In addition, we report
differences in hospital stay. METHODS: Analyses of baseline data were done by
analysis of variance (ANOVA), chi-square, or logistic regression tests. The
comparisons of the mean changes of PROMs at 1-year follow-up between the
countries were done by ANOVA (crude) and analysis of covariance (case-mix
adjustment). RESULTS: Out of 14,223 included patients, 10,890 (77%) responded at
1-year follow-up. Apart from fewer smokers in Sweden and higher comorbidity rate
in Norway, baseline characteristics were similar. The rate of additional fusion
surgery (patients without or with spondylolisthesis) was 11% (4%, 47%) in Norway,
21% (9%, 56%) in Sweden, and 28% (15%, 88%) in Denmark. At 1-year follow-up, the
mean improvement for ODI (95% confidence interval) was 18 (17-18) in Norway, 17
(17-18) in Sweden, and 18 (17-19) in Denmark. Patients operated with arthrodesis
had prolonged hospital stay. CONCLUSIONS: Real-life data from three national
spine registers showed similar indications for decompression surgery but
significant differences in the use of concomitant arthrodesis in Scandinavia.
Additional arthrodesis was not associated with better treatment effectiveness.
PMID- 29792995
TI - Lumbar discectomy is associated with higher rates of lumbar fusion.
AB - BACKGROUND CONTEXT: Lumbar disc herniation affects more than 3 million people in
the United States every year, and the rate of operation continually increases,
particularly in patients 60 years or older (Taylor et al., 1994; Jordan et al.,
2011). Surgical discectomy is a common treatment for lumbar disc herniation
(Taylor et al., 1994; Atlas et al., 1996). One concern for this method is the
risk of undergoing additional surgeries (Jordan et al., 2011; Osterman et al.,
2003; Lebow et al., 2011). There are very limited population-level studies that
examine the rate of lumbar fusion after lumbar discectomy. Additionally, there is
no study that examines the risk of undergoing lumbar fusion in patients who have
undergone lumbar discectomies compared with the risk of lumbar fusion in the
general population with no previous lumbar discectomy. PURPOSE: The present study
aimed to calculate a more definitive rate of lumbar fusion after a lumbar
discectomy procedure using a population-size study of more than 200,000 patients
in the Truven Healthcare Analytics Marketscan Research Database who underwent
discectomies. Additionally, the study aimed to compare the rate of lumbar fusion
in patients who have undergone a lumbar discectomy to the rate of lumbar fusion
in patients with no prior lumbar discectomy procedure. STUDY DESIGN/SETTING: This
is a retrospective cohort study. PATIENT SAMPLE: The patients from both parts of
the present study were extracted from the Truven Healthcare Analytics Marketscan
Research Database. Ten-year fusion after discectomy rates: 223,291 patients who
underwent discectomies from the years 2003 to 2015. Fusion rate comparison:
489,975 patients with a previous lumbar ICD-9 (International Classification of
Diseases, Ninth Revision) diagnosis code who have also been enrolled in the
database for at least 10 years. OUTCOME MEASURES: Ten-year fusion after
discectomy rates: The proportion of patients who received a lumbar fusion up to
10 years after a lumbar discectomy. Fusion rate comparison: The proportion of
patients who received a lumbar fusion after a lumbar discectomy compared with the
proportion of patients who received a lumbar fusion with no previous lumbar
discectomy. METHODS: Ten-year fusion after discectomy rates: The patients who had
undergone discectomies were filtered in the Marketscan database via Current
Procedural Terminology (CPT) codes specific for lumbar discectomy (63030, 63035).
Patients who had a lumbar fusion before or concurrently with these indexed lumbar
discectomy dates were removed from the index group. The group was then followed
up every year up to 10 years after the initial indexed lumbar discectomy dates
for reoperation involving a lumbar spinal fusion according to the lumbar fusion
CPT codes (22533, 22558, 22612, 22630, 22632, 22633, 22634, 22534, 22585, 22614).
Fusion rate comparison: Study population only included patients who had a
previous lumbar ICD-9 diagnosis in the Marketscan database (7242, 72210, 72251,
72252, 72273, 72293, 7213, 72142, 72283, 72293, 7243, 72402, 72403, 7244, 7245,
7249). The patients were then separated into two arms: one with patients who had
undergone lumbar discectomy after initial lumbar diagnosis and another with
patients who had not undergone a lumbar discectomy procedure. Pearson chi-square
test was used to assess significance when comparing the proportion of patients
who receive lumbar fusion after lumbar discectomy with the proportion of patients
who receive lumbar fusion without a prior lumbar discectomy in the general ICD-9
lumbar diagnosis population. RESULTS: For the 10-year trend of lumbar fusion
rates after lumbar discectomy, the rate of fusion ranged from 1.69% (1-year time
frame after discectomy) to 8.50% (10-year time frame after discectomy). When
comparing the two cohorts in the second part of the present study, the fusion
rates were 12.50% for the discectomy group and 4.19% for the non-discectomy
group. The Pearson chi-square test reported a statistically significant
difference between the fusion rates of the two groups (p<.0001, alpha=.05). We
found that people who had a lumbar discectomy procedure were 2.97 (95% confidence
interval [2.86, 3.10]) times more likely to undergo a lumbar fusion than those
who with a lumbar diagnosis but had not undergone a lumbar discectomy in the
past. CONCLUSIONS: Our study is the largest population study that explores the
rate of lumbar fusion after an initial lumbar discectomy. To our knowledge, it is
the first study that concludes that an initial lumbar discectomy is statistically
associated with an increased likelihood of a patient undergoing a lumbar fusion
in the future. We observed that patients who had previously undergone a lumbar
discectomy were roughly three times more likely to undergo a lumbar fusion
procedure than a patient with a lumbar diagnosis, but had not undergone a lumbar
discectomy. Although not calculated, it stands to reason the difference would be
even greater when comparing the discectomy population with a population without
lumbar diagnoses. This finding can be an important supplement for the physician
patient discussion regarding expectations and potential for reoperation.
PMID- 29792996
TI - Cervical bracing practices after degenerative cervical surgery: a survey of
Cervical Spine Research Society members.
PMID- 29792997
TI - Risk factors for low back pain and sciatica: an umbrella review.
AB - BACKGROUND: Low back pain (LBP) is a highly prevalent condition and it is
associated with significant disability and work absenteeism worldwide. A variety
of environmental and individual characteristics have been reported to increase
the risk of LBP. To our knowledge, there has been no previous attempt to
summarize the evidence from existing systematic reviews of risk factors for LBP
or sciatica. PURPOSE: To provide an overview of risk factors for LBP, we
completed an umbrella review of the evidence from existing systematic reviews.
STUDY DESIGN: An umbrella review was carried out. METHODS: A systematic
literature search was conducted in MEDLINE, EMBASE, PubMed PsychINFO, and CINAHL
databases. To focus on the most recent evidence, we only included systematic
reviews published in the last 5 years (2011-2016) examining any risk factor for
LBP or sciatica. Only systematic reviews of cohort studies enrolling participants
without LBP and sciatica at baseline were included. The methodological quality of
the reviews was assessed independently by two review authors, using the
Assessment of Multiple Systematic Reviews tool. RESULTS: We included 15
systematic reviews containing 134 cohort studies. Four systematic reviews were of
high methodological quality and 11 were of moderate quality. Of the 54 risk
factors investigated, 38 risk factors were significantly associated with
increased risk of LBP or sciatica in at least one systematic review and the odds
ratios ranged from 1.26 to 13.00. Adverse risk factors included characteristics
of the individual (eg, older age), poor general health (eg, smoking), physical
stress on spine (eg, vibration), and psychological stress (eg, depression).
CONCLUSION: Poor general health, physical and psychological stress, and
characteristics of the person increase risk for a future episode of LBP or
sciatica.
PMID- 29792998
TI - BMI and gender increase risk of sacral fractures after multilevel instrumented
spinal fusion compared with bone mineral density and pelvic parameters.
AB - BACKGROUND CONTEXT: Sacral fractures are a rare but potentially devastating
complication. Long-fusion constructs, including the sacrum, that do not extend to
the pelvis may result in sacral fractures. Besides established risk factors
including gender, age, and number of levels fused, body mass index (BMI), pelvic
parameters, and bone mineral density (BMD) have also been proposed as potential
risk factors for postoperative sacral fractures. The literature supporting this,
however, is limited. PURPOSE: The aim of the present study was to assess whether
preoperative pelvic parameters, BMI, or BMD of patients with sacral fracture are
different compared with age, gender, and fusion level-matched non-fracture
controls. STUDY DESIGN/SETTING: This is a case-control study. PATIENT SAMPLE:
Patients undergoing posterior instrumented fusion at a single academic
institution between 2002 and 2016 were included in the study. OUTCOME MEASURES:
The outcome measure was occurrence of a postoperative sacral fracture. METHODS:
Patients with sacral fractures after posterior instrumented spinal fusion,
including the sacrum, were retrospectively identified and matched 2:1 with non
fracture controls based on gender, age, and number of levels fused. Patients with
concurrent spinopelvic fixation or missing preoperative computed tomography (CT)
imaging were excluded. Preoperative sagittal balance was assessed using lateral
radiographs. Quantitative computed tomography (QCT) assessment included standard
measurements at L1/L2 and additional experimental measurements of the S1 body and
sacral ala. RESULTS: Twenty-one patients with sacral fracture were matched to non
fracture controls. The majority of the patients with sacral fracture was female
(76.2%) and of advanced age (mean 66.4 years). Fracture and control groups were
well matched with respect to gender, age, and number of levels fused. Standard
measurements at L1/L2 showed no significant difference in BMD between the
fracture and the control groups (109.9 mg/cm3 vs. 116.4 mg/cm3, p=.414).
Similarly, there was no significant BMD differences between the groups using the
experimental measurements of the S1 body (183.6 mg/cm3 vs. 176.2 mg/cm3, p=.567)
and the sacral ala (8.9 mg/cm3 vs. 4.8 mg/cm3, p=.616). Mean preoperative pelvic
incidence-lumbar lordosis mismatch and pelvic tilt were not significantly
different between the groups. Univariate conditional logistic regression analysis
revealed that the odds of experiencing a sacral fracture was approximately six
times higher for obese patients compared with normal or underweight patients.
After controlling for BMI in multivariate conditional logistic regression models,
BMD was still not significantly associated with the odds of experiencing sacral
fractures. CONCLUSIONS: To our knowledge, this is the first study to assess the
association of preoperative BMD measured by QCT, pelvic parameters, and BMI with
postoperative sacral fractures in a large patient cohort. Interestingly, our data
do not show any difference in preoperative pelvic parameters and BMD between the
groups. This is in line with previous reports that indicate only a few patients
with sacral fracture after fusion surgery have clear evidence of osteoporosis.
Bone mineral density as a measure of bone quantity, rather than bone quality, may
not be as important in these fractures as previously thought. Obesity, however,
was associated with higher odds of experiencing postoperative sacral fractures.
The present study thereby challenges the widespread concept that obesity is a
protective factor against fractures in the elderly. In summary, our results
suggest that BMI and gender, more than pelvic parameters and BMD, are risk
factors for postoperative sacral fractures.
PMID- 29792999
TI - Does solid fusion eliminate rod fracture after pedicle subtraction osteotomy in
ankylosing spondylitis-related thoracolumbar kyphosis?
AB - BACKGROUND CONTEXT: Rod fracture (RF) has a negative impact on the surgical
outcome of patients with ankylosing spondylitis (AS) after lumbar pedicle
subtraction osteotomy (PSO). However, there is a paucity of published studies
analyzing the risk factors for RF in PSO-treated patients with AS with
thoracolumbar kyphosis. PURPOSE: The objective of this study was to investigate
the risk factors for RF after PSO for thoracolumbar kyphosis secondary to AS.
STUDY DESIGN/SETTING: This is a retrospective single-center study. PATIENT
SAMPLE: Patients with AS who underwent PSO for thoracolumbar kyphosis between
January 2002 and December 2016 were included. OUTCOME MEASURES: Demographic data,
including age, sex, body mass index, and smoking status, were summarized. The
surgical data analyzed included the levels of osteotomy, the fusion levels, the
upper instrumented vertebra, the lower instrumented vertebra, the osteotomy site,
the rod material, the rod diameter, and the rod contour angle (RCA). Radiographic
parameters included the sagittal vertical axis, thoracic kyphosis, lumbar
lordosis, sacral slope, pelvic tilt, and pelvic incidence. Radiographic
parameters were measured at baseline, immediately after the operation, and at the
final follow-up. Adequate ossification of the anterior longitudinal ligament
(ALL) at the PSO level was defined by a total bony bridge. Adequate ossification
of the ALL was also measured at baseline, immediately after the operation, and at
the final follow-up. METHODS: Patients with a minimum of 2 years' follow-up or
patients who developed RF were enrolled in the study. Recruited patients were
divided into the RF group and the no-RF group based on whether they developed RF.
Patient demographics, operative data, radiographic parameters, and adequate
ossification of the ALL were analyzed to determine the risk factors for RF. For
patients with RF, the fusion status at the PSO level, the time course to the
development of RF, the site of RF, and the corresponding solution were also
recorded. RESULTS: Rod fracture occurred in 11 (8.9%) of the 123 recruited
patients. Solid fusion at the PSO level was found in all patients in the RF
group. The average duration to the onset of RF was 31.4 months (range, 12-68
months). All RFs occurred at or immediately adjacent to the PSO level. The RCA
was greater in the RF group than in the no-RF group (27.8 degrees vs 22.9
degrees , p=.031). A greater proportion of patients with a rod diameter of 5.50
mm were found in the RF group than in the no-RF group (100.0% vs 68.8%, p=.033).
There was a larger proportion of patients with adequate ossification of the ALL
at the final follow-up visit in the no-RF group than in the RF group (67.0% vs
27.3%, p=.018). Multivariate analyses demonstrated that the RCA (odds ratio,
1.174; 95% confidence interval, 1.018-1.354; p=.028) and adequate ossification of
the ALL at the final follow-up visit (odds ratio, 0.079; 95% confidence interval,
0.014-0.465; p=.005) were independent factors for RF. Notably, revision surgery
was performed among six patients, whereas conservative treatment was used for the
remaining five patients. CONCLUSIONS: In patients with AS after PSO for
thoracolumbar kyphosis with solid fusion at the PSO level, the incidence of RF
was 8.9%. Rod diameter was identified as a risk factor for RF. Furthermore, the
RCA was identified as an independent risk factor for RF. In contrast, adequate
ossification of the ALL around the PSO level at the final follow-up visit was
identified as an independent protective factor for RF.
PMID- 29793000
TI - Effect of a bisphosphonate and selective estrogen receptor modulator on bone
remodeling in streptozotocin-induced diabetes and ovariectomized rat model.
AB - BACKGROUND CONTEXT: Diabetes and menopause can cause severe osteoporosis. In
general, menopause and diabetes can lead to an imbalance in bone turnover, which
results in secondary osteoporosis. However, the efficacy of antiresorptive drugs
against this form of osteoporosis has not been extensively evaluated. OBJECTIVE:
The aim of this study was to determine the changes in vertebral bone remodeling
when postmenopausal osteoporosis is accompanied by diabetes and to compare the
efficacy of bisphosphonates and selective estrogen-receptor modulators (SERMs)
against these outcomes. STUDY DESIGN: Streptozotocin-induced diabetic,
ovariectomized Sprague-Dawley rats were used as the disease model. Alendronate
and raloxifene were used as the bisphosphonate and SERM, respectively. METHODS:
We divided 62 female rats into five groups: (1) control (n=14), (2) DM (diabetes)
(n=12), (3) DM+OVX (diabetes+ovariectomy) (n=12), (4) DM+OVX+A
(diabetes+ovariectomy+alendronate) (n=12), and (5) DM+OVX+R
(diabetes+ovariectomy+raloxifene) (n=12). Serum biochemical markers of bone
turnover, including osteocalcin and the C-telopeptide of type I collagen (CTX-1),
were analyzed. We measured histomorphometric parameters of the fourth lumbar
vertebrae using microcomputed tomography. Mechanical strength was evaluated by a
compression test. RESULTS: In the DM and DM+OVX group, only the levels of
osteocalcin significantly decreased compared with those of the control group at 8
weeks after OVX. At 12 weeks, the serum CTX-1 levels in the DM+OVX+A and DM+OVX+R
groups were significantly lower than those of the DM+OVX group, but there were no
changes in the levels of osteocalcin. Bone mineral density and mechanical
strength were higher in the DM+OVX+A and DM+OVX+R groups than in the DM and
DM+OVX groups (p<.05). CONCLUSIONS: Even if postmenopausal osteoporosis is
accompanied by diabetes in this animal model, both alendronate and raloxifene
seem to show antiresorptive effects, decreased bone turnover rates, and improved
bone mechanical strength. Therefore, alendronate and raloxifene are effective in
the treatment of osteoporosis even for bone loss caused by DM and postmenopausal
osteoporosis.
PMID- 29793001
TI - Health literacy in chronic disease management: a matter of interaction.
AB - Health literacy plays a crucial role in chronic disease management. To
comprehensively manage chronic conditions on a daily basis, individuals must be
able to assess, understand, evaluate, and use health information. Several key
publications emphasize that health literacy is not merely a matter of individual
skills but that it is highly dependent on the accessibility of health-care
systems, the communication skills of health-care professionals, and the level of
complexity of the health information. However, the literature indicates that
health literacy is mainly framed and measured as an individual attribute in
research. Focusing health literacy research solely on the individual, rather than
also including the health-care context, limits our understanding of the type of
actions that should be undertaken to facilitate a person's access to and
understanding, evaluation and use of health information. This commentary
highlights the importance of interpreting the concept of health literacy as a
dynamic construct that emerges from the interaction between patients/citizens and
health-care systems, organizations, and professionals. This approach has the
potential to fill a gap in our understanding of the link between health literacy
and chronic disease management. Such an understanding would facilitate the
development of comprehensive health literacy measurement instruments and
interventions to enhance health literacy.
PMID- 29793003
TI - Off-label prescription: experience is a gloomy lantern that does not even
illuminate its bearer.
PMID- 29793002
TI - Rasch analysis suggested that items from the template for intervention
description and replication (TIDieR) checklist can be summed to create a score.
AB - OBJECTIVE: The aim of this study was to construct and evaluate a summary score of
reporting completeness based on the Template for Intervention Description and
Replication (TIDieR). STUDY DESIGN AND SETTING: We included 200 reports published
in 2013 randomly selected from the Physiotherapy Evidence Database. We summed the
scores for the 12 items for the intervention and control groups for each trial to
create a summary score from 0 to 24. Rasch analysis was used to investigate the
item hierarchy, category function and reliability of the TIDieR checklist and
determine the extent to which the summary score can be considered an interval
level measure. RESULTS: The data fit the Rasch model suggesting the summary score
is able to assess the completeness of reporting. The items appeared to target the
study sample well (average report measure was 0.48 [0.87] compared to the average
item measure of 0.0 [1.82]), and progressed in a logical manner, suggesting the
summary score can be used as a single variable. The low internal consistency
(0.62) suggests the summary score may only be able to discriminate between the
least and most detailed reports. CONCLUSIONS: Our results support the use of the
TIDieR summary score; however, we encourage the replication of our study in an
independent data set.
PMID- 29793004
TI - Statistician credit for collaboration requires extending the number of cited
authors in research publications.
PMID- 29793005
TI - The Delta likelihood ratio does not incorporate study power. Author response.
PMID- 29793006
TI - The delta likelihood ratio does not incorporate study power.
PMID- 29793007
TI - Minimum clinically important differences in chronic pain vary considerably by
baseline pain and methodological factors: systematic review of empirical studies.
AB - BACKGROUND: The minimum clinically important difference (MCID) is used to
interpret the relevance of treatment effects, e.g., when developing clinical
guidelines, evaluating trial results or planning sample sizes. There is currently
no agreement on an appropriate MCID in chronic pain and little is known about
which contextual factors cause variation. METHODS: This is a systematic review.
We searched PubMed, EMBASE, and Cochrane Library. Eligible studies determined
MCID for chronic pain based on a one-dimensional pain scale, a patient-reported
transition scale of perceived improvement, and either a mean change analysis
(mean difference in pain among minimally improved patients) or a threshold
analysis (pain reduction associated with best sensitivity and specificity for
identifying minimally improved patients). Main results were descriptively
summarized due to considerable heterogeneity, which were quantified using meta
analyses and explored using subgroup analyses and metaregression. RESULTS: We
included 66 studies (31.254 patients). Median absolute MCID was 23 mm on a 0-100
mm scale (interquartile range [IQR] 12-39) and median relative MCID was 34% (IQR
22-45) among studies using the mean change approach. In both cases, heterogeneity
was very high: absolute MCID I2 = 99% and relative MCID I2 = 96%. High variation
was also seen among studies using the threshold approach: median absolute MCID
was 20 mm (IQR 15-30) and relative MCID was 32% (IQR 15-41). Absolute MCID was
strongly associated with baseline pain, explaining approximately two-thirds of
the variation, and to a lesser degree with the operational definition of minimum
pain relief and clinical condition. A total of 15 clinical and methodological
factors were assessed as possible causes for variation in MCID. CONCLUSIONS: MCID
for chronic pain relief vary considerably. Baseline pain is strongly associated
with absolute, but not relative, measures. To a much lesser degree, MCID is also
influenced by the operational definition of relevant pain relief and possibly by
clinical condition. Explicit and conscientious reflections on the choice of an
MCID are required when classifying effect sizes as clinically important or
trivial.
PMID- 29793008
TI - Qualitative research is a fundamental scientific process.
AB - By framing the investigation of scientific inquiry around Plato's "arch of
knowledge", we argue that qualitative inquiry is essential to the scientific
process. We propose that because qualitative research applies a systematic and
self-critical approach to induction and deduction, it should be considered a
fundamental scientific enterprise.
PMID- 29793009
TI - Evidence on the measurement properties of health-related quality of life
instruments is largely missing in patients with low back pain: A systematic
review.
AB - OBJECTIVE: To synthesize the measurement properties of six health-related quality
of life instruments (Short Form 36 [SF-36], Short Form 12 [SF-12], EuroQol 5D-3L
[EQ-5D-3L], EuroQol 5D-5L [EQ-5D-5L], Nottingham Health Profile (NHP), and
Patient-Reported Outcome Measurement Information System Global Health [PROMIS-GH
10]) in patients with low back pain (LBP). STUDY DESIGN AND SETTING: Six
electronic databases (MEDLINE, EMBASE, CINAHL, PsycINFO, SportDiscus, and Google
Scholar) were searched (July 2017). Studies assessing any measurement property in
nonspecific LBP patients were included. Two reviewers independently screened the
articles and assessed the risk of bias (COSMIN checklist). Consensus-based
criteria were used to rate measurement properties results as sufficient,
insufficient, or inconsistent; a modified GRADE approach was adopted for evidence
synthesis. RESULTS: High quality evidence was found for insufficient construct
validity of SF-36 summary scores, and EQ-5D-3L utility and visual analogue scale
scores. Moderate evidence was found for sufficient construct validity of SF-12
physical summary score and inconsistent responsiveness of EQ-5D-3L utility score.
Very low quality evidence was found on each instrument's content validity; very
low to low evidence underpinned the other assessed measurement properties. EQ-5D
5L, NHP and PROMIS Global Health-10 were not evaluated in LBP patients.
CONCLUSION: Documentation of the measurement properties of health-related quality
of life instruments in LBP is incomplete. Future clinimetric studies should
prioritize content validity.
PMID- 29793010
TI - Restoration of Autologous Arteriovenous Fistula by Removal of the Occluded Short
Venous Part and Venovenous End-To-End Anastomosis in a Hemodialysis Patient.
AB - Standard salvage procedures for occuluded autologous arteriovenous fistula (AVF)
in a hemodialysis patient are endovascular and/or surgical therapy. When
endovascular therapy and thrombectomy prove unsuccessful, it is most likely that
creating a new AVF or arteriovenous graft will be considered. However, if the
occuluded venous part is short, we have adopted an operative technique for repair
of AVF by removal of the occluded short venous part and venovenous end-to-end
anastomosis. To our knowledge, the efficacy and clinical course of restoration of
AVF by the technique have not been reported to date. Here, we describe the
technique and report the successful treatment of a hemodialysis patient who
developed AVF occlusion.
PMID- 29793011
TI - Thoracic Endovascular Aortic Repair versus Best Medical Treatment for High-Risk
Type B Intramural Hematoma: A Systematic Review of Clinical Studies.
AB - BACKGROUND: To date, thoracic endovascular aortic repair (TEVAR) for type B
aortic dissection is favorable, but TEVAR for type B intramural hematoma (IMH)
remains uncertain. There are numerous clinical (e.g., refractory pain) and
radiologic (e.g., IMH thickness) factors that are reported to be associated with
IMH progression, challenging the treatment for high-risk type B IMH with high
risk factors in clinical practice. OBJECTIVE: The objective of the study was to
perform a systematic review of clinical studies to investigate outcomes of TEVAR
+ best medical treatment (BMT) and BMT in the treatment of high-risk type B IMH.
METHODS: The online databases of PubMed, MEDLINE, EMBASE, CNKI, Google Scholar,
and Cochrane as well as some journals majoring in endovascular surgery and
interventional therapy were searched on September 1, 2017. Observational studies
that reported the effect of TEVAR and BMT on type B IMH were included. Two
independent reviewers performed methodological assessment and data extraction.
Random and fixed effects models were used to calculate pooled effect size
estimates. A sensitivity analysis was also carried out. RESULTS: In all 6
included studies, the total number of patients with type B IMH was 237 and 123
patients received TEVAR + BMT. There was a significantly higher IMH regression
rate among patients undergoing TEVAR + BMT compared with BMT (odds ratios [OR]
10.0, 95% confidence interval [CI] 3.43-29.4). There were a significantly lower
IMH progress rate and aortic-related death rate among patients undergoing TEVAR +
BMT compared with BMT (OR 0.239, 95% CI 0.075-0.758; OR 0.248, 95% CI 0.085
0.725). When the study of Ye K et al. was excluded, the results showed no
statistically significant differences. CONCLUSIONS: Combined data from the
present study demonstrate that TEVAR + BMT results in significantly higher IMH
regression rate, lower IMH progression, and lower aortic-related death rate
compared with BMT in high-risk type B IMH patients.
PMID- 29793012
TI - Endovascular Repair of a Penetrating Aortic Ulcer with a Custom-made Relay Stent
Graft Featuring a Single Celiac Trunk Fenestration and a Superior Mesenteric
Artery Scallop.
AB - We report the case of a 70-year-old man with an asymptomatic, fast-growing,
paraceliac penetrating aortic ulcer (PAU). Guidelines recommend endovascular
repair if an asymptomatic PAU shows a mean growth rate >=5 mm per year: this
patient's maximum aortic diameter was 47 mm but had increased 10 mm in the
previous year. The very short sealing zones required a custom-made stent graft. A
custom-made relay stent graft comprises a single celiac trunk fenestration, a
superior mesenteric artery (SMA) scallop measured according to SMA ostium size,
an uncovered distal stent, and 6-mm tapering was used with technical and clinical
success.
PMID- 29793013
TI - May-Thurner Syndrome Presenting as Acute Unexplained Deep Venous Thrombosis.
AB - May-Thurner syndrome (MTS) is a rare cause of deep venous thrombosis and occurs
due to an anatomic anomaly which produces chronic compression of the left common
iliac vein by the overlying right common iliac artery when it passes between the
right common iliac artery and the spine. Prolonged compression on the vein
potentiates thrombus formation by impairing the intima and by leading to the
development of membranes within the lumen that may decrease and/or block venous
flow. In this case presentation, we elaborate on a case of a 43-year-old woman
who presented with worsening left leg swelling and pain. The patient was
diagnosed with MTS and underwent successful stent placement to relieve the
compressed vein.
PMID- 29793014
TI - Calf Muscle Oxygen Saturation during 6-Minute Walk Test and Its Relationship with
Walking Impairment in Symptomatic Peripheral Artery Disease.
AB - BACKGROUND: Impaired microcirculation is associated with poor walking capacity in
symptomatic peripheral artery disease (PAD) patients during treadmill test;
however, this test does not simulate the efforts of daily walking of these
patients. Thus, the aim of the study was to describe the microcirculation
responses during a 6-minute walk test (6MWT) and to analyze the relationship
between microcirculation indicators and walking impairment in symptomatic PAD
patients. METHODS: Thirty-four patients were included (mean age = 67.6 +/- 11.2
years). Their clinical characteristics were collected, and they performed a 6MWT,
in which the initial claudication distance (ICD) and total walking distance (TWD)
were recorded. During and after the 6MWT, calf muscle oxygen saturation (StO2)
parameters were monitored continuously to measure microcirculation behavior. The
association between calf muscle StO2 parameters and walking impairment were
analyzed by Pearson or Spearman correlations. RESULTS: Walking impairment was not
associated with any StO2 parameters during exercise. In contrast, after 6MWT,
recovery time of StO2 (r = -0.472, P = 0.008) and recovery time to maximal StO2
(r = -0.402, P = 0.019) were negatively correlated with ICD. Furthermore, the
distance walked under claudication symptoms (DeltaTWD-ICD) was positively
correlated with recovery time to maximal StO2 (r = 0.347, P = 0.048).
CONCLUSIONS: In symptomatic PAD patients, shorter ICD values during a 6MWT are
associated with a delayed recovery in calf muscle StO2 after exercise. Calf
muscle StO2 parameters decrease subtly during 6MWT, suggesting that the degree of
ischemia in the calf muscle during ground walking, simulating efforts of the
daily walking, is relatively low.
PMID- 29793015
TI - Right Atrial Anomalous Muscle Bundle Presenting with Acute Superior Vena Cava
Syndrome and Pulmonary Embolism: Surgical Management.
AB - BACKGROUND: An anomalous muscle bundle (AMB) crossing the right atrial cavity
represents a pathologic finding with unproved clinical significance. This
congenital anomaly may be difficult to recognize via echocardiography and could
be confused with other intracavitary lesions. METHODS: We report the case of a 53
year-old woman presented to the cardiovascular service with acute superior vena
cava (SVC) syndrome and submassive pulmonary embolism. RESULTS: The patient
underwent venography, confirming SVC stenosis. A ventilation/perfusion lung scan
showed 2 sizable perfusion defects because of pulmonary embolism. Magnetic
resonance imaging and echocardiography imaging demonstrated a right atrium (RA)
mass. Surgery was then carried out using standard cardiopulmonary bypass; the
right atrial muscle bundle was excised, and SVC reconstruction was performed. The
patient was discharged uneventfully and remains symptom-free at 2-year follow-up.
CONCLUSIONS: In cases of nonmalignant pathology of SVC syndrome, appropriate
studies should be conducted to exclude potential congenital abnormalities such as
this AMB in the RA. Open-heart surgery is a viable treatment option in select
cases.
PMID- 29793017
TI - Colonic Ischemia after Standard Endovascular Abdominal Aortic Aneurysm Repair, a
Rare but Dangerous Complication.
AB - Colonic ischemia (CI) after abdominal aortic aneurysm repair, although rare, is
associated with severe prognosis. Endovascular aneurysm repair (EVAR) is becoming
the standard of practice in most vascular centers, and it also may reduce CI
incidence in comparison with conventional open repair. We report 2 cases of fatal
CI after 636 standard EVAR procedures performed at our institution, from January
1998 to December 2017. Both patients were electively treated by highly skilled
operators. In one patient, presenting early CI, EVAR procedure was complicated by
intraoperative common iliac artery rupture. The other one, presenting CI in
seventh postoperative day, had a history of previous left hemicolectomy. In both
patients, CI with leakage of fecal material in the abdominal cavity was confirmed
by surgical exploration. Only few cases of CI after EVAR have been reported in
literature, and the etiology of this complication remains uncertain. While saving
the inferior mesenteric artery is almost impossible during standard EVAR, the
preservation of hypogastric arteries could play an important role, especially
after colonic surgery, but other factors should also be considered. Our
preliminary, although limited experience, seems to suggest that in CI developing,
intraoperative persistent hypotension and hypogastric branches distal
embolization have both a role that should be better addressed.
PMID- 29793016
TI - Multiple Idiopathic Aneurysms in a 6-Year-Old Boy.
AB - We report the rare case of a young boy affected by idiopathic multiple aneurysms
at different arterial locations who was treated at our institution with different
surgical and endovascular techniques.
PMID- 29793018
TI - Image Fusion and 3-Dimensional Roadmapping in Endovascular Surgery.
AB - Practitioners of endovascular surgery have historically used 2-dimensional (2D)
intraoperative fluoroscopic imaging, with intravascular contrast opacification,
to treat complex 3-dimensional (3D) pathology. Recently, major technical
developments in intraoperative imaging have made image fusion techniques
possible, the creation of a 3D patient-specific vascular roadmap based on
preoperative imaging which aligns with intraoperative fluoroscopy, with many
potential benefits. First, a 3D model is segmented from preoperative imaging,
typically a computed tomography scan. The model is then used to plan for the
procedure, with placement of specific markers and storing of C-arm angles that
will be used for intraoperative guidance. At the time of the procedure, an
intraoperative cone beam computed tomography is performed, and the 3D model is
registered to the patient's on-table anatomy. Finally, the system is used for
live guidance in which the 3D model is codisplayed with overlying fluoroscopic
images. There are many applications for image fusion in endovascular surgery. We
have found it to be particularly useful for endovascular aneurysm repair (EVAR),
complex EVAR, thoracic EVAR, carotid stenting, and for type 2 endoleaks. Image
fusion has been shown in various settings to lead to decreased radiation dose,
less iodinated contrast use, and shorter procedure times. In the future, fusion
models may be able to account for vessel deformation caused by the introduction
of stiff wires and devices, and the user-dependent steps may become more
automated. In its current form, image fusion has already proven itself to be an
essential component in the planning and success of complex endovascular
procedures.
PMID- 29793019
TI - Factors Predicting Noncompliance with Follow-up after Endovascular Aneurysm
Repair.
AB - BACKGROUND: Although the potential for complications after endovascular aneurysm
repair (EVAR) mandates lifetime follow-up, noncompliance with follow-up has been
shown to be as high as 57%. We sought to investigate the incidence of
noncompliance with follow-up in our patient population and to identify risk
factors associated with this to allow implementation of targeted strategies to
prevent loss to follow-up. METHODS: We carried out a review of consecutive
patients undergoing EVAR at 2 Sydney hospitals between 1995 and 2015. Patients
noncompliant with standard follow-up were compared with a control group of
compliant patients. Data collected included baseline clinical characteristics,
perioperative complications, and postoperative complications, as well as distance
from treating centers. RESULTS: During the study period, 1,482 patients underwent
EVAR, of which 338 patients (22.8%) were not compliant with follow-up. Patients
noncompliant with follow-up were significantly more likely to be younger, have
hypertension, and be current smokers. Patients who did not attend follow-up were
also significantly more likely to be from a non-English-speaking background (28.4
vs. 17.9%; P = 0.01) and live closer to the treating institution (109 +/- 151.5
vs. 150 +/- 208.34 km; P = 0.01). CONCLUSIONS: Follow-up after EVAR remains
suboptimal. The present study serves to demonstrate that several factors,
especially current smoking and a non-English-speaking background, are associated
with poor compliance with follow-up after EVAR in our patient population and
represent a potential area of intervention to improve compliance.
PMID- 29793020
TI - EphA2 stimulates VCAM-1 expression through calcium-dependent NFAT1 activity.
AB - Endothelial cell activation by proinflammatory stimuli drives leukocyte
recruitment through enhanced expression of counter-receptors such as vascular
cell adhesion molecule-1 (VCAM-1). We previously demonstrated that activation of
the receptor tyrosine kinase EphA2 with its ligand ephrin-A1 induces VCAM-1
expression. Here, we sought to characterize the proinflammatory signaling
pathways involved. Analysis of over-represented transcription factors in ephrin
A1-induced genes identified multiple potential transcriptional regulators,
including the Rel family members nuclear factor-kappaB (NF-kappaB/p65) and
nuclear factor of activated T-cells (NFAT). While ephrin-A1 failed to induce
endothelial NF-kappaB activation, NF-kappaB inhibitors prevented ephrin-A1
induced VCAM-1 expression, suggesting basal NF-kappaB activity is required. In
contrast, ephrin-A1 induced a robust EphA2-dependent increase in NFAT activation,
and mutation of the NF-kappaB/NFAT-binding sites in the VCAM-1 promoter blunted
ephrin-A1-induced promoter activity. NFAT activation classically occurs through
calcium-dependent calcineurin activation, and inhibiting NFAT signaling with
calcineurin inhibitors (cyclosporine A, FK506) or direct NFAT inhibitors (A
285222) was sufficient to block ephrin-A1-induced VCAM-1 expression. Consistent
with robust NFAT activation, ephrin-A1-induced an EphA2-dependent calcium influx
in endothelial cells that was required for ephrin-A1-induced NFAT activation and
VCAM-1 expression. This work provides the first data showing EphA2-dependent
calcium influx and NFAT activation and identifies NFAT as a novel EphA2-dependent
proinflammatory pathway in endothelial activation.
PMID- 29793021
TI - Phosphoinositide 3-kinase p110alpha negatively regulates thrombopoietin-mediated
platelet activation and thrombus formation.
AB - Phosphoinositide 3-kinase (PI3K) plays an important role in platelet function and
contributes to platelet hyperreactivity induced by elevated levels of circulating
peptide hormones, including thrombopoietin (TPO). Previous work established an
important role for the PI3K isoform; p110beta in platelet function, however the
role of p110alpha is still largely unexplored. Here we sought to investigate the
role of p110alpha in TPO-mediated hyperactivity by using a conditional p110alpha
knockout (KO) murine model in conjunction with platelet functional assays. We
found that TPO-mediated enhancement of collagen-related peptide (CRP-XL)-induced
platelet aggregation and adenosine triphosphate (ATP) secretion were
significantly increased in p110alpha KO platelets. Furthermore, TPO-mediated
enhancement of thrombus formation by p110alpha KO platelets was elevated over
wild-type (WT) platelets, suggesting that p110alpha negatively regulates TPO
mediated priming of platelet function. The enhancements were not due to increased
flow through the PI3K pathway as phosphatidylinositol 3,4,5-trisphosphate
(PI(3,4,5)P3) formation and phosphorylation of Akt and glycogen synthase kinase 3
(GSK3) were comparable between WT and p110alpha KO platelets. In contrast,
extracellular responsive kinase (ERK) phosphorylation and thromboxane (TxA2)
formation were significantly enhanced in p110alpha KO platelets, both of which
were blocked by the MEK inhibitor PD184352, whereas the p38 MAPK inhibitor VX-702
and p110alpha inhibitor PIK-75 had no effect. Acetylsalicylic acid (ASA) blocked
the enhancement of thrombus formation by TPO in both WT and p110alpha KO mice.
Together, these results demonstrate that p110alpha negatively regulates TPO
mediated enhancement of platelet function by restricting ERK phosphorylation and
TxA2 synthesis in a manner independent of its kinase activity.
PMID- 29793022
TI - Superhydrophobic graphene-based sponge as a novel sorbent for crude oil removal
under various environmental conditions.
AB - Mechanical recovery of oils using oil sorbents is one of the most important
approaches to manage marine oil spills. However, the properties of the oils
spilled into sea are influenced by external environmental conditions. In this
study, we present a graphene-based (GB) sponge as a novel sorbent for crude oil
removal and compare its performance with that of a commercial sorbent sheet under
various environmental parameters. The GB sponge with excellent superhydrophobic
and superoleophilic characteristics is demonstrated to be an efficient sorbent
for crude oils, with high sorption capacity (up to 85-95 times its weight) and
good reusability. The crude-oil-sorption capacity of our GB sponge is remarkably
higher (about 4-5 times) than that of the commercial sheet and most other
previously reported sponge sorbents. Moreover, several challenging environmental
conditions were examined for their effects on the sorption performance, including
the weathering time of oils, seawater temperature, and turbulence (wave effect).
The results show that the viscosity of the oil increased with increasing
weathering time or decreasing temperature; therefore, the sorption rate seemed to
decrease with longer weathering times and lower temperatures. Turbulence can
facilitate inner sorption and promote higher oil sorption. Our results indicate
that the extent of the effects of weather and other environmental factors on
crude oil should be considered in the assessment of the effective adsorption
capacity and efficiency of sorbents. The present work also highlights the
widespread potential applications of our GB sponge in marine spilled-oil cleanup
and hydrophobic solvent removal.
PMID- 29793023
TI - Kinetics and stereochemistry of LinB-catalyzed delta-HBCD transformation:
Comparison of in vitro and in silico results.
AB - LinB is a haloalkane dehalogenase found in Sphingobium indicum B90A, an aerobic
bacterium isolated from contaminated soils of hexachlorocyclohexane (HCH)
dumpsites. We showed that this enzyme also converts hexabromocyclododecanes
(HBCDs). Here we give new insights in the kinetics and stereochemistry of the
enzymatic transformation of delta-HBCD, which resulted in the formation of two
pentabromocyclododecanols (PBCDols) as first- (P1delta, P2delta) and two
tetrabromocyclododecadiols (TBCDdiols) as second-generation products (T1delta,
T2delta). Enzymatic transformations of delta-HBCD, alpha1-PBCDol, one of the
transformation products, and alpha2-PBCDol, its enantiomer, were studied and
modeled with Michaelis-Menten (MM) kinetics. Respective MM-parameters KM, vmax,
kcat/KM indicated that delta-HBCD is the best LinB substrate followed by alpha2-
and alpha1-PBCDol. The stereochemistry of these transformations was modeled in
silico, investigating respective enzyme-substrate (ES) and enzyme-product (EP)
complexes. One of the four predicted ES-complexes led to the PBCDol product
P1delta, identical to alpha2-PBCDol with the 1R,2R,5S,6R,9R,10S-configuration. An
SN2-like substitution of bromine at C6 of delta-HBCD by Asp-108 of LinB and
subsequent hydrolysis of the alkyl-enzyme led to alpha2-PBCDol. Modeling results
further indicate that backside attacks at C1, C9 and C10 are reasonable too,
selectively binding leaving bromide ions in a halide pocket found in LinB.
Docking with alpha2-PBCDol, also allowed productive enzyme binding. A TBCD-1,5
diol with the 1S,2S,5R,6R,9S,10R-configuration is the predicted second-generation
product T1delta. In conclusion, in vitro- and in silico findings now allow a
detailed description of step-wise enzymatic dehalohydroxylation reactions of
delta-HBCD to specific PBCDols and TBCDdiols at A-resolution and predictions of
their stereochemistry.
PMID- 29793024
TI - Sedimentary record of plutonium in the North Yellow Sea and the response to
catchment environmental changes of inflow rivers.
AB - Plutonium (Pu) isotopes were first determined in surface and core sediment
samples collected from the northern North Yellow Sea (NYS) to elucidate their
source terms and deposition process as well as the response to catchment
environmental changes of inflow rivers. 240Pu/239Pu atom ratios in all sediments
showed the typical global fallout value of ~0.18 without any influences from the
nuclear weapons tests conducted recently in the North Korea or early in the
Pacific Proving Ground. The large variation of 239+240Pu activities (0.022-0.515
mBq/g) observed in surface sediments should be mainly attributed to the re
suspension and transportation of fine sediments influenced by the Liaonan Costal
Current. Based on the two 239+249Pu depth profiles with easily observed onset
fallout levels (1952) and global fallout peaks (1963), 239+240Pu served as a
valid time mark in the coastal sedimentary system. Riverine input Pu contributed
only 15-27% to the total global fallout inventory (92.5-108.8 Bq/m2) in the
northern NYS, much lower than that in the Yangtze River estuary (77-80%),
indicating a better soil conservation in the northeast China due to higher forest
coverage compared to the Yangtze River's drainage basin. The increase of riverine
input Pu after 1980s reflected the more intense soil erosion degree caused by the
land use and cover change due to the increment of human activities in the
northeast China at the same period. Our results demonstrated that plutonium is a
good indicator for studying sedimentary process and its response to the
environment in the coastal area.
PMID- 29793026
TI - Redistribution of Cs 137 introduced into montmorillonite in association with
organic matter coming from biomass composting.
AB - The adsorption and later bioavailability of 137Cs from the system humic acid
(HA)/humic acid like compounds (HALC) and montmorillonite was investigated. The
setup of the experiments should approach as much as possible natural conditions
when 137Cs is introduced into soil with HALC from decomposed biomass. The
significant differences were found in the trials containing various HA/HALC and
also pure montmorillonite. The 137Cs was more available when it reached soil in
association with HALC originated from compost than when it was adsorbed on stable
humic acids. Moreover, the long term interaction of 137Cs with HALC led to
decrease of 137Cs adsorbed on montmorillonite and increase of its bioavailable
fraction. UV-Vis spectrometry and infrared spectroscopy showed the clear
difference between HA, fresh HALC and old HALC which could partially explain the
different results.
PMID- 29793025
TI - Simultaneous immobilization of borate, arsenate, and silicate from geothermal
water derived from mining activity by co-precipitation with hydroxyapatite.
AB - The treatment of the geothermal water discharged through mining activity is a
critical issue because the rate of discharge is 12,000 m3 per day and the
discharge contains high concentrations of borate (>20 mg/L) and arsenate (ca. 0.4
mg/L) as well as silicate and carbonate. The simultaneous reduction of borate and
arsenate concentrations to acceptable levels was successfully performed by co
precipitation with hydroxyapatite (HAp). Although the coexisting high
concentrations of carbonate act as a disturbing element, the co-precipitation
equilibrium of borate was shifted to lower values by adjusting the P/Ca molar
ratio, and the removal rate of borate was accelerated by using Al3+ additives,
resulting in the efficient reduction of borate within 1 h. The initially
immobilized boron in HAp is in the tetragonal form, which probably occupies the
hydroxyl sites in HAp, gradually transforming into the trigonal form in the solid
state, as interpreted by 1H NMR and 11B-NMR. The coexisting silicate was also
immobilized in an ellestadite form, as confirmed by 29Si-NMR measurements.
Arsenate and silicate were immobilized before borate in geothermal water. A
dissolution assay of borate in the solid residues after co-precipitation with HAp
verified the acceptable stability of borate, which is independent of the amount
of added Al3+.
PMID- 29793027
TI - Evaluation of the Fenton process effectiveness in the remediation of soils
contaminated by gasoline: Effect of soil physicochemical properties.
AB - The remediation of four different soils contaminated by gasoline was performed
using Fenton processes. Herein, the effect of the main physicochemical
characteristics of the soils in the Fenton performance is emphasized. Fenton
processes were applied in a column system, with and without addition of soluble
iron (II), using undisturbed soil samples collected in four regions of the Parana
State (Brazil). Two groups of contaminants were monitored during the remediation
process: BTEX (benzene, toluene, ethylbenzene and xylenes) and TRHs (total
recoverable hydrocarbons). Superior degradation efficiencies were observed in the
soils with elevated mineral iron content (Red Argisol, Red-Yellow Argisol and Red
Latosol), while the soils with low iron content (Spodosol) presented comparable
degradation efficiencies only in the presence of soluble Fe2+. Although the
presence of mineral iron enabled the Fenton processes, a good correlation between
the iron content and the degradation efficiency was not observed, suggesting a
dependence on the chemical nature of the native iron. BTEX leaching was observed
in all systems, suggesting that the process should be applied with caution,
especially in soils with high drainage.
PMID- 29793028
TI - Cytosolic distributions of highly toxic metals Cd and Tl and several essential
elements in the liver of brown trout (Salmo trutta L.) analyzed by size exclusion
chromatography and inductively coupled plasma mass spectrometry.
AB - Cytosolic distributions of nonessential metals Cd and Tl and seven essential
elements among compounds of different molecular masses were studied in the liver
of brown trout (Salmo trutta) from the karstic Krka River in Croatia. Analyses
were done by size exclusion high performance liquid chromatography and high
resolution inductively coupled plasma mass spectrometry. Common feature of Cd and
Tl, as highly toxic elements, was their distribution within only two narrow
peaks. The increase of cytosolic Cd concentrations was reflected in marked
increase of Cd elution within low molecular mass peak (maximum at ~15 kDa),
presumably containing metallothioneins (MTs), which indicated successful Cd
detoxification in brown trout liver under studied exposure conditions. Contrary,
the increase of cytosolic Tl concentrations was reflected in marked increase of
Tl elution within high molecular mass peak (maximum at 140 kDa), which probably
indicated incomplete Tl detoxification. Common feature of the majority of studied
essential elements was their distribution within more peaks, often broad and not
well resolved, which is consistent with their numerous physiological functions.
Among observed associations of essential metals/nonmetal to proteins, the
following could be singled out: Cu and Zn association to MTs, Fe association to
storage protein ferritin, and Se association to compounds of very low molecular
masses (<5 kDa). The obtained results present the first step towards
identification of metal-binding compounds in hepatic cytosol of brown trout, and
thus a significant contribution to better understanding of metal fate in the
liver of that important bioindicator species.
PMID- 29793029
TI - Oxidative degradation of atenolol by heat-activated persulfate: Kinetics,
degradation pathways and distribution of transformation intermediates.
AB - Atenolol (ATL) has been widely detected in wastewater and aquatic environment.
Although satisfactory removal of ATL from wastewater could be achieved, the
mineralization ratio is usually low, which may result in the accumulation of its
transformation products in the effluent and cause additional ecological risk to
the environment. The aim of this study is to explore the effectiveness of heat
activated persulfate (PS) in the removal of ATL from wastewater. Influencing
factors including temperature, PS dosage, solution pH, existence of NO3-, Cl-,
HCO3- and Suwannee river fulvic acid (SRFA) were examined. Complete removal of
ATL was achieved within 40 min at pH 7.0 and 70 degrees C by using 0.5 mM PS.
Inhibitive effects of HCO3- and FA had been observed on ATL oxidation, which was
increased with the increase of their concentration. Sulfate radical (SO4-) was
determined as the main reactive species by quenching experiment. Eight
intermediates produced in ATL degradation were identified, and four degradation
pathways were proposed based on the analysis of mass spectrum and frontier
electron densities. The distribution of major intermediates was influenced by
reaction temperature. Hydroxylation intermediates and deamidation intermediate
were the most prominent at 50 degrees C and 60 degrees C, respectively. All
intermediates were completely degraded in 40 min except P134 at 70 degrees C.
Effective removal of TOC (74.12%) was achieved with 0.5 mM PS, pH 7.0 and 70
degrees C after 240 min. The results proved that heat activation of PS is a
promising method to remove organic pollutants in wastewater.
PMID- 29793030
TI - Sorption of perfluoroalkyl substances (PFASs) to an organic soil horizon - Effect
of cation composition and pH.
AB - Accurate prediction of the sorption of perfluoroalkyl substances (PFASs) in soils
is essential for environmental risk assessment. We investigated the effect of
solution pH and calculated soil organic matter (SOM) net charge on the sorption
of 14 PFASs onto an organic soil as a function of pH and added concentrations of
Al3+, Ca2+ and Na+. Often, the organic C-normalized partitioning coefficients
(KOC) showed a negative relationship to both pH (Deltalog KOC/DeltapH = -0.32 +/-
0.11 log units) and the SOM bulk net negative charge (Deltalog KOC = -1.41 +/-
0.40 per log unit molc g-1). Moreover, perfluorosulfonic acids (PFSAs) sorbed
more strongly than perfluorocarboxylic acids (PFCAs) and the PFAS sorption
increased with increasing perfluorocarbon chain length with 0.60 and 0.83 log KOC
units per CF2 moiety for C3-C10 PFCAs and C4, C6, and C8 PFSAs, respectively. The
effects of cation treatment and SOM bulk net charge were evident for many PFASs
with low to moderate sorption (C5-C8 PFCAs and C6 PFSA). However for the most
strongly sorbing and most long-chained PFASs (C9-C11 and C13 PFCAs, C8 PFSA and
perfluorooctane sulfonamide (FOSA)), smaller effects of cations were seen, and
instead sorption was more strongly related to the pH value. This suggests that
the most long-chained PFASs, similar to other hydrophobic organic compounds, are
preferentially sorbed to the highly condensed domains of the humin fraction,
while shorter-chained PFASs are bound to a larger extent to humic and fulvic
acid, where cation effects are significant.
PMID- 29793031
TI - Cloning, overexpression, and purification of a gene of unknown function of
prophage loci from 'Candidatus Liberibacter asiaticus,' the destructive bacterial
pathogen of huanglongbing disease in citrus plants.
AB - Citrus Huanglongbing (HLB) or citrus greening is one of the most destructive
diseases affecting citrus industry worldwide. The causal agent in Asia is a
phloem-limited, Gram-negative bacterium, 'Candidatus Liberibacter asiaticus'
(CLas). Within the genome of CLas lies prophage regions, classified as Type-A, B,
C, and D. In particular, Type-D has been indicated to correlate with the blotchy
mottle symptoms of citrus trees. Here we reported the cloning, overexpression,
and purification of the ORF1, an open reading frame from the partial Type-D
region of CLas obtained from an infected lime tree (Citrus aurantifolia Swingle).
Overexpression of the ORF1 was toxic to the E. coli BL21(DE3), and the transient
expression of ORF1 in Arabidopsis seedlings by Agrobacterium-mediated
transformation exhibited rapid and total chlorosis of the seedlings within two
days post-transformation. The native-PAGE of the purified protein showed multiple
bands, indicative of various conformations in solution. The ESI-TOF mass spectrum
confirmed the molecular weight of the purified ORF1 to be 15,364.3150 Da,
corresponding to the [M+1]+ of the ORF1 without an N-terminal methionine. The
protein predominantly consisted of alpha-helix as evidenced by circular dichroism
(CD), and the transition toward random coil structure upon heating was
reversible. The template-based modeling (I-TASSER) of the ORF1 indicated eight
alpha-helices connected through variable loops. The simulated CD spectrum,
generated from the atomic coordinates of the I-TASSER model, was notably similar
to the experimental spectrum. Our report offers the basis for understanding the
contributions of genes within Type-D prophage region toward the disease
pathogenicity of citrus HLB.
PMID- 29793032
TI - Phosphorylated and non-phosphorylated HCK kinase domains produced by cell-free
protein expression.
AB - Since phosphorylation is involved in various physiological events, kinases and
interacting factors can be potential targets for drug discovery. For the
development and improvement of inhibitors from the point of view of mechanistic
enzymology, a cell-free protein synthesis system would be advantageous, since it
could prepare mutant proteins easily. However, especially in the case of protein
kinase, product solubility remains one of the major challenges. To overcome this
problem, we prepared a chaperone-supplemented extract from Escherichia coli BL21
cells harboring a plasmid encoding a set of chaperone genes, dnaK, dnaJ, and
grpE. We explored cell-disruption procedures and constructed an efficient protein
synthesis system. Employing this system, we produced the kinase domain of human
hematopoietic cell kinase (HCK) to obtain further structural information about
its molecular interaction with one of its inhibitors, previously developed by our
group (RK-20449). Lower reaction temperature improved the solubility, and
addition of a protein phosphatase (YpoH) facilitated the homogeneous production
of the non-phosphorylated kinase domain. Crystals of the purified product were
obtained and the kinase-inhibitor complex structure was solved at 1.7 A
resolution. In addition, results of kinase activity measurement, using a
synthetic substrate, showed that the kinase activity was facilitated by
autophosphorylation at Tyr416, as confirmed by the peptide mass mapping.
PMID- 29793033
TI - Expression of Anabaena sensory rhodopsin is influenced by different codons of
seven residues at the N-terminal region.
AB - Microbial rhodopsins are well-known seven-transmembrane proteins that have been
extensively studied for their structure and function. These retinal-binding
proteins can be divided into two types. Type I is microbial rhodopsin, and type
II (visual pigment) is expressed mostly in mammalian eyes. The two primary
functions of type I rhodopsin are ion pumping activity and sensory transduction.
Anabaena sensory rhodopsin (ASR) is a microbial rhodopsin with a specific
function of photosensory transduction. ASR is expressed at moderate levels in
Escherichia coli, but its expression level is lower compared to the general green
light absorbing proteorhodopsin (GPR). In this study, full-length ASR was used to
test the influence of codon usage on expression E. coli. Seven amino acids at the
N-terminal region of ASR after the Met start codon were changed randomly using
designed primers, which allowed for 8192 different nucleotide combinations. The
codon changes were screened for the preferable codons that resulted in higher
expression yield. Among the 57 selected mutations, 24 color-enhanced E. coli
colonies contained ASR proteins, and they expressed ASR at a higher level than
the bacteria with wild-type ASR codon usage. This result strongly suggests that
the specific codon usage of only the N-terminal portion of a protein can increase
the expression level of the entire protein.
PMID- 29793034
TI - A case-control study about foetal trauma during caesarean delivery.
AB - OBJECTIVE: The caesarean section rate is gradually increasing in most countries.
The frequency of occurrence of foetal injury per birth is estimated to 1%. The
majority of these injuries presents a low functional impact, but remains
responsible for a significant neonatal morbidity. Even though the foetal risk
factors are well documented in cases of vaginal birth, they have not been
accurately identified for caesarean section. The aim of this study is to identify
the risk factors for neonatal fracture during caesarean section. METHODS: We
conducted a retrospective case-control study comparing complicated caesarean
sections foetal fracture with uncomplicated caesarean sections in a tertiary
teaching hospital. We collected all the caesarean sections carried out between
1st January 2003 and 1st September 2015 and selected those the medical files of
which presented a foetal fracture diagnosis. RESULTS: We identified 10 fractures
during the study period, including four skull fractures, three long bone
fractures, three clavicle fractures. In all these cases there were no
complications with a median perspective of six years (median=6, IQR=4). The push
method, which is performed during a caesarean section at the second stage of
labour, is identified as a risk factor for foetal trauma in our study (OR: 20.2
[2.8-116.85], p<0.01). A significant correlation was found between transverse lie
and foetal trauma (OR: 16.67, CI [1.39; 123.18], p=0.0137). CONCLUSION: Foetal
trauma during caesarean delivery is a rare event for which the prognosis is most
often favourable. Data in the literature on the subject are minimal. This study
highlighted transverse lie and the push method as risks factors for foetal
fractures during caesarean sections. This research did not receive any specific
grant from funding agencies in the public, commercial, or not-for-profit sectors.
PMID- 29793036
TI - Breast cancer and ovarian tissue cryopreservation: Review of the literature.
AB - INTRODUCTION: Ovarian tissue cryopreservation is a modern technique of fertility
preservation, useful before using ovariotoxic therapies in the treatment of
breast cancer. The aim of our literature review was to study ovarian
cryopreservation experiences for women with breast cancer, to identify
guidelines, constraints and results in the oncological and obstetrical fields.
METHODS: We searched articles through the PubMed/Medline database, including all
French and English references from January 2000 to October 2017. The combination
of key words "breast cancer" and "ovarian tissue cryopreservation" allowed us to
select 50 articles. We kept 18 publications which matched our subject. RESULTS:
Sixteen cases of ovarian transplants among patients treated for breast cancer
were published with 14 pregnancies, 11 births and 3 failures. Two cases of breast
recurrences were published after ovarian grafting. However, the hindsight in this
technique is limited, with a first transplant published in 2004 and only a low
number of cases. PERSPECTIVES: A national census and comprehensive gathering of
data among the patients treated for breast cancer using ovarian tissue
cryopreservation would make it possible to better evaluate the occurrence of
pregnancies and the carcinological risk of this technique.
PMID- 29793035
TI - Assessment of fetal head engagement with transperineal ultrasound: Searching for
the cutoff level.
AB - PURPOSE: Engagement of the fetal head is a determinant element when deciding on
operative vaginal delivery. In routine practice, engagement is a clinical
diagnosis based on transvaginal digital examination. Transperineal ultrasound
might provide complementary information useful for measuring the fetal head
perineum distance (HPD). The purpose of this work was to determine the cutoff HPD
distinguishing engagement from non-engagement. MATERIALS AND METHODS: This single
center prospective study approved by the institutional review board was conducted
between December 25, 2012 and August 31, 2015 in 411 nulliparous women; 20 did
not provide informed consent and were excluded; analysis concerned 391 patients.
Clinical diagnosis - engagement or non-engagement depending on results of the
transvaginal digital examination (Farabeuf's and Demelin's signs) - was compared
with the ultrasound HPD measurement. RESULTS: The clinical diagnosis was non
engagement at complete dilatation in 96 patients (24.6%). The cutoff HPD
distinguishing between engagement and non-engagement was 57mm (AUC 83.5% [95%CI
79.3-87.8]), with 75.0% [65.5-82.6] sensitivity, 75.9% [70.7-80.5] specificity,
50.3% [42.2-58.4] positive predictive value, and 90.3% [86.0-93.4] negative
predictive value. CONCLUSIONS: In this series, the HPD cutoff distinguishing
between engagement and non-engagement was 57mm. Below this cutoff level, the head
should be considered engaged, beyond non-engaged. Nevertheless, the pertinence of
this cutoff level is hampered by the imprecision of the gold standard used for
the clinical diagnosis (transvaginal digital examination). In case of doubt, we
recommend, in addition to considering the obstetrical setting, to combine
transperineal ultrasound with transvaginal digital examination to avoid
deleterious failure of operative vaginal delivery.
PMID- 29793037
TI - Fetal supraventricular tachycardia and cystic fibrosis: Coincidence or
association? Two case reports.
AB - Prenatal diagnosis of cystic fibrosis (CF) is difficult and is mainly considered
upon identification of digestive sonographic signs. Although such an association
has never been described until now to our knowledge, we report two cases of fetal
arrhythmia associated with cystic fibrosis. This association may be explained by
the physiopathology of heart in the context of CF, but nevertheless needs to be
confirmed by other reports. The prenatal diagnosis of CF is important in order to
implement early appropriate care, with better prognosis. The finding of possibly
new associated prenatal signs may then improve the global management of the
disease.
PMID- 29793039
TI - Antimicrobial resistance in the context of the Syrian conflict: Drivers before
and after the onset of conflict and key recommendations.
AB - Current evidence describing antimicrobial resistance (AMR) in the context of the
Syrian conflict is of poor quality and sparse in nature. This paper explores and
reports the major drivers of AMR that were present in Syria pre-conflict and
those that have emerged since its onset in March 2011. Drivers that existed
before the conflict included a lack of enforcement of existing legislation to
regulate over-the-counter antibiotics and notification of communicable diseases.
This contributed to a number of drivers of AMR after the onset of conflict, and
these were also compounded by the exodus of trained staff, the increase in
overcrowding and unsanitary conditions, the increase in injuries, and economic
sanctions limiting the availability of required laboratory medical materials and
equipment. Addressing AMR in this context requires pragmatic, multifaceted action
at the local, regional, and international levels to detect and manage potentially
high rates of multidrug-resistant infections. Priorities are (1) the development
of a competent surveillance system for hospital-acquired infections, (2)
antimicrobial stewardship, and (3) the creation of cost-effective and
implementable infection control policies. However, it is only by addressing the
conflict and immediate cessation of the targeting of health facilities that the
rehabilitation of the health system, which is key to addressing AMR in this
context, can progress.
PMID- 29793038
TI - Therapeutic drug monitoring and safety of voriconazole therapy in patients with
Child-Pugh class B and C cirrhosis: A multicenter study.
AB - OBJECTIVES: The purpose of this study was to investigate the pharmacokinetic
profile and safety of voriconazole treatment in patients with Child-Pugh class B
and C cirrhosis. METHODS: Liver cirrhosis patients who had received the
recommended voriconazole maintenance dose (group A) or halved maintenance dose
(group B), orally or intravenously, were included. Voriconazole-related adverse
events (AEs) were defined according to the Common Terminology Criteria for
Adverse Events. RESULTS: A total of 110 trough plasma concentrations of
voriconazole (Cmin) were measured in 78 patients. There was a significant
difference in voriconazole Cmin between group A and group B (Cmin, 6.95+/
3.42mg/l vs. 4.02+/-2.00mg/l; p<0.001). No significant difference in voriconazole
Cmin between Child-Pugh class B and C cirrhosis patients was observed in either
of the two groups. The international normalized ratio and co-medication with a
CYP2C19 inhibitor had a significant effect on voriconazole Cmin in group B. The
incidence of AEs in group A was 26.5% and in group B was 15.9%, and 87.5% of AEs
developed within 7days after starting voriconazole treatment. CONCLUSIONS: These
results suggest that the recommended dose and halved maintenance dose may be
inappropriate in patients with Child-Pugh class B and C cirrhosis due to the high
Cmin, and that voriconazole Cmin should be monitored earlier to avoid AEs.
PMID- 29793040
TI - Quinones and nitroaromatic compounds as subversive substrates of Staphylococcus
aureus flavohemoglobin.
AB - In microorganisms, flavohemoglobins (FHbs) containing FAD and heme (Fe3+, metHb)
convert NO. into nitrate at the expense of NADH and O2. FHbs contribute to
bacterial resistance to nitrosative stress. Therefore, inhibition of FHbs
functions may decrease the pathogen virulence. We report here a kinetic study of
the reduction of quinones and nitroaromatic compounds by S. aureus FHb. We show
that this enzyme rapidly reduces quinones and nitroaromatic compounds in a mixed
single- and two-electron pathway. The reactivity of nitroaromatics increased upon
an increase in their single-electron reduction potential (E17), whereas the
reactivity of quinones poorly depended on their E17 with a strong preference for
a 2-hydroxy-1,4-naphthoquinone structure. The reaction followed a 'ping-pong'
mechanism. In general, the maximal reaction rates were found lower than the
maximal presteady-state rate of FAD reduction by NADH and/or of oxyhemoglobin
(HbFe2+O2) formation (~130 s-1, pH 7.0, 25 degrees C), indicating that the
enzyme turnover is limited by the oxidative half-reaction. The turnover studies
showed that quinones prefreqently accept electrons from reduced FAD, and not from
HbFe2+O2. These results suggest that quinones and nitroaromatics act as
'subversive substrates' for FHb, and may enhance the cytotoxicity of NO. by
formation of superoxide and by diverting the electron flux coming from reduced
FAD. Because quinone reduction rate was increased by FHb inhibitors such as
econazole, ketoconazole, and miconazole, their combined use may represent a novel
chemotherapeutical approach.
PMID- 29793042
TI - Aminergic neuromodulation of associative visual learning in harnessed honey bees.
AB - The honey bee Apis mellifera is a major insect model for studying visual
cognition. Free-flying honey bees learn to associate different visual cues with a
sucrose reward and may deploy sophisticated cognitive strategies to this end.
Yet, the neural bases of these capacities cannot be studied in flying insects.
Conversely, immobilized bees are accessible to neurobiological investigation but
training them to respond appetitively to visual stimuli paired with sucrose
reward is difficult. Here we succeeded in coupling visual conditioning in
harnessed bees with pharmacological analyses on the role of octopamine (OA),
dopamine (DA) and serotonin (5-HT) in visual learning. We also studied if and how
these biogenic amines modulate sucrose responsiveness and phototaxis behaviour as
intact reward and visual perception are essential prerequisites for appetitive
visual learning. Our results suggest that both octopaminergic and dopaminergic
signaling mediate either the appetitive sucrose signaling or the association
between color and sucrose reward in the bee brain. Enhancing and inhibiting
serotonergic signaling both compromised learning performances, probably via an
impairment of visual perception. We thus provide a first analysis of the role of
aminergic signaling in visual learning and retention in the honey bee and discuss
further research trends necessary to understand the neural bases of visual
cognition in this insect.
PMID- 29793043
TI - Type B Laparoscopic Radical Trachelectomy with Uterine Artery Preservation for
Stage IB1 Cervical Cancer.
AB - STUDY OBJECTIVE: Radical trachelectomy has emerged as a valuable fertility
preserving treatment option for young women with early-stage cervical cancer [1].
Laparoscopic radical trachelectomy performed by trained surgeons can be a
feasible and safe therapeutic option as a fertility-sparing surgical technique
[2,3]. To the best of our knowledge, this is the first time the total
laparoscopic approach of radical trachelectomy is being published. In this video,
rather than the description of the technique step by step, we show how to
conserve uterine arteries even if the importance of such conservation is
questionable. DESIGN: A case report. SETTING: A tertiary referral center in
Strasbourg, France. PATIENT: A 37-year-old patient with no medical history who
presented with stage IB1 invasive epidermoid cervical cancer. INTERVENTION: In
this video, we describe the fertility-sparing surgical procedure consisting of
type B total laparoscopic radical trachelectomy with uterine artery preservation.
The procedure consists of the following 10 steps: step 1, bilateral pelvic
lymphadenectomy and opening of the para vesical fossa; step 2, opening of the
pararectal fossa in between the ureter and the internal iliac artery on each
side; step 3, ureteric dissection up to the ureteric canal; step 4, opening of
the vesicouterine space and section of the vesicouterine ligament; step 5,
posterior dissection with division of the uterosacral ligament approximately 20mm
from the uterine insertion; step 6, section of the descending branch of the
uterine artery and skeletonization of the ascending branch up to the uterine
isthmus level; step 7, trachelectomy with a monopolar hook; step 8, laparoscopic
isthmovaginal stitches; step 9, laparoscopic cerclage; and step 10, peritoneal
closure. MEASUREMENTS AND MAIN RESULTS: The operative time was 420 minutes. The
intraoperative blood loss was <200mL. The operation was performed successfully
with no intraoperative complications. The resection margins were safe. The
patient was discharged on day 4. After 2 months, no late complications or
recurrence were detected, and the patient had normal menstruation. CONCLUSION:
Type B laparoscopic radical trachelectomy with uterine artery preservation
appears to be a safe option for women who intend to maintain their desire for a
future pregnancy.
PMID- 29793044
TI - Isolation of ferritin and its interaction with BmNPV in the silkworm, Bombyx
mori.
AB - Ferritin is a ubiquitous iron storage protein that plays an important role in
host defence against pathogen infections. In the present study, native ferritin
was isolated from the hemolymph of Bombyx mori using native-polyacrylamide gel
electrophoresis (native-PAGE) and sodium dodecyl sulfate-polyacrylamide gel
electrophoresis (SDS-PAGE). The results revealed that ferritin consisted of two
subunits, designated as BmFerHCH and BmFerLCH. Previously integrated previous
transcriptome and iTRAQ data showed that the two subunits were down-regulated in
resistant silkworm strain BC9 and there was no obvious change in the expression
levels of the subunits in susceptible silkworm strain P50 after BmNPV infection.
Virus overlay assays revealed that B. mori ferritin as the form of heteropolymer
had an interaction with B. mori nucleopolyhedrovirus (BmNPV), but it can't
interact with BmNPV after depolymerisation. What's more, reverse transcription
quantitative PCR (RT-qPCR) analysis suggested that BmFerHCH and BmFerLCH could be
induced by bacteria, virus and iron. This is the first study to extract B. mori
ferritin successfully and confirms their roles in the process of BmNPV infection.
All these results will lay a foundation for further research the function of B.
mori ferritin.
PMID- 29793045
TI - Ophthalmomyiasis externa due to Oestrus ovis in a traveller returning from
Greece.
PMID- 29793047
TI - Transcript profiling of salt tolerant tobacco mutants generated via mutation
breeding.
AB - The main aim of the study is to identify the genes differentially, predominantly
or specifically expressed in salt tolerant tobacco mutants, improved from Akhisar
97 and Izmir Ozbas varieties via mutation breeding, with respect to unstressed
control plants. Seven tobacco mutants which have different salt tolerance
capacities were evaluated by Gene Fishing analysis. Under stress conditions
differentially expressed 100 reproducible bands were identified (74 of up
regulated and 20 of down-regulated while 6 were unknown). 75 of differentially
expressed genes (DEGs) were successfully extracted from the gel and sequence
analyses were performed. Functional annotation of the DEGs was performed against
Blastn by interrogating their sequences. The 65 salt-regulated differentially
expressed genes showed similarity with known genes, while 6 of DEGs didn't show
any genetic similarities with known genes. DEGs were classified in eleven
functional categories involving the abiotic stress response, biotic stress
response, energy metabolism, cellular transport, catalitic activity, protein
modification, amino acid metabolism and transcription factors. All the mutants
were evaluated for their regulatory mechanisms against salt stress. The current
data reveal that these six DEGs should be identified by next generation
sequencing techniques and functional analysis should be design to understand the
role of these six differentially expressed genes of tobacco mutants in further
studies to improve new genetic resources.
PMID- 29793046
TI - Validation of the Revised Pretransplant Assessment of Mortality Score in Patients
with Acute Myelogenous Leukemia Undergoing Allogeneic Hematopoietic Stem Cell
Transplantation.
AB - Despite recent advances, allogeneic hematopoietic stem cell transplantation (allo
HSCT) continues to be accompanied by a high rate of morbidity and mortality.
Several scores have been developed to predict outcome after allo-HSCT. The
recently revised Pretransplant Assessment of Mortality (PAM) score is based on
patient age, donor type, disease risk, cytomegalovirus (CMV) serostatus of
patient and donor, and forced expiratory volume in 1 second (FEV1). The aim of
this study was to analyze the predictive power of the PAM score in an independent
large cohort of patients with acute myelogenous leukemia (AML). We selected adult
patients with AML who underwent a first allo-HSCT at the University Hospital of
Dresden, a tertiary care hospital with a large transplantation program. All adult
patients treated between January 1, 2003, and July 1, 2015, were included. The
PAM score was calculated as described previously. Overall survival (OS),
cumulative incidence of relapse (CIR), and nonrelapse mortality (NRM) after allo
HSCT were analyzed. Age, AML type, sex match, CMV match, donor type, European
Leukemia Net risk classification, type of conditioning, disease stage, and PAM
score as a continuous variable were selected a priori for multivariate Cox
regression analyses. A total of 544 patients met the inclusion criteria. The
median patient age was 57 years. With a median follow-up of 47 months (range, 1
to 161 months), the estimated OS for the whole cohort at 4 years was 43%, with a
CIR of 30% and an NRM of 31%. The probability of OS at 4 years was 65% for
patients with a PAM score of 0, 52% in those with a PAM score of 1, 33% in those
with a PAM score of 2, and 22% in those with a PAM score of 3 (P < .001, log-rank
test). Both the CIR and NRM increased with higher PAM scores (P = .005 and P <
.001, respectively, Gray test). In multivariate analysis, age (hazard ratio [HR],
1.02 per year; P = .004), disease stage (primary induction failure versus first
complete remission (CR1); HR, 1.5; P = .03), and the PAM score (HR 1.04; P = .03)
had a significant impact on OS. This is the first independent validation of the
revised PAM score allowing for simple and valid estimation of transplantation
outcomes. It can serve as an important tool in counseling patients with AML, as
well as in designing future trials.
PMID- 29793048
TI - DNA methylation differences at the glucocorticoid receptor gene in depression are
related to functional alterations in hypothalamic-pituitary-adrenal axis activity
and to early life emotional abuse.
AB - Depression is associated with alterations in hypothalamic-pituitary-adrenal (HPA)
axis activity. A proposed mechanism to explain these alterations are changes in
DNA methylation levels, secondary to early life adversity (ELA), at stress
related genes. Two gene regions that have been implicated in the literature, the
glucocorticoid receptor gene (NR3C1) exon 1F and the FKBP5 gene intron 7 were
examined in 67 individuals (33 depressed patients and 34 controls). We
investigated whether cortisol concentrations, evaluated in 25 depressed patients
and 20 controls, and measures of ELA were associated with the degree of
methylation at these candidate gene regions. Mean NR3C1 exon 1F DNA methylation
levels were significantly increased in the depressed cohort and the degree of
methylation was found to be positively associated with morning cortisol
concentrations. DNA methylation levels at specific CG sites within the NR3C1 exon
1F were related to childhood emotional abuse severity. DNA methylation at CG38
was related to both HPA axis and childhood emotional abuse measures in the
depressed group. No FKBP5 differences were revealed. Our findings suggest that
hypermethylation at the NR3C1 exon 1F may occur in depression. This locus
specific epigenetic change is associated with higher basal HPA axis activity,
possibly reflecting acquired glucocorticoid receptor resistance.
PMID- 29793041
TI - Selenoproteins in colon cancer.
AB - Selenocysteine-containing proteins (selenoproteins) have been implicated in the
regulation of various cell signaling pathways, many of which are linked to
colorectal malignancies. In this in-depth excurse into the selenoprotein
literature, we review possible roles for human selenoproteins in colorectal
cancer, focusing on the typical hallmarks of cancer cells and their tumor
enabling characteristics. Human genome studies of single nucleotide polymorphisms
in various genes coding for selenoproteins have revealed potential involvement of
glutathione peroxidases, thioredoxin reductases, and other proteins. Cell culture
studies with targeted down-regulation of selenoproteins and studies utilizing
knockout/transgenic animal models have helped elucidate the potential roles of
individual selenoproteins in this malignancy. Those selenoproteins, for which
strong links to development or progression of colorectal cancer have been
described, may be potential future targets for clinical interventions.
PMID- 29793050
TI - Small-scale managed marine areas over time: Developments and challenges in a
local Fijian reef fishery.
AB - This paper investigates the Locally Managed Marine Area (LMMA) approach through
looking at developments and challenges of community-based marine resource
management over time, with a particular focus on Fiji in the South Pacific
region. A diachronic perspective, based on two multi-method empirical studies, is
used to exemplify the social complexities of the implementation of this LMMA
approach in a specific island setting. This perspective connects local
stakeholders' establishment and management of a LMMA covering their entire
customary fishing rights area (iqoliqoli) with the national context articulated
around the Fiji Locally Managed Marine Area (FLMMA) network, as well as with
regional networking and international conservation dynamics. It especially
explores the impacts of a small-scale marine closure (so-called tabu area) on the
harvesting patterns in a portion of this LMMA, related aspects of formal and
informal enforcement, and villagers' views of the health of their reef fishery.
This case study reveals a lack of consensus on the current management of this
closure as a conditionally-opened no-take area, whose temporary openings
(re)produce social tensions, as well as a lack of consensus on the effects of
this closure on the reef fishery, which is subject to poaching. The paper
highlights that the articulation between conservation and extraction of marine
resources, as well as between short-term and longer-term objectives of the
community-based marine resource management in place, is a complex sociopolitical
process even at the most local level. The discussion also points out that local
observations and interpretations of coastal resource dynamics, and of the
interplay between fishery and community changes, might be instrumental in
addressing the limits of the area-based system of management inherent in the LMMA
approach. These insights into both the development process of the LMMA approach
and the challenges of its local implementation and maintenance efforts can be
useful to consider the adjustments necessary for Fiji's achievement of its
national coastal fisheries management strategy and its international ocean
governance commitments.
PMID- 29793049
TI - Psychometric validation of the Turkish nine-item Internet Gaming Disorder Scale
Short Form (IGDS9-SF).
AB - The main aims of the current study were to test the factor structure, reliability
and validity of the nine-item Internet Gaming Disorder Scale-Short Form (IGDS9
SF), a standardized measure to assess symptoms and prevalence of Internet Gaming
Disorder (IGD). In the present study participants were assessed with the IGDS9
SF, nine-item Internet Gaming Disorder Scale (IGDS) and the Young's Internet
Addiction Test-Short Form (YIAT-SF). Confirmatory factor analyzes demonstrated
that the factor structure (i.e., the dimensional structure) of the IGDS9-SF was
satisfactory. The scale was also reliable (i.e., internally consistent with a
Cronbach's alpha of 0.89) and showed adequate convergent and criterion-related
validity, as indicated by statistically significant positive correlations between
average time daily spent playing games during last year, IGDS and YIAT-SF scores.
By applying the Diagnostic and Statistical Manual of Mental Disorders (DSM-5)
threshold for diagnosing IGD (e.g., endorsing at least five criteria), it was
found that the prevalence of disordered gamers ranged from 0.96% (whole sample)
to 2.57% (e-sports players). These findings support the Turkish version of the
IGDS9-SF as a valid and reliable tool for determining the extent of IGD-related
problems among young adults and for the purposes of early IGD diagnosis in
clinical settings and similar research.
PMID- 29793051
TI - Promising antileishmanial activity of novel imidazole antifungal drug
luliconazole against Leishmania major: In vitro and in silico studies.
AB - OBJECTIVES: Pentavalent antimonials have been used for the treatment of
leishmaniasis for over 70 years, however they are limited by their toxicity.
Unfortunately, the efficacy of first-line drugs for the treatment of
leishmaniasis has decreased and resistance is noticeable. Luliconazole is a new
azole with unique effects on fungi that has not yet been tested on Leishmania
parasites. METHODS: In this study, the cytotoxicity and antileishmanial activity
of luliconazole were evaluated in vitro against promastigotes and intracellular
amastigotes of Leishmania major. The docking simulation with the target enzyme,
sterol 14alpha-demethylase (CYP51) was performed using AutoDock 4.2 program.
RESULTS: The IC50 (concentration of test compound required for 50% inhibition)
against promastigotes revealed that luliconazole (IC50=0.19MUM) has greater
potency than ketoconazole (KET), meglumine antimoniate (MA) and amphotericin B
(AmB) (IC50 values of 135, 538 and 2.52MUM, respectively). Against the amastigote
stage, luliconazole at a concentration of 0.07MUM decreased the mean infection
rate and the mean number of amastigotes per macrophage more effectively than MA
(P<0.004) and KET (P<0.043), but there was no difference compared with AmB
(P>0.05). A docking study of luliconazole with the cytochrome P450 enzyme sterol
14alpha-demethylase (CYP51) revealed that this azole drug can properly interact
with the target enzyme in Leishmania mainly via coordination with heme and
multiple hydrophobic interactions. CONCLUSION: These results show the potent
activity of luliconazole at extremely low concentrations against L. major. It may
therefore be considered as a new candidate for treatment of leishmaniasis in the
near future.
PMID- 29793052
TI - LHP1 Interacts with ATRX through Plant-Specific Domains at Specific Loci Targeted
by PRC2.
AB - Heterochromatin Protein 1 (HP1) is a major regulator of chromatin structure and
function. In animals, the network of proteins interacting with HP1 is mainly
associated with constitutive heterochromatin marked by H3K9me3. HP1 physically
interacts with the putative ortholog of the SNF2 chromatin remodeler ATRX, which
controls deposition of histone variant H3.3 in mammals. In this study, we show
that the Arabidopsis thaliana ortholog of ATRX participates in H3.3 deposition
and possesses specific conserved domains in plants. We found that plant Like HP1
(LHP1) protein interacts with ATRX through domains that evolved specifically in
land plant ancestors. Loss of ATRX function in Arabidopsis affects the expression
of a limited subset of genes controlled by PRC2 (POLYCOMB REPRESSIVE COMPLEX 2),
including the flowering time regulator FLC. The function of ATRX in regulation of
flowering time requires novel LHP1-interacting domain and ATPase activity of the
ATRX SNF2 helicase domain. Taken together, these results suggest that distinct
evolutionary pathways led to the interaction between ATRX and HP1 in mammals and
its counterpart LHP1 in plants, resulting in distinct modes of transcriptional
regulation.
PMID- 29793053
TI - Aggressive fluid and sodium restriction in decompensated heart failure with
preserved ejection fraction: Results from a randomized clinical trial.
AB - OBJECTIVES: Sodium and fluid restriction is commonly prescribed for heart failure
patients. However, its role in the treatment of heart failure with preserved
ejection fraction (HFpEF) remains unclear. The aim of this study was to compare
the effect of a diet with sodium and fluid restriction with an unrestricted diet
in patients admitted for decompensated HFpEF. METHODS: Patients were randomized
to a diet with sodium (0.8 g/d) and fluid (800 mL/d) restriction (intervention
group [IG]) or an unrestricted diet (control group [CG]) and followed for 7 d or
hospital discharge. The primary outcome was weight loss. Secondary outcomes
included clinical stability, perception of thirst, neurohormonal activation,
nutrient intake, readmission, and mortality rate after 30 d. RESULTS: Fifty-three
patients were included (30, IG; 23, CG). The mean ejection fraction was 62% +/-
8% for IG and 60% +/- 7% for CG (P = 0.44). Weight loss was similar in both
groups, being 1.6 +/- 2.2 kg in the IG and 1.8 +/- 2.1 kg in CG (P = 0.49) as
well as the reduction in the congestion score (IG = 3.4 +/- 3.5; CG = 3.8 +/-
3.4; P = 0.70). The daily perception of thirst was higher in the IG (P = 0.03).
Lower energy consumption was seen in the IG (P <0.001). No significant between
group differences at 30 d were found. CONCLUSIONS: Aggressive sodium and fluid
restriction does not provide symptomatic or prognosis benefits, but does produce
greater perception of thirst, may impair the patient's food intake, and does not
seem to have an important neurohormonal effect in patients admitted for
decompensated HFpEF.
PMID- 29793055
TI - Fatty acid activation in thermogenic adipose tissue.
AB - Channeling carbohydrates and fatty acids to thermogenic tissues, including brown
and beige adipocytes, have garnered interest as an approach for the management of
obesity-related metabolic disorders. Mitochondrial fatty acid oxidation (beta
oxidation) is crucial for the maintenance of thermogenesis. Upon cellular fatty
acid uptake or following lipolysis from triglycerides (TG), fatty acids are
esterified to coenzyme A (CoA) to form active acyl-CoA molecules. This enzymatic
reaction is essential for their utilization in beta-oxidation and thermogenesis.
The activation and deactivation of fatty acids are regulated by two sets of
enzymes called acyl-CoA synthetases (ACS) and acyl-CoA thioesterases (ACOT),
respectively. The expression levels of ACS and ACOT family members in thermogenic
tissues will determine the substrate availability for beta-oxidation, and
consequently the thermogenic capacity. Although the role of the majority of ACS
and ACOT family members in thermogenesis remains unclear, recent proceedings link
the enzymatic activities of ACS and ACOT family members to metabolic disorders
and thermogenesis. Elucidating the contributions of specific ACS and ACOT family
members to trafficking of fatty acids towards thermogenesis may reveal novel
targets for modulating thermogenic capacity and treating metabolic disorders.
PMID- 29793054
TI - Bacillus clausii inhibits bone loss by skewing Treg-Th17 cell equilibrium in
postmenopausal osteoporotic mice model.
AB - OBJECTIVES: Postmenopausal osteoporosis is one of most commonly occurring
skeletal diseases leading to bone loss and fragility. Probiotics have been
associated with various immunomodulatory properties and thus can be exploited to
enhance bone health. In the present study, we report, to our knowledge for the
first time, that oral administration of Bacillus clausii (BC) in postmenopausal
osteoporotic (OVX) mice model enhances bone health. METHODS: BC was selected as
probiotic of choice due to its established immunomodulatory properties. BC skews
the Treg-Th17 cell balance in vivo by inhibiting osteoclastogenic Th17 cells and
promoting antiosteoclastogenic Treg cell development in postmenopausal
osteoporotic mice. Mice were divided into three groups (sham, OVX, and OVX + BC),
and BC was administered orally in drinking water for 6 wk post-ovariectomy. At
the end of experiment, mice were sacrificed and bones were analyzed for various
parameters, along with lymphoid tissues for Treg-Th17 cells and serum cytokines.
RESULTS: We observed that BC administration enhanced bone health. This effect of
BC administration was found due to skewing of Treg-Th17 cell balance (enhanced
Treg and decreased Th17 cells) in vivo. BC administration reduced levels of
proinflammatory cytokines (interleukin [IL]-6, IL-17, IFN-gamma and tumor
necrosis factor-alpha) and increased levels of anti-inflammatory cytokine (IL
10). CONCLUSIONS: The present study strongly supports and establishes the
osteoprotective potential of BC leading to enhanced bone health in postmenopausal
osteoporotic mice model.
PMID- 29793056
TI - High myristic acid content in the cyanobacterium Cyanothece sp. PCC 8801 results
from substrate specificity of lysophosphatidic acid acyltransferase.
AB - Analysis of fatty acids from the cyanobacterium Cyanothece sp. PCC 8801 revealed
that this species contained high levels of myristic acid (14:0) and linoleic acid
in its glycerolipids, with minor contributions from palmitic acid (16:0), stearic
acid, and oleic acid. The level of 14:0 relative to total fatty acids reached
nearly 50%. This 14:0 fatty acid was esterified primarily to the sn-2 position of
the glycerol moiety of glycerolipids. This characteristic is unique because, in
most of the cyanobacterial strains, the sn-2 position is esterified exclusively
with C16 fatty acids, generally 16:0. Transformation of Synechocystis sp. PCC
6803 with the PCC8801_1274 gene for lysophosphatidic acid acyltransferase (1-acyl
sn-glycerol-3-phosphate acyltransferase) from Cyanothece sp. PCC 8801 increased
the level of 14:0 from 2% to 17% in total lipids and the increase in the 14:0
content was observed in all lipid classes. These findings suggest that the high
content of 14:0 in Cyanothece sp. PCC 8801 might be a result of the high
specificity of this acyltransferase toward the 14:0-acyl-carrier protein.
PMID- 29793057
TI - Ellagic acid protects from myelin-associated sphingolipid loss in experimental
autoimmune encephalomyelitis.
AB - Experimental autoimmune encephalomyelitis (EAE), the most common model for
multiple sclerosis, is characterized by inflammatory cell infiltration into the
central nervous system and demyelination. Previous studies have demonstrated that
administration of some polyphenols may reduce the neurological alterations of
EAE. In this work, we show that ellagic acid, a polyphenolic compound, is
beneficial in EAE, most likely through stimulation of ceramide biosynthesis
within the brain. EAE was induced in Lewis rats by injection of guinea-pig spinal
cord tissue along with Freund's complete adjuvant containing Mycobacterium
tuberculosis. Clinical signs first appeared at day 8 post-immunization and
reached a peak within 3 days, coincident with reduction of myelin basic protein
(MBP) in the cortex. Sphingolipids, the other major components of myelin, also
decreased at the acute phase of EAE, both in the cerebral cortex and in the
spinal cord. In rats receiving ellagic acid in the drinking water from 2 days
before immunization, the onset of the disease was delayed and clinical signs were
reduced. This amelioration of clinical signs was accompanied by sustained levels
of both MBP and sphingolipid in the cortex, without apparent changes in
infiltration of inflammatory CD3+ T-cells, microglial activation, or weight loss,
which together suggest a neuroprotective effect of ellagic acid. Finally, in
glioma and oligodendroglioma cells we demonstrate that urolithins, the ellagic
acid metabolites that circulate in plasma, stimulate the synthesis of ceramide.
Together these data suggest that ellagic acid consumption protects against
demyelination in rats with induced EAE, likely by a mechanism involving
sphingolipid synthesis.
PMID- 29793061
TI - Microstructural imaging of the human brain with a 'super-scanner': 10 key
advantages of ultra-strong gradients for diffusion MRI.
AB - The key component of a microstructural diffusion MRI 'super-scanner' is a
dedicated high-strength gradient system that enables stronger diffusion
weightings per unit time compared to conventional gradient designs. This can, in
turn, drastically shorten the time needed for diffusion encoding, increase the
signal-to-noise ratio, and facilitate measurements at shorter diffusion times.
This review, written from the perspective of the UK National Facility for In Vivo
MR Imaging of Human Tissue Microstructure, an initiative to establish a shared
300 mT/m-gradient facility amongst the microstructural imaging community,
describes ten advantages of ultra-strong gradients for microstructural imaging.
Specifically, we will discuss how the increase of the accessible measurement
space compared to a lower-gradient systems (in terms of Delta, b-value, and TE)
can accelerate developments in the areas of 1) axon diameter distribution
mapping; 2) microstructural parameter estimation; 3) mapping micro-vs macroscopic
anisotropy features with gradient waveforms beyond a single pair of pulsed
gradients; 4) multi-contrast experiments, e.g. diffusion-relaxometry; 5)
tractography and high-resolution imaging in vivo and 6) post mortem; 7) diffusion
weighted spectroscopy of metabolites other than water; 8) tumour
characterisation; 9) functional diffusion MRI; and 10) quality enhancement of
images acquired on lower-gradient systems. We finally discuss practical barriers
in the use of ultra-strong gradients, and provide an outlook on the next
generation of 'super-scanners'.
PMID- 29793059
TI - Longitudinal surveillance of drug resistance in Plasmodium falciparum isolates
from the China-Myanmar border reveals persistent circulation of multidrug
resistant parasites.
AB - Multidrug-resistant Plasmodium falciparum in the Greater Mekong Subregion of
Southeast Asia is a major threat to malaria elimination and requires close
surveillance. In this study, we collected 107 longitudinal clinical samples of P.
falciparum in 2007-2012 from the malaria hypoendemic region of the China-Myanmar
border and measured their in vitro susceptibilities to 10 antimalarial drugs.
Overall, parasites had significantly different IC50 values to all the drugs
tested as compared to the reference 3D7 strain. Parasites were also genotyped in
seven genes that were associated with drug resistance including pfcrt, pfmdr1,
pfmrp1, pfdhfr, pfdhps, pfnhe1, and PfK13 genes. Despite withdrawal of
chloroquine and antifolates from treating P. falciparum, parasites remained
highly resistant to these drugs and mutations in pfcrt, pfdhfr, and pfdhps genes
were highly prevalent and almost reached fixation in the study parasite
population. Except for pyronaridine, quinine and lumefantrine, all other tested
drugs exhibited significant temporal variations at least between some years, but
only chloroquine and piperaquine had a clear temporal trend of continuous
increase of IC50s. For the pfmrp1 gene, several mutations were associated with
altered sensitivity to a number of drugs tested including chloroquine,
piperaquine, lumefantrine and dihydroartemisinin. The association of PfK13
mutations with resistance to multiple drugs suggests potential evolution of PfK13
mutations amid multidrug resistance genetic background. Furthermore, network
analysis of drug resistance genes indicated that certain haplotypes associated
multidrug resistance persisted in these years, albeit there were year-to-year
fluctuations of the predominant haplotypes.
PMID- 29793060
TI - A computational framework for the detection of subcortical brain dysmaturation in
neonatal MRI using 3D Convolutional Neural Networks.
AB - Deep neural networks are increasingly being used in both supervised learning for
classification tasks and unsupervised learning to derive complex patterns from
the input data. However, the successful implementation of deep neural networks
using neuroimaging datasets requires adequate sample size for training and well
defined signal intensity based structural differentiation. There is a lack of
effective automated diagnostic tools for the reliable detection of brain
dysmaturation in the neonatal period, related to small sample size and complex
undifferentiated brain structures, despite both translational research and
clinical importance. Volumetric information alone is insufficient for diagnosis.
In this study, we developed a computational framework for the automated
classification of brain dysmaturation from neonatal MRI, by combining a specific
deep neural network implementation with neonatal structural brain segmentation as
a method for both clinical pattern recognition and data-driven inference into the
underlying structural morphology. We implemented three-dimensional convolution
neural networks (3D-CNNs) to specifically classify dysplastic cerebelli, a subset
of surface-based subcortical brain dysmaturation, in term infants born with
congenital heart disease. We obtained a 0.985 +/- 0. 0241-classification accuracy
of subtle cerebellar dysplasia in CHD using 10-fold cross-validation.
Furthermore, the hidden layer activations and class activation maps depicted
regional vulnerability of the superior surface of the cerebellum, (composed of
mostly the posterior lobe and the midline vermis), in regards to differentiating
the dysplastic process from normal tissue. The posterior lobe and the midline
vermis provide regional differentiation that is relevant to not only to the
clinical diagnosis of cerebellar dysplasia, but also genetic mechanisms and
neurodevelopmental outcome correlates. These findings not only contribute to the
detection and classification of a subset of neonatal brain dysmaturation, but
also provide insight to the pathogenesis of cerebellar dysplasia in CHD. In
addition, this is one of the first examples of the application of deep learning
to a neuroimaging dataset, in which the hidden layer activation revealed
diagnostically and biologically relevant features about the clinical
pathogenesis. The code developed for this project is open source, published under
the BSD License, and designed to be generalizable to applications both within and
beyond neonatal brain imaging.
PMID- 29793058
TI - The transcription factor SKN-1 and detoxification gene ugt-22 alter albendazole
efficacy in Caenorhabditis elegans.
AB - Parasitic nematodes infect over 1/4 th of the human population and are a major
burden on livestock and crop production. Benzimidazole class anthelmintics are
widely used to treat infections, but resistance is a widespread problem. Mutation
of genes encoding the benzimidazole target beta-tubulin is a well-established
mechanism of resistance, but recent evidence suggests that metabolism of the
drugs may also occur. Our objective was to investigate contributions of the
detoxification-response transcription factor SKN-1 to anthelmintic drug
resistance using C. elegans. We find that skn-1 mutations alter EC50 of the
common benzimidazole albendazole in motility assays by 1.5-1.7 fold. We also
identify ugt-22 as a detoxification gene associated with SKN-1 that influences
albendazole efficacy. Mutation and overexpression of ugt-22 alter albendazole
EC50 by 2.3-2.5-fold. The influence of a nematode UGT on albendazole efficacy is
consistent with recent studies demonstrating glucose conjugation of
benzimidazoles.
PMID- 29793062
TI - Functional MRI of brain physiology in aging and neurodegenerative diseases.
AB - Brain aging and associated neurodegeneration constitute a major societal
challenge as well as one for the neuroimaging community. A full understanding of
the physiological mechanisms underlying neurodegeneration still eludes medical
researchers, fuelling the development of in vivo neuroimaging markers. Hence it
is increasingly recognized that our understanding of neurodegenerative processes
likely will depend upon the available information provided by imaging techniques.
At the same time, the imaging techniques are often developed in response to the
desire to observe certain physiological processes. In this context, functional
MRI (fMRI), which has for decades provided information on neuronal activity, has
evolved into a large family of techniques well suited for in vivo observations of
brain physiology. Given the rapid technical advances in fMRI in recent years,
this review aims to summarize the physiological basis of fMRI observations in
healthy aging as well as in age-related neurodegeneration. This review focuses on
in-vivo human brain imaging studies in this review and on disease features that
can be imaged using fMRI methods. In addition to providing detailed literature
summaries, this review also discusses future directions in the study of brain
physiology using fMRI in the clinical setting.
PMID- 29793063
TI - Progressive right ventricular enlargement due to pulmonary regurgitation:
Clinical characteristics of a "low-risk" group.
AB - BACKGROUND: The optimal interval between serial cardiac magnetic resonance
imaging (CMRI) scans for monitoring right ventricular (RV) enlargement in the
setting of severe pulmonic valve regurgitation (PR) is unknown. The purposes of
this study were to (1) determine the annual change in RV volume on serial CMRI
scans and (2) identify the risk factors for rapid progression of RV enlargement.
METHODS: A retrospective study of adults with postintervention native valve PR
and >=2 CMRI scans at Mayo Clinic Rochester from 2000 to 2015 was conducted.
Rapid progression of RV enlargement was defined as first upper quartile of annual
increase in RV end-diastolic volume index (RVEDVi) for the cohort. RESULTS: Of
the 63 patients (age, 36 +/- 9 years) in the study, 43 (68%) had tetralogy of
Fallot, whereas 20 (32%) had valvular pulmonic stenosis. Right ventricular
outflow tract interventions that resulted in PR were balloon pulmonary
valvuloplasty (n = 4; 7%), transannular patch repair (n = 30; 58%), and
nontransannular patch repair (n = 18; 35%). Interval between baseline and second
CMRI was 2 (1-4) years. In comparison to baseline CMRI, RVEDVi increased from 130
(109-141) to 135 (126-155) mL/m2 and median annual change in RVEDVi was 3.1 (1.7
5.9) mL/m2. Univariate risk factors for rapid progression of RV enlargement
(annual increase in RVEDVi >6 mL/m2) were >=moderate tricuspid regurgitation and
RVEDVi >130 mL/m2. Among the 24 patients without these risk factors (low-risk
subgroup), RVEDVi increased by only 3 (0-7) mL/m2 over 7 (5-9) years.
CONCLUSIONS: Patients with PR without RVEDVi >130 mL/m2 and/or >=moderate
tricuspid regurgitation represent a low-risk subgroup that may be appropriate for
clinical and echo follow-up but may potentially require infrequent CMRI follow
up.
PMID- 29793064
TI - Industrial waste as a source of surface and groundwater pollution for more than
half a century in a sector of the Rio de la Plata coastal plain (Argentina).
AB - Environmental liabilities have become one of the most important problems of the
last years, especially those of contaminated sites located in urban areas which
have been abandoned by pollution intensive industries. Such sites may contain
hazardous materials that pose risks to human health and the environment.
Industrial waste from the ancient sulfuric acid industry is scattered in a local
area at the petrochemical pole in a sector of the Rio de la Plata coastal plain.
The aim of this work is to define the geochemical processes that determine the
alteration of waste in the old sulfuric acid industry (OSAI) area and to study
the migration of soluble pollutants to groundwater. A survey of soil and waste
deposit was carried out and samples were examined by X-ray diffraction, under a
scanning electron microscope and a polarizing microscope. Surface water and
groundwater samples, both to the unconfined and semi-confined aquifers, were
collected to determine electrical conductivity, pH and major elements. The
results show the presence of minerals composed of sulfur associated with jarosite
and iron oxides on superficial sediments. The detailed study of soil sediments
together with that of the water physicochemical characteristics make it possible
to understand the geochemical processes developed in soil that cause the high
concentrations of sulfates in groundwater. Furthermore, high SO4-2 concentration
registered in the semi-confined aquifer due to its infiltration from the
unconfined aquifer shows that the industrial pollution has an important impact at
local level. These results may contribute to the understanding of chemical
processes and pollutants distribution in highly industrialized coastal plain
areas.
PMID- 29793065
TI - Arsenic removal by As-hyperaccumulator Pteris vittata from two contaminated
soils: A 5-year study.
AB - The ability of As-hyperaccumulator Pteris vittata to remove As from two
contaminated soils (CCA from an As-treated wood facility and DVA from a cattle
dipping vat) over 5 years was investigated for the first time. The goal was to
evaluate P. vittata's ability to continuously remove As during 10 harvests and
identify how soil As was affected by P. vittata under P-sufficient (P-fertilizer)
and P-limiting (phosphate rock) conditions. Sequential extraction was used to
determine changes in metal distribution among different soil fractions. The high
frond biomass production occurred on the 9th (62.1-63.9 and 35.6-63.5 g plant-1)
and 10th harvest (58.6-60.7 and 51.9-57.1 g plant-1) for CCA and DVB soils,
though frond As concentration decreased. Soil arsenic removal averaged 7-10% per
harvest during the 1-6th harvests and was reduced to 0-3% during the 7-10th
harvests for DVA and CCA soils. Arsenic from all fractions, excluding the
residual fraction, was affected by plant uptake. The largest reduction occurred
in the amorphous fraction of CCA-soil at 64-66% (61.2-61.5 to 20.8-21.8 mg kg-1)
and in the crystalline fraction of DVA-soil at 50-86% (2.18-4.35 to 0.61-1.10 mg
kg-1). Soil As concentrations were reduced by 37-47% from 26.7 to 129 to 15.6
16.8 and 68.9-70.1 mg kg-1 for the DVA and CCA soils, respectively. Our data
indicated that P. vittata efficiently solubilized non-labile As under P-limiting
conditions without impacting its As depletion.
PMID- 29793066
TI - Rapid and effective removal of As(III) and As(V) using spore@Ti4+ microspheres.
AB - Removing arsenic from aquatic environments has become an urgent problem
worldwide. In this study, Ti4+- loaded bacterial spore were adopted as a novel
adsorbent (spore@Ti4+ microspheres) for the adsorption efficient removal of
arsenite (As(III)) and arsenate (As(V)). The developed adsorbents were
characterized using transmission electron microscopy (TEM), scanning electron
microscopy (SEM), Fourier transform infrared spectra (FTIR) and X-ray
photoelectron spectroscopy (XPS). Results indicated that the adsorption kinetics
was well described by a pseudo-second-order kinetic model, and the adsorption
process rapidly achieved equilibrium within 15 min at pH 7.0. The adsorption
mechanism was also investigated. The maximum adsorption capacities for As(III)
and As(V) were 97.26 mg g-1 and 137.01 mg g-1, respectively, based on the
isothermal studies. These properties suggest that spore@Ti4+ microspheres can be
potentially applied in water treatment.
PMID- 29793067
TI - Performance and methane fermentation characteristics of a pilot scale anaerobic
membrane bioreactor (AnMBR) for treating pharmaceutical wastewater containing m
cresol (MC) and iso-propyl alcohol (IPA).
AB - In this study, a pilot scale anaerobic membrane bioreactor (AnMBR) was operated
for 80 days to treat pharmaceutical wastewater containing m-cresol (MC) and iso
propyl alcohol (IPA). The aim of the study is to investigate the performance and
methane fermentation characteristics of AnMBR at different hydraulic retention
time (HRT) (48, 36, 24, 18 and 12 h). The average total removal efficiencies of
MC and IPA were 95%, 96% during the 80 days, which demonstrated that the AnMBR
system performed well in the MC and IPA removal. The major volatile fatty acid
(VFA) was found to be acetic acid, propionic acid, butyric acid, besides, the VFA
accumulated apparently when HRT decreased to 12 h. The decrease of HRT led to an
increase of relative abundance of methanosarcina from 13 to 33% and a decrease in
biogas yield from 0.19 to 0.05 L/gCODremoval. The biogas production was found to
increase dramatically at HRT of 36 h. The trend of methane content kept stable at
this stage with the average value of 78.5% which higher than other HRTs. The
investigation of methanogen community showed that methanosarcinaceae was always
dominant acetoclastic methanogens and methanomicrobiales was the dominant
hydrogen utilizers throughout the operational period. When the HRT dropped to 12
h, the growth of the methanosarcinaceae and methanomicrobiales was observed, the
amount of the methanosarcinaceae and methanomicrobiales sharply increased. After
the overall research, HRT of 36 h was chosen as the most suitable operating
condition due to the comprehensively preferable performance and more economical.
PMID- 29793068
TI - Prenatal phthalate exposure, birth outcomes and DNA methylation of Alu and LINE-1
repetitive elements: A pilot study in China.
AB - BACKGROUND: Epigenetic mechanisms, such as altered DNA methylation, may
participate in the relationship between prenatal phthalate exposure and adverse
birth outcomes. OBJECTIVE: To explore the mediation effect of DNA methylation in
the associations of phthalate exposure before delivery with birth outcomes in a
Chinese cohort. METHODS: Eight phthalate metabolites in maternal urine before
delivery and DNA methylation of Alu and long interspersed nucleotide elements
(LINE-1) in cord blood were determined among 106 mother-infant pairs. General
additive models were used to assess the associations of maternal urinary
phthalate metabolites with birth outcomes and DNA methylation; the mediating role
of DNA methylation in cord blood was evaluated by mediation analysis. RESULTS: We
found sex-specific associations between prenatal phthalate exposure and birth
outcomes and DNA methylation of cord blood. For example, the molar sum of di-2
(ethylhexyl) phthalate (?DEHPm) metabolites in maternal urine was positively
associated with gestational age among male newborns only (P < 0.05); maternal
urinary monobenzyl phthalate (MBzP) was negatively associated with Alu
methylation among female newborns only (P < 0.05). Mediation analysis did not
find that methylation of Alu and LINE-1 to be a direct mediator in the
relationships between maternal urinary phthalate metabolites before delivery and
birth outcomes. CONCLUSION: Prenatal exposure to certain phthalates was
associated with altered birth outcomes and decreased repetitive element
methylation of newborns. However, the altered birth outcomes exerted by prenatal
phthalate exposure does not seem to be directly mediated through repetitive
element methylation in cord blood.
PMID- 29793070
TI - Intradialytic blood pressure pattern recognition based on density peak
clustering.
AB - End-stage renal disease (ESRD) is the final stage of chronic kidney disease (CKD)
and requires hemodialysis (HD) for survival. Intradialytic blood pressure (IBP)
measurements are necessary to ensure patient safety during HD treatments and have
critical clinical and prognostic significance. Studies on IBP measurements,
especially IBP patterns, are limited. All related studies have been based on a
priori knowledge and artificially classified IBP patterns. Therefore, the results
were influenced by subjective concepts. In this study, we proposed a new approach
to identify IBP patterns to classify ESRD patients. We used the dynamic time
warping (DTW) algorithm to measure the similarity between two series of IBP data.
Five blood pressure (BP) patterns were identified by applying the density peak
clustering algorithm (DPCA) to the IBP data. To illustrate the association
between BP patterns and prognosis, we constructed three random survival forest
(RSF) models with different covariates. Model accuracy was improved 3.7-6.3% by
the inclusion of BP patterns. The results suggest that BP patterns have critical
clinical and prognostic significance regarding the risk of cerebrovascular
events. We can also apply this clustering approach to other time series data from
electronic health records (EHRs). This work is generalizable to analyses of dense
EHR data.
PMID- 29793069
TI - A 2D tank test on remediation of nitrobenzene-contaminated aquifer using in-situ
reactive zone with emulsified nanoscale zero-valent iron.
AB - Nitrobenzene (NB) is one of the most challenging pollutants for groundwater
remediation due to its great harm and recalcitrance. Emulsified nanoscale zero
valent iron (EZVI) is considered as a promising agent for in-situ remediation of
contaminated groundwater for its high reactivity, good durability and low cost.
In this paper, 2D tank experiment was conducted to evaluate the effectiveness of
enhanced remediation of NB-contaminated groundwater with EZVI. 9 L of EZVI
solution was injected into aquifer to establish in-situ reactive zone (IRZ)
before 40 d of NB contamination. Results indicate that injection of EZVI leads to
90% reduction of total NB, which is mainly converted to aniline (AN). NB
concentration decreases along the flow path in the tank. Fe2+ is generated from
Fe0 oxidation. Significant acetate and bicarbonate are released due to emulsified
oil decomposition during the whole operation time. Groundwater pH maintains in
neutral value (6.6-8.2) owing to the balance between organic acids and OH-
released after iron oxidation. Drastic decrease of ORP and DO indicates the
transformation from oxidizing to reducing condition, leading to the reduction of
oxidative species (e.g. sulfate, nitrate) in subsurface. Calculation of reducing
equivalents suggests that microbial breakdown of emulsified oil provides more
electrons than Fe0 oxidation does to the system. Both biotic and abiotic
processes are involved in the enhanced degradation of NB.
PMID- 29793072
TI - Leveraging semantic labels for multi-level abstraction in medical process mining
and trace comparison.
AB - Many medical information systems record data about the executed process instances
in the form of an event log. In this paper, we present a framework, able to
convert actions in the event log into higher level concepts, at different levels
of abstraction, on the basis of domain knowledge. Abstracted traces are then
provided as an input to trace comparison and semantic process discovery. Our
abstraction mechanism is able to manage non trivial situations, such as
interleaved actions or delays between two actions that abstract to the same
concept. Trace comparison resorts to a similarity metric able to take into
account abstraction phase penalties, and to deal with quantitative and
qualitative temporal constraints in abstracted traces. As for process discovery,
we rely on classical algorithms embedded in the framework ProM, made semantic by
the capability of abstracting the actions on the basis of their conceptual
meaning. The approach has been tested in stroke care, where we adopted
abstraction and trace comparison to cluster event logs of different stroke units,
to highlight (in)correct behavior, abstracting from details. We also provide
process discovery results, showing how the abstraction mechanism allows to obtain
stroke process models more easily interpretable by neurologists.
PMID- 29793071
TI - Extracting similar terms from multiple EMR-based semantic embeddings to support
chart reviews.
AB - OBJECTIVE: Word embeddings project semantically similar terms into nearby points
in a vector space. When trained on clinical text, these embeddings can be
leveraged to improve keyword search and text highlighting. In this paper, we
present methods to refine the selection process of similar terms from multiple
EMR-based word embeddings, and evaluate their performance quantitatively and
qualitatively across multiple chart review tasks. MATERIALS AND METHODS: Word
embeddings were trained on each clinical note type in an EMR. These embeddings
were then combined, weighted, and truncated to select a refined set of similar
terms to be used in keyword search and text highlighting. To evaluate their
quality, we measured the similar terms' information retrieval (IR) performance
using precision-at-K (P@5, P@10). Additionally a user study evaluated users'
search term preferences, while a timing study measured the time to answer a
question from a clinical chart. RESULTS: The refined terms outperformed the
baseline method's information retrieval performance (e.g., increasing the average
P@5 from 0.48 to 0.60). Additionally, the refined terms were preferred by most
users, and reduced the average time to answer a question. CONCLUSIONS: Clinical
information can be more quickly retrieved and synthesized when using semantically
similar term from multiple embeddings.
PMID- 29793073
TI - Bubble-generating polymersomes loaded with both indocyanine green and doxorubicin
for effective chemotherapy combined with photothermal therapy.
AB - : The combination of chemotherapy and photothermaltherapy (PTT) via stimuli
responsive nanovesicles has great potential in tumor treatment. In the present
study, bubble-generating polymersomes, which can generate bubbles in response to
low pH or hyperthermia, were fabricated to simultaneously encapsulate
chemotherapeutic drug and photosensitizing agent for the synergistic chemo
photothermal tumor therapy. Photosensitizer indocyanine green (ICG) was
encapsulated into the bilayer of polymersomes formed by amphiphilic triblock
copolymer PCL8000-PEG8000-PCL8000 through thin film re-hydration method, while
chemotherapeutic doxorubicin (DOX) was loaded into the hydrophilic lumen using a
transmembrane ammonium bicarbonate gradient loading procedure. Under acidic
condition or laser irradiation, the ammonium bicarbonate (NH4HCO3) encapsulated
in the bubble-generating DOX-ICG-co-delivery polymersomes (BG-DIPS) would
decompose to produce CO2 bubbles, resulting in destruction of vesicle structure
and rapid drug release. In vitro drug release study confirmed that acidic
environment and NIR laser irradiation could accelerate DOX release from the BG
DIPS. Cellular uptake study indicated that laser-induced hyperthermia highly
enhanced endocytosis of BG-DIPS into 4T1-Luc cancer cells. In vitro cytotoxicity
study demonstrated that BG-DIPS exhibited much higher cytotoxicity than free
drugs under laser irradiation. In vivo biodistribution study indicated that BG
DIPS could accumulate in the tumor region, prolong drug retention, and increase
photothermal conversion efficiency. Furthermore, in vivo antitumor study showed
that BG-DIPS with laser irradiation efficiently inhibited 4T1-Luc tumor growth
with reduced systemic toxicity. Hence, the formulated bubble-generating
polymersomes system was a superior multifunctional nanocarrier for stimuli
response controlled drug delivery and combination chemo-photothermal tumor
therapy. STATEMENT OF SIGNIFICANCE: The combination of chemotherapy and
photothermaltherapy via stimuli-responsive nanovesicles has great potential in
tumor treatment. Herein, bubble-generating polymersomes, which can generate
bubbles in response to low pH or hyperthermia, were fabricated to simultaneously
encapsulate chemotherapeutic drug (DOX) and photosensitizing agent (ICG) for the
synergistic chemo-photothermal tumor therapy. The results in vitro and in vivo
demonstrated that bubble-generating DOX-ICG-co-delivery polymersomes (BG-DIPS)
would accelerate DOX release from the BG-DIPS and accumulate in the tumor region,
prolong drug retention, and increase photothermal conversion efficiency. BG-DIPS
with laser irradiation could efficiently inhibited 4T1-Luc tumor growth with
reduced systemic toxicity. Hence, the formulated bubble-generating polymersomes
system was a superior multifunctional nanocarrier for stimuli-response controlled
drug delivery and combination chemo-photothermal tumor therapy.
PMID- 29793074
TI - Cross-linked electrospun cartilage acellular matrix/poly(caprolactone-co-lactide
co-glycolide) nanofiber as an antiadhesive barrier.
AB - : In this work, we chose cartilage acellular matrix (CAM) as a promising
antiadhesive material because CAM effectively inhibits the formation of blood
vessels, and we used electrospinning to prepare antiadhesive barriers.
Additionally, we synthesized N-hydroxysuccinimide (NHS)-poly(caprolactone-co
lactide-co-glycolide)-NHS (MP) copolymers (to tune degradation) as a cross
linking agent for CAM. This is the first report on the development of electrospun
cross-linked (Cx) CAM/MP (CA/P) nanofiber (NF) (Cx-CA/P-NF) with a tunable
degradation period as an antiadhesive barrier. Compared with the CA/P-NF before
cross-linking, the electrospun Cx-CA/P-NF after cross-linking showed different
biodegradation. Cx-CA/P-NF significantly inhibited the in vitro attachment and
proliferation of human umbilical vein endothelial cells (HUVECs), as confirmed by
an MTT assay and scanning electron microscopy images. Cx-CA/P-NFs implanted
between a surgically damaged peritoneal wall and cecum gradually degraded in 7
days; this process was monitored by NIR imaging. The in vivo evaluation of the
anti-tissue adhesive effect of Cx-CA/P-NFs revealed little adhesion, few blood
vessels, and negligible inflammation at 7 days determined by hematoxylin and
eosin staining. ED1 staining of Cx-CA/P-NFs showed infiltration of few
macrophages because of the inflammatory response to the Cx-CA/P-NF as compared
with an untreated injury model. Additionally, Cx-CA/P-NFs significantly
suppressed the formation of blood vessels between the peritoneal wall and cecum,
according to CD31 staining. Overall, Cx-CA/P-NFs yielded little adhesion,
infiltration by macrophages, or formation of blood vessels in a postoperative
antiadhesion assay. Thus, it is reasonable to conclude that the Cx-CA/P-NF
designed herein successfully works as an antiadhesive barrier with a tunable
degradation period. STATEMENT OF SIGNIFICANCE: The cartilage acellular matrix
(CAM) can inhibit the formation of fibrous tissue bridges and blood vessels
between the tissue at an injured site and the surrounding healthy tissues.
However, CAM has not been rigorously investigated as an antiadhesive barrier. In
this manuscript, the cross-linked CAM nanofiber (Cx-CA/P-NF) designed herein
successfully works as an antiadhesive barrier. Cx-CA/P-NFs yielded little
adhesion, infiltration by macrophages, or formation of blood vessels in a
postoperative antiadhesion assay. Moreover, we demonstrated the suitable
properties of Cx-CA/P-NF such as easy cross-linking by maintaining the
antiadhesive properties, controllable biodegradation, and in vivo antiadhesive
effect of Cx-CA/P-NF.
PMID- 29793075
TI - Newcastle disease virus induces G0/G1 cell cycle arrest in asynchronously growing
cells.
AB - The cell cycle, as a basic cellular process, is conservatively regulated.
Consequently, subversion of the host cell replication cycle is a common strategy
employed by many viruses to create a cellular environment favorable for viral
replication. Newcastle disease virus (NDV) causes disease in poultry and is also
an effective oncolytic agent. However, the effects of NDV infection on cell cycle
progression are unknown. In this study, we showed that NDV replication in
asynchronized cells resulted in the accumulation of infected cells in the G0/G1
phase of the cell cycle, which benefitted the proliferation of NDV. Examination
of various cell cycle-regulatory proteins showed that expression of cyclin D1,
was significantly reduced following NDV infection. Importantly, the decreased
expression of cyclin D1 was reversed by inhibition of CHOP expression, indicating
that induction of the PERK-eIF-2a-ATF4-CHOP signaling pathway was involved in the
G0/G1 phase cell cycle arrest observed following NDV infection.
PMID- 29793076
TI - Porcine circovirus type 2 inhibits inter-beta expression by targeting Karyopherin
alpha-3 in PK-15 cells.
AB - Interferon (IFN)-mediated antiviral response is an important part of host
defense. Previous studies reported that porcine circovirus type 2 (PCV2) inhibits
interferon production, but the mechanism is still poorly understood. In this
study, PCV2 suppresses IFN-beta and IRF3 promoters and mRNA level of IFN-beta
induced by ISD or Poly(I:C), but has no effect on the activation of AP-1 and NF
kappaB. Furthermore, PCV2 decreases the mRNA level of IFN-beta and IFN-beta
promoter activity driven by STING, TBK1, IRF3, and IRF3/5D, and causes a
reduction in the protein level of nuclear p-IRF3. In addition, PCV2 interrupts
the interaction of KPNA3, rather than KPNA4, with p-IRF3. Overexpression of KPNA3
restores IFN-beta promoter activity. These results indicate that PCV2 disrupts
the interaction of KPNA3 with p-IRF3 and blocks p-IRF3 translocation to the
nucleus, thereby inhibiting IFN-beta induction in PK-15 cells.
PMID- 29793077
TI - fMRI classification method with multiple feature fusion based on minimum spanning
tree analysis.
AB - Resting state functional brain networks have been widely studied in brain disease
research. Conventional network analysis methods are hampered by differences in
network size, density and normalization. Minimum spanning tree (MST) analysis has
been recently suggested to ameliorate these limitations. Moreover, common MST
analysis methods involve calculating quantifiable attributes and selecting these
attributes as features in the classification. However, a disadvantage of these
methods is that information about the topology of the network is not fully
considered, limiting further improvement of classification performance. To
address this issue, we propose a novel method combining brain region and subgraph
features for classification, utilizing two feature types to quantify two
properties of the network. We experimentally validated our proposed method using
a major depressive disorder (MDD) patient dataset. The results indicated that
MSTs of MDD patients were more similar to random networks and exhibited
significant differences in certain regions involved in the limbic-cortical
striatal-pallidal-thalamic (LCSPT) circuit, which is considered to be a major
pathological circuit of depression. Moreover, we demonstrated that this novel
classification method could effectively improve classification accuracy and
provide better interpretability. Overall, the current study demonstrated that
different forms of feature representation provide complementary information.
PMID- 29793078
TI - Comparison of performance-based assessment and real world skill in people with
serious mental illness: Ecological validity of the Test of Grocery Shopping
Skills.
AB - Valid functional measures are essential for clinical and research efforts that
address recovery and community functioning in people with serious mental illness.
Although there is a great deal of interest in functional assessment, there is
limited research supporting how well current evaluation methods provide a true
assessment of real world functioning or naturalistic behavior. To address this
gap in the literature, the present study examined the performance of individuals
with serious mental illness (i.e., diagnosis of schizophrenia-spectrum, bipolar
disorder, or other depression/anxiety diagnoses and accompanying functional
disability) on the Test of Grocery Shopping Skills (TOGSS), a performance-based
naturalistic task. We compared TOGSS performance to two dimensions of real world
functioning: directly observed real world grocery shopping and ratings of
community functioning. Results indicated that the TOGSS was significantly
associated with real life grocery shopping, in terms of both shopping accuracy (r
= 0.424) and time (r = 0.491). Further, self-report and observer-rated methods of
assessing real world shopping behaviors were significantly correlated (r =
0.455). To our knowledge, this is one of the first studies to directly compare a
performance-based naturalistic skill assessment with carefully observed real
world performance of that skill in people with serious mental illness. These
findings support the feasibility and ecological validity of performance-based
naturalistic assessment with the TOGSS.
PMID- 29793079
TI - Shifts in wind energy potential following land-use driven vegetation dynamics in
complex terrain.
AB - Many mountainous regions with high wind energy potential are characterized by
multi-scale variabilities of vegetation in both spatial and time dimensions,
which strongly affect the spatial distribution of wind resource and its time
evolution. To this end, we developed a coupled interdisciplinary modeling
framework capable of assessing the shifts in wind energy potential following land
use driven vegetation dynamics in complex mountain terrain. It was applied to a
case study area in the Romanian Carpathians. The results show that the overall
shifts in wind energy potential following the changes of vegetation pattern due
to different land-use policies can be dramatic. This suggests that the planning
of wind energy project should be integrated with the land-use planning at a
specific site to ensure that the expected energy production of the planned wind
farm can be reached over its entire lifetime. Moreover, the changes in the
spatial distribution of wind and turbulence under different scenarios of land-use
are complex, and they must be taken into account in the micro-siting of wind
turbines to maximize wind energy production and minimize fatigue loads (and
associated maintenance costs). The proposed new modeling framework offers, for
the first time, a powerful tool for assessing long-term variability in local wind
energy potential that emerges from land-use change driven vegetation dynamics
over complex terrain. Following a previously unexplored pathway of cause-effect
relationships, it demonstrates a new linkage of agro- and forest policies in
landscape development with an ultimate trade-off between renewable energy
production and biodiversity targets. Moreover, it can be extended to study the
potential effects of micro-climatic changes associated with wind farms on
vegetation development (growth and patterning), which could in turn have a long
term feedback effect on wind resource distribution in mountainous regions.
PMID- 29793080
TI - Visualizing the shape of society: An analysis of public bads and burden
allocation due to household consumption using an input-output approach.
AB - This study investigates how our lifestyles can cause societal issue including a
reduction in social equity due to the consumption of natural resources. Based on
a range of household environmental footprints and their application to a
quantitative social equity evaluation framework, a methodology is proposed which
identifies the creation and origin of public bads within society. This research
builds on the methodologies of energy policy sustainability evaluation
incorporated with environmentally extended input output analysis in order to
critically assess lifestyle-based consumption impacts, and to quantify the
allocation of subsequent burdens across generations. Further, the proposed
methodology is applied to a case study in Japan, an aging, shrinking population.
Analysis identifies the increasing burden originating with elderly generations,
and due to the resolution offered by the methodology, specifically identifies
commodities and services which underpin these future burdens, allowing for policy
implications to be drawn. The public bads and consumption burden indicator
established through the described methodology is proposed as a footprint
harmonizing tool to assess sustainability and supplement the footprint family.
PMID- 29793081
TI - Geochemical anomalies of trace elements in unremediated soils of Mt. Karczowka, a
historic lead mining area in the city of Kielce, Poland.
AB - Concentrations of selected trace elements (Ag, As, Ba, Cd, Co, Cr, Cu, Mn, Ni,
Pb, Zn) and rare earth elements were determined in 61 samples of surface soils
collected from Mt. Karczowka, a historic Pb ore mining area located in the city
of Kielce, south-central Poland. Some of these samples were subjected to XRD
analyses and Pb stable isotope measurements. The mineral and chemical composition
of rock samples were also examined. Mining activity in the study area was
conducted mostly during 15th-17th centuries using technologically primitive
methods, and was finally ceased in the first half of the 19th century. More than
three thousand old shafts, pits and adits occur in the study area and its
vicinity. The soils of the study area have not been remediated since the end of
the mining operations. The trace elements of the examined surface soils are
heterogeneously distributed with lead concentrations in the range of 41-9114
mg/kg and Pb isotopic signatures similar to those of local galena. The results of
trace element measurements allowed us to discriminate geochemical anomalies from
background levels and to link mineralogy of the host rocks to the origin of
anomalous element concentrations. This study shows that elevated levels of
elements of geogenic origin have remained in surface soil for two centuries after
cessation of mining operations.
PMID- 29793083
TI - Optimization adsorption of norfloxacin onto polydopamine microspheres from
aqueous solution: Kinetic, equilibrium and adsorption mechanism studies.
AB - Polydopamine microspheres (PDMPs) synthesized by a facile solution oxidation
method were adopted as a potential adsorbent for the removal of Norfloxacin (NOR)
from aqueous solution. The morphologies and properties of PDMPs were
characterized using TEM, SEM, FTIR and pHPZC. Parameters effects such as contact
time, initial pH, initial concentration and ionic strength on the adsorption
capacity of NOR onto PDMPs were studied. To maximize NOR removal from liquid
phase, Box-Behnken experimental design (BBD) combined with response surface
modeling (RSM) was employed based on the 17 preliminary experiments at 308 K.
Optimum contact time, initial NOR concentration and initial pH value were found
to be 97 min, 303 mg.L-1 and 6.6, respectively, the corresponding NOR removal
capacity was found to be 307 mg.g-1. Batch adsorption experiments under the
optimal conditions were conducted to investigate kinetics, thermodynamics and
adsorption isotherm. Kinetic analysis confirmed that the kinetic data were well
described by Pseudo-second order model. The experimental equilibrium data were
well fitted by Langmuir, Redlich-Peterson, Koble-Corrigan and Dubinin
Radushkevich models. Thermodynamic parameters such as Gibbs free energy, enthalpy
and entropy were calculated and the results indicated that the NOR adsorption
onto PDMPs was spontaneous and endothermic. The adsorption process may be
attributed to the electrostatic interaction, the formation of hydrogen bonds or
pi-pi stacking interactions among the polydopamine (PDA) and NOR molecule.
PMID- 29793082
TI - Atmospheric impacts of a natural gas development within the urban context of
Morgantown, West Virginia.
AB - The Marcellus Shale Energy and Environment Laboratory (MSEEL) in West Virginia
provides a unique opportunity in the field of unconventional energy research. By
studying near-surface atmospheric chemistry over several phases of a hydraulic
fracturing event, the project will help evaluate the impact of current practices,
as well as new techniques and mitigation technologies. A total of 10 mobile
surveys covering a distance of approximately 1500 km were conducted through
Morgantown. Our surveying technique involved using a vehicle-mounted Los Gatos
Research gas analyzer to provide geo-located measurements of methane (CH4) and
carbon dioxide (CO2). The ratios of super-ambient concentrations of CO2 and CH4
were used to separate well-pad emissions from the natural background
concentrations over the various stages of well-pad development, as well as for
comparisons to other urban sources of CH4. We found that regional background
methane concentrations were elevated in all surveys, with a mean concentration of
2.699 +/- 0.006 ppmv, which simply reflected the complexity of this riverine
urban location. Emissions at the site were the greatest during the flow-back
phase, with an estimated CH4 volume output of 20.62 +/- 7.07 g/s, which was
significantly higher than other identified urban emitters. Our study was able to
successfully identify and quantify MSEEL emissions within this complex urban
environment.
PMID- 29793085
TI - Socio-demographic factors associated with choosing violent methods of suicide,
2011-2016, Ilam province.
AB - BACKGROUND: In new strategies towards of suicide prevention the best approach
currently is based on specific method had specific risk factors. Therefore in
this study we aimed to find out the association between socio-demographic factors
and methods in completed suicide, 2011-2016, Ilam province. METHODS: A cross
sectional study, 2011-2016, was conducted. A total of 540 completed suicides were
recruited to the study. Data were collected by systematic registration suicide
data (SRSD) and Legal Medicine Organization (LMO). After performs of descriptive
statistics, to analysis of effects of each independent variable to the methods
Multinomial Logistic Regression (MLR) was used, also adjusted odds ratio (AOR)
was used to reporting of associations. RESULTS: According to the result (55.8%)
of deaths were occurred by hanging and self-immolation also a majority of
suicides were occurred in females (60%). The important methods in males and
females were hanging (70.6%) and self-immolation (72.8%), respectively. The self
immolation vs. others was significantly higher in cases that have mental
disorders (AOR) = 2.05, 95% CI: 1.11, 3.78); also in cases with financial problem
(AOR) = 2.70, 95% CI: 1.28, 5.71). CONCLUSION: According to the finding age
groups, gender, marital status, residence, and mental disorders are the important
risk factors that effects on choosing of methods. Therefore consideration of this
excess risk by this mentioned factors in prevention programs can be reducing the
risk of death from suicide in society.
PMID- 29793084
TI - Subthreshold depression among diabetes patients in Beijing: Cross-sectional
associations among sociodemographic, clinical, and behavior factors.
AB - BACKGROUND: This study explores the prevalence of subthreshold depression (SubD)
and its association with factors in type 2 diabetes mellitus (T2DM) patients.
METHODS: This cross-sectional study involved 808 outpatients with T2DM from ten
hospitals in Beijing between September 2015 and January 2016. All participants
completed the Patient Health Questionnaire 9-item (PHQ-9) to evaluate depressive
status, with scores between 5 and 14 considered SubD. Conditional logistic
regression was conducted to investigate the variables associated with SubD in
T2DM patients. RESULTS: T2DM patients with SubD comprised 11.6% (n = 94) of the
sample. The odd ratios for the variables having significant positive associations
with SubD were: being a women (OR = 1.90; 95%CI: 1.09-3.32), divorced/widowed (OR
= 3.27; 95%CI: 1.46-7.30), comorbidity of cerebrovascular disease (OR = 2.00;
95%CI: 1.06-3.76), more diabetic complications (OR = 8.04; 95%CI: 2.77-23.31),
and higher HbA1c in men (OR = 2.41; 95%CI: 1.25-4.64). Being older (OR = 0.78;
95%CI: 0.62-0.98), exercising more (OR = 0.44; 95%CI: 0.22-0.91) and poverty (OR
= 0.36; 95%CI: 0.19-0.69) were negatively related to SubD. LIMITATIONS: The
sample was mainly recruited from hospital settings, which limits generalization.
The study's cross-sectional design precludes making causal inferences.
CONCLUSIONS: The proportion of SubD was estimated to be 11.6% among T2DM patients
in Beijing. Having more diabetic complications and being divorced/widowed made
the odds of having SubD 8-fold and 3-fold higher than not having it,
respectively. The relationship between SubD and diabetes necessitates early
screening for milder forms of depression, which can alleviate the social burden
and individual impairment from major depression or other chronic diseases.
PMID- 29793086
TI - Challenges in identifying cognitive assessment tools prior to validation studies.
PMID- 29793088
TI - Decellularized and matured esophageal scaffold for circumferential esophagus
replacement: Proof of concept in a pig model.
AB - Surgical resection of the esophagus requires sacrificing a long portion of it.
Its replacement by the demanding gastric pull-up or colonic interposition
techniques may be avoided by using short biologic scaffolds composed of
decellularized matrix (DM). The aim of this study was to prepare, characterize,
and assess the in vivo remodeling of DM and its clinical impact in a preclinical
model. A dynamic chemical and enzymatic decellularization protocol of porcine
esophagus was set up and optimized. The resulting DM was mechanically and
biologically characterized by DNA quantification, histology, and histomorphometry
techniques. Then, in vitro and in vivo tests were performed, such as DM
recellularization with human or porcine adipose-derived stem cells, or porcine
stromal vascular fraction, and maturation in rat omentum. Finally, the DM,
matured or not, was implanted as a 5-cm-long esophagus substitute in an
esophagectomized pig model. The developed protocol for esophageal DM fulfilled
previously established criteria of decellularization and resulted in a scaffold
that maintained important biologic components and an ultrastructure consistent
with a basement membrane complex. In vivo implantation was compatible with life
without major clinical complications. The DM's scaffold in vitro characteristics
and in vivo implantation showed a pattern of constructive remodeling mimicking
major native esophageal characteristics.
PMID- 29793087
TI - Skin Allografting Activates Anti-tumor Immunity and Suppresses Growth of Colon
Cancer in Mice.
AB - INTRODUCTION: The tumor cells could escape from the immune elimination through
the immunoediting mechanisms including the generation of immunosuppressive or
immunoregulative cells. By contrast, allograft transplantation could activate the
immune system and induce a strong allogenic response. The aim of this study was
to investigate the efficacy of allogenic skin transplantation in the inhibition
of tumor growth through the activation of allogenic immune response. METHODS:
Full-thickness skin transplantation was performed from C57BL/6 (H-2b) donors to
BALB/c (H-2d) recipients that were receiving subcutaneous injection of isogenic
CT26 colon cancer cells (2 * 106 cells) at the same time. The tumor size and
pathological changes, cell populations and cytokine profiles were evaluated at
day 14 post-transplantation. RESULTS: The results showed that as compared to non
transplant group, the allogenic immune response in the skin-grafting group
inhibited the growth of tumors, which was significantly associated with increased
numbers of intra-tumor infiltrating lymphocytes, increased populations of
CD11c+MHC-classII+CD86+ DCs, CD3+CD4+ T cells, CD3+CD8+ T cells, and CD19+ B
cells, as well as decreased percentage of CD4+CD25+Foxp3+ T cells in the spleens.
In addition, the levels of serum IgM and IgG, tumor necrosis factor (TNF)-alpha
and interferon (IFN)-gamma were significantly higher within the tumor in skin
transplant groups than that in non-transplant group. CONCLUSIONS: Allogenic skin
transplantation suppresses the tumor growth through activating the allogenic
immune response, and it may provide a new immunotherapy option for the clinical
refractory tumor treatment.
PMID- 29793089
TI - Gold and gold-silver alloy nanoparticles enhance the myogenic differentiation of
myoblasts through p38 MAPK signaling pathway and promote in vivo skeletal muscle
regeneration.
AB - Under the severe trauma condition, the skeletal muscles regeneration process is
inhibited by forming fibrous scar tissues. Understanding the interaction between
bioactive nanomaterials and myoblasts perhaps has important effect on the
enhanced skeletal muscle tissue regeneration. Herein, we investigate the effect
of monodispersed gold and gold-silver nanoparticles (AuNPs and Au-AgNPs) on the
proliferation, myogenic differentiation and associated molecular mechanism of
myoblasts (C2C12), as well as the in vivo skeletal muscle tissue regeneration.
Our results showed that AuNPs and Au-AgNPs could support myoblast attachment and
proliferation with negligible cytotoxicity. Under various incubation conditions
(normal and differentiation medium), AuNPs and Au-AuNPs significantly enhanced
the myogenic differentiation of myoblasts by upregulating the expressions of
myosin heavy chain (MHC) protein and myogenic genes (MyoD, MyoG and Tnnt-1). The
further analysis demonstrated that AuNPs and Au-AgNPs could activate the p38alpha
mitogen-activated protein kinase pathway (p38alpha MAPK) signaling pathway and
enhance the myogenic differentiation. Additionally, the AuNPs and Au-AgNPs
significantly promote the in vivo skeletal muscle regeneration in a tibialis
anterior muscle defect model of rat. This study may provide a nanomaterials-based
strategy to improve the skeletal muscle repair and regeneration.
PMID- 29793090
TI - Anti-haemostatic compounds from the vampire snail Cumia reticulata: Molecular
cloning and in-silico structure-function analysis.
AB - Blood-feeding animals are known for their ability to produce bioactive compounds
to impair haemostasis and suppress pain perception in the host. These compounds
are extremely appealing for pharmacological development since they are generally
very effective and specific for their molecular target. A preliminary RNA-Seq
based characterization of the secretion from salivary and mid-oesophageal tissues
of the vampire snail Cumia reticulata, revealed a complex mixture of feeding
related transcripts with potential anaesthetic and anticoagulant action. Based on
the cloned full-length mRNAs, it was possible to verify the sequence of five
genes encoding haematophagy-related products. The in silico modelled three
dimensional structure of each translational product was analysed to gain
information on their potential biochemical activity. We have hereby validated and
further investigated the assembled transcripts presumably involved in the
antihaemostatic action, to improve our comprehensive understanding of this subset
of the feeding secretion. The studied proteins included both inhibitors of
primary haemostasis such as the vWFA domain-containing proteins, and compounds
targeting different steps of the coagulation cascade, as e.g. the Turripeptide
like/protease inhibitor, the TFPI-like multiple Kunitz-type protease inhibitors,
the Meprin-like metalloproteases and the Astacin/ShKT-like domain-containing
proteins. All these molecules showed promising potential for pharmacological
development.
PMID- 29793091
TI - Effects of surface modification of As2O3-loaded PLGA nanoparticles on its anti
liver cancer ability: An in vitro and in vivo study.
AB - As2O3-loaded nanoparticles (NPs) based on poly (lactic-co-glycolic acid) (PLGA)
were prepared by double emulsion-solvent evaporation method. Then Lactose acid
(LA) and/or PEG were modified onto the NPs by chemical covalent coupling through
NH2 and -COOH. The FTIR results showed that LA and PEG could be successfully
modified onto the surface of the NPs. All the As2O3-loaded PLGA NPs (As2O3@PLGA
NPs) presented suitable physical stability, favorable size, and spherical shape.
The in vitro release rate of As2O3 from the NPs depended on the surface of the
NPs. As expected, the As2O3@PLGA-PEG/LA NPs showed a moderate release rate, the
highest anticancer effects and cellular internalization against SMMC-7721 cell
line. The PLGA-PEG/LA NPs could represent an effective nano-size delivery system
of As2O3 for treatment of liver cancer.
PMID- 29793093
TI - Graphene oxide-assisted Au nanoparticle strip biosensor based on GR-5 DNAzyme for
rapid lead ion detection.
AB - This study has reported that a GR-5 DNAzyme based lead ion strip biosensor could
exhibit an enhanced specificity with the assistance of graphene oxide (GO). This
enhancement results from the specific pi-stacking interaction between the ribose
rings of the nucleobases and the carbon hexagons in GO which can reduce the false
positive interference by removing unhybridized ssDNA during the annealing of GR-5
DNAzyme. Meanwhile, conjugate pad was sprayed with two kinds of AuNP-DNA probes,
and nitrocellulose membrane test zone and control zone were immobilized with two
kinds of biotin-DNA probes, respectively. The limit of detection of this strip
biosensor was estimated to be about 0.05 nM (S/N = 3) and 1 nM (with naked eyes)
with a linear range from 0.01 to 100 MUM. Furthermore, the strip biosensor
exhibited excellent selectivity toward Pb2+ in the presence of other divalent
metal ions. For real soil samples, the obtained recoveries were in the range from
91.5% to 113.1%.
PMID- 29793092
TI - A combined FTIR and DSC study on the bilayer-stabilising effect of electrostatic
interactions in ion paired lipids.
AB - Investigating lipid ion pair formation is important for understanding the
mechanisms of lipid-mediated drug resistance in bacteria. In this study we have
used the charged amphiphiles dipalmitoylphosphatidylglycerol (DPPG) and
dihexadecyldimethylammonium bromide (DHDAB), as a model to evaluate the formation
of ion pairs by a combined Fourier transform infrared spectroscopy (FTIR) and
differential scanning calorimetry (DSC) analysis. FTIR was employed to study the
environment of the DPPC headgroup phosphate and lipid/surfactant alkane chains,
in vesicles formed by the two amphiphiles mixed in various molar ratios. An
increase of the absorbance ratio of 1221-1201 cm-1 in the asymmetric phosphate
stretching mode was found to follow a sigmoidal relationship with the proportion
of DHDAB, increasing to a plateau above a DPPG/DHDAB 1:1 molar ratio of,
providing evidence that the PG headgroup phosphate is involved in ion pairing. A
consistent red shift was measured for the position of the symmetric CH2 stretch
band for the lipid/surfactant 1:1 molar ratio mixture, which is indicative of an
increased ordering of the hydrophobic chains. The DSC experiments yielded
information about the thermotropic and the mixing behaviour of the
lipid/surfactant systems. DPPG and DHDAB seem to form an ion pair with cluster
compound characteristics at the equimolar ratio. Most interestingly, the
DPPG/DHDAB 2:1 molar ratio mixture is characterized by strong intermolecular
interactions, which result in a pronounced stabilization of the gel phase,
possibly through the formation of a closely-associated ion triplet configuration
in which the charges are delocalised across the headgroups.
PMID- 29793094
TI - Effects of chronic antipsychotic drug exposure on the expression of Translocator
Protein and inflammatory markers in rat adipose tissue.
AB - The precise effect of antipsychotic drugs on either central or peripheral
inflammation remains unclear. An important issue in this debate is to what extent
the known peripheral metabolic effects of antipsychotics, including increased
adiposity, may contribute to increased inflammation. Adipose tissue is known to
contribute to the development of systemic inflammation, which can eventually lead
to insulin resistance and metabolic dysregulation. As a first step to address
this question, we evaluated whether chronic exposure to clinically comparable
doses of haloperidol or olanzapine resulted in the immune activation of rat
adipose tissue. Samples of visceral adipose tissue were sampled from male Sprague
Dawley rats exposed to, haloperidol, olanzapine or vehicle (all n = 8), for 8
weeks. From these we measured a cytokine profile, protein expression of F4/80 (a
phenotypic macrophage marker) and translocator protein (TSPO), a target for
radiotracers putatively indicating microgliosis in clinical neuroimaging studies.
Chronic olanzapine exposure resulted in significantly higher adipose IL-6 levels
compared with vehicle-controls (ANOVA p = 0.008, Bonferroni post-hoc test p =
0.006); in parallel, animals exposed to olanzapine had significantly higher F4/80
expression when compared with vehicle-controls (Mann Whitney Test, p = 0.014),
whereas there was no difference between haloperidol and vehicle groups (Mann
Whitney test, p = 0.1). There were no significant effects of either drug on
adipose TSPO protein levels. Nevertheless, we found a positive correlation
between F4/80 and TSPO adipose protein levels in the olanzapine-exposed rats
(Spearman's rho = 0.76, p = 0.037). Our data suggest that chronic exposure to
olanzapine, but not haloperidol, increases production of the pro-inflammatory
cytokine IL-6 in adipose tissue and increased macrophages expression (F4/80), in
the absence of measurable changes in TSPO with respect to vehicle. This may have
potentially important consequences in terms of metabolic dysregulation associated
with long-term antipsychotic treatment.
PMID- 29793095
TI - Sex hormones affect acute and chronic stress responses in sexually dimorphic
patterns: Consequences for depression models.
AB - BACKGROUND: Alterations in peripheral sex hormones may play an important role in
sex differences in terms of stress responses and mood disorders. It is not yet
known whether and how stress-related brain systems and brain sex steroid levels
fluctuate in relation to changes in peripheral sex hormone levels, or whether the
different sexes show different patterns. We aimed to investigate systematically,
in male and female rats, the effect of decreased circulating sex hormone levels
following gonadectomy on acute and chronic stress responses, manifested as
changes in plasma and hypothalamic sex steroids and hypothalamic stress-related
molecules. METHOD: Experiment (Exp)-1: Rats (14 males, 14 females) were
gonadectomized or sham-operated (intact); Exp-2: gonadectomized and intact rats
(28 males, 28 females) were exposed to acute foot shock or no stressor; and Exp
3: gonadectomized and intact rats (32 males, 32 females) were exposed to chronic
unpredictable mild stress (CUMS) or no stressor. For all rats, plasma and
hypothalamic testosterone (T), estradiol (E2), and the expression of stress
related molecules were determined, including corticotropin-releasing hormone,
vasopressin, oxytocin, aromatase, and the receptors for estrogens, androgens,
glucocorticoids, and mineralocorticoids. RESULTS: Surprisingly, no significant
correlation was observed in terms of plasma sex hormones, brain sex steroids, and
hypothalamic stress-related molecule mRNAs (p > 0.113) in intact or
gonadectomized, male or female, rats. Male and female rats, either intact or
gonadectomized and exposed to acute or chronic stress, showed different patterns
of stress-related molecule changes. CONCLUSION: Diminished peripheral sex hormone
levels lead to different peripheral and central patterns of change in the stress
response systems in male and female rats. This has implications for the choice of
models for the study of the different types of mood disorders which also show sex
differences.
PMID- 29793096
TI - Segmentation of histological images and fibrosis identification with a
convolutional neural network.
AB - Segmentation of histological images is one of the most crucial tasks for many
biomedical analyses involving quantification of certain tissue types, such as
fibrosis via Masson's trichrome staining. However, challenges are posed by the
high variability and complexity of structural features in such images, in
addition to imaging artifacts. Further, the conventional approach of manual
thresholding is labor-intensive, and highly sensitive to inter- and intra-image
intensity variations. An accurate and robust automated segmentation method is of
high interest. We propose and evaluate an elegant convolutional neural network
(CNN) designed for segmentation of histological images, particularly those with
Masson's trichrome stain. The network comprises 11 successive convolutional -
rectified linear unit - batch normalization layers. It outperformed state-of-the
art CNNs on a dataset of cardiac histological images (labeling fibrosis,
myocytes, and background) with a Dice similarity coefficient of 0.947. With 100
times fewer (only 300,000) trainable parameters than the state-of-the-art, our
CNN is less susceptible to overfitting, and is efficient. Additionally, it
retains image resolution from input to output, captures fine-grained details, and
can be trained end-to-end smoothly. To the best of our knowledge, this is the
first deep CNN tailored to the problem of concern, and may potentially be
extended to solve similar segmentation tasks to facilitate investigations into
pathology and clinical treatment.
PMID- 29793097
TI - Quantitative assessment of placental perfusion by three-dimensional power Doppler
ultrasound for twins with selective intrauterine growth restriction in one twin.
AB - OBJECTIVE: We quantitatively assessed placental perfusion using three-dimensional
(3D) power Doppler ultrasound for twins with selective intrauterine growth
restriction (sIUGR) in one twin. STUDY DESIGN: A prospective cross-sectional
study was performed for 104 normal monochorionic diamniotic twins and 30 twins
with sIUGR. Twins with sIUGR were divided into two groups: in group I (19 twins),
both fetuses had continuous forward end-diastolic blood flow in the umbilical
artery; in group II (11 twins), the smaller fetus had a loss or a reverse end
diastolic blood flow in the umbilical artery, but the larger fetus had continuous
forward end-diastolic blood flow. Volume data of the placenta was acquired in
each twin at the plane where the umbilical cord entered the placenta by 3D power
Doppler ultrasound, and imaging software was used to calculate the placental
vascularization index (VI), flow index (FI), and vascularization flow index
(VFI). RESULTS: Among smaller fetuses, the sIUGR and control groups showed
significant differences in VI and VFI, as well as significant differences between
sIUGR group II and control group in FI. No such differences in placental
perfusion were found among larger fetuses. CONCLUSIONS: 3D power Doppler
ultrasound could sensitively display the varying degrees of changes in placental
perfusion, which could be used for clinical monitoring of placental perfusion in
sIUGR twins.
PMID- 29793099
TI - Carbon monoxide analysis method in human blood by Airtight Gas Syringe - Gas
Chromatography - Mass Spectrometry (AGS-GC-MS): Relevance for postmortem
poisoning diagnosis.
AB - Carbon monoxide is one of the most abundant toxic air pollutants. Symptoms of a
CO intoxication are non-specific, leading to a high number of misdiagnosed CO
poisoning cases that are missing in the disease statistics. The chemical nature
of the molecule makes it difficult to detect for long periods and at low levels,
thus requiring a very accurate and sensitive method. Current methods capable of
accurate and sensitive analyses are available, however an inconsistency between
results and symptoms are frequently reported. Therefore, an improved method for
the analysis of carbon monoxide in blood and in the headspace (HS) of the
sampling tube with the use of Airtight Gas Syringe - Gas Chromatography - Mass
Spectrometry (AGS-GC-MS) is hereby presented and validated, for CO concentrations
in a range of 10-200 nmol/mL HS (2-40 MUmol/mL blood). Analytical LOQ is found at
0.9 nmol/mL HS (0.18 MUmol/mL blood) and LOD at 0.1 nmol/mL gas. Application to
intoxicated samples from autopsies and comparison to previously published methods
show that this method is more appropriate, since performed under fully controlled
conditions. Results show higher CO concentrations compared to previous
approaches, indicating that results might have been underestimating the true
blood CO burden. Therefore, this approach has the potential to help reduce the
misdiagnosed cases and the gap between measurement and diagnosis of CO
poisonings.
PMID- 29793098
TI - Evaluation of analgesic and anti-inflammatory activities of Rubia cordifolia L.
by spectrum-effect relationships.
AB - The objective of the current work was to evaluate the spectrum-effect
relationships between high-performance liquid chromatography fingerprints and
analgesic and anti-inflammatory effects of Rubia cordifolia L. extract (RCE), and
to identify active components of RCE. Chemical fingerprints of ten batches of RC
from various sources were obtained by HPLC, and similarity and hierarchical
clustering analyses were carried out. Pharmacodynamic assays were performed in
adjuvant-induced arthritis rat model to assess the analgesic and anti
inflammatory properties of RCE. The spectrum-effect relationships between
chemical fingerprints and the analgesic and anti-inflammatory effects of RCE were
established by gray correlation analysis. UPLC-ESI-MS was used to identify the
structures of potential active components, by reference standards comparison. The
results showed that a close correlation existed between chemical fingerprints
with analgesic and anti-inflammatory activities, and alizarin, 6-hydroxyrubiadin,
purpurin and rubiadin might be the active constituents of RCE. In addition, RCE
attenuated pathological changes in adjuvant-induced arthritis. The current
findings provide a strong basis for combining chemical fingerprints with
analgesic and anti-inflammatory activities in assessing the spectrum-effect
relationships of RCE.
PMID- 29793101
TI - "Survey of Wellbeing of Young Children (SWYC)": how does it fit for screening
developmental delay in Brazilian children aged 4 to 58 months?
AB - OBJECTIVE: To replicate the original normative study of the SWYC's Milestones
Questionnaires for children in Brazil. Our goals were to compare the performance
of Brazilian and North American children using this screening tool and to verify
the reliability and validity of the Brazilian version. STUDY DESIGN AND SETTING:
Cross-sectional study with children aged 1-65 months and their guardians,
recruited in southern Brazil. Parents were interviewed using the Developmental
Milestones questionnaire, which contains 10 questions about cognitive, motor,
social, and language abilities. Item response theory was used to examine item
validity. RESULTS: We interviewed 415 parents. SWYC provided the most information
on the children's development between 10 and 30 months. The performance of
Brazilian and North American children was quite similar when children are younger
than 36 months old. Above 36 months, North American children performed almost all
items earlier than Brazilians. Convergent validity was 0.73 and internal
consistency 0.97. CONCLUSION: The Brazilian version of the Developmental
Milestones questionnaire presented acceptable measurement qualities that support
the SWYCs potential as a developmental screening tool. As we found important
differences between North American and Brazilian children in achieving the
milestones, especially among the oldest children, additional normative studies
are needed.
PMID- 29793100
TI - The still uncertain identity of the channel-forming unit(s) of the mitochondrial
permeability transition pore.
AB - Mitochondria from different organisms can undergo a sudden process of inner
membrane unselective leakiness to molecules known as the mitochondrial
permeability transition (MPT). This process has been studied for nearly four
decades and several proteins have been claimed to constitute, or at least
regulate the usually inactive pore responsible for this transition. However, no
protein candidate proposed as the actual pore-forming unit has passed rigorous
gain- or loss-of-function genetic tests. Here we review evidence for -and against
putative channel-forming components of the MPT pore. We conclude that the
structure of the MPT pore still remains largely undefined and suggest that future
studies should follow established technical considerations to unambiguously
consolidate the channel forming constituent(s) of the MPT pore.
PMID- 29793102
TI - Joint attention in Down syndrome: A meta-analysis.
AB - BACKGROUND: Some studies have indicated that joint attention may be a relative
strength in Down syndrome (DS), but other studies have not. AIM: To conduct a
meta-analysis of joint attention in DS to more conclusively determine if this is
a relative strength or weakness when compared to children with typical
development (TD), developmental disabilities (DD), and autism spectrum disorder
(ASD). METHODS AND PROCEDURES: Journal articles published before September 13,
2016, were identified by using the search terms "Down syndrome" and "joint
attention" or "coordinating attention". Identified studies were reviewed and
coded for inclusion criteria, descriptive information, and outcome variables.
OUTCOMES AND RESULTS: Eleven studies (553 participants) met inclusion criteria.
Children with DS showed similar joint attention as TD children and higher joint
attention than children with DD and ASD. Meta-regression revealed a significant
association between age and joint attention effect sizes in the DS vs. TD
contrast. CONCLUSIONS AND IMPLICATIONS: Joint attention appears to not be a
weakness for children with DS, but may be commensurate with developmental level.
Joint attention may be a relative strength in comparison to other skills
associated with the DS behavioral phenotype. Early interventions for children
with DS may benefit from leveraging joint attention skills.
PMID- 29793103
TI - Disruption of the gonadal endocannabinoid system in zebrafish exposed to
diisononyl phthalate.
AB - DiNP (Di-isononyl phthalate) has been recently introduced as DEHP (Bis (2
ethylhexyl) phthalate) substitute and due to its chemical properties, DiNP is
commonly used in a large variety of plastic items. The endocannabinoid system
(ECS) is a lipid signaling system involved in a plethora of physiological
pathways including the control of the reproductive and metabolic processes. In
this study, the effects of DiNP on the ECS of zebrafish (male and female) gonads
were analyzed. Adult zebrafish were chronically exposed for 21 days via water to
3 environmentally relevant concentrations of DiNP (42 MUg/L; 4.2 MUg/L; 0.42
MUg/L). In females, the Gonadosomatic Index (GSI) and the number of fertilized
eggs were reduced by the lowest concentration of DiNP tested. The levels of two
endocannabinoids, Anandamide (AEA) and 2-Arachidonoylglycerol (2-AG), were not
affected, while a reduction of the N-oleoyl-ethanolamine (OEA) level was
observed. Transcriptional changes were reported in relation to genes coding for
the ECS receptors and the enzymes involved in the ECS pathway. DiNP exposure in
males reduced the GSI as well as changed the levels of endocannabinoids.
Moreover, DiNP treatment induced significative changes in the genes coding for
the ECS receptors and enzymes, and significantly increased the activity of the
fatty acid amide hydrolase (FAAH). In summary, in zebrafish, exposure to
environmentally relevant concentrations of DiNP disrupted the ECS and affected
reproduction in a gender specific manner.
PMID- 29793105
TI - COPD rat model is more susceptible to cold stress and PM2.5 exposure and the
underlying mechanism.
AB - The purpose of this study is to verify the hypothesis that chronic obstructive
pulmonary disease (COPD) model rat is more susceptible to cold stress and fine
particulate matter (PM2.5) exposure than the healthy rat, and explore the related
mechanism. COPD rat model, established with cigarette smoke and
lipopolysaccharide intratracheal instillation, were exposed to cold stress (0
degrees C) and PM2.5 (0, 3.2, 12.8 mg/ml). After that, the levels of superoxide
dismutase, inducible nitric oxide synthase (iNOS), tumor necrosis factor alpha
(TNF-alpha), monocyte chemotactic protein 1 (MCP-1) and angiotensin II (Ang-II)
in lung were measured, as well as the expression levels of lung 8-hydroxy-2
deoxyguanosine (8-OHdG), nuclear factor kappa B (NF-kappaB), heme-oxygenase-1 (HO
1) and nuclear factor erythroid-2-related factor 2 (Nrf2). There were significant
positive relationships between PM2.5 and lung level of iNOS, TNF-alpha, MCP-1 and
Ang-II, lung function and pathologic damage in COPD rats. The HO-1, NF-kappaB and
8-OHdG were found highly expressed in COPD rat lung, particularly at the higher
PM2.5 dose of cold stress groups, while Nrf2 was found declined. Thus, COPD rats
may be more susceptible to cold stress and PM2.5 exposure. Cold stress may
aggravate PM2.5-induced toxic effects in the lung of COPD rats through increasing
Ang-II/NF-kappaB signaling pathway and suppressing Nrf2 signaling pathway.
PMID- 29793104
TI - Uptake, elimination and biotransformation of N-ethyl perfluorooctane sulfonamide
(N-EtFOSA) by the earthworms (Eisenia fetida) after in vivo and in vitro
exposure.
AB - N-ethyl perfluorooctane sulfonamide (N-EtFOSA) is commonly known as the active
ingredient of sulfluramid. It can be degraded to perfluorooctane sulfonic acid
(PFOS) in biota and environment. Earthworms (Eisenia fetida) were exposed with N
EtFOSA to examine the bioaccumulation, elimination and metabolism of N-EtFOSA by
the earthworms after in vivo and in vitro exposure. N-EtFOSA could be biodegraded
in quartz sands to perfluorooctane sulfonamide (FOSA) and PFOS. In the in vivo
tests, in addition to parent N-EtFOSA, three metabolites, including
perfluorooctane sulfonamide acetate (FOSAA), FOSA and PFOS also accumulated in
earthworms as a result of N-EtFOSA biotransformation, with FOSA as the
predominant metabolite. The bioaccumulation factor (BAF) and uptake rate
coefficient (ku) of N-EtFOSA from sand were 20.4 and 2.41.d-1, respectively. The
elimination rate constants (ke) decreased in the order FOSAA (0.130.d-1) > N
EtFOSA (0.118.d-1) > FOSA (0.073.d-1) > PFOS (0.051.d-1). The biotransformation
of N-EtFOSA in earthworm was further confirmed by the in vitro test involving
incubation of earthworm homogenates with N-EtFOSA. This work provides evidence on
the accumulation and transformation of N-EtFOSA in terrestrial invertebrates and
will be helpful to explore the indirect sources of FOSA and PFOS in environmental
biota.
PMID- 29793106
TI - Microplastics in mussels sampled from coastal waters and supermarkets in the
United Kingdom.
AB - Global contamination of the marine environment by plastic has led to the
discovery of microplastics in a range of marine species, including those for
human consumption. In this study, the presence of microplastics and other
anthropogenic debris in seawater and mussels (Mytilus edulis) from coastal waters
of the U.K., as well as supermarket sources, was investigated. These were
detected in all samples from all sites with spatial differences observed.
Seawater samples taken from 6 locations (in triplicates) displayed 3.5 +/- 2.0
debris items/L on average (range: 1.5-6.7 items/L). In wild mussels sampled from
8 locations around the U.K. coastal environment, the number of total debris items
varied from 0.7 to 2.9 items/g of tissue and from 1.1 to 6.4 items/individual.
For the supermarket bought mussels, the abundance of microplastics was
significantly higher in pre-cooked mussels (1.4 items/g) compared with mussels
supplied live (0.9 items/g). Micro-FT-IR spectroscopy was conducted on 136
randomly selected samples, with 94 items characterized. The spectra found that
50% of these debris items characterized were microplastic, with an additional 37%
made up of rayon and cotton fibers. The microplastic levels detected in the
supermarket bought mussels present a route for human exposure and suggests that
their quantification be included as food safety management measures as well as
for environmental monitoring health measures.
PMID- 29793107
TI - Biofiltration of methane using hybrid mixtures of biochar, lava rock and compost.
AB - Using hybrid packing materials in biofiltration systems takes advantage of both
the inorganic and organic properties offered by the medium including structural
stability and a source of available nutrients, respectively. In this study,
hybrid mixtures of compost with either lava rock or biochar in four different
mixture ratios were compared against 100% compost in a methane biofilter with
active aeration at two ports along the height of the biofilter. Biochar
outperformed lava rock as a packing material by providing the added benefit of
participating in sorption reactions with CH4. This study provides evidence that a
7:1 volumetric mixture of biochar and compost can successfully remove up to 877 g
CH4/m3.d with empty-bed residence times of 82.8 min. Low-affinity methanotrophs
were responsible for the CH4 removal in these systems (KM(app) ranging from 5.7
to 42.7 uM CH4). Sequencing of 16S rRNA gene amplicons indicated that
Gammaproteobacteria methanotrophs, especially members of the genus Methylobacter,
were responsible for most of the CH4 removal. However, as the compost medium was
replaced with more inert medium, there was a decline in CH4 removal efficiency
coinciding with an increased dominance of Alphaproteobacteria methanotrophs like
Methylocystis and Methylocella. As a biologically-active material, compost served
as the sole source of nutrients and inoculum for the biofilters which greatly
simplified the operation of the system. Higher elimination capacities may be
possible with higher compost content such as a 1:1 ratio of either biochar or
lava rock, while maintaining the empty-bed residence time at 82.8 min.
PMID- 29793108
TI - Manganese contamination affects the motor performance of wild northern quolls
(Dasyurus hallucatus).
AB - Neuromotor deficits are an important sign of manganese (Mn) toxicity in humans
and laboratory animals. However, the impacts of Mn exposure on the motor function
of wild animals remains largely unknown. Here, we assessed the impact of chronic
exposure to Mn from active mining operations on Groote Eylandt, Australia on the
motor function of the semi-arboreal northern quoll (Dasyurus hallucatus), an
endangered species. The three motor tests conducted-maximum sprint speed on a
straight run, manoeuvrability around a corner, and motor control on a balance
beam-showed that elevated Mn body burden did not diminish performance of these
traits. However, quolls with higher Mn body burden approached a corner at a
significantly narrower range of speeds, due to a significantly lower maximum
approach speed. Slower speeds approaching a turn may reduce success at catching
prey and avoiding predators. Given that maximum sprint speed on a straight run
was not affected by Mn body burden, but maximum speed entering a corner was,
slower speeds approaching a turn may reflect compensation for otherwise impaired
performance in the turn.
PMID- 29793110
TI - Remembrance of inferences past: Amortization in human hypothesis generation.
AB - Bayesian models of cognition assume that people compute probability distributions
over hypotheses. However, the required computations are frequently intractable or
prohibitively expensive. Since people often encounter many closely related
distributions, selective reuse of computations (amortized inference) is a
computationally efficient use of the brain's limited resources. We present three
experiments that provide evidence for amortization in human probabilistic
reasoning. When sequentially answering two related queries about natural scenes,
participants' responses to the second query systematically depend on the
structure of the first query. This influence is sensitive to the content of the
queries, only appearing when the queries are related. Using a cognitive load
manipulation, we find evidence that people amortize summary statistics of
previous inferences, rather than storing the entire distribution. These findings
support the view that the brain trades off accuracy and computational cost, to
make efficient use of its limited cognitive resources to approximate
probabilistic inference.
PMID- 29793111
TI - Desire understanding in 2-year-old children: An eye-tracking study.
AB - 'Much research has investigated children's understanding of others' mental states
in terms of beliefs, but far less is known about their understanding of others'
desires. To fill this gap, we used an eye-tracking paradigm to test 2-year-old
children's desire understanding by measuring their anticipatory looking behavior
as well as changes in their internal arousal (i.e., changes in pupil dilation).
Children showed increased pupil dilation when an adult reached for an object she
had previously emoted negatively towards (the object incongruent with her
desire). Children also showed weaker evidence of anticipating that an adult will
reach for an object that she had emoted positively towards (the object congruent
with her desire). These results suggest that 2-year-olds robustly recognize
whether or not an individual's actions are consistent with her desires, and seem
to have a budding capacity to predict an individual's actions based on her
desires. Thus, by age 2 years, children are on their way to acquiring a robust
desire psychology.
PMID- 29793109
TI - 17beta-estradiol as precursors of Cl/Br-DBPs in the disinfection process of
different water samples.
AB - During chlorine disinfection process, reactions between the disinfectant and
17beta-estradiol (E2) lead to the formation of halogenated disinfection
byproducts (DBPs) which can be a risk to both ecosystem and human health. The
degradation and transformation products of E2 in sodium hypochlorite (NaClO)
disinfection processes of different water samples were investigated. The reaction
kinetics research showed that the degradation rates of E2 were considerably
dependent on the initial pH value and the types of water samples. In fresh water,
synthetic marine aquaculture water and seawater, the reaction rate constant was
0.133 min-1, 2.067 min-1 and 2.592 min-1, respectively. The reasons for the above
phenomena may be due to the different concentrations of bromide ions (Br-) in
these three water samples which could promote the reaction between NaClO and E2.
Furthermore, Br- could also cause the formation of brominated DBPs (Br-DBPs). The
main DBPs, reaction centers and conceivable reaction pathways were explored.
Seven halogenated DBPs have been observed including three chlorinated DBPs (Cl
DBPs) and four Br-DBPs. The active sites of E2 were found to be the pentabasic
cyclic ring and the ortho position of the phenol moiety as well as C9-C10
position. The identified Cl/Br-DBPs were also confirmed in actual marine
aquaculture water from a shrimp pond. The comparison of bio-concentration factors
(BCF) values based on calculation of EPI-suite showed that the toxicities of the
Br-DBPs were stronger than that of their chloride analogues. The absorbable
organic halogens (AOX) analysis also suggested that the DBPs produced in the
marine aquaculture water were more toxic than that in the fresh water system.
PMID- 29793112
TI - 3D-Printed membrane as an alternative to amniotic membrane for ocular
surface/conjunctival defect reconstruction: An in vitro & in vivo study.
AB - BACKGROUND: The aim of this study was to evaluate the surgical handling and
clinical applicability of a specific 3D-printed membrane design fabricated using
a gelatin, elastin and sodium hyaluronate blend for conjunctival reconstruction
and compare it with amniotic membrane (AM), which is normally used in such
surgeries. METHODS: 3D printing technique was employed to fabricate the membrane
based on gradient design. Prior to printing, rheometry was employed to optimize
the ink composition. The printed membranes were then fully characterized in terms
of physical and mechanical properties. In vitro viability, proliferation and
adhesion of human limbal epithelial cells were assessed using MTT assay and
scanning electron microscopy (SEM), respectively. Prior to in vivo experiment,
surgical handling of each membrane was evaluated by three surgeons. In vivo
evaluation was conducted through implanting the gelatin-based membranes and AM on
induced conjunctival defects in rabbits (n = 8). Clinical observations, including
epithelialization, inflammation severity, scar tissue formation and presence of
granulation tissue, were recorded from day 1 through day 28. Histological
examination was performed on all enucleated eyes on day 28. In addition to H&E
staining, specific stains including Periodic Acid Schiff staining, Masson's
Trichrome staining and immuno-histochemical staining for alpha-SMA were further
used to assess goblet cell proliferation, healed sub-epithelial stroma and scar
tissue formation and the presence of myofibroblasts, respectively. RESULTS: Among
all the examined compositions, a blend of 8% w/v gelatin, 2% w/v elastin and 0.5%
w/v sodium hyaluronate was found to be appropriate for printing. The printed
membranes had favorable optical characteristics (colorless and transparent), and
the surgical handling was significantly easier compared to AM. Epithelial cells
cultivated on the membranes indicated suitable viability and proliferation, and
SEM images presented appropriate cell adhesion on the surface of the membranes.
Clinical observations suggested similar epithelialization time (approximately 3
weeks) for both the membrane and AM grafted eyes but significantly lower levels
of clinical inflammation in the membrane group from day 1 through day 28 (p =
0.01), which is a key advantage of using the printed membranes over the AM.
Histological examination showed similar qualities in the healed epithelium in
terms of cell morphology and cell layers. However, twice the density of goblet
cells per 100 cells was observed in the gelatin-based membrane grafted group.
Remnant of the degraded implant was seen in only 3 of the membranes, but in 7 of
the AM grafted eyes. Inflammation and granulomatous reaction was significantly
higher in sections containing the AM compared to membrane (p < 0.01 and p = 0.01,
respectively). alpha-SMA staining was more evident, but not significantly
different from the gelatin-based membrane, for the AM group (p = 0.25).
CONCLUSION: The designed gelatin-based membrane offers the necessary physical and
mechanical characteristics needed for successful ocular surface/conjunctival
defect construction and may be considered a promising alternative to AM due to a
more predictable degradation pattern, higher goblet cell density on the healed
epithelium, less inflammation and reduced scar tissue formation.
PMID- 29793113
TI - Assessment of the risk of antiangiogenic agents before and after surgery.
AB - Angiogenesis plays a critical role in the growth, progression, and metastasis of
numerous solid tumor types, and thus, antiangiogenic agents have been studied for
many years as potential therapeutic agents. Many different antiangiogenic agents,
including monoclonal antibodies and multi-targeted tyrosine kinase inhibitors
(TKIs), have been approved for various oncology indications, and promising
clinical activity has been demonstrated. However, some of these agents have also
been associated with serious safety concerns. Because angiogenesis is an
important step in the wound healing process, agents targeting the angiogenesis
pathway may interfere with wound healing, thus increasing the risk of surgical
wound complications, such as dehiscence, surgical site bleeding, and wound
infection. Nevertheless, antiangiogenic agents can be safely used in the
perioperative setting if oncologists and surgeons are educated on the biology and
pharmacokinetics of these agents. This review discusses the available published
literature regarding surgical complications associated with the use of
antiangiogenic agents and provides updated clinical recommendations on the
optimal timing between surgery and antiangiogenic therapy. Due to the paucity of
data surrounding this topic, current and future clinical trials need to evaluate
prospectively the potential risks for surgical complications associated with
antiangiogenic therapies to establish specific guidelines for their safe and
effective use within the surgical oncology community.
PMID- 29793114
TI - Soil types influence the fate of antibiotic-resistant bacteria and antibiotic
resistance genes following the land application of sludge composts.
AB - Sewage sludge was generally considered a significant reservoir of antibiotic
resistance genes (ARGs) and could enter agricultural systems as fertilizer after
composting. Soil types and the discrepancy of sludge composts could have
influenced the fate of antibiotic-resistant bacteria (ARB) following the land
application of sludge composts, which deserved to be clarified. Thus, the fate of
ARB and ARGs following the land application of three types of sludge composts (A,
B, and C) to three different soils (red soil, loess, and black soil) was
investigated. The results showed that tetX, which was enriched the most during
composting, did not affect the soil resistome, whereas tetG did. Soil types
influenced the dynamics of ARB and ARGs significantly, whereas no significant
difference was observed among compost types. The advantage of reducing ARGs
during the composting process in compost B did not extend to land application.
Land application of composts influenced the microbial community significantly at
the early stage, but the microbial community returned to the control pattern
gradually. Changes in the microbial community contributed more to the dynamics of
ARGs in red and black soil compared with other factors, including co-selection
from heavy metals, horizontal gene transfer, biomass and environmental factors,
whereas horizontal gene transfer, reflected by intI1 levels, contributed the most
in loess.
PMID- 29793115
TI - Estimating chemical ecotoxicity in EU ecolabel and in EU product environmental
footprint.
AB - The EU Commission Ecolabel and the Product and Environmental Footprint (PEF) aim
at promoting the development and consumption of greener products. The product
aquatic toxicity score from these 2 methods may lead in some circumstances to
opposite conclusions. Although this could be interpreted as an inconsistency, the
score should not be compared to each other but used in a complementary way. In
short, CDV provided a "full" product formula aquatic toxicity score, even if some
chemicals may never reach or persist in freshwater ecosystems. The USEtox(r)
score, by integrating fate and exposure, focuses on the potential toxicity of
persistent-water-soluble chemicals at steady state. Since no risk or safety
assessment can be conducted with USEtox(r) nor with the CDV, both are a hazard
based scoring system. This short communication clarifies the difference between
approaches underpinning the toxicity scores used in Ecolabel and PEF, providing
guidance on how to interpret the results.
PMID- 29793117
TI - Metagenomic insights into the microbiota profiles and bioaugmentation mechanism
of organics removal in coal gasification wastewater in an anaerobic/anoxic/oxic
system by methanol.
AB - Coal gasification wastewater is a typical high phenol-containing, toxic and
refractory industrial wastewater. Here, lab-scale anaerobic-anoxic-oxic system
was employed to treat real coal gasification wastewater, and methanol was added
to oxic tank as the co-substrate to enhance the removal of refractory organic
pollutants. The results showed that the average COD removal in oxic effluent
increased from 24.9% to 36.0% by adding methanol, the total phenols concentration
decreased from 54.4 to 44.9 mg/L. GC-MS analysis revealed that contents of
phenolic components and polycyclic aromatic hydrocarbons (PAHs) were decreased
compared to the control and their degradation intermediates were observed.
Microbial community revealed that methanol increased the abundance of phenolics
and PAHs degraders such as Comamonas, Burkholderia and Sphingopyxis. Moreover,
functional analysis revealed the relative abundance of functional genes
associated with toluene, benzoate and PAHs degradation pathways was higher than
that of control based on KEGG database.
PMID- 29793116
TI - Patterns of Invasive Growth in Malignant Gliomas-The Hippocampus Emerges as an
Invasion-Spared Brain Region.
AB - BACKGROUND: Widespread infiltration of tumor cells into surrounding brain
parenchyma is a hallmark of malignant gliomas, but little data exist on the
overall invasion pattern of tumor cells throughout the brain. METHODS: We have
studied the invasive phenotype of malignant gliomas in two invasive mouse models
and patients. Tumor invasion patterns were characterized in a patient-derived
xenograft mouse model using brain-wide histological analysis and magnetic
resonance (MR) imaging. Findings were histologically validated in a cdkn2a-/-
PDGF-beta lentivirus-induced mouse glioblastoma model. Clinical verification of
the results was obtained by analysis of MR images of malignant gliomas. RESULTS:
Histological analysis using human-specific cellular markers revealed invasive
tumors with a non-radial invasion pattern. Tumors cells accumulated in structures
located far from the transplant site, such as the optic white matter and pons,
whereas certain adjacent regions were spared. As such, the hippocampus was
remarkably free of infiltrating tumor cells despite the extensive invasion of
surrounding regions. Similarly, MR images of xenografted mouse brains displayed
tumors with bihemispheric pathology, while the hippocampi appeared relatively
normal. In patients, most malignant temporal lobe gliomas were located lateral to
the collateral sulcus. Despite widespread pathological fluid-attenuated inversion
recovery signal in the temporal lobe, 74% of the "lateral tumors" did not show
signs of involvement of the amygdalo-hippocampal complex. CONCLUSIONS: Our data
provide clear evidence for a compartmental pattern of invasive growth in
malignant gliomas. The observed invasion patterns suggest the presence of
preferred migratory paths, as well as intra-parenchymal boundaries that may be
difficult for glioma cells to traverse supporting the notion of compartmental
growth. In both mice and human patients, the hippocampus appears to be a brain
region that is less prone to tumor invasion.
PMID- 29793118
TI - Efficient resource recycling from liquid digestate by microalgae-yeast mixed
culture and the assessment of key gene transcription related to nitrogen
assimilation in microalgae.
AB - To determine the feasibility of microalgae-yeast mixed culture using the liquid
digestate of dairy wastewater (LDDW) for biofuels and single cell protein (SCP)
production, the cell growth, nutrient removal and outputs evaluation of the mono
and mixed culture of Chlorella vulgaris and Yarrowia lipolytica in LDDW were
investigated by adding glycerol as carbon source. The results showed that the
mixed culture could enhance the biological utilization efficiency of nitrogen and
phosphorus, and obtain higher yield of biomass (1.62 g/L), lipid (0.31 g/L),
protein (0.51 g/L), and higher heating value (34.06 KJ/L). Compared with the mono
culture of C. vulgaris, a decline of the transcription level in nitrate reductase
and glutamine synthetase II genes in C. vulgaris was observed in the mixed
culture when ammonia was sufficient. The results suggest the possibility of using
the mixed culture for the efficient treatment of LDDW and resources recycling.
PMID- 29793119
TI - Bioaugmentation potential of a newly isolated strain Sphingomonas sp. NJUST37 for
the treatment of wastewater containing highly toxic and recalcitrant
tricyclazole.
AB - In order to develop an effective bioaugmentation strategy for the removal of
highly toxic and recalcitrant tricyclazole from wastewater, a tricyclazole
degrading strain was firstly successfully isolated and identified as Sphingomonas
sp. NJUST37. In batch reactors, 100 mg L-1 tricyclazole could be completely
removed within 102 h, which was accompanied by significant biomass increase, TOC
and COD removal, as well as toxicity reduction. Chromatography analysis and
density functional theory simulation indicated that monooxygenation occurred
firstly, followed by triazole ring cleavage, decyanation reaction, hydration
reaction, deamination, dihydroxylation and final mineralization reaction.
Tricyclazole biodegradation condition by NJUST37 was optimized in terms of
temperature, pH, tricyclazole concentration and additional carbon and nitrogen
sources. After the inoculation of NJUST37 into a pilot-scale powdered activated
carbon treatment tank treating real fungicide wastewater, tricyclazole removal
efficiency increased to higher than 90%, demonstrating the great potential of
NJUST37 for bioaugmentation particularly on tricyclazole biodegradation in
practice.
PMID- 29793120
TI - Socioeconomic status of parents with children participating on youth club sport
teams.
AB - OBJECTIVES: To describe the socioeconomic status, measured by household income
and educational attainment, of parents with children participating on youth club
sport teams. DESIGN: Cross-sectional survey. SETTING: Local sport events.
PARTICIPANTS: 949 parents (571 female) of youth athletes between 10 and 18 years
old were recruited at club team events and practices to complete an anonymous
questionnaire. MAIN OUTCOME MEASURES: SES variables included total household
income (THI) and educational attainment. Sport specialization was classified as
low, moderate, or high using a previously utilized 3-point specialization scale.
Chi-square tests were used to compare frequencies SES categories with child
specialization. RESULTS: Parents reported spending a median of 1500 [500-3000]
USD per year on their children's club sports activities. Most parents reported a
THI greater than 100,000 USD per year and a bachelor's degree or higher level of
education. Parents in the higher THI categories were more likely to have a child
that is highly specialized in one sport. CONCLUSION: The current youth sports
system in the United States, which emphasizes year-round participation on club
teams, may be limiting the participation of families without the resources to
participate in this system.
PMID- 29793121
TI - Head coaches' attitudes towards injury prevention and use of related methods in
professional basketball: A survey.
AB - OBJECTIVES: To investigate the practices and attitudes of professional basketball
head coaches towards injury prevention. DESIGN: Survey. SETTING: Elite-level
basketball. PARTICIPANTS: Head coaches of all 366 German professional teams. MAIN
OUTCOME MEASURES: Use of injury risk screening methods, rated importance of
different musculoskeletal injuries and rated effectiveness of preventive
interventions. RESULTS: Eighty-three of 366 invited coaches (23%) responded to
the survey. No non-response bias was detected. Only one of three teams conducts
systematic injury screenings. The most commonly used test was the functional
movement screen (73.1% of users), while balance and strength testing (both 38.5%)
were least prevalent. Top-rated preventive interventions included balance and
strength training, training of functional movement patterns, and stretching. In
contrast, passive interventions, e.g. the use of orthoses, were not considered
effective. The involvement of a health professional (e.g. physiotherapist) was
associated with the performance of injury screening, but not with the choice of
specific tests or preventive strategies. CONCLUSIONS: The methods applied to
conduct injury screening and prevent musculoskeletal disorders in German
professional basketball teams seem only partially backed by scientific evidence.
Although not correlated with the tests and interventions used, the involvement of
health-related stakeholders might help to identify players at increased injury
risk.
PMID- 29793122
TI - Intra- and interrater reliability of the 'lumbar-locked thoracic rotation test'
in competitive swimmers ages 10 through 18 years.
AB - OBJECTIVES: Measuring thoracic spine mobility can be of interest to competitive
swimmers as it has been associated with shoulder girdle function and scapular
position in subjects with and without shoulder pain. At present, no reliability
data of thoracic spine mobility measurements are available in the swimming
population. This study aims to evaluate the within-session intra- and interrater
reliability of the "lumbar-locked rotation test" for thoracic spine rotation in
competitive swimmers aged 10 to 18 years. This reliability study is part of a
larger prospective cohort study investigating potential risk factors for the
development of shoulder pain in competitive swimmers. DESIGN: Within-session,
intra- and inter-rater reliability. SETTING: Competitive swimming clubs in
Belgium. PARTICIPANTS: 21 competitive swimmers. MAIN OUTCOME MEASURES: Intra- and
inter-rater reliability of the lumbar-locked thoracic rotation test. RESULTS:
Intraclass correlation coefficients (ICCs) ranged from 0.91 (95% CI 0.78 to 0.96)
to 0.96 (0.89-0.98) for intra-rater reliability. Results for inter-rater
reliability ranged from 0.89 (0.72-0.95) to 0.86 (0.65-0.94) respectively for
right and left thoracic rotation. CONCLUSION: Results suggest good to excellent
reliability of the lumbar-locked thoracic rotation test, indicating this test can
be used reliably in clinical practice.
PMID- 29793123
TI - The Beighton score as a predictor of Brighton criteria in sport and dance.
AB - OBJECTIVES: To determine the efficacy of using the Beighton joint hypermobility
score as a predictor of Brighton criteria components, considering the influence
of gender and sports participation. DESIGN: Cross sectional study design.
SETTING: A University. PARTICIPANTS: Sixty-five female rugby players, 38 male
rugby players, 61 netball players, 42 female dancers, 40 male controls and 40
female controls. MAIN OUTCOME MEASURES: The Beighton score was assessed using the
Beighton and Horan Joint Mobility Index. The Brighton criteria was used to assess
joint hypermobility syndrome. A binary logistic regression was performed for a
pooled sample (n = 286), and subsequently for gender and sport to assess the
Beighton score as a predictor of Brighton criteria. RESULTS: Beighton scores were
found to be a predictor of arthralgia (P = 0.002), dislocation and subluxation (P
= 0.048) in the pooled analysis; a predictor of dislocation and subluxation (P =
0.047) in males and arthralgia (P = 0.001) in females. Beighton scores were a
predictor of arthralgia in female rugby (P = 0.003) and in female controls (P =
0.012). CONCLUSIONS: The potential of the Beighton score to predict joint
arthralgia and dislocation/subluxation may allow clinicians to implement
effective injury prevention strategies.
PMID- 29793124
TI - How to manage patellofemoral pain - Understanding the multifactorial nature and
treatment options.
AB - Patellofemoral pain (PFP) is one of the most prevalent conditions within sports
medicine, orthopaedic and general practice settings. Long-term treatment outcomes
are poor, with estimates that more than 50% of people with the condition will
report symptoms beyond 5 years following diagnosis. Additionally, emerging
evidence indicates that PFP may be on a continuum with patellofemoral
osteoarthritis. Consensus of world leading clinicians and academics highlights
the potential benefit of delivering tailored interventions, specific to an
individual's needs, to improve patient outcome. This clinical masterclass aims to
develop the reader's understanding of PFP aetiology, inform clinical assessment
and increase knowledge regarding individually tailored treatment approaches. It
offers practical application guidance, and additional resources, that can
positively impact clinical practice.
PMID- 29793125
TI - Assessment of cam morphology of the hip with ultra sound imaging by physical
therapists is reliable and valid.
AB - BACKGROUND: Femoroacetabular impingement syndrome is considered present when a
combination of clinical symptoms, signs and morphological features of the hip co
exist. Imaging is increasingly popular in primary health care settings with
physical therapists performing ultrasound (US). OBJECTIVE: The aim of this study
was to assess the diagnostic accuracy and inter-rater reliability of ultrasound
in determining presence of cam morphology. DESIGN: A concurrent validity and
inter-rater reliability study. METHODS: The presence of cam morphology of the hip
was determined by alpha angle measures using Kinovea software. A cam was defined
present when the alpha angle >60 degrees . The index test was US and radiographs
served as a gold standard. RESULTS: Correlation between the radiographic alpha
angle and ultrasound measures was r = 0.82 (p < 0.01). Inter-rater reliability
was high with an ICC of 0.74 (95% CI 0.61-0.84). The predictive value for
presence of cam morphology had a sensitivity of 79% and a specificity of 94%. The
positive predictive value was 79% whereas the negative predictive value was 94%.
Total accuracy was 90%. CONCLUSIONS: Ultrasound conducted by trained physical
therapists is a valid, reliable, specific and sensitive diagnostic tool to assess
the presence of cam morphology.
PMID- 29793126
TI - Effect of manual therapy versus proprioceptive neuromuscular facilitation in
dynamic balance, mobility and flexibility in field hockey players. A randomized
controlled trial.
AB - OBJECTIVES: To compare the effectiveness of a specific Manual Therapy (MT)
protocol applied to field hockey players (FHP), versus a Proprioceptive
Neuromuscular Facilitation (PNF) protocol, in the improvement of dynamic balance,
active range of movement and lumbar flexibility one-week and four-weeks after the
treatment. DESIGN: Randomized controlled trial. Participants were assigned to 2
groups: MT and PNF. 30 min' sessions were performed once a week for three weeks.
Three evaluations were performed: basal, one-week and four-weeks post-treatment.
SETTING: University of Valencia (Spain). PARTICIPANTS: 22 in MT group and 20 in
PNF group. MAIN OUTCOME MEASURES: Dynamic Balance, measured with Star Excursion
Balance Test; Active Range of Motion (ROM), using a manual goniometer and Lumbar
Flexibility, assessed with Fingertip-to-floor test. RESULTS: Both groups
significantly improved in lateral and medial dynamic balance one-week post
treatment (p < 0.05); but the improvement in the MT group lasted until the fourth
week after treatment in both reaches (lateral and medial) (p < 0.05). MT group
also obtained significant improvements in dorsal flexion of the ankle in the
fourth-week post-treatment (p < 0.05) and in lumbar flexibility one-week post
treatment (p < 0.05). CONCLUSIONS: MT and PNF improve dynamic balance one-week
post-treatment; however, the improvement obtained through MT is maintained four
weeks later. Only MT improves dorsal flexion of the ankle four-weeks post
treatment and lumbar flexibility one-week post-treatment.
PMID- 29793127
TI - Effects of sodium salicylate on the determination of Lead-210/Bismuth-210 by
Cerenkov counting.
AB - Due to the nature of Cerenkov radiation and instrumental limitations, detection
efficiencies of 210Bi by Cerenkov counting are generally quite low (~15%). Sodium
salicylate, acting as a wavelength shifter, has been used to improve the
detection efficiency of Cerenkov photons. In this study, we found that the
addition of sodium salicylate could significantly increase the counting
efficiencies of 210Pb/210Bi in aqueous samples. Meanwhile, a sharp increase of
the counting efficiency for the alphas from 210Po was also observed with the
addition of high concentration of sodium salicylate, implying that scintillation
light rather than Cerenkov photons from the alphas has been produced. Detailed
studies about the effects of sodium salicylate on the counting of 210Pb, 210Bi
and 210Po were conducted. At low concentration (< 0.5 mg g-1) of sodium
salicylate, only a small increase in Cerenkov counting efficiency for 210Bi by
the wavelength-shifting effect could be observed. Meanwhile, the counting
efficiency for 210Bi at high concentration (> 1 mg g-1) of sodium salicylate
would significantly increase due to the scintillation effect.
PMID- 29793129
TI - Global Mittag-Leffler stability and synchronization analysis of fractional-order
quaternion-valued neural networks with linear threshold neurons.
AB - This paper talks about the stability and synchronization problems of fractional
order quaternion-valued neural networks (FQVNNs) with linear threshold neurons.
On account of the non-commutativity of quaternion multiplication resulting from
Hamilton rules, the FQVNN models are separated into four real-valued neural
network (RVNN) models. Consequently, the dynamic analysis of FQVNNs can be
realized by investigating the real-valued ones. Based on the method of M-matrix,
the existence and uniqueness of the equilibrium point of the FQVNNs are obtained
without detailed proof. Afterwards, several sufficient criteria ensuring the
global Mittag-Leffler stability for the unique equilibrium point of the FQVNNs
are derived by applying the Lyapunov direct method, the theory of fractional
differential equation, the theory of matrix eigenvalue, and some inequality
techniques. In the meanwhile, global Mittag-Leffler synchronization for the drive
response models of the addressed FQVNNs are investigated explicitly. Finally,
simulation examples are designed to verify the feasibility and availability of
the theoretical results.
PMID- 29793128
TI - Convolutional neural networks for seizure prediction using intracranial and scalp
electroencephalogram.
AB - Seizure prediction has attracted growing attention as one of the most challenging
predictive data analysis efforts to improve the life of patients with drug
resistant epilepsy and tonic seizures. Many outstanding studies have reported
great results in providing sensible indirect (warning systems) or direct
(interactive neural stimulation) control over refractory seizures, some of which
achieved high performance. However, to achieve high sensitivity and a low false
prediction rate, many of these studies relied on handcraft feature extraction
and/or tailored feature extraction, which is performed for each patient
independently. This approach, however, is not generalizable, and requires
significant modifications for each new patient within a new dataset. In this
article, we apply convolutional neural networks to different intracranial and
scalp electroencephalogram (EEG) datasets and propose a generalized retrospective
and patient-specific seizure prediction method. We use the short-time Fourier
transform on 30-s EEG windows to extract information in both the frequency domain
and the time domain. The algorithm automatically generates optimized features for
each patient to best classify preictal and interictal segments. The method can be
applied to any other patient from any dataset without the need for manual feature
extraction. The proposed approach achieves sensitivity of 81.4%, 81.2%, and 75%
and a false prediction rate of 0.06/h, 0.16/h, and 0.21/h on the Freiburg
Hospital intracranial EEG dataset, the Boston Children's Hospital-MIT scalp EEG
dataset, and the American Epilepsy Society Seizure Prediction Challenge dataset,
respectively. Our prediction method is also statistically better than an
unspecific random predictor for most of the patients in all three datasets.
PMID- 29793130
TI - Anxiety, memories and coping in patients undergoing intracranial tumor surgery.
AB - OBJECTIVES: The diagnosis and the surgical removal of a brain tumor can have
serious impact on the quality of life of a patient. The question rises, whether
having more or just less memories of the procedure is better for coping with such
an event. Furthermore, for preoperative information of future patients it is
important to know how patients process their emotions and memories. The primary
objective of this study was to investigate the link between preoperative anxiety,
the perioperative experience and the quantity and quality of postoperative
memories in patients who underwent intracranial tumor surgery. PATIENTS AND
METHODS: This study was a retrospective observational study; all patients who
underwent intracranial tumor surgery at the Erasmus Medical Centre Rotterdam
between January 1st 2014 and December 31st 2015 were identified. In May 2016, all
patients who were not registered as deceased were sent a questionnaire about
their anxieties, perceptions and memories of the perioperative period. RESULTS:
In total 476 patients were included. 272 patients responded, which resulted in a
response rate of 57.14%. In the general anesthesia (GA) group there was a
significant negative correlation between anxiety in the perioperative period and
the quantity and quality of memories. In the awake craniotomy group, there was a
significant negative correlation between anxiety after the operation and the
quantity of memories. CONCLUSION: Patients in the GA group who experienced
anxiety in the perioperative period had less quantity and quality of memories and
less patient satisfaction. Patients in the AC group who experienced anxiety after
the operation had only a lower quantity of the memory; there was no correlation
with patient satisfaction.
PMID- 29793131
TI - TLR2/4 ligand-amplified liver inflammation promotes initiation of autoimmune
hepatitis due to sustained IL-6/IL-12/IL-4/IL-25 expression.
AB - Autoimmune hepatitis (AIH), a serious autoimmune liver disease, can be a lifelong
illness, leading to fibrosis, cirrhosis, and hepatocellular carcinoma (HCC). So
far the mechanisms for disease initiation are largely unknown. Here we report
that the amplified non-AIH liver inflammation could promote the initiation of AIH
due to the sustained increase of IL-6, IL-12, IL-4, and IL-25 in the liver. The
liver injury resulting from virus (adenovirus) or chemicals (CCl4) could induce
an amplified (stronger/long-lasting) hepatic inflammation by releasing the
ligands for TLR2/TLR4. The amplified inflammation resulted in the increase of
multiple cytokines and chemokines in the liver. Among them, the sustained
increase of IL-6/IL-12 resulted in the activation of STAT3 and STAT4 in hepatic
CD4+CD25+ Treg cells, thus suppressing Foxp3 gene expression to reduce the
suppressive function of Treg cells in the liver, but not those in the spleen. The
increase of IL-12 and the impairment of Treg function promoted Th1 response in
presence of self-mimicking antigen (human CYP2D6). Intriguingly, the amplified
inflammation resulted in the increase of IL-4 and IL-25 in the liver. The
moderate increase of IL-4 was sufficient for cooperating with IL-25 to initiate
Th2 response, but inefficient in suppressing Th1 response, favoring the
initiation of autoimmune response. Consequently, either adenovirus/CYP2D6 or
CCl4/CYP2D6 could induce the autoimmune response and AIH in the mice, leading to
hepatic fibrosis. The findings in this study suggest that the amplified non-AIH
inflammation in the liver could be a driving force for the initiation of
autoimmune response and AIH.
PMID- 29793132
TI - pH responsive label-assisted click chemistry triggered sensitivity amplification
for ultrasensitive electrochemical detection of carbohydrate antigen 24-2.
AB - Sensitivity amplification strategy by implementing click chemistry in the
construction of biosensing interface can efficiently improve the performance of
immunosensor. Herein, we developed a sandwich-type amperometric immunosensor for
ultrasensitive detection of carbohydrate antigen 24-2 (CA 242) based on pH
responsive label-assisted click chemistry triggered sensitivity amplification
strategy. The sensitivity of amperometric immunosensor relies on the current
response differences (DeltaI) caused by per unit concentration target analyte.
The pH responsive Cu2+-loaded polydopamine (CuPDA) particles conjugated with
detection antibodies were employed as labels, which can release Cu(II) ions by
regulating pH. In the presence of ascorbic acid (reductant), Cu(II) ions were
reduced to Cu(I) ions. Azide-functionalized double-stranded DNA (dsDNA) as signal
enhancer was immobilized on the substrate through Cu+-catalyzed azide/alkyne
cycloaddition reaction. With the help of the click reaction, the DeltaI caused by
target was elevated prominently, resulting in sensitivity amplification of the
immunosensor. Under optimal condition, the proposed immunosensor exhibited
excellent performance with linear range from 0.0001 to 100 U mL-1 and ultralow
detection limit of 20.74 MUU mL-1. This work successfully combines click
chemistry with pH-responsive labels in sandwich-type amperometric immunosensor,
providing a promising sensitivity amplification strategy to construct
immunosensing platform for analysis of other tumor marker.
PMID- 29793133
TI - An ultrasensitive and selective electrochemical aptasensor based on rGO
MWCNTs/Chitosan/carbon quantum dot for the detection of lysozyme.
AB - An aptamer-based method is described for the electrochemical determination of
lysozyme. A glassy carbon electrode was modified with a nanocomposite composed of
reduced graphene oxide (rGO), multi-walled carbon nanotubes (MWCNTs), chitosan
(CS), and a synthesized carbon quantum dot (CQD) from CS. The composition of the
nanocomposite (rGO-MWCNT/CS/CQD) warrants a high surface-to-volume ratio, high
conductivity, high stability, and great electrocatalytic activity. This
nanocomposite provides a suitable site for better immobilization of aptamers due
to the existence of many amino and carboxyl functional groups, and remaining
oxygen-related defects properties in rGO. In addition, this nanocomposite allows
considerable enhancement of the electrochemical signal and contributes to
improving sensitivity. The amino-linked lysozyme aptamers were immobilized on the
nanocomposite through covalent coupling between the amino groups of the aptamer
and the amino groups of the nanocomposite using glutaraldehyde (GLA) linker. The
modified electrode was characterized by electrochemical methods including
differential pulse voltammetry (DPV), cyclic voltammetry (CV), and
electrochemical impedance spectroscopy (EIS). In the presence of lysozyme, the
immobilized aptamer selectively caught the target lysozyme on the electrode
interface that leads to a decrease in the DPV peak current and an increase in
Charge Transfer Resistance (Rct) in EIS as an analytical signal. Using the
obtained data from DPV and EIS techniques, two calibration curves were drawn. The
anti-lysozyme aptasensor proposed has two very low LODs. These measures are 3.7
and 1.9 fmol L-1 within the wide detection ranges of 20 fmol L-1 to 10 nmol L-1,
and 10 fmol L-1 to 100 nmol L-1 for DPV and EIS calibration curves, respectively.
The GCE/rGO-MWCNT/CS/CQD showed sensitivity, high reproducibility, specificity
and rapid response for lysozyme which can be used in biomedical fields.
PMID- 29793134
TI - Voxel-based automated detection of focal cortical dysplasia lesions using
diffusion tensor imaging and T2-weighted MRI data.
AB - The aim of this study was to automatically detect focal cortical dysplasia (FCD)
lesions in patients with extratemporal lobe epilepsy by relying on diffusion
tensor imaging (DTI) and T2-weighted magnetic resonance imaging (MRI) data. We
implemented an automated classifier using voxel-based multimodal features to
identify gray and white matter abnormalities of FCD in patient cohorts. In
addition to the commonly used T2-weighted image intensity feature, DTI-based
features were also utilized. A Gaussian processes for machine learning (GPML)
classifier was tested on 12 patients with FCD (8 with histologically confirmed
FCD) scanned at 1.5 T and cross-validated using a leave-one-out strategy.
Moreover, we compared the multimodal GPML paradigm's performance with that of
single modal GPML and classical support vector machine (SVM). Our results
demonstrated that the GPML performance on DTI-based features (mean AUC = 0.63)
matches with the GPML performance on T2-weighted image intensity feature (mean
AUC = 0.64). More promisingly, GPML yielded significantly improved performance
(mean AUC = 0.76) when applying DTI-based features to multimodal paradigm. Based
on the results, it can also be clearly stated that the proposed GPML strategy
performed better and is robust to unbalanced dataset contrary to SVM that
performed poorly (AUC = 0.69). Therefore, the GPML paradigm using multimodal MRI
data containing DTI modality has promising result towards detection of the FCD
lesions and provides an effective direction for future researches.
PMID- 29793135
TI - Accuracy of magnetic resonance venography in diagnosing cerebral venous sinus
thrombosis.
AB - OBJECTIVES: The non-specific clinical manifestations and lack of effective
diagnostic techniques have made cerebral venous sinus thrombosis (CVST) difficult
to recognize and easy to misdiagnose. Several studies have suggested that
different types of magnetic resonance venography (MRV) have advantages in
diagnosing CVST. We conducted this meta-analysis to assess the accuracy of MRV in
identifying CVST. MATERIAL AND METHODS: We searched the Embase, PubMed, and
Chinese Biomedical (CBM) databases comprehensively to retrieve eligible articles
up to Mar 31, 2018. The methodological quality of each article was evaluated
individually. The summary diagnostic accuracy of MRV for CVST was obtained from
pooled analysis with random-effects models. Sensitivity analysis, subgroup
analysis, and meta-regression were used to explore the sources of heterogeneity.
A trim and fill analysis was conducted to correct the funnel plot asymmetry.
RESULTS: The meta-analysis synthesized 12 articles containing 27 cohorts with a
total of 1933 cases. The pooled sensitivity and specificity were 0.86 (95% CI:
0.83, 0.89) and 0.94 (95% CI: 0.93, 0.95), respectively. The pooled diagnostic
odds ratio (DOR) was 75.24 (95% CI: 38.33, 147.72). The area under the curve
(AUC) was 0.9472 (95% CI: 0.9229, 0.9715). Subgroup analysis and meta-regression
analysis revealed the technical types of MRV and the methods of counting cases
contributing to the heterogeneity. The trim and fill method confirmed that
publication bias has little effect on our results. CONCLUSIONS: MRV has excellent
diagnostic performance and is accurate in confirming CVST.
PMID- 29793136
TI - Effects of gestational hypertension and pre-eclampsia in mRNA expression of
fibrinolysis genes in primary cultured human umbilical vein endothelial cells.
AB - Hypertension disorders (HD) and pre-eclampsia (PRE) are leading causes of
maternal deaths worldwide. PRE is associated with vascular endothelial
dysfunction and with deregulation of the fibrinolysis pathway genes. Fibrinolysis
is the fibrin clot hydrolysis process catalyzed by plasmin, a proteolytic enzyme
formed from plasminogen. Plasminogen is cleaved by tissue-type (tPA) and
urokinase-type (uPA) activators and inhibited by the plasminogen activator
inhibitors type-1 (PAI-1) and type-2 (PAI-2). The whole process maintains blood
hemostasis. This study aims to assess PAI-1, PAI-2, tPA and uPA mRNA expression
in primary cultured human umbilical vein endothelial cells (HUVEC) isolated and
cultured from healthy, HD and PRE women. Results show that PAI-1 and PAI-2 mRNA
decreased in HD-HUVEC, whereas PAI-1 and uPA decreased in PRE-HUVEC cultures
compared to control ones. Notably, the expression ratio between pro- and anti
fibrinolytic actors remained unchanged among the studied groups. It seems that
newborn's hemostasis is maintained balanced probably by a compensatory mechanism
that involves changes in the fibrinolysis gene expression profile. The real
impact of these changes in mRNA expression is unknown, however, it is suggested
that these changes could be associated with an increased predisposition to
vascular disease development in the progeny.
PMID- 29793138
TI - Computation of marginal distributions of peak-heights in electropherograms for
analysing single source and mixture STR DNA samples.
AB - Current models for single source and mixture samples, and probabilistic
genotyping software based on them used for analysing STR electropherogram data,
assume simple probability distributions, such as the gamma distribution, to model
the allelic peak height variability given the initial amount of DNA prior to PCR
amplification. Here we illustrate how amplicon number distributions, for a model
of the process of sample DNA collection and PCR amplification, may be efficiently
computed by evaluating probability generating functions using discrete Fourier
transforms.
PMID- 29793139
TI - The use of low-intensity pulsed ultrasound in treating delayed union of fifth
metatarsal fractures.
AB - BACKGROUND: There are no studies looking at the success rate of low-intensity
pulsed ultrasound (LIPUS) in fifth metatarsal fracture delayed unions to our
knowledge. The aim of this study is to investigate the use of LIPUS treatment for
delayed union of fifth metatarsal fractures. METHODS: A retrospective review of
patients who were treated with LIPUS following a delayed union of fifth
metatarsal fracture was conducted over a three-year period. RESULTS: There were
thirty patients (9 males, 21 females) in this cohort. The average age was 39.3
years. Type 2 fractures made up 43% of our cohort. Twenty-seven (90%) patients
went on to progress to union clinically and radiologically following LIPUS
treatment. Smoking (p=0.014) was predictive of non-union. Assuming that there
were 10 delayed unions a year and 6 went on to non-union as previously suggested
by a systematic review, the cost savings of using LIPUS (90% success rate; 10
LIPUS machine and surgery for 1 non-union) vs operative intervention (surgery for
6 non-union) equates to a cost saving of L7765 a year. CONCLUSION: There is a
role for the use of LIPUS in delayed union of fifth metatarsal fractures and can
serve as an adjunct prior to consideration of surgery. The findings of this study
also suggest the use of LIPUS to be a cost effective treatment modality compared
to surgical management. LEVEL OF EVIDENCE: Level 4.
PMID- 29793140
TI - Effectiveness of a voluntary family befriending service: a mixed methods
evaluation using the Donabedian model.
AB - OBJECTIVES: Voluntary befriending schemes operate in many countries, promoting
public health by supporting vulnerable individuals and families. Use of third
sector and voluntary services to complement health and social care provision is
increasingly important globally in the context of economic and demographic
challenges, but the evidence base around such collaborations is limited. This
article reports the results of operational evaluation research seeking to use
robust routine work to generate transferable findings for use by those
commissioning and providing services. The subject of our evaluation research is
'Home-Start Suffolk' (HSS) in Suffolk County, UK, an example of a third sector
organisation commissioned to support the public health offer to local families.
STUDY DESIGN: This evaluation research used the Donabedian framework, which
assesses the structure, process and outcome in delivery of health services.
METHODS: Methods included a cross-sectional stakeholder survey with qualitative
and quantitative elements (n = 96), qualitative interviews (n = 41) and
quantitative analysis of the service's routine data (5740 visits) for the period
from 01 July 2014 to 01 July 2016. RESULTS: Triangulation of data from each
component revealed that HSS was perceived by diverse stakeholders to successfully
support families in need of additional help. HSS service users perceived the
service to offer greater flexibility, to be tailored to their needs and to be
more trustworthy and supportive than statutory services. Volunteering with HSS
enabled people to feel productive in their community and gain new skills.
Managers of social care services perceived that HSS activity decreased burden on
their staff. These benefits were facilitated through a long-standing
organisational HSS structure and relationships between HSS and social care.
Challenges posed by service provision by a third sector organisation included the
need for volunteers to negotiate the boundary between being a friend and a
professional outside of a professional framework. Quantitative analysis of impact
was limited by the poor quality of routinely collected administrative data,
highlighting the importance of planning processes for data collection with
evaluation in mind. CONCLUSION: We believe that the results of this evaluation
research provide transferrable lessons. They demonstrate how a third sector
organisation with a long-standing structure and relationships with statutory
services was able to reduce perceived service burden while also offering support
in a more flexible and tailored way greatly valued by service users.
PMID- 29793137
TI - 3-O sulfation of heparin leads to hepatotropism and longer circulatory half-life.
AB - INTRODUCTION: Heparins are common blood anticoagulants that are critical for many
surgical and biomedical procedures used in modern medicine. In contrast to
natural heparin derived from porcine gut mucosa, synthetic heparins are
homogenous by mass, polymer length, and chemistry. MATERIALS & METHODS: Stable
cell lines expressing the human and mouse Stabilin receptors were used to
evaluate endocytosis of natural and synthetic heparin. We chemoenzymatically
produced synthetic heparin consisting of 12 sugars (dodecamers) containing 14
sulfate groups resulting in a non-3-O sulfated structure (n12mer). Half of the
n12mer was modified with a 3-O sulfate on a single GlcNS sugar producing the 3-O
sulfated heparin (12mer). Wildtype (WT), Stabilin-1 knock-out (KO), and Stabilin
2 KO C57BL/6 mice were developed and used for metabolic studies and provided as a
source for primary liver sinusoidal endothelial cells. RESULTS & CONCLUSIONS:
Human and mouse Stabilin-2 receptors had very similar endocytosis rates of both
the 12mer and n12mer, suggesting that they are functionally similar in primary
cells. Subcutaneous injections of the n12mer and 12mer revealed that the 12mer
had a much longer half-life in circulation and a higher accumulation in liver.
The n12mer never accumulated in circulation and was readily excreted by the
kidneys before liver accumulation could occur. Liver sinusoidal endothelial cells
from the Stabilin-2 KO mice had lower uptake rates for both dodecamers, whereas,
the Stabilin-1 KO mice had lower endocytosis rates for the 12mer than the n12mer.
3-O sulfation of heparin is correlated to both a longer circulatory half-life and
hepatotropism which is largely performed by the Stabilin receptors.
PMID- 29793141
TI - New contributions to Gruberia lanceolata (Gruber, 1884) Kahl, 1932 based on
analyses of multiple populations and genes (Ciliophora, Heterotrichea,
Gruberiidae).
AB - Gruberia Kahl, 1932 is a species-poor genus comprising only seven named species.
Most of these species have not been reinvestigated since the original reports. In
the present work, we investigated the taxonomy and phylogeny of Gruberia
lanceolata (Gruber, 1884) Kahl, 1932 based on analyses of morphology and multiple
gene sequences from four South Korean populations. This species is mainly
characterized by a well-developed peristome region, segmented paroral membrane,
and moniliform macronucleus. Some morphological features were not stable among
the four populations investigated, such as body shape and size, cell color, and
the ratio of oral length to body length. However, our molecular analyses of four
different genetic markers - three nuclear DNA markers (18S rDNA, ITS1-5.8S-ITS2
region, D1D2 of 28S rDNA) and one mitochondrial (mt) marker (CO1 gene) -
indicated that all Korean populations examined were the same species. Based on
our present findings and historic works, we propose that G. calkinsi, G.
aculeata, and G. beninensis are junior synonyms of G. lanceolata.
PMID- 29793142
TI - Synthesis of aryl pyrazole via Suzuki coupling reaction, in vitro mushroom
tyrosinase enzyme inhibition assay and in silico comparative molecular docking
analysis with Kojic acid.
AB - Aryl pyrazoles are well recognized class of heterocyclic compounds found in
several commercially available drugs. Owing to their significance in medicinal
chemistry, in this current account we have synthesized a series of suitably
substituted aryl pyrazole by employing Suzuki cross-coupling reaction. All
compounds were evaluated for inhibition of mushroom tyrosinase enzyme both in
vitro and in silico. Compound 3f (IC50 = 1.568 +/- 0.01 uM) showed relatively
better potential compared to reference kojic acid (IC50 = 16.051 +/- 1.27 uM). A
comparative docking studies showed that compound 3f have maximum binding affinity
against mushroom tyrosinase (PDBID: 2Y9X) with binding energy value (-6.90
kcal/mol) as compared to Kojic acid. The 4-methoxy group in compound 3f shows
100% interaction with Cu. Compound 3f displayed hydrogen binding interaction with
His61 and His94 at distance of 1.71 and 1.74 A which might be responsible for
higher activity compared to Kojic acid.
PMID- 29793143
TI - Oxidation at C-16 enhances butyrylcholinesterase inhibition in lupane
triterpenoids.
AB - A set of triterpenoids with different grades of oxidation in the lupane skeleton
were prepared and evaluated as cholinesterase inhibitors. Allylic oxidation with
selenium oxide and Jones's oxidation were employed to obtain mono-, di- and tri
oxolupanes, starting from calenduladiol (1) and lupeol (3). All the derivatives
showed a selective inhibition of butyrylcholinesterase over acetylcholinesterase
(BChE vs. AChE). A kinetic study proved that compounds 2 and 9, the more potent
inhibitors of the series, act as competitive inhibitors. Molecular modeling was
used to understand their interaction with BChE, the role of carbonyl at C-16 and
the selectivity towards this enzyme over AChE. These results indicate that
oxidation at C-16 of the lupane skeleton is a key transformation in order to
improve the cholinesterase inhibition of these compounds.
PMID- 29793144
TI - Structural confirmation and spectroscopic study of a biomolecule: Norepinephrine.
AB - The present work deals with the conformational and vibrational spectroscopic
study of an important bio-molecule named norepinephrine in gas phase. The FTIR
and FTRaman spectrum of norepinephrine in amorphous form were recorded in
wavenumber range 4000-400 cm-1 and 4000-50 cm-1 respectively. We have
investigated twenty-seven stable conformational structures of norepinephrine
molecule. All the calculations have been done using Density Functional Theory
with exchange functional B3LYP incorporated with the 6-31++G(d, p) basis set. The
effect of hydrochloride on different bond lengths, bond angles and dihedral
angles in the most stable conformer has also been studied. The total potential
energy distribution for both the most stable conformer and the most stable
conformer in hydrochloride was performed with the help Normal coordinate analysis
method. Most of the calculated vibrational frequencies are in good agreement with
the experimental frequencies. The natural bond orbital analysis was also
performed to ensure the stability of electronic structures of norepinephrine. To
know chemical reactivity of norepinephrine molecule we have calculated the energy
gap between HOMO and LUMO orbitals and it has found above 5 eV in all the
conformers.
PMID- 29793145
TI - Mechanistic approach to study conjugation of nanoparticles for biomedical
applications.
AB - Interaction of nanoparticles with biological systems turns out to be vibrant for
their efficient application in biomedical field. Here, we have shown antibiotic
amakicin loaded nanoparticles are responsible for the dual role as reducing and
stabilizing the silver nanoparticles without the use of any undesired chemicals.
Synthesized nanoparticles are well-dispersed having quasi spherical morphology
with an average particle size around 10-11 nm. Crystallinity of nanoparticles was
measured using selected area electron diffraction (SAED) and powder XRD analysis
which show that particles are perfectly crystalline with cubic phase of geometry.
UV-Vis, FTIR and circular dichroism (CD) analysis explained the presence and
interaction of antibiotic on the nanoparticle's surface. Amakicin functionalized
Ag nanoparticles used in this study have shown enhanced antibacterial activity
against E. coli. These studies will help in designing an in-depth understanding
that how nanostructures can possibly interact with biological systems.
PMID- 29793146
TI - Development of a novel tridentate ligand for colorimetric detection of Mn2+ based
on AgNPs.
AB - A novel tridentate ligand nitrilotris(methylene)tris(1,2,3-triazole)triacetate
(NTTTA) has been synthesized by click reaction and followed with ester hydrolysis
reaction. The silver nanoparticles (AgNPs) were then modified and stabilized by
this ligand, and subsequently been employed for the highly selective and
sensitive colorimetric detection of Mn2+ in aqueous solution. The presence of
Mn2+ can cause the aggregation of AgNPs, which leads to the color change of the
dispersion from yellow to brown, as well as the decrease and red-shift of the
surface plasmon resonance absorption. The detection limit of Mn2+ was as
approximately 0.5 MUM by the naked eyes. UV-vis spectroscopy analysis showed a
good linear relationship between the logarithm of the ratios (A550/A395) and the
concentration of Mn2+over the range of 0.05 MUM-10 MUM, and the LOD was
calculated to be 12.6 nM (S/N = 3). The present assay showed good simplicity
without the need of adjusting the pH value. The feasibility of this technique was
evaluated for successful detection of Mn2+ in tap water and lake water samples,
with good recoveries.
PMID- 29793147
TI - Study on the interactions between toxic nitroanilines and lysozyme by
spectroscopic approaches and molecular modeling.
AB - Being exogenous environmental pollutants, nitroanilines (NAs) are highly toxic
and have mutagenic and carcinogenic activity. Being lack of studies on
interactions between NAs and lysozyme at molecular level, the binding
interactions of lysozyme with o-nitroaniline (oNA), m-nitroaniline (mNA) and p
nitroaniline (pNA) were investigated by means of steady-state fluorescence,
synchronous fluorescence, UV-vis absorption spectroscopy, as well as molecular
modeling. The experimental results revealed that the fluorescence of lysozyme is
quenched by oNA and mNA through a static quenching, while the fluorescence
quenching triggered by pNA is a combined dynamic and static quenching. The number
of binding sites (n) and the binding constant (Kb) corresponding thermodynamic
parameters DeltaH?, DeltaS?, DeltaG? at different temperatures were calculated.
The reactions between NAs and lysozyme were spontaneous and entropy driven and
the binding of NAs to lysozyme induced conformation changes of lysozyme. The
difference of the position of -NO2 group affected the binding and the binding
constants Kb decreased in the following pattern: Kb (pNA) >Kb (mNA) >Kb (oNA).
Molecular docking studies were performed to reveal the most favorable binding
sites of NAs on lysozyme. Our recently results could offer mechanistic insights
into the nature of the binding interactions between NAs and lysozyme and provide
information about the toxicity risk of NAs to human health.
PMID- 29793148
TI - Post-traumatic stress symptom development as a function of changing witnessing in
home violence and changing peer relationship quality: Evaluating protective
effects of peer relationship quality.
AB - In the present study, witnessing in-home violence and peer relationship quality
are evaluated as to their relative impact on Post Traumatic Stress (PTS) symptoms
among children aged 8 to 17 investigated by child protective services (CPS) for
maltreatment exposure. The sample included 2151 children from the National Survey
of Child and Adolescent Well-Being II (NSCAW II). Linear growth models were
estimated to assess associations between changes in PTS symptoms, witnessing in
home violence, and peer relationship quality over time. Greater frequency of
witnessing in-home violence at baseline (i.e. wave 1) was associated with higher
baseline PTS symptoms (beta = 0.44). Increases in witnessing in-home violence
frequency over time (average annual change across three years) had a strong
association with increases in PTS symptoms over time (beta = 0.88). Baseline peer
relationship quality was associated with fewer PTS symptoms at baseline (beta =
0.45). Increases in peer relationship quality over time were strongly associated
with declines in PTS symptoms over time (beta = -0.68). Peer relationship quality
at baseline did not moderate baseline or over time associations between
witnessing in-home violence and PTS symptoms. The average decline in PTS symptoms
due to decreases in witnessing in-home violence and increases in peer
relationship quality was 0.51 and 0.65 standard deviations respectively, over the
three-year study period. Reducing chronic witnessing in-home violence and
promoting the development of healthy social relationships with peers are critical
for PTS symptom recovery.
PMID- 29793149
TI - Educational and emotional health outcomes in adolescence following maltreatment
in early childhood: A population-based study of protective factors.
AB - Although childhood maltreatment is associated with long-term impairment, some
children function well despite this adversity. This study aimed to identify the
key protective factors for good educational attainment and positive emotional
health in adolescents who experienced maltreatment in early childhood. Data were
analyzed from the Avon Longitudinal Study of Parents and Children, a large UK
prospective cohort study. The sample was defined by maternally reported exposure
to physical or emotional maltreatment by a parent prior to 5 years. 1118 (8.0%)
children were emotionally maltreated and 375 (2.7%) were physically maltreated
before the age of 5. There were too few cases of sexual abuse to be considered.
Positive outcomes were operationalized as achieving 5 or more grade A*-C GCSE
exam grades at 16 years and scores above the cohort median on the self-report
Warwick-Edinburgh Mental Wellbeing Scale and Bachmann Self-Esteem Scale at 17.5
years. The associations of individual, family and community covariates with
successful adaptation to the adversity of maltreatment were investigated using
logistic regression. School related factors, including engagement in
extracurricular activities, satisfaction with school and not being bullied were
the most important in facilitating resilience in educational attainment, self
esteem and wellbeing. Good communication and social skills was the most
protective individual trait. There was insufficient evidence to suggest that
family factors were associated with resilience to maltreatment. School-based
interventions are recommended to promote positive adaptation following parental
maltreatment. Future research should evaluate outcomes across the life-course to
understand whether the protective influences of school persist into adulthood.
PMID- 29793150
TI - Exploring the victimization-early substance misuse relationship: In search of
moderating and mediating effects.
AB - This study was designed to address two research questions. The first research
question asked whether physical abuse victimization at the hands of
parents/guardians, bullying victimization at the hands of peers, and the abuse x
bullying interaction encouraged early involvement in substance misuse. The second
research question inquired as to whether the victimization-substance misuse
relationship was mediated by variables proposed by various theories and research
studies-specifically, cognitive impulsivity, negative affect, and low self
esteem. A moderated mediation hypothesis was tested in a group of 865 (417 boys,
448 girls) schoolchildren from the Illinois Study of Bullying and Sexual Violence
who were 10 to 15 years of age at the time of initial contact. A path analysis
performed with three waves of data revealed that physical abuse and bullying
victimization predicted substance misuse with mediation by cognitive impulsivity,
but there was no evidence of moderation. On the basis of these results, it was
concluded that victimization, whether through parental physical abuse or peer
bullying, increases cognitive impulsivity, and that cognitive impulsivity, in
turn, encourages early involvement in substance misuse. The practical
implications of these results are that interventions designed to counter
cognitive impulsivity and encourage cognitive control may be effective in
preventing children traumatized by physical abuse and bullying from entering the
early stages of a drug or substance using lifestyle.
PMID- 29793151
TI - Effect of two months whole body vibration on hoof growth rate in the horse: A
pilot study.
AB - Hoof problems are commonly seen in veterinary practice and manipulation of hoof
growth rate can be practical and beneficial for that matter. The purpose of this
research was to evaluate the effect of whole body vibration (WBV) on hoof growth
rate of front feet in the horse. The study was an experimental, single subject,
repeated measure design, with all horses serving as control and treatment. Ten
horses were subject to WBV, 30 min, twice daily, five days a week, for 60 days in
addition to their regular exercise routine. Hoof growth was measured from the
reference hairline down to a horizontal groove in both front feet at 30-day
(monthly) intervals starting 30 days before the start of treatment (WBV) up until
60 days post cessation of the treatment (WBV). The data analysis was carried out,
by applying several paired t-tests to the mean 30-day hoof growth before, during,
and after treatment (WBV). A significant mean increase in hoof growth was seen
after 30 days WBV (p < 0.001) as well as after 60 days WBV (p = 0.001) with the
increase occurring mainly during the first 30 days of WBV. No prolonged effect on
hoof growth rate was seen after cessation of WBV. These results indicate that
whole body vibration can be used as a non-invasive, safe and non labor-intensive
therapeutic modality to accelerate hoof growth in the horse.
PMID- 29793152
TI - Identification of seven polyamine oxidase genes in tomato (Solanum lycopersicum
L.) and their expression profiles under physiological and various stress
conditions.
AB - Polyamines (PAs) are implicated in developmental processes and stress responses
of plants. Polyamine oxidases (PAOs), flavin adenine dinucleotide-dependent
enzymes that function in PA catabolism, play a critical role. Even though PAO
gene families of Arabidopsis and rice have been intensely characterized and their
expression in response to developmental and environmental changes has been
investigated, little is known about PAOs in tomato (Solanum lycopersicum). We
found seven PAO genes in S. lycopersicum and named them SlPAO1~7. Plant PAOs form
four clades in phylogenetic analysis, of which SlPAO1 belongs to clade-I, SlPAO6
and SlPAO7 to clade-III, and the residual four (SlPAO2~5) to clade-IV, while none
belongs to clade-II. All the clade-IV members in tomato also retain the putative
peroxisomal-targeting signals in their carboxy termini, suggesting their
peroxisome localization. SlPAO1 to SlPAO5 genes consist of 10 exons and 9
introns, while SlPAO6 and SlPAO7 are intronless genes. To address the individual
roles of SlPAOs, we analyzed their expression in various tissues and during
flowering and fruit development. The expression of SlPAO2~4 was constitutively
high, while that of the other SlPAO members was relatively lower. We further
analyzed the expressional changes of SlPAOs upon abiotic stresses, oxidative
stresses, phytohormone application, and PA application. Based on the data
obtained, we discuss the distinctive roles of SlPAOs.
PMID- 29793155
TI - Lessons from mosquitoes' painless piercing.
AB - Arthropods are the largest group of the living organisms. They attack other
organisms by biting, stinging, or piercing and sucking. Among various medically
important arthropods, which feed on living hosts, mosquitoes' piercing spread
viruses which have been reported to cause the highest number of deaths annually.
The primary cause of the deaths is malaria, which is spread by infected
mosquitoes' piercing. This study aims at elucidating lessons from mosquitoes'
painless piercing. Mosquitoes pierce using their fascicle, which is a bundle of
coherently functioning six stylets. Based on experiments and available
literature, it is presented that mosquitoes painlessly pierce using a combination
of the numbing, the fascicle's serrated design, the vibratory actuation, and the
graded and frequency-dependent mechanical properties of the labrum. Based on this
understanding, a mosquito-inspired microneedle design has also been proposed.
PMID- 29793153
TI - Effects of Mg2+ on recovery of NMDA receptors from inhibition by memantine and
ketamine reveal properties of a second site.
AB - Memantine and ketamine are NMDA receptor (NMDAR) open channel blockers that are
thought to act via similar mechanisms at NMDARs, but exhibit divergent clinical
effects. Both drugs act by entering open NMDARs and binding at a site deep within
the ion channel (the deep site) at which the endogenous NMDAR channel blocker
Mg2+ also binds. Under physiological conditions, Mg2+ increases the IC50s of
memantine and ketamine through competition for binding at the deep site.
Memantine also can inhibit NMDARs after associating with a second site accessible
in the absence of agonist, a process termed second site inhibition (SSI) that is
not observed with ketamine. Here we investigated the effects of 1 mM Mg2+ on
recovery from inhibition by memantine and ketamine, and on memantine SSI, of the
four main diheteromeric NMDAR subtypes. We found that: recovery from memantine
inhibition depended strongly on the concentration of memantine used to inhibit
the NMDAR response; Mg2+ accelerated recovery from memantine and ketamine
inhibition through distinct mechanisms and in an NMDAR subtype-dependent manner;
and Mg2+ occupation of the deep site disrupted memantine SSI in a subtype
dependent manner. Our results support the hypothesis that memantine associates
with, but does not inhibit at the second site. After associating with the second
site, memantine can either slowly dissociate directly to the extracellular
solution, or transit to the deep site, resulting in typical channel block.
Memantine's relatively slow dissociation from the second site underlies the
dependence of NMDAR recovery from inhibition on both memantine concentration and
on Mg2+.
PMID- 29793156
TI - Mechanical properties of the human scalp in tension.
AB - Mechanical properties of the human scalp have not been investigated to a great
extent with limited information available. The purpose of this study was to
provide new baseline material data for human scalp tissue of various ages, which
can be applied to experimental and constitutive models, such as in the area of
impact biomechanics. This study used specimens from the left and right temporal,
fronto-parietal and occipital regions of the human scalp. It investigated the
tensile behavior of scalp tissue using tissues harvested from unfixed, fresh
cadavers. These samples were subjected to an osmotic stress analysis and upon
testing, cyclic loading followed by stretching until failure in a universal
testing machine. Strain evaluation was conducted using digital image correlation
in a highly standardized approach. Elastic modulus, tensile strength, strain at
maximum load and strain to failure were evaluated computationally. No significant
differences were observed comparing the tensile strength between males and
females. In contrast to that, a sex-dependent difference was found for the
elastic modulus of the occipital scalp region and for the elongation properties.
Additionally, regional differences within the male group, as well as an age
dependent correlation for females were found in the elastic modulus and tensile
strength. Scanning electron microscope analyses have shown the ultrastructural
failure patterns, indicated by damaged keratin plates, as well as partially
disrupted and retraced collagens at the failure site. The novel data obtained in
this study could add valuable information to be used for modeling purposes, as
well as provide baseline data for simulant materials and comparisons of tissue
properties following head injury or forensic investigations.
PMID- 29793154
TI - Juvenile treatment with mGluR2/3 agonist prevents schizophrenia-like phenotypes
in adult by acting through GSK3beta.
AB - Prodromal memory deficits represent an important marker for the development of
schizophrenia (SZ), in which glutamatergic hypofunction occurs in the prefrontal
cortex (PFC). The mGluR2/3 agonist LY379268 (LY37) attenuates excitatory N-methyl
D-aspartate receptor (NMDAR)-induced neurotoxicity, a central pathological
characteristic of glutamatergic hypofunction. We therefore hypothesized that
early treatment with LY37 would rescue cognitive deficits and confer benefits for
SZ-like behaviors in adults. To test this, we assessed whether early intervention
with LY37 would improve learning outcomes in the Morris Water Maze for rats
prenatally exposed to methylazoxymethanol acetate (MAM), a neurodevelopmental SZ
model. We found that a medium dose of LY37 prevents learning deficits in MAM
rats. These effects were mediated through postsynaptic mGluR2/3 via improving
GluN2B-NMDAR function by inhibiting glycogen synthase kinase-3beta (GSK3beta).
Furthermore, dendritic spine loss and learning and memory deficits observed in
adult MAM rats were restored by juvenile LY37 treatment, which did not change
prefrontal neuronal excitability and glutamatergic synaptic transmission in adult
normal rats. Our results provide a mechanism for mGluR2/3 agonists against NMDAR
hypofunction, which may prove to be beneficial in the prophylactic treatment of
SZ.
PMID- 29793158
TI - Botulinum toxin type-A affects mechanics of non-injected antagonistic rat
muscles.
AB - Botulinum toxin type A (BTX-A) effects on the mechanics of non-injected
antagonistic muscles are unknown. The aim was to test the following hypotheses in
a rat model: BTX-A injected into gastrocnemius medialis (GM) and lateralis (GL)
(1) decreases forces of the antagonistic tibialis anterior (TA) and extensor
digitorum longus (EDL), (2) reduces length range of force exertion and (3)
increases passive forces of the TA, and (4) changes inter-antagonistic and inter
synergistic epimuscular myofascial force transmission (EMFT). Two groups of
Wistar rats were tested: BTX (0.1 units of BTX-A were injected to the GM and GL,
each) and Control (saline injected). Five-days post, TA, EDL, GM-GL, and soleus
distal and EDL proximal isometric forces were measured after TA lengthening. BTX
A exposure caused forces of all muscles to decrease significantly. TA and EDL
active force drops (maximally by 37.3%) show inter-compartmental spread. Length
range of force exertion of the TA did not change, but its passive force increased
significantly (by 25%). The percentages of intramuscular connective tissue
content of the TA and EDL was higher (BTX: 20.0 +/- 4.9% and 19.3 +/- 4.1% vs.
control: 13.1 +/- 5.4% and 14.5 +/- 4.0%, respectively). Calf muscles' forces
were not affected by TA length changes for both groups indicating lacking inter
antagonistic EMFT. However, BTX-A altered EDL proximo-distal force differences
hence, inter-synergistic EMFT. A major novel finding is that BTX-A affects
mechanics of non-injected antagonistic muscles in test conditions involving only
limited EMFT. The effects indicating a stiffer muscle with no length range
increase contradict some treatment aims, which require clinical testing.
PMID- 29793157
TI - Energy dissipation in quasi-linear viscoelastic tissues, cells, and extracellular
matrix.
AB - Characterizing how a tissue's constituents give rise to its viscoelasticity is
important for uncovering how hidden timescales underlie multiscale biomechanics.
These constituents are viscoelastic in nature, and their mechanics must typically
be assessed from the uniaxial behavior of a tissue. Confounding the challenge is
that tissue viscoelasticity is typically associated with nonlinear elastic
responses. Here, we experimentally assessed how fibroblasts and extracellular
matrix (ECM) within engineered tissue constructs give rise to the nonlinear
viscoelastic responses of a tissue. We applied a constant strain rate,
"triangular-wave" loading and interpreted responses using the Fung quasi-linear
viscoelastic (QLV) material model. Although the Fung QLV model has several well
known weaknesses, it was well suited to the behaviors of the tissue constructs,
cells, and ECM tested. Cells showed relatively high damping over certain loading
frequency ranges. Analysis revealed that, even in cases where the Fung QLV model
provided an excellent fit to data, the the time constant derived from the model
was not in general a material parameter. Results have implications for design of
protocols for the mechanical characterization of biological materials, and for
the mechanobiology of cells within viscoelastic tissues.
PMID- 29793159
TI - Impacts of groundwater management on energy resources and greenhouse gas
emissions in California.
AB - California faces significant energy and water infrastructure planning challenges
in response to a changing climate. Immediately following the most severe recorded
drought, the state experienced one of its wettest water years in recorded
history. Despite the recent severe wet weather, much of the state's critical
groundwater systems have not recovered from the drought. The recent Sustainable
Groundwater Management Act (SGMA) aims to eliminate future depletion risks, but
may force California basins to seek alternative water sources by limiting
groundwater withdrawals during droughts. These alternative water resources, such
as recycled water or desalination, can have significantly higher energy demands
in treatment and supply than local groundwater or surface water resources. This
research developed potential scenarios of water supply sources for five
overdrafted groundwater basins, and modeled the impacts of these scenarios on
energy demands and greenhouse gas (GHG) emissions for water supply systems. Our
results reveal that energy demands and GHG emissions in different water supply
scenarios can vary substantially between basins, but could increase statewide
energy consumption as much as 2% and GHG emissions by 0.5. These results
highlight the need to integrate these energy and GHG impacts into water resource
management. Better understanding these considerations enables water supply
planners to avoid potential unintended consequences (i.e., increased energy
demands and GHG emissions) of enhancing drought resilience.
PMID- 29793160
TI - Water footprint characteristic of less developed water-rich regions: Case of
Yunnan, China.
AB - Rapid industrialization and urbanization pose pressure on water resources in
China. Virtual water trade proves to be an increasingly useful tool in water
stress alleviation for water-scarce regions, while bringing opportunities and
challenges for less developed water-rich regions. In this study, Yunnan, a
typical province in southwest China, was selected as the case study area to
explore its potential in socio-economic development in the context of water
sustainability. Both input-output analysis and structural decomposition analysis
on Yunnan's water footprint for the period of 2002-2012 were performed at not
only an aggregated level but also a sectoral level. Results show that although
the virtual water content of all economic sectors decreased due to technological
progress, Yunnan's total water footprint still increased as a result of economic
scale expansion. From the sectoral perspective, sectors with large water
footprints include construction sector, agriculture sector, food manufacturing &
processing sector, and service sector, while metal products sector and food
manufacturing & processing sector were the major virtual water exporters, and
textile & clothing sector and construction sector were the major importers. Based
on local conditions, policy suggestions were proposed, including economic
structure and efficiency optimization, technology promotion and appropriate
virtual water trade scheme. This study provides valuable insights for regions
facing "resource curse" by exploring potential socio-economic progress while
ensuring water security.
PMID- 29793161
TI - Exposure of Microcystis aeruginosa to hydrogen peroxide and titanium dioxide
under visible light conditions: Modeling the impact of hydrogen peroxide and
hydroxyl radical on cell rupture and microcystin degradation.
AB - The aims of this study are to evaluate, under visible light conditions, the
ability of H2O2 and TiO2 to produce OH, their quantitative impacts on the cell
integrity of Microcystis, and the subsequent release and degradation of
microcystins (MCs). A sequential reaction model was developed, including one sub
model to simulate the rupture kinetics for cell integrity of Microcystis, and
another to describe the release and degradation of MCs. For cell rupture, the
dual-oxidant Delayed Chick-Watson model (DCWM) and dual-oxidant Hom model (HM)
were first proposed and developed, giving excellent simulation results of cell
rupture kinetics. Kinetic rate constants between Microcystis cells and H2O2
[Formula: see text] as well as OH (k*OH, Cell) under visible light successfully
separated the individual effects of H2O2 and OH on Microcystis. The dual-oxidant
models were further validated with additional experiments, making the models more
convincing. Finally, the dual-oxidant cell rupture models were integrated with
the MC degradation model and well predicted the observed MCs concentrations in
the experimental systems. The results of this study not only demonstrate the
potential application of H2O2 and TiO2 for the control of cyanobacteria and
metabolites in natural water bodies, but also provide a new methodology to
differentiate the individual contributions of the two oxidants, H2O2 and OH, on
cell rupture, thus giving a novel way to more precisely determine the effective
doses of applied oxidants for cyanobacteria control.
PMID- 29793163
TI - Rheological characterisation of concentrated domestic slurry.
AB - The much over-looked element in new sanitation, the transport systems which
bridge the source and treatment facilities, is the focus of this study. The
knowledge of rheological properties of concentrated domestic slurry is essential
for the design of the waste collection and transport systems. To investigate
these properties, samples were collected from a pilot sanitation system in the
Netherlands. Two types of slurries were examined: black water (consisting of
human faecal waste, urine, and flushed water from vacuum toilets) and black water
with ground kitchen waste. Rheograms of these slurries were obtained using a
narrow gap rotating rheometer and modelled using a Herschel-Bulkley model. The
effect of concentration on the slurry are described through the changes in the
parameters of the Herschel-Bulkley model. A detailed method is proposed on
estimating the parameters for the rheological models. For the black water, yield
stress and consistency index follow an increasing power law with the
concentration and the behaviour index follows a decreasing power law. The
influence of temperature on the viscosity of the slurry is described using an
Arrhenius type relation. The viscosity of black water decreases with temperature.
As for the black water mixed with ground kitchen waste, it is found that the
viscosity increases with concentration and decreases with temperature. The
viscosity of black-water with ground kitchen waste is found to be higher than
that of black water, which can be attributed to the presence of larger particles
in the slurry.
PMID- 29793162
TI - New insights into the formation of silver-based nanoparticles under natural and
semi-natural conditions.
AB - For the first time, the natural formation of silver-based nanoparticles (Ag-b
NPs) was studied in field investigations of two pre-alpine lakes in Germany that
contain geogenic silver traces in the sub-ng L-1 range. Light-sensitive
microorganisms most likely accumulate and transport these silver traces from
deeper water layers to the surface. At the surface of the eutrophic lake,
approximately 40% of total silver (5.7 ng L-1) consisted of Ag-b-NPs, whereas in
the oligotrophic lake with similar enrichment of silver species, no Ag-b-NPs were
detected. Additional lab experiments with nature-related Ag(I) concentrations in
the lower-ng L-1 range and natural organic matter with total organic carbon
values of <=5 mg L-1 revealed that, contrary to common interpretation in the
literature, Ag-b-NPs are also or even preferably formed in the dark. Particle
size increases gradually with increasing reaction time, showing that Ostwald
ripening occurs even at such low particle concentrations. When sulfide ions are
present, smaller Ag-b-NPs with a narrower size distribution are formed.
PMID- 29793164
TI - Childhood socioeconomic status and longitudinal patterns of alcohol problems:
Variation across etiological pathways in genetic risk.
AB - Childhood socioeconomic status (SES) is an important aspect of early life
environment associated with later life health/health behaviors, including alcohol
misuse. However, alcohol misuse is modestly heritable and involves differing
etiological pathways. Externalizing disorders show significant genetic overlap
with substance use, suggesting an impulsivity pathway to alcohol misuse. Alcohol
misuse also overlaps with internalizing disorders, suggesting alcohol is used to
cope. These differing pathways could lead to different patterns over time and/or
differential susceptibility to environmental conditions, such as childhood SES.
We examine whether: 1) genetic risk for externalizing and internalizing disorders
influence trajectories of alcohol problems across adolescence to adulthood, 2)
childhood SES alters genetic risk these disorders on trajectories of alcohol
problems, and 3) these patterns are consistent across sex. We find modest
evidence of gene-environment interaction. Higher childhood SES increases the risk
of alcohol problems in late adolescence/early adulthood, while lower childhood
SES increases the risk of alcohol problems in later adulthood, but only among
males at greater genetic risk of externalizing disorders. Females from lower SES
families with higher genetic risk of internalizing or externalizing disorders
have greater risk of developing alcohol problems.
PMID- 29793165
TI - Inhibition of acetaminophen-induced hepatotoxicity in mice by exogenous
thymosinbeta4 treatment.
AB - OBJECTIVE: To study the effects of exogenous thymosinbeta4 (Tbeta4) treatment in
acetaminophen (APAP)-induced hepatotoxicity. METHODS: Liver injury was induced in
mice by a single intraperitoneal injection of APAP (500 mg/kg). Exogenous Tbeta4
was intraperitoneally administrated at 0 h, 2 h and 4 h after APAP injection.
Chloroquine (CQ) (60 mg/kg) was intraperitoneally injected 2 h before APAP
administration to inhibit autophagy. Six hours after APAP injection liver injury
was evaluated by histological examinations, biochemical measurements and enzyme
linked immunosorbent assay (ELISAs). Western blots were performed to detect
proteins expression. RESULTS: Serum alanine aminotransferase (ALT) and aspartate
aminotransferase (AST) activities were significantly increased 6 h after APAP
administration, but were significantly reduced by co-administration of Tbeta4.
Histological examinations demonstrated that Tbeta4 reduced necrosis and
inflammation induced by APAP. Immunofluorescence showed that Tbeta4 suppressed
APAP-induced translocation of high mobility group box-1 protein (HMGB1) from the
nucleus to cytosol and intercellular space. Hepatic glutathione (GSH) depletion,
malondialdehyde (MDA) formation and decreased superoxide dismutase (SOD)
activities induced by APAP were all attenuated by Tbeta4. APAP-induced increases
in hepatic nuclear factor-kappaB (NF-kappaB) p65 protein expression and
inflammatory cytokines production including interleukin-1beta (IL-1beta) and
tumor necrosis factor-alpha (TNF-alpha) were reduced by Tbeta4 treatment.
Increased LC3 and p62 proteins in the liver tissues of APAP-treated mice were
decreased by Tbeta4 treatment, which indicated the enhancement of autophagy flux
by Tbeta4. Furthermore, inhibiting autophagy by CQ abrogated the protective
effects of Tbeta4 against APAP hepatotoxicity. CONCLUSION: Exogenous Tbeta4
treatment exerts protective effects against APAP-induced hepatotoxicity in mice.
The underneath molecular mechanisms may involve autophagy enhancement and
inhibition of oxidative stress by Tbeta4.
PMID- 29793166
TI - Renoprotective effect of the isoflavonoid biochanin A against cisplatin induced
acute kidney injury in mice: Effect on inflammatory burden and p53 apoptosis.
AB - Cisplatin is a potent widely-used chemotherapeutics; however, its clinical use is
associated with nephrotoxicity. Renoprotective approaches are being discovered to
halt the tubular cell death due to inflammatory and apoptotic burdens. In the
present study, the renoprotective effects of different doses of biochanin A (10,
20 or 40 mg/kg) in mice treated with a single injection of cisplatin (10 mg/kg)
were reported. Cisplatin administration resulted in marked increases in serum
creatinine and blood urea nitrogen. Further, renal homogenates showed increased
level of inflammatory cytokines and upregulation of the expression of p53 up
regulated modulator of apoptosis (PUMA), p53 and caspase 3 but downregulation in
Nrf2 expression. Furthermore, cisplatin group showed marked necrosis and
degenerated tubular lining epithelial cells with frequently detected apoptotic
bodies. Mice treated with biochanin A (10, 20 or 40 mg/kg) for 14 days prior to
cisplatin abrogated cisplatin-mediated damage. Furthermore, the elevated serum
creatinine and urea levels were lessened by some doses of biochanin A, indicating
protection against renal injury. Similarly, the changes in apoptosis and
inflammatory markers have ameliorated to significant levels (P < 0.05). The
results suggest biochanin A as a nephroprotective agent against cisplatin
toxicity. Overall, this nephroprotective effect of biochanin A involved anti
inflammatory and antiapoptotic activities.
PMID- 29793169
TI - Spontaneous rise in open rectangular channels under gravity.
AB - Fluid movement in microfluidic devices, porous media, and textured surfaces
involves coupled flows over the faces and corners of the media. Spontaneous
wetting of simple grooved surfaces provides a model system to probe these flows.
This numerical study investigates the spontaneous rise of a liquid in an array of
open rectangular channels under gravity, using the Volume-of-Fluid method with
adaptive mesh refinement. The rise is characterized by the meniscus height at the
channel center, outer face and the interior and exterior corners. At lower
contact angles and higher channel aspect ratios, the statics and dynamics of the
rise in the channel center show little deviation with the classical model for
capillarity, which ignores the existence of corners. For contact angles smaller
than 45 degrees , rivulets are formed in the interior corners and a cusp at the
exterior corner. The rivulets at long times obey the one-third power law in time,
with a weak dependence on the geometry. The cusp behaviour at the exterior corner
transforms into a smooth meniscus when the capillary force is higher in the
channel, even for contact angles smaller than 45 degrees . The width of the outer
face does not influence the capillary rise inside the channel, and the channel
size does not influence the rise on the outer face.
PMID- 29793167
TI - Improvement of neuronal differentiation by carbon monoxide: Role of pentose
phosphate pathway.
AB - Over the last decades, the silent-killer carbon monoxide (CO) has been shown to
also be an endogenous cytoprotective molecule able to inhibit cell death and
modulate mitochondrial metabolism. Neuronal metabolism is mostly oxidative and
neurons also use glucose for maintaining their anti-oxidant status by generation
of reduced glutathione (GSH) via the pentose-phosphate pathway (PPP). It is
established that neuronal differentiation depends on reactive oxygen species
(ROS) generation and signalling, however there is a lack of information about
modulation of the PPP during adult neurogenesis. Thus, the main goal of this
study was to unravel the role of CO on cell metabolism during neuronal
differentiation, particularly by targeting PPP flux and GSH levels as anti
oxidant system. A human neuroblastoma SH-S5Y5 cell line was used, which
differentiates into post-mitotic neurons by treatment with retinoic acid (RA),
supplemented or not with CO-releasing molecule-A1 (CORM-A1). SH-SY5Y cell
differentiation supplemented with CORM-A1 prompted an increase in neuronal yield
production. It did, however, not alter glycolytic metabolism, but increased the
PPP. In fact, CORM-A1 treatment stimulated (i) mRNA expression of 6
phosphogluconate dehydrogenase (PGDH) and transketolase (TKT), which are enzymes
for oxidative and non-oxidative phases of the PPP, respectively and (ii) protein
expression and activity of glucose 6-phosphate dehydrogenase (G6PD) the rate
limiting enzyme of the PPP. Likewise, whenever G6PD was knocked-down CO-induced
improvement on neuronal differentiation was reverted, while pharmacological
inhibition of GSH synthesis did not change CO's effect on the improvement of
neuronal differentiation. Both results indicate the key role of PPP in CO
modulation of neuronal differentiation. Furthermore, at the end of SH-SY5Y
neuronal differentiation process, CORM-A1 supplementation increased the ratio of
reduced and oxidized glutathione (GSH/GSSG) without alteration of GSH metabolism.
These data corroborate with PPP stimulation. In conclusion, CO improves neuronal
differentiation of SH-S5Y5 cells by stimulating the PPP and modulating the GSH
system.
PMID- 29793170
TI - Effective size selection of MoS2 nanosheets by a novel liquid cascade
centrifugation: Influences of the flakes dimensions on electrochemical and
photoelectrochemical applications.
AB - Although liquid phase exfoliation is a powerful method to produce MoS2 nanosheets
in large scale, but its effectiveness is limited by the diversity of produced
nanosheets sizes. Here a novel approach for separation of MoS2 flakes having
various lateral sizes and thicknesses based on the cascaded centrifugation has
been introduced. This method involves a pre-separation step which is performed
through low-speed centrifugation to avoid the deposition of large area single and
few-layers by the heavier particles. The bulk MoS2 powders were dispersed in an
aqueous solution of sodium cholate (SC) and sonicated for 12 h. The main
separation step was performed using different speed centrifugation intervals of
10-11, 8-10, 6-8, 4-6, 2-4 and 0.5-2 krpm by which nanosheets containing 2, 4, 7,
8, 14, 18 and 29 layers were obtained respectively. The samples were
characterized using XRD, FESEM, AFM, TEM, DLS and also UV-vis, Raman and PL
spectroscopy measurements. Dynamic light scattering (DLS) measurements have
confirmed the existence of a larger number of single or few-layers MoS2
nanosheets compared to when the pre-separation step was not used. Finally,
Photocurrent and cyclic voltammetry of different samples were measured and found
that the flakes with bigger surface area had larger CV loop area. Our results
provide a method for the preparation of a MoS2 monolayer enriched suspension
which can be used for different applications.
PMID- 29793168
TI - Olive oil polyphenols reduce oxysterols -induced redox imbalance and pro
inflammatory response in intestinal cells.
AB - Dietary habits may strongly influence intestinal homeostasis. Oxysterols, the
oxidized products of cholesterol present in cholesterol-containing foodstuffs,
have been shown to exert pro-oxidant and pro-inflammatory effects, altering
intestinal epithelial layer and thus contributing to the pathogenesis of human
inflammatory bowel diseases and colon cancer. Extra virgin olive oil polyphenols
possess antioxidant and anti-inflammatory properties, and concentrate in the
intestinal lumen, where may help in preventing intestinal diseases. In the
present study we evaluated the ability of an extra virgin olive oil phenolic
extract to counteract the pro-oxidant and pro-inflammatory action of a
representative mixture of dietary oxysterols in the human colon adenocarcinoma
cell line (Caco-2) undergoing full differentiation into enterocyte-like cells.
Oxysterols treatment significantly altered differentiated Caco-2 cells redox
status, leading to oxidant species production and a decrease of GSH levels, after
1 h exposure, followed by an increase of cytokines production, IL-6 and IL-8,
after 24 h. Oxysterol cell treatment also induced after 48 h an increase of NO
release, due to the induction of iNOS. Pretreatment with the phenolic extract
counteracted oxysterols effects, at least in part by modulating one of the main
pathways activated in the cellular response to the action of oxysterols, the MAPK
NF-kB pathway. We demonstrated the ability of the phenolic extract to directly
modulate p38 and JNK1/2 phosphorylation and activation of NF-kB, following its
inhibitor IkB phosphorylation. The phenolic extract also inhibited iNOS
induction, keeping NO concentration at the control level. Our results suggest a
protective effect at intestinal level of extra virgin olive oil polyphenols, able
to prevent or limit redox unbalance and the onset and progression of chronic
intestinal inflammation.
PMID- 29793171
TI - Compositional engineering of acceptors for highly efficient bulk heterojunction
hybrid organic solar cells.
AB - The wet chemical synthesis of chromium oxide (Cr2O3) nanoparticles (NPs) and its
application in active layer of inverted bulk heterojunction organic solar cells
is documented in this research. Chromium oxide NPs of 10-30 nm size range having
a band gap of 2.9 eV were successfully synthesized. These NPs were used in
inverted organic solar cells in amalgamation with P3HT:PCBM and PTB7:PCBM
polymers. The fabricated hybrid devices improves PCE significantly for P3HT:PCBM
and PTB7:PCBM systems. The photophysical energy levels, optoelectrical properties
and microscopic images have been systematically studied for the fabricated
devices. The introduction of Cr2O3 nanoparticles (NPs) enhances light harvesting
and tunes energy levels into improved electrical parameters. A clear red shift
and improved absorption have been observed for ternary blended devices compared
to that observed with controlled organic solar cells. Apparently, when the amount
of NPs in the binary polymer blend exceeds the required optimum level, there is a
breakdown of the bulk heterojunction leading to lowering of the optical and
electrical performance of the devices.
PMID- 29793173
TI - Ag nanoparticles loading of polypyrrole-coated superwetting mesh for on-demand
separation of oil-water mixtures and catalytic reduction of aromatic dyes.
AB - Herein, a catalytic mesh with unique wettability, high oil-water separation
efficiency and excellent catalytic performance towards aromatic dyes was
fabricated. Polypyrrole (PPy) was firstly pre-coated on pristine stainless-steel
mesh (SSM) surface via cyclic voltammetry approach. Subsequently, a simple
electrodeposition process was performed to prepare and anchor Ag nanoparticles
(AgNPs) onto the PPy-coated SSM surface. The PPy-coated mesh with anchored AgNPs
was denoted as PPy/AgNPs-coated SSM. The obtained PPy/AgNPs-coated SSM exhibited
dual superlyophobic properties and were able to achieve on-demand separation to
deal with various of light oil (rhooil < rhowater) and heavy oil (rhooil >
rhowater)-water mixtures. Importantly, benefitting from AgNPs on mesh surface,
the obtained PPy/AgNPs-coated SSM exhibits exceptional catalytic activity. As
proof-of-concept three typical aromatic dye molecules (methylene blue, rhodamine
B and Congo red) can be effectivity degraded. Additionally, the degradation of
aromatic dyes and oil-water separation were achieved simultaneously when the
PPy/AgNPs-coated SSM was converted to water-removing mode. Therefore, the present
work is of great significance to the development of novel oil-water filtration
membranes and can open a new avenue towards the practicability of metal
nanoparticle catalysts in wastewater treatment.
PMID- 29793172
TI - On the autonomous motion of active drops or bubbles.
AB - Thermo-capillary stresses on the surface of a drop can be the result of a non
isothermal surface chemical conversion of a reactant dissolved in the host fluid.
The strength of heat production (with e.g. absorption) on the surface is ruled by
the diffusion of the reactant and depends on the state of motion of the drop.
Such thermo-capillary stresses can provoke the motion of the drop or its
motionless state in the presence of an external body force. If in the balance of
forces, including indeed viscous drag, the net resultant force vanishes there is
the possibility of autonomous motion with constant velocity of the drop. Focusing
on drops with radii in the millimeter range provided here is a quantitative study
of the possibility of such autonomous motion when the drop, considered as active
unit, is seat of endo- or exo-thermic reactive processes that dominate its
motion. The framework is restricted to Stokes flows in the hydrodynamics,
negligible heat Peclet number while the solute Peclet number is considered very
high. A boundary layer approximation is used in the description of reactant
diffusion. Those processes eventually end up in the action being expressed by
surface tension gradients and the Marangoni effect. Explicit expressions of the
force acting on the drop and the velocity fields inside and outside the drop are
provided. Some significant particular cases are discussed to illustrate the
usefulness of the theory.
PMID- 29793174
TI - Effect of Ca2+ ion concentration on adsorption of poly(carboxylate ether)-based
(PCE) superplasticizer on mica.
AB - HYPOTHESIS: Poly(carboxylate ether)-based (PCE) superplasticizers consist of a
carboxylic acid backbone and grafted poly(ethylene glycol) (PEG) side chains.
Ca2+ ion bridging mechanism is commonly purported to control PCE's adsorption on
negatively charged cement particle surfaces in cement suspension, thus PCE was
expected to adsorb on negatively charged surfaces in synthetic pore solutions via
Ca2+/COO- interactions. EXPERIMENTS: Adsorption behaviors of a commercial PCE on
negatively charged mica were studied in aqueous electrolyte solutions by a
surface forces apparatus. FINDINGS: Direct force measurements indicated that the
PCE adsorbed onto mica from 0.1 M K2SO4 due to K+ ion chelation by the ether
oxygen units CH2CH2O on the PEG chains, but surprisingly did not adsorb from
either 0.1 M K2SO4 with saturated Ca(OH)2 or 0.1 M Ca(NO3)2. The adsorption in
K2SO4 was weak, enabling the adsorbed PCE layers to be squeezed out under modest
compression. Upon separating the surfaces, the PCE immediately achieved an
identical re-adsorption. In high-calcium conditions, the PCE was highly
positively charged due to Ca2+ ion chelation by PEG chains and backbone
carboxylic groups COO-, and mica also underwent charge reversal due to
electrostatic adsorption/binding of Ca2+ ions. Consequently, the interaction
between mica and PCE was electrostatically repulsive and no PCE adsorption
occurred. These findings can be explained by the complex interplay of ion
chelation by PEG chains, electrostatic binding and screening interactions with
charged surfaces in the presence of monovalent and divalent counterions, and
ultimately charge reversal of both the charged surfaces and polyelectrolyte in
high divalent ion conditions.
PMID- 29793175
TI - Apolipoprotein CIII and N-terminal prohormone b-type natriuretic peptide as
independent predictors for cardiovascular disease in type 2 diabetes.
AB - BACKGROUND AND AIMS: Developing sparse panels of biomarkers for cardiovascular
disease in type 2 diabetes would enable risk stratification for clinical decision
making and selection into clinical trials. We examined the individual and joint
performance of five candidate biomarkers for incident cardiovascular disease
(CVD) in type 2 diabetes that an earlier discovery study had yielded. METHODS:
Apolipoprotein CIII (apoCIII), N-terminal prohormone B-type natriuretic peptide
(NT-proBNP), high sensitivity Troponin T (hsTnT), Interleukin-6, and Interleukin
15 were measured in baseline serum samples from the Collaborative Atorvastatin
Diabetes trial (CARDS) of atorvastatin versus placebo. Among 2105 persons with
type 2 diabetes and median age of 62.9 years (range 39.2-77.3), there were 144
incident CVD (acute coronary heart disease or stroke) cases during the maximum 5
year follow up. We used Cox Proportional Hazards models to identify biomarkers
associated with incident CVD and the area under the receiver operating
characteristic curves (AUROC) to assess overall model prediction. RESULTS: Three
of the biomarkers were singly associated with incident CVD independently of other
risk factors; NT-proBNP (Hazard Ratio per standardised unit 2.02, 95% Confidence
Interval [CI] 1.63, 2.50), apoCIII (1.34, 95% CI 1.12, 1.60) and hsTnT (1.40, 95%
CI 1.16, 1.69). When combined in a single model, only NT-proBNP and apoCIII were
independent predictors of CVD, together increasing the AUROC using Framingham
risk variables from 0.661 to 0.745. CONCLUSIONS: The biomarkers NT-proBNP and
apoCIII substantially increment the prediction of CVD in type 2 diabetes beyond
that obtained with the variables used in the Framingham risk score.
PMID- 29793176
TI - Non-LDL dyslipidemia is prevalent in the young and determined by lifestyle
factors and age: The LifeLines cohort.
AB - BACKGROUND AND AIMS: Non-LDL dyslipidemia (NLD) confers cardiovascular risk, and
prevalence rates appear to be high in elderly populations. Small cohorts have
identified several lifestyle, anthropometric, and medical factors associated with
NLD. We aimed to assess sex- and age-specific prevalence of NLD in a contemporary
population cohort (n = 167 729), and to identify independent determinants of NLD,
focusing on lifestyle, anthropometric, and medical factors. METHODS: The
prevalence of NLD was assessed per 10-year age intervals in adults without
cardiovascular disease not using lipid-modifying drugs from the Dutch LifeLines
cohort. NLD was defined as low HDL-cholesterol or high triglycerides or high
remnant cholesterol as per guideline cut-off values. Multivariable regression was
used to identify factors independently associated with NLD. Determinants included
age, smoking, alcohol use, physical activity, diet, BMI, diabetes mellitus (DM),
chronic kidney disease, and in women, menopausal state and oral contraceptive
use. RESULTS: NLD occurred in 15-19% of women and 13-30% of men in this cohort,
with the highest prevalence of 30% in 35-55 year old men. In most age groups, the
prevalence in women was lower than in men. Obesity (both sexes: Odds ratio (OR)
5.3, 95% confidence interval (95%CI) 5.0-5.7), current smoking (men: OR 1.8,
95%CI 1.7-1.9; women OR 2.2, 95%CI 2.1-2.3), and DM (men: OR 2.2, 95%CI 1.8-2.6;
women: OR 2.7, 95%CI 2.3-3.1) were strongly associated with NLD. CONCLUSIONS: NLD
already occurs frequently at an early age. Modifiable lifestyle choices, obesity,
and DM were strong determinants of NLD. Public health efforts could substantially
contribute to decrease NLD.
PMID- 29793178
TI - Nrf2/P-glycoprotein axis is associated with clinicopathological characteristics
in colorectal cancer.
AB - Colorectal cancer (CRC) is the fourth leading cause of cancer-related death
worldwide. Activation of ABCB1 gene and its main product, P-glycoprotein, is the
common reason for chemoresistance. The nuclear factor-erythroid 2-related factor2
(Nrf2) is directly regulated by Kelch like ECH-associated protein1 (Keap1). In
addition, Nrf2 is a key transcriptional factor that regulates efflux
transporters, including P-gp. The aim of this study was to investigate the
expression levels of Nrf2, Keap1 and ABCB1 in the biopsy samples and their
association with clinicopathological features in CRC patients. Both mRNA and
protein expression levels were measured by Real-time PCR and immunohistochemistry
(IHC), respectively, in biopsies from colonoscopy in 65 CRC patients compared to
those in 65 non-CRC individuals. While expression levels of Nrf2 and ABCB1 (P-gp)
were markedly higher in both mRNA and protein levels in CRC biopsies (p < 0.01),
Keap1 expression level was significantly lower in these samples (p < 0.05).
Positive correlations between Nrf2 expression level and tumor size (p = 0.003),
lymph node (p = 0.038), distant metastasis (p = 0.008), and smoking status (p =
0.02) were observed. However, P-gp expression was associated only with patient
age and smoking status. In addition, there was a positive correlation between
protein levels of Nrf2 and P-gp, in both CRC (r = 0.617, p < 0.001) and non-CRC
tissues (r = 0.930, p < 0.001). In conclusion, over-expression of Nrf2 and
ABCB1/P-gp, as well as down-regulation of mRNA expression level of Keap1 in CRC
patients denotes the role of Keap1/Nrf2/ABCB1 axis in CRC progression and
chemoresistance. Our data suggest that therapeutic inhibition of Nrf2/ABCB1
signaling can be considered as a novel strategy to improve the efficacy of
chemotherapeutics against CRC.
PMID- 29793177
TI - Long noncoding RNA GAS5 suppresses triple negative breast cancer progression
through inhibition of proliferation and invasion by competitively binding miR
196a-5p.
AB - Triple-negative breast cancer (TNBC) is considered to be the most aggressive and
lethal type of breast cancer. Many studies have suggested that the dysfunction of
long noncoding RNAs (lncRNAs) is correlated with breast cancer metastasis and
progression. Here, we show that levels of the lncRNA, growth arrest-specific
transcript 5 (GAS5), are decreased in TNBC tissues, and this down-regulation of
GAS5 is associated with an aggressive tumor phenotype in patients, affecting
clinical stage, lymph node metastasis and overall survival. Using an ectopic
overexpression system in TNBC cells, we found that up-regulation of GAS5 can
significantly attenuate proliferation and enhance apoptosis in TNBC cells.
Through bioinformatics analysis and verification with qRT-PCR and luciferase
assay, we found that GAS5 can bind to miR-196a-5p and there is a negative
relationship between GAS5 and miR-196a-5p expression among TNBC patient samples.
Furthermore, we demonstrated that overexpression of GAS5 can partially undermine
the tumor promotion effect induced by ectopic expression of miR-196a-5p,
including invasion and downstream FOXO1/PI3K/AKT signal pathway activation. In
our study, GAS5 functioned as a competing endogenous RNA (ceRNA) antagonizing
tumor promotion of miR-196a-5p-expressing TNBC cells. These data suggest that
GAS5 can suppress TNBC progression by competitively binding miR-196a-5p,
therefore GAS5 may be a prognostic biomarker of TNBC.
PMID- 29793179
TI - Nano strategies for berberine delivery, a natural alkaloid of Berberis.
AB - Berberine, as a phytochemical component of some medicinal Chinese herbs (most
frequently Berberis vulgaris), is an isoquinoline alkaloid with many therapeutic
effects including anti-viral, anti-microbial, anti-diarrhea, anti-inflammatory
and anti-tumor effects. Berberine has some significant effects on type 2 diabetes
through adenosine monophosphate-activated protein kinase activation, glycolysis
stimulation, and mitochondrial function inhibition which subsequently improves
both lipid and glucose metabolism. Some other effects of berberine on congestive
heart failure, cardiac arrhythmia and hypertension have been reported. Beside the
beneficial effects of berberine, some limitations including poor aqueous
solubility, slight absorption, and low bioavailability have hindered its
applications. To overcome these limitations, nanotechnology has been considered
as main strategy. This review describes different types of nanocarriers
(polymeric based, magnetic mesoporous silica based, lipid based, dendrimer based,
graphene based, silver and gold nanoparticles) have been used for encapsulation
of berberine.
PMID- 29793180
TI - Insulin is a potential antioxidant for diabetes-associated cognitive decline via
regulating Nrf2 dependent antioxidant enzymes.
AB - PURPOSE: To investigate the neuroprotective effects of insulin on diabetic
encephalopathy and its mechanism. EXPERIMENTAL AND APPROACH: The diabetic model
was established by injection of streptozotocin. Behavior examinations were
conducted by the Morris water maze. Histopathological alterations were detected
by HE staining. ROS, CAT levels and SOD activity were measured using a microplate
reader. In vitro, the viability of wild type and knock-down PC12 cells was
detected by MTT assay, the morphology of cells was monitored under a microscope.
The subcellular distribution of Nrf2 was observed by western blotting and
immunohistochemistry. KEY RESULTS: Evident oxidative stress injury was observed
in diabetic rats and H2O2-induced PC12 cells. Insulin not only protect diabetic
rat from oxidative stress injury but also significantly inhibited H2O2-induced
apoptosis and intracellular ROS in cells. In addition, the level of
malondialdehyde was reduced, and the activities of superoxide dismutase, catalase
and glutathione peroxidase were augmented in both diabetic rats and PC12 cells.
Interestingly, insulin promoted the translocation of Nrf2 into the nucleus and
activation of downstream antioxidant protein expression. Further, the Nrf2
knockdown cells suffered more serious H2O2-induced damage than the wild PC12
cells. Moreover, insulin had no significant protective effect on knockdown cells
with H2O2-damage. CONCLUSION AND IMPLICATIONS: Collectively, our results
suggested that insulin significantly inhibited neuronal damage through the Nrf2
signaling pathway, which regulates endogenous oxidant-antioxidant balance,
therefore, insulin may be a potential protective agent for the treatment of
oxidative stress-induced diabetic encephalopathy.
PMID- 29793181
TI - Exogenously applied spermidine alleviates photosynthetic inhibition under drought
stress in maize (Zea mays L.) seedlings associated with changes in endogenous
polyamines and phytohormones.
AB - Drought stress (DS) is a major environmental factor limiting plant growth and
crop productivity worldwide. It has been established that exogenous spermidine
(Spd) stimulates plant tolerance to DS. The effects of exogenous Spd on plant
growth, photosynthetic performance, and chloroplast ultrastructure as well as
changes in endogenous polyamines (PAs) and phytohormones were investigate in DS
resistant (Xianyu 335) and DS-sensitive (Fenghe 1) maize seedlings under well
watered and DS treatments. Exogenous Spd alleviated the stress-induced reduction
in growth, photosynthetic pigment content, photosynthesis rate (Pn) and
photochemical quenching (qP) parameters, including the maximum photochemistry
efficiency of photosystem II (PSII) (Fv/Fm), PSII operating efficiency (FPSII),
and qP coefficient. Exogenous Spd further enhanced stress-induced elevation in
non-photochemical quenching (NPQ) and the de-epoxidation state of the xanthophyll
cycle (DEPS). Microscopic analysis revealed that seedlings displayed a more
ordered arrangement of chloroplast ultrastructure upon Spd application during DS.
Exogenous Spd increased the endogenous PA concentrations in the stressed plants.
Additionally, exogenous Spd increased indoleacetic acid (IAA), zeatin riboside
(ZR) and gibberellin A3 (GA3) and decreased salicylic acid (SA) and jasmonate
(JA) concentrations under DS. These results indicate that exogenous Spd can
alleviate the growth inhibition and damage to the structure and function of the
photosynthetic apparatus caused by DS and that this alleviation may be associated
with changes in endogenous PAs and phytohormones. This study contributes to
advances in the knowledge of Spd-induced drought tolerance.
PMID- 29793182
TI - Healthcare provider counseling to quit smoking and patient desire to quit: The
role of negative smoking outcome expectancies.
AB - AIMS: The U.S. Public Health Service Clinical Practice Guideline on treating
tobacco use and dependence recommends providing advice to quit to every tobacco
user seen in a healthcare setting. However, the mechanism through which
counseling encourages patients to quit has not been adequately studied. This
study tests whether the association between receiving healthcare provider
counseling and desire to quit is accounted for by negative health and
psychosocial outcome expectancies of smoking. METHODS: Data were collected online
from 721 adult smokers who had seen a healthcare provider in the past 12 months.
Associations between counseling to quit, negative outcome expectancies of
smoking, and desire to quit were tested, as well as whether outcome expectancies
and desire to quit differed by type of counseling (counseling only vs. counseling
plus assistance) and level of smoking. RESULTS: Bivariate associations indicated
a stronger desire to quit among patients receiving counseling, particularly when
it included healthcare provider assistance to quit. SEM results indicated that
the association between counseling and desire to quit was fully accounted for by
patients' negative health and psychosocial outcome expectancies for smoking.
These associations were found across levels of smoking in the case of health
expectancies, but were limited to moderate and heavy smokers in the case of
psychosocial expectancies. CONCLUSION: Results suggest that the time devoted to
counseling patients about smoking should include providing some assistance to
quit, such as recommending a product, prescription or program. Regardless of
smoking level, this counseling should incorporate techniques to elicit patients'
negative health and psychosocial expectancies of smoking.
PMID- 29793184
TI - An actigraphic study of the sleep patterns of younger and older school-age
children.
AB - OBJECTIVE: Sleep is viewed as being relatively consistent across the school-age
period (6-13 years of age), however this claim has not been empirically
supported. The objective of this study was to document the duration, schedule,
variability, and week versus weekend discrepancies of sleep in three distinct age
groups within the school-age period. METHODS: Participants were divided by age:
Cycle 1, 6 and 7 years; Cycle 2, 8 and 9 years; and Cycle 3, 10 and 11 years. For
seven consecutive nights, sleep was assessed in the home environment using an
actigraph. RESULTS: Compared to children of Cycle 1, those of Cycles 2 and 3
showed increasing and significant delays in sleep start time, increasingly
shorter assumed and actual sleep durations, and larger night-to-night variability
of sleep. Of the children in Cycles 1, 2, and 3, 96%, 87.7%, and 51.3%,
respectively, were found to spend the recommended 9-11 h in bed. However, the
actigraphic 'true sleep' measure revealed that only 17%, 7%, and 2.5% of these
children, respectively, obtained the recommended amount of sleep. CONCLUSION:
Sleep duration, schedule, and variability change significantly across the school
age period.
PMID- 29793185
TI - Production of biogas (methane and hydrogen) from anaerobic digestion of
hemicellulosic hydrolysate generated in the oxidative pretreatment of coffee
husks.
AB - Ozone pretreatment of coffee husks (CH) was evaluated to generate hydrolysates
for biogas production and to preserve cellulose of the solid phase for 2G ethanol
production. Pretreatment variables included liquid-to-solid ratio (LSR), pH and
specific applied ozone load (SAOL). Considering single-stage anaerobic digestion
(AD), the highest methane production (36 NmL CH4/g CH) was achieved with the
hydrolysate generated in the experiment using LSR 10 mL/g, pH 11 and SAOL 18.5 mg
O3/g CH, leading to 0.064 kJ/g CH energy recovery. Due to the presence of toxic
compounds in the hydrolysate, the addition of powdered activated carbon (4 g/L)
to the reactor enhanced biogas production, leading to 86 NmL CH4/g CH yield and
0.58 kJ/g CH energy recovery. When two-stage AD was applied, methane production
resulted in 49 NmL CH4/g CH, with additional 19 NmL H2/g CH production, resulting
in a net 0.26 kJ/g CH energy recovery.
PMID- 29793183
TI - Environmental radionuclides as contaminants of HPGe gamma-ray spectrometers:
Monte Carlo simulations for Modane underground laboratory.
AB - The main limitation in the high-sensitive HPGe gamma-ray spectrometry has been
the detector background, even for detectors placed deep underground.
Environmental radionuclides such as 40K and decay products in the 238U and 232Th
chains have been identified as the most important radioactive contaminants of
construction parts of HPGe gamma-ray spectrometers. Monte Carlo simulations have
shown that the massive inner and outer lead shields have been the main
contributors to the HPGe-detector background, followed by aluminum cryostat,
copper cold finger, detector holder and the lead ring with FET. The Monte Carlo
simulated cosmic-ray background gamma-ray spectrum has been by about three orders
of magnitude lower than the experimental spectrum measured in the Modane
underground laboratory (4800 m w.e.), underlying the importance of using
radiopure materials for the construction of ultra-low-level HPGe gamma-ray
spectrometers.
PMID- 29793186
TI - Excess sludge disruption and pollutant removal from tannery effluent by upgraded
activated sludge system.
AB - This study proposed a maintenance metabolism based upgraded activated sludge as
MANODOX system that restricts excess biosludge generation from high strength real
tannery effluent. The MANODOX experimental demonstration has been done using a
sequenced operational arrangement of a MBBR, anaerobic digester, and oxidation
ditch connected to CAS reactor, discussed in detail manner. Experimental trends
revealed a prominently lower sludge yield upto 0.271 gVSS/gCOD (72% overall
sludge reduction) that corresponds to parallel run CAS (0.92 gVSS/gCOD). MANODOX
implementation confirmed high quality treated effluent with prominent COD and
suspended solids reduction upto 97.1% and 96% respectively. The biodegradability
observation was further supported by anaerobic and aerobic batch digestion
analysis. The variation of soluble component turbidity analysis reflects the
enriched non-flocculating predatory microbial population appears to may have been
responsible for sludge reduction. MANODOX system provided a sustainable practical
alternative for under capacity activated sludge based treatment facilities for a
variety of wastewater types.
PMID- 29793188
TI - Prioritization of reproductive toxicants in unconventional oil and gas operations
using a multi-country regulatory data-driven hazard assessment.
AB - BACKGROUND: Recent trends have witnessed the global growth of unconventional oil
and gas (UOG) production. Epidemiologic studies have suggested associations
between proximity to UOG operations with increased adverse birth outcomes and
cancer, though specific potential etiologic agents have not yet been identified.
To perform effective risk assessment of chemicals used in UOG production, the
first step of hazard identification followed by prioritization specifically for
reproductive toxicity, carcinogenicity and mutagenicity is crucial in an evidence
based risk assessment approach. To date, there is no single hazard classification
list based on the United Nations Globally Harmonized System (GHS), with countries
applying the GHS standards to generate their own chemical hazard classification
lists. A current challenge for chemical prioritization, particularly for a multi
national industry, is inconsistent hazard classification which may result in
misjudgment of the potential public health risks. We present a novel approach for
hazard identification followed by prioritization of reproductive toxicants found
in UOG operations using publicly available regulatory databases. METHODS: GHS
classification for reproductive toxicity of 157 UOG-related chemicals identified
as potential reproductive or developmental toxicants in a previous publication
was assessed using eleven governmental regulatory agency databases. If there was
discordance in classifications across agencies, the most stringent classification
was assigned. Chemicals in the category of known or presumed human reproductive
toxicants were further evaluated for carcinogenicity and germ cell mutagenicity
based on government classifications. A scoring system was utilized to assign
numerical values for reproductive health, cancer and germ cell mutation hazard
endpoints. Using a Cytoscape analysis, both qualitative and quantitative results
were presented visually to readily identify high priority UOG chemicals with
evidence of multiple adverse effects. RESULTS: We observed substantial
inconsistencies in classification among the 11 databases. By adopting the most
stringent classification within and across countries, 43 chemicals were
classified as known or presumed human reproductive toxicants (GHS Category 1),
while 31 chemicals were classified as suspected human reproductive toxicants (GHS
Category 2). The 43 reproductive toxicants were further subjected to analysis for
carcinogenic and mutagenic properties. Calculated hazard scores and Cytoscape
visualization yielded several high priority chemicals including potassium
dichromate, cadmium, benzene and ethylene oxide. CONCLUSIONS: Our findings reveal
diverging GHS classification outcomes for UOG chemicals across regulatory
agencies. Adoption of the most stringent classification with application of
hazard scores provides a useful approach to prioritize reproductive toxicants in
UOG and other industries for exposure assessments and selection of safer
alternatives.
PMID- 29793187
TI - STAPP: Spatiotemporal analysis of plantar pressure measurements using statistical
parametric mapping.
AB - BACKGROUND: Pedobarography produces large sets of plantar pressure samples that
are routinely subsampled (e.g. using regions of interest) or aggregated (e.g.
center of pressure trajectories, peak pressure images) in order to simplify
statistical analysis and provide intuitive clinical measures. RESEARCH QUESTION:
We hypothesize that these data reductions discard gait information that can be
used to differentiate between groups or conditions. METHODS: To test the
hypothesis of null information loss, we created an implementation of statistical
parametric mapping (SPM) for dynamic plantar pressure datasets (i.e. plantar
pressure videos). Our SPM software framework brings all plantar pressure videos
into anatomical and temporal correspondence, then performs statistical tests at
each sampling location in space and time. Novelly, we introduce non-linear
temporal registration into the framework in order to normalize for timing
differences within the stance phase. We refer to our software framework as STAPP:
spatiotemporal analysis of plantar pressure measurements. Using STAPP, we tested
our hypothesis on plantar pressure videos from 33 healthy subjects walking at
different speeds. RESULTS: As walking speed increased, STAPP was able to identify
significant decreases in plantar pressure at mid-stance from the heel through the
lateral forefoot. The extent of these plantar pressure decreases has not
previously been observed using existing plantar pressure analysis techniques.
SIGNIFICANCE: We therefore conclude that the subsampling of plantar pressure
videos - a task which led to the discarding of gait information in our study -
can be avoided using STAPP.
PMID- 29793189
TI - Effects of exposure to anti-vaping public service announcements among current
smokers and dual users of cigarettes and electronic nicotine delivery systems.
AB - INTRODUCTION: Anti-vaping public service announcements (PSAs) are intended to
discourage vaping or use of electronic nicotine delivery systems (ENDS). However,
vaping portrayals in PSAs may have unintended effects if they increase smoking or
vaping urges. This study examined benefits and unintended effects of anti-vaping
PSAs with vapor portrayals on smoking and vaping-related outcomes. METHODS: Young
adult smokers (N = 171) and dual users (N = 122) aged 21-30 years were randomly
assigned to view: 1) anti-vaping PSAs with vapor; 2) anti-vaping PSAs without
vapor; 3) physical activity PSAs; or 4) anti-smoking PSAs with smoking cues.
Outcomes were changes in vaping and smoking urges before and after viewing PSAs,
post-test vaping and smoking intentions in the next hour, and post-test intention
to purchase ENDS and traditional cigarettes. RESULTS: Smokers only: Exposure to
anti-vaping PSAs with vapor (vs. physical activity) was associated with lower
intention to vape and to purchase ENDS (ps < 0.001) and lower intention to smoke
and purchase cigarettes (ps < 0.05). Exposure to anti-vaping PSAs with vapor (vs.
PSAs without vapor and vs. anti-smoking PSAs with smoking cues) was associated
with lower intention to vape in the next hour (ps < 0.05). Exposure to anti
vaping PSAs without vapor (vs. physical activity) was associated with lower
change in vaping urge (p < 0.05) and intention to purchase ENDS (p < 0.001). Dual
users: Exposure to anti-vaping PSAs without vapor (vs. anti-smoking PSAs) was
associated with lower intention to purchase ENDS (p < 0.05). CONCLUSION: Viewing
anti-vaping PSAs with vapor was not associated with unintended effects and may
have benefits on reducing smoking and vaping-related outcomes.
PMID- 29793190
TI - Comparative hazards of acute myocardial infarction among hospitalized patients
with methamphetamine- or cocaine-use disorders: A retrospective cohort study.
AB - BACKGROUND: It is assumed that recreational use of methamphetamine can trigger
acute myocardial infarction (AMI) events, but estimates of longitudinal hazards
of AMI among methamphetamine users are lacking. METHODS: Retrospective cohort
study: Competing-risks analysis was used to estimate time-to-AMI patterns in
methamphetamine versus matched appendicitis (population-proxy) and matched
cocaine (drug-control) groups. Cohorts were propensity-score-matched using
demographic and clinical variables. SETTING: California, 1990-2005. PARTICIPANTS:
Cohorts of individuals with no prior or concurrent history of AMI hospitalized
with methamphetamine- (n = 73,056), cocaine- (n = 47,726), or appendicitis
related conditions (n = 330,109). MEASUREMENTS: ICD-9/ICD-10 indications of AMI
(ICD-9 410.X; ICD-10 I21.X) in death records or inpatient hospital data. RESULTS:
Patients in methamphetamine cohort were more likely to develop subsequent AMI in
comparison to those in matched appendicitis cohort [Hazard ratio (HR): 1.41; 95%
CI, 1.23-1.62, p < 0.0001], with increased risk most marked in young
methamphetamine users (age 15-34 years; HR: 2.04; 95% CI, 1.63-2.57, p = 0.
0001). Risk was slightly increased vs. that in matched cocaine group (HR: 1.19;
95% CI, 1.02-1.39, p = 0. 029). Individuals in cocaine cohort were also more
likely to experience AMI outcome vs. appendicitis cohort (HR: 1.25; 95% CI, 1.08
1.45, p = 0. 0023). CONCLUSION: Our longitudinal data support results of earlier
epidemiological studies suggesting that persons with methamphetamine- (or cocaine
) use disorders might have increased AMI risk. However, because of potential
study limitations and the unexpectedly modest magnitude of the observed increased
AMI hazard, these findings must be considered preliminary and require
replication.
PMID- 29793191
TI - Development and validation of a prediction model for functional decline in older
medical inpatients.
AB - OBJECTIVE: To prevent functional decline in older inpatients, identification of
high-risk patients is crucial. The aim of this study was to develop and validate
a prediction model to assess the risk of functional decline in older medical
inpatients. METHODS: In this retrospective cohort study, patients >=65 years
admitted acutely to medical wards were included. The healthcare database of 246
acute care hospitals (n = 229,913) was used for derivation, and two acute care
hospitals (n = 1767 and 5443, respectively) were used for validation. Data were
collected using a national administrative claims and discharge database.
Functional decline was defined as a decline of the Katz score at discharge
compared with on admission. RESULTS: About 6% of patients in the derivation
cohort and 9% and 2% in each validation cohort developed functional decline. A
model with 7 items, age, body mass index, living in a nursing home, ambulance
use, need for assistance in walking, dementia, and bedsore, was developed. On
internal validation, it demonstrated a c-statistic of 0.77 (95% confidence
interval (CI) = 0.767-0.771) and good fit on the calibration plot. On external
validation, the c-statistics were 0.79 (95% CI = 0.77-0.81) and 0.75 (95% CI =
0.73-0.77) for each cohort, respectively. Calibration plots showed good fit in
one cohort and overestimation in the other one. CONCLUSIONS: A prediction model
for functional decline in older medical inpatients was derived and validated. It
is expected that use of the model would lead to early identification of high-risk
patients and introducing early intervention.
PMID- 29793192
TI - How did older adults respond to challenges after an earthquake? Results from a
qualitative study in Iran.
AB - OBJECTIVES: In many areas, natural disasters are a major challenge for life and
property of people. Earthquake is one of the most devastating natural disasters.
This study aimed to explore how older adults responded to challenges after the
earthquake in Iran. METHODS: This study was based on qualitative analysis. Data
were collected through in-depth and semi-structured interviews. 29 participants
including 18 older adult survivors of the earthquake-stricken areas, four lay
caregivers, and three health professionals in disasters, one social worker, two
relief worker and one disaster psychologist were interviewed. The interviews were
recorded and transcribed. The transcribed texts were analyzed using inductive
qualitative content analysis recommended by Graneheim and Lundman (2004).
RESULTS: The study explored two main categories regarding older adults' responses
to challenges after the earthquake: adaptive and maladaptive responses. Adaptive
response has been developed by four factors including; religious coping, sharing
feelings and information, coping with new activities, roles and place. Also,
maladaptive response was included; the lack of motivation to search for relief
supplies, undue dependency, and decrease of social activities. CONCLUSION:
Service providers are recommended to identify the patterns of vulnerability and
cultural sensitivities in older adults' responses to manage the negative
consequences of disasters on older adults. Furthermore, older adults can make a
substantial contribution in recovery programs based on the adaptive responses,
such as helping in the rescue efforts and psychological support from family and
community after disasters.
PMID- 29793193
TI - A validation study of the 1,2-indandione reagent for operational use in the UK:
Part 2 - Optimization of processing conditions.
AB - This paper contains details of work carried out to identify the most effective
processing conditions for the optimized 1,2-indandione/zn formulation developed
for use under UK conditions. Using direct measurements of fluorescence taken from
test spots of amino acids and eccrine sweat during oven processing, complemented
with experiments on real fingermarks, it was established that processing
temperatures above 120 degrees C in the oven were detrimental to the fluorescence
of the developed mark. Alternative methods of development to oven processing were
found to be effective, but less controllable. High levels of humidification were
also found to be detrimental to the fluorescence of 1,2-indandione developed
marks, and oven processing at 100 degrees C and 0% relative humidity is therefore
recommended for further studies. It has also been shown that 1,2-indandione can
develop fingermarks at temperatures as low as 20 degrees C, making it a candidate
for use at crime scenes.
PMID- 29793194
TI - Simultaneous determination of 18 psychoactive agents and 6 metabolites in plasma
using LC-MS/MS and application to actual plasma samples from conscription
candidates.
AB - In Korea, an increasing number of people attempt to evade military conscription
by posing as mental health patients. To verify the authenticity of mental
illness, there is a need to detect wide range of psychoactive agents in
biological specimens of conscription candidates. In this study, we developed and
validated a liquid chromatography-tandem mass spectrometry (LC-MS/MS) method for
simultaneous determination of 18 psychoactive agents and 6 metabolites in human
plasma. The method was characterized by the use of a simple, fast and cheap
protein precipitation as sample preparation, a rapid run time (11min) and a low
volume of plasma sample (200MUL). The analytes were monitored under the scheduled
multiple reaction monitoring (sMRM) positive and negative mode using electrospray
ionization (ESI). The essential validation parameters including selectivity,
linearity, accuracy, precision, matrix effect and recovery were satisfactory. The
limit of detection ranged from 0.0005 to 0.001MUg/mL, and limit of quantitation
ranged from 0.005 to 0.025MUg/mL. The developed method was successfully applied
to 323 actual plasma samples submitted by Korea central physical examination
center of military manpower administration in 2016, and is expected to contribute
to the rapid and accurate disposition of military service.
PMID- 29793195
TI - Alcohol and drugs use among drivers injured in road accidents in Campania
(Italy): A 8-years retrospective analysis.
AB - A recent update of the Italian Road Traffic Law (RTL 41/2016), established severe
penal sanctions when drivers, driving under the influence of alcohol (DUI) or
drugs (DUID), are involved in road accident that results in death or injuries. A
study was carried out to assess the trends of consumption of alcohol, illicit
drugs or pharmaceutical among injured drivers suspected for DUI or DUID from 2009
to 2016 in the region of Campania (Italy). Confirmation toxicological analyses
were performed on 780 blood samples and 1017 urine samples collected from 1797
injured drivers. These drivers all tested positive for alcohol or drug use
through immunoassay screening applied at hospital emergency units and their
biological samples transferred to the Forensic Reference Laboratory (FRL) for
confirmation analysis. The GC/HS-FID methodology was used to test Blood Alcohol
Concentration (BAC). Qualitative and quantitative analyses for drugs were
performed using the GC/MS or LC-MS/MS methodology. The BAC >0.5g/L was confirmed
in 91.5% of drivers suspected for DUI cases and in 93% of DUID respectively. In
DUI cases, results show an increasing incidence of road accidents involving
drivers with BAC above 1.5g/L while at concentrations above 0.8g/L alcohol and
drugs are both used. Among the suspected DUID cases, the intake of alcohol in
association with drugs has consistently increased over time and positive results
on blood samples was confirmed for multiple drugs (20%) or cannabis and cocaine
alone (18%) followed by benzodiazepines (6%) and methadone (3.5%) respectively.
The majority of injured drivers suspected for DUID (1017 cases) did not authorize
blood sampling, therefore only urine was analyzed showing the prevalent use of
cannabis, followed by multiple drug>cocaine>benzodiazepines>opiates. Among 1797
drivers, suspected at screening for DUI or DUID, 15.4% of cases (64 blood and 213
urine samples) were not confirmed by GC/HS, GC/MS or LC-MS/MS analysis. In
forensic toxicological investigations, it is mandatory to satisfy the best
quality standards, which is not achievable if immunochemical screening is only
performed on urine. Therefore, only confirmed positive results of alcohol or
drugs on blood samples can represent conclusive evidence to demonstrate the DUI
or DUID related offences. An improvement of the protocols currently applied in
Italy for the assessment of DUI or DUID crimes is needed and the confirmation
analysis on blood should be considered mandatory.
PMID- 29793197
TI - Association of low-moderate urine arsenic and QT interval: Cross-sectional and
longitudinal evidence from the Strong Heart Study.
AB - Epidemiologic studies suggest that chronic exposure to arsenic is related to
cardiovascular disease (CVD), but the pathophysiological link remains uncertain.
We evaluated the association of chronic low-moderate arsenic exposure and arsenic
metabolism with baseline difference and annual change in ECG measures (QT
interval, JT interval, PR interval, QRS duration, and QT dispersion) using linear
mixed models in the Strong Heart Study main cohort (N = 1174, median age 55
years) and family study (N = 1695 diabetes-free, median age 36 years). At
baseline, arsenic exposure was measured as the sum of inorganic and methylated
species in urine (SigmaAs) and arsenic metabolism was measured as the relative
percentage of arsenic species. Median SigmaAs and Bazett heart rate-corrected QT
interval (QTc) were 8.6 MUg/g creatinine and 424 ms in the main cohort and 4.3
MUg/g and 414 ms in the family study, respectively. In the main cohort, a
comparison of the highest to lowest SigmaAs quartile (>14.4 vs. <5.2 MUg/g
creatinine) was associated with a 5.3 (95% CI: 1.2, 9.5) ms higher mean baseline
QTc interval but no difference in annual change in QTc interval. In the family
study, a comparison of the highest to lowest quartile (>7.1 vs. <2.9 MUg/g
creatinine) was associated with a 3.2 (95% CI: 0.6, 5.7) ms higher baseline QTc
interval and a 0.6 (95% CI: 0.04, 1.2) ms larger annual increase in QTc interval.
Associations with JTc interval were similar but stronger in magnitude compared to
QTc interval. Arsenic exposure was largely not associated with PR interval, QRS
duration or QT dispersion. Similar to arsenic exposure, a pattern of lower %MMA
and higher %DMA was associated with longer baseline QTc interval in both cohorts
and with a larger annual change in QTc interval in the family study. Chronic low
moderate arsenic exposure and arsenic metabolism were associated with prolonged
ventricular repolarization.
PMID- 29793198
TI - Assessment of Cu sub-lethal toxicity (LC50) in the cold-water gorgonian
Dentomuricea meteor under a deep-sea mining activity scenario.
AB - Previous aquaria-based experiments have shown dissolution and leaching of metals,
especially copper (Cu), from the simulated sediment plumes generated during
mining activities resulting in a pronounced increase of Cu contamination in the
surrounding seawater. Metals are bioavailable to corals with food, through
ingestion (particulate phase) and through tissue-facilitated transport (passive
diffusion). With corals being particularly vulnerable to metal contamination,
resuspension of metal-bearing sediments during mining activities represents an
important ecological threat. This study was undertaken to evaluate the impact of
acute copper exposure (LC50;96 h) on the survival of the cold-water octocoral
Dentomuricea aff. meteor. The experimental design was divided in two stages. In
stage one, a Cu range-finding toxicity test was performed using Cu dilutions in
filtered seawater with concentrations of 0 (control); 60; 150; 250; 450; 600
MUg/L. Coral mortality was investigated visually based on the percent surface
area of tissue changing from natural yellow colour to black colour indicative of
tissue necrosis and death. In stage two, we used the results obtained in the
range-finding experiment, to define sub-lethal Cu exposure treatments and exposed
D. meteor to Cu concentration of 0 (control); 50; 100; 150; 200; 250 MUg/L for 96
h. The corals physical conditions were inspected daily and seawater conditions
recorded. Corals were considered dead when all of their tissue turned black. The
LC50 value was calculated with regression analysis following Probits methodology.
Our results indicate that Cu LC50;96 h for the octocoral D. meteor is 137 MUg/L.
PMID- 29793196
TI - Seasonal cycles of secondary organic aerosol tracers in rural Guangzhou, Southern
China: The importance of atmospheric oxidants.
AB - Thirteen secondary organic aerosol (SOA) tracers of isoprene (SOAI), monoterpenes
(SOAM), sesquiterpenes (SOAS) and aromatics (SOAA) in fine particulate matter
(PM2.5) were measured at a Pearl River Delta (PRD) regional site for one year.
The characteristics including their seasonal cycles and the factors influencing
their formation in this region were studied. The seasonal patterns of SOAI, SOAM
and SOAS tracers were characterized over three enhancement periods in summer (I),
autumn (II) and winter (III), while the elevations of SOAA tracer (i.e., 2,3
dihydroxy-4-oxopentanoic acid, DHOPA) were observed in Periods II and III. We
found that SOA formed from different biogenic precursors could be driven by
several factors during a one-year seasonal cycle. Isoprene emission controlled
SOAI formation throughout the year, while monoterpene and sesquiterpene emissions
facilitated SOAM and SOAS formation in summer rather than in other seasons. The
influence of atmospheric oxidants (Ox) was found to be an important factor of the
formation of SOAM tracers during the enhancement periods in autumn and winter.
The formation of SOAS tracer was influenced by the precursor emissions in summer,
atmospheric oxidation in autumn and probably also by biomass burning in both
summer and winter. In this study, we could not see the strong contribution of
biomass burning to DHOPA as suggested by previous studies in this region.
Instead, good correlations between observed DHOPA and Ox as well as [NO2][O3]
suggest the involvement of both ozone (O3) and nitrogen dioxide (NO2) in the
formation of DHOPA. The results showed that regional air pollution may not only
increase the emissions of aromatic precursors but also can greatly promote the
formation processes.
PMID- 29793199
TI - Effects of acute and chronic exposures of fluoxetine on the Chinese fish,
topmouth gudgeon Pseudorasbora parva.
AB - Fluoxetine is a selective serotonin reuptake inhibitor used as an antidepressant
and has been frequently detected in aquatic environments. However, its effects in
fish from Asia remain relatively less studied. In this study, the topmouth
gudgeon Pseudorasbora parva was exposed to 0, 50, and 200 ug/L of fluoxetine for
4 h and 42 d. The effects of fluoxetine on biometrics were compared to
biochemical endpoints indicative of stress in different fish tissues (brain,
liver, gills and intestine) following exposures. In fish exposed for 42 d, lipid
peroxidation endpoints were enhanced 80% in the liver and gills.
Acetylcholinesterase (AChE) activity was increased 40% after exposure to 50 ug/L
and 55% at 200 ug/L following 4 h exposure. In contrast AChE was increased 26%
(at 50 ug/L) after 42 d of exposures. Enhanced ethoxyresorufin-O-deethylase
activity (EROD) was detected only in fish exposed to 50 ug/L of fluoxetine for 4
h. The activity of alpha-glucosidase (alpha-Glu) was also induced (at 200 ug/L)
after 4 h of exposure. After 4 h of exposure, the activities of proteases in the
intestine were generally inhibited at 200 ug/L. Both 4 h and 42 d exposures
resulted in an increased hepatosomatic index (HSI) but did not affect the
condition factor (CF). Our results demonstrate that fluoxetine significantly
altered biochemical endpoints in P. parva after acute exposure and the
morphological changes in liver size were not observed until 42 d of exposure.
PMID- 29793200
TI - Influence of auxochrome group in disperse dyes bearing azo groups as chromophore
center in the biotransformation and molecular docking prediction by reductase
enzyme: Implications and assessment for environmental toxicity of xenobiotics.
AB - Synthetic azo dyes have increasingly become a matter of great concern as a result
of the genotoxic and mutagenic potential of the products derived from azo dye
biotransformation. This work evaluates the manner in which reducing enzymes
produced by Escherichia coli (E. coli) act on three disperse dyes bearing azo
groups, namely Disperse Red 73 (DR 73), Disperse Red 78 (DR 78), and Disperse Red
167 (DR 167). UV-Vis spectrophotometry, high-performance liquid chromatography
with diode array detector (HPLC-DAD), and liquid chromatography mass spectrometry
(LC-MS/MS) were applied towards the identification of the main products. Seven
days of incubation of the azo dyes with the tested enzymes yielded a completely
bleached solution. 3-4-Aminophenyl-ethyl-amino-propanitrile was detected
following the biotransformation of both DR 73 and DR 78. 4-Nitroaniline and 2
chloro-4-nitroaniline were detected upon the biotransformation of DR 73 and DR
78, respectively. The main products derived from the biotransformation of DR 167
were dimethyl 3,3'-3-acetamido-4-aminophenyl-azanedyl-dipropanoate and 2-chloro-4
nitroaniline. The results imply that DR 73 lost the CN- substituent during the
biotransformation. Furthermore, theoretical calculations were also carried out
aiming at evaluating the interaction and reactivity of these compounds with DNA.
Taken together, the results indicate that DR 73, DR 78, and DR 167 pose health
risks and serious threats to both human beings and the environment at large as
their biotransformation produces harmful compounds such as amines, which have
been widely condemned by the International Agency for Research on Cancer.
PMID- 29793201
TI - Positive effects of an oil adjuvant on efficacy, dissipation and safety of
pyrimethanil and boscalid on greenhouse strawberry.
AB - Methylated vegetable oil adjuvants can enhance initial deposition and decrease
the required dosages of pesticides sprayed on plants, so an oil adjuvant mixed
with fungicides were used to prevent and control gray mold in greenhouse
strawberry. As the persistence and dietary exposure risks from fungicides on
strawberries after using adjuvants have not been assessed, the efficacy,
dissipation and safety of pyrimethanil and boscalid in the presence and absence
of a methylated vegetable oil adjuvant were evaluated. To better describe the
actual use of fungicides in greenhouse strawberry, twice repeated application of
fungicides were conducted follower by an optimized QuEChERS pre-treatment method.
When applied at 60% of their recommended dosages with the adjuvant, the efficacy
of pyrimethanil and boscalid for gray mold was similar to that shown by the
treatment of 100% fungicides in absence of the adjuvant based on Duncan's
Multiple-Range test, and their average residues increased to 89.0% and 89.3%,
respectively. The adjuvant enhanced the accumulation effect of pyrimethanil
residue by 31.7% after repeated applications, and the half-lives were similar
(5.2 and 4.2 d). The adjuvant had comparable accumulation effects (1.75 and 1.83)
and similar half-lives (5.4 and 5.5 d) for boscalid. In absence of adjuvant, the
risk quotients (RQs) of pyrimethanil (0.41 and 0.33) and boscalid (0.49 and 0.63)
after twice applications at pre-harvest interval were lower than 1. Adding the
methylated vegetable oil adjuvant to fungicides would result in unprolonging half
life and acceptably low dietary exposure risk on strawberries, but lower dosage
of fungicides were used.
PMID- 29793202
TI - iTRAQ-based quantitative proteomic analysis of the earthworm Eisenia fetida
response to Escherichia coli O157:H7.
AB - Soil environment contaminated by Escherichia coli O157:H7 which come from the
waste of infected animals. Earthworms can live in the pathogens-polluted soil by
their innate immunity. How the proteins of earthworms E. fetida will response to
E. coli O157:H7-contaminated-soil still unclear? To identify the defense proteins
under E. coli O157:H7 stress, we performed a proteomic analysis of earthworm
under E. coli O157:H7 exposure through an iTRAQ technology. In total, we found
283 non-redundant proteins, including fibrinolytic protease 1, lombricine kinase,
lysozyme, gelsolin, coelomic cytolytic factor-1, antimicrobial peptide lumbricin
l, lysenin, and et al. The proteins participate in metabolic processes,
transcription, defense response to bacterium, translation, response to stress,
and transport. The study will contribute to understand why earthworm can live in
the pathogens-polluted environment.
PMID- 29793203
TI - Chemical speciation and risk assessment of cadmium in soils around a typical coal
mining area of China.
AB - The distribution characteristics of Cadmium (Cd) fractions in soils around a coal
mining area of Huaibei coalfield were investigated, with the aim to assess its
ecological risk. The total Cd concentrations in soils ranged from 0.05 to 0.87
mg/kg. The high percentage of phyto-available Cd (58%) when redox or base-acid
equilibria changed. Soil pH was found to be a crucial factor affecting soil Cd
fraction, and carbonate-bound Cd can be significantly affected by both organic
matter and pH of soils. The static ecological evaluation models, including
potential ecological risk index (PERI), geo-accumulation index (Igeo) and risk
assessment code (RAC), revealed a moderate soil Cd contamination and prensented
high Cd exposure risk in studied soils. However, the dynamic evaluation of Cd
risk, determined using a delayed geochemical hazard (DGH), suggested that our
studied soils can be classified as median-risk with a mean probability of 24.79%
for Cd DGH. These results provide a better assessment for the risk development of
Cd contamination in coal mining areas.
PMID- 29793204
TI - Influence of isolated bacterial strains on the in situ biodegradation of
endosulfan and the reduction of endosulfan- contaminated soil toxicity.
AB - The recently discovered endosulfan-degrading bacterial strains Pusillimonas sp.
JW2 and Bordetella petrii NS were isolated from endosulfan-polluted water and
soil environments. The optimal conditions for the growth and biodegradation
activity of the strains JW2 and NS were studied in detail. In addition, the
ability of the strains JW2 and NS to biodegrade endosulfan in soils during in
situ bioremediation experiments was investigated. At a concentration of 2 mg of
endosulfan per kilogram of soil, both JW2 and NS had positive effects on the
degradation of endosulfan; JW2 degraded 100% and 91.5% of alpha- and beta
endosulfan, respectively, and NS degraded 95.1% and 90.3% of alpha- and beta
endosulfan, respectively. Polymerase chain reaction-denaturing gradient gel
electrophoresis (PCR-DGGE) of soil samples showed the successful colonization of
JW2 and NS, and the toxicity of the soil decreased, as determined by single-cell
gel electrophoresis (SCGE) assays of Eiseniafetida and micronucleus (MN) assays
of Viciafaba root tip cells. Furthermore, the metabolic products of the
bacterially degraded endosulfan from the in situ experiments were identified as
endosulfan ether and lactone. This study provided potentially foundational
backgrounds information for the remediation of endosulfan-contaminated soil.
PMID- 29793205
TI - Comprehensive assessment of three typical antibiotics on cyanobacteria
(Microcystis aeruginosa): The impact and recovery capability.
AB - This innovative study provided a comprehensive evaluation of the effects of three
typical antibiotics exposures (cefradine, norfloxacin and amoxicillin) on
Microcystis aeruginosa in two periods (exposure and post-exposure) at a new
perspective. The results indicated that the irreversible growth inhibition of M.
aeruginosa attributed to the norfloxacin in the exposure and the re-exposure
stages. In contrast, although the algal cell size recovered to the control level
after the exposure of 20 mg/L of cefradine, the significant stimulation on
glutathione (GSH) still persisted even if the contaminants were removed. On the
other hand, amoxicillin inhibited the activities of superoxide dismutase (SOD),
GSH contents and the algal cell size in the exposure period while malonaldehyde
(MDA) contents increased significantly in two periods.
PMID- 29793206
TI - Effects on the hepatic transcriptome of chicken embryos in ovo exposed to
phenobarbital.
AB - This work aimed at evaluating the toxic effects of in ovo exposure to
phenobarbital (PB) and unveiling the mode of action by transcriptome analysis in
the embryonic liver of a model avian species, chicken (Gallus gallus). Embryos
were initially treated with saline or 1 MUg PB /g egg at Hamburger Hamilton Stage
(HHS) 1 (1st day), followed by 20 days of incubation to HHS 46. At 21st day,
chicks that pipped successfully were euthanized and dissected for assessing the
PB caused effects on phenotypes and the liver transcriptome in both genders. In
the PB treatment group, a 7% attenuation in tarsus length was found in females.
While no adverse phenotypic effect on the liver somatic index (LSI) was observed,
PB caused significant changes in the expressions of 52 genes in males and 516
genes in females (False Discovery Rate < 0.2, p value < 0.05, and absolute fold
change > 2). PB exposure modulated the genes primarily enriched in the biological
pathways of the cancer, cardiac development, immune response, lipid metabolism,
and skeletal development in both genders, and altered expressions of genes
related to the cellular process and neural development in females. However, mRNA
expressions of chicken xenobiotic receptor (CXR)-mediated CYP genes were not
induced in the PB treatment groups, regardless of males and females. On the
contrary, PB exposure repressed the mRNA expressions of CYP2AC2 in males and
CYP2R1, CYP3A37, and CYP8B1 in females. Although transcription factors (TFs)
including SREBF1 and COUP-TFII were predicted to be commonly activated in both
genders, some TFs were activated in a gender-dependent manner, such as PPARa in
males and BRCA1 and IRF9 in females. Taken together, our results provided an
insight into the mode of action of PB on the chicken embryos.
PMID- 29793207
TI - Infectivity reduction efficacy of UV irradiation and peracetic acid-UV combined
treatment on MS2 bacteriophage and murine norovirus in secondary wastewater
effluent.
AB - Peracetic acid (PAA) is a strong oxidant/bactericide that has been applied in
various industries (e.g., food processing, pharmaceuticals, medical device
sterilization, etc.) as a disinfectant. There is increasing interest in using PAA
for wastewater disinfection because it does not form halogenated byproducts, and
no post-treatment quenching is required. Previous studies have demonstrated good
efficiency in controlling bacteria in wastewater, but limited information is
available for viruses, especially those hosted by mammals (e.g., norovirus).
Therefore, a study on the infectivity reduction of murine norovirus (MNV) was
undertaken to evaluate the disinfection efficacy of PAA or UV alone and in
combination with UV irradiation in undisinfected secondary effluent from a
municipal wastewater reclamation facility (MWW) and phosphate buffer solution
(PBS) at pH 7. Experiments employing MS2 bacteriophage were also performed in
parallel for comparison purposes. MS2 infectivity reduction was found to be lower
than MNV infectivity reduction for each condition studied - PAA, PAA + UV, and UV
disinfection. These data suggest that MS2 may not be an appropriate surrogate to
accurately predict the reduction of MNV infectivity. UV irradiation, in a dose
range of 5-250 mJ/cm2, provided linear log inactivation (-log (N/N0)) with a
regression slope (cm2mJ-1) of 0.031-0.034 and 0.165-0.202 for MS2 and MNV,
respectively. UV irradiation provided similar inactivation for MS2 and MNV in
both suspensions (PBS or MWW). Low infectivity reduction of MS2 was observed when
PAA was used alone at a practical dose of 1.5 mg/L and below. A greater reduction
of both MNV and MS2 was observed in PAA disinfection experiments using PBS as the
microbial suspension medium, than in secondary effluent. Similar results were
observed in PAA + UV experiments, in which greater synergistic effects were found
in PBS than in MWW. Results of OH radical formation experiments suggest the
presence of radical scavengers in MWW, which resulted in less opportunity for MNV
and MS2 to encounter OHradicals. This study also demonstrated that the type of
water can have a substantial impact on wastewater disinfection when employing PAA
or PAA + UV treatment due to the matrix effect and the presence of radical
scavengers, respectively. The results from this study could be employed to aid in
the conceptual design of PAA and UV disinfection facilities, especially when
norovirus is the organism of concern.
PMID- 29793209
TI - Effect of thermal pretreatment on chemical composition, physical structure and
biogas production kinetics of wheat straw.
AB - Hard lignocellulosic structure of wheat straw is the main hindrance in its
anaerobic digestion. Thus, a laboratory scale batch experiment was conducted to
study the effect of thermal pretreatment on anaerobic digestion of wheat straw.
For this purpose, different thermal pretreatment temperatures of 120, 140, 160
and 180 degrees C were studied and the results were compared with raw wheat
straw. Significant differences in biogas production were observed at temperature
higher than 160 degrees C. Highest biogas yield of 615 Nml/gVS and volatile
solids reduction of 69% was observed from wheat straw pretreated at 180 degrees
C. Wheat straw pretreated at 180 degrees C showed 53% higher biogas yield as
compared to untreated. Further, FTIR analysis revealed change in chemical bonds
of lignocellulosic structure of wheat straw. Modified Gompertz model was best
fitted on biogas production data and predicted shorter lag phase time and higher
biogas production as the pretreatment temperature increased. Overall, change in
lignocellulosic structure and increase in cellulose content were the main reason
in enhancing biogas production.
PMID- 29793208
TI - Enhanced phosphate removal from wastewater by using in situ generated fresh
trivalent Fe composition through the interaction of Fe(II) on CaCO3.
AB - Excessive existences of nutrients such as phosphate in the aqueous environment
remain as a heavy concern although many researches have been reported for dealing
with their removal. Based on the understanding toward the interactions of Fe
compounds with phosphate and carbonate from many available researches, we
designed a very simple and efficient approach for phosphate removal by using in
situ generated fresh trivalent Fe composition through the interaction of Fe(II)
as FeSO4 on CaCO3. Addition and agitation of Fe(II) and CaCO3 simultaneously to
phosphate solution allowed an amorphous Fe(III)-P or Ca-Fe(III)-P precipitation,
with a phosphate removal rate close to 100%, to reduce the residual phosphorus
concentration less than 0.03 mg/L from 100 mg/L, reaching the discharge limit,
even with the addition amounts of CaCO3 as low as a stoichiometric ratio of
CaCO3/PO43- at 0.9 and ratio of Fe(II)/PO43- at 1.5, and the percent of P2O5 in
the precipitate was as high as 19.4% enough as phosphate source for fertilizer
production. Different from the alkaline process with enough OH- group, the slow
hydrolysis of CaCO3 resulting in low concentration of OH- group for the formation
of Fe(OH)2, which was oxidized soon by air into trivalent Fe, achieved a
continuous generation of fresh ferric composition for phosphate precipitation and
could avoid its rapid formation and subsequent transformation into stable FeOOH
of large particle size to lose the activity. These results based on the
synergistic effect of using CaCO3 and Fe(II) together may have applications in
the treatment of eutrophic wastewater through a process with many advantages of
easy operation and low-cost besides the high removal efficiency with phosphate
percentage inside the precipitate high enough to serve for fertilizer production.
PMID- 29793210
TI - Polypharmacological profile of 1,2-dihydro-2-oxo-pyridine-3-carboxamides in the
endocannabinoid system.
AB - The endocannabinoid system (ECS) represents one of the major neuromodulatory
systems involved in different physiological and pathological processes. Multi
target compounds exert their activities by acting via multiple mechanisms of
action and represent a promising pharmacological modulation of the ECS. In this
work we report 4-substituted and 4,5-disubstituted 1,2-dihydro-2-oxo-pyridine-3
carboxamide derivatives with a broad spectrum of affinity and functional activity
towards both cannabinoid receptors and additional effects on the main components
of the ECS. In particular compound B3 showed high affinity for CB1R (Ki = 23.1
nM, partial agonist) and CB2R (Ki = 6.9 nM, inverse agonist) and also significant
inhibitory activity (IC50 = 70 nM) on FAAH with moderate inhibition of ABHD12
(IC50 = 2.5 MUMU). Compounds B4, B5 and B6 that act as full agonists at CB1R and
as partial agonists (B5 and B6) or antagonist (B4) at CB2R, exhibited an
additional multi-target property by inhibiting anandamide uptake with sub
micromolar IC50 values (0.28-0.62 MUMU). The best derivatives showed cytotoxic
activity on U937 lymphoblastoid cells. Finally, molecular docking analysis
carried out on the three-dimensional structures of CB1R and CB2R and of FAAH
allowed to rationalize the structure-activity relationships of this series of
compounds.
PMID- 29793211
TI - Synthesis and evaluation of novel triazolyl quinoline derivatives as potential
antileishmanial agents.
AB - The high potential of quinoline containing natural products and their derivatives
in medicinal chemistry led us to discover novel series of 25 compounds for the
development of new antileishmanial agents. A series of triazolyl 2-methyl-4
phenylquinoline-3-carboxylate derivatives has been synthesized via click
chemistry inspired molecular hybridization approach and evaluated against
Leishmania donovani. Most of the screened derivatives exhibited significant in
vitro anti-leishmanial activity against promastigote (IC50 ranging from 2.43 to
45.75 MUM) and intracellular amastigotes (IC50 ranging from 7.06 to 34.9 MUM)
than the control, miltefosine (IC50 = 8.4 MUM), with less cytotoxicity in
comparison to the standard drugs. Overall results revealed that prototype signify
a new structural lead for antileishmanial chemotherapy.
PMID- 29793212
TI - Should we excise? Are there any clinical or histologic features that predict
upgrade in papillomas, incidental or non-incidental?
AB - The clinical decision to excise intraductal papilloma (IDP) without atypia
diagnosed on biopsy remains controversial. We sought to establish clinical and
histologic predictors (if any) which may predict upgrade in IDP. 296 biopsies (in
278 women) with histologic diagnosis of IDP without atypia were retrospectively
identified and placed into Incidental (no corresponding imaging correlate), or
Non-incidental (positive imaging correlate) groups. 253/296 (85.5%) cases were
non-incidental, and 43/296 (14.5%) were incidental. 73.1% (185/253) non
incidental and 48.8% (21/43) incidental cases underwent excision. 12.4% (23/185)
non-incidental cases underwent an upgrade to cancer or high-risk lesion; namely 8
Ductal carcinoma in situ (DCIS), 8-atypical ductal hyperplasia (ADH), 6-lobular
neoplasia, and 1-flat epithelial atypia. There was no histopathologic feature on
the biopsy in the non-incidental group which predicted upgrade; however a past
history of atypia was significantly associated with upgrade. 2 of the 21
incidental cases upgraded (1 to ADH and 1 to lobular neoplasia); the former had a
past history of ADH. Both incidental upgrades were >1 mm in size, and were not
completely excised on the biopsy. None of the incidental cases which appeared
completely excised on biopsy upgraded, irrespective of the size on biopsy. These
findings suggest that all non-incidental IDPs should be considered candidates for
surgical excision, given the 12.4% upgrade rate and no definitive histologic
predictors of upgrade. Patients with incidental IDPs (if <1 mm, completely
excised on biopsy and with no history of high risk breast lesion) can be spared
excision.
PMID- 29793213
TI - End-Stage Renal Disease Models in the Americas: Optimizing Resources to Achieve
Better Health Outcomes.
AB - End-stage renal disease, the last and most severe stage of chronic kidney
disease, represents a major and rising concern for countries in Latin America,
driven in large part by aging populations and the near-epidemic rises in
diabetes, obesity, and hypertension. This places a great clinical, economic, and
social burden on the region's health systems. During the ISPOR 6th Latin America
Conference held in Sao Paulo, Brazil, in September 2017, an educational forum
debated on value-based decision making in the treatment of end-stage renal
disease in Latin America. We summarize the current state and how to build
strategies and implement actions to move to a more patient-centered, outcomes
based approach for renal care in the region, taken from the discussions in the
conference and also from a literature review. Models of renal care used in
Ontario (Canada), Colombia, and a Chilean hospital stress the importance of
empowering and supporting patients and their families, allowing for a better
coordination between primary care providers and specialists, providing financial
incentives to health units, and establishing an entity that holds insurers and
providers accountable for health outcomes and costs of treatment. The study uses
the framework of value-based health care for the evaluation of different dialysis
options-peritoneal dialysis, hemodialysis, home dialysis, and so forth-and calls
for the countries to adopt an integrated care model. We emphasize that countries
in Latin America need to recognize the chronic kidney disease challenge and
develop health systems and efficient renal care models to be able to reduce the
burden of the disease.
PMID- 29793214
TI - Balanced design for the feasible super rocket fuels: A first-principle study on
gauche CHN7 and CHN3.
AB - On the basis of the framework of cubic gauche nitrogen (cg-N), six one-eighth
methanetriyl groups (>CH-) substitutes and fifteen one-fourth >CH- substitutes
were optimized using the first-principle calculations based on density functional
theory (DFT). Both one-eighth and one-fourth substitutes still keep the gauche
structures with the simple formula CHN7 and CHN3, respectively. The most
thermodynamic stable gauche CHN7 and CHN3 are P21 qtg-C2H2N14 I and P21 qtg
C4H4N12 III, respectively. No probability density of C-C single bonds and high
probability densities of C-N-C structures were found in the two substitutes.
Although gauche CHN7 and CHN3 lose energy density in contrast to cg-N, they win
kinetic stability and combustion temperature (Tc). Thus, they are more feasible
than cg-N, and more effective than the traditional rocket fuels.
PMID- 29793215
TI - In silico insight into voltage-gated sodium channel 1.7 inhibition for anti-pain
drug discovery.
AB - Studies on human genetics have implicated the voltage-gated sodium channel Nav1.7
as an appealing target for the treatment of pain. In this study, we put forward a
ligand-based pharmacophore for the first time, which was generated by a set of
multiple chemical scaffolds including sulfonamide and non-sulfonamide derivatives
and consisted of four chemical features: an aromatic ring, a hydrophobic group
and two hydrogen acceptors. The active cavity was divided into three regions
according to the properties of the amino acids surrounded and was used for the
docking of 16 known active inhibitors. Four accurate docking methods were
employed to analyze the ligand-protein interactions in our molecular simulation
study. Combining pharmacophore model with docking results, an interaction model
was obtained with four features that were consistent with one another, which was
more powerful in illuminating the binding site. The research elucidated a
valuable relationship between structure and activity, at the same time it
proposed an accurate binding model that was instructive in the development of
novel and potent Nav1.7 inhibitors in the future.
PMID- 29793216
TI - On the valuation of genetic tests.
PMID- 29793217
TI - Personalized medicine in psychiatry.
AB - Dr David Gurwitz has been a Director of The National Laboratory for the Genetics
of Israeli Populations at the Sackler Faculty of Medicine, Tel-Aviv University,
since its establishment in 1995. His research and teaching interests are focused
on pharmacogenomics and its implications for personalized medicine, mainly in the
context of neurology and psychiatry.
PMID- 29793218
TI - A coalition to drive personalized medicine forward.
AB - The widespread adoption of personalized medicine will require the harmonization
of many components: advances in technology; changes in healthcare infrastructure
and medical practice convention; improvements in the efficiency and quality of
healthcare delivery; diagnostic and therapeutics business models for genetically
defined markets; attempts by government and private payers to justify a new genre
of tests and drugs; a different approach to regulatory oversight; and, of course,
the ethical and legal issues that go along with the extensive use of genetic
information in medical records. Personalized medicine is, in fact, a systems
level challenge, in which no single component or stakeholder can hope to push
through a coherent and encompassing policy for adoption on its own. The need for
a nationwide, multi-industry policy consensus for the next generation of medicine
has led to the creation of the Personalized Medicine Coalition - an independent,
non-profit organization of over 20 leading pharmaceutical, diagnostic,
biotechnology and information technology companies, as well as major academic
institutions and governmental agencies. The Coalition provides a structure for
achieving consensus positions on crucial public policy issues and serves as a
forum for debate and education in personalized medicine.
PMID- 29793219
TI - Millennium Pharmaceuticals, Inc.
AB - Millennium Pharmaceuticals, Inc. is committed to making a difference in people's
lives by developing breakthrough therapies for important diseases based on pre
eminent science and drug development. The company's discovery process is built
upon its deep understanding of genomics and biology by a research and development
approach centered on a fundamental understanding of the basic cellular and
genetic mechanisms of diseases, and by focusing on the development of
personalized medicine. Over the past decade, Millennium has evolved into a fully
integrated biotechnology company, with state-of-the-art discovery, development
and commercial capabilities. But the company remains very much rooted in its gene
based, biology heritage as the practice of medicine evolves toward delivering the
right drug to the right patient at the right time - also known as personalized
medicine.
PMID- 29793220
TI - Genomas, Inc.
AB - Genomas, Inc. is developing novel diagnostic products to personalize disease
prevention and health enhancement. The company's proprietary PhysioGenomicsTM
technology allows it to rapidly and precisely produce 'PhysioTypesTM'.
PhysioTypes are predictors of response to diet, exercise, and drugs (not
diagnostics for disease), and are utilized to direct preventive strategies. A
PhysioType is made from the combination of all genetic, physiological or clinical
markers that Genomas has discovered to be significant determinants of individual
response. PhysioTypes are revolutionary healthcare products that empower
physicians with the unprecedented capability to prescribe personalized and highly
effective preventive treatments, incorporating diet, exercise and drug regimens
for each patient. Genomas conducts clinical research with major clinical
institutions to discover these PhysioTypes with plans to commercialize them to
practicing physicians and hospitals.
PMID- 29793222
TI - The impact of EGFR mutations on gefitinib sensitivity in non-small cell lung
cancer.
AB - Gefitinib (ZD1839, Iressa(r); AstraZeneca) has produced objective tumor responses
and symptom improvement in some patients with non-small cell lung cancer. In
clinical trials, 12-18.4% of patients had a rapid and often dramatic clinical
response, and a subset analysis of the Iressa Dose Evaluation in Advanced Lung
Cancer (IDEAL)-1 and -2 trials demonstrated that female gender and adenocarcinoma
were associated with a higher response to gefitinib. However, analysis from
clinical trials have not found a relationship between epidermal growth factor
receptor (EGFR) expression and response in patients receiving gefitinib.
Recently, three studies have identified mutations affecting the EGFR in lung
cancer from patients who respond to gefitinib. EGFR gene mutations were common in
lung cancer from 'never smokers' and were associated with sensitivity of tumors
to gefitinib. Furthermore, it has been reported that the phosphatidylinositol 3
kinase/Akt signaling pathway plays a critical role in the antitumor effects of
gefitinib. Although EGFR mutations do not fully explain the clinical benefit, the
data regarding EGFR mutations may help to define the patient population that will
most likely benefit from EGFR tyrosine-kinase-targeted therapies.
PMID- 29793223
TI - Quo vadis personalized medicine?
PMID- 29793224
TI - Conference on Implications for Genomics and Public Health.
PMID- 29793225
TI - Personalized therapy: an interdisciplinary challenge.
AB - Genomics must be combined with proteomics and metabolomics to rationalize a
therapeutic strategy that considers gene expression, protein expression and
metabolic profiles in the target organ to gain insight into other pathways
implicated in the same or contributory tissues. Multidisciplinary strategies such
as this provide an interactive process by which findings are translated into
novel therapies.
PMID- 29793226
TI - Mannose-binding lectin: laying the stepping stones from clinical research to
personalized medicine.
AB - As a key component of the complement system, mannose-binding lectin (MBL) is one
of the linchpins of innate immunity. It is, therefore, not surprising that MBL2
genetic variants affecting the quantity and activity of the MBL protein in serum
have been associated with increased susceptibility to infection and autoimmune
diseases, and with poorer prognostic outcomes. This enhanced risk is particularly
the case for children and immunosuppressed patients, especially when immunity is
further compromised by coexistent primary or secondary immune deficiencies. In
several disease areas, such as sepsis, cystic fibrosis, and recurrent childhood
infections, the association between low MBL-producing allelic variants and
disease risk and/or severity is particularly strong. It is here that the use of
MBL testing and replacement therapy has reached the threshold of personalized
medicine. The role of MBL in health and disease, advances in MBL testing
methodologies and key areas for possible applications of MBL replacement therapy
are reviewed.
PMID- 29793227
TI - Pharmacogenetics and pharmacogenomics of immunosuppressive agents: perspective
for individualized therapy.
AB - Immunosuppressive therapy has markedly improved over the past years with the
advent of highly potent and rationally targeted immunosuppressive agents. Since
these drugs are characterized by a narrow therapeutic index, major efforts have
been carried out to define therapeutic windows based on the blood levels of each
immunosuppressant, and relating those concentrations to clinical events. Although
pharmacokinetic-based approaches are currently used as useful tools to guide drug
dosing, they present several limitations. Pharmacogenomics - a science that
studies the inherited basis of differences between individual responses to drugs
in order to identify the best dose and therapy for each patient - might represent
a complementary support. Preliminary studies that have focused on polymorphisms
of genes encoding enzymes involved in drug metabolism, drug distribution, and
pharmacological target, have shown promising results. Indeed, pharmacogenomics
holds promise for improvement in the ability to individualize pharmacological
therapy based on the patient's genetic profile.
PMID- 29793228
TI - Genetic thrombophilia has pleiotropic effects in pregnancy.
AB - Genetic thrombophilia has been established as a risk factor for pregnancy
associated disorders, such as thrombosis, early and late miscarriage, and pre
eclampsia. Associations between the factor V (F5) Leiden G1691A and the
prothrombin/factor II (F2) G20210A SNPs and pre-eclampsia have been evaluated in
over 50 association studies. A pooled analysis of 23 and 11 studies demonstrates
that carriage of the F5 Leiden G1691A (p < 0.001; odds ratio [OR] 2.0; 95%
confidence interval [CI] 1.6-2.5) and the F2 G20210A (p < 0.001; OR 1.8; 95% CI
1.1-2.9) SNPs is significantly associated with pre-eclampsia. Besides pre
eclampsia, genotyping for the F5 Leiden G1691A and the F2 G20210A SNPs is also
useful for individual risk assessment regarding pregnancy-associated thrombosis.
Carriers of the F5 Leiden G1691A SNP will develop this condition in 6.4% of
heterozygotes and in 8.9-16.7% of homozygotes. A total of 6.2% of women with the
F2 G20210A SNP and 17.8% of women with simultaneous carriage of the F5 Leiden
G1691A and F2 G20210A SNPs will develop pregnancy-associated thrombosis. Both the
F5 Leiden G1691A and F2 G20210A SNPs are also risk factors of early recurrent,
late recurrent and late spontaneous miscarriage based on a published meta
analysis of 31 studies. These women may benefit from prophylactic heparinization.
Six case-control and cohort studies of 687 women with genetic thrombophilia
document live birth rates of 82% (181/221) using low-molecular-weight heparin or
fractionated heparin compared with 20% (95/466) without therapy (p < 0.001, OR
17.7; 95% CI 12.2-25.5). Based on the data in the literature, including
association studies and meta-analyses of these association studies, it can be
concluded that genetic thrombophilia due to carriage of the F5 Leiden G1691A and
F2 G20210A SNPs is a significant and clinically relevant risk factor for pre
eclampsia, pregnancy-associated thrombosis, and early and late miscarriages.
PMID- 29793229
TI - The CYP2C9 polymorphism: from enzyme kinetics to clinical dose recommendations.
AB - CYP2C9 is the major human enzyme of the cytochrome P450 2C subfamily and
metabolizes approximately 10% of all therapeutically relevant drugs. Two
inherited SNPs termed CYP2C9*2 (Arg144Cys) and *3 (Ile359Leu) are known to affect
catalytic function. Numerous rare or functionally silent polymorphisms have been
identified. About 35% of the Caucasian population carries at least one *2 or *3
allele. CYP2C9 metabolizes several oral hypoglycemics, oral anticoagulants, non
steroidal anti-inflammatory drugs and other drugs, including phenytoin, losartan,
fluvastatin, and torsemide. In vitro studies with several drugs indicate that the
Cys144 (.2) and Leu359 (.3) variants confer only about 70 and 10% of the
intrinsic clearance of the wild-type protein (.1), respectively. The clinical
pharmacokinetic implications of these polymorphisms vary depending on the enzymes
contribution to total oral clearance. Several studies demonstrated that the
CYP2C9 polymorphisms are medically important for non-steroidal anti-inflammatory
drugs, for oral hypoglycemics, vitamin K antagonistic oral anticoagulants, and
phenytoin. In particular, CYP2C9 polymorphisms should be routinely considered in
therapy with oral anticoagulants where severe adverse events at initiation of
therapy might be reduced by genotyping. CYP2C9 polymorphisms were also clinically
associated with side effects of phenytoin, with gastric bleeding during therapy
with non-steroidals and with hypoglycemia under oral hypoglycemic drugs. Data
appear mature enough for the routine consideration of CYP2C9 genotypes in therapy
with acenocoumarol, phenytoin, warfarin, and some other drugs. Nevertheless, it
is advisable before the routine clinical use of these genotype data to rigorously
test the benefits of genotype-based therapeutic recommendations by randomized
controlled clinical trials.
PMID- 29793230
TI - Pharmacogenomics of MDR and MRP subfamilies.
AB - Drug-metabolizing enzymes, drug transporters and drug targets play significant
roles as determinants of drug efficacy and toxicity. Their genetic polymorphisms
often affect the expression and function of their products and are expected to
become surrogate markers to predict the response to drugs in individual patients.
With the sequencing of the human genome, it has been estimated that approximately
500-1200 genes code for drug transporters and, recently, there have been
significant and rapid advances in the research on the relationships between
genetic polymorphisms of drug transporters and interindividual variation of drug
disposition. At present, the clinical studies of multi-drug resistance protein 1
(MDR1, P-glycoprotein, ABCB1), which belongs to the ATP-binding cassette (ABC)
superfamily, are the most comprehensive among the ABC transporters, but clinical
investigations on other drug transporters are currently being performed around
the world. MDR1 can be said to be the most important drug transporter, since
clinical reports have suggested that it regulates the disposition of various
types of clinically important drugs, but in vitro investigations or animal
experiments have strongly suggested that the members of the multi-drug resistance
associated protein (MRP) subfamily can also become key molecules for
pharmacotherapy. In addition to those, breast cancer resistance protein (BCRP,
ABCG2), another ABC transporter, is well known as a key molecule of multi-drug
resistance to several anticancer agents. However, this review focuses on the
latest information on the pharmacogenetics of the MDR and MRP subfamilies, and
its impact on pharmacotherapy is discussed.
PMID- 29793232
TI - Role of Pharmacogenetics in Rational Development and Personalization of Drug
Treatments.
PMID- 29793233
TI - Personalized cancer therapy.
AB - Karol Sikora is the Scientific Director of Medical Solutions Plc and Special
Advisor to HCA International in the creation of the London Cancer Group - the
largest UK cancer network outside the NHS in HCA's six major London private
hospitals. This includes the construction of a major new international cancer
center for care, teaching and research in London at the Harley St clinic. He is
Professor of Cancer Medicine and honorary Consultant Oncologist at Imperial
College School of Medicine, Hammersmith Hospital, London.
PMID- 29793234
TI - Pharmacogenetics and individualized medicine - bridging the gap between
pharmacogenetic research and the patient.
AB - A considerable component of the variability seen in drug response or drug
disposition has been explained by pharmacogenetic factors. Thus, diagnosing
inherited variability in molecules involved in drug response may help to optimize
drug therapy and provide more individualized treatment. However, despite the
availability of a large amount of pharmacogenetic research data, there are still
only a few examples of genotyping being incorporated into clinical drug therapy.
In order to bridge the gap between pharmacogenetic research and clinical
application, the unequivocal proof of the benefit of pharmacogenetic diagnostics
in a certain drug therapy is warranted. But this is not all; there is a clear
need for research results to be translated into clinically adoptable guidelines
or, when this is not possible, more appropriately designed studies should be
conducted with the aim of applying the data in the clinic. Furthermore, more
education on pharmacogenetics and the genetic mechanisms underlying
interindividual variability in disease susceptibility, treatment course and
treatment response should be provided. As a third point, an infrastructure for
genetic testing has to be established and this should not only include valid
methods for genetic analyses, but especially the logistics of sample shipping,
clear indications and instructions for blood sampling from patients, and valid
interpretation and communication of the results. Thus, in order to routinely
apply pharmacogenetic testing in drug therapy, several concrete steps have to be
undertaken, in addition to the optimization of research studies.
PMID- 29793235
TI - IntegraGen SA.
AB - IntegraGen SA is at the stage of commercializing a series of innovative
IntegraTestsTM to position itself as a leader within the rapidly growing market
of predictive medicine. By applying its proprietary gene-mapping technology
GenomeHIPTM (Genome Hybrid Identity Profiling) in premier patient collections,
IntegraGen has rapidly discovered novel genes and genetic markers associated with
a variety of complex, multifactorial diseases to use in its IntegraTests - a new
class of personalized medicine diagnostics. IntegraTests provide prediction,
prevention, detailed diagnosis and tailored treatment of complex diseases.
Depending on the disease and the applied set of genetic markers, these tests can
calculate the personal risk for acquiring the disease, define the various
subforms of complex diseases, predict susceptibility to severe comorbidities, and
help to define a personalized health management program. Focusing on metabolic
syndrome and neuropsychiatric disorders, IntegraGen will launch its first tests
in Europe in 2005.
PMID- 29793236
TI - Pharmacogenetics of oral anticoagulants.
AB - Understanding the basis for the observed wide interindividual variation in the
dose requirement for the oral anticoagulants should facilitate the safer use of
these widely prescribed drugs. Factors that include patient age, body weight and
concomitant medications are well known to affect dose requirement and in a large
number of recent pharmacogenetic studies, it has been demonstrated that the
common variant CYP2C9 alleles are also good predictors of dose requirement,
although they fail to account for all the observed interindividual variability.
Since pharmacokinetic factors seem to be major contributors to the variability,
the possibility that additional CYP2C9 polymorphisms or other polymorphisms
affecting anticoagulant disposition could also predict dose is discussed. In
addition, the relevance with regard to dose requirement of polymorphism in the
recently discovered vitamin K epoxide reductase gene, which encodes the target
gene for oral anticoagulants, is considered.
PMID- 29793237
TI - Personalized neurology.
AB - Personalized medicine simply means the prescription of specific therapeutics best
suited for an individual based on pharmacogenetic and pharmacogenomic
information. It involves integration of molecular diagnostics with therapeutics.
Selection of a suitable medicine from various approaches available based on
optimal efficacy and safety in an individual patient will be preferable to trial
and-error approaches. A personalized approach will improve the management of
neurological disorders, such as Alzheimer's disease, Parkinson's disease,
epilepsy, multiple sclerosis, neuropathic pain, and migraine. Gene therapy and
RNA interference will facilitate the development of personalized treatment for
neurological disorders. It is anticipated that several components of this system
will be in place by the year 2010 and it will be a part of neurological practice
by the year 2015. However, not all neurological disorders would require a
personalized approach.
PMID- 29793238
TI - personalized medicine and pharmacogenomics: ethical and social challenges.
AB - Recent developments in human genetic variation research have fueled predictions
of an imminent era of personalized medicine. Defined as a shift toward greater
integrated and heuristic innovation in healthcare, personalized medicine seeks to
create differentiated strategies for the prevention of disease defined at the
molecular level [1] . Recent developments in gene sequencing technologies have
focused efforts toward improving efficacy and efficiency in the drug development
process. Emerging from the discipline of pharmacogenetics, pharmacogenomics - the
study of gene-to-gene interactions through the use of high-throughput
technologies - has gained attention as the field most able to deliver on the
promises of genomic medicine [2] . The distinction between pharmacogenetics and
pharmacogenomics is not clear; while some have argued that differences of scale
and focus distinguish the fields, this article uses the term, 'pharmacogenomics',
to mean the broad scope of research on inherited variation in drug response.
Through differential diagnosis, drug response is being linked to molecular
subgroups that may allow for the development of 'tailored' medications [3] .
However, several challenges confront these potential benefits. Critical to the
success of pharmacogenomics and personalized drug therapies are the creation of
large databases containing human genotypic and phenotypic information, the
adoption of pharmacogenomic testing as a standard of medical care, and greater
regulatory guidance on balancing commercial and public health priorities. In
anticipation of these healthcare trajectories, serious engagement with the
ethical and social implications of pharmacogenomics is needed. This article
reviews several of these issues and highlights concerns that must be addressed in
anticipation of personalized drug development.
PMID- 29793239
TI - Personalized medicine: how much can we afford? A bioethics perspective.
AB - This article examines some major challenges to the clinical implementation of
personalized medicine from a bioethics point of view. Challenges arise from the
need to choose between competing scenarios in the allocation of healthcare
resources. If a choice between competing scenarios must be made, the key question
is what sort of medicine a society wants to have and how much its citizens are
willing to pay for it. Cost-effectiveness is crucial, but not the only criterium.
Pharmacogenomics-based personalized medicine is also challenged by competing
concepts of 'good' medicine. However, a real dilemma for health policy arises
when a trade-off between implementing public health practices and personalized
medicine must be made. This paper tries to show that from a bioethics perspective
these concepts are not mutually exclusive. In future, a scenario that seeks to
integrate both concepts in a complementary fashion may maximize the benefit for
both individuals and the community.
PMID- 29793240
TI - The journey to personalized medicine.
PMID- 29793241
TI - Marshfield Clinic Personalized Medicine Research Project (PMRP): design, methods
and recruitment for a large population-based biobank.
AB - OBJECTIVES: The objective of this paper is to summarize the planning for Phase I
of the Marshfield Clinic Personalized Medicine Research Project (PMRP) and to
describe the recruitment efforts in the first 2 years. METHODS: The purpose of
Phase I of the PMRP was to develop a large population-based biobank with DNA,
plasma and serum samples to facilitate genomics research. Planning and
consultation was facilitated with three external boards: the Ethics and Security
Advisory Board; the Scientific Advisory Board; and the Community Advisory Group.
Commencing in September 2002, residents aged 18 and above who resided in 1 of 19
zip codes surrounding Marshfield, WI, USA, were invited to participate. After
providing written informed consent, participants completed brief questionnaires
that included questions about demographics, some environmental exposures, family
history of disease, and adverse drug reactions, as well as family members living
in the study area. Participants provided 50 ml of blood from which DNA was
extracted and plasma and serum samples were stored. The informed consent document
allowed access to electronic medical records and included language about non
disclosure of personal research results. A tick-off box was also included so that
participants could either allow or decline subsequent recontact for future
research studies. RESULTS: A total of 17,463 subjects were enrolled during the
first 23 months of recruitment (44.3% of the residents who the Research Project
Assistants were able to contact). The participants ranged in age from 18 to 98.5
years (mean = 48.9, median = 48); 57.2% (n = 9986) were female. Self-reported
race in the study cohort was similar to the year 2000 census for Wood County, WI,
USA, with the majority (98%) reporting themselves to be White Caucasian. The
majority of subjects (n = 13,391, 76.7%) indicated that they had German ancestry.
Only 142 participants (< 1%) opted out on the consent form for contact for future
studies. The majority of the cohort reported that their current area of residence
was a suburb, city or village (n = 10630, 60.87%); the remainder reported
residence in a rural home or hobby farm (n = 5365, 30.72%), or a working farm or
ranch (n = 1451, 8.31%). More than half the cohort (n = 9409, 53.88%) had lived
on a working farm at some point in their life. CONCLUSION: The PMRP database will
allow research in three areas: genetic epidemiology, pharmacogenetics, and
population genetics. The size and the stability of the population as well as the
relative ethnic homogeneity will help facilitate longitudinal studies with valid
research results that are not biased by population stratification.
PMID- 29793242
TI - Erratum.
PMID- 29793244
TI - News & Views in ... Personalized Medicine.
PMID- 29793243
TI - Interview: Interview with David Mangelsdorf for Personalized Medicine.
AB - David Mangelsdorf is Professor and Chair of Pharmacology at the University of
Texas Southwestern Medical Center in Dallas (TX, USA) where he holds the Beatrice
and Miguel Elias Distinguished Chair in Biomedical Science and the Distinguished
Chair in Pharmacology. He received his BSc in biology and chemistry from Northern
Arizona University (AZ, USA) in 1981 and his PhD in biochemistry from the
University of Arizona (AZ, USA) in 1987. He did his postdoctoral studies at The
Salk Institute for Biological Studies (CA, USA; 1987-1993). David Mangelsdorf has
also been an Investigator of the Howard Hughes Medical Institute (MD, USA) since
moving to Dallas in 1993. His current research focuses on understanding the
molecular basis of transcriptional signaling by nuclear hormone receptors and
exploiting their ligand dependency to discover novel therapeutic options for
fighting diseases such as atherosclerosis, gallstone disease, cholestasis,
metabolic syndrome, cancer and infectious parasitic diseases. His research team
recently discovered a correlation between the expression of two nuclear receptor
proteins in non-small-cell lung cancer tissue samples with patients' clinical
outcomes. The research features in PLoS Medicine and suggests that the nuclear
receptor proteins could serve as therapeutic targets in non-small-cell lung
cancer.
PMID- 29793246
TI - Plasma fibrinogen as a biomarker in gynecologic cancers.
PMID- 29793247
TI - Latest News & Updates from the Personalized Medicine Coalition: Letter from
Washington.
PMID- 29793250
TI - Personalized medicine for cystic fibrosis: the next generation.
PMID- 29793251
TI - An epidemiology of care approach to lab-on-a-chip systems in individualized
medicine?
AB - Lab-on-a-chip systems (LOCs) are microfluidic systems integrating several
laboratory functions on a very small single chip. The identification and
characterization of innovative biomarkers based on portable LOCs provides a
valuable tool to implement individualized medicine. An analysis of the clinical
potential, advantages and obstacles of portable diagnostic LOCs is urgently
needed to evaluate the associated risks and opportunities from a healthcare
perspective. A planned network project promoted by the federal ministry for
education and research in Germany will systematically investigate the scope of
LOCs and discuss the impact as well as the future demand, potentially adding to
further evidence-based development.
PMID- 29793249
TI - Analysis of clinicians' attitudes towards pharmacogenomics.
AB - AIM: The use of genetic information to guide a patient's drug therapy will have a
great influence on clinical practice within healthcare. However, despite the
prospective benefits of pharmacogenetic testing, little is known regarding what
clinicians actually think about this promising technology. The purpose of this
study was to assess attitudes towards pharmacogenetic testing not previously
highlighted within a larger study on clinicians' knowledge and attitudes about
pharmacogenetic testing conducted by an interdisciplinary group of researchers at
the University of North Carolina at Chapel Hill. MATERIALS & METHODS: The sample
included 184 responses to an open-ended question. A thematic analysis of these
responses was completed. RESULTS: The thematic analysis identified several themes
that were not previously delineated within the original study. The analysis
uncovered five additional themes including: application concerns, lack of
successful integration, accessibility, potential harm and optimism. CONCLUSION:
Future research on the assessment of attitudes of clinicians concerning
pharmacogenomics should incorporate these themes. In addition, educational
activities conveying knowledge concerning pharmacogenomics needs to become
readily available to healthcare providers. Finally, a study that addresses any
disparity related to pharmacogenomics needs to be undertaken.
PMID- 29793252
TI - Biopharmaceutical industry perspectives on the business prospects for
personalized medicine.
AB - AIM: Personalized medicine is entering its second decade, yet the role it will
play in addressing the biopharmaceutical industry's productivity gap and the
rising cost of healthcare is still a matter of speculation. So what does the
biopharmaceutical industry itself say about the business prospects for
personalized medicine? MATERIALS & METHODS: The authors conducted interviews with
20 science and business experts from 13 companies to find out. In this article,
particular attention is paid to drug-diagnostic codevelopment, so-called
companion diagnostics. RESULTS: The results of the interviews are discussed in
light of perspectives from various stakeholders available from the literature in
the public domain. In brief, biopharmaceutical acknowledges the many difficulties
that plague this path to product development with particular concern for
knowledge gaps in the scientific base, the timing of studies during development,
as well as the regulatory, reimbursement and commercial hurdles that can thwart
approval, launch and market uptake. CONCLUSION: Nonetheless, personalized
medicine in general and companion diagnostics in particular are believed to be an
increasingly sustainable business proposition with expectations for rapid market
growth in the near term.
PMID- 29793253
TI - Treatment for tobacco dependence: a potential application for stratified
medicine?
AB - Tobacco addiction is a leading preventable cause of death worldwide and places a
heavy social and financial burden on society. Therefore, ways of helping people
to overcome nicotine dependence are a key element of strategies aimed at
improving public health. Current treatments are only partially effective and
there is a need to develop more efficient approaches to help smokers to stop.
There exists a substantial genetic variability in smoking behavior and the
likelihood of cessation - tailoring treatment according to an individual's
genetic profile is now technologically feasible and could lead to more successful
cessation attempts. Here we review studies of the genetic effects on smoking
cessation in randomized controlled trials of pharmacological therapy and discuss
the potential value of a personalized approach to help people stop smoking.
PMID- 29793254
TI - Towards personalized medicine: exploring the consequences of the effect model
based approach.
AB - Although personalized medicine has been a subject of research and debate in
recent years, it has been underused in medical practice, except in some cancers.
We believe that the main reason for the gap between the potential of personalized
medicine and its use in daily medical practice can be explained by the lack of an
appropriate tool to facilitate the use of biomarker values in a doctor's decision
making process. We propose that the effect model could form the basis of such a
tool.
PMID- 29793255
TI - Analysis of insurance preauthorization requests for BRCA1 and BRCA2 genetic
testing: experience of the Humana Genetic Guidance Program.
AB - : Published evidence-based guidelines help healthcare providers identify
appropriate individuals for BRCA1/2 genetic testing. Health plans often use these
guidelines to help make coverage and reimbursement decisions. Humana, a major
health plan, launched the Humana Genetic Guidance Program to further facilitate
the appropriate use of genetic testing through education. AIM: Identify
opportunities to improve medical appropriateness for BRCA1/2 test requests by
providing genetic education to providers. MATERIALS & METHODS: BRCA1/2 insurance
preauthorization requests submitted to the program were evaluated against
guideline-based coverage criteria. RESULTS: In total, 22% of the requests did not
meet criteria, and in approximately a quarter of those requests, the clinical
history suggested testing for a different cancer syndrome or another affected
relative. CONCLUSION: This report demonstrates the program's effectiveness and
illustrates the need for additional provider education regarding genetic testing
from a payer's perspective.
PMID- 29793256
TI - Sustaining development of stratified medicines in the UK healthcare system: a
commentary.
AB - The UK healthcare system holds a favorable position in the development of
stratified medicines through strong scientific innovation, robust biotechnology
and pharmaceutical industries and comparatively simple regulatory and
reimbursement processes. Organizations such as its robust health technology
assessment agency, the NICE and its mature socialized healthcare system, the
National Health Service, enable innovative medicines, including stratified
treatments for cancer and infectious disease, to be rapidly assessed for their
effectiveness and value to patients in the UK. However, our recent observations
with a variety of UK healthcare stakeholders suggest that certain features need
to be improved if the favorable position in stratified medicine development, and
consequential beneficial outcome to patients, is to be sustained and indeed
further enhanced to a position of pre-eminence. Key changes suggested are
removing healthcare silos and enabling multidisciplinary teams to translate
scientific and medical innovation into the best practice; expanding the UK skill
base in certain disciplines including medical pathology, health economics and
clinical informatics; and using successful pilot cases of stratified medicines to
better educate stakeholders in a drive to change healthcare culture. Through this
cultural change, the UK would offer healthcare based on prediction and prevention
rather than symptom-based diagnosis and reactive treatment.
PMID- 29793258
TI - The Personalized Medicine Coalition - 8 months on.
AB - Edward Abrahams is the Executive Director of the Personalized Medicine Coalition
(PMC), a nonprofit educational and advocacy group encompassing a broad range of
academic, industrial, patient and healthcare provider constituencies. As a former
Executive Director of the Pennsylvania Biotechnology Association, Assistant Vice
President for Federal Relations at the University of Pennsylvania, and a
legislative assistant and economist for the United States Congress, he brings an
extensive knowledge of industry, academia and government to the coalition.
PMID- 29793257
TI - A critical view of the general public's awareness and physicians' opinion of the
trends and potential pitfalls of genetic testing in Greece.
AB - AIM: Progress in deciphering the functionality of the human genome sequence in
the wake of technological advances in the field of genomic medicine have
dramatically reduced the overall costs of genetic analysis, thereby facilitating
the incorporation of genetic testing services into mainstream clinical practice.
Although Greek genetic testing laboratories offer a variety of different genetic
tests, relatively little is known about how either the general public or medical
practitioners perceive genetic testing services. MATERIALS & METHODS: We have
therefore performed a nationwide survey of the views of 1717 members of the
general public, divided into three age groups, from all over Greece, and residing
in both large and small cities and villages, in order to acquire a better
understanding of how they perceive genetic testing. We also canvassed the
opinions of 496 medical practitioners with regard to genetic testing services in
a separate survey that addressed similar issues. RESULTS: Our subsequent analysis
indicated that a large proportion of the general public is aware of the nature of
DNA, genetic disorders and the potential benefits of genetic testing, although
this proportion declines steadily with age. Furthermore, a large proportion of
the interviewed individuals would be willing to undergo genetic testing even if
the cost of analysis was not covered by healthcare insurance. However, a
relatively small proportion of the general public has actually been advized to
undergo genetic testing, either by relatives or physicians. Most physicians
believe that the regulatory and legal framework that governs genetic testing
services in Greece is rather weak. Interestingly, the vast majority of the
general public strongly opposes direct-access genetic testing, and most would
prefer referral from a physician than from a pharmacist. CONCLUSION: Overall, our
results provide a critical evaluation of the views of the general public with
regard to genetics and genetic testing services in Greece and should serve as a
model for replication in other populations.
PMID- 29793259
TI - The use of pharmacogenetics in the treatment of asthma.
AB - Stephen Holgate holds a Medical Research Council Clinical Research Chair in
Immunopharmacology at the University of Southampton (UK). A former adviser to the
House of Lords Select Committee on Science and Technology, he is also the
recipient of numerous honors and awards. He is the author of over 800 peer
reviewed papers, co-editor of Clinical and Experimental Allergy, and is currently
co-Chair of the National Allergy Strategy group. His research focuses on the
cellular and mediator mechanisms of allergy and asthma, particularly focusing on
applications for diagnosis and treatment.
PMID- 29793260
TI - Ciphergen's Diagnostic Division.
AB - The Diagnostics Division is the commercialization engine for Ciphergen
Biosystems, Inc. Their goal is to identify, develop and commercialize high-value
proteomic-based assays that will meet the clinical needs of physicians as they
diagnose, treat and monitor their patients. Initially, the Diagnostics Division
is focusing its efforts on cancer, cardiovascular disease and Alzheimer's
disease.
PMID- 29793261
TI - Exchanging genetic data for public health practice and human subjects research:
implications for health practitioners.
AB - Advances in genomic science and medicine require collaboration between healthcare
providers, researchers and public health practitioners to improve individual and
communal health. However, broader collaboration raises significant privacy and
other concerns about acquisitions, uses and disclosures of identifiable genetic
data. Corresponding legal requirements vary extensively if data are to be used in
clinical practice, human subjects research, or public health surveillance.
Distinguishing between practice and research activities is not easy. We introduce
an enhanced methodology to distinguish public health practice from human subjects
research to: help providers, researchers, and public health practitioners
navigate the legal requirements underlying the exchange of genetic information;
determine when public health data use crosses over to research, thereby
triggering differing legal and ethical regulations; and to protect individual and
group privacy from the unintended misuse of genetic information.
PMID- 29793263
TI - Food & Drug Administration panel approves heart failure medication aimed at
African-Americans.
PMID- 29793262
TI - The implications of electronic health records for personalized medicine.
AB - The emerging concept of an electronic health record (EHR) targeted at a patient
centric, cross-institutional and longitudinal information entity (possibly
spanning the individuals lifetime) has great promise for personalized medicine.
In fact, it is probably the only vehicle through which we may truly realize the
personalization of medicine beyond population-based genetic profiles that are
expected to become part of medication and treatment indications in the near
future. The new EHR standards include mechanisms that integrate clinical data
with genomic testing results obtained through applying research-type procedures,
such as full DNA sequencing, to an individual patient. Although the most optimal
process for the utilization of integrated clinical-genomic data in the EHR
framework is still unclear, the new Health Level Seven (HL7) Clinical Genomics
Draft Standard for Trial Use suggests using the 'encapsulate & bubble-up'
approach, which includes two main phases: the encapsulation of raw genomic data
and bubbling-up the most clinically significant portions of that data, while
associating it with clinical phenotypes residing in the individual's EHR.
PMID- 29793264
TI - Cytochrome P450 gene-based drug prescribing and factors impacting translation
into routine clinical practice.
AB - Pharmacogenetics represents a rapidly advancing, competitive field of
investigation. Due to the potential for clinically recognizable interactions
between a set of old polymorphic genes and a relatively new environmental insult
(drugs), many human geneticists believe that variability in the drug-metabolizing
enzyme systems will soon translate into clinical practice across entire
populations. Despite this, the field has not yet received widespread clinical
acceptance. This article will review the common cytochrome P450 gene
polymorphisms and discuss the factors that may facilitate (or attenuate) their
translation into clinical practice.
PMID- 29793265
TI - HLA-B genotyping to detect carbamazepine-induced Stevens-Johnson syndrome:
implications for personalizing medicine.
AB - Preventing severe adverse drug reactions by identifying people at risk with a
simple genetic test is the goal of many pharmacogenomic studies. Stevens-Johnson
syndrome (SJS) and toxic epidermal necrolysis (TEN) are related, life-threatening
cutaneous adverse reactions, most often caused by medication. The overall
incidence and the commonly offending drugs vary among different ethnic
populations. Susceptibility to such idiosyncratic reactions is thought to be
genetically determined and immune mediated. Finding a strong genetic association
between a particular human leukocyte antigen (HLA)-B allele and the reaction to a
specific drug provides evidence that the pathogenesis of the severe cutaneous
adverse drug reactions involves major histocompatibility complex-restricted
presentation of a drug or its metabolites for T-cell activation. In the case of
carbamazepine-induced SJS/TEN, the tight association of the HLA-B*1502 allele
(sensitivity 100%, specificity 97% and odds ratio 2504) provides a plausible
basis for further development of such a test to identify individuals at risk of
developing this life-threatening condition.
PMID- 29793266
TI - Biomarker World Congress 2005.
PMID- 29793268
TI - New Chinese biobank set to be largest in world.
PMID- 29793267
TI - Personalizing public health.
PMID- 29793269
TI - The biospecimen as the key to personalizing medicine.
PMID- 29793270
TI - Regulating personal data in a shared world: limitations of the EU's approach to
data protection.
AB - A personalized interaction, in an increasingly information-rich environment, is
increasingly unlikely to be shaped by information about only the persons directly
involved. As data about others is related to an individual, and perceptions about
that individual formed accordingly, it is important that data-protection
regulation takes into account the shared nature of personal data. The European
Data Protection Directive provides an important standard for data sharing between
countries. Therefore, it is essential to realize any limitations in its approach
when data might be said to relate to more than one person. The need to address
any such limitation becomes all the more urgent as more genetic databases and
biobanks are established around the world and movements are made towards data
sharing between them. Not only is it important that the regulation of genetic
data takes into account its shared nature, but as international collaboration
relies upon agreed standards, it is important that it does so quickly.
PMID- 29793271
TI - From farm to pharma: public health challenges of nutrigenomics.
AB - Nutrigenomics is speculated to be the next big dimension of genomic sciences
geared toward improving public and personal health through nutritional and
dietary interventions. This article traces how public health nutrition has been
used as an agenda both in agriculture genomics and now in biomedicine. The
centrality and importance of food in health and well-being is well recognized
and, with the developments in genomics, it has also been used as a tool for
public health-related policies and commercialization. This perspective discusses
nutrigenomics from four public health perspectives; novel foods and the public
health agenda; the epidemic of lifestyle-related diseases, such as obesity,
diabetes and cardiovascular diseases; commercialization; and conceptual issues.
Several ethical and governance issues in applying nutrigenomics to public health
and the implications for public policy will also be considered.
PMID- 29793272
TI - Uses of the Twins UK genetic database.
AB - Tim Spector is a Professor of Genetic Epidemiology at King's College London and
Director of the Twin Research and Genetic Epidemiology Unit at St Thomas'
Hospital, London. Professor Spector graduated from St Bartholomew's Hospital
Medical School, London, in 1982. After working in General Medicine, he completed
a MSc in Epidemiology, and his MD degree at the University of London in 1989. He
founded the UK Twins Registry of 10,000 twins in 1993, which is one of the
largest collections of genotype and phenotype information on twins worldwide,
whose breadth of research has expanded to cover a wide range of common complex
traits many of which were previously thought to be mainly due to aging and the
environment. He has published over 350 research articles on common diseases. He
has written several original articles on the genetics of a wide range of diseases
and traits including back pain, acne, inflammation, obesity, memory, musical
ability and sexuality. He is the principal investigator of the EU Euroclot and
Treat OA study, and a partner in five others. He has written several books,
focusing on osteoporosis and genetics and, in 2003, he published a popular book
on genetics: Your Genes Unzipped.
PMID- 29793273
TI - Academic and commercial genetic testing laboratories: complementary if not
complimentary.
AB - The new century has introduced a myriad of changes in the practice of clinical
and laboratory genetics. As molecular diagnostics entered the mainstream of
current medical practice, a number of academic genetic laboratories faced intense
financial pressure and many succumbed. Although the entry of commercial
laboratories into the genetic testing arena is one factor in this process, it is
by no means the only one. Increased regulatory requirements, changes in funding
sources for academic institutions, and the rise of large managed care
organizations also have culpability. Many academic laboratory directors have
expressed hostility toward commercial laboratories, both vocally at genetic
meetings and in publications. This vitriol serves no one. Commercial laboratories
are here to stay and, in fact, provide important services to American healthcare
consumers by making esoteric molecular diagnostic testing widely available.
Rather than throw stones at each other, it is time for academic and commercial
laboratories to coexist and realize that each has strengths and, most
importantly, that patients are best served by cooperation, not confrontation
between these equally competent groups. This article examines the historical
antecedents to the current conflictive situation and offers suggestions for
collaborative efforts in the future.
PMID- 29793274
TI - Role of UGT1A1*6 in irinogenetics in Asians.
AB - Evaluation of: Jada SR, Lim R, Wong CI et al.: Role ofUGT1A1*6, UGT1A1*28 and
ABCG2 c.421C>A polymorphisms in irinotecan-induced neutropenia in Asian cancer
patients. Cancer Sci. 98(9), 1461-1467 (2007). The pharmacokinetics and toxicity
of irinotecan vary widely among patients. This review focuses primarily on a
study of the role of UGT1A1*6, UGT1A1*28, and ABCG2 421C>A in three Asian cancer
patient populations treated with a 3-weekly regimen of irinotecan. In that study,
a statistically significantly higher level of SN-38 and a relatively lower degree
of glucuronidation occurred in patients with the UGT1A1*6 homozygote genotype
than in patients with the reference genotype. The UGT1A1*6 allele was associated
with an increased risk of severe neutropenia. In addition, the study of gene
allele frequencies in three healthy Asian populations indicated that the allelic
frequency of UGT1A1*6 was higher in the healthy Chinese subjects than in the
Malaysian or Indian subjects. UGT1A1*28 and ABCG2 421C>A were not associated with
the pharmacokinetics of SN-38 or the severity of neutropenia. In this evaluation,
we put this study into the context of similar studies of irinogenetics
(irinotecan pharmacogenetics) in Asians and discuss the application of UGT1A1
testing in Asian cancer patients treated with irinotecan-containing regimens.
PMID- 29793275
TI - Insurance coverage for pharmacogenomic testing in the USA.
AB - The completion of the Human Genome Project has led to claims that we are on the
verge of entering the era of 'personalized medicine'. Some initial, highly
visible successes have positioned pharmacogenomics as the poster child for this
new era. Many commercial referral laboratories are offering pharmacogenomic
assays. In the US healthcare system, payers have had a significant impact on
utilization of new drugs and technologies. Payers are frequently characterized as
a barrier to the rapid dissemination of innovative therapies. In reality, payers
are frequently the only group that scrutinize these new therapies for utility. As
such, they play a critical role in assuring that their members are receiving
appropriate care.
PMID- 29793276
TI - Translating from the laboratory to the patient: a multidisciplinary approach to
delivering individualized therapy.
PMID- 29793277
TI - Balancing benefits of human genetic research against civic concerns: Essentially
Yours and beyond - the case of Australia.
AB - Large human genetic databases, especially those that are biomedical and forensic,
have emerged since the completion of the Human Genome Project. However, this
development has occurred in a time of intense public ambivalence to life science
and genomics innovations. Controversies revolve around genetic modification, stem
cell technologies and human genetic databases. Debate about databases focuses on
how to balance the benefits from genetic research against civic concerns,
typically, privacy and unfair discrimination and, more recently, public trust. In
1989, Australian jurisdictions began developing regulatory standards for human
genetic databases but from the start these lacked uniformity and adequate scope.
Enduring concerns led to a widescale public inquiry (2001-2003), which produced
the Essentially Yours report. However, while the Australian government supports
many of the report's recommendations, civic concerns remain as policy responses
are checkered. In this special report, we reflect on the debate, the rise of the
inquiry, its recommendations and policy responses, and competency and trust in
regulation.
PMID- 29793278
TI - 'Private fears in public places?' Ethical and regulatory concerns regarding human
genomic databases.
PMID- 29793279
TI - Banking expectations: the promises and problems of biobanks.
AB - In the last decade, governments, medical charities, pharmaceutical companies and
disease advocacy organizations have spent considerable time and money developing
biobanks to aid drug discovery and the investigation of disease. This article
identifies and assesses the various expectations that have driven the investment
in different types of biobanks. It suggests that they have been the focus of
unrealistic promises about producing a 'biobank revolution' that will transform
biomedicine and healthcare. We need more modest expectations about what can be
achieved, and need to tackle certain conceptual and methodological challenges for
biobanks to fulfill their potential.
PMID- 29793280
TI - Health record banks: integrating clinical and genomic data into patient-centric
longitudinal and cross-institutional health records.
PMID- 29793281
TI - Theranostics Health: personalized therapy and diagnosis today.
AB - Theranostics Health is a young company using mature proprietary technology to
make personalized medicine possible today. The firm provides pharmaceutical
scientists, clinical trial clinicians and treating physicians with highly
detailed portraits of protein drug target pathways and critical patient data
about drug activity, selectivity and specificity. Its assays and therapeutic
tools provide unprecedented cancer treatment and drug response information and
show strong prospects for application to a wide range of disease indications.
Theranostics' leadership believes that its products and services will have major
impact on individualized therapeutic efficacy and treatment toxicity, while
reducing treatment and drug-development costs and expediting the introduction of
new pharmaceutical solutions.
PMID- 29793282
TI - Pharmacogenetics of oral anticoagulants: the opportunity for individualized drug
treatment of greater safety.
AB - Oral antivitamin K (AVK) anticoagulants are widely prescribed for the prophylaxis
and treatment of a number of thromboembolic disorders. They constitute a major
cause of iatrogenic accidents because of their narrow therapeutic index and
consequently increase both thrombotic and bleeding risk; thus, regular monitoring
is required. Patient and environmental factors affect the anticoagulation
response and it has become evident that the wide interindividual variation in AVK
response is also partly genetically determined. The main enzyme responsible for
the metabolism of AVKs is hepatic cytochrome P450 CYP2C9. Vitamin K epoxide
reductase complex subunit I (VKORC1) is a key enzyme in the vitamin K cycle; it
is required to regenerate the cofactor essential for the activation of vitamin K
dependent clotting factors and is the target enzyme of AVK inhibition. Genetic
variations affecting both CYP2C9 and VKORC1 are associated with variability in
drug response and may explain differences in dose requirements. Genotyping for
CYP2C9 and VKORC1 variants before initiation of treatment could allow clinicians
to develop dosing protocols and identify patients at higher risk for AVK
complications such as bleeding.
PMID- 29793284
TI - Microsoft(r) announces the formation of the BioIT Alliance to accelerate the
development of personalized medicine.
PMID- 29793283
TI - Pharmacogenomics and antihypertensive drugs: a path toward personalized medicine.
AB - Pharmacogenomics focuses on genes and the transcriptome and proteome. It has the
potential to enhance healthcare management by improving disease diagnosis and
implementing treatments adapted to each patient. Previously, pharmacogenetics of
candidate genes focused on clinical research. It is now extended by using genome
wide approaches to elucidate the inherited basis of differences between
individuals in their response to drugs. We summarize relevant polymorphisms of
genes involved in the pharmacokinetics and pharmacodynamics of antihypertensive
drugs and we give an overview of the state of pharmacogenomic research in
hypertension medicine. Even if things are getting better, current pharmacogenetic
studies still lack power, adequate selection of candidate genes and knowledge of
their functions at the physiological level. Finally, some specific end point
phenotypes (i.e., peptides or proteins related to the metabolic cycle targeted by
the drug) should be integrated to propose data that are easily applicable to
personalized medicine.
PMID- 29793285
TI - The future may be closer than you think: a response from the Personalized
Medicine Coalition to the Royal Society's report on personalized medicine.
AB - A recent report from the British Royal Society on the prospects for personalized
medicine provides a sobering assessment of the field and its prospects. The
report contends that pharmacogenetics has little clinical relevance at the moment
and will only progress with the completion of large, cumbersome clinical trials.
The report goes on to note that the regulatory infrastructure, medical education
initiatives and public deliberation necessary to make personalized medicine a
reality are essentially nonexistent, at least so far. In our view, personalized
medicine is much more than a hypothetical protocol designed to correlate
genotypes with prescriptions. We argue that the development of personalized
medicine is a broader phenomenon that is already being practiced in one form or
another in many contexts. Both academic medicine and the pharmaceutical industry
have a huge stake in bringing pharmacogenetic-based personalized medicine to
fruition; we expect both entities to act as drivers of what will be a long-term,
iterative process.
PMID- 29793286
TI - Personalized medicine: new perspectives - new ethics?
AB - Are new concepts in biomedical ethics required to keep pace with the developments
in post-Human Genome Project (HGP) genomics? This paper traces the place of
ethics in the post-HGP landscape. The need for a revision of the approach taken
by biomedical ethics toward questions in genomics has been appreciated for years.
Traditional biomedical ethics, led by the protection paradigm, was devised to
serve a very different context. Today, compelling ethical questions arise from
the tension between individual and collective interests in the context of
population-based data collection and research. The collection of phenotype data,
and the development of new sequencing technologies, raises burning questions that
call for innovative tools and models in ethics. Future developments that will
likely include the routine availability of personal genome information, and the
advent of systems biology as a framework for interpretation, will require ongoing
flexibility and a creative approach.
PMID- 29793287
TI - Nucleic acid quantification and disease outcome prediction in colorectal cancer.
AB - Histopathological stage at diagnosis remains the most important prognostic
determinant for colorectal cancer. However, conventional staging is unable to
predict disease outcome accurately for each individual patient. This results in
considerable prognostic heterogeneity within a given tumor stage and is of
particular relevance for a subgroup of patients with stage II disease that would
benefit from adjuvant therapy. The recent advances in functional genomics are
beginning to have a significant impact on clinical oncology, and there is
widespread interest in using molecular techniques for clinical applications.
These have focused on two approaches: the use of polymerase chain reaction (PCR)
based methods for the detection of occult disease in lymph nodes, bone marrow and
blood and the use of microarrays for the expression profiling of primary tumors.
The aim is to develop molecular classifiers that will allow the prediction of
disease outcome, thus matching patients with individualized treatment. Despite
the obvious attractions of these approaches, there have been significant
technical, biological and analytical problems in their translation into
clinically relevant practice. This is particularly true for colorectal cancer,
the second most common cancer in the western world. Nevertheless, progress is
being made and the improved awareness and appreciation of those difficulties is
beginning to generate results that should prove useful for clinical oncology.
PMID- 29793288
TI - High carrier prevalence of deficient and null alleles of CYP2 genes in a major
USA hospital: implications for personalized drug safety.
AB - Many drugs are metabolized by highly polymorphic cytochrome P450 (CYP) enzymes.
Among these enzymes, members of the CYP2 family coded by the CYP2D6, CYP2C9 and
CYP2C19 genes are best amenable to the precise prediction of an individual's
innate capacity to metabolize drugs by DNA typing of inherited null and deficient
alleles. We determined the frequency of these alleles and the prevalence of their
carriers in a New England, USA, tertiary care center to assess underlying
population genetic features for the practice of personalized medicine. We
determined that 54, 25 and 27% are carriers of at least one deficient or null
allele for the CYP2D6, CYP2C9 and CYP2C19 genes, respectively. Furthermore, 6% of
individuals are carriers of two null alleles for CYP2D6 and are predicted to have
no biochemical activity for this isoenzyme. These results support the
implementation of DNA typing of CYP2 genes to diagnose adverse drug reactions and
to prevent a substantial number of patients being prescribed drugs they cannot
adequately metabolize.
PMID- 29793289
TI - Application of TDM, pharmacogenomics and biomarkers for neurological disease
pharmacotherapy: focus on antiepileptic drugs.
AB - Anticonvulsants, or antiepileptic drugs (AEDs), are a vital tool in the
therapeutic management of epilepsy patients. However, many AEDs are commonly used
in the management of nonepileptic conditions, such as chronic pain, migraine
headaches and psychiatric disorders. It is well documented that serum drug levels
are an important data tool for the management of patients taking these drugs. As
we move toward the personalized optimization of pharmacotherapy, drug level data
will not be sufficient. This article will review tools for therapeutic drug
management of AEDs including pharmacogenetics and biomarkers, in addition to
traditional serum drug levels.
PMID- 29793290
TI - Pharmacogenomics in the treatment of rheumatoid arthritis: clinical implication
and perspective.
AB - Rheumatoid arthritis (RA) is a chronic inflammatory disease. The inflammatory
process of the joint destroys articular architecture and causes a significant
disability. The efficacy of disease modifying antirheumatic drugs such as
methotrexate, sulfasalazine and biological response modifiers, is widely
accepted. However, the outcome of the treatment with these agents is known to
vary among patients. The application of the pharmacogenomics is expected to
reduce toxicities and enhance the desirable effects of therapeutic agents for RA.
Recently, pharmacogenomic studies on methotrexate, sulfasalazine and tumor
necrosis factor-alpha inhibitors have been reported. These investigations suggest
that the pharmacogenomic approach is useful for the treatment of RA, although
there are points to be considered before the translation of the pharmacogenomic
data into clinical practice. This review focuses on the latest information on the
pharmacogenomics of antirheumatic drugs and its clinical implication in the
treatment of RA.
PMID- 29793291
TI - Past, present and future of gene expression-tailored therapy for lung cancer.
AB - "Variability is the law of life, and as no two faces are the same, so no two
bodies are alike, and no two individuals react alike and behave alike under the
abnormal conditions which we know as disease." Sir William Osler (1849-1919). All
human beings are different and some of these differences are the variations in
response to xenobiotics. Personalized medicine means: the right patient
population, the right drug, the right dose, the right indication, and
administration at the right time. This review provides an update on concepts of
personalized therapy for lung cancer.
PMID- 29793292
TI - Genome-based biomarkers for adverse drug effects, patient enrichment and
prediction of drug response, and their incorporation into clinical trial design.
AB - Classic examples of pharmacogenomic biomarkers for drug efficacy include genetic
variation in the drug target (including its expression level) and drug
metabolizing enzymes (DMEs). Recent US FDA approvals of tests for cytochrome P450
2D6/2C9 and uridine diphosphate glucuronsyltransferase (UGT)1A1 have given
regulatory endorsement to biomarkers that can improve drug safety by identifying
individuals at risk for drug toxicity. Markers that predict risk for disease can
identify patients who will have a greater than average benefit from therapy. This
creates a new opportunity to enrich clinical trials with patients who are likely
to have more events and to achieve earlier drug approval. Markers that predict
for risk of cardiovascular, thrombotic and liver diseases may also identify a
subset of individuals at substantially elevated risk for adverse drug effects.
The adaptive clinical trial design provides a mechanism for incorporating genomic
information during clinical trials, while providing sufficient time for
diagnostic product development and co-registration with a new drug application.
PMID- 29793293
TI - Challenges and opportunities of pharmacogenetics in drug development.
AB - Drugs fail the regulatory process for a variety of reasons, including issues with
pharmacokinetics, safety and efficacy. One of the most exciting questions in drug
development today is how far the science of pharmacogenetics, the study of the
genetics of drug response, can be used to address the fundamental issues that the
pharmaceutical industry is facing. In particular, the question of how far it is
possible to use this emerging science to deliver the right treatment, to the
right patient, at the right dose, at the right time is both the challenge and
opportunity of using pharmacogenetics in drug development. This review will
address these questions with several real-life examples.
PMID- 29793294
TI - Pharma, clinicians and the lab come together over personalized medicine.
PMID- 29793295
TI - Pharmacogenomics: single genes, whole genomes and global networks.
PMID- 29793296
TI - Medicine, genetics and race: the case of cardiovascular diseases.
PMID- 29793297
TI - From human genetic variations to prediction of risks and responses to drugs and
the environment.
PMID- 29793298
TI - Gene mutation increases risk of late-onset Alzheimer's disease.
PMID- 29793299
TI - Development of a warfarin-dosing algorithm in Asian patients: a pharmacogenomic
approach.
AB - Evaluation of: Tham LS, Goh BC, Nafziger A et al.: A warfarin-dosing model in
Asians that uses single nucleotide polymorphisms in vitamin K epoxide reductase
complex and cytochrome P450 2C9. Clin. Pharmacol. Ther. 80, 346-355 (2006) [1] .
Large interindividual variability exists in warfarin dose requirements and the
development of genotype-guided dosing algorithms that accurately predict warfarin
doses is of considerable interest. Tham and colleagues used specific CYP2C9 and
VKORC1 polymorphisms, along with clinical factors, to build an algorithm to
accurately estimate warfarin doses in a multi-ethnic Asian population. The final
model accounted for 60.2% of the overall variability in warfarin dose
requirements. In a separate validation cohort, the model accurately estimated
warfarin doses with a correlation of 0.73 between actual and model-predicted
doses. The next step in warfarin pharmacogenomic research is to take warfarin
dosing algorithms, such as the one developed in this study, and use them in
prospective, randomized studies in order to determine whether genotype-guided
warfarin dosing is better than the traditional care approach.
PMID- 29793300
TI - Personalized medicine: the absence of 'model-changing' financial incentives.
AB - This perspective biases on the side that personalized medicine can contribute to
a more efficient collective model; however, the hard economics need and deserve
significantly more critical analysis and new data input than they are currently
being given, to determine their role, or not, in driving change. Put simply, as
with the birth of all new and promising developments in healthcare, myth, hope
and trend-spotting are driving this market forward, rather than any hard evidence
of a sustainable commercial business model for all stakeholders. While there are
clear economic benefits to aspects of delivery along the way to personalized
care, there may in fact be no compelling economic drivers for radical change for
payers and the pharmaceutical industry. The best they can hope to achieve is that
the balance sheet is, just that, in balance.
PMID- 29793301
TI - Risk-based and diagnostics-linked personalized medicine for cancer.
AB - Personalized medicine is gaining momentum in healthcare by allowing physicians to
determine an individual's predisposition to different diseases and to better
diagnose and treat those diseases. Personalized medicine is frequently described
as the right drug for the right patient at the right time; that is, a physician
will prescribe treatment based on the individual characteristics of each patient
such that the patient is likely to respond to a particular treatment with minimal
adverse events. The heterogeneity of cancer makes the implementation of
personalized medicine a necessity.
PMID- 29793302
TI - Individualizing warfarin therapy.
AB - Warfarin is the most commonly prescribed oral anticoagulant for the treatment and
prevention of thromboembolic events. The correct maintenance dose of warfarin for
a given patient is difficult to predict, the drug carries a high risk of
toxicity, and variability among patients means that the safe dose range differs
widely between individuals. Recent pharmacogenetic studies indicate that the
routine incorporation of genetic testing into warfarin therapy protocols could
substantially ease both the financial and health risks currently associated with
this treatment. In particular, the variability in warfarin dose requirement is
now recognized to be due, in large part, to polymorphisms in two genes:
cytochrome P450 2C9 and the vitamin K epoxide reductase complex subunit 1. The
development of algorithms that integrate all of the relevant genetic and physical
factors into comprehensive, individualized predictive models for warfarin dose
could be used to translate the results of pharmacogenetic testing into actionable
clinical application.
PMID- 29793303
TI - Age-related genetic abnormalities: the Achilles' heel for customizing therapy in
elderly lung cancer patients.
AB - Aging and cancer are closely related, and DNA repair systems, mainly involving
the nucleotide excision repair pathway, have an important caretaker function in
both processes. More than half of non-small cell lung cancer patients are
elderly, and the expression of some genes involved in the mitotic checkpoint,
such as BubR1, declines with aging. Cisplatin-based chemotherapy is the standard
treatment for advanced non-small cell lung cancer; if performance status is good,
both elderly and younger patients can tolerate this treatment equally well.
Customized cisplatin treatment, based on reduction of the nucleotide excision
repair pathway function, could be an attractive approach, and the assessment of
mitotic checkpoint genes can be used for selecting docetaxel treatment. Epidermal
growth factor receptor (EGFR) mutations are particularly frequent in elderly lung
cancer patients who are never-smokers and constitute an attractive target for
treatment with EGFR tyrosine kinase inhibitors.
PMID- 29793304
TI - Mass spectrometry-based tissue proteomics for cancer biomarker discovery.
AB - There is an urgent need for the development of technologies that allow the
monitoring of protein expression and processing in tumor tissues resulting from
development, physiology and disease state. To address the issue of cell
heterogeneity in the tissue section, several microdissection techniques have been
developed to provide a rapid and straightforward method for isolating selected
subpopulations of cells for downstream molecular analysis. Development and
demonstration of an effective discovery-based proteome platform, Gemini, are
particularly highlighted for its capabilities of achieving ultrasensitive and
comprehensive analysis of minute proteins extracted from targeted cells in tissue
specimens. The greatest expectations for targeted proteomics research using
enriched nonmalignant and malignant cells from high-quality fresh-frozen,
formalin-fixed and paraffin-embedded specimens reside in the identification of
diagnostic, prognostic and predictive biological markers in the clinical setting,
as well as the discovery and validation of new protein targets in the
biopharmaceutical industry.
PMID- 29793305
TI - Changes in the editorial team and innovations at Social Science & Medicine.
PMID- 29793306
TI - A social movement, based on evidence, to reduce inequalities in health.
PMID- 29793309
TI - Astragaloside IV protects against the pathological cardiac hypertrophy in mice.
AB - Although pathologic hypertrophic hearts currently maintain output, sustained
cardiac hypertrophy could predispose a patient to arrhythmia and sudden death,
and also cause heart failure. Thus, finding effective treatment and exploring the
underlying molecular mechanisms of cardiac hypertrophy is urgently necessary.
Astragaloside IV (AST-IV) is the main active component, extracted from the
traditional Chinese medicinal herb Astragalus membranaceus. Previous studies have
indicated that AST-IV has various bioactivities, such as anti-cancer, anti
oxidative stress and anti-inflammation. In the present study, we aimed to explore
the effects of AST-IV on cardiac hypertrophy induced by aortic banding (AB)
surgery in mice, and to reveal the underlying signaling mechanisms. The
suppressor of IKKepsilon (SIKE) is a negative regulator of the interferon
pathway, which could be enhanced by AST-IV to ameliorate pathological cardiac
hypertrophy in mice through inactivating TANK-binding kinase 1 (TBK1)/PI3K/AKT
signaling pathway. AST-IV attenuated cardiac hypertrophy, collagen accumulation
and abnormal cardiac functions. In addition, AB-induced apoptosis and
inflammation in the heart tissue samples of mice, which were attenuated by AST-IV
administration through inhibiting SIKE expression levels. Together, the findings
above indicated that AST-IV might be a potential candidate to prevent cardiac
hypertrophy via elevating SIKE to suppress TBK1/PI3K/AKT activity.
PMID- 29793310
TI - MiR-142 inhibits cecal ligation and puncture (CLP)-induced inflammation via
inhibiting PD-L1 expression in macrophages and improves survival in septic mice.
AB - This study aims to explore the roles of miR-142/PD-L1 axis in cecal ligation and
puncture (CLP)-induced inflammation and the survival in septic mice. Here, miR
142 was found to be decreased in sepsis patients. And miR-142 was decreased but
PD-L1 was increased in CLP-treated mice macrophages in a time-dependent manner.
Mechanistically, miR-142/PD-L1 regulatory axis was identified in macrophages. Pre
injection of miR-142 agomir following CLP treatment attenuated CLP-induced
inflammation, characterized as the downregulation of IL-2 and TNF-alpha
secretion, but this effect could not be ameliorated by post-injection of miR-142
agomir after CLP treatment. Additionally, PD-L1 overexpression enhanced CLP
induced inflammation and reversed miR-142-mediated inhibition on CLP-induced
inflammation in macrophages. Importantly, CD4+T/CD8+T cell ratio was markedly
increased in the peripheral blood of CLP-treated mice, which was attenuated by
pre-injection of miR-142 agomir. Moreover, pre-injection of miR-142 agomir or aPD
L1 decreased CLP-induced mortality. Therefore, our results indicate that miR-142
could attenuate CLP-induced inflammation and thus sepsis via targeting PD-L1 in
macrophages.
PMID- 29793311
TI - The protective effects of alpha lipoic acid on methotrexate induced testis injury
in rats.
AB - Methotrexate (MTX) is frequently used in the treatment of several diseases
including cancers, rheumatoid arthritis, psoriatic arthritis, systemic lupus
erythematosus, and dermatomyositis. Previously, chemotherapeutic agents have been
reported to cause permanent azoospermia and infertility in men. Methotrexate has
been also shown to damage the seminiferous tubules of the testicles, lower the
sperm count, and cause genetic mutations (in DNA) in sperm. In this study, we
aimed to investigate the protective effects of alpha lipoic acid (ALA) on MTX
induced testicle damage in a rat model. A total of 40 male Wistar Albino rats
were used in this study. The rats were divided into four groups including 10 rats
in each. The first group (control group) received only saline intraperitoneal
(i.p.); the second group (ALA group) was given ALA 100 mg/kg i.p.; the third
group (MTX group) received single dose MTX 20 mg/kg i.p.; and the fourth group
(MTX + ALA group) received single dose MTX 20 mg/kg i.p. and ALA 100 mg/kg i.p.
Malondialdehyde (MDA), superoxide dismutase (SOD), catalase (CAT), glutathione
peroxidase (GPx), myeloperoxidase (MPO) levels in the testicular tissue and serum
testosterone, serum total antioxidant status (TAS) and total oxidant status (TOS)
levels were biochemically evaluated. Testicular tissues histopathologically
evaluated. In the MTX group, the MDA, TAS and TOS levels were higher, while the
SOD, CAT, GPx, MPO and serum testosterone levels decreased. Compared to the MTX
group, the MDA, TAS and TOS levels were lower and the SOD, CAT, GPx, MPO and
serum testosterone levels increased in the MTX + ALA group. In the
histopathological examination, the mean seminiferous tubule length (MSTD),
germinal epithelial cell thickness (GECT), and mean testicular biopsy score
(MTBS) were found to significantly decrease in the MTX group, compared to the
control group. These values were significantly higher in the MTX + ALA group,
compared to the MTX group (p < 0.05). In our experimental study, MTX caused
severe tissue destruction in testicles by increasing the formation of free oxygen
radicals. Based on our study results, we suggest that, as a potent free radical
scavenger, ALA can reduce MTX-induced testicular tissue damage thanks to its
antioxidant and anti-inflammatory properties.
PMID- 29793312
TI - Aberrant DNA methylation of key genes and Acute Lymphoblastic Leukemia.
AB - DNA methylation is a dynamic process influencing gene expression by altering
either coding or non-coding loci. Despite advances in treatment of Acute
Lymphoblastic Leukemia (ALL); relapse occurs in approximately 20% of patients.
Nowadays, epigenetic factors are considered as one of the most effective
mechanisms in pathogenesis of malignancies. These factors are reversible elements
which can be potentially regarded as therapy targets and disease prognosis. DNA
methylation, which primarily serves as transcriptional suppressor, mostly occurs
in CpG islands of the gene promoter regions. This was shown as a key epigenetic
factor in inactivating various tumor suppressor genes during cancer initiation
and progression. We aimed to review methylation status of key genes involved in
hematopoietic malignancies such as IKZF1, CDKN2B, TET2, CYP1B1, SALL4, DLC1, DLX
family, TP73, PTPN6, and CDKN1C; and their significance in pathogenesis of ALL.
The DNA methylation alterations in promoter regions of the genes have been shown
to play crucial roles in tumorigenesis. Methylation -based inactivation of these
genes has also been reported as associated with prognosis in acute leukemia. In
this review, we also addressed the association of gene expression and methylation
pattern in ALL patients.
PMID- 29793313
TI - Therapeutic effects of scoparone on pilocarpine (Pilo)-induced seizures in mice.
AB - Epilepsy is a common and devastating neurological disorder. Inflammatory
processes and apoptosis in brain tissue have been reported in human epilepsy.
Scoparone (6,7-dimethoxycoumarin) is an important chemical substance, which has
multiple beneficial activities, including antitumor, anti-inflammatory and anti
coagulant properties. In our present study, we attempted to investigate if
scoparone could attenuate seizures-induced blood brain barrier breakdown,
inflammation and apoptosis. Pilocarpine (Pilo) and methylscopolamine were used to
establish acute seizure animal model. Scoparone suppressed the leakage of blood
brain barrier, inflammation and apoptosis. In hippocampus and cortex, the
expression of inflammation-associated molecules, such as chemokine (CXC motif)
ligand 1 (CXCL-1), interleukin-1beta (IL-1beta), tumor necrosis factor-alpha (TNF
alpha), IL-6, hypoxia-inducible factor 1alpha (HIF-1alpha), and monocyte
chemoattractant protein-1 (MCP-1), were reduced by scoparone through inactivating
toll-like receptor 4/nuclear factor-kappa B (TLR4/NF-kappaB) pathway. Scoparone
reduced apoptotic levels in hippocampus by TUNEL analysis, along with decreased
Caspase-3 and PARP cleavage. In addition, phosphoinositide 3-kinase/protein
kinase B (PI3K/AKT) pathway in Pilo-induced acute seizures was also inactivated
by scoparone. In vitro, we confirmed that scoparone inhibited LPS-caused
astrocytes activation as proved by the reduced glial fibrillary acidic protein
(GFAP) levels, inflammation and apoptosis, which were at least partly dependent
on AKT suppression. The results above indicated that scoparone could relieve
pilocarpine (Pilo)-induced seizures against neural cell inflammation and
apoptosis.
PMID- 29793314
TI - Embelin-loaded oral niosomes ameliorate streptozotocin-induced diabetes in Wistar
rats.
AB - Embelin is a natural compound possessing a plethora of pharmacological
activities, including antidiabetic activity. When formulated as niosomes, embelin
offers additional advantages of nanoformulations and can be further exploited for
clinical use. An oral niosome formulation of embelin was developed using a thin
film hydration technique, and its antidiabetic activity was studied. The
formulation was characterized in terms of entrapment efficiency, vesicle size and
morphology, in vitro release profile, and stability. Antidiabetic evaluation was
performed in streptozotocin (STZ)-induced diabetic Wistar rats. An antioxidant
assay was carried out by evaluating superoxide dismutase (SOD), catalase (CAT),
thiobarbituric acid reactive substances (TBARS), and glutathione (GSH). The
optimized formulation showed a significant hypoglycemic effect, which was
comparable with that of repaglinide. Moreover, significant increases in SOD, CAT,
and GSH, along with a decrease in the lipid peroxidation level, were observed,
which confirmed the antioxidant efficacy of the formulation. Thus, it is evident
that the embelin-loaded niosome formulation was efficacious in diabetes
management in Wistar rats.
PMID- 29793315
TI - Nanotherapeutics: An insight into healthcare and multi-dimensional applications
in medical sector of the modern world.
AB - In recent years nanotechnology has revolutionized the healthcare strategies and
envisioned to have a tremendous impact to offer better health facilities. In this
context, medical nanotechnology involves design, fabrication, regulation, and
application of therapeutic drugs and devices having a size in nano-range (1-100
nm). Owing to the revolutionary implications in drug delivery and gene therapy,
nanotherapeutics has gained increasing research interest in the current medical
sector of the modern world. The areas which anticipate benefits from nano-based
drug delivery systems are cancer, diabetes, infectious diseases,
neurodegenerative diseases, blood disorders and orthopedic problems. The
development of nanotherapeutics with multi-functionalities has considerable
potential to fill the lacunae existing in the present therapeutic domain.
Nanomedicines in the field of cancer management have enhanced permeability and
retention of drugs thereby effectively targeting the affected tissues. Polymeric
conjugates of asparaginase, polymeric micelles of paclitaxel have been recmended
for various types of cancer treatment .The advancement of nano therapeutics and
diagnostics can provide the improved effectiveness of the drug with less or no
toxicity concerns. Similarly, diagnostic imaging is having potential future
applications with newer imaging elements at nano level. The newly emerging field
of nanorobotics can provide new directions in the field of healthcare. In this
article, an attempt has been made to highlight the novel nanotherapeutic
potentialities of polymeric nanoparticles, nanoemulsion, solid lipid
nanoparticle, nanostructured lipid carriers, dendrimers, nanocapsules and
nanosponges based approaches. The useful applications of these nano-medicines in
the field of cancer, nutrition, and health have been discussed in details.
Regulatory and safety concerns along with the commercial status of nanosystems
have also been presented. In summary, a successful translation of emerging
nanotherapeutics into commercial products may lead to an expansion of biomedical
science. Towards the end of the review, future perspectives of this important
field have been introduced briefly.
PMID- 29793317
TI - Metformin alleviates bleomycin-induced pulmonary fibrosis in rats:
Pharmacological effects and molecular mechanisms.
AB - BACKGROUND: Metformin, a commonly used oral antidiabetic agent, is known to
possess pleiotropic antioxidant, anti-inflammatory and anti-fibrotic effects. In
this study, we evaluated the effect of metformin on pulmonary fibrosis and the
mechanism underlying its effect. METHODS: Pulmonary fibrosis was induced
experimentally with bleomycin (0.035 U/g, i.p.) given twice weekly for four
weeks. Metformin (125, 250 and 500 mg/kg/day, p.o) was given seven days prior to
first injection of bleomycin and continued till 28 days after starting bleomycin
injection. Prednisolone (5 mg/kg/day, p.o) was the standard control. RESULTS:
Administration of bleomycin caused pulmonary fibrosis in rats as evidenced by
characteristic structural changes in histopathology, increased inflammatory cells
in bronchoalveolar lavage fluid, elevated lipid peroxidation marker, depleted
endogenous antioxidants and increased inflammatory mediators (TNF-alpha, IL-6).
There were also increased levels of TGF-beta, Smad2/3, ERK1/2, p38, JNK,
fibronectin, hydroxyproline and type I collagen in bleomycin-control group. All
these changes were ameliorated by high dose metformin. It restored structural,
biochemical and molecular changes towards normal. This protective effect may be
attributed to activation of AMPK by metformin, with consequent reduction in
oxidative stress and TGF-beta. Moreover, this protective effect was superior to
prednisolone as metformin had additional antioxidant and antifibrotic properties.
CONCLUSION: These data suggest that metformin protects against bleomycin-induced
pulmonary fibrosis through activation of AMPK and amelioration of TGF-beta
signaling pathways.
PMID- 29793316
TI - Curcumin suppresses JNK pathway to attenuate BPA-induced insulin resistance in
LO2 cells.
AB - OBJECTIVE: To examine whether curcumin has protective effect on insulin
resistance induced by bisphenol A (BPA) in LO2 cells and whether this effect was
mediated by inhibiting the inflammatory mitogen-activated protein kinases (MAPKs)
and nuclear factor-kappaB (NF-kappaB) pathways. METHODS: LO2 cells were
stimulated with BPA in the presence or absence of curcumin for 5 days. Glucose
consumption, activation of insulin signaling, MAPKs and NF-kappaB pathways,
levels of inflammatory cytokines and MDA production were analyzed. RESULTS:
Curcumin prevented BPA-induced reduction of glucose consumption and suppression
of insulin signaling pathway, indicating curcumin alleviated BPA-triggered
insulin resistance in LO2 cells. mRNA and proteins levels of TNF-alpha and IL-6,
as well as MDA level in LO2 cells treated with BPA were decreased by curcumin.
Furthermore, curcumin downregulated the activation of p38, JNK, and NF-kappaB
pathways upon stimulation with BPA. Inhibition of JNK pathway, but not p38 nor NF
kappaB pathway, improved glucose consumption and insulin signaling in BPA-treated
LO2 cells. CONCLUSION: Curcumin inhibits BPA-induced insulin resistance by
suppressing JNK pathway.
PMID- 29793319
TI - Oroxyloside inhibits human glioma progression by suppressing proliferation,
metastasis and inducing apoptosis related pathways.
AB - Malignant glioma are linked to a high mortality rate. Therefore, it is necessary
to explore and develop effective therapeutic strategy. Oroxyloside is a
metabolite of oroxylin A. However, its inhibitory effects on cancer are little to
be known. In the present study, we investigated the effects of oroxyloside on
cell proliferation, migration, and apoptosis in vitro and in vivo in human
glioma. The results indicated that oroxyloside significantly suppressed the
proliferation of human glioma cells through inducing cell cycle arrest at G0/G1
phase through reducing Cyclin D1 and cyclin-dependent kinase 2 (CDK2) while
enhancing p53 and p21 expressions. In addition, the migration of glioma cells was
dramatically inhibited by oroxyloside in a dose-dependent manner, which was
related to its modulation on extracellular matrix (ECM), as evidenced by up
regulated E-cadherin, and metastasis-associated protein 3 (MTA3), whereas down
regulated N-cadherin, Vimentin, Twist, alpha-smooth muscle actin (alpha-SMA) and
Syndecan-2. Furthermore, oroxyloside treatment markedly induced apoptosis in
glioma cells through improving Caspase-9, Caspase-3 and PARP cleavage,
accompanied with high release of cytochrome c (Cyto-c) into cytoplasm and
subsequently increase of apoptotic protease-activating factor 1 (Apaf-1). In
vivo, oroxyloside administration significantly inhibited the glioma cell
xenograft tumorigenesis through various signaling pathways, including suppression
of Cyclin D1/CDK2 and ECM pathways, as well as potentiation of p53/p21 and
Caspases pathways. Together, the findings above illustrated that oroxyloside, for
the first time, was used as a promising candidate against human glioma.
PMID- 29793318
TI - Overexpressed miR-183 promoted glioblastoma radioresistance via down-regulating
LRIG1.
AB - BACKGROUND: Glioma is the most common cause of cancer-related death. Therapy
based on radiation seemed to effectively, while the radioresistance of several
glioblastoma cells abolished the therapy. Thus, to employ the potential mechanism
underlying the radioresistance is essential for glioma treatment. METHODS:
Radioresistant cells were constructed using the X-ray radiation. Cell viability
and apoptosis were detect using CCK-8 and Annexin-V/propidium iodide (PI),
respectively. Real-time PCR and western blot were performed to determine gene
expression. Luciferase reporter assay was carried out to detect the relationship
between miR-183 and LRIG1. Mice xenotransplant model of glioma was established to
detect the role of miR-183 in vivo. RESULTS: The expression of miR-183 was
increased, while LRIG1 was decreased in resistant tissues rather than in
sensitive tissues. The expression of LRIG1 was lower in radioresistant
gliblastoma cell line U251R rather than in normal glioblastoma cell line U251.
Overexpressed miR-183 suppressed cell apoptosis in radioresistance U251R cells
(U251R). MiR-183 targets LRIG1 to regulate its expression. U251R cells
transfected miR-183 inhibitor promoted the expression of LRIG1, and decreased the
expression of EFGR and p-Akt, while U251R cells co-transfected with shRNA-LRIG1
abolished the effects of miR-183 knockdown. U251 cells transfected with miR-183
mimic decreased the expression of LRIG1, and promoted the expression of EFGR and
p-Akt, while cells co-transfected with pcDNA-LRIG1 abolished the effects of miR
183 overexpression. In vivo experiments demonstrated that miR-183 inhibitor
suppressed tumor growth, while miR-183 mimic promoted tumor growth. CONCLUSION:
MiR-183 overexpression promoted radioresistance of glioblastoma via down
regulating LRIG1 and increasing the activity of EFGR/Akt.
PMID- 29793321
TI - Micro RNA-518 inhibits gastric cancer cell growth by inducing apoptosis via
targeting MDM2.
AB - Gastric cancer is a most common malignancy and the third leading cause of cancer
mortality worldwide. So it is important to identify the prognostic markers and
effective therapeutic targets against gastric cancer. miRNA plays an important
role in tumor cell cycle, differentiation, apoptosis, invasion and metastasis.
Many studies devote to the mechanism of miRNA regulates gastric cancer carcinoma
and progression. In the present study, we found that the level of miR-518 in
gastric cancer and cell lines were lower than the control or the adjacent tissues
by qRT-PCR. Transfected with miR-518 mimic trigger apoptosis in MKN45 and HGC27
gastric cancer cell in vitro and in vivo. Moreover, we found that MDM2 was
negatively regulated by miR-518 via targeting 26-32 site of 3'UTR using
luciferase reporter assay. The western blot assay showed that miR-518 up
regulated the expression of p53, pro-apoptotic protein Bax and active the
activity of cleaved caspase-3, down-regulated expression of anti-apoptotic
protein Bcl-2 via targeting MDM2. Thus, our study suggested that miR-518 acted as
a new tumor suppressor by targeting MDM2 gene and trigger apoptosis in vivo and
in vitro. The findings of the study first established the role of miR-518 in
gastric cancer and may be a potential therapeutic target against gastric cancer
in the further.
PMID- 29793322
TI - Evaluation of Aristolochia indica L. and Piper nigrum L. methanol extract against
centipede Scolopendra moristans L. using Wistar albino rats and screening of
bioactive compounds by high pressure liquid chromatography: a polyherbal
formulation.
AB - The present study was aimed to explore the anti-venom activity of Aristolochia
indica and Piper nigrum plants against the centipede (Scolopendra moristans)
envenomation in animal model. In vtiro phytochemical, antioxidant and blocking of
proteolysis were carried out by using standard spectrophotometric methods. In
vivo anti-venom activity of methanol extracts was determined using Wistar albino
rats after fixing lethal and effective doses. The electrolytes, lipid, liver,
kidney, hematological parameters were analyzed and histopathology of skin and
liver were also examined. Anti-skin cancer by MTT method and HPLC analysis were
also carried out. The CAIPN extract showed higher total phenolics (150.65 +/-
0.08 mg GAE/g extract) and flavonoids (158.97 +/- 0.93 mg RE/g extract) content.
Further, the same extract revealed the higher molybdenum reducing, inhibition of
lipid peroxidation (80.08 +/- 0.22%), DPPH radical scavenging (3.05 MUg/mL), and
blocking of proteolysis activities (96.45 +/- 0.04%). The parameters like
hypersensitivity, electrolytes, lipids, blood components, liver and kidney marker
of the CAIPN methanol extract (200 mg/kg) treated envenomated rats was remarkable
and same as in the normal animals. Such status was also achieved by RBAI and SPN
at 600 mg/kg. The histopathological scoring of skin and liver confirmed the venom
neutralizing activity of CAIPN. Also, the CAIPN methanol extract was notable in
anti-skin cancer activity (208 MUg/mL). The presence of the ferulic acid (04 +/-
0.09 MUg/mg) and quercetin (35.30 +/- 0.30 MUg/mg) like compounds was confirmed
by HPLC analysis. Hence, the present investigation results conclude that the
CAIPN was significant in their action and this polyherbal formulation could be
considered as a new source for the pharmaceutical industries to develop a new
effective, ecofriendly anti-venom drug.
PMID- 29793320
TI - Orofacial antinociceptive effect of Mimosa tenuiflora (Willd.) Poiret.
AB - Mimosa tenuiflora (Willd.) Poiret, popularly known in Brazil as "jurema-preta" is
widely used against bronchitis, fever, headache and inflammation. Its
antioxidant, anti-inflammatory and antinociceptive potential has already been
reported. To assess the orofacial antinociceptive effect of M. tenuiflora,
ethanolic extracts of M. tenuiflora (leaves, twigs, barks and roots) were
submitted to in vitro tests of antioxidant activity. The extract with the highest
antioxidant potential was partitioned and subjected to preliminary chemical
prospecting, GC-MS, measurement of phenolic content and cytotoxicity tests of the
fraction with the highest antioxidant activity. The nontoxic fraction with the
highest antioxidant activity (FATEM) was subjected to tests of acute and chronic
orofacial nociception and locomotor activity. The possible mechanisms of
neuromodulation were also assessed. The EtOAc fraction, obtained from the
ethanolic extract of M. tenuiflora barks, was the one with the highest
antioxidant potential and nontoxic (FATEM), and Benzyloxyamine was the major
constituent (34.27%). FATEM did not alter the locomotor system of mice and
reduced significantly the orofacial nociceptive behavior induced by formalin,
glutamate, capsaicin, cinnamaldehyde or acidic saline compared to the control
group. FATEM also inhibited formalin- or mustard oil-induced temporomandibular
nociception. In addition, it also reduced mustard oil-induced orofacial muscle
nociception. However, FATEM did not alter hypertonic saline-induced corneal
nociception. Neuropathic nociception was reversed by treatment with FATEM. The
antinociceptive effect of FATEM was inhibited by naloxone, L-NAME and
glibenclamide. FATEM has pharmacological potential for the treatment of acute and
neuropathic orofacial pain and this effect is modulated by the opioid system,
nitric oxide and ATP-sensitive potassium channels. These results lead us to
studies of isolation and characterization of bioactive principles.
PMID- 29793323
TI - Selective effects of Euterpe oleracea (acai) on Leishmania (Leishmania)
amazonensis and Leishmania infantum.
AB - Leishmania (Leishmania) amazonensis and Leishmania infantum (=Leishmania chagasi)
are protozoa that cause American cutaneous and visceral leishmaniasis,
respectively. These diseases show a high incidence in developing countries such
as Brazil. The treatments used for leishmaniasis are still limited due to their
high cost and toxicity. Currently, some natural products are considered an
important alternative source of new leishmanicidal agents. Euterpe oleracea
Martius, a palm producing black fruits, is frequently consumed in the Amazon
region, as a juice, known as acai, with potent antioxidant, anti-inflammatory and
anticonvulsant properties. Interestingly, the biological activity of clarified
acai juice (EO) on L. (L.) amazonensis and L. infantum (=L. chagasi) is unknown.
Therefore, the mechanism of anti-leishmanial action of EO has been evaluated on
L. (L.) amazonensis and L. infantum (=L. chagasi). EO reduced the number of
promastigotes and caused morphological alterations, increased the production of
reactive oxygen species (ROS) and induced cell death phenotypes probably seems by
apoptosis in the promastigotes of L. (L.) amazonensis (IC50 = 1:40) and L.
infantum (=L. chagasi) (IC50 = 1:38). EO also presented activity against
Leishmania amastigotes. Treatment with EO for 72 h strongly reduced IL-17
cytokine levels at all tested concentrations and decreased the number of
intracellular amastigotes in macrophages infected with L. (L.) amazonensis (IC50
= 1:30) and L. infantum (=L. chagasi) (IC50 = 1:38). Additionally, no cytotoxic
effect was observed in murine macrophages treated with EO (72 h - CC50 > 1:1).
Our results demonstrated that EO has leishmanicidal activity against two
different species that cause American visceral and cutaneous leishmaniasis
without cytotoxic effects for the host cell.
PMID- 29793324
TI - Evaluation of the antithrombotic activity of Zhi-Xiong Capsules, a Traditional
Chinese Medicinal formula, via the pathway of anti-coagulation, anti-platelet
activation and anti-fibrinolysis.
AB - Zhi-Xiong Capsules (ZXC) involving Hirudo, Ligusticum chuanxiong, Salvia
miltiorrhiza, Leonurus artemisia, and Pueraria lobata, is an empirical
prescription used in Chinese clinics applied for treating cerebral
arteriosclerosis and blood-stasis in clinic. However, the mechanism of its
antithrombotic activity has not been investigated until now. The present study
was designed to investigate its antithrombotic effects, the mechanism of ZXC on
anti-thrombus action and to identify the main chemical composition of ZXC using
HPLC-DAD-ESI-IT-TOF-MS. Two animal models were used to evaluate the
antithrombotic effect of ZXC, the arterial thrombosis model and a venous
thrombosis model. ZXC prolonged the plasma recalcification time (PRT), the
activated partial thromboplastin time (APTT), the thrombin time (TT) and the
prothrombin time (PT) and clearly reduced the content of fibrinogen (FIB)
obviously in the arterial thrombosis model. Furthermore, it markedly suppressed
the level of TXB2 and up-regulated the level of 6-keto-PGF1a. In addition, it
significantly up-regulated the level of t-PA and down-regulated the level of PAI
1 (p < 0.05). These results revealed that ZXC played a vital role in the
prevention of thrombosis through interacting with multiple targets, including
inhibition of coagulation and platelet aggregation and increasing thrombolysis. A
total of 23 compounds were identified as the main components of ZXC by HPLC-DAD
ESI-IT TOF-MS.
PMID- 29793325
TI - NY-SAR-35 is involved in apoptosis, cell migration, invasion and epithelial to
mesenchymal transition in glioma.
AB - Glioma is one of the most adult intracranial tumors worldwide. Cancer testis
antigens have been confirmed as new tool for immunotherapy and prognostic
biomarkers in a variety of neoplasms. NY-SAR-35 has emerged to be upregulated in
diverse human carcinomas. In this study, we aimed to investigate the role of NY
SAR-35 of clinical significance in glioma and investigate whether NY-SAR-35
correlate with malignant behaviors of glioma cells, including cell proliferation,
apoptosis, migration, invasion and epithelial-to-mesenchymal transition (EMT). As
the results showed, NY-SAR-35 was significantly upregulated in glioma clinical
samples and cell lines, and the high expression was significantly associate with
age (p = 0.05), the WHO classification (p = 0.02) and KPS score (p = 0.016).
Therefore, NY-SAR-35 could serve as an independent prognostic biomarker of glioma
patients. Moreover, increased NY-SAR-35 expression remarkably accelerated tumor
cells proliferation, restrained cells apoptosis, promoted cells metastasis and
contributed to the formation of EMT phenotype. Likewise, down-regulated NY-SAR-35
could obviously inhibit cells proliferation, promote cells apoptosis, supressed
metastasis and reverse EMT to MET. In summary, our findings showed that NY-SAR-35
serves as a novel prognostic biomarker and therapeutic target for glioma.
PMID- 29793326
TI - Procyanidins extracted from the litchi pericarp attenuate atherosclerosis and
hyperlipidemia associated with consumption of a high fat diet in apolipoprotein-E
knockout mice.
AB - The beneficial effects of red wine against cardiovascular disease are associated
with the abundant antioxidant polyphenols such as procyanidins. Recently,
procyanidins extracted from the litchi pericarp (LPPC), a new source of
procyanidins showed strong antioxidant activities in vitro, have been isolated
and identified in our laboratory. The aim of present study was to investigate the
anti-atherosclerotic effects of LPPC on atherosclerosis and hyperlipidemia in
apolipoprotein E knockout (ApoE KO) mice fed a high fat diet (HFD, 21% fat, 0.15%
cholesterol) for 24 weeks. The results showed that LPPC intervention alleviated
atherosclerosis, fat accumulation and hyperlipidemia in ApoE KO mice.
Furthermore, real-time RT-PCR results showed that LPPC can regulate several key
genes involved in hepatic lipid homeostasis, such as increasing mRNA levels of
farnesoid X receptor (FXR) and small heterodimer partner (SHP) which emerge as
key regulators of lipid homeostasis at the transcriptional level, decreasing mRNA
levels of 3-hydroxy-3-Methylglutaryl (HMG)-CoA reductase which mediates
cholestrol biosynthesis, and up-regulating the mRNA expressions of ATP-binding
cassette transporter-1 (ABCA1) which modulates cholesterol efflux. Thus, these
results elucidated that LPPC could alleviate the lipid disorder especially
hypercholesteromia and ameliorate atherosclerosis in ApoE-KO mice fed a WTD via
regulating gene expression involved in hepatic lipid homeostasis effectively.
PMID- 29793327
TI - LncRNA TUG1 promotes cell proliferation and suppresses apoptosis in osteosarcoma
by regulating miR-212-3p/FOXA1 axis.
AB - BACKGROUND: LncRNA taurine upregulated gene 1 (TUG1) was reported to act as a
possible oncogene in osteosarcoma (OS) development. However, the underlying
molecular basis of TUG1 involved in the progression of OS remains to be
thoroughly investigated. METHODS: The expressions of TUG1 and miR-212-3p in OS
tissues and cells were examined by RT-qPCR. Cell proliferation, apoptosis,
caspase-3 activity, protein levels of BCL2, Bax, and forkhead box A1 (FOXA1) were
detected by colony formation assay, MTT assay, flow cytometry analysis, caspase-3
activity assay, and western blot. Luciferase reporter assay, RNA
immunoprecipitation (RIP) assay, and RT-qPCR were used to explore the interaction
between TUG1, FOXA1 and miR-212-3p. Tumor xenograft mouse model was used to
confirm the biological role of TUG in OS in vivo. RESULTS: Elevated TUG1 and
FOXA1 expression and reduced miR-212-3p expression were observed in OS tissues
and cells. TUG1 knockdown suppressed OS cell proliferation and promoted
apoptosis. TUG1 functioned as a ceRNA of miR-212-3p and suppressed miR-212-3p
expression. miR-212-3p inhibition reversed the effect of TUG1 knockdown on OS
cell proliferation and apoptosis. In addition, FOXA1 was identified as a target
of miR-212-3p and TUG1 functioned as a ceRNA to upregulate FOXA1 by sponging miR
212-3p in OS cells. FOXA1 up-regulation abolished the effects of miR-212-3p on OS
cell proliferation and apoptosis. CONCLUSION: TUG1 promoted OS cell proliferation
and suppressed apoptosis by regulating the miR-212-3p/FOXA1 axis. Therefore,
TUG1/miR-212-3p/FOXA1 axis may be a promising therapeutic target in OS treatment.
PMID- 29793328
TI - Rosa damascena restrains Plasmodium falciparum progression in vitro and impedes
malaria pathogenesis in murine model.
AB - Malaria the parasitic disease of tropical countries is seeking newer therapeutic
strategies owing to the drug resistance to existing drugs. The pathogenesis after
infection renders the host to oxidative stress resulting in an altered immune
status. Natural products rich in phenols are a source of bio-actives that could
have a role in alleviating such condition. The present study reports the phenol
rich ethyl acetate extract from the petals of Rosa damascena (RdEa) to be active
against Plasmodium falciparum in-vitro and Plasmodium berghei in-vivo. It
restores the haemoglobin level while increasing the mean survival time and chemo
suppression in P. berghei infected mice. The HPLC characterised RdEa was found to
be rich in Gallic acid and Rutin besides other phenols. RdEa was capable of
scavenging the free radicals and modulating the pro-inflammatory mediators (IL6,
TNF, IFN and NO) favourably and also restored the architecture of hepatocytes as
evidenced through histopathology. The extract was able to arrest the
lipopolysaccharide (LPS) induced damage of J774A.1 cells (murine macrophages) and
was found to be safe in mice upto 2000 mg/kg body weight.
PMID- 29793329
TI - FGF21 and DPP-4 inhibitor equally prevents cognitive decline in obese rats.
AB - The beneficial effects of Fibroblast Growth Factor 21 (FGF21) on metabolic
function and neuroprotection have been shown in earlier research. We have
previously shown that the Dipeptidyl Peptidase 4 inhibitor, vildagliptin, also
led to improved insulin sensitivity and brain function in the obese-insulin
resistant condition. However, the comparative efficacy on the improvement of
metabolic function and neuroprotection between FGF21 and vildagliptin in the
obese-insulin resistant condition has never been investigated. Twenty-four male
Wistar rats were divided into two groups, and received either a normal diet (ND,
n=6) or a high fat diet (HFD, n=18) for 16 weeks. At week 13, the HFD-fed rats
were divided into three subgroups (n=6/subgroup) to receive either a vehicle,
recombinant human FGF21 (0.1mg/kg/day) or vildagliptin (3mg/kg/day), for four
weeks. ND-fed rats were given a vehicle for four weeks. The metabolic parameters
and brain function were subsequently investigated. The results demonstrated that
the rats fed on HFD had obese-insulin resistance, increased systemic
inflammation, brain mitochondrial dysfunction, increased brain apoptosis,
impaired hippocampal plasticity, and demonstrated cognitive decline. FGF21 and
vildagliptin effectively attenuated peripheral insulin resistance, brain
mitochondrial dysfunction, brain apoptosis and cognitive decline. However, only
FGF21 treatment led to significantly reduced body weight gain, visceral fat,
systemic inflammation, improved hippocampal synaptic plasticity, enhanced FGF21
mediated signaling in the brain leading to prevention of early cognitive decline.
These findings suggest that FGF21 exerts greater efficacy than vildagliptin in
restoring metabolic function as well as brain function in cases of obese-insulin
resistant rats.
PMID- 29793330
TI - Baicalin alleviates atherosclerosis by relieving oxidative stress and
inflammatory responses via inactivating the NF-kappaB and p38 MAPK signaling
pathways.
AB - Atherosclerosis (AS) is a chronic progressive disease related to inflammatory
reaction. Baicalin is a flavonoid isolated from Scutellaria baicalensis georgi
(Huang-qin) and exerts anti-inflammation effects in various diseases. Here, we
investigated the protective effects of baicalin treatment and the potential
mechanism in AS progression on AS mouse model. After ApoE-/- mice with high-lipid
diets had received 12 weeks' of baicalin treatment at different concentrations,
plasma lipids levels and atherosclerotic plaque areas in aorta were measured and
there exhibited a prominent improvement in the baicalin treated mice compared
with mice in AS model group. The expression of lipolysis related proteins
(PPARalpha, CPT-1) was increased while the expression of adipogenesis related
proteins (SREBP-1c, ACS) was decreased by baicalin treatment, indicating the anti
adipogenic effect of baicalin. Moreover, baicalin up-regulated the activities of
antioxidant enzymes (SOD, CAT and GSH-Px) and down-regulated the activity of
oxidative parameter MDA compared with AS model group, indicating the anti-oxidant
effect of baicalin. The increased levels of pro-inflammatory cytokines (IL-6, TNF
alpha, sVE-cadherin) induced by AS were also decreased by baicalin treatment,
indicating that baicalin acted as an anti-inflammation regulator in AS. In
addition, we further explored the potential mechanism of baicalin treatment on
AS, and found that baicalin treatment attenuated the high phosphorylation levels
of JNK, p65, p-38 and ERK1/2 induced by AS, indicating that baicalin treatment
inhibited the NF-kappaB and p38 MAPK signaling pathways in AS. In conclusion,
baicalin treatment inhibited the NF-kappaB and p38 MAPK signaling pathways,
thereby achieved its anti-adipogenic effect, anti-oxidant effect and anti
inflammation effect in a dose-dependent manner in AS.
PMID- 29793331
TI - Ameliorative effects of fruit stem extract from Muscat Bailey A against chronic
UV-induced skin damage in BALB/c mice.
AB - This study analyzed fruit stem extract (MGFE) from Muscat Bailey A grape (Vitis
labrusca * Vitis vinifera) for their ameliorative effects on Ultraviolet B (UVB)
induced skin damage in Balb/c mice. Well established in vivo assays were used to
determine the biological effects of MGFE upon UVB irradiation of BALB/c mice. The
results showed that treatment with MGFE recovered glutathione depletion,
prevented lipid peroxidation of tissues and decreased the expression of DNA
repair enzyme oxo guanine glycosylase-1. MGFE recovered the skin conditions in
UVB-irradiated Balb/c mice. Moreover, MGFE inhibited dermal infiltration of
inflammatory cells and reduced serum tumor necrosis factor alpha and interleukin
6 levels. Finally, MGFE treatment inhibited UVB-induced melanin formation and
collagen fiber destruction through the inhibition of matrix metalloproteinase-1
expression. Through high-performance liquid chromatography analysis, catechin,
epicatechin, and trans-resveratrol were found to be among the main active
compounds present in MGFE. Taken together, these results indicated that MGFE has
potentials as topical therapeutic materials against skin damage by inhibiting
oxidative stress and inflammatory.
PMID- 29793333
TI - Oleanolic acid protects against pathogenesis of atherosclerosis, possibly via FXR
mediated angiotensin (Ang)-(1-7) upregulation.
AB - Atherosclerosis, the leading cause of cardiovascular diseases in the world, is a
chronic inflammatory disorder characterized by the dysfunction of arteries.
Oleanolic acid (OA) is a bioactive nature product which exists in various plants
and herbs. Previous studies have demonstrated that OA was involved in numerous of
biological processes, including atherosclerosis. However, the exact mechanisms of
the anti-atherosclerosis effects of OA remain unknown. Here, in our study, we
analyzed the effects and possible underlying mechanisms of OA in atherosclerosis
depending a cell model and an animal model of atherosclerosis. Human umbilical
vein endothelial cells (HUVECs) were treated with oxidized low-density
lipoprotein (ox-LDL, 100 MUg/mL) for 24 h to establish an atherosclerotic cell
model. New Zealand white (NZW) rabbits were fed with high-fat (HF) diets for
three months to establish an atherosclerotic animal model. Then, cell viability
and expression of cytokines (ANG, NO, eNOS, IL-1beta, TNF-alpha, and IL-6) were
measured with CCK-8 assay and ELISA kits, cell apoptosis and cell cycle
distribution were analyzed by flow cytometry in the atherosclerotic cell model.
Results showed that ox-LDL induced effects of anti-proliferation, cytokines
alterations, and cell apoptosis were abolished by the application of OA or Ang (1
7). Further study indicated that OA increased the expression of ANG by
upregulating the FXR expression in the ox-LDL induced HUVECs arthrosclerosis
model. And the in vivo experiment in the HF diet induced animal model suggested
that OA may inhibit the development of atherosclerosis. The atherosclerosis of
aortas was assessed by Hematoxylin Eosin (HE), Oil Red O and Picrosirius Red
staining; the expression levels of total cholesterol (TC), triglycerides (TG),
low density lipoprotein cholesterol (LDL-C), and high density lipoprotein
cholesterol (HDL-C) were determined by the fully automatic biochemical analyzer,
in the atherosclerotic animal model. All the results showed that OA treatment
improved the cell viability in the cell model, inhibited the atherosclerosis
development in the animal model. OA play as an anti-atherosclerosis agent in both
the cell model and animal model by upregulating the production of Angiotensin
(Ang)-(1-7) through FXR.
PMID- 29793332
TI - Effects of penehyclidine hydrochloride on severe acute pancreatitis-associated
acute lung injury in rats.
AB - Penehyclidine hydrochloride (PHC) is a selective M1 and M3 receptor antagonist.
This study was designed to investigate the effect of PHC on acute lung injury
(ALI) induced by severe acute pancreatitis (SAP) and the expression of hypoxia
inducible factor-1alpha (HIF-1alpha) in rats. A total of 45 healthy adult male SD
rats were randomly divided into 3 groups: an S group, sham operation; an ALI
group, pancreatitis-associated acute lung injury (PALI); and a P group, PALI
treated with PHC. Rats from the ALI and P groups were used to establish a model
of acute lung injury associated with SAP by retrograde injection of 4% sodium
taurocholate into the biliopancreatic duct. Rats in the P group, reflecting acute
lung injury caused by SAP, were treated with PHC immediately following SAP. Rats
in the S and ALI groups were injected with the same amount of 0.9% sodium
chloride solution. After modeling, the rats were sacrificed at 12h. The wet/dry
weight (W/D) ratios of lung tissue were calculated. Pathological changes in
pancreatic and lung tissues were scored. The expression levels of TLR4 and NF
kappaB p65 in lung tissue were detected by Western blot. RT-PCR was used to
detect HIF-1alpha mRNA in lung tissue. The HIF-1alpha, IL-1beta, and IL-6
expression levels in lung tissues and serum amylase levels were detected by
ELISA. The results showed extensive infiltration of neutrophils, alveolar
hemorrhage and necrosis and fat necrosis in the pancreatic tissue of rats in the
PALI and P groups. Their pancreatic tissue injury scores were significantly
higher than the score of the S group (P<0.01). However, no statistically
significant difference was observed in the serum amylase levels of the P and ALI
groups (P>0.05). The W/D ratios of lung tissue in the ALI and P group rats were
significantly higher than those in the S group (P<0.05). Compared with those of
the ALI group rats, the lung tissue pathological changes of the P group were
significantly improved, and the lung W/D value was significantly lower than that
of the ALI group (P<0.05). Compared with those of the S group, the TLR4, NF
kappaB p65, HIF-1alpha mRNA, and HIF-1alpha expression levels in the lung tissue
of the ALI and P groups were significantly higher (P<0.01), and the TLR4, NF
kappaB p65, HIF-1alpha mRNA, HIF-1alpha, IL-1beta and IL-6 expression levels in
the P group were significantly lower than those in the ALI group (P<0.05). The
current work indicates that PHC could not alleviate the damage to pancreatic
tissue caused by SAP. However, PHC did suppress HIF-1alpha, IL-1beta and IL-6
expression levels and reduced the acute lung injury induced by SAP in rats, which
might depend on suppression of the expression of inflammatory factors, such as
HIF-1alpha.
PMID- 29793334
TI - The C and E subunits of the serotonin 5-HT3 receptor subtly modulate electrical
properties of the receptor.
AB - Serotonin type 3 (5-hydroxytrptamine-3, 5-HT3) receptors are ligand-gated cation
channels present in both central and peripheral nervous systems. In humans there
are five different subunits (A, B, C, D and E) of 5-HT3 receptors which can form
homomeric or heteromeric receptors that may account for discrepancies in patient
responses to treatments. The present study commences characterisation of the
profiles of human 5-HT3 receptors containing C and/or E subunits. Recombinant 5
HT3 receptors were expressed transiently in HEK293T cells and expression was
checked via immunocytochemistry staining against each epitope-tagged subunits.
Functional characterisation of different combinations of 5-HT3 receptor complexes
was studied via patch clamp whole cell recordings. In this study, increased
current was seen in cells containing A and C subunits but only subtle changes
were seen in the electrical properties of cells expressing A, AE, or ACE subunits
in response to the ligand, 5-HT. Both di- and tri-heteromeric 5-HT3 receptors
were significantly inhibited by the antagonists, ondansetron and palonosetron.
Notably, palonosetron exerted stronger and more rapid inhibition on the 5-HT3
receptor ACE tri-heteromer compared to homomeric and di-heteromeric counterparts.
This study demonstrated that the C and E subunits when assembled as simple or
complex heteromeric 5-HT3 receptors may alter efficacies of 5-HT and clinically
used antagonists such as ondansetron and palonosetron, and this in turn may have
implications for patient responses to therapies.
PMID- 29793336
TI - Computational Investigation of the Effect of Backbone Chiral Inversions on
Polypeptide Structure.
AB - Studying a set of helix-folding polyalanine peptides with systematically inserted
chiral inversions in explicit water, we investigate quantitatively the effect of
chiral perturbations on the structural ensembles of the peptides, thereby
assessing the extent to which the backbone structure is able to fold in the
presence of systematic heterochiral perturbations. Starting from the homochiral l
Ala20 peptide, we invert the backbone chiralities of Ala residues one by one
along a specific perturbation pathway, until reaching the homochiral d-Ala20
peptide. Analysis of the helical contents of the simulated structural ensembles
of the peptides shows that even a single inversion in the middle of the peptide
completely breaks the helical structure in its vicinity and drastically reduces
the helical content of the peptide. Further inversions in the middle of the
peptide monotonically decrease the original helical content, that is, the right
handed helical content for l-Ala, and increase the helical content of the
opposite chirality. Further analysis of the peptide ensembles using several size-
and shape-related order parameters also indicate the drastic global changes in
the peptide structure due to the local effects caused by the chiral inversions,
such as formation of a reverse turn. However, the degree of the structural
changes introduced by opposite chirality substitutions depends on the position of
the inversion.
PMID- 29793335
TI - Ziziphora clinopodioides ameliorated rheumatoid arthritis and inflammatory paw
edema in different models of acute and chronic inflammation.
AB - Ziziphora clinopodioides has been used in traditional medicine for its anti
inflammatory properties. Current study is believed to first time report the
potential of Z. clinopodioides extracts to ameliorate joint inflammation using
model of chronic joint inflammation (FCA-induced rheumatoid arthritis). The study
further investigates the effects on joint inflammation using acute inflammatory
paw edema models. The anti-inflammatory effects were also supported by using
xylene-induced ear edema model. Results showed that Z. clinopodioides
significantly ameliorated rheumatoid arthritis as indicated by the inhibition of
arthritic development and paw edema. Histopathological examination showed
significant attenuation in pannus formation, bone erosion, and joint
inflammation. Treatment with the plant extracts also nearly normalized counts of
RBCs, platelets, and total leukocytes along with hemoglobin (Hb) content.
Biochemical analysis (AST, ALT, urea, and creatinine) showed that plant extracts
did not possess hepatotoxic or nephrotoxic effects. Water displacement
plethysmometric analysis showed that Z. clinopodioides significantly attenuated
carrageenan-induced paw edema. To evaluate the mechanism, anti-inflammatory
effects were further evaluated using histamine- and serotonin-induced
inflammatory paw edema models. Z. clinopodioides significantly suppressed paw
edema induced by both histamine and serotonin, and also caused the inhibition of
xylene-induced ear edema. This suggested the inhibition of autacoids as one of
the mechanisms of anti-inflammatory effects of plant. GC-MS analysis showed that
the plant is rich in essential oils, including terpenoids, esters, alcohols,
furans, cyclic ketones, epoxides, oxanes, and acyclic hydrocarbons. In
conclusion, current study demonstrated that Z. clinopodioides possessed
significant anti-arthritic and anti-inflammatory properties which might be
attributed to the inhibition of autacoids.
PMID- 29793337
TI - Thermosensitive Lipid Bilayer-Coated Mesoporous Carbon Nanoparticles for
Synergistic Thermochemotherapy of Tumor.
AB - Thermochemotherapy exhibits a synergistic therapeutic efficiency for cancer, and
the sensitivity of cancer cells to chemical drugs could be increased to a large
extent at elevated temperature. In this work, a biocompatible nanocomposite
thermosensitive mesoporous carbon nanoparticles (TSMCN) was prepared by covering
a liposome on mesoporous carbon nanoparticles (MCN). The TSMCN had good
photothermal efficiency and photostability. The doxorubicin (DOX)-loaded TSMCN
(DOX/TSMCN) showed a slower release than the DOX-loaded MCN-COOH (DOX/MCN-COOH)
both in simulated tumor environment and physiological environment. And release
curves of DOX/TSMCN exposed to NIR laser exhibited the fast release property. The
confocal laser scanning microscopy results illustrated that cellular uptake of
DOX for DOX/TSMCN can be enhanced by NIR laser. The temperature of the tumor site
reached up to 51.9 degrees C within 3 min after exposure to laser at 1.25 W/cm2
power density, which is above the phase transition temperature ( Tm) of liposome
(40.7 degrees C). The biodistribution of DOX in vivo indicated that NIR laser
can prolong the retardation time of DOX in the tumor site. The results of both 3
(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide and antitumor
efficiency elucidated that the DOX/TSMCN under NIR irradiation had a synergistic
therapeutic effect for cancer. Thus, the TSMCN could be explored as a powerful
nanoplatform that shows great prospect in thermochemotherapy of tumor therapy.
PMID- 29793338
TI - Presence of Short Intermolecular Contacts Screens for Kinetic Stability in
Packing Polymorphs.
AB - Polymorphism is pervasive in molecular solids. While computational predictions of
the molecular polymorphic landscape have improved significantly, identifying
which polymorphs are preferentially accessed and experimentally stable remains a
challenge. We report a framework that correlates short intermolecular contacts
with polymorphic stability. The presence of short contacts between neighboring
molecules prevents structural rearrangement and stabilizes the packing
arrangement, even when the stabilized polymorph is not enthalpically favored. In
the absence of such intermolecular short contacts, the molecules have added
degrees of freedom for structural rearrangement, and solid-solid polymorphic
transformations occur readily. Starting with a series of core-halogenated
naphthalene tetracarboxylic diimides, we establish this framework with the
packing polymorphs of more than 20 compounds, ranging from molecular
semiconductors to pharmaceutics and biological building blocks. This framework,
widely applicable across molecular solids, can help refine computational
predictions by identifying the polymorphs that are kinetically stable.
PMID- 29793339
TI - Quercitol: From a Taxonomic Marker of the Genus Quercus to a Versatile Chiral
Building Block of Antidiabetic Agents.
AB - Quercitol is a cyclohexanepentol that has been recognized as a biomarker of
plants in genus Quercus, which includes oak. As a result of its glucose-like
structure, it has been introduced as an alternative chiral building block in the
synthesis of several bioactive compounds. Our continuing investigations on the
synthesis of antidiabetic agents from quercitol have demonstrated that this
chiral synthon can generate diverse structural features with improved
hypoglycemic activity.
PMID- 29793340
TI - Identification of MS-Cleavable and Noncleavable Chemically Cross-Linked Peptides
with MetaMorpheus.
AB - Protein chemical cross-linking combined with mass spectrometry has become an
important technique for the analysis of protein structure and protein-protein
interactions. A variety of cross-linkers are well developed, but reliable, rapid,
and user-friendly tools for large-scale analysis of cross-linked proteins are
still in need. Here we report MetaMorpheusXL, a new search module within the
MetaMorpheus software suite that identifies both MS-cleavable and noncleavable
cross-linked peptides in MS data. MetaMorpheusXL identifies MS-cleavable cross
linked peptides with an ion-indexing algorithm, which enables an efficient large
database search. The identification does not require the presence of signature
fragment ions, an advantage compared with similar programs such as XlinkX. One
complication associated with the need for signature ions from cleavable cross
linkers such as DSSO (disuccinimidyl sulfoxide) is the requirement for multiple
fragmentation types and energy combinations, which is not necessary for
MetaMorpheusXL. The ability to perform proteome-wide analysis is another
advantage of MetaMorpheusXL compared with programs such as MeroX and DXMSMS.
MetaMorpheusXL is also faster than other currently available MS-cleavable cross
link search software programs. It is imbedded in MetaMorpheus, an open-source and
freely available software suite that provides a reliable, fast, user-friendly
graphical user interface that is readily accessible to researchers.
PMID- 29793341
TI - Exploring Molecular-Biomembrane Interactions with Surface Plasmon Resonance and
Dual Polarization Interferometry Technology: Expanding the Spotlight onto
Biomembrane Structure.
AB - The molecular analysis of biomolecular-membrane interactions is central to
understanding most cellular systems but has emerged as a complex technical
challenge given the complexities of membrane structure and composition across all
living cells. We present a review of the application of surface plasmon resonance
and dual polarization interferometry-based biosensors to the study of biomembrane
based systems using both planar mono- or bilayers or liposomes. We first describe
the optical principals and instrumentation of surface plasmon resonance,
including both linear and extraordinary transmission modes and dual polarization
interferometry. We then describe the wide range of model membrane systems that
have been developed for deposition on the chips surfaces that include planar,
polymer cushioned, tethered bilayers, and liposomes. This is followed by a
description of the different chemical immobilization or physisorption techniques.
The application of this broad range of engineered membrane surfaces to
biomolecular-membrane interactions is then overviewed and how the information
obtained using these techniques enhance our molecular understanding of membrane
mediated peptide and protein function. We first discuss experiments where SPR
alone has been used to characterize membrane binding and describe how these
studies yielded novel insight into the molecular events associated with membrane
interactions and how they provided a significant impetus to more recent studies
that focus on coincident membrane structure changes during binding of peptides
and proteins. We then discuss the emerging limitations of not monitoring the
effects on membrane structure and how SPR data can be combined with DPI to
provide significant new information on how a membrane responds to the binding of
peptides and proteins.
PMID- 29793343
TI - ACS Sensors Hits the Road.
PMID- 29793344
TI - Impact of a remotely delivered, writing for publication program on publication
outcomes of novice researchers.
AB - INTRODUCTION: Increased publication of clinician-led health research is important
for improving patient care and health outcomes. The aim of this retrospective
cohort study conducted in rural Australia was to determine the impact of a
writing for publication (WFP) program delivered by teleconference on the
publication rates and skill acquisition of novice researchers who have graduated
from the New South Wales (NSW) Health Education and Training Institute Rural
Research Capacity Building Program (RRCBP). METHODS: Between 2012 and 2015, eight
WFP 'bootcamp' programs were offered by the New South Wales Health Education and
Training Institute to 112 RRCBP graduates, resulting in 50 participants
completing at least one bootcamp. Participants completed a once-weekly WFP group
teleconference for six consecutive weeks, and were expected to complete homework
activities between sessions and participate in two follow-up teleconferences
within 3 months of program conclusion. The primary outcome measure was manuscript
publication resulting from participation in bootcamp, with secondary measures
being changes in skills, knowledge and confidence in WFP, publication rate and
cost per publication. RESULTS: Twenty-one participants (42%) published their
bootcamp paper or a related paper that directly resulted from bootcamp WFP
skills. Five other participants submitted their bootcamp manuscript for
publication, but had not yet had it accepted for publication. The overall
publication rate of RRCBP graduates who completed bootcamp was 0.80 compared to
0.23 who did not complete bootcamp. On a 1 to 5 scale, mean scores increased for
writing (knowledge, experience, confidence) from 2.0 to 3.5 (p<0.01) and for
publishing from 1.1 to 3.4 (p<0.01). The estimated cost incurred by the RRCBP to
deliver the program was $230 per publication. CONCLUSION: WFP workshops delivered
by teleconference support rural clinician researchers to improve their skills in
writing and publishing. A remotely conducted WFP program was effective in
increasing publication rates among novice researchers who had conducted a
clinically based research project. This shows that novice researchers respond to
similar intervention features as experienced researchers do when engaging with
WFP, and that WFP outcomes can be increased substantially with modest investment
of funding and resources by the host organisation.
PMID- 29793346
TI - Accurate Patient Selection for Percutaneous Coronary Intervention for Coronary
Chronic Total Occlusions.
PMID- 29793345
TI - Dignity Impact as a Primary Outcome Measure for Dignity Therapy.
AB - BACKGROUND: Feasibility of dignity therapy (DT) is well established in palliative
care. Evidence of its efficacy, however, has been inconsistent and may stem from
DT's primary effects differing from the outcomes measured in previous studies. We
proposed that DT effects were in the spiritual domain and created a new outcome
measure, Dignity Impact Scale (DIS), from items previously used in a large
randomized controlled trial (RCT). OBJECTIVE: The purpose of this secondary
analysis study was to examine properties of a new measure of dignity impact.
DESIGN: Using the DIS, we conducted reanalysis of posttest data from a large 3
arm, multi-site RCT study. SETTING/PARTICIPANTS: Participants were receiving
hospice/palliative care (n = 326, 50.6% female, mean age = 65.1 years, 89.3%
white, all with a terminal illness with 6 months or less life expectancy). They
had been randomized to standard palliative care (n = 111), client-centered care
(n = 107), or DT (n = 108). MEASUREMENT: The 7-item DIS was derived from selected
items in a posttest DT Patient Feedback Questionnaire. The DIS had strong
internal consistency (alpha = 0.85). RESULTS: The DT group mean DIS score (21.4
+/- 5.0) was significantly higher than the usual care group mean score (17.7 +/-
5.5; t = 5.2, df = 216, P < .001) and a client-centered intervention group mean
score (17.9 +/- 4.9; t = 5.2, df = 213, P < .001). CONCLUSION: We found that,
compared to both other groups, patients who received DT reported significantly
higher DIS ratings, which is consistent with the DT focus on meaning-making,
preparation for death, and life completion tasks. We propose that the DIS be used
as the primary outcome measure in evaluating the effects of DT.
PMID- 29793347
TI - Effect of beta-blocker Therapy on Hospital Readmission and Mortality in Heart
Failure Patients With Concurrent Cocaine Use.
AB - BACKGROUND: beta-Blockers are first-line agents for reduction in symptoms,
hospitalization, and mortality in patients with heart failure having reduced
ejection fraction (HFrEF). However, the safety and efficacy of continuous beta
blocker therapy (BBT) in patients who actively use cocaine remain controversial,
and available literature is limited. We aimed to evaluate the effect of BBT on
hospital readmission and mortality in patients having HFrEF with concurrent
cocaine use. METHODS: We conducted a retrospective study of patients with a
diagnosis of HFrEF between 2011 and 2014 based on International Classification of
Diseases 9-Clinical Modification codes. We included patients aged 18 and older
who tested positive for cocaine on a urine toxicology test obtained at the time
of index admission. Patients were followed for 1 year. Multivariate logistic
regression was used to assess the effect of BBT on the 30-day, all-cause and
heart failure-related readmissions. RESULTS: The 30-day readmission rates for BBT
versus no BBT groups were 20% versus 41% (odds ratio [OR]: 0.17, 95% confidence
interval [CI] = 0.05-0.56, P = .004) for heart failure-related readmissions and
25% versus 46% (OR: 0.19, 95% CI = 0.06-0.64, P = .007) for all-cause
readmissions. CONCLUSION: The BBT reduced 30-day, all-cause and heart failure
related readmission rate but not 1-year mortality in patients having HFrEF with
concurrent cocaine use.
PMID- 29793348
TI - Cooking loss, tenderness, and sensory evaluation of chicken meat roasted after
wrapping with edible films.
AB - In this study, edible films were produced from sodium caseinate and a sodium
caseinate-starch mixture and with or without oleoresins (cumin and oregano
oleoresin mixture). Chicken meat was wrapped in the respective films, stored at 4
C for four days, and roasted at 200 C for 30 min. The cooking loss, color
changes, instrumental tenderness (shear force and energy) were measured. In
addition, sensory evaluation was performed. All films effectively reduced cooking
loss from chicken meat. The sodium caseinate-starch-based films were the most
successful in preventing cooking loss. The average shear force and shear energy
values of the wrapped samples were about 40% and 30% less than those of control
samples, respectively. In sensory evaluation, chicken meat roasted after wrapping
with the films was considered more tender and delicious than the control.
Particularly, chicken meat wrapped with the films containing oleoresin mixture
was assessed as the most delicious among the samples. It was shown that the
cooking quality of the chicken meat could be significantly improved by pre
wrapping the meat with edible films.
PMID- 29793350
TI - Abuse Characteristics and the Concordance of Child Protective Service
Determinations and Adolescent Self-Reports of Abuse.
AB - This study examines the concordance of abuse self-reported by adolescents at 18
years and child protective service (CPS) determinations and how abuse
characteristics predict concordance. It includes 819 youths participating in 18
year interviews of the Longitudinal Study of Child Abuse and Neglect (LONGSCAN).
Cross-tabulations revealed low correspondence between self-reports and CPS
determinations of physical, sexual, and emotional abuse. Logistic regression
identified that among youths with CPS physical abuse determinations, White race,
chronicity, and co-occurring neglect were positively associated with
corresponding self-reports. Co-occurring CPS-determined emotional abuse was more
concordant with self-reports. More frequent self-reported physical abuse was
associated with corresponding CPS determinations. Self-reports of childhood
emotional abuse and perpetration by nonparental family/other household members
were positively associated with corresponding CPS determinations. CPS
determination concordance also varied significantly by LONGSCAN site. Results
demonstrate differences in abuse characteristics captured by CPS data and youth
self-report, which may impact research findings on abuse correlates.
PMID- 29793351
TI - Bacterial regulatory RNAs: complexity, function, and putative drug targeting.
AB - Over the past decade, RNA-deep sequencing has uncovered copious non-protein
coding RNAs (npcRNAs) in bacteria. Many of them are key players in the regulation
of gene expression, taking part in various regulatory circuits, such as metabolic
responses to different environmental stresses, virulence, antibiotic resistance,
and host-pathogen interactions. This has contributed to the high adaptability of
bacteria to changing or even hostile environments. Their mechanisms include the
regulation of transcriptional termination, modulation of translation, and
alteration of messenger RNA (mRNA) stability, as well as protein sequestration.
Here, the mechanisms of gene expression by regulatory bacterial npcRNAs are
comprehensively reviewed and supplemented with well-characterized examples. This
class of molecules and their mechanisms of action might be useful targets for the
development of novel antibiotics.
PMID- 29793352
TI - Is there a role for minimally invasive thermal ablations in the treatment of
autonomously functioning thyroid nodules?
PMID- 29793353
TI - Developing, Planning and Conducting an Interim Analysis: Lessons From the DEVOTE
Cardiovascular Outcomes Trial (Trial Comparing Cardiovascular Safety of Insulin
Degludec Versus Insulin Glargine in Patients With Type 2 Diabetes at High Risk of
Cardiovascular Events).
AB - BACKGROUND: In 2013, a randomized, double-blind, active comparator-controlled,
event-driven cardiovascular outcomes trial (DEVOTE) was initiated to compare the
cardiovascular safety of insulin degludec (degludec) versus insulin glargine 100
units/mL (glargine U100) in patients with type 2 diabetes at high risk of
cardiovascular events. The FDA agreed that an interim analysis could form the
basis for an early regulatory approval. We report here the operational model
developed to support the DEVOTE interim analysis and the results. METHODS: The
interim analysis model was designed to reduce the risk of any confidentiality
breaches. The Data Access Management Plan comprehensively described the interim
analysis operational processes and procedures to maintain the integrity of the
ongoing trial while the interim analysis was conducted, submitted, and acted upon
by the FDA, and also until completion of the full trial. Most importantly, those
who were unblinded to the interim results were limited to a team of 14 members.
RESULTS: A total of 150 first major adverse cardiovascular events were recorded
at cut-off for the interim analysis. The estimated hazard ratio was 0.92 (95% CI
0.67, 1.27) and non-inferiority to glargine U100 was confirmed as the upper bound
of the confidence interval was below 1.8, as prespecified. Based on these
results, the FDA approved the use of degludec and degludec/insulin aspart
(IDegAsp) in the United States in 2015 before trial completion. CONCLUSIONS: The
DEVOTE interim analysis succeeded as a model by which to conduct an interim
analysis and submit confidential data for regulatory review and action while
continuing the trial to address a primary hypothesis.
PMID- 29793354
TI - A potential biotechnological process for the sustainable production of vitamin
K1.
AB - The primary objective of this review is to propose an approach for the
biosynthesis of phylloquinone (vitamin K1) based upon its known sources, its role
in photosynthesis and its biosynthetic pathway. The chemistry, health benefits,
market, and industrial production of vitamin K are also summarized. Vitamin K
compounds (K vitamers) are required for the normal function of at least 15
proteins involved in diverse physiological processes such as coagulation, tissue
mineralization, inflammation, and neuroprotection. Vitamin K is essential for the
prevention of Vitamin K Deficiency Bleeding (VKDB), especially in neonates.
Increased vitamin K intake may also reduce the severity and/or risk of bone
fracture, arterial calcification, inflammatory diseases, and cognitive decline.
Consumers are increasingly favoring natural food and therapeutic products.
However, the bulk of vitamin K products employed for both human and animal use
are chemically synthesized. Biosynthesis of the menaquinones (vitamin K2) has
been extensively researched. However, published research on the biotechnological
production of phylloquinone is restricted to a handful of available articles and
patents. We have found that microalgae are more suitable than plant cell cultures
for the biosynthesis of phylloquinone. Many algae are richer in vitamin K1 than
terrestrial plants, and algal cells are easier to manipulate. Vitamin K1 can be
efficiently recovered from the biomass using supercritical carbon dioxide
extraction.
PMID- 29793355
TI - Causalities.
PMID- 29793356
TI - Ongoing pregnancies in patients with unexplained recurrent pregnancy loss:
adverse obstetric outcomes.
AB - To investigate the incidence of adverse pregnancy outcomes in couples with an
unexplained Recurrent Pregnancy Loss (RPL) history, a retrospective cohort study
was conducted between 2014 and 2015. The study group (A) included couples with an
unexplained RPL, and the control group (B) was composed of couples who attended
the Low-Risk Antenatal Unit during the same period. On the other hand, 53 couples
were included in the study group (A) and on the other hand, 65 in the control
group (B). Women with previous unexplained recurrent pregnancies loss had a
significantly increased risk of gestational diabetes with 12 cases (22.6%) in the
study group and 3 cases (4.6%) in the control (OR: 6.048; 95% CI: 1.607-22.762; p
= 0.007). A slight increase in the risk of preterm delivery and hepatic
cholestasis was observed in the study group (6 cases, 11.3%, in study group and 1
case, 1.5% in the controls (OR: 8.170; 95% CI: 0.951-70.158; p = 0.0555). Women
with a history of RPL delivered more frequently by caesarean section (OR: 3.252;
95% CI: 1.460-7.241; p = 0.0039). Women with a history of RPL were at an
increased risk for adverse pregnancy outcomes, mainly gestational diabetes.
Therefore, a closer surveillance during the antenatal period is recommended in
this group of patients.
PMID- 29793357
TI - Large-Scale Food Fortification and Biofortification in Low- and Middle-Income
Countries: A Review of Programs, Trends, Challenges, and Evidence Gaps.
AB - BACKGROUND: Food fortification and biofortification are well-established
strategies to address micronutrient deficiencies in vulnerable populations.
However, the effectiveness of fortification programs is not only determined by
the biological efficacy of the fortified foods but also by effective and
sustainable implementation, which requires continual monitoring, quality
assurance and control, and corrective measures to ensure high compliance.
OBJECTIVE: To provide an overview of efficacy, effectiveness, economics of food
fortification and biofortification, and status of and challenges faced by large
scale food fortification programs in low- and middle-income countries (LMIC).
METHODS: A literature review of PubMed publications in English from 2000 to 2017,
as well as gray literature, targeting nongovernmental organizations whose work
focuses on this topic, complemented by national reports and a "snowball" process
of citation searching. The article describes remaining technical challenges,
barriers, and evidence gap and prioritizes recommendations and next steps to
further accelerate progress and potential of impact. RESULTS: The review
identifies and highlights essential components of successful programs. It also
points out issues that determine poor program performance, including lack of
adequate monitoring and enforcement and poor compliance with standards by
industry. CONCLUSIONS: In the last 17 years, large-scale food fortification
initiatives have been reaching increasingly larger segments of populations in
LMIC. Large-scale food fortification and biofortification should be part of other
nutrition-specific and nutrition-sensitive efforts to prevent and control
micronutrient deficiencies. There are remaining technical and food system
challenges, especially in relation to improving coverage and quality of delivery
and measuring progress of national programs.
PMID- 29793359
TI - Molecular cell biology and advanced microscopy: an interview with Joshua Z.
Rappoport.
AB - Dr Joshua Z Rappoport, PhD, speaks to Nawsheen Boodhun, Managing Editor.
Rappoport completed his bachelor's degree in Biology at Brown University (RI,
USA). He then went on to earn a PhD from the Program in Mechanisms of Disease and
Therapeutics at the Mount Sinai School of Medicine Graduate School of Biological
Sciences of New York University (USA). Rappoport spent the early parts of his
career working as a postdoctoral researcher at the Laboratory of Cellular
Biophysics based in The Rockefeller University (NY, USA). He was subsequently
recruited as a tenured faculty member to work as part of the School of
Biosciences at the University of Birmingham (UK). 2014 marked the return of
Rappoport to the USA, where he is currently a Research Professor in Molecular
Cell Biology at the Northwestern University Feinberg School of Medicine (IL,
USA). He is also the Director of the Center for Advanced Microscopy (CAM) and
Nikon Imaging Center (NIC), a large core facility consisting of eight members of
staff that support around 200 different laboratories.
PMID- 29793358
TI - The Association Between beta-Blocker Use and Cardiorespiratory Fitness: The
Maastricht Study.
AB - PURPOSE: beta-Blockers (BBs) have been associated with a reduced
cardiorespiratory fitness (CRF). This is possibly caused by inhibition of beta2
receptors in the airways. However, there are limited data available on beta
receptor selectivity and CRF. We therefore aimed to assess the association
between BB use and CRF and to assess the association between beta-receptor
selectivity and CRF. METHODS: Participants in the Maastricht Study were aged
between 40 and 75 years. Exposure to BB use was determined by use of pharmacy
records. General linear models were used to obtain adjusted means of 2 proxies
for CRF: covered distance during the 6-minute walk test (6MWT) and estimated
maximum power output adjusted for body mass ( Wmax kg-1) during the submaximal
cycle ergometer test. Adjusted means were compared between current, past, and
never BB users. Current users were subsequently stratified by beta-receptor
selectivity and dose. RESULTS: Compared to never use, current use was associated
with a lower CRF, based on the 6MWT (current use: 569.7 m; never use: 580.4 m [ P
= .010]), but not based on the cycling test (current use: 2.14 W kg-1; never use:
2.13 W kg-1 [ P = .690]). There was no difference between current selective and
current nonselective BB use. CONCLUSION: beta-Blockers use was associated with
CRF based on the 6MWT but not the cycling test. There was no difference between
current selective and nonselective BB users, possibly due to the small number of
nonselective BB users, differential underlying diseases, other pharmacological
properties, and limitations related to the proxies of the outcome.
PMID- 29793360
TI - Protein analysis: key to the future.
AB - Protein analysis is crucial to elucidating the function of proteins and
understanding the impact of their presence, absence and alteration. This is key
to advancing knowledge about diseases, providing the opportunity for biomarker
discovery and development of therapeutics. In this issue of Tech News, Nawsheen
Boodhun explores the various means of protein analysis.
PMID- 29793361
TI - A probe directed recombinase amplification assay for detection of MTHFR A1298C
polymorphism associated with congenital heart disease.
AB - Single nucleotide polymorphisms (SNPs) play an important role in susceptibility
to complex diseases, treatment efficacy and adverse drug responses. Conventional
methods to detect SNPs are usually based on PCR or DNA sequencing, which are
typically time-consuming and require sophisticated equipment. In this proof-of
concept study, a probe-directed recombinase amplification (PDRA) assay was
developed to detect the A1298C polymorphism of 5,10-methylenetetrahydrofolate
reductase (MTHFR). The PDRA assay included two real-time reactions to detect the
A and C nucleotides of A1298C polymorphism. Each reaction contained only one
primer and one probe and was finished at 39 degrees C within 35 min. The results
of genotyping of 150 clinical samples using PDRA were completely consistent with
those by direct sequencing. Additionally, when the 1000 Genomes Project HCB
frequencies were used as the control group, MTHFR A1298C was found to be
associated with congenital heart disease. In conclusion, the proposed novel PDRA
assay is a valuable tool for the detection of SNPs and demonstrates significant
potential to be widely applicable in both research and clinical settings.
PMID- 29793362
TI - Quantifying cell free DNA in urine: comparison between commercial kits, impact of
gender and inter-individual variation.
AB - DNA can enter the blood circulation from living cells by extracellular vesicles
or at cell death, and pass into urine through the kidney barrier. Urine can be
collected non-invasively, making it an interesting source of cell-free DNA
(cfDNA) for research studies and ultimately for clinical diagnostics. However,
there is currently a lack of data on the quantity and variability of cfDNA in
urine. Here, we benchmark two commercial urine cfDNA isolation kits with respect
to the quantity of DNA, the labor time, and cost. The results show distinctive
differences between each kit. Furthermore, the cfDNA amount from the same
probands varied strongly from day to day and may be higher in female samples than
in male samples (p = 0.003).
PMID- 29793363
TI - Detection of proteolytic activity by covalent tethering of fluorogenic substrates
in zymogram gels.
AB - Current zymographic techniques detect only a subset of known proteases due to the
limited number of native proteins that have been optimized for incorporation into
polyacrylamide gels. To address this limitation, we have developed a technique to
covalently incorporate fluorescently labeled, protease-sensitive peptides using
an azido-PEG3-maleimide crosslinker. Peptides incorporated into gels enabled
measurement of MMP-2, -9, -14, and bacterial collagenase. Sensitivity analysis
demonstrated that use of peptide functionalized gels could surpass detection
limits of current techniques. Finally, electrophoresis of conditioned media from
cultured cells resulted in the appearance of several proteolytic bands, some of
which were undetectable by gelatin zymography. Taken together, these results
demonstrate that covalent incorporation of fluorescent substrates can greatly
expand the library of detectable proteases using zymographic techniques.
PMID- 29793364
TI - You asked, we listened - get ready for more tips, tricks & discussion from
BioTechniques.
PMID- 29793365
TI - The association between neonatal head circumference and second stage duration.
AB - PURPOSE: To determine if head circumference (HC) is an independent factor
influencing second stage duration stratified by parity and epidural use.
MATERIALS AND METHODS: A retrospective cohort analysis of all live, singleton,
term (37-42 weeks) vaginal deliveries in one university affiliated medical center
(2012-2014). Exclusion criteria included operative deliveries due to fetal
distress, major fetal anomalies/chromosomal abnormalities or cases with missing
anthropometric data. Maternal demographics, labor characteristics and neonatal
anthropometrics including birth weight and HC were retrieved. Multivariate linear
regression was utilized to evaluate the association between HC and second stage
duration. Analysis was stratified into four groups by parity and epidural use.
RESULTS: Of the 16 240 singleton vaginal deliveries during study period, 12 428
deliveries met inclusion criteria. Stratification by parity and epidural
analgesia yielded four groups: 3337 (26.9%), 735 (5.9%), 5099 (41.0%) and 3257
(26.2%) deliveries - nullipara with/without epidural and multipara with/without
epidural, respectively. In all groups, a large neonatal HC was significantly and
independently associated with longer second stage duration: nullipara with
epidural (beta 10.06, 95% CI 7.75-12.37), nullipara without epidural (beta 7.58,
95% CI 4.73-10.43), multipara with epidural (beta 4.64, 95%CI 3.47-5.8) and
multipara without epidural (beta 1.35, 95% CI 0.76-1.94), p < .001 for all. Birth
weight was not associated with second stage duration in any of the groups (p >
.05). CONCLUSION: Large neonatal HC is significantly associated with longer
second stage duration.
PMID- 29793366
TI - Noninvasive prenatal testing (NIPT) detects variant of Turner syndrome not
detectable by fluorescent in situ hybridization.
AB - INTRODUCTION: Noninvasive prenatal testing (NIPT) is a reliable screening method
for fetal aneuploidy detection of trisomy 18, 13, 21 along with few sex
chromosome abnormalities monosomy X, XXX, XXY (Klinefelter), XYY (Jacob)
syndromes and certain microdeletions which include cri-du-chat, DiGeorge, 1p36,
Angelman, and Prader-Willi syndromes in comparison to the available screening
methods. Prenatal screening of Turners syndrome is possible by ultrasound in
certain conditions only. Recently benefits of early detection and treatment of
Turners syndrome has been emphasized, enforcing on accurate and early screening
prenatally. CASE DETAILS: The current case emphasizes on the reliability of NIPT
testing which comes with an advantage of early screening. A 24-year-old primi
gravida was referred for NIPT as she tested for high risk on biochemical
screening. The PanoramaTM NIPT results showed low risk for trisomies, 21, 18, and
13 but high risk of monosomy X and was advised confirmatory amniocentesis. The
fluorescence in situ hybridization (FISH) report revealed no numerical
abnormality detected for any of the five chromosomes tested. On receiving this
discordant report, the sample was rerun for NIPT, to rule out any laboratory
related issues. The result obtained on a rerun was consistent with the first
report and showed monosomy X again. The karyotype report was available three
weeks later and a rare variant of Turners syndrome was identified. DISCUSSION:
PanoramaTM NIPT considers single nucleotide polymorphisms spread across the
chromosomes for analysis, different variants of aneuploidy can be picked up in
comparison to FISH, similar to the current case wherein it could not as it was a
centromeric probe. Reported first case of X chromosome variant detected by NIPT
confirmed by karyotyping, missed by FISH.
PMID- 29793368
TI - Maxillo-occipital line: a sonographic marker for screening of open spina bifida
in the first trimester of pregnancy.
AB - OBJECTIVE: To describe a new first-trimester sonographic landmark the maxillo
occipital line which may be useful for early screening of open spina bifida.
METHODS: Maxillo-occipital line was prospectively evaluated in 100 low-risk
pregnancies at the time of first-trimester sonographic screening examination
between 11 and 13 + 6 weeks' gestation. All the pregnant women subsequently had a
normal second-trimester scan and normal outcomes. Midsagittal brain images of 14
fetuses with known diagnosis of open spina bifida were evaluated retrospectively
to review the maxillo-occipital line. RESULTS: None of the 100 fetuses evaluated
prospectively with the maxillo-occipital line below the junction of the midbrain
and brain stem were affected by open spina bifida. The aqueduct of Silvius to
occiput distance measurement was not obtained in five cases. In all, 14 cases
with a diagnosis of open spina bifida, the junction between the midbrain and
brain stem, were below the maxilla-occipital line. CONCLUSION: Maxillo-occipital
line is an easy addition to the evaluation of first-trimester screening of open
spina bifida. Further studies are needed to determine the false-positive and
false-negative rates of this technique.
PMID- 29793367
TI - Dimethylarginine dimethylaminohydrolase (DDAH) overexpression enhances wound
repair in airway epithelial cells exposed to agricultural organic dust.
AB - OBJECTIVE: Workers exposed to dusts from concentrated animal feeding operations
have a high prevalence of pulmonary diseases. These exposures lead to chronic
inflammation and aberrant airway remodeling. Previous work shows that activating
cAMP-dependent protein kinase (PKA) enhances airway epithelial wound repair while
activating protein kinase C (PKC) inhibits wound repair. Hog barn dust extracts
slow cell migration and wound repair via a PKC-dependent mechanism. Further,
blocking nitric oxide (NO) production in bronchial epithelial cells prevents PKA
activation. We hypothesized that blocking an endogenous NO inhibitor, asymmetric
dimethylarginine, by overexpressing dimethylarginine dimethylaminohydrolase
mitigates the effects of hog dust extract on airway epithelial would repair.
MATERIALS/METHODS: We cultured primary tracheal epithelial cells in monolayers
from both wild-type (WT) and dimethylarginine dimethylaminohydrolase
overexpressing C57Bl/6 (DDAH1 transgenic) mice and measured wound repair using
the electric cell impedance sensing system. RESULTS: Wound closure in epithelial
cells from WT mice occurred within 24 h in vitro. In contrast, treatment of the
WT cell monolayers with 5% hog dust extract prevented significant NO-stimulated
wound closure. In cells from DDAH1 transgenic mice, control wounds were repaired
up to 8 h earlier than seen in WT mice. A significant enhancement of wound repair
was observed in DDAH cells compared to WT cells treated with hog dust extract for
24 h. Likewise, cells from DDAH1 transgenic mice demonstrated increased NO and
PKA activity and decreased hog dust extract-stimulated PKC.
DISCUSSION/CONCLUSION: Preserving the NO signal through endogenous inhibition of
asymmetric dimethylarginine enhances wound repair even in the presence of dust
exposure.
PMID- 29793369
TI - Gaussian Discriminant Analysis for Optimal Delineation of Mild Cognitive
Impairment in Alzheimer's Disease.
AB - Over the past few years, several approaches have been proposed to assist in the
early diagnosis of Alzheimer's disease (AD) and its prodromal stage of mild
cognitive impairment (MCI). Using multimodal biomarkers for this high-dimensional
classification problem, the widely used algorithms include Support Vector
Machines (SVM), Sparse Representation-based classification (SRC), Deep Belief
Networks (DBN) and Random Forest (RF). These widely used algorithms continue to
yield unsatisfactory performance for delineating the MCI participants from the
cognitively normal control (CN) group. A novel Gaussian discriminant analysis
based algorithm is thus introduced to achieve a more effective and accurate
classification performance than the aforementioned state-of-the-art algorithms.
This study makes use of magnetic resonance imaging (MRI) data uniquely as input
to two separate high-dimensional decision spaces that reflect the structural
measures of the two brain hemispheres. The data used include 190 CN, 305 MCI and
133 AD subjects as part of the AD Big Data DREAM Challenge #1. Using 80% data for
a 10-fold cross-validation, the proposed algorithm achieved an average F1 score
of 95.89% and an accuracy of 96.54% for discriminating AD from CN; and more
importantly, an average F1 score of 92.08% and an accuracy of 90.26% for
discriminating MCI from CN. Then, a true test was implemented on the remaining
20% held-out test data. For discriminating MCI from CN, an accuracy of 80.61%, a
sensitivity of 81.97% and a specificity of 78.38% were obtained. These results
show significant improvement over existing algorithms for discriminating the
subtle differences between MCI participants and the CN group.
PMID- 29793370
TI - Evaluating Screening Tests for Depression in Post-Stroke Older Adults.
AB - BACKGROUND: Uncertainty surrounds which screening test to use in older patients
with poststroke depression, in whom symptoms of depression are more complex and
often occur in conjunction with other comorbidities. We evaluated screening tests
for depression among a cohort of older ambulatory individuals with comorbid
ischemic heart disease and prior stroke. METHODS: We administered 4 depression
screening instruments to 148 participants with ischemic heart disease and self
reported stroke from The Heart and Soul Study. Instruments included the 10-item
Center for Epidemiologic Studies Depression Scale (CES-D), 9-item and 2-item
versions of the Patient Health Questionnaire (PHQ-9 and PHQ-2), and the Whooley
questions, a 2-item yes/no questionnaire. We administered the computerized
version of the National Institute of Mental Health Diagnostic Interview Schedule
as a gold standard. RESULTS: Of the 148 participants, 35 (24%) had major
depression. The Whooley questions demonstrated the highest sensitivity for
detection (89%), followed by the CES-D (80%), PHQ-2 with cut point >=2 (79%), PHQ
9 (51%), and PHQ-2 with cut point >=3 (32%). The Whooley questions had a
specificity of 0.66, a positive likelihood ratio of 2.61, and a negative
likelihood ratio of 0.82. We observed no significant difference in the area under
the receiver operating characteristic curve across the 4 instruments. CONCLUSION:
In a cohort of ambulatory older adults with coronary heart disease and prior
stroke, depression occurred in a fourth of the participants. The simple Whooley
questions screening instrument can efficiently detect depression with a high
sensitivity in this population, one representative of older patients commonly
encountered within a primary care setting.
PMID- 29793371
TI - Response to: Is there a superior simulator for human anatomy education? How
virtual dissection can overcome the anatomic and pedagogic limitations of
cadaveric dissection.
PMID- 29793372
TI - The Dynamic Brain Networks of Motor Imagery: Time-Varying Causality Analysis of
Scalp EEG.
AB - Motor imagery (MI) requires subjects to visualize the requested motor behaviors,
which involves a large-scale network that spans multiple brain areas. The
corresponding cortical activity reflected on the scalp is characterized by event
related desynchronization (ERD) and then by event-related synchronization (ERS).
However, the network mechanisms that account for the dynamic information
processing of MI during the ERD and ERS periods remain unknown. Here, we combined
ERD/ERS analysis with the dynamic networks in different MI stages (i.e. motor
preparation, ERD and ERS) to probe the dynamic processing of MI information. Our
results show that specific dynamic network structures correspond to the ERD/ERS
evolution patterns. Specifically, ERD mainly shows the contralateral networks,
while ERS has the symmetric networks. Moreover, different dynamic network
patterns are also revealed between the two types of MIs, in which the left-hand
MIs exhibit a relatively less sustained contralateral network, which may be the
network mechanism that accounts for the bilateral ERD/ERS observed for the left
hand MIs. Similar to the network topologies, the three MI stages also appear to
be characterized by different network properties. The above findings all
demonstrate that different MI stages that involve specific brain networks for
dynamically processing the MI information.
PMID- 29793374
TI - Comment on: Prevalence of depressive symptoms among medical students taught using
problem-based learning versus traditional methods.
PMID- 29793373
TI - Surgical treatment of cervical subaxial intraspinal extradural cysts using a full
endoscopic uniportal posterior approach.
AB - PURPOSE: Symptomatic intraspinal extradural cysts of the cervical subaxial spine
are rare, but usually require surgery. Conventional posterior decompression is
the gold standard. However, there is increasing experience with endoscopic
surgical techniques. The purpose of the study is to evaluate the technical
implementation and outcomes of a full-endoscopic uniportal technique via the
posterior approach in patients with symptomatic intraspinal extradural cysts of
the cervical subaxial spine. METHODS: Seven consecutive patients with a subaxial
location of symptomatic intraspinal extradural cysts were decompressed in a full
endoscopic uniportal technique via the posterior approach between 2009 and 2015.
Imaging and clinical data were collected in follow-up examinations for 18 months.
RESULTS: In all cases, the cyst was completely removed and adequate decompression
was achieved using the full-endoscopic uniportal technique. One patient developed
a dural leak that was sutured and covered intraoperatively. No other
complications requiring treatment were observed. All patients had a good clinical
outcome with stable regression of the radicular and central nerve pain or
neurological deficits. The imaging follow-up showed sufficient decompression in
all cases. No evidence was found of increasing instability during the follow-up
period. CONCLUSION: The full-endoscopic uniportal operation with a posterior
approach allows the resection of the cyst and can minimize trauma and
destabilization and has technical benefits and a low complication rate. It is an
alternative surgical method that can offer advantages and is considered by the
authors to be the surgical technique of choice for cervical subaxial intraspinal
extradural cysts.
PMID- 29793375
TI - Infective Endocarditis Associated With Varicella Zoster Virus Following Aortic
Valve Repair.
AB - We describe the management and clinical course of two children with congenital
bicuspid aortic valve. Neo-tricuspidization was performed in one case using
CardioCel leaflets and two cusps were formed from CardioCel and grafted alongside
one native leaflet in the other. Both patients developed bacterial endocarditis
associated with varicella zoster virus infection and required a second surgical
procedure.
PMID- 29793376
TI - Longitudinal assessments in continuing specialty certification and lifelong
learning.
AB - Longitudinal assessment (LA) involves the regular, spaced delivery of a limited
number of questions on practice relevant content on a computer or mobile internet
platform. Depending on the platform, participants may indicate relevance of the
content to their practice and confidence in their answer prior to receiving
immediate feedback (including critiques) on each question. Individual dashboards
may be included to assist participants in tracking progress and identifying areas
of strength and weaknesss across a content blueprint. This paper provides an
overview of the theoretical underpinnings underlying LA programs, briefly
describes current uses of LA in medicine and suggests areas for evaluating the
role of LA in continuing medical specialty certification and continuing
professional development.
PMID- 29793377
TI - Projected impact of a multigene pharmacogenetic test to optimize medication
prescribing in cardiovascular patients.
AB - AIM: To determine the projected impact of a multigene pharmacogenetic (PGx) test
on medication prescribing. MATERIALS & METHODS: A retrospective analysis was
conducted with 122 cardiac catheterization laboratory patients undergoing
angiography for eligibility of potential PGx-guided interventions that could have
occurred if multigene PGx information was pre-emptively available at the time of
the procedure. Medication data and presence of actionable at-risk genotypes were
used to determine eligibility of a PGx intervention. RESULTS: 20% of the study
population (n = 24) would have qualified for at least one PGx-based medication
intervention per US FDA or Clinical Pharmacogenetics Implementation Consortium
(CPIC) guidelines within 6 months of their cardiac catheterization procedure.
Commonly encountered gene-drug pairs for these interventions included: CYP2C19
for clopidogrel and antidepressants, CYP2D6 for antidepressants and codeine,
SLCO1B1 for simvastatin, and VKORC1/CYP2C9 for warfarin. CONCLUSION: Pre-emptive
use of a multigene PGx test in the cardiac catheterization laboratory offers
potential to reduce adverse medication outcomes.
PMID- 29793378
TI - Injectable in situ cross-linking hyaluronic acid/carboxymethyl cellulose based
hydrogels for drug release.
AB - A series of injectable in situ cross-linking hyaluronic acid/carboxymethyl
cellulose based hydrogels (HA/CMC) was prepared via disulfide bonds by the
oxidation of dissolved oxygen. The results showed that HA/CMC hydrogels exhibited
tunable gelling time, appropriate rheology properties, high swelling ratio, good
stability, and sustained drug release ability. The gelling time of HA/CMC
hydrogels ranged from 1.4 to 7.0 min, and the values of the storage modulus,
complex shear modulus, dynamic viscosity, and yield stress of HA3/CMC3 hydrogel
were about 5869 Pa, 5870 Pa, 587 Pa.s, and 1969 Pa, respectively. The degradation
percentage of HA1/CMC1, HA2/CMC2, and HA3/CMC3 hydrogels were about 60, 49, and
41% after incubating 42 days, and the in vitro cumulative release percentage of
BSA from HA1/CMC1, HA2/CMC2, and HA3/CMC3 drug-loaded hydrogels were about 99,
91, and 82% after 30 days. The series of injectable in situ cross-linking HA/CMC
hydrogels exhibited good comprehensive performance, signifying that these
hydrogels could be potentially used in the fields of short- and medium-term
controlled drug release, cell encapsulation, regenerative medicine, and tissue
engineering.
PMID- 29793379
TI - Kawasaki Disease in a Patient With Williams Syndrome.
AB - Kawasaki disease can be difficult to diagnose in infants, putting them at higher
risk for developing coronary artery dilatation. It can be even more difficult to
diagnose in the setting of preexisting cardiac anomalies such as those found in
Williams syndrome. We present a case of a three-month-old male with Williams
syndrome with rapidly developing giant coronary aneurysms due to Kawasaki
disease. This case demonstrates the importance of repeat echocardiography in
diagnosing incomplete Kawasaki disease in infants. We speculate that elastin
changes, as present in Williams syndrome, may put affected children at higher
risk for development of giant coronary arteries should they acquire Kawasaki
disease.
PMID- 29793380
TI - Diffusion of innovation and longitudinal integrated clerkships: Results of the
clerkship directors in internal medicine annual survey.
AB - PURPOSE: Longitudinal integrated clerkships (LICs) are innovative educational
models that emphasize medical student continuity with patients, preceptors,
peers, and health systems. We characterize LIC growth in the US and interpret the
growth using Rogers' Diffusion of Innovation Theory. METHODS: In 2015, we
surveyed 123 US allopathic medical schools affiliated with Clerkship Directors in
Internal Medicine (CDIM). The organization's annual survey was supplemented with
questions aimed to quantify the number of current and planned LICs and to
determine the intended purpose of starting LICs. RESULTS: Of the 94 (out of 123
possible) schools which were responding, 35 (37%) have at least one LIC of six
months or greater; of these 20 are year-long. Nineteen schools are engaged in
planning a new LIC or increasing the number of students in an LIC. At least 45
(48%) responding schools will have LICs in future years. Respondents report
implementing LICs to foster continuity of care, support patient-centeredness,
advance inter-professional education, and address workforce shortages.
CONCLUSIONS: The number of LICs is increasing across the US. We considered the
data through the lens of Diffusion of Innovation Theory, speculated that LIC
growth has reached "critical mass," and considered why the LIC innovation may be
self-sustaining.
PMID- 29793381
TI - Feasibility of Patient-Reported Outcomes Measurement Information System
(PROMIS(r)) computerized adaptive tests in systemic lupus erythematosus
outpatients.
AB - Objective The aims of this study were to assess the feasibility of administering
Patient-Reported Outcomes Measurement Information System (PROMIS(r)) computerized
adaptive tests (CATs) to outpatients with systemic lupus erythematosus (SLE).
Methods Adults with SLE were recruited during routine outpatient visits at an SLE
Center of Excellence. Participants completed 14 PROMIS CATs and provided feedback
on their experience. Differences in socio-demographic and clinical
characteristics between participants and non-participants were evaluated. Results
A total of 204 (86%) of 238 socioeconomically and racially diverse SLE patients
completed PROMIS CATs. There were no significant differences between participants
and non-participants. Time constraints were cited most frequently as reasons for
non-participation. More than 75% of individuals submitted positive comments,
including approval of the content and format of questions, and the survey's
promotion of self-reflection. A minority of participants cited challenges, most
often related to question phrasing (8%) and technical difficulties (6%).
Conclusions The administration of PROMIS CATs was feasible and positively
received in a diverse cohort of SLE outpatients. Neither socio-demographic nor
disease characteristics were significant barriers to successful completion of
PROMIS CATs. PROMIS CATs have great potential for efficiently measuring important
patient-centered outcomes in routine clinical care of a wide range of SLE
patients.
PMID- 29793382
TI - Analysis of IL-6 and IL-1beta release in cryopreserved pooled human whole blood
stimulated with endotoxin.
AB - To overcome the lack of availability of fresh human whole blood for pyrogen
detection, we explored the feasibility of utilizing cryopreserved pooled human
blood to detect the responses of the pro-inflammatory cytokines IL-6 and IL-1beta
to LPS. Whole blood was obtained from five donors and incubated with LPS. The
quantities of pro-inflammatory cytokines were measured using ELISA, and the
results were compared among the samples. After the blood was cryopreserved with
Dimethyl sulfoxide (DMSO) (10% v/v) and stored for 4 mo at -196C, the detection
limits of the IL-6/IL-1beta responses to LPS were 0.2/0.4 endotoxin units
(EU)/ml, respectively, and IL-6/IL-1beta release increased in response to LPS in
a dose-dependent manner. When these experiments were performed in three separate
laboratories, the within-laboratory reproducibility of the IL-6/IL-1beta
responses was 100%/86.7%, 93.3%/100%, and 86.7%/80%, and the inter-laboratory
reproducibility was 92.9%/85.7%, 64.3%/63.6%, and 57.1%/66.7%, respectively. The
sensitivity (the probability of correctly classifying positive samples) and
specificity (the probability of correctly classifying negative samples) of the IL
6/IL-1beta tests were 81.7%/82.5% and 100%/100%, respectively. The results of
this study suggest that cryopreserved pooled blood is a convenient and viable
alternative for evaluating in vitro pyrogenicity. Additionally, maintaining
cryopreserved pooled blood promotes safety for the user because it is released
only after pretesting for infection parameters and has lower variation than fresh
donations from a variety of donors.
PMID- 29793383
TI - Repair of Sinus Venosus Defect and Anomalous Pulmonary Veins Associated With Vein
of Galen Malformation.
AB - Sinus venosus defect (SVD) is a deficiency in the sinus venosus portion of the
atrium, often associated with partial anomalous pulmonary venous connections. In
patients with vein of Galen malformation (VGAM), SVD provides a unique challenge
with respect to embolization treatment. In our case, the child had percutaneous
device closure of the SVD prior to VGAM embolization. Nine years later, he
required surgical removal of the SVD device and Warden procedure due to
progressive right-sided cardiac enlargement.
PMID- 29793384
TI - Long-term experiences of being a simulation-educator: A multinational interview
study.
AB - The long-term reactions, experiences and reflections of simulation educators have
not been explored. In a semistructured, exploratory interview study, the
experiences of simulation educators in either Advanced Life Support (ALS) or
Crisis Resource Management (CRM) courses in Denmark, Norway and the USA were
analyzed. Three overarching themes were identified: (1) general reflections on
simulation-based teaching, (2) transfer of knowledge and skills from the
simulation setting to clinical settings and (3) more overarching transformations
in simulation educators, simulation participants, and the healthcare system.
Where ALS was deemed as high on the efficiency dimension of learning, CRM courses
were described as high on the innovation dimension. General reflections, transfer
and transformations described were related to differences in course principles.
The results are relevant for career planning, faculty development and
understanding simulation as social practice.
PMID- 29793385
TI - Box-ticking and Olympic high jumping - Physicians' perceptions and acceptance of
national physician validation systems.
AB - PURPOSE: National physician validation systems aim to ensure lifelong learning
through periodic appraisals of physicians' competence. Their effectiveness is
determined by physicians' acceptance of and commitment to the system. This study,
therefore, sought to explore physicians' perceptions and self-reported acceptance
of validation across three different physician validation systems in Europe.
MATERIALS AND METHODS: Using a constructivist grounded-theory approach, we
conducted semi-structured interviews with 32 respiratory specialists from three
countries with markedly different validation systems: Germany, which has a
mandatory, credit-based system oriented to continuing professional development;
Denmark, with mandatory annual dialogs and ensuing, non-compulsory activities;
and the UK, with a mandatory, portfolio-based revalidation system. We analyzed
interview data with a view to identifying factors influencing physicians'
perceptions and acceptance. RESULTS: Factors that influenced acceptance were the
assessment's authenticity and alignment of its requirements with clinical
practice, physicians' beliefs about learning, perceived autonomy, and
organizational support. CONCLUSIONS: Users' acceptance levels determine any
system's effectiveness. To support lifelong learning effectively, national
physician validation systems must be carefully designed and integrated into daily
practice. Involving physicians in their design may render systems more authentic
and improve alignment between individual ambitions and the systems' goals,
thereby promoting acceptance.
PMID- 29793386
TI - CPD? What happened to CME? CME and beyond.
AB - Continuing medical education (CME) has been evolving into continuing professional
development (CPD) and more recently into competency-based continuing professional
development (CBCPD). We will describe this progression and the consequent changes
in CPD programs developed by educators, appraise emerging challenges in CPD,
propose strategies to overcome them and conclude by recommending research in CPD
as the best way to consistently guide effective CPD programs and systems aligned
with the public healthcare needs and expectations.
PMID- 29793387
TI - Personalised telehealth intervention for chronic disease management: A pilot
randomised controlled trial.
AB - Introduction The aim of this study was to assess the impact of home-based
telehealth monitoring on health outcomes, quality of life and costs over 12
months for patients with diabetes and/or chronic obstructive pulmonary disease
(COPD) who were identified as being at high risk of readmission to hospital.
Methods This pilot study was a randomised controlled trial combined with an
economic analysis to examine the outcomes of standard care versus home-based
telehealth for people with diabetes and/or COPD who were at risk of hospital
readmission within one year. The primary outcomes were (i) hospital admission and
length of stay (LOS); and (ii) health-related quality of life (HRQOL); and the
secondary outcomes were (i) health-related clinical outcomes; (ii) anxiety and
depression scores; and (iii) health literacy. The costs of the intervention and
hospitalisations were included. Results A total of 86 and 85 participants were
randomised to the intervention and control groups respectively. The difference
between groups in hospital LOS was -3.89 (95% confidence interval (CI): -9.40,
1.62) days, and for HRQOL, 0.09 (95% CI: 0.05, 0.14) in favour of the telehealth
monitoring group. There was a saving of AUD$6553 (95% CI: -12145, -961) in the
cost of hospitalisation over 12 months, which offset the increased cost of tele
monitoring. The intervention group showed an improvement in anxiety, depression
and health literacy at 12 months, and in the diabetes group, a reduction in
microalbuminuria. Discussion The telehealth monitoring intervention improved
patient's health outcomes and quality of life at no additional cost.
PMID- 29793389
TI - Restraint of adults with intellectual disabilities: A critical review of the
prevalence and characteristics associated with its use.
AB - BACKGROUND: As part of their care, adults with intellectual disabilities are
often subject to restrictive interventions including restraint. METHOD: A review
examining the prevalence of restraint use with people with intellectual
disabilities and the characteristics associated with its use. RESULTS: The seven
papers identified used quantitative methodologies and had cross-sectional
designs. Prevalence rates of restraint ranged from 11% to 78%, multiple forms of
restraint were common. Most studies focused on characteristics within the person
with an intellectual disability, three considered external factors. Challenging
behaviour was the most consistent characteristic associated with the use of
restraint, but how this was defined varied. CONCLUSIONS: The review highlights a
need for more consistent means of defining and measuring restraint and its
associated characteristics. Future research into this area may also want to focus
on the context of restraint such as whether it is the least restrictive option
used.
PMID- 29793388
TI - Impact of patient-centred home telehealth programme on outcomes in heart failure.
AB - Background Telehealth is a promising intervention to reduce readmissions and
healthcare-associated costs in patients with heart failure. Methods We performed
a retrospective analysis of the impact of telehealth on 197 heart failure
patients who had successfully completed one year of home telehealth monitoring
following a heart failure admission as part of a clinically mandated programme at
a Veterans Affairs Medical Center. Outcomes were compared both within the group
(one year before and one year after home telehealth monitoring), and to a
contemporary control cohort of 870 heart failure patients who were admitted but
not enrolled in home telehealth. The following outcomes were analysed: admissions
for any cause, heart failure admissions, total hospital days per patient, average
length of stay per admission, urgent care and emergency room visits, and primary
care visits. Results Both the home telehealth and control cohorts consisted of
older male patients. Total hospital days per patient was significantly reduced by
home telehealth monitoring in the home telehealth group (2.4 +/- 3.5) in
comparison to the previous year without monitoring (4.1 +/- 4.6, p < 0.0001) and
to the control group (3.8 +/- 5.3, p < 0.001). A significantly lower admission
rate (1.1 +/- 1.6) and length of stay (5.7 +/- 11.3 days) were observed during
home telehealth monitoring within the home telehealth group compared to the prior
year (1.6 +/- 1.7, p < 0.05 and 9.5 +/- 14 days, p < 0.01 respectively) but not
in comparison with the control group (1.4 +/- 2.0, p < 0.07). The home telehealth
group also had a significantly lower length of stay when compared to the control
group (5.7 +/- 11.3 vs 9.0 +/- 14.9, p < 0.01). The number of urgent care and
emergency room visits, or primary care visits, was not significantly different
during home telehealth monitoring as compared to the prior year. Conclusions
Personalised and patient-centred home telehealth monitoring in heart failure
patients was successful in reducing outcomes without an increase in outpatient
and urgent care visits.
PMID- 29793390
TI - Effectiveness of oral appliances versus continuous positive airway pressure in
treatment of OSA patients: An updated meta-analysis.
AB - Objective To update the meta-analysis comparing the effectiveness of oral
appliance (OA) with continuous positive airway pressure (CPAP) in treating
patients with obstructive sleep apnea (OSA). Methods PubMed, ISI Web of
Knowledge, Ovid, EBSCO Dentistry & Oral Science Source, The Cochrane Library, and
Embase database were searched for RCTs until 23 May 2017. Meta-analyses were
performed using RevMan 5.3. Results Sixteen RCTs were included. Compared with OA,
CPAP significantly decreased AHI, min SaO2, ARI, ESS (p < 0.05), with no
significant difference in REM%, FOSQ, BP (p >= 0.05). OA significantly improved
REM% in the severe groups and ESS in the adjustable OA group (p < 0.05). OA
shared greater preference. Conclusion Even though CPAP can better decrease the
severity of OSA, more patients opted for OA, which showed better results in
severe patients, especially adjustable OA.
PMID- 29793391
TI - Medication management for community palliative care patients and the role of a
specialist palliative care pharmacist: A qualitative exploration of consumer and
health care professional perspectives.
AB - BACKGROUND: Pharmacists have a key role to play in optimisation of medication
regimens and promotion of medication safety. The role of specialist pharmacists
as part of the multidisciplinary palliative care team, especially in the primary
care setting, is not widely recognised. AIM: To explore the perspectives of
stakeholders about the gaps in the current model of community palliative care
services in relation to medication management and to assess their opinions
pertaining to the role of a specialist palliative care pharmacist in addressing
some of those gaps. DESIGN: Qualitative study utilising three focus groups
involving 20 stakeholders. Thematic analysis was carried out using a framework
approach and interpreted in the context of the Chronic Care Model for improving
primary care for patients with chronic illness. SETTING/PARTICIPANTS: Setting was
a large regional Australian palliative care service. Participants included
palliative care consumers and clinicians specifically patients, caregivers,
physicians, nurses and pharmacists. RESULTS: Five major themes emerged from the
focus groups: access to resources, medicines and information; shared care;
challenges of polypharmacy; informal caregiver needs and potential roles of a
palliative care pharmacist. Gaps in access to medicines/resources, training for
generalist practitioners, communication between treating teams and lack of
support for patients and carers were cited as factors adversely impacting
medication management in community-based palliative care. CONCLUSION: While
community-based palliative care is an essential aspect of meeting the health care
demands of an ageing society, the current model has several gaps and limitations.
An appropriately qualified and skilled pharmacist within the palliative care team
may help to address some of the gaps in relation to medication access and
appropriateness.
PMID- 29793392
TI - Cohort trends in duration of obesity in the United States, 1925-89: Estimates
from cross-sectional data.
AB - This paper introduces the metric 'mean duration of obesity' to measure the
average number of years lived with obesity in a population. A procedure was
developed to estimate duration from periodic cross-sectional surveys. For annual
cohorts born in the United States between 1925 and 1989, I estimated a logit
model to derive age-cohort-specific probabilities of overweight and obesity (body
mass index 25 to <30 and [Formula: see text]30, respectively), and applied life
table techniques to convert these into person-years. Duration of obesity by age
50 increased fourfold from 3.58 to 14.35 years. The rate of increase was stronger
across the 1945-79 and 1980-89 cohorts than the 1925-44 cohorts. The trend was
driven by increased risks of obesity among children: age groups under 20 were
responsible for 31 per cent of the duration increase between the 1930 and 1960
cohorts, but 72 per cent between the 1960 and 1985 cohorts.
PMID- 29793393
TI - Reducing costs at the end of life through provider incentives for hospice care: A
retrospective cohort study.
AB - BACKGROUND: Costs of medical care have been found to be highest at the end of
life. AIM: To evaluate the effect of provider reimbursement for hospice care on
end-of-life costs. DESIGN: The policy expanded access to hospice care for end
stage renal disease patients, a policy previously limited to cancer patients
only. This study employed a difference-in-differences analysis using a
generalized linear model. The main outcome is inpatient expenditures in the last
30 days of life. SETTING/PARTICIPANTS: A cohort of 151,509 patients with chronic
kidney disease or cancer, aged 65 years or older, who died between 2005 and 2012
in the National Health Insurance Research Database, which contains all enrollment
and inpatient claims data for Taiwan. RESULTS: Even as end-of-life costs for
cancer are declining over time, expanding hospice care benefits to end-stage
renal disease patients is associated with an additional reduction of 7.3% in end
of-life costs per decedent, holding constant patient and provider
characteristics. On average, end-of-life costs are also high for end-stage renal
disease (1.88 times higher than those for cancer). The cost savings were larger
among older patients-among those who died at 80 years of age or higher, the cost
reduction was 9.8%. CONCLUSION: By expanding hospice care benefits through a
provider reimbursement policy, significant costs at the end of life were saved.
PMID- 29793395
TI - Enhancing Treatment Reengagement for Veterans With Serious Mental Illness:
Evaluating the Effectiveness of SMI Re-Engage.
AB - OBJECTIVE: This evaluation assessed the effectiveness of the Veterans Health
Administration (VHA) program Reengaging Veterans With Serious Mental Illness in
Treatment (SMI Re-Engage). The program serves veterans with serious mental
illness who experience extended gaps in use of VHA care. METHODS: Propensity
score-weighted survival analysis that adjusted for demographic, clinical, and
utilization factors assessed whether being contacted via SMI Re-Engage predicted
return to VHA care within 18 months of when clinicians received patient contact
information and, among veterans contacted, whether return to VHA care was
associated with mortality risk within the 18-month follow-up period. Among all
veterans who returned to care, a post hoc propensity score-weighted logistic
regression that adjusted for demographic, clinical, and utilization factors
assessed whether being contacted via SMI Re-Engage was associated with returning
to outpatient care versus inpatient or emergency care. RESULTS: Of veterans
contacted (N=886), 42% returned to care, compared with 27% of veterans whom
providers attempted to contact but could not reach (N=2,059). When analyses
adjusted for covariates, veterans who were contacted had a higher risk of
returning to care (hazard ratio (HR)=3.40, 95% confidence interval [CI]=2.70
4.28). Among veterans contacted, the association between return to VHA care and
mortality risk was not significant. Post hoc analyses for veterans who returned
to care (N=941) indicated that being contacted (versus not being contacted) was
associated with higher odds of returning to outpatient care (versus inpatient or
emergency care) (odds ratio=2.42, CI=1.68-3.47). CONCLUSIONS: SMI Re-Engage
contact facilitated return to VHA care. SMI Re-Engage exemplifies how population
health strategies can address health care discontinuities among people with
serious mental illness.
PMID- 29793396
TI - Taking Legal Histories in Psychiatric Assessments.
AB - People with mental illness are often disproportionately affected by the U.S.
justice system, yet psychiatrists and other mental health professionals may avoid
or feel uncomfortable talking with patients about legal history. This column
examines why legal history is relevant to psychiatric assessments and provides
guidance for talking with patients about these issues. Key aspects of taking a
legal history are reviewed, including suggested questions, the role of collateral
information, and considerations for medicolegal documentation. Developing skills
in taking patients' legal histories may equip clinicians to better understand
their patients' stories and to provide more effective psychiatric care.
PMID- 29793397
TI - Mobile Health (mHealth) Versus Clinic-Based Group Intervention for People With
Serious Mental Illness: A Randomized Controlled Trial.
AB - OBJECTIVE: mHealth approaches that use mobile phones to deliver interventions can
help improve access to care for people with serious mental illness. The goal was
to evaluate how mHealth performs against more traditional treatment. METHODS: A
three-month randomized controlled trial was conducted of a smartphone-delivered
intervention (FOCUS) versus a clinic-based group intervention (Wellness Recovery
Action Plan [WRAP]). Participants were 163 clients, mostly from racial minority
groups and with long-term, serious mental illness (schizophrenia or
schizoaffective disorder, 49%; bipolar disorder, 28%; and major depressive
disorder, 23%). Outcomes were engagement throughout the intervention;
satisfaction posttreatment (three months); and improvement in clinical symptoms,
recovery, and quality of life (assessed at baseline, posttreatment, and six
months). RESULTS: Participants assigned to FOCUS were more likely than those
assigned to WRAP to commence treatment (90% versus 58%) and remain fully engaged
in eight weeks of care (56% versus 40%). Satisfaction ratings were comparably
high for both interventions. Participants in both groups improved significantly
and did not differ in clinical outcomes, including general psychopathology and
depression. Significant improvements in recovery were seen for the WRAP group
posttreatment, and significant improvements in recovery and quality of life were
seen for the FOCUS group at six months. CONCLUSIONS: Both interventions produced
significant gains among clients with serious and persistent mental illnesses who
were mostly from racial minority groups. The mHealth intervention showed superior
patient engagement and produced patient satisfaction and clinical and recovery
outcomes that were comparable to those from a widely used clinic-based group
intervention for illness management.
PMID- 29793399
TI - New research makes up for lost time on feline tick paralysis.
PMID- 29793398
TI - The Influence of Team Functioning and Workload on Sustainability of Trauma
Focused Evidence-Based Psychotherapies.
AB - OBJECTIVE: It has been over a decade since the U.S. Department of Veterans
Affairs (VA) began formal dissemination and implementation of two trauma-focused
evidence-based psychotherapies (TF-EBPs). The objective of this study was to
examine the sustainability of the TF-EBPs and determine whether team functioning
and workload were associated with TF-EBP sustainability. METHODS: This
observational study used VA administrative data for 6,251 patients with
posttraumatic stress disorder (PTSD) and surveys from 78 providers from 10
purposefully selected PTSD clinical teams located in nine VA medical centers. The
outcome was sustainability of TF-EBPs, which was based on British National Health
System Sustainability Index scores (possible scores range from 0 to 100.90).
Primary predictors included team functioning, workload, and TB-EBP reach to
patients with PTSD. Multiple linear regression models were used to examine the
influence of team functioning and workload on TF-EBP sustainability after
adjustment for covariates that were significantly associated with sustainability.
RESULTS: Sustainability Index scores ranged from 53.15 to 100.90 across the 10
teams. Regression models showed that after adjustment for patient and facility
characteristics, team functioning was positively associated (B=9.16, p<.001) and
workload was negatively associated (B=-.28, p<.05) with TF-EBP sustainability.
CONCLUSIONS: There was considerable variation across teams in TF-EBP
sustainability. The contribution of team functioning and workload to the
sustainability of evidence-based mental health care warrants further study.
PMID- 29793400
TI - Venous leg symptoms in patients with varicocele: A multicenter assessment study
(VEIN-TURKEY study).
AB - Objective The aim of this study is to evaluate chronic venous disease symptoms by
using the Venous Insufficiency Epidemiological and Economic Study-Quality of
Life/Symptoms (VEINES-QoL/Sym) questionnaire in varicocele patients. Material and
methods The study was designed as a prospective, case controlled study and
conducted in four hospitals from Turkey. A total of 600 patients who admitted to
urology outpatient clinic were enrolled to the study. After the exclusion of 44
patients who do not match the inclusion criteria, the remaining 556 patients were
examined for the presence and grade of varicocele and subsequently examined
clinically for the presence of chronic venous disease findings. Finally, patients
were asked to answer the VEINES-Sym questionnaire consisting of 10 items. All
patients' demographic parameters, cardiovascular risk factors, other co-morbid
diseases and drug usage were noted. Results Patients were classified into two
groups: varicocele (+) group ( n = 269) and varicocele (-) group ( n = 287).
VEINES-Sym scores of varicocele patients were lower compared to patients without
varicocele (41.41 +/- 5.21, 43.19 +/- 3.22, respectively, p < 0.001). Grades of
varicocele significantly but inversely correlated with VEINES-Sym score ( r = 0,
206, p = 0.001). Logistic regression analysis revealed that presence of
varicocele irrespective of grading significantly and independently associated
with the presence of aching (odds ratio: 2.054, 95% confidence interval: 1.265
3.338, p = 0.004) and throbbing (odds ratio: 2.586, 95% confidence interval:
1.353-4.943, p = 0.004). Conclusion Varicocele patients have lower VEINES-Sym
scores compared to patients without varicocele and this finding is inversely
correlated with the degree of the varicocele. This association supports the
hypothesis that there may be a systemic vessel wall abnormality in venous disease
patients. Patients with symptoms related to vascular dilatation in any territory
may deserve to be assessed systematically with the support of further clinical
studies.
PMID- 29793402
TI - Gluten in "gluten-free" food from food outlets in Melbourne: a cross-sectional
study.
PMID- 29793401
TI - Tweezing the cofactor preference of gymnosperm pinene synthase.
AB - The cellular activities of gymnosperms monoterpene synthases are largely
compromised due to their requirement for manganese, which is deficient in
microbial cells. Through site-saturation mutagenesis of the residue adjacent to
metal-binding glutamate, we found that pinene synthase is highly mutable at this
position yet drastically alter their metal binding preference, thereby quickly
improving the cellular performance in heterologous hosts.
PMID- 29793403
TI - Active surveillance of men with low risk prostate cancer: evidence from the
Prostate Cancer Outcomes Registry-Victoria.
AB - OBJECTIVE: To characterise the practice of active surveillance (AS) for men with
low risk prostate cancer by examining the characteristics of those who commence
AS, the rate of adherence to accepted AS follow-up protocols over 2 years, and
factors associated with good adherence. Design, setting: Retrospective cohort
study; analysis of data collected from 38 sites participating in the Prostate
Cancer Outcomes Registry-Victoria. PARTICIPANTS: Men diagnosed with prostate
cancer between August 2008 and December 2014 aged 75 years or less at diagnosis,
managed by AS for at least 2 years, and with an ISUP grade group of 3 or less
(Gleason score no worse than 4 + 3 = 7). MAIN OUTCOME MEASURES: Adherence to an
AS schedule consisting of at least three PSA measurements and at least one biopsy
in the 2 years following diagnosis. RESULTS: Of 1635 men eligible for inclusion
in the analysis, 433 (26.5%) adhered to the AS protocol. The significant
predictor of adherence in the multivariate model was being diagnosed in a private
hospital (v public hospital: adjusted odds ratio [aOR], 1.83; 95% CI, 1.42-2.37;
P < 0.001). Significant predictors of non-adherence included being diagnosed by
transurethral resection of the prostate (v transrectal ultrasound biopsy [TRUS]:
OR, 0.54; 95% CI, 0.39-0.77; P < 0.001) or transperineal biopsy (v TRUS: OR,
0.32; 95% CI, 0.19-0.52; P < 0.001), and being 66 years of age or more at
diagnosis (v < 55 years: OR, 0.65; 95% CI, 0.45-0.92; P = 0.015). CONCLUSION:
Almost three-quarters of men who had prostate cancer with low risk of disease
progression did not have follow-up investigations consistent with standard AS
protocols. The clinical consequences of this shortcoming are unknown.
PMID- 29793404
TI - Hyperglycaemia in early pregnancy: the Treatment of Booking Gestational diabetes
Mellitus (TOBOGM) study. A randomised controlled trial.
AB - BACKGROUND: Gestational diabetes mellitus (GDM) causes adverse pregnancy outcomes
that can be averted by treatment from 24-28 weeks' gestation. Assessing and
treating women for overt diabetes in pregnancy (ODIP) at the first antenatal
clinic booking is now recommended in international guidelines. As a consequence,
women with milder hyperglycaemia are being diagnosed and treated for early GDM,
but randomised controlled trial (RCTs) assessing the benefits and harms of such
treatment have not been undertaken. The Treatment Of Booking Gestational diabetes
Mellitus (TOBOGM) study is a multi-centre RCT examining whether diagnosing and
treating GDM diagnosed at booking improves pregnancy outcomes. Methods and
analysis: 4000 adult pregnant women (< 20 weeks' gestation) at risk of ODIP will
be recruited from 12 hospital antenatal booking clinics and referred for an oral
glucose tolerance test (OGTT). 800 women with hyperglycaemia (ie, booking GDM)
according to the 2014 Australasian Diabetes-in-Pregnancy Society criteria for
pregnant women at 24-28 weeks' gestation will be randomised to immediate
treatment for GDM (intervention) or to no treatment (control), pending the
results of a second OGTT at 24-28 weeks' gestation. Antenatal and GDM care will
otherwise follow local guidelines. Randomisation will be stratified by site and
OGTT glycaemic risk strata. The primary pregnancy outcome is a composite of
respiratory distress, phototherapy, birth trauma, birth before 37 weeks'
gestation, stillbirth or death, shoulder dystocia, and birthweight >= 4.5 kg. The
primary neonatal outcome is neonatal lean body mass. The primary maternal outcome
is pre-eclampsia. Ethics approval: South Western Sydney Local Health District
Research and Ethics Office (reference, 15/LPOOL/551). Dissemination of results:
Peer-reviewed publications, scientific meetings, collaboration with research
groups undertaking comparable studies, discussions with guideline groups and
policy makers. TRIAL REGISTRATION: Australian New Zealand Clinical Trials
Registry, ACTRN12616000924459.
PMID- 29793406
TI - A Comprehensive Analysis of Gene Expression of Xenobiotic and Endogenous
Metabolizing Enzymes and Transporters in Rat Multiple Organs.
AB - BACKGROUND: Drug metabolizing enzymes and transporters (DMETs) play crucial roles
in drug absorption and disposition. Species differences in the interaction of
compounds with DMETs may contribute to the accuracy of animal models in
predicting human responses in clinical studies. Thus it is important to clarify
the expression heterogeneity of DMETs between human and rat, that is commonly
used as a model for evaluating drug efficacy and drug safety. METHODS: We
compared the expression patterns of DMETs based on a rat RNA-seq dataset and the
human Genotype-Tissue Expression (GTEx) datasets. A relatively high correlation
of expression of DMETs between rat and human was observed in most organs, while a
lower correlation was detected in the liver and kidney; however, a greater number
of genes were variably expressed in the latter two organs. We characterized the
basal expression traits of DMETs in rat in terms of organ, sex, and developmental
differences. RESULTS: Co-expressed modules across organs of DMETs were identified
to include potential functionally- related genes. Interestingly, most of these
modules showed liver- and/or kidney-specific expression. Moreover, we identified
DMETs modules that were highly correlated to sex or developmental stages.
Finally, we created networks containing sex and/or developmentally-related drugs
and diseases with their related DMETs to display the clinical significance of
sexually dimorphic and/or developmentally- specific DMET genes. CONCLUSION: Our
study provides a deeper understanding of species differences in not only DMETs
but specific susceptibility to adverse drug reactions (ADRs).
PMID- 29793407
TI - Inhaled Micro/Nanoparticulate Anticancer Drug Formulations: An Emerging Targeted
Drug Delivery Strategy for Lung Cancers.
AB - Local delivery of drug to the target organ via inhalation offers enormous
benefits in the management of many diseases. Lung cancer is the most common of
all cancers and it is the leading cause of death worldwide. Currently available
treatment systems (intravenous or oral drug delivery) are not efficient in
accumulating the delivered drug into the target tumor cells and are usually
associated with various systemic and dose-related adverse effects. The pulmonary
drug delivery technology would enable preferential accumulation of drug within
the cancer cell and thus be superior to intravenous and oral delivery in reducing
cancer cell proliferation and minimising the systemic adverse effects. Site
specific drug delivery via inhalation for the treatment of lung cancer is both
feasible and efficient. The inhaled drug delivery system is non-invasive,
produces high bioavailability at low dose and avoids first pass metabolism of the
delivered drug. Various anticancer drugs including chemotherapeutics, proteins
and genes have been investigated for inhalation in lung cancers with significant
outcomes. Pulmonary delivery of drugs from dry powder inhaler (DPI) formulation
is stable and has high patient compliance. Herein, we report the potential of
pulmonary drug delivery from dry powder inhaler (DPI) formulations inhibiting
lung cancer cell proliferation at very low dose with reduced unwanted adverse
effects.
PMID- 29793408
TI - Recent Development of Small Molecule Glutaminase Inhibitors.
AB - Glutaminase (GLS), which is responsible for the conversion of glutamine to
glutamate, plays a vital role in up-regulating cell metabolism for tumor cell
growth and is considered to be a valuable therapeutic target for cancer
treatment. Based on this important function of glutaminase in cancer, several GLS
inhibitors have been developed in both academia and industry. Most importantly,
Calithera Biosciences Inc. is actively developing the glutaminase inhibitor CB
839 for the treatment of various cancers, and it is currently being evaluated in
phase 1 and 2 clinical trials. In this review, recent efforts to develop small
molecule glutaminase inhibitors that target glutamine metabolism in both
preclinical and clinical studies are discussed. In particular, more emphasis is
placed on CB-839 because it is the only small molecule GLS inhibitor being
studied in a clinical setting. The inhibition mechanism is also discussed based
on X-ray structure studies of thiadiazole derivatives present in glutaminase
inhibitor BPTES. Finally, recent medicinal chemistry efforts to develop a new
class of GLS inhibitors are described in the hopes of providing useful
information for the next generation of GLS inhibitors.
PMID- 29793409
TI - Oligopeptides for Immunotherapy Approaches in Ovarian Cancer Treatment.
AB - Anti-ovarian cancer vaccines based on minimal immune determinants uniquely
expressed in ovarian cancer biomarkers and absent in the human proteome appear to
promise a high level of sensitivity and specificity for early ovarian cancer
immunodiagnostics, immunoprevention, and immunotherapy. In this context, the
present study analyses three ovarian cancer biomarkers - namely sperm surface
protein Sp17, WAP four-disulfide core domain protein 2, and mullerian-inhibiting
substance - and describes a methodology for constructing ovarian cancer biomarker
derived oligopeptide constructs that might be useful for developing new
diagnostic and immunotherapeutic approaches.
PMID- 29793411
TI - Neuropharmacological screening of chiral and non-chiral phthalimidecontaining
compounds in mice: in vivo and in silico experiments.
AB - BACKGROUND: Thalidomide, the first synthesized phthalimide, has demonstrated
sedative-hypnotic and antiepileptic effects on the central nervous system. N
substituted phthalimides have an interesting chemical structure that confers
important biological properties. OBJECTIVE: Non-chiral (ortho and para bis
isoindoline-1,3-dione, phthaloylglycine) and chiral phthalimides (N-substituted
with aspartate or glutamate) were synthesized and the sedative, anxiolytic and
anticonvulsant effects were tested. METHOD: Homology modeling and molecular
docking were employed to predict recognition of the analogues by hNMDA and mGlu
receptors. The neuropharmacological activity was tested with the open field test
and elevated plus maze (EPM). The compounds were tested in mouse models of acute
convulsions induced either by pentylenetetrazol (PTZ; 90 mg/kg) or 4
aminopyridine (4-AP; 10 mg/kg). RESULTS: The ortho and para non-chiral compounds
at 562.3 and 316 mg/kg, respectively, decreased locomotor activity. Contrarily,
the chiral compounds produced excitatory effects. Increased locomotor activity
was found with S-TGLU and R-TGLU at 100, 316 and 562.3 mg/kg, and S-TASP at 316
and 562.3 mg/kg. These molecules showed no activity in the EPM test or PTZ model.
In the 4-AP model, however, S-TGLU (237.1, 316 and 421.7 mg/kg) as well as S-TASP
and R-TASP (316 mg/kg) lowered the convulsive and death rate. CONCLUSION: The
chiral compounds exhibit a non-competitive NMDAR antagonist profile and the non
chiral molecules possess selective sedative properties. The NMDAR exhibited
stereoselectivity for STGLU while is not a preference for the aspartic
derivatives. The results appear to be supported by the in silico studies, which
evidenced a high affinity of phthalimides for the hNMDAR and mGluR type 1.
PMID- 29793410
TI - 4-Hydroxy-2-pyridone derivatives and the delta-pyrone isostere as novel agents
against Mycobacterium smegmatis biofilm inhibitors.
AB - BACKGROUND: The treatment of a bacterial infection when the bacterium is growing
in a biofilm is a vexed issue. This is because the bacteria in a biofilm behaves
differently compared to the individual planktonic free-form. As a result,
traditional antibacterial agents lose their activity. OBJECTIVE: Presently, there
are not many drugs that are effective against bacteria growing in biofilms. Based
on literature reports, we have sought to develop novel derivatives of 4-hydroxy-2
pyridone as both antimycobacterial and antibiofilm agents. METHODS: The pyridone
derivatives were synthesized by reacting 4-hydroxy-6-methyl-2H-pyran-2-one with
appropriate amines and followed by reaction with substituted phenyl isocyanates
as reported in the literature. RESULTS: Four compounds in this series
significantly inhibit the growth and formation of biofilm by Mycobacterium
smegmatis (mc2 155 strain) at 50 ug/ml. Further, in silico evaluation of the ADME
parameters show these compounds possess good drug-like properties and have the
potential to be developed both as antibiofilm and as oral antimycobacterial
agents. CONCLUSION: This finding is of significance as presently very few small
molecules are known to inhibit biofilm formation in mycobacteria. These compounds
are unique in the sense that they are more potent against mycobacterium smegmatis
in the biofilm state compared to the planktonic form.
PMID- 29793412
TI - 2-Oxo-1,2,3,4-tetrahydropyrimidines Ethyl Esters as Potent beta- Glucuronidase
Inhibitors: One-pot Synthesis, In vitro and In silico Studies.
AB - BACKGROUND: Glucuronidation is essential for the metabolism and excretion of
toxic substances. beta-Glucuronidase enzyme slows down the process of
glucuronidation, and thus plays an important role in the on-set of colorectal
carcinoma, and many other diseases. Inhibition of beta- glucuronidase activity is
thus identified as an important approach for the treatment of several diseases.
OBJECTIVE: Current study was aimed to synthesize a library of 2-oxo-1,2,3,4
tetrahydropyrimidine and to evaluate their beta-glucuronidase inhibitory
activity, and their mode of enzyme inhibition. METHOD: We synthesized a series of
2-oxo-1,2,3,4-tetrahydropyrimidines 1-25 by fusing urea, ethyl acetoacetate, and
a variety of aldehydes using copper nitrate trihydrate as catalyst. All
synthesized compounds were evaluated for their in vitro beta-glucuronidase
inhibitory activity. In addition, molecular docking studies were also performed
by using MOE docking tools. RESULTS: Eighteen compounds showed inhibitory
activity better than the standard D-saccharic acid 1,4-lactone, a well known beta
glucuronidase inhibitor (IC50 = 45.75 +/- 2.16 uM). Compound 20 (IC50 = 1.36 +/-
0.03 uM) showed an excellent inhibitory activity, thirty-five folds superior to
the standard. Docking results highlighted the role of various chemical moieties
at different positions on 2- oxo-1,2,3,4-tetrahydropyrimidine skeleton in enzyme
inhibitory activity. CONCLUSION: This study has identified a class of potent beta
glucuronidase inhibitors with the potential to be investigated further.
PMID- 29793413
TI - Synthesis and Cyototoxicities of New Azafluorenones with Apoptotic Mechanism of
Action and Cell Cycle Analysis.
AB - In this study, new azafluorenones, 4-(4-fluorophenyl)-2-(4-substitutedphenyl)-5H
indeno[1,2-b]pyridin-5-one, I1-I8 were synthesized and chemical structures were
elucidated by spectral analysis. All compounds were reported for the first time
here. Compounds were tested in terms of cytotoxicity. They were found as
cytotoxins/anticancer compounds. It was found that the leader compounds of the
series were I5 and I8 according to SI, TS, PSE calculations. When PSE values were
considered, the compound I5 having the chlorine had the highest PSE value as
126.4. Second highest PSE value of 50.5 belonged to I8, which has thiophene ring
in its chemical structure. I8 as a representative compound of the series was
forwarded to cell cycle analysis. I8 arrested S phase of cell cycle and lead to
apoptosis by inducing PARP cleavage suggesting that at least one of the mechanism
of cytotoxic action of the series was apoptosis. It was clearly demostrated that
compound I8 can induce early apoptosis at a concentration of 5 MUM.The compounds
I5 and I8 can be considered as leader compounds of the series with the highest
SI, TS, PSE values for further studies.
PMID- 29793414
TI - Oxaliplatin regulates chemotherapy induced peripheral neuropathic pain in the
dorsal horn and dorsal root ganglion via the Calcineurin/NFAT pathway.
AB - OBJECTIVE: The aim of this study was to investigate the mechanism of oxaliplatin
in the induction of neuropathic pain as a symptom of chemotherapy-induced
peripheral neuropathy (CIPN). METHODS: The CIPN rat model was induced with a one
time injection of oxaliplatin, and the paw withdrawal response was determined
using von Frey filaments. The paw withdrawal threshold (PWT) value was recorded
and the dorsal horn (DH) and dorsal root ganglion (DRG) tissues were collected.
The mRNA and protein levels of calcineurin (CaN), nuclear factor of activated T
cells (NFAT), and other relevant cytokines were determined. CaN and NFAT
inhibition reagents, FK506 and 11R-VIVIT, were applied in order to investigate
the functions of the CaN/NFAT pathway in the neuropathic pain processes. The
levels of the downstream inflammatory cytokines, TNF-alpha and IL-1beta, were
assessed by ELISA. RESULTS: The application of oxaliplatin reduced the value of
PWT by 4 times on days 7(4+/-1.33)and 14(5.13+/-3.07)compared with the control
group(14+/-0.91; 13.67+/-0.76). After treatment, the CaN mRNA level decreased and
that of NFAT increased in DH and DRG tissues (P<0.05). However, treatment with
FK506 and 11R-VIVIT decreased the value of PWT that had increased after
oxaliplatin treatment. The expression of downstream cytokines related to the
CaN/NFAT pathway increased, including CCR2, COX2, p-ERK, and p-P38 (all p<0.05).
In addition, when the CaN/NFAT pathway was activated, the concentration of
TNFalpha increased to 40pg/mg in DH tissues and 60pg/mg in DRG tissues compared
with the control group, while the concentration of IL-1beta increased to over
60pg/mg in DH and DRG tissues. CONCLUSION: It was the first time to prove that
oxaliplatin-induced neuropathic pain was correlated to the activation of the
CaN/NFAT pathway in our rat model. This finding can provide a new direction for
explore the mechanism of oxaliplatin-induced neuropathic pain.
PMID- 29793415
TI - Lichen acids may be used as a potential drug for cancer therapy; by inhibiting
mitochondrial thioredoxin reductase purified from rat lung.
AB - BACKGROUND: Thioredoxin reductase (E.C 1.6.4.5.; TrxR) is a widely distributed
flavoprotein that catalyzes the NADPH-dependent reduction of thioredoxin (Trx) in
many cellular events such as DNA synthesis, DNA repair, angiogenesis,
antioxidative defense, and regulating apoptosis. Although TrxR is indispensible
in protecting cells against oxidative stress, the overexpression of TrxR is seen
in many aggressive tumors. Therefore, targeted inhibition of TrxR has been
accepted as a new approach for chemotherapy. OBJECTIVE: In this study, in vitro
inhibition effect of the lichen acids (diffractaic, evernic, lobaric, lecanoric,
and vulpinic acid) on mitochondrial TrxR purified from rat lung was investigated.
METHOD: It was the first time the enzyme was purified from rat lungs by using 2',
5'-ADP Sepharose 4B affinity chromatography. The purity of the enzyme was checked
with SDS-PAGE. In vitro inhibition effect of the lichen acids was investigated
spectrophotometrically. To emphasize the importance of the obtained data, the
commercial anticancer drugs cisplatin and doxorubicin were used as positive
controls. RESULTS: Molecular mass of the enzyme was calculated as approximately
52.4 kDa. The enzyme was purified with a 63.6% yield, 208.3 fold, and 0.5 EU/mg
proteins specific activity. The IC50 values of five lichen acids were
significantly lower than IC50 values of anticancer drugs. CONCLUSION: All of the
lichen acids, especially lecanoric and vulpinic acid, exhibited much stronger
inhibitory effect on TrxR than the anticancer drugs cisplatin and doxorubicin.
These lichen acids have pharmacological potential as effective natural
antioxidants, antimicrobials, and anticancer agents. .
PMID- 29793416
TI - Oleanolic Acid Ameliorates Abeta25-35 Injection-induced Memory Deficit in
Alzheimer's Disease Model Rats by Maintaining Synaptic Plasticity.
AB - BACKGROUND: Abnormal amyloid beta (Abeta) accumulation and deposition in the
hippocampus is an essential process in Alzheimer's disease (AD). OBJECTIVE: To
investigate whether Oleanolic acid (OA) could improve memory deficit in AD model
and its possible mechanism. METHODS: Forty-five SD rats were randomly divided
into sham operation group, model group, and OA group. AD models by injection of
Abeta25-35 were built. Morris water maze (MWM) was applied to investigate
learning and memory, transmission electron microscope (TEM) to observe the
ultrastructure of synapse, western blot to the proteins, electrophysiology for
long-term potentiation (LTP), and Ca2+ concentration in synapse was also
measured. RESULTS: The latency time in model group was significantly longer than
that in sham operation group (P=0.0001); while it was significantly shorter in
the OA group than that in model group (P=0.0001); compared with model group, the
times of cross-platform in OA group significantly increased (P=0.0001). TEM
results showed OA could alleviate neuron damage and synapses changes induced by
Abeta25-35. The expressions of CaMKII, PKC, NMDAR2B, BDNF, TrkB, and CREB protein
were significantly improved by OA (P=0.0001, 0.036, 0.041, 0.0001, 0.0001, 0.026,
respectively) compared with that in model group; the concentration of Ca2+ was
significantly lower in OA group (1.11+/-0.42) than that in model group (1.68+/
0.18); and the slope rate (P=0.0001) and amplitude (P=0.0001) of f- EPSP
significantly increased in OA group. CONCLUSION: The present results support that
OA could ameliorate Abeta-induced memory loss of AD rats by maintaining synaptic
plasticity of the hippocampus.
PMID- 29793417
TI - Structure, Function and Interactions of Tau: Particular Focus on Potential Drug
Targets for the Treatment of Tauopathies.
AB - BACKGROUND & OBJECTIVE: Neurodegenrative diseases are among the most widespread
lifethreatening disorders around the world in elderly ages. The common feature of
a group of neurodegenerative disorders, called tauopathies, is an accumulation of
microtubule associated protein tau inside the neurons. The exact mechanism
underlying tauopathies is not well-understood but several factors such as
traumatic brain injuries and genetics are considered as potential risk factors.
Although tau protein is well-known for its key role in stabilizing and
organization of axonal microtubule network, it bears a broad range of functions
including DNA protection and participation in signaling pathways. Moreover, the
flexible unfolded structure of tau facilitates modification of tau by a wide
range of intracellular enzymes which in turn broadens tau function and
interaction spectrum. The distinctive properties of tau protein concomitant with
the crucial role of tau interaction partners in the progression of
neurodegeneration suggest tau and its binding partners as potential drug targets
for the treatment of neurodegenerative diseases. CONCLUSION: This review aims to
give a detailed description of structure, functions and interactions of tau
protein in order to provide insight into potential therapeutic targets for
treatment of tauopathies.
PMID- 29793418
TI - Clinical Studies with Bismuth-213 and Actinium-225 for Hematologic Malignancies.
AB - OBJECTIVES: Due to the shorter range and higher linear energy transfer of alpha
particles compared to beta-particles, targeted alpha-particle therapy may produce
more efficient tumor killing while sparing neighboring healthy cells. We will
review the clinical studies using alpha-particle therapy for Acute Myeloid
Leukemia (AML). METHODS: A series of clinical trials were conducted to assess the
safety, feasibility, and anti-leukemic effects of lintuzumab, an anti-CD33
humanized monoclonal antibody, labeled with the alpha-emitters bismuth- 213
(213Bi) and actinium-225 (225Ac). RESULTS: An initial phase I study conducted in
18 patients with relapsed or refractory AML demonstrated the safety and antitumor
effects of 213Bi-lintuzumab. Subsequently, 213Bi-lintuzumab produced remissions
in AML patients after partial cytoreduction with cytarabine in phase I/II trial.
The 46- minute half-life of 213Bi and need for an onsite generator has limited
its utility. Therefore, a secondgeneration construct was developed using 225Ac, a
radiometal that yields four alpha-particle emissions. A phase I trial
demonstrated that a single infusion of 225Ac-lintuzumab could be given safely at
doses up to 111 kBq/kg with anti-leukemic activity across all dose levels
studied. In a second phase I study, 28% of older patients with untreated AML had
objective responses after receiving fractionated-dose 225Aclintuzumab and low
dose cytarabine. CONCLUSION: Based upon the encouraging results seen in phase I
trials of 225Ac-lintuzumab, a phase II study of 225Ac-lintuzumab monotherapy for
older patients with untreated AML is now in progress and is also being studied in
a subset of patients with CD33-positive multiple myeloma.
PMID- 29793419
TI - Role of MicroRNA in the Diagnosis and Therapy of Hepatic Metastases from
Colorectal Cancer.
AB - INTRODUCTION: Colorectal cancer is one of the most common malignancies in both
genders and liver metastasis appear in more than 50% of patients with colorectal
cancer, worsening its morbidity and mortality rates. The existing methods for the
diagnosis and prognosis of colorectal cancer seem to be insufficient to predict
its aggressiveness, leading to poor outcomes for the patient. OBJECTIVE:
MicroRNAs are small non-coding RNAs, which interact with mRNAs in a
posttranscriptional stage, and have been found to be involved in pathogenesis of
cancer and its metastases. Their utility in diagnosis of colorectal liver
metastasis gains ground through serum or tissue examination. METHODS: Several
miRNAs are related to colorectal cancer and its liver metastasis. CONCLUSION:
Some of them have oncogenic and other tumor suppressive role in the development
of colorectal liver metastasis, while many of them have been proved to be
correlated with the overall survival and prognosis of patients with colorectal
cancer. The aim of the present review is to give a detailed account of the
different miRNAs that have been described as playing a role in hepatic metastases
from colorectal cancer, emphasizing their diagnostic, prognostic and therapeutic
implications.
PMID- 29793420
TI - Update on the Regulation of HIPK1, HIPK2 and HIPK3 Protein Kinases by microRNAs.
AB - : The Homeodomain-Interacting Protein Kinases (HIPKs) HIPK1, HIPK2 and HIPK3 are
Ser/Thr kinases which interact with homeobox proteins and other transcription
factors, acting as transcriptional coactivators or corepressors. HIPKs contribute
to regulate several biological processes, such as signal transduction, apoptosis,
embryonic development, DNA-damage response, and cellular proliferation, in
response to various extracellular stimuli. Recently it has emerged that, in
addition to their role in cancer, fibrosis and diabetes, HIPKs may also be
involved in other human diseases, including Amyotrophic Lateral Sclerosis (ALS),
Rett syndrome, cerebellar diseases, and retinal vascular dysfunction. METHODS:
Here, we update our previous paper concerning the regulation of HIPK proteins
expression by microRNAs (miRNAs), pointing out the most recent findings about new
cellular mechanisms and diseases which are affected by the interplay between
HIPKs and miRNAs. CONCLUSION: Recently, it has emerged that HIPKs and their
related miRNAs are involved in diabetic nephropathy, gastric cancer
chemoresistance, cervical cancer progression, and recombinant protein expression
in cultured cells. Interestingly, circular RNAs (circRNAs) deriving from HIPK2
and HIPK3 loci also modulate cellular proliferation and viability by sponging
several miRNAs, thus emerging as new putative therapeutic targets for diabetes
associated retinal vascular dysfunction, astrogliosis and cancer.
PMID- 29793421
TI - Dietary aquaculture by-product hydrolysates: impact on the transcriptomic
response of the intestinal mucosa of European seabass (Dicentrarchus labrax) fed
low fish meal diets.
AB - BACKGROUND: Aquaculture production is expected to double by 2030, and demands for
aquafeeds and raw materials are expected to increase accordingly. Sustainable
growth of aquaculture will require the development of highly nutritive and
functional raw materials to efficiently replace fish meal. Enzymatic hydrolysis
of marine and aquaculture raw materials could bring new functionalities to
finished products. The aim of this study was to determine the zootechnical and
transcriptomic performances of protein hydrolysates of different origins
(tilapia, shrimp, and a combination of the two) in European seabass
(Dicentrarchux labrax) fed a low fish meal diet (5%), for 65 days. RESULTS:
Results were compared to a positive control fed with 20% of fish meal. Growth
performances, anterior intestine histological organization and transcriptomic
responses were monitored and analyzed. Dietary inclusion of protein hydrolysates
in the low fish meal diet restored similar growth performances to those of the
positive control. Inclusion of dietary shrimp hydrolysate resulted in larger
villi and more goblet cells, even better than the positive control.
Transcriptomic analysis of the anterior intestine showed that dietary hydrolysate
inclusion restored a pattern of intestinal gene expression very close to the
pattern of the positive control. However, as compared to the low fish meal diet
and depending on their origin, the different hydrolysates did not modulate
metabolic pathways in the same way. Dietary shrimp hydrolysate inclusion
modulated more metabolic pathways related to immunity, while nutritional
metabolism was more impacted by dietary tilapia hydrolysate. Interestingly, the
combination of the two hydrolysates enhanced the benefits of hydrolysate
inclusion in diets: more genes and metabolic pathways were regulated by the
combined hydrolysates than by each hydrolysate tested independently. CONCLUSIONS:
Protein hydrolysates manufactured from aquaculture by-products are promising
candidates to help replace fish meal in aquaculture feeds without disrupting
animal metabolism and performances.
PMID- 29793422
TI - Biogeography of Coptis Salisb. (Ranunculales, Ranunculaceae, Coptidoideae), an
Eastern Asian and North American genus.
AB - BACKGROUND: Numerous studies have favored dispersal (colonization) over
vicariance (past fragmentation) events to explain eastern Asian-North American
distribution patterns. In plants, however the disjunction between eastern Asia
and western North America has been rarely examined using the integration of
phylogenetic, molecular dating, and biogeographical methods. Meanwhile, the
biogeographic patterns within eastern Asia remain poorly understood. The
goldthread genus Coptis Salisb. includes 15 species disjunctly distributed in
North America, Japan, mainland China, and Taiwan. We present a dated phylogeny
for Coptis under the optimal clock model and infer its historical biogeography by
comparing different biogeographic models. RESULTS: The split of Coptis and
Xanthorhiza Marshall occurred in the middle Miocene (ca. 15.47 Ma). Coptis
started their diversification in the early late Miocene (ca. 9.55 Ma). A late
Miocene vicariance event resulted in the eastern Asian and western North American
disjunction in the genus. Within eastern Asia, dispersals from mainland Asia to
Japan and from Japan to Taiwan occurred at ca. 4.85 Ma and at ca. 1.34 Ma,
respectively. CONCLUSIONS: Our analyses provide evidence that both vicariance and
dispersal events have played important roles in shaping the current distribution
and endemism of Coptis, likely resulting from eustatic sea-level changes,
mountain formation processes and an increasing drier and cooler climate from the
middle Miocene onwards.
PMID- 29793423
TI - Correction to: Identification and characterization of conserved lncRNAs in human
and rat brain.
AB - After publication of the original article [1], it was noticed that the
Acknowledgement statement was incorrect. The original statement reads.
PMID- 29793425
TI - Value of early change of serum C reactive protein combined to modified Alvarado
score in the diagnosis of acute appendicitis.
AB - BACKGROUND: The aim of this study is to test the diagnostic value of baseline and
early change of C-reactive protein (CRP) concentrations, evaluated separately or
in combination with the modified Alvarado score (MAS), in patients with
clinically suspected acute appendicitis. METHODS: This is a prospective
observational study including all patients presenting to the emergency department
with an equivocal diagnosis of acute appendicitis. After inclusion, clinical and
demographic data are recorded and blood samples were taken at baseline and 3 h
after for serum CRP measurements (3 h CRP). The MAS is also calculated for all
patients. The ultimate diagnosis of appendicitis was based on the histologic
findings of the excised appendix in operated patients and clinical follow-up in
emergency department discharged patients. Diagnostic accuracy of admission CRP,
early change of CRP 3 h CRP minus admission CRP, MAS and the combination of these
parameters was expressed by sensitivity, specificity, positive predictive value,
negative predictive value and area under receiver operating characteristics
curve. RESULTS: Five hundred patients were included from January 2010 to December
2013. Overall, 387 patients were operated the negative appendectomy rate was
8,3%. CRP concentrations were higher in patients with acute appendicitis.
However, the diagnostic value of admission CRP, delta CRP and MAS was moderate
with area under ROC curve respectively equal to 0.63, 0.53 and 0.6. Combining
admission CRP and delta CRP values to MAS did not result in a better performance.
The area under ROC curve did not exceed 0.7 with the different combinations.
CONCLUSION: Early change of CRP has a moderate diagnostic value in patients with
clinically suspected acute appendicitis. Combining CRP values to MAS did not
improve diagnostic accuracy.
PMID- 29793424
TI - Population structure and genetic diversity of 25 Russian sheep breeds based on
whole-genome genotyping.
AB - BACKGROUND: Russia has a diverse variety of native and locally developed sheep
breeds with coarse, fine, and semi-fine wool, which inhabit different climate
zones and landscapes that range from hot deserts to harsh northern areas. To
date, no genome-wide information has been used to investigate the history and
genetic characteristics of the extant local Russian sheep populations. To infer
the population structure and genome-wide diversity of Russian sheep, 25 local
breeds were genotyped with the OvineSNP50 BeadChip. Furthermore, to evaluate
admixture contributions from foreign breeds in Russian sheep, a set of 58
worldwide breeds from publicly available genotypes was added to our data.
RESULTS: We recorded similar observed heterozygosity (0.354-0.395) and allelic
richness (1.890-1.955) levels across the analyzed breeds and they are comparable
with those observed in the worldwide breeds. Recent effective population sizes
estimated from linkage disequilibrium five generations ago ranged from 65 to 543.
Multi-dimensional scaling, admixture, and neighbor-net analyses consistently
identified a two-step subdivision of the Russian local sheep breeds. A first
split clustered the Russian sheep populations according to their wool type (fine
wool, semi-fine wool and coarse wool). The Dagestan Mountain and Baikal fine
fleeced breeds differ from the other Merino-derived local breeds. The semi-fine
wool cluster combined a breed of Romanian origin, Tsigai, with its derivative
Altai Mountain, the two Romney-introgressed breeds Kuibyshev and North Caucasian,
and the Lincoln-introgressed Russian longhaired breed. The coarse-wool group
comprised the Nordic short-tailed Romanov, the long-fat-tailed outlier Kuchugur
and two clusters of fat-tailed sheep: the Caucasian Mountain breeds and the
Buubei, Karakul, Edilbai, Kalmyk and Tuva breeds. The Russian fat-tailed breeds
shared co-ancestry with sheep from China and Southwestern Asia (Iran).
CONCLUSIONS: In this study, we derived the genetic characteristics of the major
Russian local sheep breeds, which are moderately diverse and have a strong
population structure. Pooling our data with a worldwide genotyping set gave
deeper insight into the history and origin of the Russian sheep populations.
PMID- 29793426
TI - In vitro investigation of the effects of exogenous sugammadex on coagulation in
orthopedic surgical patients.
AB - BACKGROUND: Previous studies have shown that sugammadex resulted in the
prolongation of prothrombin time and activated partial thromboplastin time. In
this study, we aimed to investigate the in vitro effects of exogenous sugammadex
on the coagulation variables of whole blood in healthy patients who underwent
orthopedic surgery. METHODS: The effects of sugammadex on coagulations were
assessed using thromboelastography (TEG) in kaolin-activated citrated blood
samples taken from 14 healthy patients who underwent orthopedic surgery. The in
vitro effects of three different concentrations of sugammadex (42, 193, and 301
MUg mL- 1) on the TEG profiles were compared with those of the control (0 MUg mL-
1). Previous studies indicated that these exogenous concentrations correspond to
the approximate maximum plasma concentrations achieved after the administration
of 4, 16, and 32 mg kg- 1 sugammadex to healthy subjects. RESULTS: Increased
sugammadex concentrations were significantly associated with reduced coagulation,
as evidenced by increases in reaction time (r), coagulation time, and time to
maximum rate of thrombus generation (TMRTG), and decreases in the angle, maximum
amplitude, and maximum rate of thrombus generation. Compared with the control,
the median percentage change (interquartile range) in the TEG values of the
samples treated with the highest exogenous sugammadex concentration was the
greatest for r, 53% (26, 67.3%), and TMRTG, 48% (26, 59%). CONCLUSIONS: This in
vitro study suggests that supratherapeutic doses of exogenous sugammadex might be
associated with moderate hypocoagulation in the whole blood of healthy subjects.
TRIAL REGISTRATION: identifier: UMIN000029081 , registered 11 September 2017.
PMID- 29793427
TI - Falls and long-term care: a report from the care by design observational cohort
study.
AB - BACKGROUND: Falls and the resulting complications are common among frail older
adults. We aimed to explore risk factors and potential prevention strategies for
falls in elderly residents of Long-Term Care Facilities (LTCF). METHODS: This was
a cross sectional study design using data from the Care by Design (CBD) study,
within Nova Scotia's Capital District Health Authority. This observational time
series cohort study collected data before, during and after the implementation of
CBD, a new model of coordinated primary care in LTCF. Here, we analyzed data
collected after the implementation of CBD (September 1, 2011- February 28, 2012).
RESULTS: Falls were frequent; 56.2% of our sample of 395 residents fell at least
once. In univariate analyses, male gender (p = 0.009), dementia (p = 0.005), and
use of Selective Serotonin Reuptake Inhibitors or Selective Serotonin
Norepinepherine Reuptake Inhibitors (SSRI/SNRI) (p = 0.084) showed statistically
significant associations with having fallen. Benzodiazepine use appeared to be
protective for falls (p = 0.058). In a fully adjusted multivariable linear
regression model, dementia (beta coefficient 0.96, 95% CI: 0.83,1.84; p = 0.032),
visual impairment (beta 0.84, 95% CI: 0.13,1.56; p = 0.021), and use of any PIMs
(beta 0.34, 95% CI: 0.037,0.65; p = 0.028) were associated with increased risk of
having fallen. Benzodiazepine use remained associated with reduced numbers of
falls (p = 0.009), and SSRI/SNRI use was associated with increased numbers of
falls (p = 0.007). Male gender was associated with increased falls in the model
which excluded frailty (p = 0.022), though gender lost statistical significance
once frailty was added to the model (p = 0.06). CONCLUSIONS: In our sample of
LTCF residents, falls were common. Cognitive impairment, male gender, visual
impairment, PIM use and use of SSRI/SNRI medications were associated with
increased risk of falls, while benzodiazepine use appeared to be associated with
a decreased risk of having fallen. Falls remain an important problem among LTC
residents. Screening for falls during patient encounters is recommended, along
with further research to identify risk factors and target interventions.
PMID- 29793428
TI - De novo transcriptome assembly and positive selection analysis of an individual
deep-sea fish.
AB - BACKGROUND: High hydrostatic pressure and low temperatures make the deep sea a
harsh environment for life forms. Actin organization and microtubules assembly,
which are essential for intracellular transport and cell motility, can be
disrupted by high hydrostatic pressure. High hydrostatic pressure can also damage
DNA. Nucleic acids exposed to low temperatures can form secondary structures that
hinder genetic information processing. To study how deep-sea creatures adapt to
such a hostile environment, one of the most straightforward ways is to sequence
and compare their genes with those of their shallow-water relatives. RESULTS: We
captured an individual of the fish species Aldrovandia affinis, which is a
typical deep-sea inhabitant, from the Okinawa Trough at a depth of 1550 m using a
remotely operated vehicle (ROV). We sequenced its transcriptome and analyzed its
molecular adaptation. We obtained 27,633 protein coding sequences using an
Illumina platform and compared them with those of several shallow-water fish
species. Analysis of 4918 single-copy orthologs identified 138 positively
selected genes in A. affinis, including genes involved in microtubule regulation.
Particularly, functional domains related to cold shock as well as DNA repair are
exposed to positive selection pressure in both deep-sea fish and hadal amphipod.
CONCLUSIONS: Overall, we have identified a set of positively selected genes
related to cytoskeleton structures, DNA repair and genetic information
processing, which shed light on molecular adaptation to the deep sea. These
results suggest that amino acid substitutions of these positively selected genes
may contribute crucially to the adaptation of deep-sea animals. Additionally, we
provide a high-quality transcriptome of a deep-sea fish for future deep-sea
studies.
PMID- 29793429
TI - Predictive equation of metastasis in patients with malignant ovarian epithelial
tumors with the Ca-125 marker.
AB - BACKGROUND: Cancer antigen (CA) 125 (CA-125) is used in ovarian cancer detection
and monitoring, whose serum level has a positive correlation with tumor stage.
The aim of this study was to obtain a prediction metastasis equation in a group
of patients with ovarian cancer based on Ca-125. METHODS: A 2-group comparative
observational study was conducted at a single oncologic institution (SOLCA) in
Cuenca-Ecuador. All patients who were diagnosed with ovarian cancer between
January 1996 and December 2016 were included in the current study. Group 1 (G1)
patients with the I and II International Federation of Gynecology and Obstetrics
(FIGO) stage and Metastasis Group (MG), with III and IV stage, were subdivided. A
logistic regression equation was performed to predict metastasis based on
Logarithm of serum Ca-125 levels. RESULTS: We included 85 cases in G1 and 64
patients in MG, with 47.8 +/- 15 years (G1) and 57.5 +/- 13.6 years (MG) of age
(P < 0.001). Mortality in G1 was 2 cases (3.1%) and 53 cases (62.4%) in MG (P <
0.001). The CA-125 serum level was 163.5 +/- 236 in G1 and 1220.9 +/- 1940 u / ml
in MG (P < 0.001). The equation to predict metastasis = (Age*0.053) + [(Logarithm
Ca-125 value) * 1.078] - 8.163 with an OR 2.940 (CI 95% 2.046-4.223) P < 0.001.
The sensitivity of the equation was 82.4% and the specificity was 79.7%.
CONCLUSIONS: It is possible to predict the presence of metastasis in a group of
patients with ovarian cancer based on Ca-125.
PMID- 29793431
TI - Anaesthesia for chest wall reconstruction in a patient with Poland syndrome: CARE
compliant case report and literature review.
AB - BACKGROUND: Poland syndrome is a rare congenital disease, characterized by
agenesis/hypoplasia of the pectoralis major muscle, usually associated with
variable thoracic anomalies that needed chest wall reconstruction under general
anesthesia. Anaesthetic management in Poland syndrome has scarcely been
described. CASE PRESENTATION: Here, we present our anaesthetic management of Nuss
procedure for chest wall correction in a 5 years old patient with Poland
syndrome. We also reviewed the reports of anaesthetic management of Poland
syndrome by searching Pubmed, and summarize the perioperative procedures that may
warrant a safe surgery. CONCLUSIONS: Examinations before surgery, intraoperative
monitoring, choice of general anesthetics and pain management after surgery
should all be contemplated.
PMID- 29793430
TI - New genomic data and analyses challenge the traditional vision of animal
epithelium evolution.
AB - BACKGROUND: The emergence of epithelia was the foundation of metazoan expansion.
Epithelial tissues are a hallmark of metazoans deeply rooted in the evolution of
their complex developmental morphogenesis processes. However, studies on the
epithelial features of non-bilaterians are still sparse and it remains unclear
whether the last common metazoan ancestor possessed a fully functional epithelial
toolkit or if it was acquired later during metazoan evolution. RESULTS: To
investigate the early evolution of animal epithelia, we sequenced the genome and
transcriptomes of two new sponge species to characterize epithelial markers such
as the E-cadherin complex and the polarity complexes for all classes (Calcarea,
Demospongiae, Hexactinellida, Homoscleromorpha) of sponges (phylum Porifera) and
compare them with their homologues in Placozoa and in Ctenophora. We found that
Placozoa and most sponges possess orthologues of all essential genes encoding
proteins characteristic of bilaterian epithelial cells, as well as their
conserved interaction domains. In stark contrast, we found that ctenophores lack
several major polarity complex components such as the Crumbs complex and
Scribble. Furthermore, the E-cadherin ctenophore orthologue exhibits a divergent
cytoplasmic domain making it unlikely to interact with its canonical cytoplasmic
partners. CONCLUSIONS: These unexpected findings challenge the current
evolutionary paradigm on the emergence of epithelia. Altogether, our results
raise doubt on the homology of protein complexes and structures involved in cell
polarity and adhesive-type junctions between Ctenophora and Bilateria epithelia.
PMID- 29793432
TI - Relationship between high platelet reactivity on clopidogrel and long-term
clinical outcomes after drug-eluting stents implantation (PAINT-DES): a
prospective, propensity score-matched cohort study.
AB - BACKGROUND: The relationship between platelet reactivity and long-term clinical
outcomes remains controversial. The present prospective study was designed to
explore the association between high platelet reactivity (HPR) on clopidogrel and
long-term clinical outcomes following implantation of drug eluting stents (DES).
METHODS: A total of 1769 consecutive patients assessed by Aggrestar (PL-11) were
enrolled at our center from February 2011 to December 2017. The primary end point
was major adverse cardiovascular and cerebrovascular events (MACCE), defined as
definite or probable stent thrombosis, spontaneous myocardial infarction, all
cause death, clinically driven target vessel revascularization (TVR), or ischemic
stroke. Bleeding served as the safety endpoint. Propensity score matching (PSM)
analysis was performed to adjust for baseline differences in the overall cohort.
RESULTS: Finally, 409 patients (23.1%) were identified with HPR on clopidogrel.
At a median follow-up of 4.1 years (interquartile range, 1.8 years), the
occurrence of MACCE was significantly higher in HPR on clopidogrel group than
normal platelet reactivity (NPR) on clopidogrel group (15.6% vs. 5.4%, p <
0.001). After PSM, 395 paired patients were matched, and the difference in MACCE
between HPR (15.7%) versus NPR (9.4%) on clopidogrel groups remained significant
(P < 0.001), mainly driven by increased all cause death (5.3% vs. 1.8%, p <
0.001), and clinically driven TVR (8.1% vs. 6.3%, p = 0.019) in the HPR group.
The risk of bleeding between two groups was similar. CONCLUSIONS: This
prospective study confirms the relationship between HPR on clopidogrel and long
term adverse cardiovascular events after coronary stenting.
PMID- 29793433
TI - List randomization for eliciting HIV status and sexual behaviors in rural KwaZulu
Natal, South Africa: a randomized experiment using known true values for
validation.
AB - BACKGROUND: List randomization (LR), a survey method intended to mitigate biases
related to sensitive true/false questions, has received recent attention from
researchers. However, tests of its validity are limited, with no study comparing
LR-elicited results with individually known truths. We conducted a test of LR for
HIV-related responses in a high HIV prevalence setting in KwaZulu-Natal. By using
researcher-known HIV serostatus and HIV test refusal data, we were able to assess
how LR and direct questionnaires perform against individual known truth. METHODS:
Participants were recruited from the participation list from the 2016 round of
the Africa Health Research Institute demographic surveillance system,
oversampling individuals who were HIV positive. Participants were randomized to
two study arms. In Arm A, participants were presented five true/false statements,
one of which was the sensitive item, the others non-sensitive. Participants were
then asked how many of the five statements they believed were true. In Arm B,
participants were asked about each statement individually. LR estimates used data
from both arms, while direct estimates were generated from Arm B alone. We
compared elicited responses to HIV testing and serostatus data collected through
the demographic surveillance system. RESULTS: We enrolled 483 participants, 262
(54%) were randomly assigned to Arm A, and 221 (46%) to Arm B. LR estimated 56%
(95% CI: 40 to 72%) of the population to be HIV-negative, compared to 47% (95%
CI: 39 to 54%) using direct estimates; the population-estimate of the true value
was 32% (95% CI: 28 to 36%). LR estimates yielded HIV test refusal percentages of
55% (95% CI: 37 to 73%) compared to 13% (95% CI: 8 to 17%) by direct estimation,
and 15% (95% CI: 12 to 18%) based on observed past behavior. CONCLUSIONS: In this
context, LR performed poorly when compared to known truth, and did not improve
estimates over direct questioning methods when comparing with known truth. These
results may reflect difficulties in implementation or comprehension of the LR
approach, which is inherently complex. Adjustments to delivery procedures may
improve LR's usefulness. Further investigation of the cognitive processes of
participants in answering LR surveys is warranted.
PMID- 29793434
TI - Comparative transcriptome analysis of the invasive weed Mikania micrantha with
its native congeners provides insights into genetic basis underlying successful
invasion.
AB - BACKGROUND: Mikania micrantha H.B.K. (Asteraceae) is one of the world's most
invasive weeds which has been rapidly expanding in tropical Asia, including
China, while its close relative M. cordata, the only Mikania species native to
China, shows no harm to the local ecosystems. These two species are very similar
in morphology but differ remarkably in several ecological and physiological
traits, representing an ideal system for comparative analysis to investigate the
genetic basis underlying invasion success. In this study, we performed RNA
sequencing on the invader M. micrantha and its native congener M. cordata in
China, to unravel the genetic basis underlying the strong invasiveness of M.
micrantha. For a more robust comparison, another non-invasive congener M.
cordifolia was also sequenced and compared. RESULTS: A total of 52,179, 55,835,
and 52,983 unigenes were obtained for M. micrantha, M. cordata, and M.
cordifolia, respectively. Phylogenetic analyses and divergence time dating
revealed a relatively recent split between M. micrantha and M. cordata, i.e.,
approximately 4.81 million years ago (MYA), after their divergence with M.
cordifolia (8.70 MYA). Gene ontology classifications, pathway assignments and
differential expression analysis revealed higher representation or significant up
regulation of genes associated with photosynthesis, energy metabolism, protein
modification and stress response in M. micrantha than in M. cordata or M.
cordifolia. Analysis of accelerated evolution and positive selection also
suggested the importance of these related genes and processes to the adaptability
and invasiveness of M. micrantha. Particularly, most (77 out of 112, i.e. 68.75%)
positively selected genes found in M. micrantha could be classified into four
groups, i.e., energy acquisition and utilization (10 genes), growth and
reproduction (13 genes), protection and repair (34 genes), and signal
transduction and expression regulation (20 genes), which may have contributed to
the high adaptability of M. micrantha to various new environments and the
capability to occupy a wider niche, reflected in its high invasiveness.
CONCLUSIONS: We characterized the transcriptomes of the invasive species M.
micrantha and its non-invasive congeners, M. cordata and M. cordifolia. A
comparison of their transcriptomes provided insights into the genetic basis of
the high invasiveness of M. micrantha.
PMID- 29793435
TI - Exposure to lower red to far-red light ratios improve tomato tolerance to salt
stress.
AB - BACKGROUND: Red (R) and far-red (FR) light distinctly influence phytochrome
mediated initial tomato growth and development, and more recent evidence
indicates that these spectra also modulate responses to a multitude of abiotic
and biotic stresses. This research investigated whether different R: FR values
affect tomato growth response and salinity tolerance. Tomato seedlings were
exposed to different R: FR conditions (7.4, 1.2 and 0.8) under salinity stress
(100 mM NaCl), and evaluated for their growth, biochemical changes, active
reactive oxygen species (ROS) and ROS scavenging enzymes, pigments, rate of
photosynthesis, and chlorophyll fluorescence. RESULTS: The results showed that
under conditions of salinity, tomato seedlings subjected to a lower R: FR value
(0.8) significantly increased both their growth, proline content, chlorophyll
content and net photosynthesis rate (Pn), while they decreased malondialdehyde
(MDA) compared to the higher R: FR value (7.4). Under conditions of salinity, the
lower R: FR value caused a decrease in both the superoxide anion (O2*-) and in
hydrogen peroxide (H2O2) generation, an increase in the activities of
superoxidase dismutase (SOD, EC 1.15.1.1), peroxidase (POD, EC 1.11.1.7) and
catalase (CAT, EC 1.11.1.7). Tomato seedlings grown under the lower R: FR value
and conditions of salinity showed a higher actual quantum yield of photosynthesis
(PhiPSII), electron transport rate (ETR), and photochemical quenching (qP) than
those exposed to a higher R: FR, indicating overall healthier growth. However,
the salinity tolerance induced at the lower R: FR condition disappeared in the
tomato phyB1 mutant. CONLUSION: These results suggest that growing tomato with a
lower R: FR value could improve seedlings' salinity tolerance, and phytochrome B1
play an very important role in this process. Therefore, different qualities of
light can be used to efficiently develop abiotic stress tolerance in tomato
cultivation.
PMID- 29793436
TI - Comorbidities and co-medications in populations with and without chronic
hepatitis C virus infection in Japan between 2015 and 2016.
AB - BACKGROUND: Direct-acting anti-viral agents have improved the treatment of
chronic hepatitis C virus (HCV) infection, but this treatment is challenging for
patients using co-medications because of potential drug-drug interactions. This
study aimed to examine the comorbidities and co-medications of Japanese chronic
HCV patients by age group, compared with a non-HCV patient population. METHODS:
This was a retrospective observational study using a hospital-based medical
claims database. We extracted data of patients with chronic HCV aged >=18 years,
and age-, sex-, and hospital-matched patients without HCV, for the period from
January 2015 to November 2016, and then examined chronic comorbidities, long-term
co-medications, and medications prescribed at least once during the study period.
RESULTS: We analysed data from 128,967 chronic HCV patients and 515,868 non-HCV
patients. The median age was 70 years, and 51.0% of patients were male. More
chronic HCV patients than non-HCV patients (70.5% vs. 47.1%) had at least one
comorbidity, and older patients had more comorbidities than younger patients. The
most common comorbidities in chronic HCV patients were diseases of oesophagus,
stomach and duodenum (41.7%), followed by hypertensive diseases (31.4%). Chronic
HCV patients used co-medications more commonly than non-HCV patients, and older
patients used more co-medications. The most common long-term co-medications in
chronic HCV patients were proton pump inhibitors (14.0%), which were prescribed
to 31.9% of chronic HCV patients at least once during the study period.
CONCLUSIONS: Patients with chronic HCV in Japan had more comorbidities than
patients without chronic HCV regardless of age. Particularly older patients, who
constitute the majority of the HCV patient population in Japan, commonly had
multiple comorbidities and used co-medications. To optimise HCV treatment,
physicians need to know the exact medication profiles of patients and take
appropriate action to manage drug-drug interactions.
PMID- 29793437
TI - Cost of illness of ischemic heart disease in Japan: a time trend and future
projections.
AB - BACKGROUND: Ischemic heart disease (IHD/ICD10: I20-I25) is the second leading
cause of deaths in Japan and accounts for 40% of deaths due to heart diseases.
This study aimed to calculate the economic burden of IHD using the cost of
illness (COI) method and to identify key factors that drive the change of the
economic burden of IHD. METHODS: We calculated the cost of illness (COI) every 3
years from 1996 to 2014 using governmental statistics. We then predicted the COI
for every 3 years starting from 2017 up to 2029 using the fixed and variable
model estimations. Only the estimated future population was used as a variable in
the fixed model estimation. By contrast, variable model estimation considered the
time trend of health-related indicators over the past 18 years. We derived the
COI from the sum of direct and indirect costs (morbidity and mortality). RESULTS:
The past estimation of COI slightly increased from 1493.8 billion yen in 1996 to
1708.3 billion yen in 2014. Future forecasts indicated that it would decrease
from 1619.0 billion yen in 2017 to 1220.5 billion yen in 2029. CONCLUSION: The
past estimation showed that the COI of IHD increased; in the mixed model, the COI
was predicted to decrease with the continuing trend of health-related indicators.
The COI of IHD in the future projection showed that, although the average age of
death increased by social aging, the influence of the number of deaths and
mortality cost decreased.
PMID- 29793438
TI - Attitudes of U.S. Hispanic and non-Hispanic women toward congenital CMV
prevention behaviors: a cross sectional study.
AB - BACKGROUND: Congenital cytomegalovirus (CMV) infection is the most common
intrauterine infection. The only way to protect against congenital CMV infection
is to practice CMV prevention behaviors. CMV seroprevalence rates are high in
Hispanic women. It is unknown whether communication strategies should differ by
ethnicity. The purpose of this study was to understand differences between U.S.
Hispanic and non-Hispanic women's attitudes toward CMV prevention behaviors and
examine the relationship between perceived subjective norms and these attitudes.
METHODS: This was a cross-sectional study using an online panel. Participants
were U.S. women of childbearing age. The dependent variable was attitude toward
practicing CMV prevention behaviors, specifically avoiding sharing cups, food,
and utensils with a child and not kissing a child on the lips. RESULTS: Among 818
women (50% Hispanic), 16.8% of Hispanic women and 9.7% of non-Hispanic women (p =
0.002) reported familiarity with CMV. Attitudes toward CMV prevention through
avoiding sharing behaviors (M Hispanic = 5.55 vs. M non-Hispanic = 5.20; p =
0.002) and not kissing a child on the lips (M Hispanic = 4.80 vs. M non-Hispanic
= 4.21; p = 0.001) were positive for both ethnicities, but higher for Hispanic
women. Hispanic women (M = 5.11) reported higher perceived behavioral control for
avoiding kissing a child on the lips than non-Hispanic women (M = 4.63; p =
0.001). Hispanic women who were U.S. born or spoke English primarily more
frequently kissed a child on the lips or engaged in sharing behaviors.
Additionally, those who spoke Spanish mostly held more positive attitudes toward
not kissing on the lips. Significant predictors for more positive attitudes
toward CMV prevention behaviors were associated with perceived subjective norms,
perceived behavioral control and pre-survey participation in risk behaviors.
CONCLUSIONS: Hispanic women have more positive attitudes toward CMV prevention
behaviors than non-Hispanic women, however in regression models other factors are
more important predictors of positive attitudes than ethnicity. In developing
strategies to encourage women to practice CMV prevention behaviors, a focus on
further understanding and increasing subjective norms and perceived control over
those behaviors may be warranted.
PMID- 29793439
TI - KIBRA; a novel biomarker predicting recurrence free survival of breast cancer
patients receiving adjuvant therapy.
AB - BACKGROUND: This study was carried out to evaluate the prognostic value of KIBRA
in breast cancer. METHODS: This retrospective study included breast cancer
patients who sought the services of the immunohistochemistry laboratory of our
unit from 2006 to 2015. Tissue microarrays were constructed and
immunohistochemical staining was done to assess the KIBRA expression. The Kaplan
Meier model for univariate and Cox-regression model with backward stepwise factor
retention method for multivariate analyses were used. Chi square test was used to
find out the associations with the established prognostic features. RESULTS: A
total of 1124 patients were included in the study and KIBRA staining of 909
breast cancers were available for analysis. Cytoplasmic KIBRA expression was seen
in 39.5% and nuclear expression in 44.8%. Overall KIBRA-low breast cancers
accounted for 41.5%. KIBRA nuclear expression was significantly associated with
positive ER and PR expression. Luminal breast cancer patients who had endocrine
therapy and KIBRA-low expression had a RFS disadvantage over those who were
positive for KIBRA (p = 0.02). Similarly, patients who received chemotherapy and
had overall KIBRA-low expression also demonstrated a RFS disadvantage compared to
those who had overall positive KIBRA expression (p = 0.018). This effect of KIBRA
was independent of the other factors considered for the model. CONCLUSION:
Overall low-KIBRA expression has an independent effect on the RFS and predicts
the RFS outcome of luminal breast cancer patients who received endocrine therapy
and breast cancer patients who received chemotherapy.
PMID- 29793440
TI - Using high throughput microtissue culture to study the difference in prostate
cancer cell behavior and drug response in 2D and 3D co-cultures.
AB - BACKGROUND: There is increasing appreciation that non-cancer cells within the
tumour microenvironment influence cancer progression and anti-cancer drug
efficacy. For metastatic prostate cancer (PCa), the bone marrow microenvironment
influences metastasis, drug response, and possibly drug resistance. METHODS:
Using a novel microwell platform, the Microwell-mesh, we manufactured hundreds of
3D co-culture microtissues formed from PCa cells and bone marrow stromal cells.
We used luciferase-expressing C42B PCa cells to enable quantification of the
number of PCa cells in complex microtissue co-cultures. This strategy enabled us
to quantify specific PCa cell growth and death in response to drug treatment, in
different co-culture conditions. In parallel, we used Transwell migration assays
to characterize PCa cell migration towards different 2D and 3D stromal cell
populations. RESULTS: Our results reveal that PCa cell migration varied depending
on the relative aggressiveness of the PCa cell lines, the stromal cell
composition, and stromal cell 2D or 3D geometry. We found that C42B cell
sensitivity to Docetaxel varied depending on culture geometry, and the presence
or absence of different stromal cell populations. By contrast, the C42B cell
response to Abiraterone Acetate was dependent on geometry, but not on the
presence or absence of stromal cells. CONCLUSION: In summary, stromal cell
composition and geometry influences PCa cell migration, growth and drug response.
The Microwell-mesh and microtissues are powerful tools to study these complex 3D
interactions.
PMID- 29793441
TI - Dynamic transcriptome profiling towards understanding the morphogenesis and
development of diverse feather in domestic duck.
AB - BACKGROUND: Feathers with complex and fine structure are hallmark avian
integument appendages, which have contributed significantly to the survival and
breeding for birds. Here, we aimed to explore the differentiation, morphogenesis
and development of diverse feathers in the domestic duck. RESULTS: Transcriptome
profiles of skin owing feather follicle from two body parts at three
physiological stages were constructed to understand the molecular network and
excavate the candidate genes associated with the development of plumulaceous and
flight feather structures. The venn analysis of differentially expressed genes
(DEGs) between abdomen and wing skin tissues at three developmental stages showed
that 38 genes owing identical differentially expression pattern. Together, our
data suggest that feather morphological and structural diversity can be possibly
related to the homeobox proteins. The key series-clusters, many candidate
biological processes and genes were identified for the morphogenesis, growth and
development of two feather types. Through comparing the results of developmental
transcriptomes from plumulaceous and flight feather, we found that DEGs belonging
to the family of WNT, FGF and BMP have certain differences; even the consistent
DEGs of skin and feather follicle transcriptomes from abdomen and wing have the
different expression patterns. CONCLUSIONS: Overall, this study detected many
functional genes and showed differences in the molecular mechanisms of diverse
feather developments. The findings in WNT, FGF and BMP, which were consistent
with biological experiments, showed more possible complex modulations. A
correlative role of HOX genes was also suggested but future biological
verification experiments are required. This work provided valuable information
for subsequent research on the morphogenesis of feathers.
PMID- 29793442
TI - HLA-DQ2 and -DQ8 genotype frequency in Syrian celiac disease children: HLA-DQ
relative risks evaluation.
AB - BACKGROUND: Celiac disease (CD) is a common autoimmune disease in Syria which
manifesting with inflammation of the small intestine and with various extra
intestinal symptoms. The disease is associated with human HLA-DQ genes encoding
HLA-DQ2 and DQ8 proteins. METHODS: In this study, 49 children patients of CD and
58 healthy control samples were genotyped for HLA-DQ genes using SSP-PCR
technique. Relative risks for different genotypes were also evaluated. RESULTS:
The DQB1*0201 allele was the most common in the patients (77.6%) followed by
DQB1*0302 allele (10.2%). The highest HLA-DQB risk for CD development was found
in patients carriers a DQ2.5/DQ8 genotype (1/10), followed by the patients
carriers DQ2.5/DQ2.5 (1/12). CONCLUSION: The significant differences in the
frequency of HLA-DQ2 and HLA-DQ8 in Syrian patients in compared with controls and
relative risks predicted demonstrated the importance role of these alleles in the
development of CD in Syrian children patients.
PMID- 29793443
TI - Turnover intention and related factors among general practitioners in Hubei,
China: a cross-sectional study.
AB - BACKGROUND: High turnover among general practitioners (GPs) is a significant
challenge in China's efforts to build a sustainable, effective primary care
system, but little data is available to help understand and address this issue.
The study was aiming at assessing the intention to leave their posts among a
sample of GPs and investigating associated factors. METHODS: A cross-sectional
survey was conducted between December 12, 2014 and March 10, 2015 in Hubei
Province, Central China. A total of 1016 GPs (response rate, 85.67%) were
investigated by using a structured self-administered questionnaire. A generalized
linear regression model was used to identify the associated factors with turnover
intention among GPs. RESULTS: Based on a full score of 24, the average score for
GPs' turnover intention was 15.40 (SD = 3.43). 78.35% of the GPs had a moderate
or higher level of turnover intention. Six hundred and thirty one (62.37%) GPs
had ever been exposed to abuse of any kind (physical assault, 18.92%; verbal
abuse, 54.38%; threat, 33.79%; verbal sexual harassment, 22.66%; and physical
sexual harassment, 7.59%). Generalized linear regression analysis indicated that
GPs who were male; who had a vocational school or higher; who had a temporary
work contract; who were with lower level of job satisfaction; who reported higher
scores on emotional exhaustion; who had been exposed to higher frequency of
workplace violence were expressed higher intention to leave their present
positions. CONCLUSION: This study shows that GP's intention to leave general
practices is high in Hubei, China. In addition, the prevalence of workplace
violence is high among GPs, particularly in the verbal abuse and threat. Measures
such as offering permanent contract status, increasing overall job satisfaction,
and improving doctor-patient relationship, are needed to moderate GP's turnover
intention in order to maintain the foundation of China's three-tier health
system.
PMID- 29793444
TI - PROstate Multicentre External beam radioTHErapy Using a Stereotactic boost: the
PROMETHEUS study protocol.
AB - BACKGROUND: High Dose Rate Brachytherapy (HDRB) boost is a well-established
treatment for prostate cancer (PC). We describe the PROstate Multicentre External
beam radioTHErapy Using Stereotactic boost (PROMETHEUS) study. Non-surgical
stereotactic techniques are used to deliver similar doses to HDRB boost regimens
with a dose escalation sub-study. METHODS: Eligible patients have intermediate or
high risk PC. PROMETHEUS explores the safety, efficacy and feasibility of
multiple Australian centres cooperating in the delivery of Prostate Stereotactic
Body Radiotherapy (SBRT) technology. A SBRT boost component Target Dose (TD) of
19Gy in two fractions is to be delivered, followed by a subsequent EBRT component
of 46Gy in 23 fractions. Once accrual triggers have been met, SBRT doses can be
escalated in 1 Gy increments to a maximum of 22Gy in two fractions. Patient
safety will also be measured with the rate of both acute and late moderate to
severe Gastro-Intestinal (GI) and Genito-Urinary (GU) Common Terminology Criteria
for Adverse Events (CTCAE) toxicities as well as patient reported quality of
life. Efficacy will be assessed via biochemical control after 3 years.
DISCUSSION: PROMETHEUS aims to generate evidence for a non-surgical possible
future alternative to HDRB boost regimens, and introduce advanced radiotherapy
techniques across multiple Australian cancer centres. TRIAL REGISTRATION: The
study was retrospectively registered on the ANZCTR (Australian New Zealand
Clinical Trials Registry) with trial ID: ACTRN12615000223538 .
PMID- 29793445
TI - Heritability of myopia and its relation with GDJ2 and RASGRF1 genes in Lithuania.
AB - BACKGROUND: This study aimed to assess heritability of myopia in Lithuania and
evaluate both genes GJD2 (Gap Junction Protein, Delta 2) and RASGRF1 (RAS protein
specific guanine nucleotide-releasing factor 1) relation with myopia. METHODS: In
this study Lithuanian twin population aged between 18 and 40 (n = 460) were
examined. Single-nucleotide polymorphisms of the RASGRF1 (rs8027411) and GJD2
(rs634990) genes were assessed by real-time polymerase chain reaction method.
RESULTS: Intrapair correlations for spherical equivalent in all twin pairs were
significantly higher in MZ twin pairs r = 0.539 (p < 0.001, 95% CI 0.353-0.684)
than in DZ twin pairs r = 0.203 (p < 0.01, 95% CI 0.0633-0.442) in myopia group.
Correlations for spherical equivalent in emmetropia group were not significant in
MZ twin pairs r = 0.091 (p > 0.05, 95% CI -0.215-0.381) and in DZ twin pairs r =
0.220 (p > 0.05, 95% CI -0.587-0.222). The odds ratio (95% CI) were 2.7 (1.018
7.460) for combinations of genotypes of rs634990 CC and rs8027411 GT (p = 0.046).
CONCLUSIONS: Our studies have shown that the heritability of myopia makes 67.2%
in Lithuania. Persons with combinations of genotypes rs634990 CC and rs8027411 GT
have 2.7 times higher odds to have myopia.
PMID- 29793447
TI - B4GALT1 expression predicts prognosis and adjuvant chemotherapy benefits in
muscle-invasive bladder cancer patients.
AB - BACKGROUND: The expression alterations of B4GALT1 have been noted in some types
of cancer and they are related to cancer cell proliferation, invasiveness,
metastasis, and drug resistance. We aimed to establish the expression of B4GALT1
in bladder cancer and its connection to patient outcomes, as well as forecasting
the advantages of adjuvant chemotherapy (ACT) in patients with muscle-invasive
bladder cancer (MIBC). METHODS: There were 142 and 112 MIBC patients who were
consecutively recruited and treated via radical cystectomy from 2008 to 2012 in
Shanghai Zhongshan Hospital and Fudan University Shanghai Cancer Center (FUSCC),
respectively. Tissue microarrays (TMAs) were constructed in triplicate from
specimens that had been fixed in formalin and embedded in paraffin samples.
Immunohistochemistry was conducted to evaluate B4GALT1 expression in tumor cores,
the connection between B4GALT1 expression and patients' clinical characteristics,
and clinical results. RESULTS: B4GALT1 expression was not connected to clinical
prognosis markers, but it was linked to overall survival (OS) (P = 0.013 and P =
0.010, respectively) in the two groups. Moreover, the high levels of B4GALT1
expression were independent indicators of poor OS (P = 0.026 and P = 0.046,
respectively). Inclusion of B4GALT1 in the prognostic model revealed a greater
predictive accuracy than the primary models. In addition, no differences were
observed between B4GALT1 expression (low vs. high) and CD8+ T cell infiltration
density (number/cm2) within tumor cores, but there was a positive Pearson
correlation between B4GALT1 expression and expression of inhibitory receptor
ligands, such as PD-L1 and CTLA4. Most significantly, the advantage of ACT noted
in pT3/4 or N+ bladder cancer patients with low B4GALT1 expression was greater
than in patients with a high B4GALT1 expression. CONCLUSIONS: Our evaluation
indicated that B4GALT1 may be a possible prognosticator of MIBC, and it may be a
predictive marker for the choice of ACT in pT3/4 or N+ patients.
PMID- 29793446
TI - Repurposing existing medications as cancer therapy: design and feasibility of a
randomized pilot investigating propranolol administration in patients receiving
hematopoietic cell transplantation.
AB - BACKGROUND: Repurposing existing medications for antineoplastic purposes can
provide a safe, cost-effective, and efficacious means to further augment
available cancer care. Clinical and preclinical studies suggest a role for the
beta-adrenergic antagonist (beta-blocker) propranolol in reducing rates of tumor
progression in both solid and hematologic malignancies. In patients undergoing
hematopoietic cell transplantation (HCT), the peri-transplant period is a time of
increased activity of the beta-adrenergically-mediated stress response. METHODS:
We conducted a proof-of-concept randomized controlled pilot study assessing the
feasibility of propranolol administration to patients between ages 18-75 who
received an autologous HCT for multiple myeloma. Feasibility was assessed by
enrollment rate, tolerability, adherence, and retention. RESULTS: One hundred
fifty-four patients underwent screening; 31 (20%) enrolled in other oncology
trials that precluded dual trial enrollment and 9 (6%) declined to enroll in the
current trial. Eighty-nine (58%) did not meet eligibility requirements and 25
(16%) were eligible; of the remaining eligible patients, all were successfully
enrolled and randomized. The most common reasons for ineligibility were current
beta-blocker use, age, logistics, and medical contraindications. 92% of treatment
arm patients tolerated and remained on propranolol for the study duration; 1
patient discontinued due to hypotension. Adherence rate in assessable patients (n
= 10) was 94%. Study retention was 100%. CONCLUSIONS: Findings show that it is
feasible to recruit and treat multiple myeloma patients with propranolol during
HCT, with the greatest obstacle being other competing oncology trials. These data
support further studies examining propranolol and other potentially repurposed
drugs in oncology populations. TRIAL REGISTRATION: This randomized controlled
trial was registered at clinicaltrials.gov with the identifier NCT02420223 on
April 17, 2015.
PMID- 29793448
TI - Putative bovine topological association domains and CTCF binding motifs can
reduce the search space for causative regulatory variants of complex traits.
AB - BACKGROUND: Topological association domains (TADs) are chromosomal domains
characterised by frequent internal DNA-DNA interactions. The transcription factor
CTCF binds to conserved DNA sequence patterns called CTCF binding motifs to
either prohibit or facilitate chromosomal interactions. TADs and CTCF binding
motifs control gene expression, but they are not yet well defined in the bovine
genome. In this paper, we sought to improve the annotation of bovine TADs and
CTCF binding motifs, and assess whether the new annotation can reduce the search
space for cis-regulatory variants. RESULTS: We used genomic synteny to map TADs
and CTCF binding motifs from humans, mice, dogs and macaques to the bovine
genome. We found that our mapped TADs exhibited the same hallmark properties of
those sourced from experimental data, such as housekeeping genes, transfer RNA
genes, CTCF binding motifs, short interspersed elements, H3K4me3 and H3K27ac. We
showed that runs of genes with the same pattern of allele-specific expression
(ASE) (either favouring paternal or maternal allele) were often located in the
same TAD or between the same conserved CTCF binding motifs. Analyses of variance
showed that when averaged across all bovine tissues tested, TADs explained 14% of
ASE variation (standard deviation, SD: 0.056), while CTCF explained 27% (SD:
0.078). Furthermore, we showed that the quantitative trait loci (QTLs) associated
with gene expression variation (eQTLs) or ASE variation (aseQTLs), which were
identified from mRNA transcripts from 141 lactating cows' white blood and milk
cells, were highly enriched at putative bovine CTCF binding motifs. The linearly
furthermost, and most-significant aseQTL and eQTL for each genic target were
located within the same TAD as the gene more often than expected (Chi-Squared
test P-value < 0.001). CONCLUSIONS: Our results suggest that genomic synteny can
be used to functionally annotate conserved transcriptional components, and
provides a tool to reduce the search space for causative regulatory variants in
the bovine genome.
PMID- 29793449
TI - Quantification of macular perfusion using optical coherence tomography
angiography: repeatability and impact of an eye-tracking system.
AB - BACKGROUND: The aim of the study was to evaluate the impact of integration of the
eye-tracking system (ET) on the repeatability of flow density measurements using
optical coherence tomography (OCT) angiography. METHODS: 20 healthy subjects were
included in this study. OCT-angiography was performed using RTVue XR Avanti
(Optovue Inc., Fremont, California, USA). The macula was imaged using a 3 * 3 mm
scan twice with and twice without activation of the ET. Flow density data of the
macular in the superficial and deep OCT angiograms were extracted and analyzed.
RESULTS: The difference between the flow density (whole en face) in the first
session and second session with and without ET was statistically non-significant
(with ET: superficial retinal OCT angiogram: p = 0.50; deep retinal OCT
angiogram: p = 0.89; without ET: superficial retinal OCT angiogram: p = 0.81;
deep retinal OCT angiogram: p = 0.24). There was no significant difference in the
coefficients of repeatability for measurements with and without ET in the
superficial retinal OCT angiogram (adjusted p-value = 0.176), whereas the
difference was significant for the deep retinal OCT angiogram (adjusted p-value =
0.008). CONCLUSIONS: Integration of the ET improved the repeatability of flow
density measurements in the deep OCT angiogram; this needs to be considered when
evaluating the long-term changes of flow density and when comparing data of
different studies and different devices.
PMID- 29793451
TI - The effect of financial incentives on patients' motivation for treatment: results
of "Money for Medication," a randomised controlled trial.
AB - BACKGROUND: Offering financial incentives is an effective intervention for
improving adherence in patients taking antipsychotic depot medication. We
assessed whether patients' motivation for treatment might be reduced after
receiving financial rewards. METHODS: This study was part of Money for
Medication, a multicentre, open-label, randomised controlled trial, which
demonstrated the positive effects of financial incentives on antipsychotic depot
compliance. Three mental healthcare institutions in Dutch secondary psychiatric
care services participated. Eligible patients were aged 18-65 years, had been
diagnosed with schizophrenia or another psychotic disorder, had been prescribed
antipsychotic depot medication or had an indication to start using depot
medication, and were participating in outpatient treatment. For 12 months,
patients were randomly assigned either to treatment as usual (control group) or
to treatment as usual plus a financial reward for each depot of medication
received (?30 per month if fully compliant; intervention group). They were
followed up for 6 months, during which time no monetary rewards were offered for
taking antipsychotic medication. To assess treatment motivation after 0, 12 and
18 months, interviews were conducted using a supplement to the Health of the
Nation Outcome Scales (HoNOS) and the Treatment Entry Questionnaire (TEQ).
RESULTS: Patients were randomly assigned to the intervention (n = 84) or the
control group (n = 85). After 12 months, HoNOS motivation scores were available
for 131 patients (78%). Ninety-one percent of the patients had no or mild
motivational problems for overall treatment; over time, there were no significant
differences between the intervention and control groups. TEQ data was available
for a subgroup of patients (n = 61), and showed no significant differences over
time between the intervention and control groups for external motivation (beta =
0.37 95% CI: -2.49 - 3.23, p = 0.799); introjected motivation (beta = - 2.39 95%
CI: -6.22 - 1.44, p = 0.222); and identified motivation (beta = - 0.91 95% CI:
4.42 - 2.61, p = 0.613). After the 6-month follow-up period, results for the
HoNOS and TEQ scores remained comparable. CONCLUSIONS: Offering financial
incentives for taking antipsychotic depot medication does not reduce patients'
motivation for treatment. TRIAL REGISTRATION: Netherlands Trial registration,
number NTR2350 .
PMID- 29793450
TI - Endogenous H2S producing enzymes are involved in apoptosis induction in clear
cell renal cell carcinoma.
AB - BACKGROUND: Knowledge about the expression and thus a role of enzymes that
produce endogenous H2S - cystathionine-beta-synthase, cystathionine gamma-lyase
and mercaptopyruvate sulfurtransferase - in renal tumors is still controversial.
In this study we aimed to determine the expression of these enzymes relatively to
the expression in unaffected part of kidney from the same patient and to found
relation of these changes to apoptosis. To evaluate patient's samples, microarray
and immunohistochemistry was used. METHODS: To determine the physiological
importance, we used RCC4 stable cell line derived from clear cell renal cell
carcinoma, where apoptosis induction by a mixture of five chemotherapeutics
with/without silencing of H2S-producing enzymes was detected. Immunofluorescence
was used to determine each enzyme in the cells. RESULTS: In clear cell renal cell
carcinomas, expression of H2S-producing enzymes was mostly decreased compared to
a part of kidney that was distal from the tumor. To evaluate a potential role of
H2S-producing enzymes in the apoptosis induction, we used RCC4 stable cell line.
We have found that silencing of cystathionine-beta-synthase and cystathionine
gamma-lyase prevented induction of apoptosis. Immunofluorescence staining clearly
showed that these enzymes were upregulated during apoptosis in RCC4 cells.
CONCLUSION: Based on these results we concluded that in clear cell renal cell
carcinoma, reduced expression of the H2S-producing enzymes, mainly cystathionine
gamma-lyase, might contribute to a resistance to the induction of apoptosis.
Increased production of the endogenous H2S, or donation from the external sources
might be of a therapeutic importance in these tumors.
PMID- 29793452
TI - Prevalence of psychological distress among parents of children with intellectual
disabilities in Malawi.
AB - BACKGROUND: Children with intellectual disabilities are common and are increasing
in number as more children survive globally. In stark contrast to the 1-3%
prevalence of intellectual disability in children globally (reported by WHO),
studies from Malawi provide alarmingly high rates (26%). We know that the
prevalence of psychological distress is as high as 50% in parents of children
with intellectual disabilities in Europe and the US. No such studies have yet
been conducted in Africa. This study is aimed at determining the prevalence and
risk factors for psychological distress among parents of intellectually disabled
children in Malawi. METHODS: This quantitative cross-sectional study was
conducted in January and February 2015. One hundred and seventy mothers and
fathers of children with intellectual disabilities as diagnosed by psychiatric
clinical officers were randomly sampled from two selected child disability
clinics. The Self-Reporting Questionnaire (SRQ) was used "as measure for
psychological distress and questions on socio-demographic variables were
administered to all consenting participants." Data was coded, cleaned and
analyzed using STATA. RESULTS: 70/170 (41.2%) of parents of children with
intellectual disabilities reported psychological distress. Univariate and
multivariate analysis showed that area of residence (P < 0.05), low socio
economic status (P < 0.05), knowledge of the disability of one's child (P <
0.05), low confidence in managing the disabled child (P < 0.05), increased
perceived burden of care (P = 0.05), and having no sources for psychological
support (P < 0.05) significantly predicted psychological distress among the
parents for children with disabilities. CONCLUSION: There is huge burden of
psychological distress among parents of intellectually disabled children in
Malawi. Psychosocial interventions are urgently needed to support parents of
children with intellectual disability in Malawi.
PMID- 29793454
TI - The prevalence of smoking, second-hand smoke exposure, and knowledge of the
health hazards of smoking among internal migrants in 12 provinces in China: a
cross-sectional analysis.
AB - BACKGROUND: Previous studies have provided inconsistent findings on smoking among
migrants, and very limited data exist on their second-hand smoke exposure. This
study aims to investigate internal migrants' smoking prevalence, second-hand
smoke exposure among non-smokers, and knowledge of the health hazards of smoking
in 12 major migrant provinces in China in 2013. METHODS: Data from the 2013
Migrant Dynamics Monitoring Survey in China published by the National Commission
of Health and Family Planning was used in this study. Descriptive analysis, Chi
square analysis, and sex-stratified multivariate logistic regression analysis
were used to explore the determinants of current smoking and second-hand smoke
exposure. RESULTS: Among 7200 migrants, 34.1% (55% male, 4% female) were current
smokers. For males, factors associated with current smoking were education year
(aOR = 0.95, 95% CI: 0.93-0.98), duration of stay (aOR = 1.01, 95% CI: 1.00-1.03)
and occupation (aOR = 1.25, 95% CI: 1.03-1.53). For females, household
registration status (aOR = 1.70, 95% CI: 1.04-2.80) was the most important factor
associated with current smoking. Sixty five percent of non-smokers were exposed
to second-hand smoke. Factors associated with exposure to second-hand smoke were
duration of stay (aOR = 1.01, 95% CI: 1.00-1.02), divorced/widowed marital status
(aOR = 0.48, 95% CI: 0.25-0.91), occupation (aOR = 1.29, 95% CI: 1.05-1.58) and
the nature of employer (aOR = 0.77, 95% CI: 0.60-0.97). About 95% of participants
were aware that lung cancer is one of the hazards of smoking. Non-current smokers
had a better knowledge of fertility reduction and accelerated aging as hazards of
smoking than current smokers (p < 0.01). Knowledge of the impact of smoking on
cardiovascular diseases was relatively low compared with knowledge of other
smoking-related hazards (26.1-44.3%). CONCLUSIONS: Current smoking and exposure
to second-hand smoke among internal migrants in China is high. Socio-demographic
characteristics and migration status were strongly associated with current
smoking and second-hand smoke exposure. We recommend specifically targeted
tobacco control interventions to help to address these risk factors, such as
focusing on divorced/widowed women.
PMID- 29793453
TI - An assessment of public health surveillance of Zika virus infection and
potentially associated outcomes in Latin America.
AB - BACKGROUND: We evaluated whether outbreaks of Zika virus (ZIKV) infection,
newborn microcephaly, and Guillain-Barre syndrome (GBS) in Latin America may be
detected through current surveillance systems, and how cases detected through
surveillance may increase health care burden. METHODS: We estimated the
sensitivity and specificity of surveillance case definitions using published
data. We assumed a 10% ZIKV infection risk during a non-outbreak period and
hypothetical increases in risk during an outbreak period. We used sensitivity and
specificity estimates to correct for non-differential misclassification, and
calculated a misclassification-corrected relative risk comparing both periods. To
identify the smallest hypothetical increase in risk resulting in a detectable
outbreak we compared the misclassification-corrected relative risk to the
relative risk corresponding to the upper limit of the endemic channel (mean + 2
SD). We also estimated the proportion of false positive cases detected during the
outbreak. We followed the same approach for microcephaly and GBS, but assumed the
risk of ZIKV infection doubled during the outbreak, and ZIKV infection increased
the risk of both diseases. RESULTS: ZIKV infection outbreaks were not detectable
through non-serological surveillance. Outbreaks were detectable through serologic
surveillance if infection risk increased by at least 10%, but more than 50% of
all cases were false positive. Outbreaks of severe microcephaly were detected if
ZIKV infection increased prevalence of this condition by at least 24.0 times.
When ZIKV infection did not increase the prevalence of severe microcephaly, 34.7
to 82.5% of all cases were false positive, depending on diagnostic accuracy. GBS
outbreaks were detected if ZIKV infection increased the GBS risk by at least
seven times. For optimal GBS diagnosis accuracy, the proportion of false positive
cases ranged from 29 to 54% and from 45 to 56% depending on the incidence of GBS
mimics. CONCLUSIONS: Current surveillance systems have a low probability of
detecting outbreaks of ZIKV infection, severe microcephaly, and GBS, and could
result in significant increases in health care burden, due to the detection of
large numbers of false positive cases. In view of these limitations, Latin
American countries should consider alternative options for surveillance.
PMID- 29793455
TI - Experiences with the implementation of Individual Placement and Support for
people with severe mental illness: a qualitative study among stakeholders.
AB - BACKGROUND: Individual Placement and Support (IPS) is an evidence-based approach
to help people with severe mental illness achieve competitive employment. This
article provides insight into an organizational and a financial implementation
strategy for IPS in the Netherlands by exploring the perceived facilitators and
barriers among participating stakeholders. The goal of this multifaceted strategy
was to improve IPS implementation by improving the collaboration between all
organizations involved, and realising secured IPS funding with a 'pay for
performance' element. METHODS: A qualitative, explorative study among
practitioners (n = 8) and decision makers (n = 7) in mental health care and
vocational rehabilitation was performed using semi-structured interviews to
collect rich information about the possible facilitators and barriers with regard
to the organizational and financial implementation strategy for IPS. RESULTS:
Important perceived facilitators were the key principles of the IPS model,
regular meetings of stakeholders in mental health care and vocational
rehabilitation, stakeholders' experienced ownership of IPS and collaboration, the
mandate and influence of the decision makers involved and secured IPS funding.
Important perceived barriers included the experienced rigidity of the IPS model
fidelity scale and lack of independent fidelity reviewers, the temporary and
fragmented character of the secured funding, lack of communication between
decision makers and practitioners and negative attitudes and beliefs among mental
health clinicians. Changes in legislation were experienced as a facilitator as
well as a barrier. CONCLUSIONS: The results of this study suggest that the
collaboration and IPS funding were experienced as improved by applying an
organizational and a financial implementation strategy. However, considerable
effort is still necessary to overcome the remaining barriers identified and to
make the implementation of IPS a success in practice.
PMID- 29793456
TI - Prevalence of patellofemoral pain and knee pain in the general population of
Chinese young adults: a community-based questionnaire survey.
AB - BACKGROUND: Previous studies that have described the prevalence of patellofemoral
pain (PFP) have been limited to samples of military personnel or sporting
populations, and convincing data in the general Chinese population are lacking.
The present study defined the prevalence of PFP and knee pain in the general
population of Chinese young adults and evaluated whether gender, age, or body
mass index (BMI) were associated with PFP. METHODS: An anonymous online
questionnaire survey was open to the general public in China. A self-report
questionnaire was used to specifically identify PFP. The population aged 18-40
years was enrolled in the study and completed the questionnaire. The prevalence
of PFP and knee pain in the overall sample and in subgroups stratified by sex,
age, and BMI was estimated. Logistic regression analysis was conducted to
determine if there was a significant association between PFP and sex, age, or
BMI. RESULTS: A total of 1153 participants were enrolled in the study. The
prevalence of PFP in the overall sample and among the male and female
participants was 20.7, 20.3, and 21.2%, respectively. The prevalence of the knee
pain in the overall sample and among the male and female participants was 35.6,
38.2, and 33.7%, respectively. The prevalence of PFP in the subgroups stratified
by age and BMI did not differ significantly between the groups. Gender, age, and
BMI did not have significant associations with the prevalence of PFP. CONCLUSION:
PFP is common in the general Chinese population. Clinicians should direct more
attention toward the early diagnosis of and interventions for PFP.
PMID- 29793457
TI - Decolonization potential of 0.02% polyhexanide irrigation solution in urethral
catheters under practice-like in vitro conditions.
AB - BACKGROUND: Long-term use of indwelling urethral catheters is associated with
high risk of urinary tract infection (UTI) and blockage, which may in turn cause
significant morbidity and reduce the life of the catheter. A 0.02% polyhexanide
irrigation solution has been developed for routine mechanical rinsing together
with bacterial decolonization of suprapubic and indwelling urethral catheters.
METHODS: Using a practice-like in vitro assay and standard silicon catheters,
artificially contaminated with clinically relevant bacteria, experiments were
carried out to evaluate the bacterial decolonization potential of polyhexanide
vs. 1) no intervention (standard approach) and 2) irrigation with a saline (NaCl
0.9%) solution. Swabbing and irrigation was used to extract the bacteria.
RESULTS: Irrigation with polyhexanide reduced the microbial population vs. the
control catheters by a factor of 1.64 log10 (swab extraction) and by a factor of
2.56 log10 (membrane filtration). The difference in mean microbial counts between
the two groups (0.90) was statistically significant in favor of polyhexanide when
the liquid extraction method was used (p = 0.034). The difference between the two
groups using the swab extraction method did not reach statistical significance.
CONCLUSIONS: The saline and polyhexanide solutions are able to reduce bacterial
load of catheters, which shows a combined mechanical and antimicrobial effect.
Further research is required to evaluate the long-term tolerability and efficacy
of polyhexanide in clinical practice.
PMID- 29793458
TI - Human osteochondritis dissecans fragment-derived chondrocyte characteristics ex
vivo, after monolayer expansion-induced de-differentiation, and after re
differentiation in alginate bead culture.
AB - BACKGROUND: Autologous chondrocyte implantation (ACI) is a therapy for articular
cartilage and osteochondral lesions that relies on notch- or trochlea-derived
primary chondrocytes. An alternative cell source for ACI could be osteochondritis
dissecans (OCD) fragment-derived chondrocytes. Assessing the potential of these
cells, we investigated their characteristics ex vivo and after monolayer
expansion, as monolayer expansion is an integral step of ACI. However, as
monolayer expansion can induce de-differentiation, we asked whether monolayer
induced de-differentiation can be reverted through successive alginate bead
culture. METHODS: Chondrocytes were isolated from the OCD fragments of 15 patient
knees with ICRS grades 3-4 lesions for ex vivo analyses, primary alginate bead
culture, monolayer expansion, and alginate bead culture following monolayer
expansion for attempting re-differentiation. We determined yield, viability, and
the mRNA expression of aggrecan and type I, II, and X collagen. RESULTS: OCD
fragment-derived chondrocyte isolation yielded high numbers of viable cells with
a low type I:II collagen expression ratio (< 1) and a relatively high aggrecan
and type II and X collagen mRNA expression, indicating chondrogenic and
hypertrophic characteristics. As expected, monolayer expansion induced de
differentiation. Alginate bead culture of monolayer-expanded cells significantly
improved the expression profile of all genes investigated, being most successful
in decreasing the hypertrophy marker type X collagen to 1.5% of its ex vivo
value. However, the chondrogenic phenotype was not fully restored, as the
collagen type I:II expression ratio decreased significantly but remained > 1.
CONCLUSION: OCD fragment derived human chondrocytes may hold not yet utilized
clinical potential for cartilage repair.
PMID- 29793459
TI - Morphology of intervertebral disc ruptures evaluated by vacuum phenomenon using
multi-detector computed tomography: association with lumbar disc degeneration and
canal stenosis.
AB - BACKGROUND: The progression of intervertebral disc (IVD) degeneration leads to
rupture within IVD tissues. The location and appearance of areas of gaseous
radiolucency in the IVD, known as vacuum phenomena (VPs), are considered to
indirectly indicate the position and extent of IVD rupture. The clinical
significance of VPs in degenerated IVDs is not fully understood. The purpose of
this study is to assess and classify the morphology of IVD ruptures by the
presence of intradiscal VPs, and to examine the association between morphological
VP-positive IVD ruptures and degenerative lumbar diseases. METHODS: IVD rupture
was evaluated by the presence of VPs using computed tomography (CT) imaging. VP
shape (spot, linear, island) was classified using sagittal imaging, and VP
distribution (A-N: anterior AF-NP; N: NP only; N-P: NP-posterior AF; A-N-P:
anterior and posterior AF-NP) was classified using axial imaging. The disc height
index (DHI) was calculated from lateral radiographs. Disc degeneration and lumbar
spinal stenosis were evaluated by MRI grade. RESULTS: In the VP shape analysis,
the island type was the most common, followed by linear and spot types. In the VP
distribution analysis, A-N was the most common group, followed by N, N-P and A-N
P. Intra- and inter-observer reliabilities were statistically sufficient to
classify different rupture shapes and distributions. The DHI tended to be lower
in discs that contained VPs, especially in the anterior AF area. The shape and
distribution of intradiscal VPs were significantly associated with the degree of
disc degeneration and lumbar spinal stenosis graded by MRI. Discs with VPs
extending from the NP into the anterior and/or posterior AF had a significantly
higher proportion of advanced disc degeneration (Pfirrmann's classification:
grades IV and V). CONCLUSIONS: This is the first study to analyze the morphology
of IVD rupture evaluated by the presence of intradiscal VPs using CT imaging.
This classification can comprehensively present the shape and axial distribution
of VPs within IVDs. Intradiscal VPs are associated with the progression of disc
degeneration and lumbar spinal stenosis.
PMID- 29793460
TI - Effect of altering breathing frequency on maximum voluntary ventilation in
healthy adults.
AB - BACKGROUND: Compared to other pulmonary function tests, there is a lack of
standardization regarding how a maximum voluntary ventilation (MVV) maneuver is
performed. Specifically, little is known about the variation in breathing
frequency (fR) and its potential impact on the accuracy of test results. This
study examines the effect of several preselected values for fR and one self
selected fR (fRself) on MVV. METHODS: Ten participants performed MVV maneuvers at
various fR values, ranging from 50 to 130 breaths.min- 1 in 10 breaths.min- 1
intervals and at one fRself. Three identical trials with 2-min rest periods were
conducted at each fR, and the sequence in which fR was tested was randomized.
Ventilation and related parameters were measured directly by gas exchange
analysis via a metabolic measurement system. RESULTS: A third-order polynomial
regression analysis showed that MVV = - 0.0001(fR)3 + 0.0258(fR)2-1.38(fR) + 96.9
at preselected fR and increased up to approximately 100 breaths.min- 1 (r2 =
0.982, P < 0.001). Paired t-tests indicated that average MVV values obtained at
all preselected fR values, but not fRself, were significantly lower than the
average maximum value across all participants. A linear regression analysis
revealed that tidal volume (VT) = - 2.63(MVV) + 300.4 at preselected fR (r2 =
0.846, P < 0.001); however, this inverse relationship between VT and MVV did not
remain true for the self-selected fR. The VT obtained at this fR (90.9 +/- 19.1%
of maximum) was significantly greater than the VT associated with the most
similar MVV value (at a preselected fR of 100 breaths.min- 1, 62.0 +/- 10.4% of
maximum; 95% confidence interval of difference: (17.5, 40.4%), P < 0.001).
CONCLUSIONS: This study demonstrates the shortcomings of the current lack of
standardization in MVV testing and establishes data-driven recommendations for
optimal fR. The true MVV was obtained with a self-selected fR (mean +/- SD: 69.9
+/- 22.3 breaths.min- 1) or within a preselected fR range of 110-120 breaths.min-
1. Until a comprehensive reference equation is established, it is advised that
MVV be measured directly using these guidelines. If an individual is unable to
perform or performs the maneuver poorly at a self-selected fR, ventilating within
a mandated fR range of 110-120 breaths.min- 1 may also be acceptable.
PMID- 29793461
TI - Treatment of displaced transverse fractures of the patella: modified tension band
wiring technique with or without augmented circumferential cerclage wire
fixation.
AB - BACKGROUND: Displaced transverse fractures of the body of the patella are usually
associated with disruption of extensor mechanism and should be fixed surgically.
The most common method is a tension band wiring (TBW) technique. Some surgeons
concurrently employ an augmented circumferential cerclage wiring (ACCW) technique
to help fracture stabilization and aid in fracture healing; however, its role and
effect on the treatment outcomes is unclear. METHODS: We performed a STROBE
compliant retrospective observational cohort study on all cases of acute closed
patella fracture that were treated at our institution between 2006 and 2012. Of
185 episodes, 72 (38.9%) were eligible for this study according to our
inclusion/exclusion criteria. We classified these subjects with AO/OTA type 34
C1.1 or 34-C2 lesions into two groups for analyses: fractures treated with
modified TBW and ACCW (group 1, n = 27) and those treated with modified TBW alone
(group 2, n = 45). Plain radiographs were used to evaluate radiographic outcomes
and the effect of potential risk factors on fixation failure was analyzed by
subgroup comparisons. RESULTS: Our results revealed that there were no
significant differences in the rates of fixation failure (P = 0.620), nonunion (P
= 0.620), and revision surgery (P = 0.620) between the groups. Although not
statistically significant, there was a trend towards a positive risk association
between fixation failure and age distribution > 60 years (10.0% vs. 0.0%, P =
0.124; OR = 8.0, P = 0.168) and > 70 years (9.4% vs. 2.5%, P = 0.321; OR = 4.0, P
= 0.237) and the superficial level of the K-wires (12.0% vs. 1.5%, P = 0.117; OR
= 6.3, P = 0.121). Regarding those modified TBW patients concurrently treated
with an ACCW, the potential risk association between fixation failure and the
superficial level of the K-wire was prone to increase further (28.6% vs. 0.0%, P
= 0.060; OR = 18.6, P = 0.071). CONCLUSIONS: Concurrent application of an ACCW
might be needless and not efficacious to help fracture stabilization and healing
in patients having been treated with modified TBW for displaced transverse
fractures of the body of the patella. Adherence to correct surgical technique
such as putting the K-wires at the proper level and securing control of the both
ends of the K-wires may be more important and help in improving outcomes.
PMID- 29793462
TI - Eliminating the high abortion related complications and deaths in Cameroon: the
restrictive legal atmosphere on abortions is no acceptable excuse.
AB - BACKGROUND: The abortion law in Cameroon is highly restrictive. The law permits
induced abortions only when the woman's life is at risk, to preserve her physical
and mental health, and on grounds of rape or incest. Unsafe abortions remain
rampant with however rare reported cases of persecution, even when these
abortions are proven to have been carried out illegally. DISCUSSION: Available
public health interventions are cheap and feasible (Misoprostol and Manual Vacuum
Aspiration in post abortion care, modern contraception, post-abortion
counseling), and must be implemented to reduce unacceptably high maternal
mortality rates in the country which still stand at as high as 596/100.000.
Changes in the legal status of abortions might take a long time to come by.
Albeit, advocacy efforts must be reinforced to render the law more liberal to
permit women to seek safe abortion services. The frequency of abortions,
generally clandestine, in this restrictive legal atmosphere has adverse economic,
health and social justice implications. CONCLUSION: We argue that a non-optimal
or restrictive legal atmosphere is not an acceptable excuse to justify these high
maternal deaths resulting from unsafe abortions, especially in Cameroon where
unsafe abortions remain rampant. Implementing currently available, cheap and
effective evidence based practice guidelines are possible in the country.
Expansion and use of Manual Vacuum Aspiration kits in health care facilities,
post-abortion misoprostol and carefully considering the content of post abortion
counseling packages deserve keen attention. More large scale qualitative and
quantitative studies nationwide to identify and act on context specific barriers
to contraception use and abortion related stigma are urgently needed.
PMID- 29793463
TI - Cartilage calcification of the ankle joint is associated with osteoarthritis in
the general population.
AB - BACKGROUND: Cartilage calcification (CC) is associated with osteoarthritis (OA)
in weight-bearing joints, such as the hip and the knee. However, little is known
about the impact of CC and degeneration on other weight-bearing joints,
especially as it relates to the occurrence of OA in the ankles. The goal of this
study is to analyse the prevalence of ankle joint cartilage calcification (AJ CC)
and to determine its correlation with factors such as histological OA grade, age
and BMI in the general population. METHODS: CC of the distal tibia and talus in
160 ankle joints obtained from 80 donors (mean age 62.4 years, 34 females, 46
males) was qualitatively and quantitatively analysed using high-resolution
digital contact radiography (DCR). Correlations with factors, such as the joint's
histological OA grade (OARSI score), donor's age and BMI, were investigated.
RESULTS: The prevalence of AJ CC was 51.3% (95% CI [0.40, 0.63]), independent of
gender (p = 0.18) and/or the joint's side (p = 0.82). CC of the distal tibia was
detected in 35.0% (28/80) (95% CI [0.25, 0.47]) and talar CC in 47.5% (38/80)
(95% CI [0.36, 0.59]) of all cases. Significant correlations were noted between
the mean amount of tibial and talar CC (r = 0.59, p = 0.002), as well as between
the mean amount of CC observed in one ankle joint with that of the contralateral
side (r = 0.52, p = 0.02). Furthermore, although the amount of AJ CC observed in
the distal tibia and talus correlated with the histological OA-grade of the joint
(r = 0.70, p < 0.001 and r = 0.72, p < 0.001, respectively), no such correlation
was seen in the general population with relation to age (p = 0.32 and p = 0.49)
or BMI (p = 0.51 and p = 0.87). CONCLUSION: The prevalence of AJ CC in the
general population is much higher than expected. The relationship between the
amount of AJ CC and OA, independent of the donors' age and BMI, indicates that CC
may play a causative role in the development of OA in ankles.
PMID- 29793465
TI - Selected psychiatric problems among college students in two Arab countries:
comparison with the USA.
AB - BACKGROUND: Psychiatric problems among college students on USA campuses are
common. Little is known about similar problems in developing countries,
particularly the Arab region. The goal of this study was to assess the frequency
of selected psychiatric problems among college students in two Arab countries:
Qatar and Lebanon, and to compare them to the USA. METHODS: The Healthy Minds
Study, an online confidential survey of common psychiatric symptoms designed for
college campuses was used. We used the Patient Health Questionnaire-9 (PHQ-9) to
screen for major depression, the Generalized Anxiety Disorder-7 (GAD-7) to screen
for generalized anxiety and the SCOFF questionnaire to screen for eating
disorders. Comparisons were made using ANOVA, Chi-Square tests and logistic
regressions. RESULTS: A total of 1841 students participated in the study. The
rates of depression (PHQ-9 >= 12), generalized anxiety (GAD-7 >= 10) and eating
disorders (SCOFF>=3) at the combined Arab universities were 34.6, 36.1 and 20.4%
respectively. The corresponding rates in the USA were: 12.8, 15.9 and 6.8% (p <
0.001 for all measures). The impact of psychiatric problems on functioning in
general and academic performance in particular was more severe in the Arab
countries compared to the USA (p < 0.001). Independent predictors of psychiatric
problems in general included location, female gender, financial difficulties and
poor grades. Being religious had a protective association with mental health.
CONCLUSION: The rates of depression, anxiety and eating disorders were
significantly higher among college students in Qatar and Lebanon compared to the
USA. Additional research is needed to determine whether these results reflect
methodological limitations or true differences in psychopathology across these
populations. If replicated, the results indicate that the psychiatric problems on
college campuses in the USA are a microcosm of a global problem that needs global
solutions.
PMID- 29793464
TI - A retrospective comparative study of arthroscopic fixation in acute Rockwood type
IV acromioclavicular joint dislocation: single versus double paired Endobutton
technique.
AB - BACKGROUND: Rockwood type IV acromioclavicular joint (ACJ) dislocation is a
trauma usually needs surgical treatment. Paired EndoButton technique (PET) is
used in treating such condition. However, the effect of using different types of
PET (single versus double PET) for fixation remains controversial. This study
aims to evaluate and compare the efficacy of single and double PET and to provide
a suitable option for the surgeons. METHODS: We retrospectively reviewed the
charts of patients with acute Rockwood type IV ACJ dislocation who had undergone
arthroscopic fixation using single or double PET fixation between March 2009 and
March 2015. Seventy-eight consecutive patients identified from chart review were
picked and were divided into the single and double PET group with 39 cases in
each group. The indexes of visual analog scale score (VAS) for pain, the
radiographs of the affected shoulder at different time points of the follow-up,
the time of return to activities and sports, the constant functional score, and
the Karlsson acromioclavicular joint (ACJ) score, were assessed in a minimum of 2
years postoperation. RESULTS: The average coracoclavicular (CC) and
acromioclavicular (AC) distances of the affected joints in the double PET group
were significantly smaller than those of the single PET group 2 years
postoperation (P < 0.05). The average AC and CC distances in the healthy shoulder
joints were significantly smaller than those of the affected joints in the single
PET group (P < 0.05); however, these values were not significantly different from
those of the affected joints in the double PET group (P > 0.05). The mean VAS
pain score was not significantly different, while significant difference was
found for the number and times of cases return to activities and sports, constant
functional score, and Karlsson ACJ score (P < 0.05) between the two groups.
Therefore, the double PET group has better outcome than the single PET group.
Complications including redislocation, button slippage, erosion, or AC joint
instability occurred in the single PET group, while the complication in the
double PET group was rare. CONCLUSIONS: Compared with the single PET, the double
PET group achieved better outcome with less complications in arthroscopically
treating acute Rockwood type IV ACJ dislocation.
PMID- 29793466
TI - The diagnosis and arthroscopic treatment of angioleiomyoma presenting loose body
in the knee joint: two case reports.
AB - BACKGROUND: Angioleiomyoma is a very rare benign solitary soft tissue neoplasm
originating from smooth muscle layer of blood vessels. The tumor is usually
located in the subcutis or the superficial fasciae, but less often in the deep
fasciae, especially rare in the knee joint cavity. Diagnosis is frequently
delayed or misdiagnosed as loose body or anterior knee pain because of its rare
occurrence and poor awareness of physicians. Few studies have presented intra
articular angioleiomyoma and such cases become rarer and more difficult to
diagnose when it presents as loose body. CASE PRESENTATION: Two patients, a
middle-aged man and an old woman, presented to our outpatient clinic with
persistent anterior knee pain and both of them suffered from a solitary mass in
the right knee that had slowly enlarged. One of two patients showed negative in
the routine radiographic imaging and the other showed a "loose body" beside the
lateral femoral condyle in the knee. MRI showed both a well-demarcated intra
articular mass of isointense signal to muscle on T1-weighted images and
heterogeneous intensity on T2-weighted images. Their tumors were excised under
arthroscopy finally, with the pathological results revealed vascular leiomyomas.
They both recovered well with pain free after operation and no signs of
recurrence were seen at the 7-year follow-up. CONCLUSIONS: This case report
illustrates the atypical locations of angioleiomyoma in the knee joint should
arouse our attention and be included in the differential diagnosis of nodular
lesions mimicking loose bodies.
PMID- 29793467
TI - A 12-month follow-up of a mobile-based (mHealth) obesity prevention intervention
in pre-school children: the MINISTOP randomized controlled trial.
AB - BACKGROUND: To date, few mobile health (mHealth) interventions aimed at changing
lifestyle behaviors have measured long term effectiveness. At the 6-month follow
up the MINISTOP trial found a statistically significant intervention effect for a
composite score comprised of fat mass index (FMI) as well as dietary and physical
activity variables; however, no intervention effect was observed for FMI.
Therefore, the aim of this study was to investigate if the MINISTOP intervention
12-months after baseline measurements: (i) improved FMI and (ii) had a maintained
effect on a composite score comprised of FMI and dietary and physical activity
variables. METHODS: A two-arm parallel randomized controlled trial was conducted
in 315 healthy 4.5 year old children between January 2014 and October 2015.
Parents' of the participating children either received the MINISTOP intervention
or a basic pamphlet on dietary and physical activity behaviors (control group).
After 6 months, participants did not have access to the intervention content and
were measured again 6 months later (i.e. the 12-month follow-up). The Wilcoxon
rank-sum test was then used to examine differences between the groups. RESULTS:
At the 12-month follow-up, no statistically significant difference was observed
between the intervention and control groups for FMI (p = 0.57) and no maintained
effect for the change in composite score was observed (mean +/- standard
deviation for the intervention and control group: + 0.53 +/- 1.49 units and +
0.35 +/- 1.27 units respectively, p = 0.25 between groups). CONCLUSIONS: The
intervention effect observed at the 6-month follow-up on the composite score was
not maintained at the 12-month follow-up, with no effect on FMI being observed at
either follow-up. Future studies using mHealth are needed to investigate how
changes in obesity related markers in young children can be maintained over
longer time periods. TRIAL REGISTRATION: ClinicalTrials.gov ( NCT02021786 ; 20
Dec 2013).
PMID- 29793468
TI - Increasing prevalence of overweight and obesity in Yi farmers and migrants from
2007 to 2015 in China: the Yi migrant study.
AB - BACKGROUND: With the social development and lifestyle transition, increasing
trends of overweight and obesity prevalence are commonly reported worldwide. Data
focusing on overweight and obesity trends in rural residents and rural-to-urban
migrants in China are limited. This study aims to assess the changes and related
factors of overweight and obesity in Yi farmers and migrants in southwest China
from 2007 to 2015, and to assess the disparities in prevalence changes. METHODS:
Pseudo-panel data was obtained from two cross-sectional studies conducted in
Sichuan province, southwest China in 2007 and 2015. Standardized prevalence of
overweight and obesity were evaluated by direct method using the 2010 national
census population as the standard. Prevalence differences of overweight and
obesity were calculated in each group and compared between groups to evaluate the
disparity in prevalence changes. Generalized linear mixed model was performed to
determine factors related to overweight/obesity. RESULTS: Standardized prevalence
of overweight increased in both groups (from 5.54 to 16.65% in Yi farmers, from
27.91 to 33.61% in Yi migrants). Standardized prevalence of obesity increased
only in Yi farmers (from 0.37 to 3.13%). Prevalence difference of overweight in
Yi farmers was higher than that in Yi migrants (11.11 vs. 5.70), but prevalence
differences of obesity were not significantly different between Yi farmers and
migrants. CONCLUSIONS: Prevalence of overweight and obesity in Yi farmers, and
prevalence of overweight in Yi migrants increased from 2007 to 2015. Yi farmers
were developing overweight at a greater pace than migrants. More attention should
be paid to spread health knowledge and encourage healthy lifestyle in Yi people,
especially Yi farmers.
PMID- 29793469
TI - Whose job? The staffing of advance care planning support in twelve international
healthcare organizations: a qualitative interview study.
AB - BACKGROUND: ACP involving a facilitated conversation with a health or care
professional is more effective than document completion alone. In policy, there
is an expectation that health and care professionals will provide ACP support,
commonly within their existing roles. However, the potential contributions of
different professionals are outlined only broadly in policy and guidance.
Research on opportunities and barriers for involving different professionals in
providing ACP support, and feasible models for doing so, is currently lacking.
METHODS: We identified twelve healthcare organizations aiming to offer system
wide ACP support in the United States, Canada, Australia and New Zealand. In
each, we conducted an average 13 in-depth interviews with senior managers, ACP
leads, dedicated ACP facilitators, physicians, nurses, social workers and other
clinical and non-clinical staff. Interviews were analyzed thematically using
NVivo software. RESULTS: Organizations emphasized leadership for ACP support,
including strategic support from senior managers and intensive day-to-day support
from ACP leads, to support staff to deliver ACP support within their existing
roles. Over-reliance on dedicated facilitators was not considered sustainable or
scalable. We found many professionals, from all backgrounds, providing ACP
support. However, there remained barriers, particularly for facilitating ACP
conversations. A significant barrier for all professionals was lack of time.
Physicians sometimes had poor communication skills, misunderstood medico-legal
aspects and tended to have conversations of limited scope late in the disease
trajectory. However, they could also have concerns about the appropriateness of
ACP conversations conducted by others. Social workers had good facilitation
skills and understood legal aspects but needed more clinical support than nurses.
While ACP support provided alongside and as part of other care was common, ACP
conversations in this context could easily get squeezed out or become fragmented.
Referrals to other professionals could be insecure. Team-based models involving a
physician and a nurse or social worker were considered cost-effective and
supportive of good quality care but could require some additional resource.
CONCLUSIONS: Effective staffing of ACP support is likely to require intensive
local leadership, attention to physician concerns while avoiding an entirely
physician-led approach, some additional resource and team-based frameworks,
including in evolving models of care for chronic illness and end of life.
PMID- 29793470
TI - Universal health coverage in the context of population ageing: What determines
health insurance enrolment in rural Ghana?
AB - BACKGROUND: Population ageing presents considerable challenges for the attainment
of universal health coverage (UHC), especially in countries where such coverage
is still in its infancy. Ghana presents an important case study on the
effectiveness of policies aimed at achieving UHC in the context of population
ageing in low and middle-income countries. It has witnessed a profound recent
demographic transition, including a large increase in the number of older adults,
which coincided with the development and implementation of a National Health
Insurance Scheme (NHIS), designed to help achieve UHC. The objective of this
paper is to examine the community, household and individual level determinants of
NHIS enrolment among older adults aged 50-69 and 70 plus. The latter are exempt
from NHIS premium payments. METHODS: Using the Ghanaian Living Standards Survey
from 2012 to 2013, determinants of NHIS enrolment for individuals aged 50-69 and
70 plus living in rural Ghana are examined through the application of multilevel
regression analysis. RESULTS: Previous studies have mainly focused on the
enrolment of young and middle aged adults and considered mainly demographic and
socio-economic factors. The novel inclusion of spatial barriers within this
analysis demonstrates that levels of NHIS enrolment are determined in part by the
community provision of healthcare facilities. In addition, the findings imply
that insurance enrolment increases with household expenditure even for those aged
70 plus who are exempt from the NHIS premium payment. CONCLUSION: Adequate and
appropriate infrastructure as well as health insurance is vital to ensure
movement to UHC in low and middle income countries. Overall, the results confirm
that there remain significant inequalities in enrolment by expenditure quintile
that future policy reform will need to address.
PMID- 29793471
TI - Surgical informed consent in obstetric and gynecologic surgeries: experience from
a comprehensive teaching hospital in Southern Ethiopia.
AB - BACKGROUND: Surgical Informed Consent (SIC) has long been recognized as an
important component of modern medicine. The ultimate goals of SIC are to improve
clients' understanding of the intended procedure, increase client satisfaction,
maintain trust between clients and health providers, and ultimately minimize
litigation issues related to surgical procedures. The purpose of the current
study is to assess the comprehensiveness of the SIC process for women undergoing
obstetric and gynecologic surgeries. METHODS: A hospital-based cross-sectional
study was undertaken at Hawassa University Comprehensive Specialized Hospital
(HUCSH) in November and December, 2016. A total of 230 women who underwent
obstetric and/or gynecologic surgeries were interviewed immediately after their
hospital discharge to assess their experience of the SIC process. Thirteen
components of SIC were used based on international recommendations, including the
Royal College of Surgeon's standards of informed consent practices for surgical
procedures. Descriptive summaries are presented in tables and figures. RESULTS:
Forty percent of respondents were aged between 25 and 29 years. Nearly a quarter
(22.6%) had no formal education. More than half (54.3%) of respondents had
undergone an emergency surgical procedure. Only 18.4% of respondents reported
that the surgeon performing the operation had offered SIC, while 36.6% of
respondents could not recall who had offered SIC. All except one respondent
provided written consent to undergo a surgical procedure. However, 8.3% of
respondents received SIC service while already on the operation table for their
procedure. Only 73.9% of respondents were informed about the availability (or
lack thereof) of alternative treatment options. Additionally, a majority of
respondents were not informed about the type of anesthesia to be used (88.3%) and
related complications (87.4%). Only 54.2% of respondents reported that they had
been offered at least six of the 13 SIC components used by the investigators.
CONCLUSIONS: There is gap in the provision of comprehensive and standardized pre
operative counseling for obstetric and gynecologic surgeries in the study
hospital. This has a detrimental effect on the overall quality of care clients
receive, specifically in terms of client expectations and information needs.
PMID- 29793472
TI - Realization of the right to adequate food and the nutritional status of land
evictees: a case for mothers/caregivers and their children in rural Central
Uganda.
AB - BACKGROUND: In developing countries like Uganda, the human right to adequate food
(RtAF) is inextricably linked to access to land for households to feed themselves
directly through production or means for its procurement. Whether RtAF is enjoyed
among Ugandan land evictees, is unknown. We therefore explored this among land
evictees (rights-holders) in Wakiso and Mpigi districts in rural Central Uganda.
We assessed food accessibility and related coping strategies, diet quality and
nutritional status of children 6-59 months old, and their caregivers.
Effectiveness of the complaint and redress mechanisms in addressing RtAF
violations was also explored. METHODS: In this cross-sectional study,
quantitative data was collected using a structured questionnaire, with food
security and nutritional assessment methods from a total of 215 land evictees
including 187 children aged 6-59 months. Qualitative data was collected by
reviewing selected national and international documents on the RtAF and key
informant interviews with 15 purposively sampled duty-bearers. These included
individuals or representatives of the Uganda Human Rights Commission, Resident
District Commissioner, Sub-county Chiefs, and local Council leaders. RESULTS: We
found that 78% of land evictees had insufficient access to food while 69.4% had
consumed a less diversified diet. A majority of evictees (85.2%) relied on
borrowing food or help from others to cope with food shortages. Of the 187
children assessed, 9.6% were wasted, 18.2% were underweight and 34.2% were
stunted. Small, but significant associations, were found between food
accessibility, diet quality, food insecurity coping strategies; and the
nutritional status of evictees. We observed that administrative, quasi-judicial
and judicial mechanisms to provide adequate legal remedies regarding violations
of the RtAF among evictees in Uganda are in place, but not effective in doing so.
CONCLUSION: Land eviction without adequate legal remedies is a contributor to
food insecurity and undernutrition in rural Central Uganda. It is essential that
the Government strengthens and enforces the policy and legal environment to
ensure adequate and timely compensation of evictees in order to reduce their
vulnerability to food insecurity.
PMID- 29793474
TI - Are Michigan State University medical school (MSU-CHM) alumni more likely to
practice in the region of their graduate medical education primary care program
compared to non-MSU-CHM alumni?
AB - BACKGROUND: Over the past 10 years, three new MD schools have been created in the
state of Michigan, while the Michigan State University College of Human Medicine
(MSU-CHM) has increased their class size to 850 students. The aim of this study
was to determine if MSU-CHM alumni who graduate from an MSU-affiliated primary
care residency from a single graduate medical education (GME) training program in
Michigan are more likely to go on to practice in close proximity to the location
of their training program immediately after graduation compared to non MSU-CHM
alumni. Changes over time in the proportion of primary care graduates who
received fellowship training immediately following residency were also compared
between these groups. METHODS: A review of historical data was performed for all
2000-2016 primary care (Family Medicine, FM; Internal Medicine, IM; Internal
Medicine-Pediatrics, IMP; Pediatrics, Peds) program graduates sponsored by Grand
Rapids Medical Education Partners (GRMEP). Study variables included primary care
program, gender, age at graduation, fellowship training, practice location
immediately after graduation and undergraduate medical education location.
Summary statistics were calculated for the data. Comparisons were made using the
chi-square test or Fisher's Exact test when appropriate. Significance was
assessed at p < 0.05. RESULTS: There were 478 primary care program graduates who
went into practice immediately following graduation, 102 of whom also graduated
from MSU-CHM. Just over half of the graduates were female and the average age at
graduation was 32 years. There were 152 FM, 150 IM, 50 IMP and 126 Peds
graduates. Those that graduated from both MSU-CHM and GRMEP were more likely to
practice in Michigan immediately after residency training (79.4% vs 52.0%; p <
0.001), as well as within 100 miles of GRMEP (71.6% vs 46.4%; p < 0.001). There
were 8% of MSU-CHM primary care graduates who went on to fellowship training from
2000 to 2009, increasing to 34% from 2010 to 2016 (p < 0.001). CONCLUSION:
Medical school graduates of MSU-CHM who receive GME training in primary care are
more likely to practice medicine within close proximity to their training site
than non MSU-CHM graduates. However, plans for fellowship after training may add
one caveat to this finding.
PMID- 29793473
TI - Exploring the potential of a multi-level approach to improve capability for
continuous organizational improvement and learning in a Swedish healthcare
region.
AB - BACKGROUND: Eldercare and care of people with functional impairments is organized
by the municipalities in Sweden. Improving care in these areas is complex, with
multiple stakeholders and organizations. Appropriate strategies to develop
capability for continuing organizational improvement and learning (COIL) are
needed. The purpose of our study was to develop and pilot-test a flexible,
multilevel approach for COIL capability building and to identify what it takes to
achieve changes in key actors' approaches to COIL. The approach, named
"Sustainable Improvement and Development through Strategic and Systematic
Approaches" (SIDSSA), was applied through an action-research and action-learning
intervention. METHODS: The SIDSSA approach was tested in a regional research and
development (R&D) unit, and in two municipalities handling care of the elderly
and people with functional impairments. Our approach included a multilevel
strategy, development loops of five flexible phases, and an action-learning loop.
The approach was designed to support systems understanding, strategic focus,
methodological practices, and change process knowledge - all of which required
double-loop learning. Multiple qualitative methods, i.e., repeated interviews,
process diaries, and documents, provided data for conventional content analyses.
RESULTS: The new approach was successfully tested on all cases and adopted and
sustained by the R&D unit. Participants reported new insights and skills. The
development loop facilitated a sense of coherence and control during uncertainty,
improved planning and problem analysis, enhanced mapping of context and
conditions, and supported problem-solving at both the individual and unit levels.
The systems-level view and structured approach helped participants to explain,
motivate, and implement change initiatives, especially after working more
systematically with mapping, analyses, and goal setting. CONCLUSIONS: An easily
understood and generalizable model internalized by key organizational actors is
an important step before more complex development models can be implemented.
SIDSSA facilitated individual and group learning through action-learning and
supported systems-level views and structured approaches across multiple
organizational levels. Active involvement of diverse organizational functions and
levels in the learning process was facilitated. However, the time frame was too
short to fully test all aspects of the approach, specifically in reaching beyond
the involved managers to front-line staff and patients.
PMID- 29793475
TI - Effect of hypothyroidism on the hypothalamic-pituitary-ovarian axis and
reproductive function of pregnant rats.
AB - BACKGROUND: This study aimed to detect changes in hormone levels in the
hypothalamic-pituitary-ovarian axis in Sprague-Dawley (SD) rats with
hypothyroidism, and identify differences in the pregnancy and abortion rates of
female adult rats. The potential role of gonadotropin releasing hormone (GnRH) as
the link between the hypothalamic-pituitary-ovarian axis and reproductive
function regulated by thyroid hormones was also investigated. METHODS: Female SD
rats (n = 136) were causally classified into two groups: the normal-drinking
water group (n = 60) and the 0.05% propylthiouracil-drinking-water group (PTU 2
mg/kg/day, n = 76) to establish an adult rat model of hypothyroidism (6 weeks).
Female and male rats at a ratio of 1:2 were used to establish a hypothyroidism
pregnancy model. GnRH mRNA and GnRH receptor (GnRHR) expression in rats was
detected using real time quantitative PCR(qRT-PCR) and immunohistochemistry,
respectively. RESULTS: The abortion rate differed significantly between the
hypothyroidism pregnancy group and the normal pregnancy group (P < 0.05). No
significant differences were found in the distribution of the GnRHR among the
five nuclei (hypothalamic arcuate nucleus, hypothalamic ventromedial nucleus,
hypothalamic anterior nucleus, paraventricular nucleus of the hypothalamus, and
ventral premammillary nucleus) of the hypothalamus and ovary (P > 0.05).
Hypothyroidism had no significant effect on GnRH mRNA expression in the
hypothalamic-pituitary-ovarian axis in the four groups (normal control group,
normal pregnancy group, hypothyroidism pregnancy group, and hypothyroidism group)
(P > 0.05). CONCLUSIONS: Hypothyroidism had an adverse impact on pregnancy in
rats and may affect the distribution of pituitary GnRHR, whereas it did not
obviously affect the distribution of GnRHR in the nuclei of the hypothalamus and
ovary. Hypothyroidism had no effect on GnRH mRNA expression.
PMID- 29793476
TI - Place of death in patients with dementia and the association with comorbidities:
a retrospective population-based observational study in Germany.
AB - BACKGROUND: Due to increasing life expectancy, more and more older people are
suffering from dementia and comorbidities. To date, little information is
available on place of death for dementia patients in Germany. In addition, the
association of place of death and comorbidities is unknown. METHODS: A population
based cross-sectional survey was conducted in Westphalia-Lippe (Germany), based
on the analysis of death certificates from 2011. Individuals with dementia >= 65
years were identified using the documented cause of death. In this context, all
mentioned causes of death were included. In addition, ten selected comorbidities
were also analyzed. The results were presented descriptively. Using multivariate
logistic regression, place of death was analyzed for any association with
comorbidities. RESULTS: A total of 10,364 death certificates were analyzed.
Dementia was recorded in 1646 cases (15.9%; mean age 86.3 +/- 6.9 years; 67.3%
women). On average, 1.5 +/- 1.0 selected comorbidities were present. Places of
death were distributed as follows: home (19.9%), hospital (28.7%), palliative
care unit (0.4%), nursing home (49.5%), hospice (0.9%), no details (0.7%). The
death certificates documented cardiac failure in 43.6% of cases, pneumonia in
25.2%, and malignant tumour in 13.4%. An increased likelihood of dying in
hospital compared to home or nursing home, respectively, was found for the
following comorbidities (OR [95%-CI]): pneumonia (2.96 [2.01-4.35], p = 0.001);
(2.38 [1.75-3.25], p = 0.001); renal failure (1.93 [1.26-2.97], p = 0.003); (1.65
[1.18-2.32], p = 0.003); and sepsis (13.73 [4.88-38.63], p = 0.001); (7.34 [4.21
12.78], p = 0.001). CONCLUSION: The most common place of death in patients with
dementia is the retirement or nursing home, followed by hospital and home.
Specific comorbidities, such as pneumonia or sepsis, correlated with an increased
probability of dying in hospital.
PMID- 29793478
TI - The long-term economic impacts of arthritis through lost productive life years:
results from an Australian microsimulation model.
AB - BACKGROUND: While the direct (medical) costs of arthritis are regularly reported
in cost of illness studies, the 'true' cost to indivdiuals and goverment requires
the calculation of the indirect costs as well including lost productivity due to
ill-health. METHODS: Respondents aged 45-64 in the ABS Survey of Disability,
Ageing and Carers 2003, 2009 formed the base population. We projected the
indirect costs of arthritis using Health&WealthMOD2030 - Australia's first
microsimulation model on the long-term impacts of ill-health in older workers -
which incorporated outputs from established microsimulation models (STINMOD and
APPSIM), population and labour force projections from Treasury, and chronic
conditions trends for Australia. All costs of arthritis were expressed in real
2013 Australian dollars, adjusted for inflation over time. RESULTS: We estimated
there are 54,000 people aged 45-64 with lost PLYs due to arthritis in 2015,
increasing to 61,000 in 2030 (13% increase). In 2015, people with lost PLYs are
estimated to receive AU$706.12 less in total income and AU$311.67 more in welfare
payments per week than full-time workers without arthritis, and pay no income tax
on average. National costs include an estimated loss of AU$1.5 billion in annual
income in 2015, increasing to AU$2.4 billion in 2030 (59% increase). Lost annual
taxation revenue was projected to increase from AU$0.4 billion in 2015 to $0.5
billion in 2030 (56% increase). We projected a loss in GDP of AU$6.2 billion in
2015, increasing to AU$8.2 billion in 2030. CONCLUSIONS: Significant costs of
arthritis through lost PLYs are incurred by individuals and government. The
effectiveness of arthritis interventions should be judged not only on healthcare
use but quality of life and economic wellbeing.
PMID- 29793477
TI - Feasibility of hospital-initiated non-facilitator assisted advance care planning
documentation for patients with palliative care needs.
AB - BACKGROUND: Advance Care Planning (ACP) and its documentation, accessible to
healthcare professionals regardless of where patients are staying, can improve
palliative care. ACP is usually performed by trained facilitators. However, ACP
conversations would be more tailored to a patient's specific situation if held by
a patient's clinical healthcare team. This study assesses the feasibility of ACP
by a patient's clinical healthcare team, and analyses the documented information
including current and future problems within the palliative care domains.
METHODS: This multicentre study was conducted at the three Groningen Palliative
Care Network hospitals in the Netherlands. Patients discharged from hospital with
a terminal care indication received an ACP document from clinical staff (non
palliative care trained staff at hospitals I and II; specialist palliative care
nurses at hospital III) after they had held ACP conversations. An anonymised copy
of this ACP document was analysed. Documentation rates of patient and contact
details were investigated, and documentation of current and future problems were
analysed both quantitatively and qualitatively. RESULTS: One hundred sixty ACP
documents were received between April 2013 and December 2014, with numbers
increasing for each consecutive 3-month time period. Advance directives were
frequently documented (82%). Documentation rates of current problems in the
social (24%), psychological (27%) and spiritual (16%) domains were low compared
to physical problems (85%) at hospital I and II, but consistently high (> 85%) at
hospital III. Of 545 documented anticipated problems, 92% were physical or care
related in nature, 2% social, 5% psychological, and < 1% spiritual. Half of the
anticipated non-physical problems originated from hospital III. CONCLUSIONS:
Hospital-initiated ACP documentation by a patient's clinical healthcare team is
feasible: the number of documents received per time period increased throughout
the study period, and overall, documentation rates were high. Nonetheless,
symptom documentation predominantly regards physical symptoms. With the
involvement of specialist palliative care nurses, psychological and spiritual
problems are addressed more frequently. Whether palliative care education for non
palliative care experts will improve identification and documentation of non
physical problems remains to be investigated.
PMID- 29793479
TI - Prevalence and associated factors for stunting among 6-12 years old school age
children from rural community of Humbo district, Southern Ethiopia.
AB - BACKGROUND: Stunting is one of the most serious and challenging public health
problems in Ethiopia, which constitute a significant obstacle to achieving better
child health outcomes. This study aimed to assess the prevalence and factors
associated with stunting among 6-12 years old children in Humbo district,
Southern Ethiopia. METHODS: This was a cross-sectional study conducted among 633
children 6-12 years old living in Humbo district, Southern Ethiopia, from March
to April, 2015. A multistage cluster sampling technique was used to select
participants from households in eight Villages in the study area. Height was
measured using standard methods and height for age Z-score was computed to assess
stunting. EPI info version 3.5.4 was used for data entry, whereas Anthroplus
software and SPSS version 20.0 were used for computation of height for age Z
scores and statistical analyses respectively. Simple and multiple logistic
regression analyses were used to examine factors associated with stunting in the
study sample, using 95% confidence limits (statistical significance set at p <
0.050). RESULTS: Prevalence of stunting was 57%, about, 3.5% were severely
stunted, 27.3% moderately stunted and 26.4% mildly stunted, and the mean (SD) was
- 1.1 (+/-1.2). About 7 (1.1%) boys and 15 (2.4%) girls were severely stunted.
Age groups 10-12 years had significantly higher rate of stunting than others. Age
(AOR = 1.7, 95% CI = 1.1-2.6), big family size (AOR = 4.6, 95% CI = 2.2-9.5) and
field disposal of wastes (AOR = 2.7, 95% CI = 1.2-5.8) were factors significantly
associated with stunting. CONCLUSION: This study exposed high rate of stunting
among school age children. Stunting remains a noticeable attribute of rural
school age children. Findings suggest the need to implement evidence-based school
aged rural children nutrition policy and strategies as well as need for
intervention to improve domestic waste management system in the rural community.
PMID- 29793481
TI - Insulin promotes progression of colon cancer by upregulation of ACAT1.
AB - BACKGROUND: Insulin resistant and the progression of cancer is closely related.
The aim of this study was to investigate the effect of insulin on the
proliferation and migration of colon cancer cells and its underlying mechanism.
METHODS: Colon carcinoma tissues from the 80 cases of colon cancer patients were
collected. Immunohistochemistry was used to detect the expression of acyl
coenzyme A: cholesterol acyltransferase1 (ACAT1), and we analyzed the correlation
between hyperglycemia and ACAT1, hyperglycemia and metastasis. CCK8 assay and
transwell assay were used to investigate the effect of different concentrations
of insulin and ACAT1siRNA on human colon cancer cell line HT-29. ACAT1 mRNA
expression and protein level in HT-29 cells were determined by real-time
quantitative PCR and western blotting, respectively. RESULTS: Biopsies from
patients with colon carcinoma showed hyperglycemia links ACAT1, lymph nodes
metastasis and distant metastasis. Insulin markedly promoted cell proliferation
and migration in human colon cancer HT-29 cells. Moreover, ACAT1mRNA expression
and protein level were increased by insulin. ACAT1siRNA resulted in a complete
inhibition of the ACAT1 mRNA expression. Consequently insulin-triggered cell
proliferation and migration on colon cancer cells were inhibited. CONCLUSION: The
progression of colon cancer has a positive correlation with hyperinsulinemia.
Insulin-triggered cell proliferation and metastatic effects on colorectal cancer
cells are mediated by ACAT1. Therefore, insulin could promote colon cancer
progression by upregulation of ACAT1, which maybe is a potential therapeutic
target for colon cancer.
PMID- 29793482
TI - Association between timing and number of antenatal care visits on uptake of
intermittent preventive treatment for malaria during pregnancy among Malawian
women.
AB - BACKGROUND: Malaria in pregnancy is a critical public health challenge, and
intermittent preventive treatment for malaria during pregnancy (IPTp) has proven
to be an effective intervention. However, access to and use of malaria
interventions, including IPTp, remains a considerable problem among African
women. This cross-sectional study investigated factors, including antenatal care
(ANC) attendance (both numbers of visits and timing of the first visit) and socio
demographics, associated with the uptake of the recommended IPTp dose among
Malawian women. METHODS: A nationally representative sample of women with a live
birth in the 2 years preceding the survey from the Malawi Demographic Health
Survey 2015-2016 dataset was analysed. Self-reported data on socio-demographics,
ANC attendance and IPTp uptake were collected using a questionnaire and analysed
using logistic models. RESULTS: Of the 6549 included women, 1981 (30.2%) took the
recommended three or more IPTp doses. Despite inadequate ANC visits, early ANC
initiation increased the likelihood of these women taking the recommended IPTp
dose; women who initiated ANC in the first [adjusted odds ratio (aOR) = 2.24; 95%
confidence interval (CI) = 1.44-3.49] and second (aOR = 2.19; 95% CI = 1.56-3.08)
trimesters were more likely to take the recommended IPTp dose compared to late
initiators. The effect of the number of ANC visits on IPTp uptake was significant
in married women (aOR = 1.68, 95% CI = 1.42-1.98), and the timing of first ANC
visit was associated with IPTp uptake only among rural women (aOR = 2.13, 95% CI
= 1.54-2.95). CONCLUSION: ANC attendance is vital in IPTp uptake. The results
highlight the need for health care providers to encourage women, particularly
those in high-risk groups, to make frequent ANC visits and receive early ANC
initiation to ensure high coverage of the recommended IPTp dose.
PMID- 29793480
TI - Acid-sensing ion channels: dual function proteins for chemo-sensing and mechano
sensing.
AB - BACKGROUND: Acid-sensing ion channels (ASICs) are a group of amiloride-sensitive
ligand-gated ion channels belonging to the family of degenerin/epithelial sodium
channels. ASICs are predominantly expressed in both the peripheral and central
nervous system and have been characterized as potent proton sensors to detect
extracellular acidification in the periphery and brain. MAIN BODY: Here we review
the recent studies focusing on the physiological roles of ASICs in the nervous
system. As the major acid-sensing membrane proteins in the nervous system, ASICs
detect tissue acidosis occurring at tissue injury, inflammation, ischemia,
stroke, and tumors as well as fatiguing muscle to activate pain-sensing nerves in
the periphery and transmit pain signals to the brain. Arachidonic acid and
lysophosphocholine have been identified as endogenous non-proton ligands
activating ASICs in a neutral pH environment. On the other hand, ASICs are found
involved in the tether model mechanotransduction, in which the extracellular
matrix and cytoplasmic cytoskeletons act like a gating-spring to tether the
mechanically activated ion channels and thus transmit the stimulus force to the
channels. Accordingly, accumulating evidence has shown ASICs play important roles
in mechanotransduction of proprioceptors, mechanoreceptors and nociceptors to
monitor the homoeostatic status of muscle contraction, blood volume, and blood
pressure as well as pain stimuli. CONCLUSION: Together, ASICs are dual-function
proteins for both chemosensation and mechanosensation involved in monitoring
physiological homoeostasis and pathological signals.
PMID- 29793483
TI - Chromosomal microarray analysis in developmental delay and intellectual
disability with comorbid conditions.
AB - BACKGROUND: Developmental delay (DD) and intellectual disability (ID) are
frequently associated with a broad spectrum of additional phenotypes. Chromosomal
microarray analysis (CMA) has been recommended as a first-tier test for DD/ID in
general, whereas the diagnostic yield differs significantly among DD/ID patients
with different comorbid conditions. METHODS: To investigate the genotype
phenotype correlation, we examined the characteristics of identified pathogenic
copy number variations (pCNVs) and compared the diagnostic yields among patient
subgroups with different co-occurring conditions. RESULTS: This study is a
retrospective review of CMA results generated from a mixed cohort of 710 Chinese
patients with DD/ID. A total of 247 pCNVs were identified in 201 patients (28%).
A large portion of these pCNVs were copy number losses, and the size of copy
number losses was generally smaller than gains. The diagnostic yields were
significantly higher in subgroups with co-occurring congenital heart defects
(55%), facial dysmorphism (39%), microcephaly (34%) or hypotonia (35%), whereas
co-occurring conditions of skeletal malformation (26%), brain malformation (24%)
or epilepsy (24%) did not alter the yield. In addition, the diagnostic yield
nominally correlated with ID severity. CONCLUSION: Varied yields exist in DD/ID
patients with different phenotypic presentation. The presence of comorbid
conditions can be among factors to consider when planning CMA.
PMID- 29793485
TI - Healthcare students' perceptions about their role, confidence and competence to
deliver brief public health interventions and advice.
AB - BACKGROUND: Public health improvement has long been an important focus for the
United Kingdom Department of Health. The Allied Health Professions (AHP)
Federation has 84,000 members, such a large number of AHP professionals should
play a role in public health initiatives, but it is not clear if they or the AHP
students who will be the future healthcare workforce feel themselves equipped to
do so. Our aim was to understand the perceptions of AHP students about their role
in delivering public health advice. METHODS: AHP students were recruited in one
teaching university from different departments. Participants were final year AHP
students who had completed all clinical placements related to their course. All
students were emailed an invitation to participate, and those interested were
asked to contact the researchers to participate in one of several focus groups.
Data were recorded, transcribed, and analysed using framework analysis by two
independent researchers. RESULTS: Nineteen students were recruited and
participated in four focus groups. The main themes produced by the data analysis
were: understanding of public health issues, perceptions of their role in this,
challenges and opportunities to develop a public health role, and preparation for
a public health role. CONCLUSIONS: AHP students felt that they had a role in
public health advice-giving, but barriers to providing this advice included their
own lack of confidence and knowledge, time, and the environment of the clinical
placement. They considered that there should be more teaching on public health
issues, and that these should feature in both the curriculum and on clinical
placement.
PMID- 29793484
TI - Vascular inflammation and aortic stiffness: potential mechanisms of increased
vascular risk in chronic obstructive pulmonary disease.
AB - BACKGROUND: Chronic obstructive pulmonary disease (COPD) is a complex
inflammatory condition in which an important extra-pulmonary manifestation is
cardiovascular disease. We hypothesized that COPD patients would have increased
aortic inflammation and stiffness, as candidate mechanisms mediating increased
cardiovascular risk, compared to two negative control groups: healthy never
smokers and smokers without COPD. We also studied patients with COPD due to alpha
1 antitrypsin deficiency (alpha1ATD) as a comparator lung disease group.
METHODS: Participants underwent 18F-Fluorodeoxyglucose (FDG) positron emission
tomography imaging to quantify aortic inflammation as the tissue-to-blood-ratio
(TBR) of FDG uptake. Aortic stiffness was measured by carotid-femoral aortic
pulse wave velocity (aPWV). RESULTS: Eighty-five usual COPD (COPD due to
smoking), 12 alpha1ATD-COPD patients and 12 each smokers and never-smokers were
studied. There was no difference in pack years smoked between COPD patients and
smokers (45 +/- 25 vs 37 +/- 19, p = 0.36), but alpha1ATD patients smoked
significantly less (19 +/- 11, p < 0.001 for both). By design, spirometry
measures were lower in COPD and alpha1ATD-COPD patients compared to smokers and
never-smokers. Aortic inflammation and stiffness were increased in COPD (TBR:
1.90 +/- 0.38, aPWV: 9.9 +/- 2.6 m/s) and alpha1ATD patients (TBR: 1.94 +/- 0.43,
aPWV: 9.5 +/- 1.8 m/s) compared with smokers (TBR: 1.74 +/- 0.30, aPWV: 7.8 +/-
1.8 m/s, p < 0.05 all) and never-smokers (TBR: 1.71 +/- 0.34, aPWV: 7.9 +/- 1.7
m/s, p <= 0.05 all). CONCLUSIONS: In this cross-sectional prospective study,
novel findings were that both usual COPD and alpha1ATD-COPD patients have
increased aortic inflammation and stiffness compared to smoking and never-smoking
controls, regardless of smoking history. These findings suggest that the presence
of COPD lung disease per se may be associated with adverse aortic wall changes,
and aortic inflammation and stiffening are potential mechanisms mediating
increased vascular risk observed in COPD patients.
PMID- 29793486
TI - The influence of experimental inflammation and axotomy on leucine enkephalin
(leuENK) distribution in intramural nervous structures of the porcine descending
colon.
AB - BACKGROUND: The enteric nervous system (ENS), located in the intestinal wall and
characterized by considerable independence from the central nervous system,
consists of millions of cells. Enteric neurons control the majority of functions
of the gastrointestinal tract using a wide range of substances, which are
neuromediators and/or neuromodulators. One of them is leucine-enkephalin
(leuENK), which belongs to the endogenous opioid family. It is known that opioids
in the gastrointestinal tract have various functions, including visceral pain
conduction, intestinal motility and secretion and immune processes, but many
aspects of distribution and function of leuENK in the ENS, especially during
pathological states, remain unknown. RESULTS: During this experiment, the
distribution of leuENK - like immunoreactive (leuENK-LI) nervous structures using
the immunofluorescence technique were studied in the porcine colon in
physiological conditions, during chemically-induced inflammation and after
axotomy. The study included the circular muscle layer, myenteric (MP), outer
submucous (OSP) and inner submucous plexus (ISP) and the mucosal layer. In
control animals, the number of leuENK-LI neurons amounted to 4.86 +/- 0.17%, 2.86
+/- 0.28% and 1.07 +/- 0.08% in the MP, OSP and ISP, respectively. Generally,
both pathological stimuli caused an increase in the number of detected leuENK-LI
cells, but the intensity of the observed changes depended on the factor studied
and part of the ENS. The percentage of leuENK-LI perikarya amounted to 11.48 +/-
0.96%, 8.71 +/- 0.13% and 9.40 +/- 0.76% during colitis, and 6.90 +/- 0.52% 8.46
+/- 12% and 4.48 +/- 0.44% after axotomy in MP, OSP and ISP, respectively. Both
processes also resulted in an increase in the number of leuENK-LI nerves in the
circular muscle layer, whereas changes were less visible in the mucosa during
inflammation and axotomy did not change the number of leuENK-LI mucosal fibers.
CONCLUSIONS: LeuENK in the ENS takes part in intestinal regulatory processes not
only in physiological conditions, but also under pathological factors. The
observed changes are probably connected with the participation of leuENK in
sensory and motor innervation and the neuroprotective effects of this substance.
Differences in the number of leuENK-LI neurons during inflammation and after
axotomy may suggest that the exact functions of leuENK probably depend on the
type of pathological factor acting on the intestine.
PMID- 29793487
TI - The change of health-related quality of life after minimally invasive
esophagectomy for esophageal cancer: a meta-analysis.
AB - BACKGROUND: Short- and long-term health-related quality of life (HRQL) was
severely affected after surgery. This study aimed to assess the direction and
duration of HRQL from 3- to 24-month follow-ups after minimally invasive
esophagectomy (MIE) for esophageal cancer. METHODS: A systematic literature
search in MEDLINE, EMBASE, and the Cochrane database was performed for all
potentially relevant studies published until February 2017. Studies were included
if they addressed the question of HRQL with OERTC-QLQ-C30 and OES18. Primary
outcomes were HRQL change at 3-month follow-up. Secondary outcomes were HRQL
change from 3-, 6- (short-term) to 12- (mid-term), and/or 24-month (long-term)
follow-ups. RESULTS: Six articles were included to estimate the change in 24 HRQL
outcomes after MIE. Most of the patients' HRQL outcomes deteriorated at short
term follow-up and some lasted to mid- or long-term after MIE. Patients' physical
function and global QOL deteriorated from short- to long-term follow-ups, and
emotional function had no change. The directions of dyspnea, pain, fatigue,
insomnia, constipation, diarrhea, cough, and speech problems were increased. The
deterioration in global function lasted 6 months, the increase in constipation
and speech problems lasted 12 months, and insomnia increased more than 12 months
after MIE. CONCLUSIONS: The emotional function had no change after MIE. The
global QOL become worse during early postoperative period; the symptoms of
constipation, speech problems, and insomnia increased for a long time after MIE.
PMID- 29793489
TI - What do young people with rheumatic conditions in the UK think about research
involvement? A qualitative study.
AB - BACKGROUND: Involving people of all ages in health research is now widely
advocated. To date, no studies have explored whether and how young people with
chronic rheumatic conditions want to be involved in influencing health research.
This study aimed to explore amongst young people with rheumatic conditions, 1)
their experiences of research participation and involvement 2) their beliefs
about research involvement and 3) beliefs about how young people's involvement
should be organized in the future. METHODS: Focus groups discussions with young
people aged 11-24 years with rheumatic conditions across the UK. Data was
analysed using a qualitative Framework approach. RESULTS: Thirteen focus groups
were held involving 63 participants (45 F: 18 M, mean age 16, range 10 to 24
years) across the UK. All believed that young people had a right to be involved
in influencing research and to be consulted by researchers. However, experience
of research involvement varied greatly. For many, the current project was the
first time they had been involved. Amongst those with experience of research
involvement, awareness of what they had been involved in and why was often low.
Those who had previously participated in research appeared more positive and
confident about influencing research in the future. However, all felt that there
were limited opportunities for them to be both research participants and to get
involved in research as public contributors. CONCLUSIONS: These findings suggest
that there is an on-going need to both increase awareness of research involvement
and participation of young people in rheumatology as well as amongst young people
themselves.
PMID- 29793488
TI - LDL-cholesterol lowering effect of a new dietary supplement: an open label,
controlled, randomized, cross-over clinical trial in patients with mild-to
moderate hypercholesterolemia.
AB - BACKGROUND: Hypercholesterolemia is a major risk factor for cardiovascular
disorders and requires specific intervention through an adequate lifestyle (diet
and physical exercise) and, if necessary, an appropriate drug treatment. Lipid
lowering drugs, although generally efficacious, may sometimes cause adverse
events. A growing attention has been devoted to the correction of dyslipidemias
through the use of dietary supplements. The aim of this study was to assess the
lipid-lowering activity and safety of a dietary supplement containing monacolin
K, L-arginine, coenzyme Q10 and ascorbic acid, named Argicolina (A), compared to
a commercially available product containing monacolin K and coenzyme Q10,
Normolip 5 (N). METHODS: This was a single center, controlled, randomized, open
label, cross-over clinical study enrolling 20 Caucasian outpatients aged 18-75
years with serum LDL-C between 130 and 180 mg/dL. Patients assumed two different
dietary supplements (A and N) both containing monacolin K 10 mg for 8 weeks each,
separated by a 4-week wash-out period. Evaluated parameters were: Total
cholesterol (Tot-C), low density lipoprotein cholesterol (LDL-C), high density
lipoprotein cholesterol (HDL-C), triglycerides (TG), fasting blood glucose,
aspartate aminotransferase, alanine aminotransferase, creatinekinase, gamma
glutamyl-transpeptidase, brachial arterial pressure and heart rate, measured at
the start and at the end of each treatment period. Safety was monitored through
the study. RESULTS: LDL-C decreased by 23.3% during treatment with N (p < 0.0001)
and by 25.6% during treatment with A (p < 0.0001); the LDL-C mean reduction was
36.4 (95% CI: 45,6-27,1) mg/dL during N treatment and 40.1 (95% CI: 49.2-30,9)
mg/dL during A treatment. Tot-C decreased significantly (p < 0.0001) within each
treatment period. HDL-C increase was negligible during A whereas it was
significant during N. TG diminished markedly during A and not significantly
during N. The difference between treatments was not statistically significant for
all variables. No serious or severe adverse events occurred during the study.
CONCLUSIONS: Our results confirm the clinically meaningful LDL-C lowering
properties of monacolin K. At variance with a supplement already in the market
(N), the novel association (A) of monacolin K with L-arginine, coenzime Q10 and
ascorbic acid also produces a significant reduction of triglycerides without
significant effects on HDL. TRIAL REGISTRATION: ClinicalTrials.gov ID:
NCT03425630 .
PMID- 29793490
TI - Geographic health inequalities in Norway: a Gini analysis of cross-county
differences in mortality from 1980 to 2014.
AB - BACKGROUND: This study aims at quantifying the level and changes over time of
inequality in age-specific mortality and life expectancy between the 19 Norwegian
counties from 1980 to 2014. METHODS: Data on population and mortality by county
was obtained from Statistics Norway for 1980-2014. Life expectancy and age
specific mortality rates (0-4, 5-49 and 50-69 age groups) were estimated by year
and county. Geographic inequality was described by the absolute Gini index
annually. RESULTS: Life expectancy in Norway has increased from 75.6 to 82.0
years, and the risk of death before the age of 70 has decreased from 26 to 14%
from 1980 to 2014. The absolute Gini index decreased over the period 1980 to 2014
from 0.43 to 0.32 for life expectancy, from 0.012 to 0.0057 for the age group 50
69 years, from 0.0038 to 0.0022 for the age group 5-49 years, and from 0.0009 to
0.0006 for the age group 0-4 years. It will take between 2 and 32 years (national
average 7 years) until the counties catch up with the life expectancy in the best
performing county if their annual rates of increase remain unchanged. CONCLUSION:
Using the absolute Gini index as a metric for monitoring changes in geographic
inequality over time may be a valuable tool for informing public health policies.
The absolute inequality in mortality and life expectancy between Norwegian
counties has decreased from 1980 to 2014.
PMID- 29793491
TI - Longitudinal construct validity of the minimum data set health status index.
AB - BACKGROUND: The Minimum Data Set Health Status Index (MDS-HSI) is a generic,
preference-based health-related quality of life (HRQOL) measure derived by
mapping items from the Resident Assessment Instrument - Minimum Data Set (RAI
MDS) assessment onto the Health Utilities Index Mark 2 classification system.
While the validity of the MDS-HSI has been examined in cross-sectional settings,
the longitudinal validity has not been explored. The objective of this study was
to investigate the longitudinal construct validity of the MDS-HSI in a home care
population. METHODS: This study utilized a retrospective cohort of home care
patients in the Hamilton-Niagara-Haldimand-Brant health region of Ontario, Canada
with at least two RAI-MDS Home Care assessments between January 2010 and December
2014. Convergent validity was assessed by calculating Spearman rank correlations
between the change in MDS-HSI and changes in six validated indices of health
domains that can be calculated from the RAI-MDS assessment. Known-groups validity
was investigated by fitting multivariable linear regression models to estimate
the mean change in MDS-HSI associated with clinically important changes in the
six health domain indices and 15 disease symptoms from the RAI-MDS Home Care
assessment, controlling for age and sex. RESULTS: The cohort contained 25,182
patients with two RAI-MDS Home Care assessments. Spearman correlations between
the MDS-HSI change and changes in the health domain indices were all
statistically significant and in the hypothesized small to moderate range [0.1 <
rho < 0.5]. Clinically important changes in all of the health domain indices and
13 of the 15 disease symptoms were significantly associated with clinically
important changes in the MDS-HSI. CONCLUSIONS: The findings of this study support
the longitudinal construct validity of the MDS-HSI in home care populations. In
addition to evaluating changes in HRQOL among home care patients in clinical
research, economic evaluation, and health technology assessment, the MDS-HSI may
be used in system-level applications using routinely collected population-level
data.
PMID- 29793492
TI - Beverage consumption patterns among 4-19 y old children in 2009-14 NHANES show
that the milk and 100% juice pattern is associated with better diets.
AB - BACKGROUND: Patterns of beverage consumption among children and adolescents can
be indicative of food choices and total diet quality. METHODS: Analyses of
beverage consumption patterns among 8119 children aged 4-19 y were based on the
first 24-h recall of the National Health and Nutrition Examination Survey (2009
14 NHANES). Four pre-defined beverage patterns were: 1) milk pattern; 2) 100%
juice pattern; 3) milk and 100% juice pattern; and 4) other caloric beverages.
Food- and nutrient-based diet quality measures included the Healthy Eating Index
2010. RESULTS: Most children drank other caloric beverages, as opposed to milk
(17.8%), 100% juice (5.6%), or milk and 100% juice (13.5%). Drinkers of milk and
100% juice had diets that did not differ from each other in total calories, total
and added sugars, fiber, or vitamin E. Milk drinkers consumed more dairy and had
higher intakes of calcium, potassium, vitamin A and vitamin D as compared to all
other patterns. Juice drinkers consumed more total fruit, same amounts of whole
fruit, and had higher intakes of vitamin C as compared to the other consumption
patterns. Drinkers of both milk and 100% juice had the highest HEI 2010 scores of
all the consumption patterns. CONCLUSIONS: Beverage consumption patterns built
around milk and/or 100% juice were relatively uncommon. Promoting the drinking of
milk and 100% juice, in preference to other caloric beverages, may be an
effective strategy to improve children's diet quality. Restricting milk and 100%
juice consumption may encourage the selection of other caloric beverages.
PMID- 29793493
TI - Hypothesis and data-driven dietary patterns and colorectal Cancer survival:
findings from Newfoundland and Labrador colorectal Cancer cohort.
AB - BACKGROUND: Dietary patterns are commonly used in epidemiological research, yet
there have been few studies assessing if and how research results may vary across
dietary patterns. This study aimed to estimate the risk of
mortality/recurrence/metastasis using different dietary patterns and comparison
amongst the patterns. METHODS: Dietary patterns were identified by Cluster
Analysis (CA), Principal Component Analysis (PCA), Alternate Mediterranean Diet
score (altMED), Recommended Food Score (RFS) and Dietary Inflammatory Index (DII)
scores using a 169-item food frequency questionnaire. Five hundred thirty-two
colorectal cancer patients diagnosed between 1999 and 2003 in Newfoundland were
followed-up until 2010. Overall Mortality (OM) and combined Mortality, Recurrence
or Metastasis (cMRM) were identified. Comparisons were made with adjusted Cox
proportional Hazards Ratios (HRs), correlation coefficients and the distributions
of individuals in defined clusters by quartiles of factor and index scores.
RESULTS: One hundred and seventy cases died from all causes and 29 had a cancer
recurrence/metastasis during follow-up. Processed meats as classified by PCA (HR
1.82; 95% confidence interval (CI) 1.07-3.09), clusters characterized by meat and
dairy products (HR 2.19; 95% CI 1.03-4.67) and total grains, sugar, soft drinks
(HR 1.95; 95% CI 1.13-3.37) were associated with a higher risk of cMRM. Poor
adherence to AltMED increased the risk of all-cause OM (HR 1.62; 95% CI 1.04
2.56). Prudent vegetable, high sugar pattern, RFS and DII had no significant
association with both OM and cMRM. CONCLUSION: Estimation of OM and cMRM varied
across dietary patterns which is attributed to the differences in the foundation
of each pattern.
PMID- 29793494
TI - Canine pseudopregnancy: an evaluation of prevalence and current treatment
protocols in the UK.
AB - BACKGROUND: There is a dearth of literature on pseudopregnancy in the bitch, with
only a few treatment-based studies published since the 1990s. Pseudopregnancy may
be under-recognised in bitches and may account for a proportion of behavioural
cases seen in veterinary practices including aggression. Little is known about
commonly used treatments for overtly pseudopregnant bitches and it is possible
that current regimes may not be prescribed for a sufficient duration to control
any clinical signs including, physical and behavioural changes. To investigate
current trends in diagnosis and treatment of canine pseudopregnancy, a postal
survey was sent to 2000 randomly selected veterinary surgeons in UK veterinary
practices. The questionnaire queried how often vets recognise cases of
pseudopregnancy in spayed and entire bitches, which physical or behavioural signs
are commonly recognised for diagnosis, and which management or treatment
protocols are used. RESULTS: The response rate was 19.8% (397/2000). Ninety-six
percent of veterinary surgeons reported seeing pseudopregnant bitches showing
behavioural changes without any physical changes within the last 12 months. Of
those behavioural changes, collecting and mothering objects was the most
frequently reported behavioural sign (96%). Ninety-seven percent of vets had seen
aggression in pseudopregnant bitches. Nevertheless, only 52% of vets routinely
asked owners about behavioural changes during consultations. Forty-nine percent
of respondents reported seeing pseudopregnancy in spayed bitches. The most
commonly reported physical sign was enlarged mammary glands and/or milk
production (89%). Treatment options varied (surgical, medical or none) and
depended on duration and severity of physical and behavioural signs, owners'
preference, cost, concurrent disease, drug availability and previous history.
CONCLUSIONS: This is the largest epidemiological study of canine pseudopregnancy
in the UK. The prevalence and severity of clinical signs in dogs with
pseudopregnancy are variable and possibly under-estimated. Dogs with overt
pseudopregnancy experience diverse physical and behavioural changes and
information on standard treatment protocols are lacking. Although, progress on
our understanding of diagnosis and treatment of pseudopregnancy in spayed and
entire bitches has been made, further studies are warranted.
PMID- 29793496
TI - The effects of inositol supplementation on lipid profiles among patients with
metabolic diseases: a systematic review and meta-analysis of randomized
controlled trials.
AB - BACKGROUND: Several studies have evaluated the effect of inositol supplementation
on lipid profiles among population with metabolic diseases; however, the findings
are controversial. This review of randomized controlled trials (RCTs) was
performed to summarize the evidence of the effects of inositol supplementation on
lipid profiles among population with metabolic diseases. METHODS: Relevant RCTs
studies were searched in Cochrane Library, EMBASE, MEDLINE, and Web of Science
until October 2017. Two researchers assessed study eligibility, extracted data,
and evaluated risk of bias of included primary studies, independently. To check
for the heterogeneity among included studies Q-test and I2 statistics were used.
Data were pooled by using the random-effect model and standardized mean
difference (SMD) was considered as summary of the effect size. RESULTS: Overall,
14 RCTs were included into meta-analysis. Pooled results showed that inositol
supplementation among patients with metabolic diseases significantly decreased
triglycerides (SMD - 1.24; 95% CI, - 1.84, - 0.64; P < 0.001), total- (SMD -
1.09; 95% CI, - 1.83, - 0.55; P < 0.001), and LDL-cholesterol levels (SMD - 1.31;
95% CI, - 2.23, - 0.39; P = 0.005). There was no effect of inositol
supplementation on HDL-cholesterol levels (SMD 0.20; 95% CI, - 0.27, 0.67; P =
0.40). CONCLUSIONS: Inositol supplementation may result in reduction in
triglycerides, total- and LDL-cholesterol levels, but did not affect HDL
cholesterol levels among patients with metabolic diseases. Additional prospective
studies regarding the effect of inositol supplementation on lipid profiles in
patients with metabolic diseases are necessary.
PMID- 29793497
TI - Obituary: Remembering Professor David Cooper.
PMID- 29793498
TI - Augmented reality-based electrode guidance system for reliable
electroencephalography.
AB - BACKGROUND: In longitudinal electroencephalography (EEG) studies, repeatable
electrode positioning is essential for reliable EEG assessment. Conventional
methods use anatomical landmarks as fiducial locations for the electrode
placement. Since the landmarks are manually identified, the EEG assessment is
inevitably unreliable because of individual variations among the subjects and the
examiners. To overcome this unreliability, an augmented reality (AR)
visualization-based electrode guidance system was proposed. METHODS: The proposed
electrode guidance system is based on AR visualization to replace the manual
electrode positioning. After scanning and registration of the facial surface of a
subject by an RGB-D camera, the AR of the initial electrode positions as
reference positions is overlapped with the current electrode positions in real
time. Thus, it can guide the position of the subsequently placed electrodes with
high repeatability. RESULTS: The experimental results with the phantom show that
the repeatability of the electrode positioning was improved compared to that of
the conventional 10-20 positioning system. CONCLUSION: The proposed AR guidance
system improves the electrode positioning performance with a cost-effective
system, which uses only RGB-D camera. This system can be used as an alternative
to the international 10-20 system.
PMID- 29793499
TI - Inflammatory and cytotoxic effects of bifenthrin in primary microglia and
organotypic hippocampal slice cultures.
AB - BACKGROUND: Pyrethroids, such as bifenthrin (BF), are among the most widely used
class of insecticides that pose serious risks to human and wildlife health.
Pyrethroids are proposed to affect astrocytic functions and to cause neuron
injury in the central nervous system (CNS). Microglia are key cells involved in
innate immune responses in the CNS, and microglia activation has been linked to
inflammation and neurotoxicity. However, little information is known about the
effects of BF-induced toxicity in primary microglial cells as well as in
organotypic hippocampal slice cultures (OHSCs). METHODS: Oxidative stress and
inflammatory responses induced by BF were evaluated in primary microglial cells
and OHSCs incubated with different concentrations of BF (1-20 MUM) for 4 and 24
h. mRNA and protein synthesis of cyclooxygenase-2 (COX-2), tumor necrosis factor
alpha (TNF-alpha), interleukin-6 (IL-6), nuclear erythroid-2 like factor-2 (Nrf
2), and microsomal prostaglandin synthase-1 (mPGES-1) was also studied by qPCR
and Western blot. Cell viability was analyzed by MTT-tetrazolio (MTT) and lactate
dehydrogenase (LDH) assays. Neurotoxicity in OHSCs was analyzed by propidium
iodide (PI) staining and confocal microscopy. RESULTS: Exposure of microglial
cells to BF for 24 h resulted in a dose-dependent reduction in the number of
viable cells. At sub-cytotoxic concentrations, BF increased reactive oxygen
species (ROS), TNF-alpha synthesis, and prostaglandin E2 (PGE2) production, at
both 4- and 24-h time points, respectively. Furthermore, BF incubation decreased
superoxide dismutase (SOD), catalase (CAT), and glutathione peroxidase (GPx)
activities and increased lipid peroxidation, protein oxidation, and H2O2
formation. In addition, BF significantly induced protein synthesis and mRNA
expression of oxidative and inflammatory mediators after 4 and 24 h, including
Nrf-2, COX-2, mPGES-1, and nuclear factor kappaB (NF-kappaB). A 24-h exposure of
OHSCs to BF also increased neuronal death compared to untreated controls.
Furthermore, depletion of microglia from OHSCs potently enhanced neuronal death
induced by BF. CONCLUSIONS: Overall, BF exhibited cytotoxic effects in primary
microglial cells, accompanied by the induction of various inflammatory and
oxidative stress markers including the Nrf-2/COX-2/mPGES-1/NF-kappaB pathways.
Moreover, the study provided evidence that BF induced neuronal death in OHSCs and
suggests that microglia exert a protective function against BF toxicity.
PMID- 29793500
TI - Perinatal Pb2+ exposure alters the expression of genes related to the
neurodevelopmental GABA-shift in postnatal rats.
AB - BACKGROUND: Lead (Pb2+) is an environmental neurotoxicant that disrupts
neurodevelopment, communication, and organization through competition with Ca2+
signaling. How perinatal Pb2+ exposure affects Ca2+-related gene regulation
remains unclear. However, Ca2+ activates the L-Type voltage sensitive calcium
channel beta-3 subunit (Ca-beta3), which autoregulates neuronal excitability and
plays a role in the GABA-shift from excitatory-to-inhibitory neurotransmission.
METHOD: A total of eight females (n = 4 Control and n = 4 Perinatal) and four
males (n = 2 Control and n = 2 Perinatal) rats were used as breeders to serve as
Dams and Sires. The Dam's litters each ranged from N = 6-10 pups per litter (M =
8, SD = 2), irrespective of Pb2+ treatment, with a majority of males over
females. Since there were more males in each of the litters than females, to best
assess and equally control for Pb2+- and litter-effects across all developmental
time-points under study, female pups were excluded due to an insufficient sample
size availability from the litter's obtained. From the included pup litters, 24
experimentally naive male Long Evans hooded rat pups (Control N = 12; Pb2+ N =
12) were used in the present study. Brains were extracted from rat prefrontal
cortex (PFC) and hippocampus (HP) at postnatal day (PND) 2, 7, 14 and 22, were
homogenized in 1 mL of TRIzol reagent per 100 mg of tissue using a glass-Teflon
homogenizer. Post-centrifugation, RNA was extracted with chloroform and
precipitated with isopropyl alcohol. RNA samples were then re-suspended in 100
MUL of DEPC treated H2O. Next, 10 MUg of total RNA was treated with RNase-free
DNase (Qiagen) at 37 degrees C for 1 h and re-purified by a 3:1
phenol/chloroform extraction followed by an ethanol precipitation. From the
purified RNA, 1 MUg was used in the SYBR GreenER Two-Step qRT-PCR kit
(Invitrogen) for first strand cDNA synthesis and the quantitative real-time PCR
(qRT-PCR). The effects of perinatal Pb2+ exposure on genes related to early
neuronal development and the GABA-shift were evaluated through the expression of:
Ca-beta3, GABAAR-beta3, NKCC1, KCC2, and GAD 80, 86, 65, and 67 isoforms.
RESULTS: Perinatal Pb2+ exposure significantly altered the GABA-shift
neurodevelopmental GOI expression as a function of Pb2+ exposure and age across
postnatal development. Dramatic changes were observed with Ca-beta3 expression
consistent with a Pb2+ competition with L-type calcium channels. By PND 22, Ca
beta3 mRNA was reduced by 1-fold and 1.5-fold in PFC and HP respectively,
relative to controls. All HP GABA-beta3 mRNA levels were particularly vulnerable
to Pb2+ at PND 2 and 7, and both PFC and HP were negatively impacted by Pb2+ at
PND 22. Additionally, Pb2+ altered both the PFC and HP immature GAD 80/86 mRNA
expression particularly at PND 2, whereas mature GAD 65/67 were most
significantly affected by Pb2+ at PND 22. CONCLUSIONS: Perinatal Pb2+ exposure
disrupts the expression of mRNAs related to the GABA-shift, potentially altering
the establishment, organization, and excitability of neural circuits across
development. These findings offer new insights into the altered effects Pb2+ has
on the GABAergic system preceding what is known regarding Pb2+ insults unto the
glutamatergic system.
PMID- 29793495
TI - Casein kinase 1alpha: biological mechanisms and theranostic potential.
AB - Casein kinase 1alpha (CK1alpha) is a multifunctional protein belonging to the CK1
protein family that is conserved in eukaryotes from yeast to humans. It regulates
signaling pathways related to membrane trafficking, cell cycle progression,
chromosome segregation, apoptosis, autophagy, cell metabolism, and
differentiation in development, circadian rhythm, and the immune response as well
as neurodegeneration and cancer. Given its involvement in diverse cellular,
physiological, and pathological processes, CK1alpha is a promising therapeutic
target. In this review, we summarize what is known of the biological functions of
CK1alpha, and provide an overview of existing challenges and potential
opportunities for advancing theranostics.
PMID- 29793501
TI - Long-acting reversible contraceptive use in the post-abortion period among women
seeking abortion in mainland China: intentions and barriers.
AB - BACKGROUND: This study aimed to describe the intentions of and barriers to the
use of long-acting reversible contraceptives (LARCs) in the post-abortion period
among women seeking abortion in mainland China. METHODS: A cross-sectional study
was conducted from July 2015 to December 2015 using a waiting room questionnaire.
A total of 381 women seeking abortions were recruited at a public hospital
abortion clinic. The outcome variable was an 'intention-to-use' LARCs in the
immediate post-abortion period. Chi-square tests were used to assess associations
between categorical variables. Statistically significant variables (p <= 0.05)
were then further analyzed by logistic regression. RESULTS: Among 381
respondents, 42.5% intended to use LARCs in the immediate post-abortion period;
35.2% intended to use intra-uterine devices (IUDs); and 13.9% intended to use
implants. Previous use of LARC was a predictor for an intention to use LARCs
(odds ratio [OR] = 2.41; 95% confidence interval [CI]: 1.06-5.47). Participants
with one or no child had reduced odds for an intention to use LARC (OR = 0.32,
95% CI: 0.15-0.47 and OR = 0.29, 95% CI: 0.13-0.68, respectively). Women with a
higher sex frequency (at least once per week) showed increased odds for LARC use
(OR = 3.34; 95% CI: 1.03-10.78) and married women were more likely to use LARC
than single women (OR = 1.57; 95% CI:1.00-2.47). Women who planned to have
another baby within two years were more likely not to use LARCs in the immediate
post-abortion period (OR = 0.97; 95% CI: 0.43-2.12). Barriers to the use of LARCs
were anxiety relating to impaired future fertility (56.2%), LARCs being harmful
to health (45.2%), irregular bleeding (44.3%), risk of IUD failure (41.6%) and
lack of awareness with respect to LARCs (36.1%). CONCLUSIONS: Intention to use
LARCs was predicted by marital status, frequency of sexual activity, number of
children, planned timing of next pregnancy, and previous LARC use. Impaired
future fertility, being harmful to health, irregular bleeding, risk of
complications, and lack of awareness with regards to LARCs were the main barriers
in their potential use.
PMID- 29793502
TI - Deficiency of Gpr1 improves steroid hormone abnormality in hyperandrogenized
mice.
AB - BACKGROUND: Polycystic ovary syndrome (PCOS) is a complex genetic disease with
multifarious phenotypes. Many researches use dehydroepiandrosterone (DHEA) to
induce PCOS in pubertal mouse models. The aim of this study was to investigate
the role of GPR1 in dehydroepiandrosterone (DHEA)-induced hyperandrogenized mice.
METHODS: Prepubertal C57BL/6 mice (25 days of age) and Gpr1-deficient mice were
each divided into two groups and injected daily with sesame oil with or without
DHEA (6 mg/100 g) for 21 consecutive days. Hematoxylin and eosin (H&E) staining
was performed to determine the characteristics of the DHEA-treated ovaries. Real
time PCR was used to examine steroid synthesis enzymes gene expression. Granulosa
cell was cultured to explore the mechanism of DHEA-induced, GPR1-mediated
estradiol secretion. RESULTS: DHEA treatment induced some aspects of PCOS in wild
type mice, such as increased body weight, elevated serum testosterone, increased
number of small, cystic, atretic follicles, and absence of corpus luteum in
ovaries. However, Gpr1 deficiency significantly attenuated the DHEA-induced
weight gain and ovarian phenotype, improving steroidogenesis in ovaries and
estradiol synthesis in cultured granulosa cells, partially through mTOR
signaling. CONCLUSIONS: In conclusion, Gpr1 deficiency leads to the improvement
of steroid synthesis in mice hyperandrogenized with DHEA, indicating that GPR1
may be a therapeutic target for DHEA-induced hyperandrogenism.
PMID- 29793503
TI - Abnormalities of hair structure and skin histology derived from CRISPR/Cas9-based
knockout of phospholipase C-delta 1 in mice.
AB - BACKGROUND: Hairless mice have been widely applied in skin-related researches,
while hairless pigs will be an ideal model for skin-related study and other
biomedical researches because of the similarity of skin structure with humans.
The previous study revealed that hairlessness phenotype in nude mice is caused by
insufficient expression of phospholipase C-delta 1 (PLCD1), an essential molecule
downstream of Foxn1, which encouraged us to generate PLCD1-deficient pigs. In
this study, we plan to firstly produce PLCD1 knockout (KO) mice by CRISPR/Cas9
technology, which will lay a solid foundation for the generation of hairless
PLCD1 KO pigs. METHODS: Generation of PLCD1 sgRNAs and Cas 9 mRNA was performed
as described (Shao in Nat Protoc 9:2493-2512, 2014). PLCD1-modified mice (F0)
were generated via co-microinjection of PLCD1-sgRNA and Cas9 mRNA into the
cytoplasm of C57BL/6J zygotes. Homozygous PLCD1-deficient mice (F1) were obtained
by intercrossing of F0 mice with the similar mutation. RESULTS: PLCD1-modified
mice (F0) showed progressive hair loss after birth and the genotype of
CRISPR/Cas9-induced mutations in exon 2 of PLCD1 locus, suggesting the sgRNA is
effective to cause mutations that lead to hair growth defect. Homozygous PLCD1
deficient mice (F1) displayed baldness in abdomen and hair sparse in dorsa.
Histological abnormalities of the reduced number of hair follicles, irregularly
arranged and curved hair follicles, epidermal hyperplasia and disturbed
differentiation of epidermis were observed in the PLCD1-deficient mice. Moreover,
the expression level of PLCD1 was significantly decreased, while the expression
levels of other genes (i.e., Krt1, Krt5, Krt13, loricrin and involucrin) involved
in the differentiation of hair follicle were remarkerably increased in skin
tissues of PLCD1-deficient mice. CONCLUSIONS: In conclusion, we achieve PLCD1 KO
mice by CRISPR/Cas9 technology, which provide a new animal model for hair
development research, although homozygotes don't display completely hairless
phenotype as expected.
PMID- 29793505
TI - Status of imported malaria on Reunion Island in 2016.
AB - BACKGROUND: Autochthonous malaria has been eliminated from Reunion in 1979. To
prevent secondary transmission and re-emergence of autochthonous malaria,
permanent epidemiologic and entomological surveillance and vector control
measures are conducted around imported malaria cases. Results of local malaria
surveillance (clinical data and results of epidemiological and entomological
investigations around cases) were collected for 2013-2016 and were analysed
according to historical data and to the exchanges with malaria-affected areas
(estimated by airport data). RESULTS: Form 2013 to 2016, 95 imported malaria
cases have been detected in Reunion Island: 42% of cases occurred in the area of
repartition of Anopheles arabiensis, but Anopheles mosquitoes were present only
around seven cases including one gametocyte carrier. No autochthonous or
introduced case has occurred during this period. The lack of chemoprophylaxis or
poor adherence was found in the majority (96%) of malaria cases between 2013 and
2016, regardless of trip type. Affinity tourism in Madagascar and Comoros was the
cause of 65% of imported malaria cases. DISCUSSION: The incidence of imported
malaria and the incidence rate per 100,000 travellers has continuously decreased
since 2001. Now with the drastic decrease of malaria transmission in the Comoros
archipelago, most of imported malaria cases in Reunion Island have been
contaminated in Madagascar. Immigrants regularly resident in Reunion Island,
which travel to malaria endemic countries (mainly Madagascar) to visit their
friends and relatives (VFRs) represent a high-risk group of contracting malaria.
VFRs, low adherence to pre-travel recommendations, in particular, the compliance
on the use of chemoprophylaxis are the main drivers of imported malaria in
Reunion Island. Furthermore as previously described, some general practitioners
in Reunion Island are always not sufficiently aware of the official
recommendations for prescriptions of prophylactic treatments. CONCLUSION: Social
mobilization targeted on the Malagasy community in Reunion Island could help to
decrease the burden of imported malaria in Reunion Island. Because of the low
number of gametocyte carriers and the absence of an Anopheles mosquito population
when most malaria cases were imported those last 4 years, the risk of the
appearance of introduced malaria cases and indigenous malaria cases appears low
in Reunion Island.
PMID- 29793504
TI - Aquaporin-4 deletion ameliorates hypoglycemia-induced BBB permeability by
inhibiting inflammatory responses.
AB - BACKGROUND: Severe hypoglycemia induces brain edema by upregulating aquaporin-4
(AQP4) expression and by degrading tight junctions. Acute severe hypoglycemia
induces a proinflammatory environment that may contribute to a disruption in the
epithelial barrier by decreasing tight junction protein expression.
Interestingly, the altered AQP4 expression has been considered to play a critical
role in neuroinflammation during acute brain injury. It has been shown that AQP4
deletion reduces brain inflammation in AQP4-null mice after intracerebral LPS
injection. However, the effect of AQP4 deletion regarding protection against
hypoglycemia-induced blood-brain barrier (BBB) breakdown is unknown. METHODS: An
acute severe hypoglycemic stress model was established via injection of 4 unit/kg
body weight of insulin. Evans blue (EB) staining and water measurement were used
to assess BBB permeability. Western blot, reverse transcription polymerase chain
reaction, and immunofluorescence were used to detect the expression of related
proteins. The production of cytokines was assessed via enzyme-linked
immunosorbent assay. RESULTS: Hypoglycemia-induced brain edema and BBB leakage
were reduced in AQP4-/- mice. AQP4 deletion upregulated PPAR-gamma and inhibited
proinflammatory responses. Moreover, knockdown of aquaporin-4 by small
interfering RNA in astrocytes co-cultured with endothelial cells effectively
reduced transendothelial permeability and degradation of tight junctions.
Treatment with PPAR-gamma inhibitors showed that upregulation of PPAR-gamma was
responsible for the protective effect of AQP4 deletion under hypoglycemic
conditions. CONCLUSIONS: Our data suggest that AQP4 deletion protects BBB
integrity by reducing inflammatory responses due to the upregulation of PPAR
gamma expression and attenuation of proinflammatory cytokine release. Reduction
in AQP4 may be protective in acute severe hypoglycemia.
PMID- 29793506
TI - Nucleocapsid protein-dependent assembly of the RNA packaging signal of Middle
East respiratory syndrome coronavirus.
AB - BACKGROUND: Middle East respiratory syndrome coronavirus (MERS-CoV) consists of a
positive-sense, single-stranded RNA genome and four structural proteins: the
spike, envelope, membrane, and nucleocapsid protein. The assembly of the viral
genome into virus particles involves viral structural proteins and is believed to
be mediated through recognition of specific sequences and RNA structures of the
viral genome. METHODS AND RESULTS: A culture system for the production of MERS
coronavirus-like particles (MERS VLPs) was determined and established by electron
microscopy and the detection of coexpressed viral structural proteins. Using the
VLP system, a 258-nucleotide RNA fragment, which spans nucleotides 19,712 to
19,969 of the MERS-CoV genome (designated PS258(19712-19969)ME), was identified
to function as a packaging signal. Assembly of the RNA packaging signal into MERS
VLPs is dependent on the viral nucleocapsid protein. In addition, a 45-nucleotide
stable stem-loop substructure of the PS258(19712-19969)ME interacted with both
the N-terminal domain and the C-terminal domain of the viral nucleocapsid
protein. Furthermore, a functional SARS-CoV RNA packaging signal failed to
assemble into the MERS VLPs, which indicated virus-specific assembly of the RNA
genome. CONCLUSIONS: A MERS-oV RNA packaging signal was identified by the
detection of GFP expression following an incubation of MERS VLPs carrying the
heterologous mRNA GFP-PS258(19712-19969)ME with virus permissive Huh7 cells. The
MERS VLP system could help us in understanding virus infection and morphogenesis.
PMID- 29793507
TI - Targeting energy metabolism via the mitochondrial pyruvate carrier as a novel
approach to attenuate neurodegeneration.
AB - Several molecular pathways are currently being targeted in attempts to develop
disease-modifying therapies to slow down neurodegeneration in Parkinson's
disease. Failure of cellular energy metabolism has long been implicated in
sporadic Parkinson's disease and recent research on rare inherited forms of
Parkinson's disease have added further weight to the importance of energy
metabolism in the disease pathogenesis. There exists a new class of anti-diabetic
insulin sensitizers in development that inhibit the mitochondrial pyruvate
carrier (MPC), a protein which mediates the import of pyruvate across the inner
membrane of mitochondria. Pharmacological inhibition of the MPC was recently
found to be strongly neuroprotective in multiple neurotoxin-based and genetic
models of neurodegeneration which are relevant to Parkinson's disease. In this
review, we summarize the neuroprotective effects of MPC inhibition and discuss
the potential putative underlying mechanisms. These mechanisms involve
augmentation of autophagy via attenuation of the activity of the mammalian target
of rapamycin (mTOR) in neurons, as well as the inhibition of neuroinflammation,
which is at least partly mediated by direct inhibition of MPC in glia cells. We
conclude that MPC is a novel and potentially powerful therapeutic target that
warrants further study in attempts to slow Parkinson's disease progression.
PMID- 29793508
TI - GSK-3beta inhibits autophagy and enhances radiosensitivity in non-small cell lung
cancer.
AB - BACKGROUND: Radiotherapy is one of the most common and effective treatment
methods for cancer, and improving the radiosensitivity of tumor tissues during
the treatment process is vital. We report the mechanisms of glycogen synthase
kinase 3 (GSK-3) beta-regulated autophagy and the effects of autophagy on
radiosensitivity in non-small cell lung cancer (NSCLC). METHOD:
Immunohistochemical staining was performed to determine GSK-3beta tissue
expression in 89 NSCLC patients with follow-up data and the expression status of
GSK-3beta and autophagy in NSCLC tissues after X-ray radiotherapy. Western blots
were used to quantitate changes in autophagy-related protein expression after
A549 cells were treated with GSK-3beta inhibitors and after H460 cells were
transfected with GSK-3beta mutants with different activities and X-ray
irradiated. Clonogenic assays were used to measure the effect of autophagy on
cellular proliferation. RESULTS: GSK-3beta expression positively correlated with
NSCLC differentiation (P < 0.05), and GSK-3beta negativity was associated with a
better prognosis in 89 NSCLC patients. After X-ray irradiation, the expression
levels of GSK-3beta and p62 were decreased in NSCLC tissues, and the expression
levels of the autophagy-related protein LC3 were increased. A549 and H460 cells
were selected as representative GSK-3beta-high and GSK-3beta-low expression cell
lines. After transfecting H460 cells with different GSK-3beta mutants [wild type
GSK-3beta (GSK-3beta-WT), constitutively active GSK-3beta (GSK-3beta-S9A), and
catalytically inactive GSK-3beta (GSK-3beta-K85R)] and subjecting these cells to
X-ray irradiation, AMPK and LC3 expression levels decreased, and p62 expression
levels increased. These effects were particularly significant for the GSK-3beta
S9A mutant. In A549 cells, after GSK-3beta inhibition and X-ray irradiation, AMPK
and LC3 protein expression levels increased. Moreover, when autophagy was
inhibited, cell proliferation decreased. CONCLUSION: Our studies revealed that
GSK-3beta expression is associated with NSCLC differentiation, and patients with
GSK-3beta-negative tumors had a better prognosis. X-ray irradiation inhibited GSK
3beta expression and promoted autophagy. Therefore, GSK-3beta inhibits autophagy
and enhances the radiosensitivity of NSCLC cells.
PMID- 29793509
TI - Cannabinoid CB2 receptors in the mouse brain: relevance for Alzheimer's disease.
AB - BACKGROUND: Because of their low levels of expression and the inadequacy of
current research tools, CB2 cannabinoid receptors (CB2R) have been difficult to
study, particularly in the brain. This receptor is especially relevant in the
context of neuroinflammation, so novel tools are needed to unveil its
pathophysiological role(s). METHODS: We have generated a transgenic mouse model
in which the expression of enhanced green fluorescent protein (EGFP) is under the
control of the cnr2 gene promoter through the insertion of an Internal Ribosomal
Entry Site followed by the EGFP coding region immediately 3' of the cnr2 gene and
crossed these mice with mice expressing five familial Alzheimer's disease (AD)
mutations (5xFAD). RESULTS: Expression of EGFP in control mice was below the
level of detection in all regions of the central nervous system (CNS) that we
examined. CB2R-dependent-EGFP expression was detected in the CNS of 3-month-old
AD mice in areas of intense inflammation and amyloid deposition; expression was
coincident with the appearance of plaques in the cortex, hippocampus, brain stem,
and thalamus. The expression of EGFP increased as a function of plaque formation
and subsequent microgliosis and was restricted to microglial cells located in
close proximity to neuritic plaques. AD mice with CB2R deletion exhibited
decreased neuritic plaques with no changes in IL1beta expression. CONCLUSIONS:
Using a novel reporter mouse line, we found no evidence for CB2R expression in
the healthy CNS but clear up-regulation in the context of amyloid-triggered
neuroinflammation. Data from CB2R null mice indicate that they play a complex
role in the response to plaque formation.
PMID- 29793510
TI - Analyzing the impacts of global trade and investment on non-communicable diseases
and risk factors: a critical review of methodological approaches used in
quantitative analyses.
AB - BACKGROUND: A key mechanism through which globalization has impacted health is
the liberalization of trade and investment, yet relatively few studies to date
have used quantitative methods to investigate the impacts of global trade and
investment policies on non-communicable diseases and risk factors. Recent reviews
of this literature have found heterogeneity in results and a range of quality
across studies, which may be in part attributable to a lack of conceptual clarity
and methodological inconsistencies. METHODS: This study is a critical review of
methodological approaches used in the quantitative literature on global trade and
investment and diet, tobacco, alcohol, and related health outcomes, with the
objective of developing recommendations and providing resources to guide future
robust, policy relevant research. A review of reviews, expert review, and
reference tracing were employed to identify relevant studies, which were
evaluated using a novel quality assessment tool designed for this research.
RESULTS: Eight review articles and 34 quantitative studies were identified for
inclusion. Important ways to improve this literature were identified and
discussed: clearly defining exposures of interest and not conflating trade and
investment; exploring mechanisms of broader relationships; increasing the use of
individual-level data; ensuring consensus and consistency in key confounding
variables; utilizing more sector-specific versus economy-wide trade and
investment indicators; testing and adequately adjusting for autocorrelation and
endogeneity when using longitudinal data; and presenting results from alternative
statistical models and sensitivity analyses. To guide the development of future
analyses, recommendations for international data sources for selected trade and
investment indicators, as well as key gaps in the literature, are presented.
CONCLUSION: More methodologically rigorous and consistent approaches in future
quantitative studies on the impacts of global trade and investment policies on
non-communicable diseases and risk factors can help to resolve inconsistencies of
existing research and generate useful information to guide policy decisions.
PMID- 29793511
TI - Development of a GFP expression vector for Cucurbit chlorotic yellows virus.
AB - BACKGROUND: Cucurbit chlorotic yellows virus (CCYV), a bipartite crinivirus,
causes chlorotic leaf spots and yellowing symptoms on cucurbit leaves. We
previously developed an infectious clone of CCYV. Limited work has been conducted
on the construction of a crinivirus green fluorescence protein (GFP) expression
vector to date. FINDING: We constructed a CCYV GFP expression vector using the
"add a gene" strategy based on CCYV RNA2 cDNA constrcut. Three resultant clones,
pCCYVGFPSGC, pCCYVGFPCGC, and pCCYVGFPCGS, were constructed with different
promoters used to initiate GFP and CP expression. At 25 dpi GFP fluorescence was
detectable not only in leaf veins but also in the surrounding cells. pCCYVGFPCGC
infected cucumber leaves exhibited cell spread at 25 dpi, whereas pCCYVGFPSGC and
pCCYVGFPCGS were mainly found in single cells. Further observation of pCCYVGFPCGC
GFP expression at 30 dpi, 40 dpi, and 50 dpi showed phloem-limited localization
in the systemic leaves. CONCLUSIONS: We developed of a CCYV GFP expression vector
that will be useful for further study of CCYV movement in cucurbits.
PMID- 29793512
TI - Getting up close and personal with UK genomics and beyond.
AB - Stephan Beck discusses recent developments in sharing personal genomes as part of
the Personal Genome Project in the UK and globally, and how these efforts are
advancing research.
PMID- 29793514
TI - Correction to: Novel smac mimetic APG-1387 elicits ovarian cancer cell killing
through TNF-alpha, Ripoptosome and autophagy mediated cell death pathway.
AB - In the publication of this article [1], there was an error in Figs. 2, 3 and 6.
PMID- 29793515
TI - Prolonged corticosteroid treatment in acute respiratory distress syndrome: impact
on mortality and ventilator-free days.
PMID- 29793513
TI - Molecular characterizations of Cryptosporidium spp. and Enterocytozoon bieneusi
in brown rats (Rattus norvegicus) from Heilongjiang Province, China.
AB - BACKGROUND: Cryptosporidium spp. and Enterocytozoon bieneusi are prevalent
zoonotic pathogens responsible for the high burden of diarrheal diseases
worldwide. Rodents are globally overpopulated and are known as reservoirs or
carriers of a variety of zoonotic pathogens including Cryptosporidium spp. and E.
bieneusi. However, few data are available on genetic characterizations of both
pathogens in rodents in China. The aim of the present work was to determine the
prevalence and genetic characterizations of Cryptosporidium spp. and E. bieneusi
in brown rats (Rattus norvegicus) from Heilongjiang, China. METHODS: A total of
242 wild brown rats were captured in Heilongjiang Province of China. A fresh
fecal specimen was collected directly from the intestinal and rectal content of
each brown rat. All the fecal specimens were examined for the presence of
Cryptosporidium spp. and E. bieneusi by PCR and sequencing of the partial small
subunit (SSU) rRNA gene and the internal transcribed spacer (ITS) region of the
rRNA gene of the two pathogens, respectively. RESULTS: The infection rate was
9.1% (22/242) for Cryptosporidium spp. and 7.9% (19/242) for E. bieneusi.
Sequence analysis confirmed the presence of C. ubiquitum (1/22, 4.5%) and three
genotypes of Cryptosporidium, including Cryptosporidium rat genotype I (14/22,
63.6%), Cryptosporidium rat genotype IV (6/22, 27.3%) and Cryptosporidium suis
like genotype (1/22, 4.5%). Meanwhile, two E. bieneusi genotypes were identified,
including D (17/19, 89.5%) and Peru6 (2/19, 10.5%). CONCLUSIONS: To the best of
our knowledge, Enterocytozoon bieneusi genotype Peru6 was identified in rodents
for the first time globally and Cryptosporidium rat genotype I and
Cryptosporidium rat genotype IV were found in rats in China for the first time.
The finding of zoonotic C. ubiquitum and C. suis-like genotype, as well as E.
bieneusi genotypes, suggests that brown rats pose a threat to human health. It is
necessary to control brown rat population in the investigated areas and improve
local people's awareness of the transmission risk of the two pathogens from brown
rats to humans.
PMID- 29793516
TI - Immediate effects of rest periods on balance control in patients after stroke. A
randomized controlled pilot trial.
AB - OBJECTIVES: This randomized controlled trial evaluates the effects of two
different rest periods between as set of balance exercises after stroke during
inpatient rehabilitation. RESULTS: Twenty patients after stroke [11 males; mean
(SD) age 65.4 (11.5) years; duration of illness 5.3 (3.4) weeks; 16 (80%) left
sided strokes] were randomly allocated into two groups of either a full rest (FR)
of 4 min (n = 10) or a short rest (SR) of 1 min between exercise sets (n = 10).
Patients improved from baseline until immediately after exercises in one-leg
standing time on the affected leg [SR: mean difference 5.1 s (SD 10.3) and FR:
2.0 s (2.4)] and tandem standing time (TST). [SR: 14.9 s (SD 24.6) and FR: 5.7 s
(12.0)], but OLST and TST did not differ significantly between groups (p = 0.35
and p = 0.52, respectively). Trial registration The study was registered
retrospectively in the German Register of Clinical Trials with the ID:
DRKS00013979.
PMID- 29793517
TI - Physioxia: a more effective approach for culturing human adipose-derived stem
cells for cell transplantation.
AB - BACKGROUND: Although typically cultured at an atmospheric oxygen concentration
(20-21%), adipose-derived stem cells (ASCs) reside under considerable low oxygen
tension (physioxia) in vivo. In the present study, we explored whether and how
physioxia could be a more effective strategy for culturing ASCs for
transplantation. METHODS: After isolation, human ASCs were cultured under
physioxia (2% O2) and hyperoxia (20% O2) until assayed. WST-8, Transwell, tube
formation, beta-galactosidase staining, and annexin V-FITC/PI assays were used to
evaluate cell proliferation, migration, angiogenesis, senescence, and apoptosis,
respectively. Survivability was determined by an ischemia model in vitro and nude
mouse model in vivo, and the underlying metabolic alterations were investigated
by fluorescence staining, flow cytometry, and real-time polymerase chain
reaction. RESULTS: Compared with those in the hyperoxia group, cells in the
physioxia group exhibited increased proliferation, migration, and angiogenesis,
and decreased senescence and apoptosis. The increased survival rate of ASCs
cultured in physioxia was found both in ischemia model in vitro and in vivo. The
underlying metabolic reprogramming was also monitored and showed decreased
mitochondrial mass, alkalized intracellular pH, and increased glucose uptake and
glycogen synthesis. CONCLUSIONS: These results suggest that physioxia is a more
effective environment in which to culture ASCs for transplantation owing to the
maintenance of native bioactivities without injury by hyperoxia.
PMID- 29793518
TI - A dose-response study of aerobic training for oxygen uptake, oxidative stress and
cardiac autonomic function in type 2 diabetes mellitus: study protocol for a
randomized controlled trial.
AB - BACKGROUND: Cardiac autonomic neuropathy is a commonly overlooked complication of
type 2 diabetes mellitus (T2DM) characterized by an imbalance between sympathetic
and parasympathetic supply to the heart, which contributes to cardiovascular
morbidity and mortality. T2DM has also been shown to negatively influence oxygen
kinetics and increase oxidative stress, which may be linked to the development of
various chronic complications. Aerobic training has been reported to improve
oxygen uptake, antioxidant defense, and cardiac autonomic function in T2DM;
however, the effects of varying doses of exercise on these variables are not
known. Therefore, the aim of the present study is to explore the effects of
manipulating training variables (volume and intensity) on the regulation of
oxygen uptake response, oxidative stress, and cardiac autonomic function in
patients with T2DM. METHODS: We will recruit 60 patients with T2DM, who will be
randomly allocated into one of the three aerobic training groups: low-intensity,
low-volume training; low-intensity, high volume-training; high-intensity, high
volume training; or to the control group receiving no supervised exercise. All
participants will be assessed for the rate of oxygen uptake, levels of
antioxidant enzymes and cardiac autonomic function at baseline and after 12 weeks
of training. Secondary outcome measures will include cardiometabolic risk factors
and body composition. DISCUSSION: Despite a large body of evidence on the
efficacy of aerobic training in the prevention and treatment of T2DM, there is no
unequivocal exercise prescription for the same. Oxygen kinetics and oxidative
stress are highly sensitive to the magnitude of physical activity. It would
therefore, be interesting to study their interaction with chronic exposure to
various doses of exercises and explore the optimal volume and intensity to bring
about improvements in these parameters. TRIAL REGISTRATION: Clinical Trials
Registry - India, CTRI2017/08/009459 . Registered on 23 August 2017.
Retrospectively registered.
PMID- 29793519
TI - High-grade B-cell lymphoma with MYC and BCL2 rearrangements arising in a
composite lymphoma.
AB - BACKGROUND: We report the first case of composite lymphoma consisting of chronic
lymphocytic leukemia/small lymphocytic lymphoma (CLL/SLL), follicular lymphoma
(FL) and high-grade B-cell lymphoma with MYC and BCL2 rearrangements within the
same needle biopsy in which a clonal relationship between the FL and high-grade B
cell lymphoma components was demonstrated by molecular cytogenetics. CASE
PRESENTATION: An 85-year-old man presented with masses in his neck and right
groin. Cutting needle biopsy of the inguinal mass revealed the three lymphoma
types which were morphologically, immunophenotypically and topographically
distinct. Fluorescence in situ hybridization (FISH) identified an IGH-BCL2
rearrangement in both the FL and high-grade B-cell components while a MYC
rearrangement was detected in the high-grade B-cell component alone. CONCLUSIONS:
Our findings suggest that the high-grade lymphoma with MYC and BCL2
translocations evolved through transformation of the FL by a process that
entailed acquisition of the MYC translocation. No clonal relationship between the
FL and CLL/SLL components was evident since the IGH-BCL2 rearrangement was
present in in the former but not the latter. This unique case of co-localized FL,
CLL/SLL, and high-grade B-cell lymphoma contributes to our understanding of the
clonal relationships that may exist between the components of composite
lymphomas.
PMID- 29793521
TI - Substance-induced anxiety disorder after one dose of 3,4
methylenedioxymethamphetamine: a case report.
AB - BACKGROUND: In this report, we describe a case of a patient with substance
induced anxiety disorder occurring after a single dose of 3,4
methylenedioxymethamphetamine. Furthermore, we describe the use and efficacy of
the Primary Care Behavioral Health model, a collaborative approach to integrative
primary mental health care, in evaluating and treating this rare mental health
disorder. CASE PRESENTATION: Three days following ingestion of one dose of 3,4
methylenedioxymethamphetamine, a 35-year-old Hispanic man with no significant
prior mental health history and no history of prior 3,4
methylenedioxymethamphetamine use presented to our hospital with severe, acute
anxiety and panic symptoms. He was initially treated with a combination of
behavioral therapy and the serotonin agonist buspirone. Buspirone ultimately
proved ineffective, so it was discontinued in favor of the selective serotonin
reuptake inhibitor sertraline. While awaiting the pharmacological onset of
sertraline, the patient worked with a behavioral health consultant, who provided
psychoeducation on the experience of panic, building relaxation skills, and
modifying maladaptive thought patterns. Enhanced communication between the
primary care provider and behavioral health consultant facilitated the planning
and enactment of the patient's care plan. Approximately 2.5 months after his
initial ingestion of 3,4-methylenedioxymethamphetamine, the patient's symptoms
subsided. This improvement was attributed to the combination of the behavioral
health intervention and sertraline at a dose of 50 mg daily. Six months after 3,4
methylenedioxymethamphetamine ingestion, the patient began to gradually taper
sertraline and has had no resurgence of anxiety symptoms to date. CONCLUSIONS:
Our patient's case not only demonstrates a rare presentation of 3,4
methylenedioxymethamphetamine-induced anxiety disorder but also provides support
for the use of the Primary Care Behavioral Health model to deliver
individualized, timely mental health care in a primary care setting.
PMID- 29793520
TI - De novo assembly and annotation of Hyalomma dromedarii tick (Acari: Ixodidae)
sialotranscriptome with regard to gender differences in gene expression.
AB - BACKGROUND: Hard ticks are hematophagous ectoparasites characterized by their
long-term feeding. The saliva that they secrete during their blood meal is their
crucial weapon against host-defense systems including hemostasis, inflammation
and immunity. The anti-hemostatic, anti-inflammatory and immune-modulatory
activities carried out by tick saliva molecules warrant their pharmacological
investigation. The Hyalomma dromedarii Koch, 1844 tick is a common parasite of
camels and probably the best adapted to deserts of all hard ticks. Like other
hard ticks, the salivary glands of this tick may provide a rich source of many
compounds whose biological activities interact directly with host system
pathways. Female H. dromedarii ticks feed longer than males, thereby taking in
more blood. To investigate the differences in feeding behavior as reflected in
salivary compounds, we performed de novo assembly and annotation of H. dromedarii
sialotranscriptome paying particular attention to variations in gender gene
expression. RESULTS: The quality-filtered Illumina sequencing reads deriving from
a cDNA library of salivary glands led to the assembly of 15,342 transcripts. We
deduced that the secreted proteins included: metalloproteases, glycine-rich
proteins, mucins, anticoagulants of the mandanin family and lipocalins, among
others. Expression analysis revealed differences in the expression of transcripts
between male and female H. dromedarii that might explain the blood-feeding
strategies employed by both genders. CONCLUSIONS: The annotated sialome of H.
dromedarii helps understand the interaction of tick-host molecules during blood
feeding and can lead to the discovery of new pharmacologically active proteins of
ticks of the genus Hyalomma.
PMID- 29793523
TI - Financial hardship and drug use among men who have sex with men.
AB - BACKGROUND: Little is known about the role of financial hardship as it relates to
drug use, especially among men who have sex with men (MSM). As such, this study
aimed to investigate potential associations between financial hardship status and
drug use among MSM. METHODS: We conducted a cross-sectional survey of 580 MSM in
Paris recruited using a popular geosocial-networking smartphone application (GSN
apps). Descriptive analyses and multivariate analyses were performed. A modified
Poisson model was used to assess associations between financial hardship status
and use of drugs (any drugs, tobacco, alcohol, marijuana, inhalant nitrites, and
club drugs). RESULTS: In our sample, 45.5% reported that it was somewhat, very,
or extremely difficult to meet monthly payments of bills (high financial
hardship). In multivariate analyses, a high level of financial hardship was
significantly associated with an increased likelihood of reporting use of any
substance use (adjusted risk ratio [aRR] = 1.15; 95% CI = 1.05-1.27), as well as
use of tobacco (aRR = 1.45; 95% CI = 1.19-1.78), marijuana (aRR = 1.48; 95% CI
=1.03-2.13), and inhalant nitrites (aRR = 1.24; 95% CI = 1.03-1.50). CONCLUSIONS:
Financial hardship was associated with drug use among MSM, suggesting the need
for interventions to reduce the burden of financial hardship in this population.
PMID- 29793522
TI - Older adult's experience of chronic low back pain and its implications on their
daily life: Study protocol of a systematic review of qualitative research.
AB - BACKGROUND: Of various chronic diseases, low back pain (LBP) is the most common
and debilitating musculoskeletal condition among older adults aged 65 years or
older. While more than 17 million older adults in the USA suffer from at least
one episode of LBP annually, approximately six million of them experience chronic
LBP that significantly affects their quality of life and physical function. Since
many older adults with chronic LBP may also have comorbidities and are more
sensitive to pain than younger counterparts, these older individuals may face
unique age-related physical and psychosocial problems. While some qualitative
research studies have investigated the life experiences of older adults with
chronic LBP, no systematic review has integrated and synthesized the scientific
knowledge regarding the influence of chronic LBP on the physical, psychological,
and social aspects of lives in older adults. Without such information, it may
result in unmet care needs and ineffective interventions for this vulnerable
group. Therefore, the objective of this systematic review is to synthesize
knowledge regarding older adults' experiences of living with chronic LBP and the
implications on their daily lives. METHODS/DESIGN: Candidate publications will be
sought from databases: PubMed, CINAHL, and PsycINFO. Qualitative research studies
will be included if they are related to the experiences of older adults with
chronic LBP. Two independent reviewers will screen the titles, abstracts, and
full-text articles for eligibility. The reference lists of the included studies
will be checked for additional relevant studies. Forward citation tracking will
be conducted. Meta-ethnography will be chosen to synthesize the data from the
included studies. Specifically, the second-order concepts that are deemed to be
translatable by two independent reviewers will be included and synthesized to
capture the core of the idiomatic translations (i.e., a translation focusing on
salient categories of meaning rather than the literal translation of words or
phrases). DISCUSSION: This systematic review of qualitative evidence will enable
researchers to identify potential unmet care needs, as well as to facilitate the
development of effective, appropriate, person-centered health care interventions
targeting this group of individuals. SYSTEMATIC REVIEW REGISTRATION: PROSPERO
2018: CRD42018091292.
PMID- 29793524
TI - A central-acting connexin inhibitor, INI-0602, prevents high-fat diet-induced
feeding pattern disturbances and obesity in mice.
AB - A high-fat diet (HFD) causes obesity by promoting excessive energy intake, and
simultaneously, by disturbing the timing of energy intake. Restoring the feeding
pattern is sufficient to prevent HFD-induced obesity in mice. However, the
molecular mechanism(s) underlying HFD-induced feeding pattern disturbances remain
elusive. Saturated fatty acids activate microglia and cause hypothalamic
inflammation. Activated microglia cause neuroinflammation, which spreads via
inflammatory cytokines and gap-junction hemichannels. However, the role of gap
junction hemichannels in HFD-induced obesity remains unaddressed. We used a
novel, central-acting connexin inhibitor, INI-0602, which has high affinity for
gap junction hemichannels and does not affect the induction of inflammatory
cytokines. We analyzed ad libitum feeding behavior and locomotor activity in mice
that were fed normal chow (NC), a HFD with elevated saturated fatty acids (SFAs),
or a HFD with very high SFAs. We found that HFD feeding induced acute
hyperphagia, mainly during the light cycle. Feeding pattern disturbances were
more pronounced in mice that consumed the HFD with very high SFAs than in mice
that consumed the HFD with elevated SFAs. When INI-0602 was administered before
the HFD was introduced, it blocked the feeding pattern disturbance, but not
locomotor activity disturbances; moreover, it prevented subsequent diet-induced
obesity. However, when INI-0602 was administered after the HFD had disturbed the
feeding pattern, it failed to restore the normal feeding pattern. Therefore, we
propose that SFAs in HFDs played a major role in disrupting feeding patterns in
mice. Moreover, the feeding pattern disturbance required the function of central,
gap junction hemichannels at the initiation of a HFD. However, altering
hemichannel function after the feeding pattern disturbance was established had no
effect. Thus, preventing the occurrence of a feeding pattern disturbance by
blocking the hemichannel pathway was associated with the prevention of the HFD
induced obesity in mice.
PMID- 29793526
TI - Comparing population and incident data for optimal air ambulance base locations
in Norway.
AB - BACKGROUND: Helicopter emergency medical services are important in many health
care systems. Norway has a nationwide physician manned air ambulance service
servicing a country with large geographical variations in population density and
incident frequencies. The aim of the study was to compare optimal air ambulance
base locations using both population and incident data. METHODS: We used
municipality population and incident data for Norway from 2015. The 428
municipalities had a median (5-95 percentile) of 4675 (940-36,264) inhabitants
and 10 (2-38) incidents. Optimal helicopter base locations were estimated using
the Maximal Covering Location Problem (MCLP) optimization model, exploring the
number and location of bases needed to cover various fractions of the population
for time thresholds 30 and 45 min, in green field scenarios and conditioned on
the existing base structure. RESULTS: The existing bases covered 96.90% of the
population and 91.86% of the incidents for time threshold 45 min. Correlation
between municipality population and incident frequencies was -0.0027, and optimal
base locations varied markedly between the two data types, particularly when
lowering the target time. The optimal solution using population density data put
focus on the greater Oslo area, where one third of Norwegians live, while using
incident data put focus on low population high incident areas, such as northern
Norway and winter sport resorts. CONCLUSION: Using population density data as a
proxy for incident frequency is not recommended, as the two data types lead to
different optimal base locations. Lowering the target time increases the
sensitivity to choice of data.
PMID- 29793525
TI - Inhibitor analysis revealed that clathrin-mediated endocytosis is involed in
cellular entry of type III grass carp reovirus.
AB - BACKGROUND: Grass carp (Ctenopharyngodon idella) hemorrhagic disease is caused by
an acute infection with grass carp reovirus (GCRV). The frequent outbreaks of
this disease have suppressed development of the grass carp farming industry.
GCRV104, the representative strain of genotype III grass carp (Ctenopharyngodon
idella) reovirus, belongs to the Spinareovirinae subfamily and serves as a model
for studying the strain of GCRV which encodes an outer-fiber protein. There is no
commercially available vaccine for this genotype of GCRV. Therefore, the
discovery of new inhibitors for genotype III of GCRV will be clinically
beneficial. In addition, the mechanism of GCRV with fiber entry into cells
remains poorly understood. METHODS: Viral entry was determined by a combination
of specific pharmacological inhibitors, transmission electron microscopy, and
real-time quantitative PCR. RESULTS: Our results demonstrate that both GCRV-JX01
(genotype I) and GCRV104 (genotype III) of GCRV propagated in the grass carp
kidney cell line (CIK) with a typical cytopathic effect (CPE). However, GCRV104
replicated slower than GCRV-JX01 in CIK cells. The titer of GCRV-JX01 was 1000
times higher than GCRV104 at 24 h post-infection. We reveal that ammonium
chloride, dynasore, pistop2, chlorpromazine, and rottlerin inhibit viral entrance
and infection, but not nystatin, methyl-beta-cyclodextrin, IPA-3, amiloride,
bafilomycin A1, nocodazole, and latrunculin B. Furthermore, GCRV104 and GCRV-JX01
infection of CIK cells depended on dynamin and the acidification of the endosome.
This was evident by the significant inhibition following prophylactic treatment
with the lysosomotropic drug ammonium chloride or dynasore. CONCLUSIONS: Taken
together, our data have suggested that GCRV104 enters CIK cells through clathrin
mediated endocytosis in a pH-dependent manner. We also suggest that dynamin is
critical for efficient viral entry. Additionally, the phosphatidylinositol 3
kinase inhibitor wortmannin and the protein kinase C inhibitor rottlerin block
GCRV104 cell entry and replication.
PMID- 29793527
TI - Impact of preoperative patient education on the prevention of postoperative
complications after major visceral surgery: the cluster randomized controlled
PEDUCAT trial.
AB - BACKGROUND: The prevention of postoperative complications is of prime importance
after complex elective abdominal operations. Preoperative patient education may
prevent postoperative complications and improve patients' wellbeing, but evidence
for its efficacy is poor. The aims of the PEDUCAT trial were (a) to assess the
impact of preoperative patient education on postoperative complications and
patient-reported outcomes in patients scheduled for elective complex visceral
surgery and (b) to evaluate the feasibility of cluster randomization in this
setting. METHODS: Adult patients (age >= 18 years) scheduled for elective major
visceral surgery were randomly assigned in clusters to attend a preoperative
education seminar or to the control group receiving the department's standard
care. Outcome measures were the postoperative complications pneumonia, deep vein
thrombosis (DVT), pulmonary embolism, burst abdomen, and in-hospital fall,
together with patient-reported outcomes (postoperative pain, anxiety and
depression, patient satisfaction, quality of life), length of hospital stay
(LOS), and postoperative mortality within 30 days after the index operation.
Statistical analysis was primarily by intention to treat. RESULTS: In total 244
patients (60 clusters) were finally included (intervention group 138 patients;
control group 106 patients). Allocation of hospital wards instead of individual
patients facilitated study conduct and reduced confusion about group assignment.
In the intervention and control groups respectively, pneumonia occurred in 7.4%
versus 8.3% (p = 0.807), pulmonary embolism in 1.6% versus 1.0% (p = 0.707),
burst abdomen in 4.2% versus 1.0% (p = 0.165), and in-hospital falls in 0.0%
versus 4.2% of patients (p = 0.024). DVT did not occur in any of the patients.
Mortality rates (1.4% versus 1.9%, p = 0.790) and LOS (14.2 (+/- 12.0) days
versus 16.1 (+/- 15.0) days, p = 0.285) were also similar in the intervention and
control groups. CONCLUSIONS: Cluster randomization was feasible in the setting of
preoperative patient education and reduced the risk of contamination effects. The
results of this trial indicate good postoperative outcomes in patients undergoing
major visceral surgery without superiority of preoperative patient education
compared to standard patient care at a high-volume center. However, preoperative
patient education is a helpful instrument not only for teaching patients but also
for training the nursing staff. TRIAL REGISTRATION: German Clinical Trials
Registry, DRKS00004226 . Registered on 23 October 2012. Registered 8 days after
the first enrollment.
PMID- 29793528
TI - Prevalence of anemia among school-age children in Ethiopia: a systematic review
and meta-analysis.
AB - BACKGROUND: Anemia continued to become a major public health problem in
developing nations including Ethiopia. Especially, school children are more
vulnerable for anemia and consequences of anemia. Generating accurate
epidemiological data on anemia in school children is an important step for health
policy maker. There are limited evidences on anemia prevalence in school-age
children in Ethiopia. This study aimed to synthesize the pooled prevalence of
anemia in school-age children in Ethiopia. METHODS: This systematic review and
meta-analysis was followed the PRISMA guidelines. Comprehensive searched was
conducted in PubMed/MEDLINE, Cochrane Library, Google Scholar, HINARI, and
Ethiopian Journal of Health Development for studies published before 2016,
supplemented by manual searches to identify relevant studies. Two review authors
independently selected studies, extracted data, and assessed quality of studies.
The Cochrane Q test and I2 test statistic were used to test heterogeneity through
studies. The overall prevalence was calculated using random-effects model of
DerSimonian-Laird method. RESULTS: From 831 obtained studies, 13 articles
included in the meta-analysis. The pooled prevalence of anemia among school
children in Ethiopia was 23% (95% CI 18-28%). The prevalence of anemia in male
and female school-age children was 27% (95% CI 20 and 34%) and 24% (95% CI 18 and
30%), respectively. CONCLUSIONS: This study found that prevalence of anemia was a
moderate public health problem in school children. Due to the complications of
anemia for school children, preventative planning and control of anemia among
school children in Ethiopia is necessary.
PMID- 29793529
TI - Effects of lifetime cumulative ginseng intake on cognitive function in late life.
AB - BACKGROUND: We investigated the effects of lifetime cumulative ginseng intake on
cognitive function in a community-dwelling population-based prospective cohort of
Korean elders. METHODS: Community-dwelling elders (N = 6422; mean age = 70.2 +/-
6.9 years, education = 8.0 +/- 5.3 years, female = 56.8%) from the Korean
Longitudinal Study on Cognitive Aging and Dementia were included. Among them,
3918 participants (61.0%) completed the 2-year and 4-year follow-up evaluations.
Subjects were categorized according to cumulative ginseng intake at baseline
evaluation; no use group, low use (< 5 years) group, and high use (>= 5 years)
group. One-way analysis of covariance (ANCOVA) was conducted to compare the
impact of cumulative ginseng intake on baseline Consortium to Establish a
Registry for Alzheimer's Disease Assessment Packet neuropsychological battery
total score (CERAD total score) and Mini-Mental State Examination (MMSE) score
among the three groups while adjusting for potential covariates. A repeated
measures ANCOVA was performed to investigate the impacts on the changes in CERAD
total scores and MMSE scores during the 4 years of follow-up. RESULTS: The high
use group showed higher CERAD total scores compared to the no use group after
controlling for age, sex, education years, socioeconomic status, smoking, alcohol
intake, presence of hypertension, stroke history, Geriatric Depression Scale,
Cumulative Illness Rating Scale, and presence of the APOE e4 allele (F(2, 4762) =
3.978, p = 0.019). The changes of CERAD total score for 2 or 4 years of follow-up
did not differ according to the use of ginseng. CONCLUSIONS: Cumulative ginseng
use for longer than 5 years may be beneficial to cognitive function in late life.
PMID- 29793530
TI - Efficient clearance of Abeta protofibrils in AbetaPP-transgenic mice treated with
a brain-penetrating bifunctional antibody.
AB - BACKGROUND: Amyloid-beta (Abeta) immunotherapy is one of the most promising
disease-modifying strategies for Alzheimer's disease (AD). Despite recent
progress targeting aggregated forms of Abeta, low antibody brain penetrance
remains a challenge. In the present study, we used transferrin receptor (TfR)
mediated transcytosis to facilitate brain uptake of our previously developed
Abeta protofibril-selective mAb158, with the aim of increasing the efficacy of
immunotherapy directed toward soluble Abeta protofibrils. METHODS: Abeta protein
precursor (AbetaPP)-transgenic mice (tg-ArcSwe) were given a single dose of
mAb158, modified for TfR-mediated transcytosis (RmAb158-scFv8D3), in comparison
with an equimolar dose or a tenfold higher dose of unmodified recombinant mAb158
(RmAb158). Soluble Abeta protofibrils and total Abeta in the brain were measured
by enzyme-linked immunosorbent assay (ELISA). Brain distribution of radiolabeled
antibodies was visualized by positron emission tomography (PET) and ex vivo
autoradiography. RESULTS: ELISA analysis of Tris-buffered saline brain extracts
demonstrated a 40% reduction of soluble Abeta protofibrils in both RmAb158
scFv8D3- and high-dose RmAb158-treated mice, whereas there was no Abeta
protofibril reduction in mice treated with a low dose of RmAb158. Further, ex
vivo autoradiography and PET imaging revealed different brain distribution
patterns of RmAb158-scFv8D3 and RmAb158, suggesting that these antibodies may
affect Abeta levels by different mechanisms. CONCLUSIONS: With a combination of
biochemical and imaging analyses, this study demonstrates that antibodies
engineered to be transported across the blood-brain barrier can be used to
increase the efficacy of Abeta immunotherapy. This strategy may allow for
decreased antibody doses and thereby reduced side effects and treatment costs.
PMID- 29793533
TI - Clinical effect of selective interventional therapy on sub-acute ST-segment
elevation myocardial infarction under the guidance of fractional flow reserve and
coronary arteriography.
AB - OBJECTIVE: This study aims to compare the clinical effects of selective
interventional therapy (PCI) under the guidance of fractional flow reserve (FFR)
and coronary arteriography. METHODS: Patients with sub-acute ST-segment elevation
myocardial infarction (sub-acute STEMI), who were under selective PCI treatment
between April 2012 and June 2014, were included into this study. These patients
were divided into two groups, based on FFR measurements: FFR-PCI group and
radiography-PCI group. Then, differences in clinical symptoms, coronary
angiography, intervention, and endpoint events were compared between these two
groups. RESULTS: A total of 592 patients with sub-acute STEMI were included in
this study (207 patients in the FFR-PCI group and 385 patients in the radiography
PCI group). No statistical differences were observed in baseline clinical data
and coronary angiography results between these two groups. Mean stent number was
greater in the radiography-PCI group (1.22 +/- 0.32) than in the FFR-PCI group
(1.10 +/- 0.29), and the difference was statistically significant (P = 0.019).
During the follow-up period, 78 adverse events occurred (21 adverse events in the
FFR-PCI group and 57 adverse events in the radiography-PCI group); and no
statistical significance was observed between these two groups (log-rank P =
0.112). CONCLUSION: Selective PCI treatment in patients with sub-acute STEMI
under FFR acquired similar effects, compared to PCI treatment under the guidance
of radiography, which can reduce the mean stent number.
PMID- 29793531
TI - Maternal omega-3 fatty acids regulate offspring obesity through persistent
modulation of gut microbiota.
AB - BACKGROUND: The early-life gut microbiota plays a critical role in host
metabolism in later life. However, little is known about how the fatty acid
profile of the maternal diet during gestation and lactation influences the
development of the offspring gut microbiota and subsequent metabolic health
outcomes. RESULTS: Here, using a unique transgenic model, we report that maternal
endogenous n-3 polyunsaturated fatty acid (PUFA) production during gestation or
lactation significantly reduces weight gain and markers of metabolic disruption
in male murine offspring fed a high-fat diet. However, maternal fatty acid status
appeared to have no significant effect on weight gain in female offspring. The
metabolic phenotypes in male offspring appeared to be mediated by comprehensive
restructuring of gut microbiota composition. Reduced maternal n-3 PUFA exposure
led to significantly depleted Epsilonproteobacteria, Bacteroides, and Akkermansia
and higher relative abundance of Clostridia. Interestingly, offspring metabolism
and microbiota composition were more profoundly influenced by the maternal fatty
acid profile during lactation than in utero. Furthermore, the maternal fatty acid
profile appeared to have a long-lasting effect on offspring microbiota
composition and function that persisted into adulthood after life-long high-fat
diet feeding. CONCLUSIONS: Our data provide novel evidence that weight gain and
metabolic dysfunction in adulthood is mediated by maternal fatty acid status
through long-lasting restructuring of the gut microbiota. These results have
important implications for understanding the interaction between modern Western
diets, metabolic health, and the intestinal microbiome.
PMID- 29793534
TI - Integrating rare genetic variants into pharmacogenetic drug response predictions.
AB - BACKGROUND: Variability in genes implicated in drug pharmacokinetics or drug
response can modulate treatment efficacy or predispose to adverse drug reactions.
Besides common genetic polymorphisms, recent sequencing projects revealed a
plethora of rare genetic variants in genes encoding proteins involved in drug
metabolism, transport, and response. RESULTS: To understand the global importance
of rare pharmacogenetic gene variants, we mapped the variability in 208
pharmacogenes by analyzing exome sequencing data from 60,706 unrelated
individuals and estimated the importance of rare and common genetic variants
using a computational prediction framework optimized for pharmacogenetic
assessments. Our analyses reveal that rare pharmacogenetic variants were strongly
enriched in mutations predicted to cause functional alterations. For more than
half of the pharmacogenes, rare variants account for the entire genetic
variability. Each individual harbored on average a total of 40.6 putatively
functional variants, rare variants accounting for 10.8% of these. Overall, the
contribution of rare variants was found to be highly gene- and drug-specific.
Using warfarin, simvastatin, voriconazole, olanzapine, and irinotecan as
examples, we conclude that rare genetic variants likely account for a substantial
part of the unexplained inter-individual differences in drug metabolism
phenotypes. CONCLUSIONS: Combined, our data reveal high gene and drug specificity
in the contributions of rare variants. We provide a proof-of-concept on how this
information can be utilized to pinpoint genes for which sequencing-based
genotyping can add important information to predict drug response, which provides
useful information for the design of clinical trials in drug development and the
personalization of pharmacological treatment.
PMID- 29793532
TI - The contribution of culturomics to the repertoire of isolated human bacterial and
archaeal species.
AB - After a decade of research and metagenomic analyses, our knowledge of the human
microbiota appears to have reached a plateau despite promising results. In many
studies, culture has proven to be essential in describing new prokaryotic species
and filling metagenomic gaps. In 2015, only 2172 different prokaryotic species
were reported to have been isolated at least once from the human body as
pathogens or commensals. In this review, we update the previous repertoire by
reporting the different species isolated from the human body to date, increasing
it by 28% to reach a total of 2776 species associated with human beings. They
have been classified into 11 different phyla, mostly the Firmicutes,
Proteobacteria, Bacteroidetes, and Actinobacteria. Finally, culturomics
contributed up to 66.2% towards updating this repertoire by reporting 400
species, of which 288 were novel. This demonstrates the need to continue the
culturing work, which seems essential in order to decipher the hidden human
microbial content.
PMID- 29793535
TI - Limitations in clinical outcome after posterior stabilization of thoracolumbar
fractures do not correlate with dynamic trunk muscle dysfunction: an ultrasound
controlled prospective cohort study.
AB - BACKGROUND AND PURPOSE: Posterior stabilization of the spine is associated with
iatrogenic muscle damage. This is discussed to represent an important cause of
postoperative pain, especially in open reduction and fixation. The aim of this
study was to visualize muscular changes after open or percutaneous posterior
stabilization of traumatic thoracolumbar spine fractures and to investigate
whether or not these changes are related to the clinical outcome. METHODS: This
prospective cohort study was performed between 05/2012 and 10/2014. A group of
posteriorly stabilized patients (study group; SG) with traumatic fractures
(AOSpine Type A3 or A4) of the thoracolumbar junction (T11-L2) without
neurological deficit were matched to a healthy control group (CG) by age, gender
and body mass index. FOLLOW-UP: 12 months after surgery. PARAMETERS: muscle size,
voluntary muscular activation (VMA) using a standardized ultrasound protocol and
standardized questionnaires (VAS Spine Score; ODI; SF-36) were analyzed.
STATISTICS: SPSS (Version 20, 76 Chicago, IL, USA). T test, Chi squared test,
analysis of variance and a correlation analysis were performed. Significance
level was at p < 0.05. RESULTS: Twenty-five patients (SG) and 23 control
individuals (CG) were included. At follow-up, voluntary muscular activation of
the lumbar multifidus (LM) as well as the transverse abdominis muscle (TrA) was
diminished in all patients compared to the control group (VMA LM at level L3/4:
SG 3.2%; CG 5.1%; p < 0.05; VMA TrA: SG 33.43%; CG 37.84%; p < 0.05). Concomitant
interviews revealed health restrictions in all patients when compared with the
control group. A correlation between muscle function and clinical outcome could
not been demonstrated (rs > 0.07; NS). CONCLUSION: In surgically treated A3 and
A4 fractures, there is continuous muscular deficit 1 year after surgery as
documented by ultrasound and clinical control. But, by means of our study we
conclude that those muscular deficits alone seem not to be decisive for the
clinical outcome 1 year after surgery.
PMID- 29793536
TI - Risk classification of patients referred to secondary care for low back pain.
AB - BACKGROUND: Nonspecific low back pain is characterized by a wide range of
possible triggering and conserving factors, and initial screening needs to scope
widely with multilevel addressment of possible factors contributing to the pain
experience. Screening tools for classification of patients have been developed to
support clinicians. The primary aim of this study was to assess the criterion
validity of STarT Back Screening Tool (STarT Back) against the more comprehensive
Orebro Musculoskeletal Pain Questionnaire (OMPSQ), in a Norwegian sample of
patients referred to secondary care for low back pain. Secondary aims were to
assess risk classification of the patients, as indicated by both instruments, and
to compare pain and work characteristics between patients in the different STarT
Back risk categories. METHODS: An observational, cross-sectional survey among
patients with low back pain referred to outpatient secondary care assessment at
Trondheim University Hospital, Norway. Cohen's Kappa coefficient, Pearson's r and
a Bland-Altman plot were used to assess criterion validity of STarT Back against
OMPSQ. Furthermore, linear regression was used to estimate mean differences with
95% CI in pain and work related variables between the risk groups defined by the
STarT Back tool. RESULTS: A total of 182 persons participated in the study. The
Pearsons correlation coefficient for correspondence between scores on OMPSQ and
STarT Back was 0.76. The Kappa value for classification agreement between the
instruments was 0.35. Risk group classification according to STarT Back allocated
34.1% of the patients in the low risk group, 42.3% in the medium risk, and 23.6%
in the high risk group. According to OMPSQ, 24.7% of the participants were
allocated in the low risk group, 28.6% in the medium risk, and 46.7% in the high
risk group. Patients classified with high risk according to Start Back showed a
higher score on pain and work related characteristics as measured by OMPSQ.
CONCLUSION: The correlation between score on the screening tools was good, while
the classification agreement between the screening instruments was low. Screening
for work factors may be important in patients referred to multidisciplinary
management in secondary care.
PMID- 29793537
TI - Genetic contribution to mesenchymal stem cell dysfunction in systemic lupus
erythematosus.
AB - Allogeneic mesenchymal stem cell (MSC) transplantation has recently become a
promising therapy for patients with systemic lupus erythematosus (SLE). MSCs are
a kind of multipotent stem cell than can efficiently modulate both innate and
adaptive immune responses, yet those from SLE patients themselves fail to
maintain the balance of immune cells, which is partly due to the abnormal genetic
background. Clarifying genetic factors associated with MSC dysfunction may be
helpful to delineate SLE pathogenesis and provide new therapeutic targets. In
this review, the scientific evidence on the genetic contribution to MSC
dysfunction in SLE is summarized.
PMID- 29793538
TI - MicroRNA-1247 inhibits cell proliferation by directly targeting ZNF346 in
childhood neuroblastoma.
AB - BACKGROUND: Neuroblastoma (NB) represents the most common extracranial solid
tumor in children. Accumulating evidence shows that microRNAs (miRs) play an
important role in the carcinogenesis of NB. Here, we investigated the biological
function of miR-1247 in NB in vitro. METHODS/RESULTS: We found miR-1247 was
downregulated in NB tissues and cells using quantitative PCR analysis. Gain- and
loss-of-function studies demonstrated that miR-1247 significantly suppressed cell
proliferation and induced cell cycle G0/G1 phase arrest and cell apoptosis of NB
cells in vitro by using MTT, colony formation assay and Flow cytometry analysis.
Luciferase assay suggested ZNF346 was the target of miR-1247 and its expression
could be downregulated by miR-1247 overexpression using Western blotting.
Furthermore, downregulation of ZNF346 by siRNA performed similar effects with
overexpression of miR-1247 in NB cells. CONCLUSIONS: Our findings suggested miR
1247 directly targeted to repress ZNF346 expression, thus suppressing the
progression of NB, which might be a novel therapeutic target against NB.
PMID- 29793539
TI - Gut microbes contribute to variation in solid organ transplant outcomes in mice.
AB - BACKGROUND: Solid organ transplant recipients show heterogeneity in the
occurrence and timing of acute rejection episodes. Understanding the factors
responsible for such variability in patient outcomes may lead to improved
diagnostic and therapeutic approaches. Rejection kinetics of transplanted organs
mainly depends on the extent of genetic disparities between donor and recipient,
but a role for environmental factors is emerging. We have recently shown that
major alterations of the microbiota following broad-spectrum antibiotics, or use
of germ-free animals, promoted longer skin graft survival in mice. Here, we
tested whether spontaneous differences in microbial colonization between
genetically similar individuals can contribute to variability in graft rejection
kinetics. RESULTS: We compared rejection kinetics of minor mismatched skin grafts
in C57BL/6 mice from Jackson Laboratory (Jax) and Taconic Farms (Tac),
genetically similar animals colonized by different commensal microbes. Female Tac
mice rejected skin grafts from vendor-matched males more quickly than Jax mice.
We observed prolonged graft survival in Tac mice when they were exposed to Jax
mice microbiome through co-housing or fecal microbiota transplantation (FMT) by
gastric gavage. In contrast, exposure to Tac mice did not change graft rejection
kinetics in Jax mice, suggesting a dominant suppressive effect of Jax microbiota.
High-throughput sequencing of 16S rRNA gene amplicons from Jax and Tac mice fecal
samples confirmed a convergence of microbiota composition after cohousing or
fecal transfer. Our analysis of amplicon data associated members of a single
bacterial genus, Alistipes, with prolonged graft survival. Consistent with this
finding, members of the genus Alistipes were absent in a separate Tac cohort, in
which fecal transfer from Jax mice failed to prolong graft survival. CONCLUSIONS:
These results demonstrate that differences in resident microbiome in healthy
individuals may translate into distinct kinetics of graft rejection, and
contribute to interpersonal variability in graft outcomes. The association
between Alistipes and prolonged skin graft survival in mice suggests that members
of this genus might affect host physiology, including at sites distal to the
gastrointestinal tract. Overall, these findings allude to a potential therapeutic
role for specific gut microbes to promote graft survival through the
administration of probiotics, or FMT.
PMID- 29793541
TI - Translating research into action: an international study of the role of research
funders.
AB - BACKGROUND: It is widely accepted that research can lead to improved health
outcomes. However, translating research into meaningful impacts in peoples' lives
requires actions that stretch well beyond those traditionally associated with
knowledge creation. The research reported in this manuscript provides an
international review of health research funders' efforts to encourage this
process of research uptake, application and scaling, often referred to as
knowledge translation. METHODS: We conducted web-site review, document review and
key informant interviews to investigate knowledge translation at 26 research
funding agencies. The sample comprises the regions of Australia, Europe and North
America, and a diverse range of funder types, including biomedical, clinical,
multi-health domain, philanthropic, public and private organisations. The data
builds on a 2008 study by the authors with the same international sample, which
permitted longitudinal trend analysis. RESULTS: Knowledge translation is an
objective of growing significance for funders across each region studied.
However, there is no clear international consensus or standard on how funders
might support knowledge translation. We found that approaches and mechanisms vary
across region and funder type. Strategically tailored funding opportunities
(grants) are the most prevalent modality of support. The most common funder
driven strategy for knowledge translation within these grants is the linking of
researchers to research users. Funders could not to provide empirical evidence to
support the majority of the knowledge translation activities they encourage or
undertake. CONCLUSIONS: Knowledge translation at a research funder relies on
context. Accordingly, we suggest that the diversity of approaches uncovered in
our research is fitting. We argue that evaluation of funding agency efforts to
promote and/or support knowledge translation should be prioritised and actioned.
It is paradoxical that funders' efforts to get evidence into practice are not
themselves evidence based.
PMID- 29793540
TI - A checklist for clinical trials in rare disease: obstacles and anticipatory
actions-lessons learned from the FOR-DMD trial.
AB - BACKGROUND: Trials in rare diseases have many challenges, among which are the
need to set up multiple sites in different countries to achieve recruitment
targets and the divergent landscape of clinical trial regulations in those
countries. Over the past years, there have been initiatives to facilitate the
process of international study set-up, but the fruits of these deliberations
require time to be operationally in place. FOR-DMD (Finding the Optimum Steroid
Regimen for Duchenne Muscular Dystrophy) is an academic-led clinical trial which
aims to find the optimum steroid regimen for Duchenne muscular dystrophy, funded
by the National Institutes of Health (NIH) for 5 years (July 2010 to June 2015),
anticipating that all sites (40 across the USA, Canada, the UK, Germany and
Italy) would be open to recruitment from July 2011. However, study start-up was
significantly delayed and recruitment did not start until January 2013. METHOD:
The FOR-DMD study is used as an example to identify systematic problems in the
set-up of international, multi-centre clinical trials. The full timeline of the
FOR-DMD study, from funding approval to site activation, was collated and
reviewed. Systematic issues were identified and grouped into (1) study set-up,
e.g. drug procurement; (2) country set-up, e.g. competent authority applications;
and (3) site set-up, e.g. contracts, to identify the main causes of delay and
suggest areas where anticipatory action could overcome these obstacles in future
studies. RESULTS: Time from the first contact to site activation across countries
ranged from 6 to 24 months. Reasons of delay were universal (sponsor agreement,
drug procurement, budgetary constraints), country specific (complexity and
diversity of regulatory processes, indemnity requirements) and site specific
(contracting and approvals). The main identified obstacles included (1) issues
related to drug supply, (2) NIH requirements regarding contracting with non-US
sites, (3) differing regulatory requirements in the five participating countries,
(4) lack of national harmonisation with contracting and the requirement to
negotiate terms and contract individually with each site and (5) diversity of
languages needed for study materials. Additionally, as with many academic-led
studies, the FOR-DMD study did not have access to the infrastructure and
expertise that a contracted research organisation could provide, organisations
often employed in pharmaceutical-sponsored studies. This delay impacted
recruitment, challenged the clinical relevance of the study outcomes and
potentially delayed the delivery of the best treatment to patients. CONCLUSION:
Based on the FOR-DMD experience, and as an interim solution, we have devised a
checklist of steps to not only anticipate and minimise delays in academic
international trial initiation but also identify obstacles that will require a
concerted effort on the part of many stakeholders to mitigate.
PMID- 29793542
TI - Tracking antibiotic resistance gene pollution from different sources using
machine-learning classification.
AB - BACKGROUND: Antimicrobial resistance (AMR) has been a worldwide public health
concern. Current widespread AMR pollution has posed a big challenge in accurately
disentangling source-sink relationship, which has been further confounded by
point and non-point sources, as well as endogenous and exogenous cross-reactivity
under complicated environmental conditions. Because of insufficient capability in
identifying source-sink relationship within a quantitative framework, traditional
antibiotic resistance gene (ARG) signatures-based source-tracking methods would
hardly be a practical solution. RESULTS: By combining broad-spectrum ARG
profiling with machine-learning classification SourceTracker, here we present a
novel way to address the question in the era of high-throughput sequencing. Its
potential in extensive application was firstly validated by 656 global-scale
samples covering diverse environmental types (e.g., human/animal gut, wastewater,
soil, ocean) and broad geographical regions (e.g., China, USA, Europe, Peru). Its
potential and limitations in source prediction as well as effect of parameter
adjustment were then rigorously evaluated by artificial configurations with
representative source proportions. When applying SourceTracker in region-specific
analysis, excellent performance was achieved by ARG profiles in two sample types
with obvious different source compositions, i.e., influent and effluent of
wastewater treatment plant. Two environmental metagenomic datasets of
anthropogenic interference gradient further supported its potential in practical
application. To complement general-profile-based source tracking in
distinguishing continuous gradient pollution, a few generalist and specialist
indicator ARGs across ecotypes were identified in this study. CONCLUSION: We
demonstrated for the first time that the developed source-tracking platform when
coupling with proper experiment design and efficient metagenomic analysis tools
will have significant implications for assessing AMR pollution. Following
predicted source contribution status, risk ranking of different sources in ARG
dissemination will be possible, thereby paving the way for establishing priority
in mitigating ARG spread and designing effective control strategies.
PMID- 29793543
TI - Prevalence and determinants of essential newborn care practices in the Lawra
District of Ghana.
AB - BACKGROUND: There was less than satisfactory progress, especially in sub-Saharan
Africa, towards child and maternal mortality targets of Millennium Development
Goals (MDGs) 4 and 5. The main aim of this study was to describe the prevalence
and determinants of essential new newborn care practices in the Lawra District of
Ghana. METHODS: A cross-sectional study was carried out in June 2014 on a sample
of 422 lactating mothers and their children aged between 1 and 12 months. A
systematic random sampling technique was used to select the study participants
who attended post-natal clinic in the Lawra district hospital. RESULTS: Of the
418 newborns, only 36.8% (154) was judged to have had safe cord care, 34.9% (146)
optimal thermal care, and 73.7% (308) were considered to have had adequate
neonatal feeding. The overall prevalence of adequate new born care comprising
good cord care, optimal thermal care and good neonatal feeding practices was only
15.8%. Mothers who attained at least Senior High Secondary School were 20.5 times
more likely to provide optimal thermal care [AOR 22.54; 95% CI (2.60-162.12)],
compared to women had no formal education at all. Women who received adequate ANC
services were 4.0 times (AOR = 4.04 [CI: 1.53, 10.66]) and 1.9 times (AOR =
1.90 [CI: 1.01, 3.61]) more likely to provide safe cord care and good neonatal
feeding as compared to their counterparts who did not get adequate ANC. However,
adequate ANC services was unrelated to optimal thermal care. Compared to women
who delivered at home, women who delivered their index baby in a health facility
were 5.6 times more likely of having safe cord care for their babies (AOR = 5.60,
Cl: 1.19-23.30), p = 0.03. CONCLUSIONS: The coverage of essential newborn care
practices was generally low. Essential newborn care practices were positively
associated with high maternal educational attainment, adequate utilization of
antenatal care services and high maternal knowledge of newborn danger signs.
Therefore, greater improvement in essential newborn care practices could be
attained through proven low-cost interventions such as effective ANC services,
health and nutrition education that should span from community to health facility
levels.
PMID- 29793544
TI - Consistency of health-related quality of life among people living with HIV:
Latent statetrait analysis.
AB - BACKGROUND: The aim of this longitudinal study was to examine the consistency of
health-related quality of life (HRQoL) among people living with HIV (PLWH) by
breaking down the variance of repeated HRQoL measures into trait, state, and
method components and to test the stability of HRQoL over time. In addition, we
wanted to examine whether HRQoL trait components are related to personality
traits, while controlling for selected socio-medical variables. METHODS: Three
assessments were performed with a six-month lag on each assessment. Each
participant filled out a World Health Organization (WHO) Quality of Life-BREF to
assess HRQoL and a NEO-FFI to measure Big Five personality traits. Overall, 82
participants out of 141 (58.2% of the initial sample) participated in all the
assessments. RESULTS: The HRQoL among PLWH represented a stable trait to a
somewhat greater extent than a situational variability, although the proportions
were domain and time variant. More specifically, psychological domain appeared to
be the most consistent, whereas social domain appeared to be the most prone to
situational influences. The trait component of HRQoL was positively related to
being in a relationship, being employed, and being extraverted, and negatively
related to neuroticism, which altogether explained 26% of the trait variance.
CONCLUSIONS: HRQoL among PLWH is rather distinct from personality and socio
medical data, which indicates its uniqueness in a clinical practise. Thus, there
is a need for a more comprehensive assessment of HRQoL among this patient group
to capture an additional source of variance in this important theoretical
construct.
PMID- 29793545
TI - White matter microstructure is altered in cognitively normal middle-aged APOE
epsilon4 homozygotes.
AB - BACKGROUND: The epsilon4 allele of the apolipoprotein E gene (APOE-epsilon4) is
the strongest genetic factor for late-onset Alzheimer's disease. During middle
age, cognitively healthy APOE-epsilon4 carriers already show several brain
alterations that resemble those of Alzheimer's disease (AD), but to a subtler
degree. These include microstructural white matter (WM) changes that have been
proposed as one of the earliest structural events in the AD cascade. However,
previous studies have focused mainly on comparison of APOE-epsilon4 carriers vs
noncarriers. Therefore, the extent and magnitude of the brain alterations in
healthy epsilon4 homozygotes, who are the individuals at highest risk, remain to
be characterized in detail. METHODS: We examined mean, axial, and radial water
diffusivity (MD, AxD, and RD, respectively) and fractional anisotropy in the WM
as measured by diffusion-weighted imaging in 532 cognitively healthy middle-aged
participants from the ALFA study (ALzheimer and FAmilies) cohort, a single-site
population-based study enriched for AD risk (68 APOE-epsilon4 homozygotes, 207
heterozygotes, and 257 noncarriers). We examined the impact of age and APOE
genotype on these parameters using tract-based spatial statistics. RESULTS:
Healthy APOE-epsilon4 homozygotes display increased WM diffusivity in regions
known to be affected by AD. The effects in AxD were much smaller than in RD,
suggesting a disruption of the myelin sheath rather than pure axonal damage.
CONCLUSIONS: These findings could be interpreted as the result of the reduced
capacity of the epsilon4 isoform of the APOE protein to keep cholesterol
homeostasis in the brain. Because cerebral lipid metabolism is strongly related
to the pathogenesis of AD, our results shed light on the possible mechanisms
through which the APOE-epsilon4 genotype is associated with an increased risk of
AD.
PMID- 29793546
TI - Distinct patterns of brain atrophy in Genetic Frontotemporal Dementia Initiative
(GENFI) cohort revealed by visual rating scales.
AB - BACKGROUND: In patients with frontotemporal dementia, it has been shown that
brain atrophy occurs earliest in the anterior cingulate, insula and frontal
lobes. We used visual rating scales to investigate whether identifying atrophy in
these areas may be helpful in distinguishing symptomatic patients carrying
different causal mutations in the microtubule-associated protein tau (MAPT),
progranulin (GRN) and chromosome 9 open reading frame (C9ORF72) genes. We also
analysed asymptomatic carriers to see whether it was possible to visually
identify brain atrophy before the appearance of symptoms. METHODS: Magnetic
resonance imaging of 343 subjects (63 symptomatic mutation carriers, 132
presymptomatic mutation carriers and 148 control subjects) from the Genetic
Frontotemporal Dementia Initiative study were analysed by two trained raters
using a protocol of six visual rating scales that identified atrophy in key
regions of the brain (orbitofrontal, anterior cingulate, frontoinsula, anterior
and medial temporal lobes and posterior cortical areas). RESULTS: Intra- and
interrater agreement were greater than 0.73 for all the scales. Voxel-based
morphometric analysis demonstrated a strong correlation between the visual rating
scale scores and grey matter atrophy in the same region for each of the scales.
Typical patterns of atrophy were identified: symmetric anterior and medial
temporal lobe involvement for MAPT, asymmetric frontal and parietal loss for GRN,
and a more widespread pattern for C9ORF72. Presymptomatic MAPT carriers showed
greater atrophy in the medial temporal region than control subjects, but the
visual rating scales could not identify presymptomatic atrophy in GRN or C9ORF72
carriers. CONCLUSIONS: These simple-to-use and reproducible scales may be useful
tools in the clinical setting for the discrimination of different mutations of
frontotemporal dementia, and they may even help to identify atrophy prior to
onset in those with MAPT mutations.
PMID- 29793547
TI - Long noncoding RNA AFAP1-AS1 predicts a poor prognosis and regulates non-small
cell lung cancer cell proliferation by epigenetically repressing p21 expression.
AB - BACKGROUND: Mounting evidence indicates that long noncoding RNAs (lncRNAs) could
play a pivotal role in cancer biology. However, the role and molecular mechanism
and global genes that were mediated by lncRNA AFAP1-AS1 in non-small cell lung
cancer (NSCLC) remain largely unknown. METHODS: Expression of AFAP1-AS1 was
analyzed in 92 NSCLC tissues and cell lines by Quantitative real time polymerase
chain reaction (qRT-PCR). The effect of AFAP1-AS1 on proliferation was evaluated
by function assays both in in vitro and in vivo. RNA-seq assays were performed
after knockdown AFAP1-AS1. RNA immunoprecipitation (RIP) was performed to confirm
the interaction between AFAP1-AS1 and EZH2. Chromatin immunoprecipitation (ChIP)
was used to study the promoter region of p21. RESULTS: AFAP1-AS1 expression was
increased in NSCLC tissues and was correlated with clinical outcomes of NSCLC.
Further experiments revealed that inhibition of its expression in NSCLC cells
resulted in diminished cell growth in vitro and in vivo. RNA-seq revealed that
knockdown of AFAP1-AS1 could induce the expression of p21. Mechanistic
investigations found that AFAP1-AS1 could interact with EZH2 and recruit EZH2 to
the promoter regions of p21, thus epigenetically repressing p21 expression.
CONCLUSIONS: Together, these results suggest that lncRNA AFAP1-AS1 may serve as a
candidate prognostic biomarker and target for new therapies in human NSCLC.
PMID- 29793548
TI - Management of undescended testis may be improved with educational updates and new
transferring model.
AB - BACKGROUND: To investigate whether management of undescended testis (UDT) may be
improved with educational updates and new transferring model among referring
providers (RPs). METHODS: The age of orchidopexies performed in Children's
Hospital of Chongqing Medical University were reviewed. We then proposed
educational updates and new transferring model among RPs. The age of
orchidopexies performed after our intervention were collected. Data were
represented graphically and statistical analysis Chi-square for trend were used.
RESULTS: A total of 1543 orchidopexies were performed. The median age of
orchidopexy did not matched the target age of 6-12 months in any subsequent year.
Survey of the RPs showed that 48.85% of their recommended age was below 12
months. However, only 25.50% of them would directly make a surgical referral to
pediatric surgery specifically at this point. After we proposed educational
updates, tracking the age of orchidopexy revealed a statistically significant
trend downward. CONCLUSIONS: The management of undescended testis may be improved
with educational updates and new transferring model among primary healthcare
practitioners.
PMID- 29793550
TI - The effects of ipsilateral tilt position on right subclavian venous
catheterization: study protocol for a prospective randomized trial.
AB - BACKGROUND: The cross-sectional area of the subclavian vein (csSCV) is an
important factor determining the success rate of SCV catheterization. The head
down position increases the csSCV. However, the effects of lateral tilting on
subclavian venous cross-sectional area have not yet been explored. In this trial,
we test our hypothesis that ipsilateral tilt during right SCV catheterization may
significantly increase the csSCV by impeding blood flow to the heart, thereby
increasing the primary venipuncture success rate and reducing the complication
rate and procedure time. METHODS/DESIGN: This is a two-staged, prospective,
randomized, controlled trial conducted on 237 neurosurgical patients requiring
SCV catheterization. Seventeen patients in stage I will be placed in supine, 20
degrees ipsilateral tilt, and 20 degrees contralateral tilt positions in random
order. The right csSCV will be measured using ultrasonography at each position.
In stage II, 220 patients will be randomly assigned to the ipsilateral tilt group
(n = 110) and supine group (n = 110) according to the position for right SCV
catheterization. Data on catheterization-related characteristics and
complications will be collected during and after catheterization. The primary
outcome measures are the right csSCV for stage I and primary venipuncture success
rate for stage II. The secondary outcome measures for stage II are time to
venipuncture, total catheterization time, first-pass success rate, and
complications, such as arterial puncture, hematoma, pneumothorax, air embolism,
and catheter misplacement. DISCUSSION: This is the first trial to investigate the
effects of the ipsilateral tilt position on right SCV catheterization. We will
attest the beneficial effects of the ipsilateral tilt position on the csSCV and
the primary venipuncture success rate during right SCV catheterization.
Furthermore, comparisons of the first-pass success rate, complications, and total
catheterization time during SCV catheterization in the ipsilateral tilt position
vs. the supine position will help us determine which position is better for safe
and easy SCV catheterization. TRIAL REGISTRATION: ClinicalTrials.gov, ID:
NCT03296735 . Registered on 25 September 2017 for stage I; NCT03303274 Registered
on 6 October 2017 for stage II.
PMID- 29793549
TI - Meaning in life: resilience beyond reserve.
AB - BACKGROUND: The contribution of psychological factors to brain health and
resilience remains poorly investigated. Furthermore, their possible interaction
with 'classical' cognitive reserve (CR) estimates in predicting perceived mental
health and cognitive status has not been specifically addressed. METHODS: We
obtained data from 1081 adults responding to questionnaires on the three meaning
in life (MiL) dimensions: purpose in life (PiL), sense of coherence (SoC), and
engagement with life (EwL). A questionnaire on CR variables was also
administered. The outcome measures were self-reported cognitive function and
affective status (depression, stress, and anxiety). Multiple linear regression
analyses were used to evaluate the association between sociodemographic
variables, MiL dimensions, and CR with the two selected outcomes. Mediation
analyses, adjusted for age and gender, were applied to determine whether the MiL
dimensions mediated the putative effects of CR on self-reported mental and
cognitive health. RESULTS: All three MiL components, but not CR estimates,
correlated with the self-reported affective status of the participants. Higher
CR, PiL, and SoC (but not EwL) scores significantly correlated with higher
perceived cognitive function. Notably, the observed association between the CR
measures and self-reported cognitive function was mediated by PiL and SoC.
CONCLUSIONS: Psychological MiL dimensions mediate the association between classic
CR estimates and self-perceived cognitive function. Further studies on CR could
consider including formal measures of such psychological factors to better
understand their unique or synergistic contributions, as well as investigate the
associated mechanisms maintaining brain function at older ages.
PMID- 29793551
TI - Assessment of pattern and treatment outcome of patients admitted to pediatric
intensive care unit, Ayder Referral Hospital, Tigray, Ethiopia, 2015.
AB - OBJECTIVE: To describe admission pattern and outcome with its predictor variable
on the mortality of children admitted to pediatric intensive care unit (PICU),
Ayder Referral Hospital, Northern Ethiopia, from September 2012 to August 2014.
RESULT: From 680 admitted patients, 400 patients were analyzed. Average age at
admission was 62.99 +/- 60.94 months, with F:M ratio of 1:1.2. Overall (from
infectious and non-infectious) the most commonly affected systems were
respiratory (90/400 pts., 22.5%) and central nervous system (83/400 pts.,
20.75%). Most were admitted due to meningitis (44/400 pts., 11%), post-operative
(43/400 pts., 10.8%) and acute glomerulonephritis (41/400 pts., 10.3%). The
overall mortality rate was 8.5%. Multivariable logistic regression shows, use of
inotropes (p = 0.000), need for mechanical ventilator (p = 0.007) and presence of
comorbid illness (p = 0.002), infectious cause (p = 0.015) and low level of
Glasgow coma scale less than eight (p = 0.04) were independent predictors of
mortality. From this study, common cause of PICU admission and death was
meningitis. This highlights the importance of focusing on the preventable methods
in the public such as vaccine, creating awareness about hygiene, and expanding
ICU for early detection and for treatment acutely ill children.
PMID- 29793553
TI - World Health Organization "School Mental Health Manual"-based training for school
teachers in Urban Lahore, Pakistan: study protocol for a randomized controlled
trial.
AB - BACKGROUND: The teacher's role in school mental health initiatives cannot be
overemphasized. Despite global evidence of educational interventions in improving
teachers' knowledge and attitudes regarding mental health, this area remains
under researched in Pakistan. This paper presents a study protocol of a pilot
randomized controlled trial to examine the effectiveness of a teacher training
intervention for improving mental health literacy and self-efficacy among school
teachers in urban Lahore, Pakistan. METHODS: The randomized controlled trial will
follow the CONSORT guidelines. Participants will be allocated to the Intervention
group (receiving the World Health Organization, Eastern Mediterranean Region (WHO
EMRO) School Mental Health Manual-based intervention in three 6-h, face-to-face
sessions) or a waitlist control group (not receiving training during the study
period). Participants will be teachers of private schools with similar broad
demographic characteristics in an inner city area of Lahore. The primary outcome
measures for the trial is teachers' mental health literacy. It will be assessed
by using the previously applied (during WHO training of Master Trainers) self
administered questionnaire in both groups pre and post training and at 3 months'
follow-up. Secondary outcomes include: for teachers: Teachers' self-efficacy
(assessed by the Teachers' Sense of Self Efficacy Scale (TSES) short form.); for
students (11-16 years): socio-emotional skills and psychological problems
measured by the Strengths and Difficulties Questionnaire (assessed at baseline
and 3 months post intervention); for schools: the WHO School Psychosocial Profile
Questionnaire (baseline and 3 months post intervention). DISCUSSION: Given the
high prevalence of child mental health problems, stigma and lack of services, it
is important to consider alternate avenues for promoting positive mental health
among youth. This pilot study should establish the effectiveness of the WHO-EMRO
School Mental Health Manual-based Intervention improving teacher's mental health
literacy and helping them to learn practical steps that can be implemented at low
cost in school settings. It will also provide information regarding intervention
implementation and sustainability. TRIAL REGISTRATION: ClinicalTrials.gov, ID:
NCT02937714 . Registered on 18 October 2016.
PMID- 29793552
TI - A cut-off of daily sedentary time and all-cause mortality in adults: a meta
regression analysis involving more than 1 million participants.
AB - BACKGROUND: The appropriate limit to the amount of daily sedentary time (ST)
required to minimize mortality is uncertain. This meta-analysis aimed to quantify
the dose-response association between daily ST and all-cause mortality and to
explore the cut-off point above which health is impaired in adults aged 18-64
years old. We also examined whether there are differences between studies using
self-report ST and those with device-based ST. METHODS: Prospective cohort
studies providing effect estimates of daily ST (exposure) on all-cause mortality
(outcome) were identified via MEDLINE, PubMed, Scopus, Web of Science, and Google
Scholar databases until January 2018. Dose-response relationships between daily
ST and all-cause mortality were examined using random-effects meta-regression
models. RESULTS: Based on the pooled data for more than 1 million participants
from 19 studies, the results showed a log-linear dose-response association
between daily ST and all-cause mortality. Overall, more time spent in sedentary
behaviors is associated with increased mortality risks. However, the method of
measuring ST moderated the association between daily ST and mortality risk (p <
0.05). The cut-off of daily ST in studies with self-report ST was 7 h/day in
comparison with 9 h/day for those with device-based ST. CONCLUSIONS: Higher
amounts of daily ST are log-linearly associated with increased risk of all-cause
mortality in adults. On the basis of a limited number of studies using device
based measures, the findings suggest that it may be appropriate to encourage
adults to engage in less sedentary behaviors, with fewer than 9 h a day being
relevant for all-cause mortality.
PMID- 29793555
TI - Factors affecting use of ballistics gelatin in laboratory studies of bacterial
contamination in projectile wounds.
AB - BACKGROUND: Ballistics gelatin is a common tissue surrogate used in bacterial
contamination models for projectile wounds. Although these studies have
demonstrated that bacteria are transferred from the surface of the gelatin to the
wound track by a projectile, quantifiable results have been inconsistent and not
repeatable in successive tests. METHODS: In this study, five areas of a typical
contamination model in which bacterial recovery or survival are affected were
identified for optimization. The first was a contaminated "skin" surrogate, where
the novel use of vacuum filtration of a bacterial culture and buffer onto filter
paper was employed. The other possibly problematic areas of the bacterial
distribution model included the determination of bacterial survival when the
contamination model is dried, survival in solid and molten gelatin, and the
effect of high-intensity lights used for recording high-speed video. RESULTS:
Vacuum filtration of bacteria and buffer resulted in a consistent bacterial
distribution and recovery. The use of phosphate buffer M9 (pH 7) aided in
neutralizing the ballistics gelatin and improving bacterial survival in solid
gelatin. Additionally, the use of high-intensity lights to record high-speed
video and the use of a 42 degrees C water bath to melt the gelatin were found to
be bactericidal for gram-positive and gram-negative bacteria. CONCLUSIONS:
Multiple areas of a typical contamination model in which bacterial survival may
be impeded were identified, and methods were proposed to improve survival in each
area. These methods may be used to optimize the results of bacterial
contamination models for medical applications, such as understanding the
progression of infection in penetrating wounds and to identify possible sources
of contamination for forensic purposes.
PMID- 29793554
TI - Acute lung injury: how to stabilize a broken lung.
AB - The pathophysiology of acute respiratory distress syndrome (ARDS) results in
heterogeneous lung collapse, edema-flooded airways and unstable alveoli. These
pathologic alterations in alveolar mechanics (i.e. dynamic change in alveolar
size and shape with each breath) predispose the lung to secondary ventilator
induced lung injury (VILI). It is our viewpoint that the acutely injured lung can
be recruited and stabilized with a mechanical breath until it heals, much like
casting a broken bone until it mends. If the lung can be "casted" with a
mechanical breath, VILI could be prevented and ARDS incidence significantly
reduced.
PMID- 29793556
TI - Examination of the association of physical activity during pregnancy after
cesarean delivery and vaginal birth among Chinese women.
AB - BACKGROUND: The goal was to study whether higher physical activity can increase
the success rate of Vaginal Birth after Cesarean Delivery (VBAC). METHODS: We
enrolled 823 patients with previous cesarean section delivery history (between
January 2015 and December 2017) and measured their physical activity during
pregnancy. A final number of 519 patients were included for the trial of labor
after cesarean delivery (TOLAC). All patients signed informed consent forms.
RESULTS: We conducted bivariate analyses and identified that several variables
were associated with successful VBAC: Prior history of vaginal birth (odds ratio
[OR] 2.4, 95% CI 1.8-3.9); previous indication for primary cesarean delivery (OR
2.2, 95% CI 1.5-3.0); age younger than 40 years (OR 2.1, 95% CI 1.3-3.4); Weight
gain less than 20 kg (OR 1.5, 95% CI 1.3-2.4); high pelvic/birth weight score (OR
1.4, 95% CI 1.1-2.0); no induction of labor (OR 1.9, 95% CI 1.4-2.8); and
estimated prenatal fetal weight (OR 1.4, 95% CI 1.2-1.5). We also found that the
bivariate association between physical activity and VBAC was significant (p =
0.002). In addition, there was higher odds of VBAC in women who had active
physical activity of more than 150 min/week (adjusted OR 1.86, 95% CI 1.69-2.07).
Lower odds of VBAC was associated with older age, weight gain during pregnancy,
induction of labor, and having estimated prenatal fetal weight more than 3500 g.
CONCLUSION: Physical activity during pregnancy may influence the success rate of
VBAC in Chinese women. Future studies will be needed to prove the robustness of
this association using more detailed exposure and outcome definitions.
PMID- 29793558
TI - Efficacy of nature-based therapy for individuals with stress-related illnesses:
randomised controlled trial.
AB - BACKGROUND: Stress-related illnesses are a major threat to public health, and
there is increasing demand for validated treatments.AimsTo test the efficacy of
nature-based therapy (NBT) for patients with stress-related illnesses. METHOD:
Randomised controlled trial (ClinicalTrials.gov ID NCT01849718) comparing
Nacadia(r) NBT (NNBT) with the cognitive-behavioural therapy known as Specialised
Treatment for Severe Bodily Distress Syndromes (STreSS). In total, 84
participants were randomly allocated to one of the two treatments. The primary
outcome measure was the mean aggregate score on the Psychological General Well
Being Index (PGWBI). RESULTS: Both treatments resulted in a significant increase
in the PGWBI (primary outcome) and a decrease in burnout (the Shirom-Melamed
Burnout Questionnaire, secondary outcome), which were both sustained 12 months
later. No significant difference in efficacy was found between NNBT and STreSS
for primary outcome and secondary outcomes. CONCLUSIONS: The study showed no
statistical evidence of a difference between NNBT and STreSS for treating
patients with stress-related illnesses.Declaration of interestNone.
PMID- 29793557
TI - Human iPSC-MSCs prevent steroid-resistant neutrophilic airway inflammation via
modulating Th17 phenotypes.
AB - BACKGROUND: Human induced pluripotent stem cells-derived mesenchymal stem cells
(iPSC-MSCs) have been shown to be effective in Type 2 helper T cells (Th2)
dominant eosinophilic allergic airway inflammation. However, the role of iPSC
MSCs in Type 17 helper T cells (Th17)-dominant neutrophilic airway inflammation
remains poorly studied. Therefore, this study was to explore the effects of iPSC
MSCs on an experimental mouse model of steroid-resistant neutrophilic airway
inflammation and further determine the underlying mechanisms. METHODS: A mouse
model of neutrophilic airway inflammation was established using ovalbumin (OVA)
and lipopolysaccharide (LPS). Human iPSC-MSCs were systemically administered, and
the lungs or bronchoalveolar lavage fluids (BALF) were collected at 4 h and 48 h
post-challenge. The pathology and inflammatory cell infiltration, the T helper
cells, T helper cells-associated cytokines, nuclear transcription factors and
possible signaling pathways were evaluated. Human CD4+ T cells were polarized to
T helper cells and the effects of iPSC-MSCs on the differentiation of T helper
cells were determined. RESULTS: We successfully induced the mouse model of Th17
dominant neutrophilic airway inflammation. Human iPSC-MSCs but not dexamethasone
significantly prevented the neutrophilic airway inflammation and decreased the
levels of Th17 cells, IL-17A and p-STAT3. The mRNA levels of Gata3 and RORgammat
were also decreased with the treatment of iPSC-MSCs. We further confirmed the
suppressive effects of iPSC-MSCs on the differentiation of human T helper cells.
CONCLUSIONS: iPSC-MSCs showed therapeutic potentials in neutrophilic airway
inflammation through the regulation on Th17 cells, suggesting that the iPSC-MSCs
could be applied in the therapy for the asthma patients with steroid-resistant
neutrophilic airway inflammation.
PMID- 29793559
TI - Bufalin induces apoptosis and improves the sensitivity of human glioma stem-like
cells to temozolamide.
AB - Glioma is the most common malignant tumor of the central nervous system and it is
characterized by high relapse and fatality rates and poor prognosis. Bufalin is
one of the main ingredients of Chan-su, a traditional Chinese medicine (TCM)
extracted from toad venom. Previous studies revealed that bufalin exerted
inhibitory effects on a variety of tumor cells. To demonstrate the inhibitory
effect of bufalin on glioma cells and glioma stem-like cells (GSCs) and discuss
the underlying mechanism, the proliferation of glioma cells was detected by MTT
and colony formation assays following treatment with bufalin. In addition, we
investigated whether bufalin inhibits or kills GSCs using flow cytometry, western
blotting and reverse transcription-polymerase chain reaction analysis (RT-PCR).
Finally, we investigated whether bufalin could improve the therapeutic effect of
temozolomide (TMZ) and discussed the underlying mechanism. Taken together, our
data demonstrated that bufalin inhibits glioma cells growth and proliferation,
inhibits GSCs proliferation and kills GSCs. Bufalin was found to induce the
apoptosis of GSCs by upregulating the expression of the apoptotic proteins
cleaved caspase-3 and poly(ADP-ribose) polymerase (PARP) and downregulating the
expression of human telomerase reverse transcriptase, which is a marker of
telomerase activity. Bufalin also improved the inhibitory effect of TMZ on GSCs
by activating the mitochondrial apoptotic pathway. These results suggest that
bufalin damages GSCs, induces apoptosis and enhances the sensitivity of GSCs to
TMZ.
PMID- 29793562
TI - Social Media and Its Implications in Pediatric Dentistry.
AB - Social media is increasingly being relied upon by health care professionals,
including pediatric dentists, to make decisions in their practices. While social
media serves as an excellent resource, there is lack of sound guidelines on its
use by health professionals in dentistry. Pediatric dentists using social media
for professional purposes need to be aware of the uses as well as the challenges
it presents. More importantly, members and moderators of social media groups
should ensure that all patient care-related information is de-identified and
discussions are compliant with federal and state privacy laws and based on
evidence-based recommendations.
PMID- 29793563
TI - Effectiveness of Acupressure on Dental Anxiety in Children.
AB - PURPOSE: Acupressure is a non-invasive variant of acupuncture and is known to
reduce general anxiety. The purpose of this study was to test acupressure's
effect on children's dental anxiety. METHODS: Eight- to 12-year-old children
undergoing scaling and/or restorative procedures were randomly assigned to one of
the following groups: acupressure (Group 1); sham (Group 2); or control (Group
3). Anxiety was recorded for all the children using the Modified Child Dental
Anxiety scale (MCDAS). Acupressure beads with a piece of adhesive strip were
employed over the selected acupoints for groups one and two. Anxiety was further
recorded for all the children prior to and after completion of the treatment
using MCDAS. The Frankl scale was used as the objective measure to assess the
behavior of all the children. A physiologic parameter (pulse rate) was also
recorded. The scores obtained from all the three groups were tabulated, compared,
and analyzed statistically. RESULTS: A significant difference was found in MCDAS,
pulse rate, and the Frankl behavior ratings among the three groups of children,
with acupressure group displaying a lower level of anxiety. CONCLUSION:
Acupressure can be a viable alternative to reduce dental anxiety in children
undergoing scaling and restorative procedures.
PMID- 29793564
TI - Mineral Trioxide Aggregate Partial Pulpotomy Versus Formocresol Pulpotomy: A
Randomized, Split-Mouth, Controlled Clinical Trial with 24 Months Follow-Up.
AB - PURPOSE: The purpose of this study was to evaluate the clinical and radiographic
success rates of mineral trioxide aggregate partial pulpotomy (PP) compared to
formocresol pulpotomy (FP) in human primary molars. METHODS: In this randomized,
controlled, split-mouth, clinical trial, 25 healthy five- to eight-year-olds,
with 50 carious primary mandibular second molars lacking clinical and
radiographic evidence of pulp pathology, were selected. The selected teeth were
randomly assigned into two groups, PP and FP, for vital pulp therapy. Stainless
steel crowns were placed as final restorations for both groups. Clinical and
radiographic evaluation at six, 12, and 24 months used the following criteria for
failure: pain; swelling; sinus tract; mobility; internal or external root
resorption; furcation or periapical radiolucency; and widening of periodontal
ligament space. The data were analyzed using a binary logistic generalized
estimating equation model. RESULTS: At the 12-month and 24-month follow-ups, one
child and three children, respectively, were lost to follow-up. At the 24-month
follow-up, the clinical, radiographic, and overall success rates of PP were 90.9
percent, 90.5 percent, and 81.8 percent versus FP success of 100 percent, 95.2
percent, and 95.2 percent, respectively. CONCLUSION: There were no significant
differences between clinical, radiographic, and overall success rate of mineral
trioxide aggregate partial pulpotomy and formocresol pulpotomy overall 24 months.
PMID- 29793565
TI - A Randomized Clinical Trial Evaluating Rubber Dam Clamp Pain Reduction from a New
Topical Liposomal Anesthetic Gel.
AB - PURPOSE: Discomfort during rubber dam clamp often occurs in pediatric dentistry.
The purpose of this randomized, triple-blind, clinical trial was to evaluate the
effect of pain reduction using a new topical liposomal thermo-sensitive gel
(TLTG) or a placebo gel during rubber dam clamp isolation for sealants in
children. METHODS: Eighty-one children (eight to 12 years old) had LTSG or the
placebo gel with random assignment placed around the gingival tissue of their
permanent mandibular first molars. A clamp was placed after two minutes on the
teeth, and intensity of pain was registered using a Wong-Baker FACES scale and an
11-point numerical scale. The data were evaluated using McNemar's test and
Wilcoxon signed rank test. RESULTS: The odds ratio for pain (OR equals 0.7; 95
percent confidence interval equals 0.3 to 1.8) was not statistically significant
(P=0.52). However, there was a small difference in the pain intensity between
liposomal and placebo groups for both scales (P<0.05). CONCLUSION: The liposomal
thermo-sensitive anesthetic gel may reduce, to a small extent, the pain intensity
in children submitted to rubber dam isolation before resin sealant placement.
PMID- 29793566
TI - Radiographic Effectiveness of Resin Infiltration in Arresting Incipient Proximal
Enamel Lesions in Primary Molars.
AB - PURPOSE: The purpose of this split-mouth, randomized, prospective clinical trial
was to evaluate radiographically the effectiveness of resin infiltration, as an
adjunct to standard-of-care preventive measures compared to standard-of-care
preventive measures alone, in arresting the progression of non-adjacent,
incipient, proximal enamel lesions in primary molars 24 months after treatment.
METHODS: The study included a total of 45 healthy five- to eight-year-olds who
had been diagnosed radiographically with at least two non-adjacent, incipient,
proximal enamel lesions in primary molars (90 lesions). Test group lesions were
treated using resin infiltration followed by five percent topical sodium fluoride
(NaF) application versus five percent NaF alone in the control group. The alpha
level was set at 0.05. RESULTS: The children were examined after six, 12, 18, and
24 months. Twenty-five subjects were examined at the 24-month follow-up visit, At
which time 10 of the 25 test lesions (40 percent) showed caries progression while
18 of the 25 control lesions (72 percent) showed caries progression (P=0.04).
CONCLUSION: Resin infiltration as an adjunct to standard-of-care preventive
measures is significantly more effective radiographically in reducing the
progression of non-adjacent, incipient, proximal enamel lesions in primary molars
compared with standard-of-care preventive measures alone after 24 months.
PMID- 29793567
TI - Usage of Behavior Guidance Techniques Differs by Provider and Practice
Characteristics.
AB - PURPOSE: The purpose of this study was to determine provider and practice
characteristics influencing usage of behavior guidance techniques (BGTs).
METHODS: A 24-item survey was emailed to 4,117 active AAPD members to identify
factors influencing pediatric dentists' use of BGTs. RESULTS: A total of 1,081
surveys were returned, for a 26 percent response rate. The mean age of
respondents was 44.7 years old, with recent graduates comprising the largest
group (30.5 percent). Usage of voice control, nitrous oxide, sedation, and
general anesthesia (GA) differed significantly, according to experience.
Respondents with at least 30 years of experience reported changes in usage. Tell
show-do, nitrous oxide, oral sedation, and passive restraint were significantly
more frequent among female respondents. Parental absence, oral sedation, and GA
were significantly more frequent in respondents serving low income populations.
Parental absence, pharmacologic techniques, and restraint were significantly
different in frequencies among the different geographic regions. CONCLUSIONS:
Usage of behavior guidance techniques has changed over the past three decades,
with more recent graduates and experienced practitioners now emphasizing
pharmacologic techniques. More assertive behavior guidance techniques are used
more frequently by experienced providers and those in practices in the southwest
or serving lower income populations. Pharmacologic techniques are used at a
higher prevalence by recent graduates and providers who are female or serving
lower income populations.
PMID- 29793569
TI - Oral Health of Patients with Special Health Care Needs After General Anesthesia:
A 25- Year Retrospective Study.
AB - PURPOSE: The purpose of this study was to compare the oral health state and
dental treatment outcomes of patients with special health care needs (SHCN) after
general anesthesia (GA), according to their compliance with dental checkups.
METHODS: The medical records of SHCN patients who were treated under GA in a
dental hospital from 1991 to 2016 were analyzed. The 157 patients in this study
were divided into regular (N equals 62) and irregular (n equals
95) dental checkups. RESULTS: The mean age was 16.4 years (range equals two to
52); 96 were male, and 61 were female. In the irregular checkup group, invasive
treatments like pulp treatment and extraction were performed more frequently
during the checkup period (P<0.05). More patients underwent repeated GA in the
irregular group (n equals 35; 36.8 percent) compared to the regular group (n
equals six; 9.7 percent; P<0.05). CONCLUSIONS: In our study, special health care
needs patients in the irregular checkup group were approximately four times more
likely to undergo repeated general anesthesia than those in the regular group. A
regular checkup could reduce repeated GA and severity of dental treatment.
Therefore, regular checkups must be included in the treatment plan of SHCN
patients.
PMID- 29793568
TI - Survival and Associated Risk Factors of Composite Restorations in Children with
Early Childhood Caries: A Clinical Retrospective Study.
AB - PURPOSE: The purpose of this clinical retrospective study was to assess survival
and risk factors associated with failures of resin composite restorations placed
in patients with early childhood caries. METHODS: Seventy-eight restorations in
primary teeth from records of 24 high caries risk children were included in the
study. The restorations' longevity up to 30 months of follow-up was assessed
using the Kaplan-Meier survival test. Multivariate Cox regression analysis with
shared frailty was used to evaluate the factors associated with failures
(P<0.05). RESULTS: Mean survival time was 26 months (95 percent confidence
interval = 24.5 to 26.7). The survival of the restorations reached 34.8 percent
up to 30 months, with an overall annual failure rate of 20 percent. Restorations
involving two or more surfaces had 2.50 times more risk of failure than
restorations involving single surface (P=0.03). Restorations performed in vital
teeth had a lower risk of failure than those performed in teeth that underwent
pulp intervention (hazard ratio equals 0.25; 95 percent confidence interval =0.10
to 0.65; P=0.00). Patients with a plaque index more than 20 percent had 3.63
times more risk of failure in their restorations (P=0.01). CONCLUSION: Clinical
variables, such as the number of restored surfaces, pulp therapy, and poor
biofilm control, may affect the survival of composite restorations performed in
patients with early childhood caries.
PMID- 29793571
TI - Effect of Repeated Sterilization on the Tensile Strength of Rubber Dam Clamps.
AB - PURPOSE: The fracture of rubber dam clamps after repeated clinical uses can
result in ingestion/aspiration of the fragments. This pilot study was aimed at
examining whether the tensile strength of a rubber dam clamp changes
significantly following the stresses of repeated clinical use and steam
sterilizations. METHODS: One-hundred new stainless-steel rubber dam clamps were
subjected to repeated steam sterilization and placement on a wooden dowel
periodically, simulating clinical use. Two clamps were randomly selected for
removal after every 50 sterilization cycles. Three-hundred such cycles were
completed. Using a standard bench top universal tensile testing system (MTI-2K) a
tensile force was applied to break the new clamps and the clamps that were
stretched and autoclaved. The maximum force was recorded, and data analyzed using
simple mean. The mean tensile load values were plotted on a graph against the
number of sterilization cycles to analyze trends in the data. RESULTS: The rubber
dam clamps demonstrated a higher tensile strength and fracture resistance after
three-hundred cycles of use and sterilization. CONCLUSION: Repeated use and steam
sterilization up to three-hundred cycles did not adversely affect the tensile
strength of the rubber dam clamps. The clamps, in fact, demonstrated a higher
tensile strength.
PMID- 29793572
TI - Electronic fetal monitoring or cardiotocography, 50 years later: what's in a
name?
PMID- 29793573
TI - Pain relief: determining the safety of ibuprofen with postpartum preeclampsia.
PMID- 29793574
TI - Retraction notice to Granisetron, droperidol, and metoclopramide for the
treatment of established postoperative nausea and vomiting in women undergoing
gynecologic surgery: Am J Obstet Gynecol 2000;182:13-16.
AB - This article has been retracted: please see Elsevier Policy on Article Withdrawal
(https://www.elsevier.com/about/our-business/policies/article-withdrawal). This
article has been retracted at the request of the Editors-in-Chief. In 2012, a
number of Editors-in-Chief requested a determination from several universities
regarding the body of work published by Dr. Yoshitaka Fujii. An investigation by
the University of Tsukaba, Japan, where the above work was conducted, concluded
that Dr. Fujii made a false statement that the research had been approved by the
Institutional Review Board and a false statement that he conducted the randomized
clinical trial by himself. This retraction in AJOG was delayed due to an
editorial oversight.
PMID- 29793575
TI - Corrigendum.
PMID- 29793576
TI - Epidemic Keratoconjunctivitis: (Superficial Punctate Keratitis, Keratitis
Subepithelialis, Keratitis Maculosa, Keratitis Nummularis) With a Review of the
Literature and a Report of 125 Cases.
PMID- 29793577
TI - Shades of grey: embracing uncertainty in the exercise room.
PMID- 29793578
TI - Pain and pain prescribing: what is in a number?
PMID- 29793579
TI - Optimising conditions for tracheal intubation: should neuromuscular blocking
agents always be used?
PMID- 29793580
TI - Best arrive on time.
PMID- 29793581
TI - A small step in the right direction for reducing postoperative pulmonary
complications.
PMID- 29793582
TI - Cardiac troponin I as an early prognosis biomarker after trauma: a retrospective
cohort study.
AB - BACKGROUND: The significance of cardiac troponin I (cTnI) elevation after trauma
is debated. We therefore explored the association between cTnI elevation at
admission after trauma and ICU mortality. METHODS: We performed a retrospective
analysis from a prospectively constituted database, of patients admitted to ICU
after trauma at a single centre, over a 36 month period. According to cTnI plasma
concentration at admission, patients were categorised into three groups: normal
(<0.05 ng ml-1), intermediate (0.05-0.99 ng ml-1), or high concentration (>=1.0
ng ml-1). Associations of pre-hospital conditions or cTnI elevation and mortality
were analysed by multivariate logistic regression. RESULTS: Among the 994
patients, 177 (18%) had cTnI elevation at ICU admission. Of this total, 114 (11%)
patients died in the ICU. The cTnI release was an independent predictor of ICU
mortality with a concentration-response relationship [odds ratio (OR) 4.90 (2.19
11.16) and 14.83 (4.68-49.90) for intermediate and high concentrations,
respectively] and Day 2 mortality [OR 2.23 (1.18-5.80) and 7.49 (2.77-20.12) for
intermediate and high concentrations, respectively]. The severity of thoracic
trauma [OR 2.25 (1.07-4.55) and 3.23 (2.00-5.27) for Abbreviated Injury Scale
scores 1-2 and >=3, respectively], out-of-hospital maximal heart rate >=120 beats
min-1 [OR 2.22 (1.32-3.69)], and out-of-hospital shock [OR 2.02 (1.20-3.38)] were
independently associated with cTnI elevation. CONCLUSIONS: Release of cTnI was an
independent predictor of ICU mortality, suggesting that this biomarker can be
used in daily practice for early stratification of the risk of ICU death.
Thoracic trauma was strongly associated with cTnI elevation.
PMID- 29793584
TI - Effect of intraoperative hyperoxia on the incidence of surgical site infections:
a meta-analysis.
AB - BACKGROUND: Whether supplemental intraoperative oxygen reduces surgical site
infections remains unclear. Recent recommendations from the World Health
Organization and Center for Disease Control to routinely use high inspired oxygen
concentrations to reduce infection risk have been widely criticized. We therefore
performed a meta-analysis to evaluate the influence of inspired oxygen on
infection risk, including a recent large trial. METHODS: A systematic literature
search was performed. Primary analysis included all eligible trials. Sensitivity
analyses distinguished studies of colorectal and non-colorectal surgeries, and
excluded studies with high risk of bias. Another post-hoc sensitivity analysis
excluded studies from one author that appear questionable. RESULTS: The primary
analysis included 26 trials (N=14,710). The RR [95%CI] for wound infection was
0.81 [0.70, 0.94] in the high vs. low inspired oxygen groups. The effect remained
significant in colorectal patients (N=10,469), 0.79 [0.66, 0.96], but not in
other patients (N=4,241), 0.86 [0.69, 1.09]. When restricting the analysis to
studies with low risk of bias, either by strict inclusion criteria (N=5,047) or
by researchers' judgment (N=12,547), no significant benefit remained: 0.84 [0.67,
1.06] and 0.89 [0.76, 1.05], respectively. CONCLUSIONS: When considering all
available data, intraoperative hyperoxia reduced wound infection incidence.
However, no significant benefit remained when analysis was restricted to
objective- or investigator-identified low-bias studies, although those analyses
were not as well-powered. Meta-analysis of the most reliable studies does not
suggest that supplemental oxygen substantively reduces wound infection risk, but
more research is needed to fully answer this question.
PMID- 29793583
TI - Reduction of vascular leakage by imatinib is associated with preserved
microcirculatory perfusion and reduced renal injury markers in a rat model of
cardiopulmonary bypass.
AB - BACKGROUND: Cardiopulmonary bypass during cardiac surgery leads to impaired
microcirculatory perfusion. We hypothesized that vascular leakage is an important
contributor to microcirculatory dysfunction. Imatinib, a tyrosine kinase
inhibitor, has been shown to reduce vascular leakage in septic mice. We
investigated whether prevention of vascular leakage using imatinib preserves
microcirculatory perfusion and reduces organ injury markers in a rat model of
cardiopulmonary bypass. METHODS: Male Wistar rats underwent cardiopulmonary
bypass after treatment with imatinib or vehicle (n=8 per group). Cremaster muscle
microcirculatory perfusion and quadriceps microvascular oxygen saturation were
measured using intravital microscopy and reflectance spectroscopy. Evans Blue
extravasation was determined in separate experiments. Organ injury markers were
determined in plasma, intestine, kidney, and lungs. RESULTS: The onset of
cardiopulmonary bypass decreased the number of perfused microvessels by 40% in
the control group [9.4 (8.6-10.6) to 5.7 (4.8-6.2) per microscope field; P<0.001
vs baseline], whereas this reduction was not seen in the imatinib group. In the
control group, the number of perfused capillaries remained low throughout the
experiment, whilst perfusion remained normal after imatinib administration.
Microvascular oxygen saturation was less impaired after imatinib treatment
compared with controls. Imatinib reduced vascular leakage and decreased fluid
resuscitation compared with control [3 (3-6) vs 12 ml (7-16); P=0.024]. Plasma
neutrophil-gelatinase-associated-lipocalin concentrations were reduced by
imatinib. CONCLUSIONS: Prevention of endothelial barrier dysfunction using
imatinib preserved microcirculatory perfusion and oxygenation during and after
cardiopulmonary bypass. Moreover, imatinib-induced protection of endothelial
barrier integrity reduced fluid-resuscitation requirements and attenuated renal
and pulmonary injury markers.
PMID- 29793585
TI - The cardiopulmonary exercise test grey zone; optimising fitness stratification by
application of critical difference.
AB - BACKGROUND: Cardiorespiratory fitness can inform patient care, although to what
extent natural variation in CRF influences clinical practice remains to be
established. We calculated natural variation for cardiopulmonary exercise test
(CPET) metrics, which may have implications for fitness stratification. METHODS:
In a two-armed experiment, critical difference comprising analytical imprecision
and biological variation was calculated for cardiorespiratory fitness and thus
defined the magnitude of change required to claim a clinically meaningful change.
This metric was retrospectively applied to 213 patients scheduled for colorectal
surgery. These patients underwent CPET and the potential for misclassification of
fitness was calculated. We created a model with boundaries inclusive of natural
variation [critical difference applied to oxygen uptake at anaerobic threshold
(VO2-AT): 11 ml O2 kg-1 min-1, peak oxygen uptake (VO2 peak): 16 ml O2 kg-1 min
1, and ventilatory equivalent for carbon dioxide at AT (VE/VCO2-AT): 36].
RESULTS: The critical difference for VO2-AT, VO2 peak, and VE/VCO2-AT was 19%,
13%, and 10%, respectively, resulting in false negative and false positive rates
of up to 28% and 32% for unfit patients. Our model identified boundaries for
unfit and fit patients: AT <9.2 and >=13.6 ml O2 kg-1 min-1, VO2 peak <14.2 and
>=18.3 ml kg-1 min-1, VE/VCO2-AT >=40.1 and <32.7, between which an area of
indeterminate-fitness was established. With natural variation considered, up to
60% of patients presented with indeterminate-fitness. CONCLUSIONS: These findings
support a reappraisal of current clinical interpretation of cardiorespiratory
fitness highlighting the potential for incorrect fitness stratification when
natural variation is not accounted for.
PMID- 29793586
TI - Outcome of repeat anaesthesia after investigation for perioperative anaphylaxis.
AB - BACKGROUND: Perioperative anaphylaxis (POA) is infrequent, but remains an
important and potentially life-threatening complication of general anaesthesia.
The diagnostic uncertainty surrounding the investigation of anaesthetic allergy
poses numerous challenges. We aimed to inform practice by auditing the outcomes
of repeat anaesthesia, after an investigation for previous POA. METHODS: One
hundred and seventy-four subjects were investigated after suspected POA between
December 2002 and August 2015. Outcome data were obtained for a total of 70
patients who underwent repeat anaesthesia after investigation in the drug-allergy
clinic. RESULTS: Sixty-seven out of the 70 patients studied underwent repeat
anaesthesia without further complications. Three individuals experienced a
further episode of anaphylaxis. In two cases, incomplete referral information led
to the offending drugs being omitted from initial testing. The third was found to
have underlying systemic mastocytosis (SM). CONCLUSIONS: In our cohort, the
incidence of repeat anaphylaxis after a comprehensive assessment in the drug
allergy clinic for suspected POA was 4%. Important risk factors include the
completeness of referral information provided to the assessor and the role of
exacerbating disorders, particularly SM.
PMID- 29793587
TI - Cefazolin tissue concentrations with a prophylactic dose administered before
sleeve gastrectomy in obese patients: a single centre study in 116 patients.
AB - BACKGROUND: In obese patients undergoing sleeve gastrectomy, the blood and fatty
tissue concentrations of cefazolin required for adequate antibiotic prophylaxis
are uncertain. METHODS: This was a single centre prospective study in obese
(Group A: 40<= BMI <=50 kg m-2) and severely obese (Group B: 50< BMI <=65 kg m-2)
patients undergoing bariatric surgery. Blood and fatty-tissue samples were
collected after a cefazolin 4 g i.v. injection. The primary aim was to compare
cefazolin concentrations in subcutaneous fatty tissue with a targeted tissue
concentration of 4 MUg g-1 according to Staphylococcus aureus resistance
breakpoint. RESULTS: One hundred and sixteen patients were included: 79 in Group
A and 37 in Group B. At the beginning of the surgery, cefazolin concentration in
subcutaneous fatty tissue was 12.2 (5.4) MUg g-1 in Group A and 12 (6.1) MUg g-1
in Group B (P=0.7). At the end, cefazolin concentrations in subcutaneous fatty
tissue were 9.0 (4.9) and 7.8 (4.2) MUg g-1 in Groups A and B, respectively
(P=0.2). The plasma concentration of free cefazolin during surgery was higher in
Group A than in Group B (P<0.0001). Fatty-tissue concentrations of 95% and 83%
patients in Groups A and B, respectively, were above S. aureus resistance
breakpoint. CONCLUSIONS: After a 4 g dose, the concentrations of cefazolin in
fatty tissue were above the 4 MUg g-1 tissue concentration target, providing
adequate antibiotic tissue concentrations during bariatric surgery. As cefazolin
concentration in fatty tissue is a surrogate endpoint, the results should be
considered in conjunction with the results on free cefazolin concentrations in
subcutaneous tissue. CLINICAL TRIAL REGISTRATION: NCT01537380.
PMID- 29793588
TI - Adaptation of non-linear mixed amount with zero amount response surface model for
analysis of concentration-dependent synergism and safety with midazolam,
alfentanil, and propofol sedation.
AB - BACKGROUND: The non-linear mixed amount with zero amounts response surface model
can be used to describe drug interactions and predict loss of response to noxious
stimuli and respiratory depression. We aimed to determine whether this response
surface model could be used to model sedation with the triple drug combination of
midazolam, alfentanil and propofol. METHODS: Sedation was monitored in 56
patients undergoing gastrointestinal endoscopy (modelling group) using modified
alertness/sedation scores. A total of 227 combinations of effect-site
concentrations were derived from pharmacokinetic models. Accuracy and the area
under the receiver operating characteristic curve were calculated. Accuracy was
defined as an absolute difference <0.5 between the binary patient responses and
the predicted probability of loss of responsiveness. Validation was performed
with a separate group (validation group) of 47 patients. RESULTS: Effect-site
concentration ranged from 0 to 108 ng ml-1 for midazolam, 0-156 ng ml-1 for
alfentanil, and 0-2.6 MUg ml-1 for propofol in both groups. Synergy was strongest
with midazolam and alfentanil (24.3% decrease in U50, concentration for half
maximal drug effect). Adding propofol, a third drug, offered little additional
synergy (25.8% decrease in U50). Two patients (3%) experienced respiratory
depression. Model accuracy was 83% and 76%, area under the curve was 0.87 and
0.80 for the modelling and validation group, respectively. CONCLUSION: The non
linear mixed amount with zero amounts triple interaction response surface model
predicts patient sedation responses during endoscopy with combinations of
midazolam, alfentanil, or propofol that fall within clinical use. Our model also
suggests a safety margin of alfentanil fraction <0.12 that avoids respiratory
depression after loss of responsiveness.
PMID- 29793589
TI - Characteristic pattern of pleural effusion in electrical impedance tomography
images of critically ill patients.
AB - BACKGROUND: Electrical impedance tomography (EIT) is increasingly used for
continuous monitoring of ventilation in intensive care patients. Clinical
observations in patients with pleural effusion show an increase in out-of-phase
impedance changes. We hypothesised that out-of-phase impedance changes are a
typical EIT finding in patients with pleural effusion and could be useful in its
detection. METHODS: We conducted a prospective observational study in intensive
care unit patients with and without pleural effusion. In patients with pleural
effusion, EIT data were recorded before, during, and after unilateral drainage of
pleural effusion. In patients with no pleural effusion, EIT data were recorded
without any intervention. EIT images were separated into four quadrants of equal
size. We analysed the sum of out-of-phase impedance changes in the affected
quadrant in patients with pleural effusion before, during, and after drainage and
compared it with the sum of out-of-phase impedance changes in the dorsal
quadrants of patients without pleural effusion. RESULTS: We included 20 patients
with pleural effusion and 10 patients without pleural effusion. The median sum of
out-of-phase impedance changes was 70 (interquartile range 49-119) arbitrary
units (a.u.) in patients with pleural effusion before drainage, 25 (12-46) a.u.
after drainage (P<0.0001) and 11 (6-17) a.u. in patients without pleural effusion
(P<0.0001 vs pleural effusion before drainage). The area under the receiver
operating characteristics curve was 0.96 (95% limits of agreement 0.91-1.01)
between patients with pleural effusion before drainage and those without pleural
effusion. CONCLUSIONS: In patients monitored with EIT, the presence of out-of
phase impedance changes is highly suspicious of pleural effusion and should
trigger further examination.
PMID- 29793590
TI - Prospective study of device-related complications in intensive care unit detected
by virtual autopsy.
AB - BACKGROUND: There has been increasing use of invasive techniques, such as
extracorporeal organ support, in intensive care units (ICU), and declining
autopsy rates. Thus, new measures are needed to maintain high-quality standards.
We investigated the potential of computed tomography (CT)-based virtual autopsy
to substitute for medical autopsy in this setting. METHODS: We investigated the
potential of virtual autopsy by post-mortem CT to identify complications
associated with medical devices in a prospective study of patients who had died
in the ICU. Clinical records were reviewed to determine the number and types of
medical devices used, and findings from medical and virtual autopsies, related
and unrelated to the medical devices, were compared. RESULTS: Medical and virtual
autopsies could be performed in 61 patients (Group M/V), and virtual autopsy only
in 101 patients (Group V). In Group M/V, 41 device-related complications and 30
device malpositions were identified, but only with a low inter-method agreement.
Major findings unrelated to a device were identified in about 25% of patients
with a high level of agreement between methods. In Group V, 8 device
complications and 36 device malpositions were identified. CONCLUSIONS: Device
related complications are frequent in ICU patients. Virtual and medical autopsies
showed clear differences in the detection of complications and device
malpositions. Both methods should supplement each other rather than one alone for
quality control of medical devices in the ICU. Further studies should focus on
the identification of special patient populations in which virtual autopsy might
be of particular benefit. CLINICAL TRIAL REGISTRATION: NCT01541982.
PMID- 29793592
TI - Protection of cerebral microcirculation, mitochondrial function, and
electrocortical activity by small-volume resuscitation with terlipressin in a rat
model of haemorrhagic shock.
AB - BACKGROUND: During early treatment of haemorrhagic shock, cerebral perfusion
pressure can be restored by small-volume resuscitation with vasopressors. Whether
this therapy is improved with additional fluid remains unknown. We assessed the
value of terlipressin and lactated Ringer's solution (LR) on early recovery of
microcirculation, tissue oxygenation, and mitochondrial and electrophysiological
function in the rat cerebral cortex. METHODS: Animals treated with LR replacing
three times (3LR) the volume bled (n=26), terlipressin (n=27), terlipressin plus
1LR (n=26), 2LR (n=16), or 3LR (n=15) were compared with untreated (n=36) and
sham-operated rats (n=17). In vivo confocal microscopy was used to assess
cortical capillary perfusion, changes in tissue oxygen concentration, and
mitochondrial membrane potential and redox state. Electrophysiological function
was assessed by cortical somatosensory evoked potentials, spinal cord dorsum
potential, and peripheral electromyography. RESULTS: Compared with sham
treatment, haemorrhagic shock reduced the mean (SD) area of perfused vessels [82%
(sd 10%) vs 38% (12%); P<0.001] and impaired oxygen concentration, mitochondrial
redox state [99% (4%) vs 59% (15%) of baseline; P<0.001], and somatosensory
evoked potentials [97% (13%) vs 27% (19%) of baseline]. Administration of
terlipressin plus 1LR or 2LR was able to recover these measures, but terlipressin
plus 3LR or 3LR alone were not as effective. Spinal cord dorsum potential was
preserved in all groups, but no therapy protected electromyographic function.
CONCLUSIONS: Resuscitation from haemorrhagic shock using terlipressin with small
volume LR was superior to high-volume LR, with regard to cerebral
microcirculation, and mitochondrial and electrophysiological functions.
PMID- 29793591
TI - Effect of early use of noradrenaline on in-hospital mortality in haemorrhagic
shock after major trauma: a propensity-score analysis.
AB - BACKGROUND: The role of vasopressors in trauma-related haemorrhagic shock (HS)
remains a matter of debate. They are part of the most recent European
recommendations on the management of HS and are regularly used in France. We
assessed the effect of early administration of noradrenaline in 24 h mortality of
trauma patients in HS, using a propensity-score analysis. METHODS: The study
included patients from a multicentre prospective regional trauma registry. HS was
defined as transfusion of >=4 erythrocyte-concentrate units during the first 6 h.
Patients with a Glasgow coma scale=3 and pre-hospital traumatic cardiac arrest
were excluded. The main outcome measure was in-hospital mortality. The
explicative and adjustment variables for the outcome and treatment allocation
were predetermined by a Delphi method. The in-hospital mortality of patients with
and without early administration of noradrenaline was compared in a propensity
score model, including all predetermined variables. RESULTS: Of 7141 patients in
the registry in the study period, 6353 were screened and 518 patients in HS (201
with early noradrenaline use and 317 without) were included and analysed. After
propensity-score matching, 100 patients remained in each group, and the hazard
ratio mortality was 0.95 (95% confidence interval: 0.45-2.01; P=0.69).
CONCLUSIONS: The results of the present study suggest that noradrenaline use in
the early phase of traumatic HS does not seem to affect mortality adversely. This
observation supports a rationale for equipoise in favour of a prospective trial
of the use of vasopressors in HS after trauma.
PMID- 29793593
TI - Systematic review of the neurocognitive outcomes used in studies of paediatric
anaesthesia neurotoxicity.
AB - BACKGROUND: Neurotoxicity of anaesthetics in developing brain cells is well
documented in preclinical studies, yet results are conflicting in humans. The use
of many and different outcome measures in human studies may contribute to this
disagreement. METHODS: We conducted a systematic review to identify all measures
used to assess long-term neurocognitive outcomes following general anaesthesia
(GA) and surgery in children. The quality of studies was assessed according to
the Newcastle-Ottawa Scale (NOS) for observational studies. PubMed/MEDLINE,
EMBASE, Cinahl, Web of Science, and the Cochrane Library were searched for
studies investigating neurocognitive outcome after GA in children <18 yr.
RESULTS: Sixty-seven studies were identified from 19 countries during 1990-2017.
Most assessments were performed within cognition, sensory-motor development,
academic achievement or neuropsychological diagnosis. Few studies assessed other
outcomes (magnetic resonance imaging, serum-biomarkers, mortality, neurological
examination, measurement of head circumference, impairment of vision). Rating
according to the NOS rewarded a mean of six stars out of nine. Some concerns
prevail regarding potential inter-rater variability because of equivocal
description of rating criteria. Specific features such as stability over lifetime
and inter-relations of outcomes (e.g. prediction of subsequent development or
diagnosis of neuropsychological conditions) are discussed. The importance of
validity and reliability of the various test instruments are described. The
studies vary immensely in important characteristics. CONCLUSIONS: Future
observational studies should be more consistent in the choice of study
population, age at exposure, follow-up, indication for and type of surgery, and
outcomes. Assessment of sensory-motor development seems feasible in young
children (age <4 yr), and intelligence/cognition in older children.
PMID- 29793594
TI - Electroencephalographic markers of brain development during sevoflurane
anaesthesia in children up to 3 years old.
AB - BACKGROUND: General anaesthetics generate spatially defined brain oscillations in
the EEG that relate fundamentally to neural-circuit architecture. Few studies
detailing the neural-circuit activity of general anaesthesia in children have
been described. The study aim was to identify age-related changes in EEG
characteristics that mirror different stages of early human brain development
during sevoflurane anaesthesia. METHODS: Multichannel EEG recordings were
performed in 91 children aged 0-3 yr undergoing elective surgery. We mapped
spatial power and coherence over the frontal, parietal, temporal, and occipital
cortices during maintenance anaesthesia. RESULTS: During sevoflurane exposure:
(i) slow-delta (0.1-4 Hz) oscillations were present in all ages, (ii) theta (4-8
Hz) and alpha (8-12 Hz) oscillations emerge by ~4 months, (iii) alpha
oscillations increased in power from 4 to 10 months, (iv) frontal alpha
oscillation predominance emerged at ~6 months, (v) frontal slow oscillations were
coherent from birth until 6 months, and (vi) frontal alpha oscillations became
coherent ~10 months and persisted in older ages. CONCLUSIONS: Key developmental
milestones in the maturation of the thalamo-cortical circuitry likely generate
changes in EEG patterns in infants undergoing sevoflurane general anaesthesia.
Characterisation of anaesthesia-induced EEG oscillations in children demonstrates
the importance of developing age-dependent strategies to monitor properly the
brain states of children receiving general anaesthesia. These data have the
potential to guide future studies investigating neurodevelopmental pathologies
involving altered excitatory-inhibitory balance, such as epilepsy or Rett
syndrome.
PMID- 29793596
TI - Hypertonic sodium lactate reverses brain oxygenation and metabolism dysfunction
after traumatic brain injury.
AB - BACKGROUND: The mechanisms by which hypertonic sodium lactate (HSL) solution act
in injured brain are unclear. We investigated the effects of HSL on brain
metabolism, oxygenation, and perfusion in a rodent model of diffuse traumatic
brain injury (TBI). METHODS: Thirty minutes after trauma, anaesthetised adult
rats were randomly assigned to receive a 3 h infusion of either a saline solution
(TBI-saline group) or HSL (TBI-HSL group). The sham-saline and sham-HSL groups
received no insult. Three series of experiments were conducted up to 4 h after
TBI (or equivalent) to investigate: 1) brain oedema using diffusion-weighted
magnetic resonance imaging and brain metabolism using localized 1H-magnetic
resonance spectroscopy (n = 10 rats per group). The respiratory control ratio was
then determined using oxygraphic analysis of extracted mitochondria, 2) brain
oxygenation and perfusion using quantitative blood-oxygenation-level-dependent
magnetic resonance approach (n = 10 rats per group), and 3) mitochondrial
ultrastructural changes (n = 1 rat per group). RESULTS: Compared with the TBI
saline group, the TBI-HSL and the sham-operated groups had reduced brain oedema.
Concomitantly, the TBI-HSL group had lower intracellular lactate/creatine ratio
[0.049 (0.047-0.098) vs 0.097 (0.079-0.157); P < 0.05], higher mitochondrial
respiratory control ratio, higher tissue oxygen saturation [77% (71-79) vs 66%
(55-73); P < 0.05], and reduced mitochondrial cristae thickness in astrocytes
[27.5 (22.5-38.4) nm vs 38.4 (31.0-47.5) nm; P < 0.01] compared with the TBI
saline group. Serum sodium and lactate concentrations and serum osmolality were
higher in the TBI-HSL than in the TBI-saline group. CONCLUSIONS: These findings
indicate that the hypertonic sodium lactate solution can reverse brain
oxygenation and metabolism dysfunction after traumatic brain injury through
vasodilatory, mitochondrial, and anti-oedema effects.
PMID- 29793595
TI - The influence of blood pressure management on neurological outcome in
endovascular therapy for acute ischaemic stroke.
AB - BACKGROUND: Observational studies have suggested that low blood pressure and
blood pressure variability may partially explain adverse neurological outcome
after endovascular therapy with general anaesthesia (GA) for acute ischaemic
stroke. The aim of this study was to further examine whether blood pressure
related parameters during endovascular therapy are associated with neurological
outcome. METHODS: The GOLIATH trial randomised 128 patients to either GA or
conscious sedation for endovascular therapy in acute ischaemic stroke. The
primary outcome was 90 day modified Rankin Score. The haemodynamic protocol aimed
at keeping the systolic blood pressure >140 mm Hg and mean blood pressure >70 mm
Hg during the procedure. Blood pressure related parameters of interest included
20% reduction in mean blood pressure; mean blood pressure <70 mm Hg, <80 mm Hg,
and <90 mm Hg, respectively; time with systolic blood pressure <140 mm Hg;
procedural minimum and maximum mean and systolic blood pressure; mean blood
pressure at the time of groin puncture; postreperfusion mean blood pressure;
blood pressure variability; and use of vasopressors. Sensitivity analyses were
performed in the subgroup of reperfused patients. RESULTS: Procedural average
mean and systolic blood pressures were higher in the conscious sedation group
(P<0.001). The number of patients with mean blood pressure <70-90 mm Hg and
systolic blood pressure <140 mm Hg, blood pressure variability, and use of
vasopressors were all higher in the GA group (P<0.001). There was no
statistically significant association between any of the examined blood pressure
related parameters and the modified Rankin Score in the overall patient
population, and in the subgroup of patients with full reperfusion. CONCLUSION: We
found no statistically significant association between blood pressure related
parameters during endovascular therapy and neurological outcome. CLINICAL TRIAL
REGISTRATION: NCT 02317237.
PMID- 29793597
TI - Perioperative psychotherapy for persistent post-surgical pain and physical
impairment: a meta-analysis of randomised trials.
AB - BACKGROUND: Persistent post-surgical pain affects 10-80% of individuals after
common operations, and is more common among patients with psychological factors
such as depression, anxiety, or catastrophising. METHODS: We conducted a
systematic review and meta-analysis of randomised, controlled trials to evaluate
the efficacy of perioperative psychotherapy for persistent post-surgical pain and
physical impairment. Paired independent reviewers identified studies, extracted
data, and assessed risk of bias. The Grading of Recommendations, Assessment,
Development and Evaluation system was used to assess the quality of evidence.
RESULTS: Our search of five electronic databases, up to September 1, 2016, found
15 trials (2220 patients) that were eligible for review. For both persistent post
surgical pain and physical impairment, perioperative education was ineffective,
while active psychotherapy suggested a benefit (test of interaction P=0.01 for
both outcomes). Moderate quality evidence showed that active perioperative
psychotherapy (cognitive-behaviour therapy, relaxation therapy, or both)
significantly reduced persistent post-surgical pain [weighted mean difference
(WMD) -1.06 cm on a 10 cm visual analogue scale for pain, 95% confidence interval
(CI) -1.56 to -0.55 cm; risk difference (RD) for achieving no more than mild pain
(<=3 cm) 14%, 95% CI 8-21%] and physical impairment [WMD -9.87% on the 0-100%
Oswestry Disability Index, 95% CI -13.42 to -6.32%, RD for achieving no more than
mild disability (<=20%) 21%, 95% CI 13-29%]. CONCLUSIONS: Perioperative cognitive
behavioural therapy and relaxation therapy are effective for reducing persistent
pain and physical impairment after surgery. Future studies should explore
targeted psychotherapy for surgical patients at higher risk for poor outcome.
CLINICAL TRIAL REGISTRATION: PROSPERO CRD42016047335.
PMID- 29793598
TI - Analgesic mechanisms of gabapentinoids and effects in experimental pain models: a
narrative review.
AB - The focus of perioperative pain management should be to attempt to minimise the
nociceptive input and reduce the risk of transition to central sensitisation.
Gabapentinoids are being increasingly used as adjuncts for management of
perioperative pain. Although gabapentinoids are classed as calcium channel
blockers, their mechanisms of action are poorly understood. The analgesic effect
in neuropathic pain is well evidenced but the role in postoperative pain is less
certain. Medline and EMBASE database searches were conducted to identify studies
relating to mechanisms of action and effects in experimental animal models of
inflammatory and postoperative pain and human models of experimental pain. The
effects of gabapentinoids may be attributed to depression of dorsal horn
sensitivity through a multitude of mechanisms. They inhibit calcium mediated
neurotransmitter release through effects on alpha2delta-1 subunits. They inhibit
forward trafficking of alpha2delta-1 from the dorsal root ganglion, their
recycling from endosomal compartments, thrombospondin mediated processes and
stimulate glutamate uptake by excitatory amino acid transporters. Mechanisms not
directly related to neurotransmitter release at dorsal horn include inhibition of
descending serotonergic facilitation, stimulation of descending inhibition, anti
inflammatory actions, and influence on the affective component of pain.
Gabapentinoids are effective analgesics in most animal models of inflammation and
postoperative pain but effects in human models are variable.
PMID- 29793599
TI - Incidence of iatrogenic opioid dependence or abuse in patients with pain who were
exposed to opioid analgesic therapy: a systematic review and meta-analysis.
AB - BACKGROUND: The prevalence and incidence of chronic conditions, such as pain and
opioid dependence, have implications for policy development, resource allocation,
and healthcare delivery. The primary objective of the current review was to
estimate the incidence of iatrogenic opioid dependence or abuse after treatment
with opioid analgesics. METHODS: Systematic electronic searches utilised six
research databases (Embase, Medline, PubMed, Cinahl Plus, Web of Science,
OpenGrey). A 'grey' literature search and a reference search of included articles
were also undertaken. The PICOS framework was used to develop search strategies
and the findings are reported in accordance with the PRISMA Statement. RESULTS:
After eligibility reviews of 6164 articles, 12 studies (involving 310 408
participants) were retained for inclusion in the meta-analyses. A random effects
model (DerSimonian-Laird method) generated a pooled incidence of opioid
dependence or abuse of 4.7%. There was little within-study risk of bias and no
significant publication bias; however, substantial heterogeneity was found among
study effects (99.78%). Sensitivity analyses indicated that the diagnostic
criteria selected for identifying opioid dependence or abuse (Diagnostic
Statistical Manual (DSM-IV) vs International Classification of Diseases (ICD-9))
accounted for 20% and duration of exposure to opioid analgesics accounted for 18%
of variance in study effects. Longer-term opioid analgesic exposure, and
prescription of strong rather than weak opioids, were associated with a
significantly lower incidence of opioid dependence or abuse. CONCLUSIONS: The
incidence of iatrogenic opioid dependence or abuse was 4.7% of those prescribed
opioids for pain. Further research is required to confirm the potential for our
findings to inform prevention of this serious adverse event.
PMID- 29793600
TI - Association of opioid prescribing practices with chronic pain and benzodiazepine
co-prescription: a primary care data linkage study.
AB - BACKGROUND: Opioid prescribing is increasing worldwide with associated increases
in misuse and other harms. We studied variations in national opioid prescription
rates, indicators of prescribing quality, co-prescribing of benzodiazepines and
relationship with pain severity in Scotland. METHODS: Electronic linkages of
opioid prescribing in Scotland were determined from: (i) national data from
Information Services Division, NHS Scotland (2003-2012); and (ii) individual data
from Generation Scotland: Scottish Family Health Study. Descriptive analyses were
conducted on national data, multilevel modelling to examine factors associated
with variations in prescribing rates. chi2 tests examined associations between
individual pain severity and opioid prescriptions. RESULTS: The number of strong
opioid prescriptions more than doubled from 474 385 in 2003 to 1 036 446 in 2012,
and weak opioid prescribing increased from 3 261 547 to 4 852 583. In Scotland,
938 674 individuals were prescribed an opioid in 2012 (18% of the population).
Patients in the most deprived areas were 3.5 times more likely to receive a
strong opioid than patients in the least deprived. There was significant
variation in prescribing rates between geographical areas, with much of this
explained by deprivation. Of women aged 25-40 yr prescribed a strong opioid, 40%
were also prescribed a benzodiazepine. There was significant association between
pain severity and receipt of opioid prescription. Over 50% of people reporting
severe pain were not prescribed an opioid analgesic. CONCLUSIONS: We found opioid
prescribing in primary care to be common and increasing in Scotland, particularly
for severe pain. Co-prescribing of opioids and benzodiazepines was common.
PMID- 29793601
TI - Adiponectin regulates thermal nociception in a mouse model of neuropathic pain.
AB - BACKGROUND: Adiponectin, a cytokine secreted by adipocytes, plays an important
role in regulating glucose and lipid metabolism. However, the role of adiponectin
in pain conditions is largely unknown. This study aimed to identify the role and
mechanism of adiponectin in nociceptive sensitivity under physiological and
pathological states utilising adiponectin knockout (KO) mice. METHODS: Wild type
(WT) and adiponectin KO mice were subjected to partial sciatic nerve ligation
(pSNL) or sham operation. Pain-like behavioural tests, including thermal
allodynia, hyperalgesia, and mechanical allodynia, were performed before and
after pSNL from Day 3-21. Dorsal root ganglions (DRGs), lumbar spinal segments at
L3-5, and somatosensory cortex were collected for protein measurement via western
blotting and immunofluorescence staining. RESULTS: Compared with WT mice, KO mice
had significantly lower (40-50%) paw withdrawal latency to innocuous and noxious
stimuli before and after pSNL. In DRG neurones from KO mice, where adiponectin
receptor (AdipoR) 2 is located, phosphorylated p38 mitogen-activated protein
kinase (p-p38 MAPK) and heat-sensitive transient receptor potential cation
channel subfamily V member 1 (TRPV1) were significantly higher (by two- to three
fold) than from WT mice. In spinal microglia and somatosensory cortical neurones,
where AdipoR1 is mainly located, p-p38 MAPK and TRPV1 were also higher (by two-
to three-fold) in KO compared with WT mice, and altered signalling of these
molecules was exacerbated (1.2- to 1.3-fold) by pSNL. CONCLUSIONS: Our results
show that adiponectin regulates thermal nociceptive sensitivity by inhibiting
activation of DRG neurones, spinal microglia, and somatosensory cortical neurones
in physiological and neuropathic pain states. This study has relevance for
patients with adiponectin disorders, such as obesity and diabetes.
PMID- 29793602
TI - Systematic review of the effects of fascia iliaca compartment block on hip
fracture patients before operation.
AB - BACKGROUND: Fascia iliaca compartment block is used for hip fractures in order to
reduce pain, the need for systemic analgesia, and prevent delirium, on this
basis. This systematic review was conducted to investigate the analgesic and
adverse effects of fascia iliaca block on hip fracture in adults when applied
before operation. METHODS: Nine databases were searched from inception until July
2016 yielding 11 randomised and quasi-randomised controlled trials, all using
loss of resistance fascia iliaca compartment block, with a total population of
1062 patients. Meta-analyses were conducted comparing the analgesic effect of
fascia iliaca compartment block on nonsteroidal anti-inflammatory drugs (NSAIDs),
opioids and other nerve blocks, preoperative analgesia consumption, and time to
perform spinal anaesthesia compared with opioids and time for block placement.
RESULTS: The analgesic effect of fascia iliaca compartment block was superior to
that of opioids during movement, resulted in lower preoperative analgesia
consumption and a longer time for first request, and reduced time to perform
spinal anaesthesia. Block success rate was high and there were very few adverse
effects. There is insufficient evidence to conclude anything on preoperative
analgesic consumption or first request thereof compared with NSAIDs and other
nerve blocks, postoperative analgesic consumption for preoperatively applied
fascia iliaca compartment block compared with NSAIDs, opioids and other nerve
blocks, incidence and severity of delirium, and length of stay or mortality.
CONCLUSIONS: Fascia iliaca compartment block is an effective and relatively safe
supplement in the preoperative pain management of hip fracture patients.
PMID- 29793603
TI - Effects of avoidance or use of neuromuscular blocking agents on outcomes in
tracheal intubation: a Cochrane systematic review.
AB - Cohort studies have indicated that avoidance of neuromuscular blocking agents
(NMBA) is a risk factor for difficult tracheal intubation. However, the impact of
avoiding NMBA on tracheal intubation, possible adverse effects, and postoperative
discomfort has not been evaluated in a systematic review of randomised trials. We
searched several databases for trials published until January 2017. We included
randomised controlled trials comparing the effect of avoiding vs using NMBA. Two
independent authors assessed risk of bias and extracted data. The risk of random
errors was assessed by trial sequential analysis (TSA). We included 34 trials
(3565 participants). In the four trials judged to have low risk of bias, there
was an increased risk of difficult tracheal intubation with no use of NMBA
[random-effects model, risk ratio (RR) 13.27, 95% confidence interval (CI) 8.19
21.49, P<0.00001, TSA-adjusted CI 1.85-95.04]. The result was confirmed when
including all trials, (RR 5.00, 95% CI 3.49-7.15, P<0.00001, TSA-adjusted CI 1.20
20.77). There was a significant risk of upper airway discomfort or injury by
avoiding NMBA (RR=1.37, 95% CI 1.09-1.74, P=0.008, TSA-adjusted CI 1.00-1.86).
None of the trials reported mortality. Avoiding NMBA was significantly associated
with difficult laryngoscopy, (RR 2.54, 95% CI 1.53-4.21, P=0.0003, TSA-adjusted
CI 0.27-21.75). In a clinical context, one must balance arguments for using NMBA
when performing tracheal intubation.
PMID- 29793604
TI - Influence of xenon on pulmonary mechanics and lung aeration in patients with
healthy lungs.
AB - BACKGROUND: The anaesthetic xenon shows potent organ-protective properties. Due
to high density and dynamic viscosity, peak inspiratory pressure (Pmax) increases
during xenon application. Thus, barotrauma may counteract organ protection.
Accordingly, we investigated the influence of xenon on lung mechanics and lung
aeration in patients with normal and reduced thoracic wall compliance. METHODS:
After registration and ethical approval, 20 patients free of pulmonary disease
undergoing routine xenon-based anaesthesia were mechanically ventilated. The
primary outcome variable transpulmonary pressure (Ptp) was determined from
plateau pressure and intraoesophageal pressure before and after xenon wash-in. We
recorded Pmax, and calculated airway resistance (RAW), and static (Cstat) and
dynamic (Cdyn) respiratory compliances. Finally, lung aeration was quantified by
electrical impedance tomography-derived centre of ventilation index (CVI) and
global inhomogeneity index (GI) in the awake state, before and during xenon.
RESULTS: Xenon increased Pmax [20.8 (SD 3) vs 22.6 (3) cm H2O, P<0.001] and RAW
[0.9 (0.2) vs 1.4 (0.3) cm H2O litre-1 s, P<0.001], without affecting Ptp [1.5
(4) vs 2.0 (4) cm H2O, P=0.15]. While Cstat remained unchanged, Cdyn was reduced
[33.9 (7) vs 31.2 (6) ml (cm H2O)-1, P<0.001). A ventral tidal volume shift after
anaesthesia induction [CVI 0.53 (0.03) vs 0.59 (0.04), P<0.001] was unaltered
during xenon [CVI 0.59 (0.04), P=0.29]. Homogeneity of lung aeration was also
unchanged during xenon [GI 0.37 (0.03) vs 0.37 (0.03), P=0.99]. There were no
clinically meaningful differential BMI-related effects. CONCLUSIONS: Xenon
increases calculated airway resistance and peak inspiratory pressure without
affecting transpulmonary pressure, independent of BMI. CLINICAL TRIAL
REGISTRATION: NCT02682758.
PMID- 29793605
TI - Safety and clinical effect of i.v. infusion of cyclopropyl-methoxycarbonyl
etomidate (ABP-700), a soft analogue of etomidate, in healthy subjects.
AB - BACKGROUND: Cyclopropyl-methoxycarbonyl metomidate, or ABP-700, is a second
generation analogue of etomidate, developed to retain etomidate's beneficial
haemodynamic and respiratory profile but diminishing its suppression of the
adrenocortical axis. The objective of this study was to characterise the safety
and efficacy of 30-min continuous infusions of ABP-700, and to assess its effect
on haemodynamics and the adrenocortical response in healthy human volunteers.
METHODS: Five cohorts involving 40 subjects received increasing infusion doses of
ABP-700, propofol 60 MUg kg-1 min-1 or placebo. Safety was evaluated through
adverse event (AE) monitoring, safety laboratory tests, and arterial blood
gasses. Haemodynamic and respiratory stability were assessed by continuous
monitoring. Adrenocortical function was analysed by adrenocorticotropic hormone
(ACTH) stimulation tests. Clinical effect was measured using the modified
observer's assessment of alertness/sedation (MOAA/S) and continuous bispectral
index monitoring. RESULTS: No serious AEs were reported. Haemodynamic and
respiratory effects included mild dose-dependent tachycardia, slightly elevated
blood pressure, and no centrally mediated apnoea. Upon stimulation with ACTH, no
adrenocortical depression was observed in any subject. Involuntary muscle
movements (IMM) were reported, which were more extensive with higher dosing
regimens. Higher dosages of ABP-700 were associated with deeper sedation and
increased likelihood of sedation. Time to onset of clinical effect was variable
throughout the cohorts and recovery was swift. CONCLUSIONS: Infusions of ABP-700
showed a dose-dependent hypnotic effect, and did not cause severe hypotension,
severe respiratory depression, or adrenocortical suppression. The presentation
and nature of IMM is a matter of concern. CLINICAL TRIAL REGISTRATION: NTR4735.
PMID- 29793607
TI - Adverse outcomes after planned surgery with anticipated intensive care admission
in out-of-office-hours time periods: a multicentre cohort study.
AB - BACKGROUND: Increasing mortality for patients admitted to hospitals during the
weekend is a contentious but well described phenomenon. However, it remains
uncertain whether adverse outcomes, including prolonged hospital length-of-stay
(LOS), may also occur after patients undergoing major planned surgery are
admitted to an intensive care unit (ICU) out-of-office-hours, either during
weeknights (after 18:00) or on weekends. METHODS: All planned surgical admissions
requiring admission to one of 183 ICUs across Australia and New Zealand between
2006 and 2016 were included in this retrospective population-based cohort study.
Primary outcomes were hospital LOS and hospital mortality. RESULTS: Of the total
504 713 planned postoperative ICU admissions, 33.6% occurred during out-of-office
hours. After adjusting for available risk factors, out-of-office-hours ICU
admissions were associated with a significant increase in hospital LOS [+2.6
days, 95% confidence interval (CI) 2.5-2.6], mortality [odd ratio (OR) 1.5, 95%CI
1.4-1.6], and a reduced chance of being directly discharged home (OR 0.8, 95%CI
0.8-0.8). The strongest association for adverse outcomes occurred with weekend
ICU admissions (hospital LOS: +3.0 days, 95%CI 3.2-3.6; hospital mortality: OR
1.7, 95%CI 1.6-1.8). Clustering of adverse outcomes by hospitals was not observed
in the generalised estimating equation analyses. CONCLUSIONS: Despite a greater
clinical staff availability and higher monitoring levels, planned surgery
requiring anticipated out-of-office-hours ICU admission was associated with a
prolonged hospital LOS, reduced discharge directly home, and increased mortality
compared with in-office-hours admissions. Our findings have potential clinical,
economic and health policy implications on how complex planned surgery should be
planned and managed.
PMID- 29793608
TI - Fit for surgery? Evidence supporting prehabilitation programs.
PMID- 29793606
TI - The anion study: effect of different crystalloid solutions on acid base balance,
physiology, and survival in a rodent model of acute isovolaemic haemodilution.
AB - BACKGROUND: Commercially available crystalloid solutions used for volume
replacement do not exactly match the balance of electrolytes found in plasma.
Large volume administration may lead to electrolyte imbalance and potential harm.
We hypothesised that haemodilution using solutions containing different anions
would result in diverse biochemical effects, particularly on acid-base status,
and different outcomes. METHODS: Anaesthetised, fluid-resuscitated, male Wistar
rats underwent isovolaemic haemodilution by removal of 10% blood volume every 15
min, followed by replacement with one of three crystalloid solutions based on
acetate, lactate, or chloride. Fluids were administered in a protocolised manner
to achieve euvolaemia based on echocardiography-derived left ventrical volumetric
measures. Removed blood was sampled for plasma ions, acid-base status,
haemoglobin, and glucose. This cycle was repeated at 15-min intervals until
death. The primary endpoint was change in plasma bicarbonate within each fluid
group. Secondary endpoints included time to death and cardiac function. RESULTS:
During haemodilution, chloride-treated rats showed significantly greater
decreases in plasma bicarbonate and strong ion difference levels compared with
acetate- and lactate-treated rats. Time to death, total volume of fluid
administered: chloride group 56 (3) ml, lactate group 62 (3) ml, and acetate
group 65 (3) ml; haemodynamic and tissue oxygenation changes were, however,
similar between groups. CONCLUSIONS: With progressive haemodilution,
resuscitation with a chloride-based solution induced more acidosis compared with
lactate- and acetate-based solutions, but outcomes were similar. No short-term
impact was seen from hyperchloraemia in this model.
PMID- 29793610
TI - Difficult paediatric airways-what to do?
PMID- 29793609
TI - Attempting tracheal intubation without paralysis.
PMID- 29793611
TI - Education: the last mile to universal videolaryngoscopy.
PMID- 29793612
TI - Another nail in the saline coffin.
PMID- 29793613
TI - Over-delivery of desflurane via Aisys Carestation Aladin2 CassetteTM vaporiser.
PMID- 29793614
TI - Occupational exposure to methoxyflurane administered for procedural sedation: an
observational study of 40 exposures.
PMID- 29793615
TI - Safety of subsequent general anaesthesia in patients allergic to neuromuscular
blocking agents: value of allergy skin testing.
PMID- 29793616
TI - Alfaxalone and comments on: Thiopental to desflurane-an anaesthetic journey.
Where are we going next? [Br J Anaesth 2017;119:i44-52].
PMID- 29793617
TI - Corrigendum to 'Perioperative outcomes in the context of mode of anaesthesia for
patients undergoing hip fracture surgery: systematic review and meta-analysis'
[Br J Anaesth 2018; 120: 37-50].
PMID- 29793618
TI - Research Techniques Made Simple: Mass Spectrometry for Analysis of Proteins in
Dermatological Research.
AB - Identifying previously unknown proteins or detecting the presence of known
proteins in research samples is critical to many experiments conducted in life
sciences, including dermatology. Sensitive protein detection can help elucidate
new intervention targets and mechanisms of disease, such as in autoimmune
blistering skin diseases, atopic eczema, or other conditions. Historically,
peptides from highly purified single proteins were sequenced, with many
limitations, by stepwise degradation from the N-terminus to the C-terminus with
subsequent identification by UV absorbance spectroscopy of the released amino
acids (i.e., Edman degradation). Recently, however, the availability of
comprehensive protein databases from different species (derived from high
throughput next-generation sequencing of those organisms' genomes) and
sophisticated bioinformatics analysis tools have facilitated the development and
use of mass spectrometry for identification and global analysis of proteins,
summarized as mass spectrometry-based proteomics. Mass spectrometry is an
analytical technique measuring the mass (m)-to-charge (z) ratio of ionized
biological molecules such as peptides. Proteins can be identified by correlating
peptide-derived experimental mass spectrometry spectra with theoretical spectra
predicted from protein databases. Here we briefly describe how this technique
works, how it can be used for identification of proteins, and how this knowledge
can be applied in elucidating human biology and disease.
PMID- 29793619
TI - Modes of Action of Intravenous Immunoglobulin in Bullous Pemphigoid.
AB - Bullous pemphigoid is an autoantibody-mediated skin blistering disease. Previous
studies revealed that intravenous Ig is therapeutic in animal models of bullous
pemphigoid by saturating the IgG-protective receptor FcRn, thereby accelerating
degradation of pathogenic IgG. Sasaoka et al. demonstrate that the inhibitory
effects of intravenous Ig on bullous pemphigoid are also associated with negative
modulation of cytokine production by keratinocytes.
PMID- 29793620
TI - A Hairy Tale of Monocytes and Contact Hypersensitivity Reactions.
AB - Hair follicles have recently emerged as immunologically active organs that
orchestrate recruitment and trafficking of immune cells within skin. Liu et al.
(2018) expand our knowledge in this growing area of research by characterizing
the network of immune cell interactions during experimental contact
hypersensitivity that, interestingly, is centered around hair follicles.
PMID- 29793621
TI - Cooling the Itch via TRPM8.
AB - Cooling is an effective temporary remedy for itch, bringing welcome relief to
itchy insect bites, nettle stings, poison ivy, atopic dermatitis, and psoriasis.
Menthol, causing a cooling sensation, has similar itch-relieving effects. Palkar
et al. demonstrate that TRPM8, a menthol- and cold-activated ion channel, is
essential for cooling to relieve itch, suggesting that pharmacologic TRPM8
activation should be explored further as an antipruritic strategy.
PMID- 29793622
TI - Hair Regeneration under Stress.
AB - The phenomenon of wound-induced hair neogenesis in adult mice and rabbits offers
a tantalizing window into the mechanisms of regeneration. By comparing wounds in
mice and several rat strains, Guerrero-Juarez et al. attempted to identify
factors that may contribute to the failure of wound-induced hair neogenesis to
occur in the rat. In addition to biochemical, cellular, and molecular variation,
worthwhile comparisons could include the magnitude, distribution, and source of
tensional forces within the wound environment.
PMID- 29793623
TI - Erratum.
PMID- 29793624
TI - Erratum.
PMID- 29793625
TI - SnapshotDx Quiz: June 2018.
PMID- 29793626
TI - Cells to Surgery Quiz: June 2018.
PMID- 29793627
TI - Smartwatches in the Fight Against Atrial Fibrillation: The Little Watch That
Could.
PMID- 29793628
TI - Targeting Inflammation in the Prevention and Treatment of Type 2 Diabetes:
Insights From CANTOS.
PMID- 29793630
TI - Cardiovascular Risk Reduction in Patients With Chronic Kidney Disease: Potential
for Targeting Inflammation With Canakinumab.
PMID- 29793629
TI - Inhibition of Interleukin-1beta by Canakinumab and Cardiovascular Outcomes in
Patients With Chronic Kidney Disease.
AB - BACKGROUND: Inflammation contributes to chronic kidney disease (CKD), in part
mediated through activation of interleukin (IL)-1beta by the NLRP3 inflammasome
within the kidney. This process also likely contributes to the accelerated
atherosclerosis associated with nephropathy. OBJECTIVES: The authors hypothesized
that canakinumab, a human monoclonal antibody targeting IL-1beta, might reduce
cardiovascular event rates and improve renal function among post-myocardial
infarction patients with CKD. METHODS: Stable post-myocardial infarction patients
with high-sensitivity C-reactive protein (hsCRP) >= 2mg/l were randomly allocated
to placebo or to 1 of 3 doses of canakinumab (50, 150, or 300 mg) given
subcutaneously once every 3 months. Participants were followed for incident
myocardial infarction, stroke, hospitalization for unstable angina requiring
urgent revascularization, cardiovascular death, or death from any cause over a
median follow-up period of 3.7 years (maximum 5 years). All patients additionally
had serial monitoring of estimated glomerular filtration rate (eGFR), creatinine,
the urine albumin to creatinine ratio (uACR), and were monitored for adverse
renal and urinary events. RESULTS: Of 10,061 participants, 1,875 (18.6%) had
baseline eGFR <60 ml/min/1.73 m2. These moderate CKD patients had higher
incidence rates for major adverse vascular events compared with those with eGFR
>=60 ml/min/1.73 m2 (6.92 vs. 4.13 per 100 person-years; p < 0.0001). Random
allocation to canakinumab reduced the risk of major adverse cardiovascular events
among those with CKD (hazard ratio: 0.82; 95% confidence interval: 0.68 to 1.00;
p = 0.05) with the largest cardiovascular benefits accruing among those who
achieved on-treatment hsCRP levels below 2 mg/l measured after taking the first
dose (hazard ratio: 0.68; 95% confidence interval: 0.53 to 0.86; p = 0.0015).
Comparable effects were observed among those with baseline albuminuria or
diabetes. Canakinumab had neither clinically meaningful benefits nor substantive
harms with respect to serial measures of eGFR, creatinine, the uACR, or reported
adverse renal events during trial follow-up. CONCLUSIONS: IL-1beta inhibition
with canakinumab reduces major adverse cardiovascular event rates among high-risk
atherosclerosis patients with CKD, particularly among those with a robust anti
inflammatory response to initial treatment. These cardiovascular benefits accrued
with no adverse clinical renal events. (Canakinumab Anti-inflammatory Thrombosis
Outcomes Study [CANTOS]; NCT01327846).
PMID- 29793632
TI - How to Predict Pregnancy Risk in an Individual Woman With Heart Disease.
PMID- 29793631
TI - Pregnancy Outcomes in Women With Heart Disease: The CARPREG II Study.
AB - BACKGROUND: Identifying women at high risk is an important aspect of care for
women with heart disease. OBJECTIVES: This study sought to: 1) examine cardiac
complications during pregnancy and their temporal trends; and 2) derive a risk
stratification index. METHODS: We prospectively enrolled consecutive pregnant
women with heart disease and determined their cardiac outcomes during pregnancy.
Temporal trends in complications were examined. A multivariate analysis was
performed to identify predictors of cardiac complications and these were
incorporated into a new risk index. RESULTS: In total, 1,938 pregnancies were
included. Cardiac complications occurred in 16% of pregnancies and were primarily
related to arrhythmias and heart failure. Although the overall rates of cardiac
complications during pregnancy did not change over the years, the frequency of
pulmonary edema decreased (8% from 1994 to 2001 vs. 4% from 2001 to 2014; p value
= 0.012). Ten predictors of maternal cardiac complications were identified: 5
general predictors (prior cardiac events or arrhythmias, poor functional class or
cyanosis, high-risk valve disease/left ventricular outflow tract obstruction,
systemic ventricular dysfunction, no prior cardiac interventions); 4 lesion
specific predictors (mechanical valves, high-risk aortopathies, pulmonary
hypertension, coronary artery disease); and 1 delivery of care predictor (late
pregnancy assessment). These 10 predictors were incorporated into a new risk
index (CARPREG II [Cardiac Disease in Pregnancy Study]). CONCLUSIONS: Pregnancy
in women with heart disease continues to be associated with significant
morbidity, although mortality is rare. Prediction of maternal cardiac
complications in women with heart disease is enhanced by integration of general,
lesion-specific, and delivery of care variables.
PMID- 29793634
TI - Public Health Approach to Decrease Mortality for Congenital Heart Defects: Dying
Too Soon.
PMID- 29793635
TI - Antithrombotic Therapy for Peripheral Artery Disease: Recent Advances.
AB - Peripheral artery disease (PAD) affects over 200 million people globally and is a
cause of significant morbidity, mortality, and disability due to limb loss.
Although secondary prevention with antithrombotic therapy is a mainstay of
treatment to prevent adverse cardiovascular events, PAD patients are often
undertreated with antithrombotic agents. Furthermore, there is a paucity of high
quality data from randomized controlled trials of PAD patients, leading to wide
variations in clinical practice and guideline recommendations. Recently, there
have been important advances that have further increased the number of
antiplatelet and anticoagulant choices potentially available for patients with
PAD. In this context, this paper aims to summarize the current available evidence
for the safety and efficacy of various antithrombotic agents in PAD, and discuss
how to integrate this emerging evidence into actual clinical practice. An
evidenced-based approach to PAD patients is essential to achieve optimal
outcomes, weighing cardiovascular and limb benefits against bleeding risks.
PMID- 29793633
TI - Trends in Long-Term Mortality After Congenital Heart Surgery.
AB - BACKGROUND: Congenital heart surgery has improved the survival of patients with
even the most complex defects, but the long-term survival after these procedures
has not been fully described. OBJECTIVES: The purpose of this study was to
evaluate the long-term survival of patients (age <21 years) who were operated on
for congenital heart defects (CHDs). METHODS: This study used the Pediatric
Cardiac Care Consortium data, a U.S.-based, multicenter registry of pediatric
cardiac surgery. Survival analysis included 35,998 patients who survived their
first congenital heart surgery at <21 years of age and had adequate identifiers
for linkage with the National Death Index through 2014. Survival was compared to
that in the general population using standardized mortality ratios (SMRs).
RESULTS: After a median follow-up of 18 years (645,806 person-years), 3,191
deaths occurred with an overall SMR of 8.3 (95% confidence interval [CI]: 8.0 to
8.7). The 15-year SMR decreased from 12.7 (95% CI: 11.9 to 13.6) in the early era
(1982 to 1992) to 10.0 (95% CI: 9.3 to 10.8) in the late era (1998 to 2003). The
SMR remained elevated even for mild forms of CHD such as patent ductus arteriosus
(SMR 4.5) and atrial septal defects (SMR 4.9). The largest decreases in SMR
occurred for patients with transposition of great arteries (early: 11.0 vs. late:
3.8; p < 0.05), complete atrioventricular canal (31.3 vs. 15.3; p < 0.05), and
single ventricle (53.7 vs. 31.3; p < 0.05). CONCLUSIONS: In this large U.S.
cohort, long-term mortality after congenital heart surgery was elevated across
all forms of CHD. Survival has improved over time, particularly for severe
defects with significant changes in their management strategy, but still lags
behind the general population.
PMID- 29793636
TI - Chronic Thromboembolic Pulmonary Hypertension: Evolving Therapeutic Approaches
for Operable and Inoperable Disease.
AB - Chronic thromboembolic pulmonary hypertension (CTEPH), a rare consequence of an
acute pulmonary embolism, is a disease that is underdiagnosed, and surgical
pulmonary thromboendarterectomy (PTE) remains the preferred therapy. However,
determination of operability is multifactorial and can be challenging. There is
growing excitement for the percutaneous treatment of inoperable CTEPH with data
from multiple centers around the world showing the clinical feasibility of
balloon pulmonary angioplasty. Riociguat remains the only approved medical
therapy for CTEPH patients deemed inoperable or with persistent pulmonary
hypertension after PTE. We recommend that expert multidisciplinary CTEPH teams be
developed at individual institutions. Additionally, optimal and standardized
techniques for balloon pulmonary angioplasty need to be developed along with
dedicated interventional equipment and appropriate training standards. In the
meantime, the percutaneous revascularization option is appropriate for patients
deemed inoperable in combination with targeted medical therapy, or those who have
failed to benefit from surgery.
PMID- 29793637
TI - Impact of C-Reactive Protein and Coronary Artery Calcium on Benefit Observed With
Atorvastatin.
PMID- 29793638
TI - SAVR Versus TAVR Outcomes in Patients With Prior History of Stroke.
PMID- 29793639
TI - Cardiovascular Risk Models and Statin Therapy.
PMID- 29793640
TI - Reply: Cardiovascular Risk Models and Statin Therapy.
PMID- 29793641
TI - Missed Opportunities in Cardiac Arrest: The Promise of 24/7 Ongoing On-Site
Interventional Cardiologist Availability.
PMID- 29793642
TI - Off-Hours and In-Hospital Mortality: Lower Resources or Higher Severity?
PMID- 29793643
TI - Reply: Lower Survival for In-Hospital Cardiac Arrests During Nights and Weekends.
PMID- 29793644
TI - Correction.
PMID- 29793645
TI - Perspectives on Acquired Resistance to PD-1 Axis Inhibitors in Patients with Non
Small Cell Lung Cancer.
PMID- 29793646
TI - Making Lung Cancer Clinical Trials More Inclusive: Recommendations for Expanding
Eligibility Criteria.
PMID- 29793647
TI - Atezolizumab after Nivolumab-Induced Inflammatory Polyarthritis: Can Anti-PD-L1
Immunotherapy Be Administered after Anti-PD-1-Related Immune Toxicities?
PMID- 29793649
TI - Risk of Second Lung Cancer in ITALUNG LDCT Screening.
PMID- 29793648
TI - Targeted Therapy and Immunotherapy Lead to Rapid Regression of Advanced Non-Small
Cell Lung Cancer with Multiple Driver Mutations.
PMID- 29793650
TI - Risk of Second Lung Cancer in Patients With Previously Treated Lung Cancer:
Analysis of Surveillance, Epidemiology, and End Results Data.
PMID- 29793651
TI - EGFR exon 18 DelE709_T710insD as an Acquired Resistance Mechanism to Afatinib in
an Advanced EGFR exon 18 E709H Lung Adenocarcinoma.
PMID- 29793652
TI - Miliary Adenocarcinoma of the Lung Responds to Gefitinib and Afatinib.
PMID- 29793653
TI - Real-world Outcomes of Multiple Myeloma: Retrospective Analysis of the Czech
Registry of Monoclonal Gammopathies.
AB - INTRODUCTION: Real-world data on patient outcomes and treatment patterns in
multiple myeloma (MM) are limited. MATERIALS AND METHODS: The present
noninterventional, observational, retrospective analysis of prospectively
collected Czech patient medical record data from the Registry of Monoclonal
Gammopathies estimated real-world outcomes in adults with a diagnosis of
symptomatic MM made between May 2007 and June 2014. RESULTS: In total, 2446
patients had initiated first-line treatment. The median overall survival since
the diagnosis (primary endpoint) was 50.3 months (95% confidence interval, 46.1
54.5 months) and decreased with each successive treatment line. A similar trend
was observed for progression-free survival and the depth of response. In line
with European guidelines and clinical practice, bortezomib-, thalidomide-, and
lenalidomide-based regimens were most commonly used across all treatment lines
(42.3%, 28.9%, and 18.4%, respectively). In the first line, bortezomib and
thalidomide were used most often, with lenalidomide the most commonly used agent
in the relapse setting (second to fourth lines). Exploratory analyses revealed
that younger age (<= 65 years), lower international staging system stage, and
previous stem cell transplantation were associated with significant improvements
in overall and progression-free survival, especially in the early treatment
lines. CONCLUSION: The present study is the first analysis of Czech data from the
Registry of Monoclonal Gammopathies, and it provides important insights into the
real-world management of MM for physicians and healthcare providers.
PMID- 29793654
TI - Nucleotide Excision Repair Capacity and XPC and XPD Gene Polymorphism Modulate
Colorectal Cancer Risk.
AB - BACKGROUND: Colorectal cancer (CRC) is leading malignant tumors to occur mainly
in industrialized countries, where it exhibits one of the highest mortality
rates. Up to 80% of all CRCs characterize a chromosomal instability (CIN)
phenotype. The main challenge faced by scientist is to reveal the mechanism of
CIN development. An often proposed model is defects in DNA repair in terms of
efficiency and genetic variations that modulate the response to stimuli from the
environment. The objectives of this research were to determine whether nucleotide
excision repair (NER) might affect CRC risk. MATERIALS AND METHODS: The first
part of the study concerns NER efficiency. In the second part we selected 2
common single nucleotide polymorphisms within genes involved in NER (Xeroderma
pigmentosum group C (XPC) Lys939Gln, Xeroderma pigmentosum group D (XPD)
Lys751Gln) to determine the relation between them and CRC risk. The restriction
fragment length polymorphism-polymerase chain reaction method was used for
genotyping of 221 CRC patients vs. 270 cancer-free individuals. The isotopic
labeling in vitro assay was used to evaluate NER capacity in lymphocytes and
tissue protein extracts. RESULTS: We observed a significantly decreased level of
NER capacity (P = .025) in lymphocytes delivered from CRC patients compared with
healthy ones. Polymorphism screening points to higher CRC risk for the Gln939Gln
genotype (P = .02) and Gln allele (P = .002) of the XPC gene. CONCLUSION: Taken
together, our findings suggest a potential role for NER in CRC.
PMID- 29793655
TI - Introduction to the special issue on endocrine disrupting chemicals and behavior.
PMID- 29793656
TI - A case of recipient-derived pulmonary Kaposi sarcoma after bilateral lung
transplantation.
PMID- 29793657
TI - Ultrasonography of the optic nerve: An effective and easy strategy for detecting
intracranial hypertension.
PMID- 29793658
TI - Magnesium: An Important Orphan.
PMID- 29793659
TI - Magnesium Homeostasis in CKD.
PMID- 29793660
TI - Magnesium Balance and Measurement.
AB - Magnesium is an essential ion in the human body, playing an important role in
practically every major metabolic and biochemical process, supporting and
maintaining cellular processes critical for human life. Magnesium plays an
important physiological role, particularly in the brain, heart, and skeletal
muscles. As the second most abundant intracellular cation after potassium, it is
involved in over 600 enzymatic reactions including energy metabolism and protein
synthesis. Magnesium has been implicated in and used as treatment of several
diseases. Although the importance of magnesium is widely acknowledged, routine
serum magnesium levels are not routinely evaluated in clinical medicine. This
review provides a discussion as to where magnesium is stored, handled, absorbed,
and excreted. We discuss approaches for the assessment of magnesium status.
PMID- 29793661
TI - Dietary Magnesium and Chronic Disease.
AB - Although official magnesium (Mg) dietary reference intakes are open to question,
a significant number of adults likely have intakes that are in the range of 50%
99% of the requirement. This moderate or marginal (subclinical) deficient Mg
intake generally is asymptomatic. Animal studies, however, indicate that moderate
or subclinical Mg deficiency primes phagocytic cells for the release of
proinflammatory cytokines leading to chronic inflammatory and oxidative stress.
Human studies have found that dietary Mg is inversely related to serum or plasma
C-reactive protein (CRP). Individuals with apparently deficient Mg intakes have
an increased likelihood of serum or plasma CRP >=3.0 mg/L, considered an
indicator of chronic inflammatory stress that increases the risk for chronic
disease. In addition, elevated serum or plasma CRP in individuals with chronic
disease is decreased by Mg supplementation, which suggests that Mg decreases the
risk for chronic disease. The importance of dietary Mg intake on the risk for
chronic disease through affecting inflammatory and oxidative stress is supported
by numerous meta-analyses and systematic reviews that have found dietary Mg is
inversely associated with chronic diseases such hypertension, ischemic heart
disease, stroke, metabolic syndrome, diabetes, and colorectal cancer.
PMID- 29793662
TI - Magnesium Handling in the Kidney.
AB - Magnesium is a divalent cation that fills essential roles as regulator and
cofactor in a variety of biological pathways, and maintenance of magnesium
balance is vital to human health. The kidney, in concert with the intestine, has
an important role in maintaining magnesium homeostasis. Although micropuncture
and microperfusion studies in the mammalian nephron have shone a light on
magnesium handling in the various nephron segments, much of what we know about
the protein mediators of magnesium handling in the kidney have come from more
recent genetic studies. In the proximal tubule and thick ascending limb,
magnesium reabsorption is believed to occur primarily through the paracellular
shunt pathway, which ultimately depends on the electrochemical gradient setup by
active sodium reabsorption. In the distal convoluted tubule, magnesium transport
is transcellular, although magnesium reabsorption also appears to be related to
active sodium reabsorption in this segment. In addition, evidence suggests that
magnesium transport is highly regulated, although a specific hormonal regulator
of extracellular magnesium has yet to be identified.
PMID- 29793663
TI - Magnesium and Blood Pressure: A Physiology-Based Approach.
AB - Hypertension is an important public health challenge because of its high
prevalence and strong association with cardiovascular disease and premature
death. Hypertension is a major cause of CKD, is present in more than 80% of CKD
patients, and contributes to CKD progression. Risk factors for hypertension
include, but are not limited to, age, race, family history, obesity, physical
inactivity, tobacco use, and inadequate intake of minerals such as calcium,
potassium, and magnesium. Magnesium is the second most abundant intracellular
cation in the human body and plays an important role in insulin and adenosine
triphosphate metabolism. Low dietary magnesium intake has been associated with an
increased risk of developing hypertension in prospective cohort studies.
Moreover, clinical trials suggest that magnesium supplementation has blood
pressure-lowering effects. In addition, emerging data reveal potential mechanisms
by which magnesium may influence blood pressure. Here, we will review these
mechanisms, using a physiology-based approach, focusing on the effects of
magnesium on total peripheral resistance and cardiac output.
PMID- 29793664
TI - Magnesium and Cardiovascular Disease.
AB - Magnesium is the most abundant intracellular divalent cation and essential for
maintaining normal cellular physiology and metabolism, acting as a cofactor of
numerous enzymes, regulating ion channels and energy generation. In the heart,
magnesium plays a key role in modulating neuronal excitation, intracardiac
conduction, and myocardial contraction by regulating a number of ion
transporters, including potassium and calcium channels. Magnesium also has a role
in regulating vascular tone, atherogenesis and thrombosis, vascular
calcification, and proliferation and migration of endothelial and vascular smooth
muscle cells. As such, magnesium potentially has a major influence on the
pathogenesis of cardiovascular disease. As the kidney is a major regulator of
magnesium homeostasis, kidney disorders can potentially lead to both magnesium
depletion and overload, and as such increase the risk of cardiovascular disease.
Observational data have shown an association between low serum magnesium
concentrations or magnesium intake and increased atherosclerosis, coronary artery
disease, arrhythmias, and heart failure. However, major trials of supplementation
with magnesium have reported inconsistent benefits and also raised potential
adverse effects of magnesium overload. As such, there is currently no firm
recommendation for routine magnesium supplementation except when hypomagnesemia
has been proven or suspected as a cause for cardiac arrhythmias.
PMID- 29793665
TI - Oral Magnesium Supplementation and Metabolic Syndrome: A Randomized Double-Blind
Placebo-Controlled Clinical Trial.
AB - The objective of the study was to evaluate the efficacy of oral magnesium
supplementation in the improvement of metabolic syndrome (MetS) and its
components. This is a randomized double-blind, placebo-controlled clinical trial
that enrolled 198 individuals with MetS and hypomagnesemia who were randomly
allocated to receive either 30 mL of magnesium chloride 5% solution, equivalent
to 382 mg of elemental magnesium (n = 100), or placebo solution (n = 98), daily
for 16 weeks. Serum magnesium levels <1.8 mg/dL defined hypomagnesemia. At final
conditions, a total of 48 (48%) and 76 (77.5%) individuals had MetS in the
magnesium and placebo groups (P = 0.01), respectively. At baseline, percent of
individuals with 3, 4, and 5 criteria of MetS in the magnesium group were 60.0%,
37.0%, and 3.0%, respectively, and in the control group 55.1%, 35.7%, and 9.2%,
respectively. Between basal and final conditions, changes in the components of
MetS were significantly higher in the magnesium than placebo groups: -3.6 +/- 3.3
mmHg, P = 0.001 for systolic blood pressure; -5.5 +/- 1.7 mmHg, P = 0.005 for
diastolic blood pressure; -12.4 +/- 3.6 mg/dL, P < 0.005 for fasting glucose;
61.2 +/- 24 mg/dL, P = 0.003 for triglycerides; and 0.9 +/- 0.4 mg/dL, P = 0.06
for high-density lipoprotein cholesterol. Magnesium supplementation improves MetS
by reducing blood pressure, hyperglycemia, and hypertriglyceridemia.
PMID- 29793666
TI - Magnesium and Drugs Commonly Used in Chronic Kidney Disease.
AB - As with other electrolytes, magnesium homeostasis depends on the balance between
gastrointestinal absorption and kidney excretion. Certain drugs used commonly in
patients with CKD can decrease gastrointestinal ingestion and kidney reclamation,
and potentially cause hypomagnesemia. Other magnesium-containing drugs such as
laxatives and cathartics can induce hypermagnesemia, particularly in those with
impaired glomerular filtration and magnesium excretion. In this review, we will
discuss the potential magnesium complications associated with a range of commonly
encountered drugs in the care of CKD patients, discuss the potential mechanisms,
and provide basic clinical recommendations.
PMID- 29793667
TI - Magnesium and Progression of Chronic Kidney Disease: Benefits Beyond
Cardiovascular Protection?
AB - Experimental and clinical studies have demonstrated that magnesium deficiency
leads to hypertension, insulin resistance, and endothelial dysfunction, and is
associated with an increased risk of cardiovascular events. Given that
cardiovascular disease and CKD share similar risk factors, the low magnesium
status may also contribute to CKD progression. In fact, lower serum magnesium
levels and lower dietary magnesium intake are associated with an increased risk
of incident CKD and progression to end-stage kidney disease. Because these
associations are independent of traditional risk factors, other pathways might be
involved in the relationship between magnesium deficiency and the risk of CKD
progression. Recent evidence has shown that magnesium suppresses phosphate
induced vascular calcification. Magnesium impairs the crystallization of calcium
phosphate-more specifically, the maturation of calciprotein particles.
Considering that phosphate overload causes kidney damage, magnesium might
counteract the phosphate toxicity to the kidney, as in the case of vascular
calcification. This hypothesis is supported by an in vitro observation that
magnesium alleviates proximal tubular cell injury induced by high phosphate.
Potential usefulness of magnesium as a treatment option for phosphate toxicity in
CKD should be further investigated by intervention studies.
PMID- 29793668
TI - Magnesium as a Calcification Inhibitor.
AB - Vascular calcification (VC) is associated with elevated cardiovascular mortality
rates in patients with CKD. Recent clinical studies of patients with advanced CKD
have observed an association between low serum magnesium (Mg) levels on one hand
and elevated VC and cardiovascular mortality on the other. These findings have
stimulated interest in understanding Mg's impact on CKD in general and the
associated VC in particular. In vitro and preclinical in vivo data indicate that
Mg has the potential to protect vascular smooth muscle cells against
calcification via several different molecular mechanisms. Accordingly, data from
pilot interventional studies in the clinic suggest that oral Mg supplementation
reduces VC in patients with CKD. The present review provides an overview of our
current understanding of the impact of Mg on the development of VC in patients
with CKD.
PMID- 29793669
TI - Magnesium Balance in Chronic and End-Stage Kidney Disease.
AB - This article explores the effects of CKD and end-stage kidney disease on
magnesium balance. In CKD, there is decreased glomerular filtration of magnesium.
Decreased tubular reabsorption can compensate to a degree, but once CKD stage 4
is reached there is a tendency toward hypermagnesemia. In dialysis, magnesium
balance is dependent on the constituents of the dialysate that the blood is
exposed to. The concentration of dialysate magnesium is just one of the factors
that need to be considered. During transplantation, there are particular effects
of immunosuppressants that can affect the magnesium balance and need to be
considered by the clinician.
PMID- 29793670
TI - Renal Functional Reserve Revisited.
AB - Kidney function, like the function of other organs, is dynamic and continuously
adjusts to changes in the internal environment to maintain homeostasis. The
glomerular filtration rate, which serves as the primary index of kidney function
in clinical practice, increases in response to various physiological and
pathological stressors including oral protein intake. The difference between the
glomerular filtration rate in the resting state and at maximum capacity has been
termed renal functional reserve (RFR). RFR could provide additional information
on kidney health and renal function prognosis. Despite longstanding interest in
RFR as a biomarker in nephrology, its underlying mechanisms remain inadequately
understood. Moreover, no consensus has been reached on how it should be
quantified. Previous studies on RFR have used various measurement methods and
yielded heterogeneous results. A standardized and clinically feasible approach to
quantifying RFR would allow for more rigorous appraisal of its value as a
biomarker and could pave the way for adoption of "renal stress tests" into
clinical practice.
PMID- 29793671
TI - [Cardiovascular consequences of chronic kidney disease, impact of modulation of
epoxyeicosatrienoic acids].
AB - Cardiovascular events are more prevalent in chronic kidney disease than in the
general population, being the main cause of morbi-mortality. The physiopathology
explaining this association remains complex. Thus, research for new therapies to
prevent cardiovascular events in chronic kidney disease is a major issue.
Epoxyeicosatrienoic acids, products of the arachidonic acid metabolism, are
endothelium-derived hyperpolarizing factors with vasodilatory, anti-inflammatory,
thrombolytic, pro-angiogenic and anti-apoptotic properties. A decrease in the
bioavailability of epoxyeicosatrienoic acids has been observed in many
cardiovascular diseases such as hypertension, myocardial infarction or diabetes.
Moreover, human studies of genetic polymorphisms of soluble epoxide hydrolase,
the enzyme degrading epoxyeicoatrienoic acids, have shown that allelic variants
related to an increase in its activity is associated with higher risk of
cardiovascular events. Modulation of epoxyeicosatrienoic acids by soluble epoxide
hydrolase inhibitors in some cardiovascular diseases induces structural
improvements in the heart, vessels and kidneys, including decrease in
cardiomyocyte hypertrophy, reduction in cardiac and renal interstitial fibrosis,
improvement in renal hemodynamics, and prevention of endothelial dysfunction. In
this context, increasing the bioavailability of epoxyeicosatrienoic acids appears
to be an interesting therapeutic option in the prevention of cardiovascular
events related to chronic kidney disease.
PMID- 29793672
TI - [PASTIS study: Evaluation of an automated office blood pressure measurement].
AB - OBJECTIVE: The evaluation of automated office blood pressure (AOBP) measurement
compared to 24-hour ambulatory BP monitoring (ABPM), Home BP measurement and
manual BP. PATIENTS AND METHODOLOGY: A total of 123 hypertensive patients were
included. Overall, 68 completed the 4 measurement: Manual BP in the office (Omron
705 CP 3 measurements), ABPM (Spacelab of 96 measurement/per 24hours), Home BP
(18 measurement during 3 days), AOBP using the SPRINT methodology: lying patient,
isolated with an automatic measurement (Dinamap) every minutes during 8minutes
(average of the last 3 measurement). Twenty-two out of 123 patients (26%) did not
complete the Home BP measurement. RESULTS: The average of AOBP measurement using
SPRINT is 132+/-12/69+/-9mmHg, of ABPM 134+/-13/79+/-9, of Home BP: 135+/-13/70+/
13 and of manual BP: 138+/-13/72+/-11mmHg The Bland & Altman method highlight
that the AOBP, the ABPM and home BP measurement are 3 substitutable methods. The
confidence interval is smaller between the ABPM and the AOBP than with the home
BP. CONCLUSION: The automated office blood pressure, as the Home BP measurement,
can be considered a reliable substitute for the ABPM, when the later is not
accessible, and when a repeated therapeutic evaluation is needed, or when the
home BP measurement is not done. These results encourage us to use it more
frequently as the Canadian Hypertension Education Program recommend it.
PMID- 29793673
TI - [Reasons for deciding to die in hospital or at home. The vision of
professionals].
AB - OBJECTIVE: To discover the reasons for deciding to die in hospital or at home,
from the perspective of professionals involved. METHOD: Qualitative
phenomenological study. Intentional sample. Four multidisciplinary focus groups
were held, two in hospitals and two in primary care centres in Seville (Spain).
Twenty-nine professionals with at least two years experience in the care of
people with a terminal disease participated, following the theoretical saturation
of information criterion. RESULTS: Responses from the first script were gathered
in three core categories: patient and the family, professionals and care process.
Patients are generally not asked about their preferences as to where they wish to
die, and if their family is not aware of their preference, it is not possible to
carry out advanced planning of care. Families tend to choose the hospital because
of the possibility of monitoring and resources in primary care. Professionals are
trained in how to approach death, but they do not feel sufficiently prepared and
focus on the clinical and administrative issues. The care process favours
oncology patients because it is easier to identify their illness as terminal.
Resources are not equal and interlevel communication needs to be improved. The
family's involvement in the process is not facilitated, which impedes their
decision-making. CONCLUSIONS: Advance care planning and use of the advance
directive should be promoted, as well as, interlevel communication and
coordination, supply resources, especially in primary care, and professionals
should receive training on how to approach death.. The patient's family should be
involved in the care process and provided the necessary support.
PMID- 29793674
TI - Early Identification and Management of Sepsis in Nursing Facilities: Challenges
and Opportunities.
PMID- 29793675
TI - Frailty Defined by FRAIL Scale as a Predictor of Mortality: A Systematic Review
and Meta-analysis.
AB - OBJECTIVES: To conduct a systematic review of the literature on prospective
cohort studies examining mortality risk according to frailty defined by FRAIL
scale, and to perform a meta-analysis to synthesize the pooled risk estimates.
DESIGN: Systematic review and meta-analysis. SETTING: Embase, Scopus, MEDLINE,
CINAHL, and PsycINFO were systematically searched in March 2018. References of
included studies were reviewed and a forward citation tracking was performed on
relevant review papers for additional studies. Additional data necessary for a
meta-analysis were requested from corresponding authors. PARTICIPANTS: Community
dwelling middle-aged and older adults. MEASUREMENTS: Mortality risk due to
frailty as defined by the FRAIL scale. RESULTS: After removing duplicates, there
are 81 citations for title, abstract, and full-text screening. Eight studies were
included in this review. Four studies calculated the area under the receiver
operating characteristic curve, which ranged from 0.54 to 0.70. A random-effects
meta-analysis was conducted on 3 studies that provided adjusted hazard ratios
(HRs) of mortality risk according to 3 frailty groups (robust, prefrail, and
frail) defined by FRAIL scale. Both frailty and prefrailty were significantly
associated with higher mortality risk than robustness [pooled HR = 3.53, 95%
confidence interval (CI) = 1.66-7.49, P = .001; pooled HR = 1.75, 95% CI = 1.14
2.70, P = .01, respectively]. No evidence of publication bias was observed.
CONCLUSION: This study demonstrated that FRAIL scale is a tool that can
effectively identify frailty/prefrailty status, as well as quantify frailty
status in a graded manner in relation to mortality risk. Although its feasibility
is of note, not many studies are yet using this relatively new tool. More studies
are warranted regarding mortality and other health outcomes.
PMID- 29793676
TI - Introduction: Management of infected aortic pathology.
PMID- 29793677
TI - Efficacy of cord blood platelet gel application for enhancing diabetic foot ulcer
healing after lower limb revascularization.
AB - The efficacy of umbilical cord blood platelet gel (CBPG) application on healing
foot ulcers was analyzed in 10 diabetic patients treated for critical lower limb
ischemia by surgical or endovascular arterial revascularization. During a 9-month
period, 20 diabetic patients affected by critical lower limb ischemia with tissue
loss were enrolled in this nonblinded, consecutive series, randomized clinical
trial. After clinical evaluation, patients underwent endovascular or surgical
revascularization of the affected limb, followed by minor amputations or surgical
debridement of ischemic lesions. Patients were then randomly divided into two
groups: 10 patients in Group A treated with standard wound care and 10 patients
in Group B treated with topic application of CBPG. The CBPG protocol consisted of
platelet gel application twice a week for 4 weeks and then once a week for an
additional 4 weeks. Healing was assessed by direct ulcer dimension tracing onto
clear plastic sheet and subsequent computerized planimetry. The mean pretreatment
and post-treatment ulcer areas at 30 days for Group A were 15.1 cm2 and 8.1 cm2,
respectively, and for Group B were 15.7 cm2 and 3.25 cm2, respectively; resulting
in a mean ulcer area reduction of 46% for Group A and 79% for Group B patients (P
< .01). These observations suggest CBPG application can promote more rapid wound
healing than standard care, and indicate the need for a randomized, multicenter
trial to confirm clinical efficacy.
PMID- 29793678
TI - Idiopathic lobular granulomatous mastitis: radiological management in two cases.
PMID- 29793680
TI - Problems, perceptions and actions: An interdependent process for generating
informal social control.
AB - Using two waves of survey data for residents in neighborhoods in Brisbane, this
study explores the interdependent relationship between residents' perceptions of
neighboring, cohesion, collective efficacy, neighborhood disorder, and the
actions they take to address these problems. Our longitudinal results show that
residents' perceived severity of a problem helps explain engaging in activity to
address the problem. People loitering appeared to be the most galvanizing problem
for residents, but had particularly deleterious effects on perceptions of
cohesion and collective efficacy. We also find that residents who perceive more
neighboring in their local area engage in more public and parochial social
control activity and residents who live in collectively efficacious neighborhoods
are more likely to engage in parochial social control action. Furthermore,
residents who themselves perceive more collective efficacy in the neighborhood
engage in more parochial or public social control during the subsequent time
period. Importantly, we find strong evidence that residents update their sense of
collective efficacy. Perceiving more problems in the neighborhood, and perceiving
that these problems are increasing, reduced perceptions of neighboring and
collective efficacy over time.
PMID- 29793679
TI - Ecologically unequal exchange, recessions, and climate change: A longitudinal
study.
AB - This study investigates how the ecologically unequal exchange of carbon dioxide
emissions varies with economic recessions. I propose a country-specific approach
to examine (1) the relationship between carbon dioxide emissions in developing
countries and the "vertical flow" of exports to the United States; and (2) the
variations of the relationship before, during, and after two recent economic
recessions in 2001 and 2008. Using data on 69 developing nations between 2000 and
2010, I estimate time-series cross-sectional regression models with two-way fixed
effects. Results suggest that the vertical flow of exports to the United States
is positively associated with carbon dioxide emissions in developing countries.
The magnitude of this relationship increased in 2001, 2009, and 2010, and
decreased in 2008, but remained stable in non-recession periods, suggesting that
economic recessions in the United States are associated with variations of
ecologically unequal exchange. Results highlight the impacts of U.S. recessions
on carbon emissions in developing countries through the structure of
international trade.
PMID- 29793681
TI - Does community social embeddedness promote generalized trust? An experimental
test of the spillover effect.
AB - Despite the theoretical relevance attributed to the spillover effect, little
empirical research has focused on testing its causal validity. Addressing this
gap in the literature, I propose a novel experimental design to test if the
overall density of social links in a community promotes trustworthy and trusting
behaviors with absolute strangers. Controlling for social integration (i.e. the
individual number of social connections), I found that density fosters higher
levels of trust. In particular, results show that people in denser communities
are more likely to trust their unknown fellow citizens, encouraging isolated
subjects to engage with strangers. However, evidence did not support the idea
that community social embeddedness causes an increase of trustworthiness,
indicating that the spillover effect works only with respect to trust.
PMID- 29793682
TI - Migration and protest in the Jim Crow South.
AB - The Great Migration and the Civil Rights Movement were two pivotal events
experienced by the southern African American population during the 20th Century.
Each has received considerable attention by social scientists and historians, and
a possible connection between the two phenomena has been speculated. However, no
systematic investigation of the effect of migration on protest during the Jim
Crow era has been conducted. In this study we use data for 333 southern
communities to examine the relationship between youthful black migration between
1950 and 1960 and the occurrence of sit-ins early in 1960. We find a strong
positive, non-linear, relationship between net-migration and the likelihood of a
sit-in which can be explained by two sets of mediating influences: local
demographic conditions and local organizational presence. Our findings offer
strong empirical support for an association between southern black migration and
protest during Jim Crow and suggest the value of considering the influence of
demographic forces on collective action.
PMID- 29793683
TI - Mixed-race couples, residential mobility, and neighborhood poverty.
AB - Despite substantial growth in mixed-race coupling, we know little about their
association with neighborhood poverty. To address this gap, I utilize data from
the Panel Study of Income Dynamics linked to information from four censuses. With
these data, I assess the extent to which mixed-race couples are more likely than
monoracial couples to migrate in response to higher percentages of neighborhood
poverty; and, once they move, I examine the percentage poverty in their
destination neighborhoods. I find that most mixed-race couples are similar to
white couples in their out-mobility responses to neighborhood poverty. However,
when mixed-race couples with black partners migrate they tend to move to
neighborhoods with higher poverty concentrations than couples without a black
partner. Mixed-race couples without black partners experience similar percentages
of poverty in their destination neighborhoods as whites, providing further
evidence of the profound impact of black race on residential stratification.
PMID- 29793684
TI - From the West Indies to Africa: A universal generational decline in health among
blacks in the United States.
AB - Research shows that foreign-born blacks have better health profiles than their
U.S.-born counterparts. Less is known, however, regarding whether black
immigrants' favorable health outcomes persist across generations or whether these
patterns differ across the diverse sending regions for black immigrants. In this
study, we use data from the 1996-2014 waves of the March Current Population
Survey (CPS) to investigate generational differences in self-rated health among
blacks with West Indian, Haitian, Latin American, and African ancestry. We show
that first-generation black immigrants have a lower probability of reporting
fair/poor health than third/higher generation blacks. The health advantage of the
first generation over the third/higher generation is slightly more prounced among
the foreign-born who migrated to the United States after age 13. Second
generation immigrants with two foreign-born parents are generally less likely to
report their health as fair/poor than the third/higher generation. However, we
find no evidence that self-reported fair/poor health varies between second
generation immigrants with mixed nativity parents (only one foreign-born parent)
and the third/higher generation. These general patterns hold across each of the
ancestral subgroups in the study sample. In summary, our findings highlight a
remarkable convergence in health across immigrant generations among blacks in the
United States.
PMID- 29793685
TI - Trajectories of marijuana use and the transition to adulthood.
AB - Alongside the rise of emerging adulthood, policy contexts for marijuana have
rapidly changed, with increases in availability and the number of daily users. We
identify heterogeneous pathways of marijuana use from age 16 to 26, and examine
how these pathways differentiate adult role transitions by age 28. Latent class
analyses identified five trajectories: abstainers, dabblers, consistent users,
early heavy quitters, and persistent heavy users. Dabblers are no different from
abstainers on educational and labor market outcomes, and both have higher odds of
adult role transitions relative to heavier use classes. Dabblers differ from
abstainers on certain family transitions, yet remain distinct from the heavier
use classes. Besides parenthood, early heavy quitters and persistent heavy users
are similar, suggesting that heavy use is particularly detrimental early during
transitions to adulthood. Distinct trajectories of marijuana use may
differentiate young people into divergent pathways of transitions to adulthood,
which may have long-term implications.
PMID- 29793686
TI - Applying the matching law as micro-foundation of social phenomena.
AB - Social phenomena are suggested to be explained by the matching law - an empirical
regularity of individual behaviour. While a considerable amount of psychological
research on this law exists, only a few sociological applications can be found.
This paper points to the problems that come with its usage as micro-foundation of
social behaviour and provides solutions. In particular, a model of melioration
learning enables the derivation of social phenomena from the matching law. The
proposed approach is illustrated by the application of the learning model to the
volunteer's dilemma. In contrast to game-theoretical solutions, the matching law
leads to more intuitive results in case of the asymmetric dilemma. The
relationship between the matching law and utility maximisation is discussed by
its integration into economic consumer theory.
PMID- 29793687
TI - How to study political activists: A petition survey approach.
AB - A major challenge for social movement and political campaign studies is
generating large, representative samples of political activists. This paper
outlines a strategy of surveying those who participate in a common, baseline form
of political action: signing petitions. Similar to protest surveys, signing a
petition constitutes a more baseline low cost/low risk form of political
activism. In 26 states in the U.S. petition lists are public record and, with
modest effort, can be used to study a wide variety of issues, groups and
campaigns. We outline the steps and costs involved in such a petition survey and
how to improve response rate. To assess response bias, we compare demographic and
political affiliation measures acquired from a marketing analytics company
(Experian) for respondents and non-respondents to our survey of petition signers
for two state-level initiative elections, finding only modest and interpretable
response bias. The methods presented here have broader implications for survey
research in general.
PMID- 29793688
TI - New options for national population surveys: The implications of internet and
smartphone coverage.
AB - Challenges to survey data collection have increased the costs of social research
via face-to-face surveys so much that it may become extremely difficult for
social scientists to continue using these methods. A key drawback to less
expensive Internet-based alternatives is the threat of biased results from
coverage errors in survey data. The rise of Internet-enabled smartphones presents
an opportunity to re-examine the issue of Internet coverage for surveys and its
implications for coverage bias. Two questions (on Internet access and smartphone
ownership) were added to the National Survey of Family Growth (NSFG), a U.S.
national probability survey of women and men age 15-44, using a continuous sample
design. We examine 16 quarters (4 years) of data, from September 2012 to August
2016. Overall, we estimate that 82.9% of the target NSFG population has Internet
access, and 81.6% has a smartphone. Combined, this means that about 90.7% of U.S.
residents age 15-44 have Internet access, via either traditional devices or a
smartphone. We find some evidence of compensatory coverage when looking at key
race/ethnicity and age subgroups. For instance, while Black teens (15-18) have
the lowest estimated rate of Internet access (81.9%) and the lowest rate of
smartphone usage (72.6%), an estimated 88.0% of this subgroup has some form of
Internet access. We also examine the socio-demographic correlates of Internet and
smartphone coverage, separately and combined, as indicators of technology access
in this population. In addition, we look at the effect of differential coverage
on key estimates produced by the NSFG, related to fertility, family formation,
and sexual activity. While this does not address nonresponse or measurement
biases that may differ for alternative modes, our paper has implications for
possible coverage biases that may arise when switching to a Web-based mode of
data collection, either for follow-up surveys or to replace the main face-to-face
data collection.
PMID- 29793689
TI - Corrigendum to "Market transformation and the opportunity structure for gender
inequality: A cohort analysis using linked employer-employee data from Slovenia"
[Soc. Sci. Res. 67 (2017) 14-33].
PMID- 29793690
TI - Competitive threat and temporal change in anti-immigrant sentiment: Insights from
a hierarchical age-period-cohort model.
AB - The study focuses on over-time change in anti-immigrant attitudes across European
societies and on the role played by cohorts in producing the change in attitudes.
We assembled data from four waves of the European Social Surveys for 14 countries
between 2002 and 2014. The data analysis is conducted within the framework of a
hierarchical age-period-cohort model (HAPC) to estimate the dynamic relations
between anti-immigrant sentiment and country's social and economic conditions,
while taking into consideration variations across individuals and birth-cohorts.
The analysis lends support to expectations derived from the 'competitive threat'
theoretical model. The findings show that a higher share of non-European ethnic
minorities in the country's population is associated with a higher level of ant
immigrant attitudes. Anti-immigrant sentiment was found to be more pronounced in
the 'old immigration countries' than in the 'new immigration countries.' The
impact of economic conditions on anti-immigrant sentiment becomes evident through
the effect of cohort in the 'new immigration countries': cohorts that entered the
labor market when the unemployment rate was high are likely to hold more negative
attitudes toward immigrants.
PMID- 29793691
TI - Is there really such thing as immigrant spatial assimilation in France?
Desegregation trends and inequality along ethnoracial lines.
AB - This article describes patterns of ethnoracial and socioeconomic neighborhood
attainment among North African, sub-Saharan African, and South European
immigrants in France. We use French data from Trajectories and Origins to
document the effects of assimilation variables such as immigrant generation, age
at migration, parental age at migration, mixed ascendance, and socioeconomic
status that are rarely available in large scale surveys. A simultaneous equation
design is used to show patterns in ethnoracial and socioeconomic desegregation
across groups and the contrasting ways in which these outcomes overlap. The
findings highlight the weak impact of assimilation variables in accounting for
spatial trajectories compared to the predominance of ethnoracial group, and
document a higher risk of cumulative spatial disadvantage among North Africans
and sub-Saharan Africans. The conclusion discusses the implications of these
findings for understanding the ethnoracial dimensions of socio-spatial
stratification in France.
PMID- 29793692
TI - Partner selection and social capital in the status attainment process.
AB - Why is individual success so strongly affected by parental socioeconomic status?
We argue that parental socioeconomic status affects the socioeconomic status of
one's romantic partner, thereby partially determining one's own social capital
and socioeconomic status. Censored-inflated structural equation models using data
from the NEtherlands Longitudinal Lifecourse Study (NELLS) and British Household
Panel Survey (BHPS) confirm these relationships, while cross-lagged analyses
suggest that they may be causal in nature. The strong tendency for people to have
a romantic partner that reflects their socioeconomic origin shows how far the
influence of the parental home stretches and why it does so.
PMID- 29793693
TI - Racial variation in the effect of motherhood on women's employment: Temporary or
enduring effect?
AB - Part of the motherhood wage penalty results from mothers' loss of work
experience, yet little research has investigated whether this loss is temporary
or accumulates over time. Using growth curve models and data from the National
Longitudinal Study of Youth (1979), I examine the extent to which motherhood
reduces work experience over the life course among White, Black, and Hispanic
women. Results indicate that motherhood slows the accretion of experience in full
time work for all racial-ethnic groups, having an enduring effect on women's
employment. The effect is stronger among Whites and mothers with two or more
children, remaining sizeable as women approach retirement age. By age 50, White
and Hispanic mothers with two or more children exhibit between two to seven fewer
years of experience in full-time employment. Among Blacks, only mothers with
three or more children experience a significant reduction, averaging five fewer
years of experience in full-time work.
PMID- 29793694
TI - Trust and contact in diverse neighbourhoods: An interplay of four ethnicity
effects.
AB - Ethnically diverse neighbourhoods are generally less cohesive. A negative
relationship between neighbourhood diversity and social cohesion is, however,
neither a necessary nor a sufficient condition to conclude that neighbourhood
diversity erodes intra-neighbourhood cohesion. This contribution shows - by using
data collected during the second wave of the NEtherlands Longitudinal Lifecourse
Study (NELLS) - that: (1) members of ethnic minority groups are more likely to
report having contact with and trust their immediate neighbours than natives (ego
ethnicity effect); (2) minority group residents are less likely to be contacted
and trusted by their neighbours (alter ethnicity effect) and (3) all ethnic
groups prefer to mix with coethnics (dyad ethnicity effect). Once we control for
these three ethnic composition effects at the ego, alter and dyad-level,
neighbourhood ethnic diversity is no longer related to less contact between
neighbours. Previously identified negative relationships between neighbourhood
diversity and cohesion should therefore be re-evaluated, as they may be the
consequence of ethnic composition effects instead of a true neighbourhood
diversity effect.
PMID- 29793695
TI - Efficacy of fibrin-sealants in reducing biliary leakage following laparoscopic
common bile duct exploration.
AB - INTRODUCTION: In spite of the acquired experience with laparoscopic common bile
duct exploration (LCBDE) for choledocholithiasis management, there is still a
risk of biliary leakage of 5% to 15% following choledochotomy closure. We
evaluate the usefulness of fibrin-collagen sealants to reduce the incidence of
biliary fistula after laparoscopic choledochorrhaphy. METHODS: We report a
retrospective analysis of 96 patients undergoing LCBDE from March 2009 to March
2017, whose closure of the bile duct was completed by antegrade stenting and
choledochorraphy or by performing a primary suture. The study population was
divided into two groups according to whether they received a collagen-fibrin
sealant covering the choledochorrhaphy or not, analyzing the incidence of
postoperative biliary fistula in each group. RESULTS: Thirty-nine patients (41%)
received a fibrin-collagen sponge while the bile duct closure was not covered in
the remaining 57 patients (59%). The incidence of biliary fistula was 7.7% (3
patients) in the first group and 14% (8 patients) in the second group (P=.338).
In patients who underwent primary choledochorraphy, the fibrin-collagen sealant
reduced the incidence of biliary leakage significantly (4.5% vs. 33%, P=.020),
which was a protective factor with an odds ratio of 10.5. CONCLUSION: Fibrin
collagen sealants may decrease the incidence of biliary fistula in patients who
have undergone primary bile duct closure following LCBDE.
PMID- 29793696
TI - Recommendation of use of checklists in tibial intramedullary nail removal:
Retrospective study of mechanical complications related to nail removal.
AB - BACKGROUND: The removal of implants such as intramedullary nails is one of the
most common operations in orthopedic surgery. The indications for orthopedic
implants removal will always remain a subject of conversation and hardly
supported by literature. The aim of this study to report injuries of treatment in
tibial nail removal and to determine if there are fracture characteristics,
patient demographics, or surgical details that may predict a complication.
METHODS: This is a retrospective seven-year (2010-2016) study including a total
of 389 tibial intramedullary nail removals at the Helsinki University Hospital's
orthopedic unit. Patients with tibial fracture and removal of intramedullary nail
were identified from the hospital discharge register and analyzed. RESULTS: A
total of 21 (5,4%) nail removal related mechanical complications (iatrogenic
fractures, nerve injuries, failures to remove the nail) were noted. The most
common complication was iatrogenic fracture (n = 15, 3,8%). In 6/15 cases the
fracture was caused by broken interlocking screws, In 5/15 cases the iatrogenic
fracture was caused accidentally by extracting the nail without prior removal of
all distal interlocking screws. In one case, new condensed bone had formed around
the nail's distal end and case the forced nail extraction caused a re-fracture in
both tibia and fibula. CONCLUSION: Nail removal can be a challenging operation
which does not always receive the necessary preoperative planning or operative
expertise. Iatrogenic fractures were most often caused by inadequate preoperative
planning or assuming that a broken interlocking screw tilts during the
extraction. We suggest the use of checklists in preoperative planning to avoid
fractures caused by broken or undetected interlocking screws.
PMID- 29793697
TI - Introduction to health services research database papers.
PMID- 29793698
TI - Effect of Ultrasound-guided Nerve Block With 0.75% Ropivacaine at the Mid-forearm
on the Prevalence of Moderate to Severe Pain After Hand Surgery.
AB - PURPOSE: This study tested the hypothesis that ultrasound-guided mid-forearm
nerve block with 0.75% ropivacaine reduces the prevalence of moderate to severe
pain after wrist and hand surgery, and provides prolonged postoperative analgesia
with minimal motor blockade. METHODS: Thirty patients undergoing elective wrist
and hand surgery were randomly assigned to 1 of 2 groups: group R (n = 15) and
group NS (n = 15). We combined an ultrasound-guided supraclavicular brachial
plexus block with mid-forearm median, radial, and ulnar nerve block in all
patients. The supraclavicular brachial plexus was blocked with 20 mL of 1.5%
lidocaine, and the mid-forearm nerves were blocked with 15 mL of either 0.75%
ropivacaine (group R) or normal saline (5 mL each nerve) (group NS). A blinded
observer provided a numeric rating pain score at 1, 2, 6, 12, 24, and 48 hours
after surgery. The durations of sensory and motor blockade, patient satisfaction,
morphine requirement for postoperative pain rescue, and adverse events were
recorded. FINDINGS: The prevalence of moderate to severe pain in group R was
significantly lower than that in group NS (33% vs 86%; P = 0.008). The highest
mean (SD) numeric rating pain score (worst pain) in group R was lower than that
in group NS (2.7 [1.9] vs 5.6 [2.9]; P = 0.004), and the median (Q1, Q3) amount
of morphine required for postoperative pain rescue in group R was lower than that
in group NS (0 [0, 6] vs 8 [6, 10]; P = 0.001]. Additionally, there were no
differences in the durations of motor blockade between the 2 groups.
IMPLICATIONS: Based on the findings from this study, ultrasound-guided mid
forearm nerve block with 0.75% ropivacaine significantly reduces the prevalence
of moderate to severe pain after wrist and hand surgery, provides long-term
postoperative analgesia, and facilitates the return of motor function in the
upper limb. Chinese Clinical Trial Registry identifier: ChiCTR-IOR-15007278
(October 2015).
PMID- 29793699
TI - An advanced pharmacy practice experience in academia: More benefit than burden!
AB - INTRODUCTION: Within the curriculum, students gain exposure to careers in
community, ambulatory, and institutional pharmacy. Students may not consider
alternative options, like academia. Perceived barriers to incorporation by
faculty may limit opportunities for students. The following commentary describes
one student and faculty member's experience with an academic advanced pharmacy
practice experience (APPE), and offers suggestions for overcoming potential
barriers to implementation. PERSPECTIVE - STUDENT: During my rotation, I learned
just how much hard work and dedication goes into teaching. Before this rotation I
didn't have a good sense of the responsibilities and requirements of a faculty
member. My goals were to participate in as many activities my preceptor could
allow me to be a part of. I wanted to get a true idea of what her daily demands
were. Because of this rotation, I have a better understanding of the challenges
and rewards of a career in academia, and it has solidified my interest in
teaching. PERSPECTIVE - FACULTY: I'll admit that taking on an academic APPE
student during my busiest term made me think twice. To assure a smooth rotation,
I spent a lot of time in the planning phase which allowed the student and I to
communicate effectively from day one. Outlining my expectations while ensuring I
had a good understanding of my student's objectives for the rotation made sure we
had a successful rotation. CONCLUSION: Elective APPEs are the perfect time for
students to explore a career in academia. Faculty are well positioned to offer
rotations to promote interest in academia that may be mutually beneficial.
PMID- 29793700
TI - Perceptions of organizational culture and organizational citizenship by faculty
in U.S. colleges and schools of pharmacy.
AB - OBJECTIVES: (1) Describe perceptions of organizational culture and prevalence of
organizational citizenship behaviors (OCBs) among faculty at United States (U.S.)
colleges/schools of pharmacy; (2) determine which aspects of those phenomena are
strongest and which are most problematic; (3) evaluate the psychometric
properties of measures for organizational culture and OCBs in academic pharmacy;
and (4) identify any relationships between organizational culture and
organizational citizenship among academic pharmacy faculty. METHODS: A random
sample of 600 U.S. academic pharmacists acquired from the American Association of
Colleges of Pharmacy were distributed an email survey through the use of
Qualtrics technology. The procedures closely resembled the Total Design Method
advocated to maximize survey response, including use of a pre-notification
letter, reminders, and a nominal financial inducement. In addition to demographic
questions, the survey employed multiple-item measures of organizational culture
and OCBs described previously in the literature and derived from Delphi consensus
building procedures. The analysis plan incorporated use of factor and item
analyses to evaluate psychometric properties of the measure and elicit the
inherent domains comprising these phenomena, along with descriptive statistics to
describe facets of organizational culture and OCBs that were most prevalent.
RESULTS: A total of 177 responses were delivered. Factor analysis of
organizational culture revealed a five-factor solution emphasizing achievement
orientation, professionalism, stability, supportiveness, and reflectiveness. OCB
domains were along the possibility of faculty being virtuous, disrespectful,
sportsmanlike, and benevolent/malevolent. Even while multi-faceted and avoiding a
simple typological descriptor, academic pharmacy cultures were reportedly
healthy. Sportsmanship, while still somewhat commonly observed, was seen less
frequently than other behaviors. The measures demonstrated logical, cogent factor
structures and excellent internal consistency reliability. CONCLUSIONS:
Psychometrically well-performing measures were used to assess the multi-faceted
organizational culture of academic pharmacy programs and the organizational
citizenship behaviors of its constituent faculty. The results can be used to
measure these phenomena at individual organizations for benchmarking and to
inform future inquiries that can assist with development of strategies that
impact academic worklife and outcomes.
PMID- 29793701
TI - Determinants of health-related quality of life in international graduate
students.
AB - INTRODUCTION: International graduate students often experience additional levels
of stress due to acculturation. Given the impact of stress on health outcomes
(both physical and mental), this study examined the health-related quality of
life (HRQoL) in international graduate students to determine its association with
acculturative stress, perceived stress, and use of coping mechanisms. METHODS: A
cross-sectional, self-administered survey was designed and sent to 38 student
chapters within the International Society for Pharmacoeconomics and Outcomes
Research (ISPOR) student network. HRQoL [physical component summary (PCS) and
mental component summary (MCS)] was measured using the 12-item Short Form (SF-12)
while coping mechanisms were assessed using the Brief COPE Scale. Acculturative
and perceived stress were assessed using the Acculturative Stress Scale for
International students [ASSIS] and Graduate Stress Inventory-Revised (GSI-R),
respectively. Demographic and personal information (e.g. age, religion) were also
collected. Descriptive statistics (mean +/- SD and frequency) and hierarchical
multiple regression analysis were conducted. RESULTS: The average PCS and MCS
were 60 +/- 9 and 44 +/- 13, respectively, indicating that while the physical
health was above the United States (US) general population norm (50), mental
health scores were lower. Findings from the hierarchical multiple regression
showed that perceived and acculturative stress significantly predicted mental
health. Acculturative stress was also a significant predictor of physical health.
CONCLUSION: The results from this study support the hypothesis that international
students in the US experience both perceived and acculturative stress that
significantly impacts their HRQoL. Universities should consider providing
education on stress reduction techniques to improve the health of international
graduate students.
PMID- 29793702
TI - Overcoming pitfalls: Results from a mandatory peer review process for written
examinations.
AB - PROBLEM DESCRIPTION: Written assessments are essential components of higher
education practices. However, faculty members encounter common pitfalls when
designing questions intended to evaluate student-learning outcomes. The objective
of this project was to determine the impact of a mandatory examination peer
review process on question accuracy, alignment with learning objectives, use of
best practices in question design, and language/grammar. QUALITY IMPROVEMENT
METHODS: A mandatory peer review process was implemented for all midterm (before
phase) and final (after phase) examinations. Peer review occurred by two
reviewers and followed a pre-defined guidance document. Non-punitive feedback
given to faculty members served as the intervention. Frequencies of flagged
questions according to guidance categories were compared between phases. RESULTS
OF CQI INQUIRY: A total of 21 midterm and 21 final exam reviews were included in
the analysis. A total of 637 questions were reviewed across all midterms and 1003
questions were reviewed across all finals. Few questions were flagged for
accuracy and alignment with learning outcomes. The median total proportion of
questions flagged for best practices was significantly lower for final exams
versus midterm exams (15.8 vs. 6.45%, p = 0.014). The intervention did not
influence language and grammar errors (9.68 vs. 10.0% of questions flagged before
and after, respectively, p = 0.305). CONCLUSIONS: A non-punitive peer review
process for written examinations can overcome pitfalls in exam creation and
improve best practices in question writing. The peer-review process had a
substantial effect at flagging language/grammar errors but error rate did not
differ between midterm and final exams.
PMID- 29793703
TI - Factors associated with mentor satisfaction among teaching and learning
curriculum program participants.
AB - OBJECTIVES: To evaluate participants' satisfaction with their teaching mentor
relationship in a teaching and learning curriculum (TLC) and determine
characteristics that are associated with high mentee satisfaction. METHODS: A 31
item survey instrument was administered to all 2015-2016 participants of the
Teaching Certificate Program. RESULTS: Seventy percent of program participants (n
= 60/86) responded to the survey. Overall, 80% of program participants were
satisfied or very satisfied with their mentor relationship. Characteristics
associated with participants reporting that they were very satisfied with their
teaching mentor relationship included mentor availability for face-to-face
contact and affiliation with the same institution. Mentor actions associated with
high mentee satisfaction included reviewing lecture slides, providing midpoint
feedback, providing career advice, and attending mentee lectures. CONCLUSIONS:
Teaching certificate program participants perceive increased mentor accessibility
and frequent interaction as key factors to a satisfying mentor-mentee
relationship. Optimizing and standardizing mentorship programs are essential to
the success of postgraduate teaching curriculums.
PMID- 29793704
TI - Specialization within pharmacy education: A survey of curricular track or
concentration offerings.
AB - INTRODUCTION: The purpose of this national survey was to determine what
constitutes a curricular track or concentration within colleges and schools of
pharmacy. Additionally, for programs not currently offering curricular tracks or
concentrations, this survey sought to identify barriers to implementation.
METHODS: A survey instrument was developed and piloted. It was distributed
electronically via SurveyMonkey to members of the American Association of
Colleges of Pharmacy (AACP) Curriculum Special Interest Group (SIG) contact list,
along with academic affairs contacts for the balance of programs not included on
the SIG contact list, as obtained via a targeted website search. RESULTS: Sixty
five of 134 programs responded to the survey (48.5%). Sixteen programs currently
offer 38 curricular tracks or concentrations. On average, tracks or
concentrations contained 10.6 didactic credits, with 4.6 elective and six
required didactic credits; 0.7 introductory pharmacy practice experience (IPPE)
credits; and 5.3 advanced pharmacy practice experience (APPE) credits, with 2.8
elective and 2.5 required APPE credits. Most tracks did not require a summative
project (n = 13), while some required completion of a project individualized by
interest/needs (n = 7). Forty-nine programs do not currently offer curricular
tracks, most frequently due to logistics of faculty, oversight, or the curriculum
structure. Of these programs not currently offering curricular tracks or
concentrations, 38.8% are currently considering implementation. CONCLUSION:
Existing tracks or concentrations are highly variable in their composition. Many
colleges and schools of pharmacy are considering implementation of curricular
tracks or concentrations; this report provides guidance for appropriate rigor and
development considerations.
PMID- 29793705
TI - Influencing the future of rural-focused pharmacy education: Identifying factors
pertinent to pharmacy practice in rural health environments.
AB - INTRODUCTION: To identify themes regarding the skills used on a regular basis by
pharmacists practicing in rural areas. METHODS: A cross-sectional qualitative
survey was administered to pharmacists working in a non-clinical capacity in
rural community and hospital practice. Pharmacists were identified in conjunction
with departments of experiential education, boards of pharmacy, and other rural
health experts. Contacts were interviewed using a semi-structured approach with
thematic saturation determining the number of interviews. Themes were identified
by reviewing interview notes and transcripts for repeated phrases, concepts, and
ideas then compared with the literature. RESULTS: Fifteen pharmacists practicing
in rural areas were interviewed. Themes related to practice environment, patient
population, skills used by rural pharmacists, preparation of students, and
continuing education needs were identified. Many of the identified themes are
corroborated by published literature. One pharmacy-specific theme not
corroborated was "pharmacy specialization is not helpful". DISCUSSION AND
CONCLUSIONS: The results of this study coupled with data from rural medical
education may be useful for educators developing rural-focused coursework via
reverse design.
PMID- 29793707
TI - Knowledge and perceptions toward cardiology pharmacy education and training:
Malaysian pharmacy students' perspectives.
AB - INTRODUCTION: The role of pharmacists in the patient care process is developing
in the Malaysian healthcare setting. Pharmacy students are required to be aware
of cardiology pharmacy practice as this is one of the top national disease
burdens in Malaysia. This study was conducted to assess the knowledge and
perceptions of pharmacy students toward the cardiology pharmacy specialty
practice. METHODS: A descriptive cross-sectional study was conducted. The study
instrument was a 31-item self-developed questionnaire. The target participants
were third and fourth-year pharmacy students in one of the public Malaysian
pharmacy schools. RESULTS: The overall response rate was 174/209 students
(83.3%); 42 (24.1%) were male and 132 (75.9%) were female. The majority of
students possessed a sound knowledge regarding cardiology pharmacy services and
roles of the cardiology pharmacist. Nevertheless, important differences were
noted between the participants in a few particular areas. These included prior
familiarity with the term "cardiology pharmacy" (p = 0.032), limitations to the
active participation of pharmacists in the cardiology unit in Malaysia (p =
0.013), and perceptions toward the necessity of a cardiology pharmacist to the
Malaysian healthcare system (p = 0.005). CONCLUSIONS: Overall, the pharmacy
students in our sample have high knowledge of and positive perceptions toward
cardiology pharmacy practice. The majority of students perceived the introduction
of cardiology pharmacy as a stand-alone subject in the undergraduate pharmacy
curriculum in a positive manner. This study may be considered as a starting point
for Malaysian pharmacy schools to consider offering focused clinical learning
aligned with both the nation's health priorities and the prospective specialty
level of clinical pharmacy practice in the country.
PMID- 29793706
TI - Evaluating communication skills after long-term practical training among Japanese
pharmacy students.
AB - INTRODUCTION: The goal of this study was to assess pharmacy students'
satisfaction with long-term practical training programs at hospital and community
pharmacies and how these programs benefitted communication skills. METHODS: We
asked 83 fifth-year pharmacy students to answer anonymous questionnaires
assessing their satisfaction and perceived benefits of practical training and to
complete Teramachi's Pharmacist Communication Skill Scale (TePSS-31), a measure
of pharmacists' communication skills, after undergoing their practical training
periods at hospital and community pharmacies in 2014. RESULTS: Over 90% of
students who underwent the practical training were satisfied with their
experiences. Furthermore, they reported that practical training institution was
helpful for improving their communication skills and gave them sufficient
opportunity to interact with consulting patients, engage in role play with
pharmacists or peers, and observe interactions between pharmacists and patients.
Overall, over 80% of students felt that they had shown improvement in
communication skills, indicating that the training was effective. We further
reconfirmed that the TePSS-31 has good internal consistency. The total scores on
the TePSS-31 after the hospital and community pharmacy training programs did not
significantly differ, indicating that the place where the training was received
did not influence students' acquisition of communication skills. CONCLUSIONS:
Most students were satisfied with the long-term practical training at hospital
and community pharmacies, and the training helped improve their communication
skills for dealing with patients and coworkers.
PMID- 29793708
TI - Integration of transgender care into a pharmacy therapeutics curriculum.
AB - BACKGROUND AND PURPOSE: To examine the impact of a lecture on transgender health
given during a special populations therapeutics course on third-year (P3)
pharmacy students' knowledge and confidence of transgender care. EDUCATIONAL
ACTIVITY AND SETTING: A two-hour lecture that included both cultural sensitivity
and pharmacotherapy aspects of care for transgender individuals was added to a
required two-credit therapeutics series offered at the end of the P3 year of a
doctor of pharmacy curriculum. Following the lecture, students completed a 17
item knowledge-based survey and ranked their confidence with each answer on a 5
point scale. Students in the fourth-year (P4) class, who had not been given the
lecture, also completed the survey. FINDINGS: Students who attended the lecture
had a significantly higher mean knowledge score and mean confidence score than
students who did not attend. The P3 class had a mean knowledge score of 72.5%
while the mean knowledge score for the P4 class was 63.4% (P < 0.01). The P3's
mean confidence score was 76.8% and the P4's mean confidence score was 60.6% (P <
0.01). DISCUSSION: To the authors' knowledge, this is the first report on
incorporating the topic of transgender care to a required disease and
therapeutics series in a college of pharmacy curriculum. SUMMARY: Students who
attended a lecture on care of transgender individuals performed significantly
better on a knowledge-based assessment and reported having greater confidence in
their answers than students who did not attend the lecture.
PMID- 29793709
TI - Utilizing desirable difficulties for sterile compounding training in a skills
based laboratory course.
AB - BACKGROUND AND PURPOSE: Sterile compounding skills are essential components of a
professional pharmacy curriculum. The theory of desirable difficulties has been
used to facilitate deeper learning of material in other disciplines, but has not
been described in pharmacy sterile compounding instruction. The purpose of this
work was to evaluate whether challenges introduced in sterile compounding would
act as desirable difficulties and result in greater student confidence in their
sterile compounding competency. EDUCATIONAL ACTIVITY AND SETTING: Students in the
fourth semester of Pharmacy Skills and Applications, a laboratory-based skills
course, were presented with challenges in sterile compounding and were asked to
complete a questionnaire rating their confidence and describing their experience.
FINDINGS: The majority (92.8%) of students reported that the activity increased
their confidence in their sterile compounding skills. Students' open-ended
responses suggested that most of the knowledge gained was strategic in nature.
DISCUSSION: The results of this activity met the instructors' initial goals by
positively impacting students' confidence in their ability to overcome challenges
with sterile products compounding. Course instructors may explore additional
skills in which to introduce desirable difficulties in order to build student
confidence. SUMMARY: Course instructors were pleased with the implementation and
results of this desirable difficulties activity and plan to continue its use
again in future semesters. Incorporating more real-world challenges throughout
the skills-lab course may be beneficial to student learning and confidence. With
thoughtful planning, faculty at other institutions can readily incorporate
similar activities within their own courses.
PMID- 29793710
TI - An evaluation of student performance and perceptions within an integrated
pharmaceutics course sequence.
AB - BACKGROUND AND PURPOSE: To describe the design of an integrated pharmaceutics
course sequence and to assess the sequence by evaluating both student performance
and perceptions. EDUCATIONAL ACTIVITY AND SETTING: The non-sterile products
portion of a pharmaceutics course sequence was designed to integrate the
disciplines of physical pharmacy, dosage forms, pharmacy compounding, and
pharmaceutical calculations and to include the respective topics deemed most
relevant to doctor of pharmacy curriculum. The appropriate course content was
organized in a modular format that began with the general concepts of drug
product administration, preparation, stability, and performance and then
specifically applied these concepts to a variety of non-sterile dosage forms.
FINDINGS: Student performance was assessed through several cumulative exams and
lab practicals. Mean performance on these assessments was at a level generally
considered to be adequate within the study institution. However, students
performed better on the assessments having a lesser emphasis on physical pharmacy
(p < 0.05) and a greater focus on basic skill development (p < 0.05). Student
perceptions were evaluated using a survey instrument that had a useable response
rate of 93%. Each course design element was utilized by a majority of respondents
and was generally perceived as being beneficial to student learning. SUMMARY: The
collected data indicate that the integrated course design facilitated the
learning of applicable pharmaceutics-related topics and support the use of a
number of different design elements. In addition, this study provides valuable
insights for others providing professional-level pharmaceutics-related education
and has helped guide our own course improvement efforts.
PMID- 29793711
TI - Design and evaluation of a two-phase learner-centered new student orientation
program.
AB - BACKGROUND AND PURPOSE: To identify student perceptions associated with a learner
centered, multi-stage, flipped, new student orientation for a doctor of pharmacy
(PharmD) program. EDUCATIONAL ACTIVITY AND SETTING: First-year PharmD students
over three years (2012-2014) were engaged in a two-phase learner-centered
orientation process designed to allow students to customize the transition
experience. After orientation, students completed a survey to assess programming
and their perceptions of readiness to begin the PharmD program. Utilizing student
feedback, the orientation program evolved each year to better meet the needs of
incoming students. FINDINGS: In 2014, 78.9% of students felt confident in their
ability to transition to the PharmD program after orientation, an increase from
55.7% in 2012 when the changes in orientation were first implemented.
Additionally, student perceptions of readiness to transition to the PharmD
program improved each year. DISCUSSION AND SUMMARY: Implementation of a learner
centered, flipped, new student orientation may improve students' perceptions of
readiness for study and decrease anxiety of transitioning to a PharmD program.
Additionally, it may be an effective tool for increasing orientation program
efficiency, while improving student engagement.
PMID- 29793712
TI - Student agreement regarding adequacy of didactic content and practical
experiences of vaccination clinic business operations.
AB - BACKGROUND AND PURPOSE: To report student perceived adequacy regarding didactic
content and practical experiences of vaccination clinic business operations.
EDUCATIONAL ACTIVITY AND SETTING: Didactic content, a case study, and practical
experiences regarding vaccination clinic business operations were implemented in
related lectures of a Pharmacy Business and Entrepreneurship (PBE) elective and
the college of pharmacy sponsored vaccination clinics. An online survey was used
to evaluate student perceived adequacy of didactic content and practical
experiences of vaccination clinic business operations. FINDINGS: Mean scaled
agreement was compared between students in the PBE elective versus those not in
the elective. Student confidence in performing business operations was also
assessed. Students in the PBE had higher mean confidence than non-elective
students regarding staff management (3.23 vs. 2.73, p = 0.04). SUMMARY: Success
of the interventions may be attributed to students in the PBE elective that
reported a higher mean perceived adequacy of content and practical experiences
and confidence in performing nearly all business operations. Still, further
evaluation of interventions is being considered to assess effectiveness of
learning.
PMID- 29793713
TI - The development and impact of active learning strategies on self-confidence in a
newly designed first-year self-care pharmacy course - outcomes and experiences.
AB - BACKGROUND AND PURPOSE: The primary objective of this investigation was to
determine the effectiveness of different active learning exercises in a newly
designed flipped-classroom self-care course in applying newly acquired knowledge
of self-care and improving the confidence of first-year pharmacy students to
recommend self-care treatments and counsel patients. The early development of
these skills is essential for the subsequent Community Introductory Pharmacy
Practice Experience (CIPPE). EDUCATIONAL ACTIVITY AND SETTING: An unpaired
anonymous survey was administered to students, pre- and post-course, to ascertain
their opinions on the effectiveness of various teaching strategies and active
learning exercises on learning and on their confidence in treatment-planning and
patient counseling for self-care patients. Comparison between pre- and post
course Likert scores was conducted using a one-way ANOVA followed by a post-hoc
Tukey's test with significance at p = 0.05. All other tests of significance were
conducted using a student's t-test with significance at p = 0.05. FINDINGS:
Students' self-confidence in developing treatment plans and in counseling for non
prescription drugs and dietary supplements significantly improved from the
beginning to the end of this self-care course. The response rate was high in both
the pre- (N = 208, 88.1%) and post- (N = 198, 83.9%) course surveys. The positive
change in confidence was not reflected in increased performance on the final exam
represented by a lower average score than the midterm exam. DISCUSSION AND
SUMMARY: Active learning sessions and the flipped classroom approach in this
first-year pharmacy self-care course contributed to increased self-confidence in
making recommendations and counseling patients on proper use of nonprescription
medications and dietary supplements.
PMID- 29793714
TI - Description and assessment of a pilot interprofessional education case
competition activity.
AB - BACKGROUND AND PURPOSE: A case competition involving pharmacy and nursing
students was designed as a pilot project to determine if this would be an
effective way to implement interprofessional education (IPE) within the College
of Health and Pharmaceutical Sciences at the University of Louisiana at Monroe.
EDUCATIONAL ACTIVITY AND SETTING: Level 5 nursing students and third-year
pharmacy students were invited to participate in a patient case competition
activity as interprofessional teams. A modified version of the Interprofessional
Education Collaborative (IPEC) Competency Survey and the Readiness for
Interprofessional Learning Scale (RIPLS) Questionnaire were administered before
and after the activity. FINDINGS AND DISCUSSION: Nineteen students (nine nursing,
10 pharmacy) participated in the case competition and completed both the pre- and
post-survey. Responses to questions in the IPEC Competency Self-Assessment Tool
suggest improved self-reported competence in the interaction and values/ethics
domains. SUMMARY: Participation in this IPE case competition demonstrated
improved engagement with other healthcare professional students as assessed by
the IPEC Competency Survey and the RIPLS Questionnaire. This activity could serve
as a way for other schools of pharmacy to implement IPE in their curriculum.
PMID- 29793716
TI - Students' perceptions of a blended learning pharmacy seminar course in a
Caribbean school of pharmacy.
AB - BACKGROUND AND PURPOSE: Blended learning (BL) integrates face-to-face and online
instructional methods, with applications in pharmacy education. This study aimed
to assess pharmacy students' perceptions of BL in a pharmacy seminar course at
The University of the West Indies, St. Augustine campus, Trinidad and Tobago.
EDUCATIONAL ACTIVITY AND SETTING: Topics based on the use of medicines and public
health were presented by student groups during live seminars, supplemented with
online activities. An online survey of students' perceptions was administered at
the end of the course. The usefulness of learning resources and course activities
were assessed using 5-point Likert-like scales (1 = not helpful to 5 = very
helpful). The effectiveness of the instructor, blended delivery, time value, and
development of critical-thinking were rated on a 5-point Likert scale for
agreement (1 = strongly disagree to 5 = strongly agree). Topics that were most
instructive and additional topics of interest were also identified. FINDINGS:
Approximately 51% of students (37/72) completed the questionnaire; 73% were
female and mean age was 24 years. The learning resources and most course
activities were generally helpful (median = 4) in facilitating learning. There
was strong agreement (median = 5) on the ease of navigating the online platform,
and instructor encouraging interest in pharmacy issues. Students agreed (median =
4) that the course facilitated critical thinking, the BL approach was effective,
and the time spent was worthwhile. The most instructive topics included
medication errors, antibiotic resistance, and medicines in children and the
elderly. SUMMARY: BL in pharmacy seminars is a valuable approach to engage
students learning about pharmacy and public health.
PMID- 29793715
TI - Evaluation of a mock interview session on residency interview skills.
AB - BACKGROUND AND PURPOSE: To evaluate the impact of student pharmacist
participation in a mock interview session on confidence level and preparation
regarding residency interview skills. EDUCATIONAL ACTIVITY AND SETTING: The study
setting was a mock interview session, held in conjunction with student
programming at the American College of Clinical Pharmacy (ACCP) Annual Meeting.
Prior to the mock interview session, final year student pharmacists seeking
residency program placement were asked to complete a pre-session survey assessing
confidence level for residency interviews. Each student pharmacist participated
in up to three mock interviews. A post-session survey evaluating confidence level
was then administered to consenting participants. Following the American Society
for Health-System Pharmacists (ASHP) Pharmacy Resident Matching Program (RMP), a
post-match electronic survey was sent to study participants to determine their
perception of the influence of the mock interview session on achieving successful
interactions during residency interviews. FINDINGS: A total of 59 student
pharmacists participated in the mock interview session and completed the pre
session survey. Participants completing the post-session survey (88%, n = 52)
unanimously reported an enhanced confidence in interviewing skills following the
session. Thirty responders reported a program match rate of 83%. Approximately
97% (n = 29) of the respondents agreed or strongly agreed that the questions
asked during the mock interview session were reflective of questions asked during
residency interviews. DISCUSSION: Lessons learned from this mock interview
session can be applied to PGY1 residency mock interview sessions held locally,
regionally, and nationally. SUMMARY: Students participating in the ACCP Mock
Interview Session recognized the importance of the interview component in
obtaining a postgraduate year 1 (PGY1) pharmacy residency.
PMID- 29793717
TI - Quality assurance processes for standardized patient programs.
AB - OUR PROBLEM: As the pharmacy profession evolves to include non-dispensing
services and collaborative care, greater emphasis is placed on communication
skills building through standardized patient programs. Best practices for
assuring the quality of standardized patient (SP) programs, however, remains
unclear. The objective of this manuscript is to summarize quality assurance
processes for standardized patient programs from health professions education
literature. METHODOLOGICAL LITERATURE REVIEW: A search of PubMed and Scopus
between 2011 and 2016 was conducted and 22 articles were retained for thematic
analysis. Articles were screened for relevance to quality assurance. OUR
RECOMMENDATIONS AND THEIR APPLICATIONS: The thematic analysis revealed four
themes: (1) enhanced SP training programs, (2) structured feedback to students,
(3) statistical measurements to ensure inter-rater reliability, and (4)
observation and evaluation of the SP to improve SP performance. Specific methods
to assure the quality of an SP program were identified, including training
program content and feedback techniques. POTENTIAL IMPACT: Although SP programs
varied widely in their implementation, there were several common strategies used
to evaluate the consistency of performance, effectiveness of feedback to
students, and reliability of grading. Additional research is necessary to
establish standards for SP programs across professional healthcare disciplines.
PMID- 29793719
TI - Editorial.
PMID- 29793718
TI - Predicting performance in health professions education programs from admissions
information - Comparisons of other health professions with pharmacy.
AB - BACKGROUND: The goal of the present review was to assess the state of performance
prediction in healthcare programs generally, versus performance prediction in
pharmacy schools, using didactic and non-didactic admissions measures. This is
important because clinical success represents a combination of skills that are
not fully predicted by either type of measure alone. METHODS: PubMed searches
were conducted focusing on work published from 2000 onwards, since it is during
this period that non-didactic admissions measures have come to be incorporated
into the applicant evaluation process. Relevant free full text papers available
were used. When these papers were not available by direct import into EndNote, we
went directly to the journal to try to retrieve the paper. RESULTS: We
acknowledge that health professions programs have been successful in recruiting
excellent candidates into their schools. However, based on the modest amount of
healthcare program performance accounted for by didactic measures, admissions
committees should consider expanding their holistic evaluation of applicants.
Schools would benefit from using two-step screening phases in the application
process - perhaps evaluating didactic potential in phase 1 and experiential in
phase 2. Using combination measures throughout the admission process should help
ensure admission of students more likely to be successful throughout their
healthcare practice. IMPLICATIONS: Future investigations of the prediction of
healthcare program performance by formal combinations of didactic and non
didactic admissions measures are imperative. In addition, it is likely that
combination admission measures will incorporate more metrics of critical thinking
than do simpler approaches. Furthermore, systematic evaluation of the usefulness
of the two-step screening approaches to admissions used by most competitive
health professions programs also needs to be done.
PMID- 29793720
TI - Histogram analysis of apparent diffusion coefficient from whole-body diffusion
weighted MRI to predict early response to chemotherapy in patients with
metastatic colorectal cancer: preliminary results.
AB - AIM: To evaluate apparent diffusion coefficient (ADC) histogram analysis
parameters, acquired from whole-body diffusion-weighted magnetic resonance
imaging (DW-MRI), as very early predictors of response to chemotherapy in
patients with metastatic colorectal cancer (mCRC). MATERIALS AND METHODS: This
was a single-institution prospective study, approved by the West Midlands-South
Birmingham research ethics committee. All patients gave fully informed consent
prior to imaging. Sixteen patients with histologically confirmed mCRC were
enrolled to the study and 11 were successfully scanned with whole-body DW-MRI
before (baseline) and 10.8+/-2.7 days after commencing chemotherapy (follow-up).
Therapy response was assessed by RECIST 1.1. Mean ADC and histogram parameters
(skewness, kurtosis, 25th, 50th, and 75th percentiles) were compared between
progressors and non-progressors at baseline and follow-up. Receiver operating
characteristics (ROC) analysis was performed for the statistically significant
parameters. Data from metastases were also compared to normative tissue data
acquired from healthy volunteers. RESULTS: Three patients had progressive disease
(progressors) and eight had partial response/stable disease (non-progressors).
Mean, 25th, 50th, and 75th percentiles were significantly lower for progressors
at baseline (p=0.012, 0.012, 0.012 and 0.025 respectively) with areas under the
ROC curves (AUC)=0.58, 0.50, 0.58 and 0.63, respectively. Skewness and kurtosis
were significantly lower for non-progressors at follow-up (p=0.001 and 0.003
respectively) with AUC=0.67 and 0.79 respectively. CONCLUSION: ADC histogram
analysis shows potential in discriminating progressive from non-progressive
disease in patients with mCRC, who underwent whole-body DW-MRI. The technique can
potentially be tested as a response assessment methodology in larger trials.
PMID- 29793721
TI - Uric acid versus non-uric acid urinary stones: differentiation with single energy
CT texture analysis.
AB - AIM: To evaluate the accuracy of computed tomography (CT) texture analysis (TA)
to differentiate uric acid (UA) stones from non-UA stones on unenhanced CT in
patients with urinary calculi with ex vivo Fourier transform infrared
spectroscopy (FTIR) as the reference standard. MATERIALS AND METHODS: Fourteen
patients with 18 UA stones and 31 patients with 32 non-UA stones were included.
All the patients had preoperative CT evaluation and subsequent surgical removal
of the stones. CTTA was performed on CT images using commercially available
research software. Each texture feature was evaluated using the non-parametric
Mann-Whitney test. Receiver operating characteristic (ROC) curves were created
and the area under the ROC curve (AUC) was calculated for texture parameters that
were significantly different. The features were used to train support vector
machine (SVM) classifiers. Diagnostic accuracy was evaluated. RESULTS: Compared
to non-UA stones, UA stones had significantly lower mean, standard deviation and
mean of positive pixels but higher kurtosis (p<0.001) on both unfiltered and
filtered texture scales. There were no significant differences in entropy or
skewness between UA and non-UA stones. The average SVM accuracy of texture
features for differentiating UA from non-UA stones ranged from 88% to 92% (after
10-fold cross validation). A model incorporating standard deviation, skewness,
and kurtosis from unfiltered texture scale images resulted in an AUC of 0.965+/
00.029 with a sensitivity of 94.4% and specificity of 93.7%. CONCLUSION: CTTA can
be used to accurately differentiate UA stones from non-UA stones in vivo using
unenhanced CT images.
PMID- 29793722
TI - Understanding and Treating Chiari-like Malformation and Syringomyelia in Dogs.
AB - Chiari-like malformation (CM) and syringomyelia (SM) are common and debilitating
conditions in toy and small breed dogs. CM, considered ubiquitous in the cavalier
King Charles spaniel (CKCS) population, results in abnormal cerebrospinal fluid
dynamics which can lead to the development of SM. The clinical signs associated
with CM/SM are frequently confused with other otologic and dermatologic
conditions, which may delay appropriate treatment. A definitive diagnosis of
CM/SM requires advanced imaging; however, due to expense associated with this,
many cases are managed presumptively and symptomatically for the condition. The
primary goal of treatment is to manage neuropathic pain and neurologic deficits
through pharmaceutical or surgical approaches. Current literature suggests that
most CM/SM-affected dogs have progression of their clinical signs in spite of
medical or surgical management; however, most maintain a good quality of life
based on owner assessments. Lack of correlation between structural markers of
disease and clinician and owner-derived measures of neuropathic pain highlight
the need for more robust, quantitative measures of pain in this common veterinary
disease.
PMID- 29793723
TI - Whelping and Dystocia: Maximizing Success of Medical Management.
AB - Dystocia can be defined broadly as "difficult birth" or more specifically as
difficulty in the bitch expelling the pups through the cervix, vagina, and
vestibule. It is a fairly common emergency presented to the small animal
practitioner with an incidence rate of approximately 5%. The incidence of
dystocia is highest in toy and brachycephalic breeds, and occurs frequently in
small litters (<3 pups) due to fetal oversize and delayed onset of labor. As
duration of labor progresses, the mortality of the pups and even the bitch
increases, with the highest number of stillborn pups occurring after 6 hours from
the time of active parturition. Practitioners should understand the mechanism of
normal parturition so that abnormalities can be readily identified and medical or
surgical intervention performed in a safe and timely manner.
PMID- 29793724
TI - A Review: Emergency Management of Dogs With Suspected Epileptic Seizures.
AB - Dogs with seizure disorders are commonly presented to the general practitioner
for initial evaluation. The most common diagnosis provided is idiopathic
epilepsy. As witnessing seizures is often traumatic for owners, it is important
for the practitioner to understand seizure classification, know when to initiate
antiepileptic drug therapy and be comfortable with client education regarding
seizures. This review briefly discusses the most up-to-date classification of
seizures, the necessity to obtain accurate histories and complete examinations,
base-line diagnostics to perform, the importance of owner education, and when to
start medications. This is not intended to discuss detailed differential lists,
in-depth information on antiepileptic drug therapy, long-term management of
epileptics or those refractory to their current treatment.
PMID- 29793725
TI - Bioelectric Dressing Supports Complex Wound Healing in Small Animal Patients.
AB - It is well documented that physiological electric fields provide the earliest
signals necessary to initiate cell proliferation, migration, and ultimately
reepithelialization of wounds. Additionally, electricity is known to exert an
antimicrobial effect. An electric field-generating wound dressing designed to
mimic physiological electric fields has not been described in the small animal
clinic. This article retrospectively reviews the use of a microcell battery
impregnated bioelectric dressing (BED) in 5 small animal patients with complex
wounds. For each patient, product application and wound healing progress was
monitored and documented over several weeks. Despite the severity of the wounds
and being at high risk for infection, all presenting wounds treated with BED
achieved complete closure within 4 weeks without becoming infected or requiring
grafting. These cases provide early evidence that the use of the BED is feasible
in a small animal clinic and may support healing while providing topical,
nonantibiotic activity against wound pathogens.
PMID- 29793727
TI - Does the environment influence multiple sclerosis pathogenesis via UVB light
and/or induction of vitamin D?
AB - Multiple sclerosis (MS) is a disease of presumed auto-immune origin. Long
standing observations such as the correlation between MS incidence and
geographical latitude or the levels of Vitamin D (Vit D) in the serum have
implicated the environmental factors UVB radiation and diet in the etiology of
the disease. Clinical trials have been conducted and are currently underway to
elucidate whether a Vit D enriched diet or treatment with UVB can influence MS
incidence, -severity, and -progression, as well as the ideal time point for
treatment. This review summarizes the current scientific knowledge to the
environmental factors UVB-light and Vit D concerning the clinical aspects of MS
in epidemiological studies and clinical trials.
PMID- 29793726
TI - Canine Diabetes Mellitus Associated Ocular Disease.
AB - Diabetes mellitus (DM) is a commonly encountered disease in companion animal
veterinary practice. Ocular complications in dogs with DM are thought to be
common but there are no reports of the overall incidence of DM-associated ocular
disease. Some complications, such as cataracts and ocular surface disease, can
lead to vision loss and significant morbidity among DM patients, therefore early
recognition and intervention are essential for successful outcomes. The purpose
of this article is to provide a review of several currently known or suspected
ocular diseases associated with DM.
PMID- 29793729
TI - The European perspective: Coronary artery calcification in South Asians.
PMID- 29793728
TI - Autoimmune GFAP astrocytopathy: Prospective evaluation of 90 patients in 1 year.
AB - In this prospective evaluation of serum and CSF samples, all but two CSF
GFAPalpha-IgG positive patients had autoimmune meningoencephalomyelitis while
serum GFAPalpha-IgG positivity alone was less specific. Phenotypes were diverse
among patients that were serum positive only. Adult and pediatric clinical
presentations were similar. Most patients were immunotherapy responsive. Co
existing NMDA-R-IgG and cancer were associated with lack of response to first
line immunotherapy. Among patients with follow-up information, 18% had relapses.
This study demonstrates CSF GFAPalpha-IgG is a specific autoimmune
meningoencephalomyelitis biomarker, with favorable corticosteroid response. Lack
of response should prompt evaluation for co-existing NMDA-R-IgG or malignancy.
PMID- 29793731
TI - Physiological responses to variations in grazing and light conditions in native
and invasive fucoids.
AB - Poor physiological acclimatization to climate change has led to shifts in the
distributional ranges of various species and to biodiversity loss. However,
evidence also suggests the relevance of non-climatic physical factors, such as
light, and biotic factors, which may act in interactive or additive way. We used
a mechanistic approach to evaluate the ecophysiological responses of four seaweed
species (three dominant intertidal fucoids, Fucus serratus, Ascophyllum nodosum,
Bifurcaria bifurcata, and the invasive Sargassum muticum) to different conditions
of grazing, light irradiance and ultraviolet (UV) radiation. We performed a large
scale mesocosm experiment with a total of 800 individual thalli of macroalgae.
The factorial experimental design included major algal traits, photoacclimation,
nutrient stoichiometry and chemical defence as response variables. Few
significant effects of the factors acting alone or in combination were observed,
suggesting a good capacity for acclimatization in all four species. The
significant effects were generally additive and there were no potentially
deleterious synergistic effects between factors. Fucus serratus, a species
currently undergoing a drastic contraction of its southern distribution limit in
Europe, was the most strongly affected species, showing overall lower
photosynthetic efficiency than the other species. The growth rate of F. serratus
decreased when UV radiation was filtered out, but only in the presence of
grazers. Moreover, more individuals of this species tended to reach maturity in
the absence of grazers, and the nitrogen content of tissues decreased under full
spectrum light. Only the phlorotannin content of tissues of B. bifurcata and of
exudates of A. nodosum, both slow-growing species, were positively affected by
respectively removal of UVB radiation and the presence of grazers. The findings
for S. muticum, a well-established invasive seaweed across European coasts,
suggested similar physiological response of this fast-growing species to
different levels of grazing activity and light quality/intensity. As expected,
this species grew faster than the other species. Bifurcaria bifurcata and A.
nodosum only showed minor effects of light quality and grazing on phlorotannins
content, which suggests good resistance of these two long-lived species to the
experimental conditions. Mechanistic approaches that are designed to analyse
interactive effects of physical and biotic factors provide an understanding of
physiological responses of species and help to improve the confidence of
predictive distribution models.
PMID- 29793730
TI - Middle-term follow-up results of Pipkin type IV femoral head fracture patients
treated by reconstruction plate and bioabsorbable screws.
AB - PURPOSE: To investigate the mid-term curative effects of the treatment of Pipkin
type IV femoral head fractures using a reconstruction plate and bioabsorbable
screws and provide the evidence for clinical practice. METHODS: From February
2010 to September 2014, 21 patients with Pipkin type IV femoral head fractures
were treated surgically. There were 13 males and 8 females with an average age of
41.1 years (range, 20-65 years). The causes of the fractures included traffic
accidents (13 cases), falls from a height (four cases), heavy lifting injuries
(three cases), and sport injury (one case). All patients were followed up with
radiography and three-dimensional reconstruction computed tomography and other
checks and any complications were actively managed. Closed reduction of fracture
dislocation of the hip was attempted under general anesthesia using the Kocher
Langenbeck approach. Femoral head fractures were treated with internal fixation
or excision based on the size of the fracture fragments, whereas acetabular
fractures were fixed with a reconstruction plate and screws following anatomic
reduction. RESULTS: The incisions healed by primary intention in all patients
after surgery, without any infection, deep venous thrombosis, or other
complications. All 21 patients were followed up for 36-76 months, with an average
follow-up duration of 49 months. Postoperative imaging data showed that all
dislocations and fractures were anatomically reduced, and bony union of the
fractures was achieved. Heterotopic ossification was found in four patients, post
traumatic osteoarthritis in three, and avascular necrosis of the femoral head in
two. At the final follow-up, the assessment of hip joint function according to
the Thompson-Epstein scoring scale was excellent in 10 cases, good in six cases,
fair in three cases, and poor in two cases. The rate of excellent and good
functional outcomes was 76.1%. CONCLUSION: The mid-term curative effects of a
reconstruction plate and bioabsorbable screws in the treatment of Pipkin type IV
femoral head fractures is significant, and such the treatment can significantly
improve the patient's joint function and quality of life.
PMID- 29793733
TI - Corrigendum to "Interactive effect of beta-adrenergic stimulation and mechanical
stretch on low-frequency oscillations of ventricular action potential duration in
humans" [J. Mol. Cell. Cardiol. 97 (2016) 93-105].
PMID- 29793732
TI - Successful Midterm Management With an Herbal Decoction, Modified-Goshajinkigan
(mGJG) for Non-Proliferative Diabetic Retinopathy: A Case Study.
AB - Diabetic retinopathy (DR) is one of the leading cause of blindness worldwide and
the most common cause of blindness among the working population. Early treatment
of the disease is essential to prevent severe visual loss among patients. But
there are few therapeutic options available for early stage diabetic retinopathy.
We present the case of an early stage diabetic retinopathy patient presented with
retinal hemorrhages in the superior temporal area and disc hemorrhages of disc
nasal area. The patient was diagnosed with mild NPDR on fundus examinations.
After 6 months of taking modified-Goshaiinkigan (mGJG), the characteristic
features of mild NPDR disappeared. Throughout three consecutive years of follow
ups, no evident lesions that could be diagnosed as DR were found during fundus
examinations. Many components of mGJG have potential efficacy toward diabetic
retinopathy. This study suggests that mGJG is a possible medication for early
stage DR. Concerning the degenerative characteristics of DR, early management
strategies are important in young DM patients and integrative care, such as in
this case, are worth investigating further.
PMID- 29793734
TI - Management and Rehabilitation of Joint Disease in Sport Horses.
AB - Joint disease is one of the most common issues effecting sport horses. Because
there is no cure for joint disease, treatment goals surround slowing progression
of the disease, minimizing pain, increasing function, and optimizing performance.
Accomplishing these goals often requires a multimodal approach that combines
systemic medications or supplements; intra-articular therapies, such as
corticosteroids or biologics; management considerations; and physical therapy
exercises.
PMID- 29793735
TI - Upper Airway Conditions Affecting the Equine Athlete.
AB - Many abnormalities of the upper airway that can inhibit performance are
determined on a critical resting endoscopic evaluation. Some dynamic
abnormalities can only be seen during an exercising endoscopic evaluation, which
should be performed whenever the history of abnormal noise or performance
limitations is not completely consistent with the resting endoscopic findings.
Head and neck position may play a critical role in the evaluation process and the
exact position during performance should be reproduced during the clinical
examination to definitively define the abnormality. Treatments and prognoses are
presented.
PMID- 29793737
TI - Fractional order PID for tracking control of a parallel robotic manipulator type
delta.
AB - This paper presents the tracking control for a robotic manipulator type delta
employing fractional order PID controllers with computed torque control strategy.
It is contrasted with an integer order PID controller with computed torque
control strategy. The mechanical structure, kinematics and dynamic models of the
delta robot are descripted. A SOLIDWORKS/MSC-ADAMS/MATLAB cosimulation model of
the delta robot is built and employed for the stages of identification, design,
and validation of control strategies. Identification of the dynamic model of the
robot is performed using the least squares algorithm. A linearized model of the
robotic system is obtained employing the computed torque control strategy
resulting in a decoupled double integrating system. From the linearized model of
the delta robot, fractional order PID and integer order PID controllers are
designed, analyzing the dynamical behavior for many evaluation trajectories.
Controllers robustness is evaluated against external disturbances employing
performance indexes for the joint and spatial error, applied torque in the joints
and trajectory tracking. Results show that fractional order PID with the computed
torque control strategy has a robust performance and active disturbance rejection
when it is applied to parallel robotic manipulators on tracking tasks.
PMID- 29793738
TI - Hinfinity observer-based event-triggered sliding mode control for a class of
discrete-time nonlinear networked systems with quantizations.
AB - This paper investigates the problem of Hinfinity observer-based event-triggered
sliding mode control (SMC) for a class of uncertain discrete-time Lipschitz
nonlinear networked systems with quantizations occurring in both input and output
channels. The event-triggered strategy is used to save the limited network
bandwidth. Then, based on the zero-order-hold (ZOH) measurement, a state observer
is designed to reconstruct the system state, which facilitates the design of the
discrete-time sliding surface. Considering the effects of quantizations,
networked-induced constraints and event-triggered scheme, the nonlinear state
error dynamics and sliding mode dynamics are converted into a unified linear
parameter varying (LPV) time-delay system with the aid of a reformulated
Lipschitz property. By using the Lyapunov-Krasovskii functional and free
weighting matrix, a new sufficient condition is derived to guarantee the robust
asymptotic stability of the resulting closed-loop system with prescribed
Hinfinity performance. And then the observer gain, event-triggering parameter and
sliding mode parameter are co-designed. Furthermore, a novel SMC law is
synthesized to force the trajectories of the observer system onto a pre-specified
sliding mode region in a finite time. Finally, a single-link flexible joint robot
example is utilized to demonstrate the effectiveness of the proposed method.
PMID- 29793736
TI - Tobacco Dependence Predicts Higher Lung Cancer and Mortality Rates and Lower
Rates of Smoking Cessation in the National Lung Screening Trial.
AB - BACKGROUND: Incorporating tobacco treatment within lung cancer screening programs
has the potential to influence cessation in high-risk smokers. We aimed to better
understand the characteristics of smokers within a screening cohort, correlate
those variables with downstream outcomes, and identify predictors of continued
smoking. METHODS: This study is a secondary analysis of the National Lung
Screening Trial randomized clinical study. Tobacco dependence was evaluated by
using the Fagerstrom Test for Nicotine Dependence, the Heaviness of Smoking
Index, and time to first cigarette (TTFC); descriptive statistics were performed.
Clinical outcomes (smoking cessation, lung cancer, and mortality) were assessed
with descriptive statistics and chi2 tests stratified according to nicotine
dependence. Logistic and Cox regression models were used to study the influence
of dependence on smoking cessation and mortality, respectively. RESULTS: Patients
with high dependence scores were less likely to quit smoking compared with low
dependence smokers (TTFC OR, 0.50 [95% CI, 0.42-0.60]). Indicators of high
dependence, as measured according to all three metrics, were associated with
worsening clinical outcomes. TTFC showed that patients who smoked within 5 min of
waking (indicating higher dependence) had higher rates of lung cancer (2.07% for
> 60 min after waking vs 5.92% <= 5 min after waking; hazard ratio [HR], 2.56
[95% CI, 1.49-4.41]), all-cause mortality (5.38% for > 60 min vs 11.21% <= 5 min;
HR, 2.19 [95% CI, 1.55-3.09]), and lung cancer-specific mortality (0.55% for > 60
min vs 2.92% for <= 5 min; HR, 4.46 [95% CI, 1.63-12.21]). CONCLUSIONS: Using
TTFC, a one-question assessment of tobacco dependence, at the time of lung cancer
screening has implications for personalizing tobacco treatment and improving risk
assessment.
PMID- 29793740
TI - Commentary on "Extraordinary daytime only urinary frequency in childhood:
Prevalence, diagnosis, and management".
PMID- 29793739
TI - A needs analysis and guide for interpretation of voiding cystourethrogram for
trainees.
PMID- 29793741
TI - Featuring: Comparative effectiveness of a pilot patient-centered ultrasound
report in the management of hydronephrosis.
PMID- 29793742
TI - Featuring: Ultrasound guided ureteroscopy in children: Safety and success.
PMID- 29793743
TI - Featuring: Implications of fellowship expansion on future pediatric urologist
surgical volumes.
PMID- 29793744
TI - Featuring: Prospective study of enhanced recovery after surgery protocol in
children undergoing reconstructive operations.
PMID- 29793745
TI - Editorial.
PMID- 29793746
TI - CEVL interactive - "1-Voice": Shared checklist for prenatal urological
consultation.
PMID- 29793747
TI - Expert opinion: "1 Voice" elements of prenatal urological consultation.
PMID- 29793748
TI - NK Cells Mediate a Crucial Graft-versus-Leukemia Effect in Haploidentical-HSCT to
Cure High-Risk Acute Leukemia.
AB - Natural killer (NK) cells are involved in innate defenses against viruses and
tumors. Their function is finely tuned by activating and inhibitory receptors.
Among the latter, killer immunoglobulin-like receptors and CD94/NKG2A recognize
human leukocyte antigen (HLA) Class I molecules, allowing NK cells to
discriminate between normal and aberrant cells, as well as to recognize
allogeneic cells, because of their ability to sense HLA polymorphisms. This
latter phenomenon plays a key role in HLA-haploidentical hematopoietic stem cell
transplantation (haplo-HSCT) for high-risk acute leukemia patients transplanted
from an NK-alloreactive donor. Different haplo-HSCT settings have been developed,
either T depleted or T replete - the latter requiring graft-versus-host disease
prophylaxis. A novel graft manipulation, based on depletion of alphabeta T cells
and B cells, allows infusion of fully mature, including alloreactive, NK cells.
The excellent patient clinical outcome underscores the importance of these innate
cells in cancer therapy.
PMID- 29793749
TI - [COPD, what is the best treatment?]
PMID- 29793750
TI - [Failure of screening programmes: Interval cancer].
PMID- 29793753
TI - Letter to the editor.
PMID- 29793752
TI - A comparative study of non-native N-acyl l-homoserine lactone analogs in two
Pseudomonas aeruginosa quorum sensing receptors that share a common native ligand
yet inversely regulate virulence.
AB - Certain bacteria can coordinate group behaviors via a chemical communication
system known as quorum sensing (QS). Gram-negative bacteria typically use N-acyl
l-homoserine lactone (AHL) signals and their cognate intracellular LuxR-type
receptors for QS. The opportunistic pathogen Pseudomonas aeruginosa has a
relatively complex QS circuit in which two of its LuxR-type receptors, LasR and
QscR, are activated by the same natural signal, N-(3-oxo)-dodecanoyl l-homoserine
lactone. Intriguingly, once active, LasR activates virulence pathways in P.
aeruginosa, while activated QscR can inactivate LasR and thus repress virulence.
We have a limited understanding of the structural features of AHLs that engender
either agonistic activity in both receptors or receptor-selective activity.
Compounds with the latter activity profile could prove especially useful tools to
tease out the roles of these two receptors in virulence regulation. A small
collection of AHL analogs was assembled and screened in cell-based reporter
assays for activity in both LasR and QscR. We identified several structural
motifs that bias ligand activation towards each of the two receptors. These
findings will inform the development of new synthetic ligands for LasR and QscR
with improved potencies and selectivities.
PMID- 29793751
TI - Design, synthesis and molecular modeling of new 4-phenylcoumarin derivatives as
tubulin polymerization inhibitors targeting MCF-7 breast cancer cells.
AB - A new set of 4-phenylcoumarin derivatives was designed and synthesized aiming to
introduce new tubulin polymerization inhibitors as anti-breast cancer candidates.
All the target compounds were evaluated for their cytotoxic effects against MCF-7
cell line, where compounds 2f, 3a, 3b, 3f, 7a and 7b, showed higher cytotoxic
effect (IC50 = 4.3-21.2 MUg/mL) than the reference drug doxorubicin (IC50 = 26.1
MUg/mL), additionally, compounds 1 and 6b exhibited the same potency as
doxorubicin (IC50 = 25.2 and 28.0 MUg/mL, respectively). The thiazolidinone
derivatives 3a, 3b and 3f with potent and selective anticancer effects towards
MCF-7 cells (IC50 = 11.1, 16.7 and 21.2 MUg/mL) were further assessed for tubulin
polymerization inhibition effects which showed that the three compounds were
potent tubulin polymerization suppressors with IC50 values of 9.37, 2.89 and 6.13
MUM, respectively, compared to the reference drug colchicine (IC50 = 6.93 MUM).
The mechanistic effects on cell cycle progression and induction of apoptosis in
MCF-7 cells were determined for compound 3a due to its potent and selective
cytotoxic effects in addition to its promising tubulin polymerization inhibition
potency. The results revealed that compound 3a induced cell cycle cessation at
G2/M phase and accumulation of cells in pre-G1 phase and prevented its mitotic
cycle, in addition to its activation of caspase-7 mediating apoptosis of MCF-7
cells. Molecular modeling studies for compounds 3a, 3b and 3f were carried out on
tubulin crystallography, the results indicated that the compounds showed binding
mode similar to the co-crystalized ligand; colchicine. Moreover, pharmacophore
constructed models and docking studies revealed that thiazolidinone, acetamide
and coumarin moieties are crucial for the activity. Molecular dynamics (MD)
studies were carried out for the three compounds over 100 ps. MD results of
compound 3a showed that it reached the stable state after 30 ps which was in
agreement with the calculated potential and kinetic energy of compound 3a.
PMID- 29793754
TI - Comparison of biliary atresia with and without intracranial hemorrhage.
AB - BACKGROUND/PURPOSE: Intracranial hemorrhage (ICH) is a severe complication of
biliary atresia (BA). We aimed to compare the clinical data of BA patients with
and without ICH. METHODS: Sixty-three BA patients who underwent Kasai
portoenterostomy were included in this study. We retrospectively reviewed their
clinical records, and compared the ICH and non-ICH groups. RESULTS: ICH occurred
in seven patients (11.1%). The patients with ICH were significantly older at the
time of Kasai portoenterostomy (median age: 90.0 vs 65.5 days). The hepatobiliary
enzyme levels of the patients with ICH were significantly lower in comparison to
the patients without ICH (T-Bil 6.7 vs 9.8 mg/dl; AST 95 vs 194 U/L; ALT 44 vs
114 U/L). On the other hand, the coagulation test values of the patients with ICH
were significantly higher in comparison to the patients without ICH (PT 50.0 vs
12.4 s; APTT 200.0 vs 36.9 s). Although the survival rates did not differ to a
statistically significant extent, persistent neurological sequelae occurred in
two patients in the ICH group. CONCLUSIONS: The hepatobiliary enzyme levels of
the patients with ICH were significantly lower than those without ICH. However,
coagulopathy was found to be significantly more progressive in patients with ICH.
LEVELS OF EVIDENCE: Level III.
PMID- 29793755
TI - Intelligence test at preschool-age predicts reading difficulty among school-aged
very low birth weight infants in Japan.
AB - OBJECTIVE: To elucidate whether the results of an intelligence test at preschool
age are predictive of reading difficulty (RD) at school age among very low birth
weight infants (VLBWI). METHODS: Subjects were 48 Japanese children whose birth
weight was <1500 g and who regularly visited a follow-up clinic. All subjects
completed the Wechsler Intelligence Scale for Children-III (WISC-III) during the
last grade of kindergarten, and four reading tasks during the second to fourth
grade of elementary school. All participants had a full-scale intelligence
quotient score of 85 or higher. Subjects with a standard deviation reading time
score greater than 2.0 in two or more tasks were considered to have RD. We
evaluated the associations between each WISC-III score and RD using logistic
regression analyses. Furthermore, we performed receiver operating characteristic
(ROC) analysis to determine a cutoff WISC-III score predictive of RD. RESULTS: In
the mutually-adjusted model, the adjusted odds ratio per 1 score increase of
freedom from distractibility (FD) was 0.832 (95% confidence interval: 0.720
0.962). In the ROC analysis, an FD score of <95.5 was chosen as the cutoff value
for predicting RD (sensitivity, 0.77; specificity, 0.74). CONCLUSION: The present
study indicated that a lower FD score at preschool age, which was associated with
deficits in verbal working memory and attention, is a risk factor for RD at
school age among Japanese VLBWI. Further investigation is desired to clarify the
cognitive deficits underlying RD in Japanese-speaking preterm children, and to
establish appropriate interventions for these children.
PMID- 29793756
TI - Contribution of patient-advisors during rehabilitation for replantation of digits
improves patient-reported functional outcomes: A presentation of concept.
AB - Clinical approach to surgical patients has evolved to include previous patients
as part of the treating team in the role of "patient-advisors". Knowing that
compliance to rehabilitation protocols is significant for a successful functional
hand replantation, we set out to quantify functional patient-reported outcomes in
individuals enrolled in a Patient-Advisor Program (PAP). We performed a
prospective cohort pilot study of all patients admitted for a finger replantation
between July 2015 to January 2016. All patients were offered to partake in the
PAP, or else they would constitute the control group. Primary endpoints were
functional outcomes as reported by patients at 6-8weeks and 4-6months of follow
up. Secondary endpoints were patient-reported pain and quality of life
questionnaires. In total, 62 patients were admitted for finger replantation in
the studied period, in which 50 agreed to participate in the study, including 7
in the patient-advisors group and 43 in the control group. Patients from the
patient-advisors group fared better on mean scores of the Disabilities of the
Arm, Shoulder and Hand than controls (29.6 vs 34.8 respectively at 4-6months).
Improvements in the McGill Pain Questionnaire were also greater in the studied
group (19.9 vs 33.3 at 4-6months). Replantation patients benefiting from the PAP
demonstrated superior functional outcomes on self-reported questionnaires, which
could be explained by a better understanding of rehabilitation protocols and
compliance when previous patients are active members of the treating team.
PMID- 29793757
TI - Development and assessment of a simple ecological model (TRIPS) for forests
contaminated by radiocesium fallout.
AB - The management of vast forested zones contaminated by radiocesium (rCs) following
the Chernobyl and Fukushima fallout is of great social and economic concern in
affected areas and requires appropriate dynamic models as predictive or
questioning tools. Generally, the existing radio-ecological models need less
fragmented data and more ecological realism in their quantitative description of
the rCs cycling processes. The model TRIPS ("Transfer of Radionuclide In
Perennial vegetation Systems") developed in this study privileged an integrated
approach which makes the best use of mass balance studies and available explicit
experimental data for Scots pine stands. A main challenge was the differentiation
and calibration of foliar absorption as well as root uptake in order to well
represent the rCs biocycling. The general dynamics of rCs partitioning was
simulated with a relatively good precision against an independent series of
observed values. In our scenario the rCs biological cycling enters a steady-state
about 15 years after the atmospheric deposits. At that time, the simulations
showed an equivalent contribution of foliage and root uptake to the tree
contamination. But the root uptake seems not sufficient to compensate the
activity decline in the tree. The initial foliar uptake and subsequent internal
transfers were confirmed to have a great possible impact on the phasing of tree
contamination. An extra finding concerns the roots system acting as a buffer in
the early period. The TRIPS model is particularly useful in cases where site
specific integrated datasets are available, but it could also be used with
adequate caution to generic sites. This development paves the way for
simplification or integration of new modules, as well as for a larger number of
other applications for the Chernobyl or Fukushima forests once the appropriate
data become available. According to the sensitivity analysis that involves in
particular reliable estimates of net foliar uptake as well as root uptake not
disconnected from rCs exchange reactions in soil.
PMID- 29793758
TI - The IL-33/ST2 pathway, inflammation and atherosclerosis: Trigger and target?
AB - The "inflammatory hypothesis" of atherosclerosis postulates that inflammatory
cell signalling drives the formation, growth and ultimately the instability of
atherosclerotic plaques, setting up the substrate for the thrombotic response
that causes myocardial damage or infarction. The recent Canakinumab
Antiinflammatory Thrombosis Outcome Study (CANTOS) trial has been hailed as the
first demonstration, ex iuvantibus, of the inflammatory hypothesis. Indeed,
interleukin (IL)-1beta inhibition was found to reduce cardiovascular events in
patients with previous myocardial infarction and raised high-sensitivity C
reactive protein, despite no effects on the lipid profile. These results prompt a
dissection of inflammatory mechanisms of atherosclerosis in order to search for
specific biomarkers with prognostic value and/or therapeutic targets. Under this
respect, the IL-33/suppression of tumorigenesis 2 (ST2) pathway deserves
consideration. Indeed, its elements are particularly expressed in the endothelium
of arterial vessels, and the interaction between IL-33 and the ST2 receptor
blunts the immune response characteristic of atherosclerosis. By contrast,
soluble ST2 (sST2) acts as a decoy receptor for IL-33, thus blocking its
protective effects. Despite a solid theoretical framework, no definite
demonstration of an involvement of the IL-33/ST2 pathway in atherosclerosis has
been provided. Therefore, further studies are warranted to verify if elements of
the IL-33/ST2 pathway may be proposed as markers of plaque burden and predictors
of future cardiovascular events, and to explore the potential clinical benefit of
enhanced IL-33/ST2 signalling in atherosclerosis.
PMID- 29793759
TI - Delphi-RAND consensus of the Spanish Society of Internal Medicine on the
controversies in anticoagulant therapy and prophylaxis in medical diseases.
INTROMBIN Project (Uncertainty in thromboprophylaxis in internal medicine).
AB - The aim of this study was to determine the opinion of internists on the
management of anticoagulation and thromboembolism prophylaxis in complex clinical
scenarios in which the risk-benefit ratio of surgery is narrow and to develop a
consensus document on the use of drugs anticoagulant therapy in this patient
group. To this end, we identified by consensus the clinical areas of greatest
uncertainty, a survey was created with 20 scenarios laid out in 40 clinical
questions, and we reviewed the specific literature. The survey was distributed
among the internists of the Spanish Society of Internal Medicine (SEMI) and was
completed by 290 of its members. The consensus process was implemented by
changing the Delphi-RAND appropriateness method in an anonymous, double-round
process that enabled an expert panel to identify the areas of agreement and
uncertainty. In our case, we also added the survey results to the panel, a
methodological innovation that helps provide additional information on the
standard clinical practice. The result of the process is a set of 19
recommendations formulated by SEMI experts, which helps establish guidelines for
action on anticoagulant therapy in complex scenarios (high risk or active
haemorrhage, short life expectancy, coexistence of antiplatelet therapy or
comorbidities such as kidney disease and liver disease), which are not uncommon
in standard clinical practice.
PMID- 29793760
TI - Palliative Care for Patients With Advanced Heart Disease.
AB - Over the past 2 decades, the discipline of palliative care has evolved and
expanded such that it is now the standard of care for a variety of acute and
chronic processes. Although there are recommendations encouraging incorporation
of palliative care into the routine management of patients with chronic cardiac
processes, such as congestive heart failure, implementation has been challenging,
and nowhere more so than in the cardiac surgical population. However, as the
boundaries of surgical care have expanded to include progressively more complex
cases, increasing attention has been given to the integration of palliative care
into their management. In this review article, the authors describe the existing
evidence for palliative care team involvement in patients with non-operative and
surgical cardiac diseases and examine future directions for growth in this field.
PMID- 29793762
TI - Lnc'ing Ca2+, SERCA and cardiac disease.
AB - Loss of SERCA function contributes to reduced contractility, Ca2+ overload and
arrhythmias in the diseased heart. A long non-coding RNA (ZFAS1) upregulated in
cardiac disease is reported to directly inhibit SERCA function. The implications
for cardiac disease and the wider roles of SERCA are discussed.
PMID- 29793761
TI - Steroids and Survival in Critically Ill Adult Patients: A Meta-analysis of 135
Randomized Trials.
AB - OBJECTIVE: Corticosteroids have important effects on intermediate outcomes in
critically ill patients, but their effect on survival is unknown. The objective
of this meta-analysis was to analyze the effect on mortality of corticosteroids
in critical and perioperative settings. DESIGN: A meta-analysis of randomized
trials. SETTING: PubMed, Embase, BioMed Central, Google Scholar, and the Cochrane
Central Register of Controlled Trials were searched to February 1, 2018, for
randomized trials comparing corticosteroids with placebo or standard care.
PARTICIPANTS: Critically ill or surgical adult patients. INTERVENTIONS:
Corticosteroids compared with placebo or standard care. MEASUREMENTS AND MAIN
RESULTS: A total of 44,553 patients from 135 studies were included. Overall,
mortality in the corticosteroid group and in the control group were similar (16%
v 16%; p = 0.9). Subanalyses identified a beneficial effect of corticosteroids on
survival in patients with respiratory system diseases (9% v 13%; p < 0.001) and
bacterial meningitis (28% v 32%; p= 0.04), and a detrimental effect on survival
in patients with traumatic brain injury (22% v 19%; p < 0.001). No differences in
mortality were found in patients with cardiac diseases (7% v 6%; p = 0.7), in
patients undergoing cardiac surgery (2.8% v 3.2% p = 0.14), and when treatment
duration or patient age were considered. CONCLUSIONS: This meta-analysis
documents the safety of corticosteroids in the overall critically ill population
with the notable exception of brain injury patients, a setting where the authors
confirmed their detrimental effect on survival. A possible beneficial effect of
corticosteroids on survival was found among patients with respiratory diseases
and in patients with bacterial meningitis.
PMID- 29793763
TI - Human medial efferent activity elicited by dynamic versus static contralateral
noises.
AB - The medial olivocochlear reflex (MOCR) modifies cochlear amplifier function to
improve encoding of signals in static noise, but conflicting results have been
reported regarding how the MOCR responds to dynamic, temporally-complex noises.
The current study utilized three MOCR elicitors with identical spectral content
but different temporal properties: broadband noise, amplitude-modulated noise,
and speech envelope-modulated noise. MOCR activity was assessed using
contralateral inhibition of transient-evoked otoacoustic emissions in 27 normal
hearing young adults. Elicitors were presented contralaterally at two intensities
of 50 and 60 dB SPL. Magnitude and growth of contralateral inhibition with
increasing elicitor intensity were compared across the three elicitor types.
Results revealed that contralateral inhibition was significantly larger at the
elicitor intensity of 60 dB SPL than at 50 dB SPL, but there were no significant
differences in the magnitude and growth of inhibition across the three elicitors,
contrary to hypothesis. These results suggest that the MOCR responds similarly to
both static and dynamic noise.
PMID- 29793765
TI - Stepping boundary of external force-controlled perturbations of varying
durations: Comparison of experimental data and model simulations.
AB - This study investigated the stepping boundary - the force that can be resisted
without stepping - for force-controlled perturbations of different durations.
Twenty-two healthy young adults (19-37 years old) were instructed to try not to
step in response to 86 different force/time combinations of forward waist-pulls.
The forces at which 50% of subjects stepped (F50) were identified for each tested
perturbation durations. Results showed that F50 decreased hyperbolically when the
perturbation's duration increased and converged toward a constant value (about
10%BW) for longer perturbations (over 1500 ms). The effect of perturbation
duration was critical for the shortest perturbations (less than 1 s). In
parallel, a simple function was proposed to estimate this stepping boundary.
Considering the dynamics of a linear inverted pendulum + foot model and simple
balance recovery reactions, we could express the maximum pulling force that can
be withstood without stepping as a simple function of the perturbation duration.
When used with values of the main model parameters determined experimentally,
this function replicated adequately the experimental results. This study
demonstrates for the first time that perturbation duration has a major influence
on the outcomes of compliant perturbations such as force-controlled pulls. The
stepping boundary corresponds to a constant perturbation force-duration product
and is largely explained by only two parameters: the reaction time and the
displacement of the center of pressure within the functional base of support.
Future work should investigate pathological populations and additional parameters
characterizing the perturbation time-profile such as the time derivative of the
perturbation.
PMID- 29793764
TI - Animal model studies yield translational solutions for cochlear drug delivery.
AB - The field of hearing and deafness research is about to enter an era where new
cochlear drug delivery methodologies will become more innovative and plentiful.
The present report provides a representative review of previous studies where
efficacious results have been obtained with animal models, primarily rodents, for
protection against acute hearing loss such as acoustic trauma due to noise
overexposure, antibiotic use and cancer chemotherapies. These approaches were
initiated using systemic injections or oral administrations of otoprotectants.
Now, exciting new options for local drug delivery, which opens up the
possibilities for utilization of novel otoprotective drugs or compounds that
might not be suitable for systemic use, or might interfere with the efficacious
actions of chemotherapeutic agents or antibiotics, are being developed. These
include interesting use of nanoparticles (with or without magnetic field
supplementation), hydrogels, cochlear micropumps, and new transtympanic
injectable compounds, sometimes in combination with cochlear implants.
PMID- 29793767
TI - Kinematics of knees with osteoarthritis show reduced lateral femoral roll-back
and maintain an adducted position. A systematic review of research using medical
imaging.
AB - BACKGROUND: While several studies describe kinematics of healthy and
osteoarthritic knees using the accurate imaging and computer modelling now
possible, no systematic review exists to synthesise these data. METHOD: A
systematic review extracted quantitative observational, quasi-experimental and
experimental studies from PubMed, Scopus, Medline and Web of Science that
examined motion of the bony or articular surfaces of the tibiofemoral joint
during any functional activity. Studies using surface markers, animals, and in
vitro studies were excluded. RESULTS: 352 studies were screened to include 23
studies. Dynamic kinematics were recorded for gait, step-up, kneeling, squat and
lunge and quasi-static squat, knee flexion in side-lying or supine leg-press.
Kinematics were described using a diverse range of measures including six degrees
of freedom kinematics, contact patterns or the projection of the femoral condylar
axis above the tibia. Meta-analysis of data was not possible since no three
papers recorded the same activity with the same measures. Visual evaluation of
data revealed that knees with osteoarthritis maintained a more adducted position
and showed less posterior translation of the lateral femoral condylar axis than
healthy knees. Variability in activities and in recording measures produced
greater variation in kinematics, than did knee osteoarthritis. CONCLUSION:
Differences in kinematics between osteoarthritic and healthy knees were observed,
however, these differences were more subtle than expected. The synthesis and
progress of this research could be facilitated by a consensus on reference
systems for axes and kinematic reporting.
PMID- 29793766
TI - Biomechanics of the soft-palate in sleep apnea patients with polycystic ovarian
syndrome.
AB - Highly compliant tissue supporting the pharynx and low muscle tone enhance the
possibility of upper airway occlusion in children with obstructive sleep apnea
(OSA). The present study describes subject-specific computational modeling of
flow-induced velopharyngeal narrowing in a female child with polycystic ovarian
syndrome (PCOS) with OSA and a non-OSA control. Anatomically accurate three
dimensional geometries of the upper airway and soft-palate were reconstructed for
both subjects using magnetic resonance (MR) images. A fluid-structure interaction
(FSI) shape registration analysis was performed using subject-specific values of
flow rate to iteratively compute the biomechanical properties of the soft-palate.
The optimized shear modulus for the control was 38 percent higher than the
corresponding value for the OSA patient. The proposed computational FSI model was
then employed for planning surgical treatment for the apneic subject. A virtual
surgery comprising of a combined adenoidectomy, palatoplasty and genioglossus
advancement was performed to estimate the resulting post-operative patterns of
airflow and tissue displacement. Maximum flow velocity and velopharyngeal
resistance decreased by 80 percent and 66 percent respectively following surgery.
Post-operative flow-induced forces on the anterior and posterior faces of the
soft-palate were equilibrated and the resulting magnitude of tissue displacement
was 63 percent lower compared to the pre-operative case. Results from this pilot
study indicate that FSI computational modeling can be employed to characterize
the mechanical properties of pharyngeal tissue and evaluate the effectiveness of
various upper airway surgeries prior to their application.
PMID- 29793768
TI - A laboratory captured "giving way" episode in an individual with chronic ankle
instability.
AB - This brief report details the first ever instrument-based motion description of
an accidental "giving way" episode of the ankle joint incurred by a recreational
male athlete (age = 22 years; height = 1.78 m; body mass = 97 kg) with chronic
ankle instability whilst he was performing a change of direction task. Five
inertial measurement units, as well as a high-speed video camera captured his
lower limb kinematics during the performance of a maximum effort Agility T-Test,
including his accidental "giving way" episode. This episode was analysed and
compared to a previous trial during which no incident occurred. Analysis of the
inertial measurement unit data revealed that the "giving way" episode was
characterised by plantar flexion of the ankle joint, as well as internal rotation
and adduction of the ankle-foot complex, with peak rotational velocities reaching
797 degrees /s, 1088 degrees /s and 1734 degrees /s, respectively. This
instrument-based motion description provides a unique insight into the
characteristic features of a "giving way" episode experienced by a recreational
athlete with chronic ankle instability. These findings could inform the
development of rehabilitation programmes and the design of protective equipment
for individuals with chronic ankle instability.
PMID- 29793769
TI - Report of a man with heterotopic ossification of the legs.
AB - Heterotopic ossification is an uncommon disorder that consists of deposition of
ectopic bone outside the extraskeletal tissues. In the skin, it can be primary,
in association with genetic syndromes, or be secondary to different disorders.
The latter include subcutaneous ossification of the legs in chronic
venousinsufficiency, an infrequent and unrecognized complication. We report the
case of a patient with subcutaneous ossification of both legs secondary to venous
insufficiency and review the literature.
PMID- 29793770
TI - [The respiratory effects of smoking].
AB - A marked increase in the morbidity and mortality of a large number of broncho
pulmonary diseases has been documented in relation to smoking. The influence of
tobacco smoking on various respiratory conditions. is discussed: incidence,
severity or natural history modification of some respiratory illnesses:
obstructive lung diseases (COPD, asthma), lung cancer, bacterial, viral
respiratory infections, with the impact of smoking on tuberculosis. Finally, the
relationship of tobacco with diffuse interstitial lung disease: protective role
of smoking (controversial in sarcoidosis, real in hypersensitivity pneumonitis).
The benefits of smoking cessation are described.
PMID- 29793771
TI - Genetic, host and environmental factors associated with a high prevalence of
Anaplasma marginale.
AB - Anaplasma marginale is the most prevalent vector-borne pathogen in the livestock
industry in Colombia, causing economic losses of approximately USD 4.2 million
per year. The present study reports the seasonal transmission patterns, genetic
diversity and phylogeographic traits of A. marginale strains in cattle and
buffaloes from Colombian livestock areas. A three-point longitudinal survey was
designed to evaluate the above characteristics of farms in the Caribbean and
Orinoquia regions. The A. marginale prevalence was evaluated in 1432 cattle blood
samples, 152 buffalo blood samples and the hemolymph of 439 ticks using semi
nested PCR (sn-PCR) targeting the msp5 gene. The molecular prevalence in cattle
and buffaloes was 54.8% and 13.1%, respectively, with higher values during the
wet and late wet seasons. Factors such as age and production system were
significantly associated with the infection. Rhipicephalus microplus was the only
carrier of A. marginale DNA, with an infection rate of 17.2%. On the other hand,
the tandem repeat and microsatellite analyses of the msp1alpha gene showed high
genetic diversity and new tandem repeats that suggested strain adaptation to
different transmission modes. Phylogeographic analysis using the msp4 gene showed
a relationship between Colombian isolates and Mexican, Brazilian, Venezuelan,
European and Asian isolates, as well as two worldwide haplogroups that were
associated with the geographical origin of each isolate. In conclusion, this
study shows that A. marginale occurs under enzootic stability in both hosts, with
a high prevalence of infection during wet months and in animals dedicated to beef
production. The genetic variability analyses suggest that a high strain diversity
is associated with multiple selective pressures in the study area, while
phylogeographic traits suggest a high genetic similarity between Mexican and
South American strains.
PMID- 29793772
TI - The development of linguistic prediction: Predictions of sound and meaning in 2-
to 5-year-olds.
AB - Language processing in adults is facilitated by an expert ability to generate
detailed predictions about upcoming words. This may seem like an acquired skill,
but some models of language acquisition assume that the ability to predict is a
prerequisite for learning. This raises a question: Do children learn to predict,
or do they predict to learn? We tested whether children, like adults, can
generate expectations about not just the meanings of upcoming words but also
their sounds, which would be critical for using prediction to learn about
language. In two looking-while-listening experiments, we show that 2-year-olds
can generate expectations about meaning based on a determiner (Can you see
one...ball/two...ice creams?) but that even children as old as 5 years do not
show an adult-like ability to predict the phonology of upcoming words based on a
determiner (Can you see a...ball/an...ice cream?). Our results, therefore,
suggest that the ability to generate detailed predictions is a late-acquired
skill. We argue that prediction might not be the key mechanism driving children's
learning, but that the ability to generate accurate semantic predictions may
nevertheless have facilitative effects on language development.
PMID- 29793773
TI - Can emergency physicians accurately distinguish retinal detachment from posterior
vitreous detachment?: A response.
PMID- 29793774
TI - Early diffusion-weighted imaging and outcome prediction of comatose survivors
after suicidal hanging.
AB - PURPOSE: Early outcome prediction after suicidal hanging is challenging in
comatose survivors. We analysed the early patterns of brain diffusion-weighted
magnetic resonance imaging (DWI) abnormalities in comatose survivors after
suicidal hanging. METHODS: After suicidal hanging, 18 comatose survivors were
prospectively evaluated from January 2013 to December 2016. DWI was performed
within 3 h after hanging in comatose survivors. We evaluated Utstein style
variables and analysed abnormal spatial profile of signal intensity on DWI, brain
apparent diffusion coefficient (ADC) values, and qualitative DWI scores to
predict neurological outcomes. RESULTS: All hanging associated cardiac arrest
(CA) patients demonstrated bad neurological outcomes; 80% of non-CA comatose
patients experienced good neurological outcomes. In hanging survivors with CA,
cortical grey matter structures and deep grey nuclei exhibited profound ADC
reductions and high DWI scores within 3 h after hanging, which was associated
with diffuse anoxic brain damage with poor cerebral performance categories
scores. CA comatose survivors had significantly lower ADC values and higher DWI
scores compared to non-CA comatose survivors in the cortex and deep grey nuclei.
CONCLUSION: Although the presence of CA is the most important clinical
prognosticator in hanging-associated comatose survivors, HSI abnormalities and
low ADC values in the cortex and deep grey nuclei on DWI performed within 3 h
after hanging are well-correlated with unfavourable outcomes regardless of
therapeutic hypothermia. Therefore, early DWI may increase the sensitivity of
poor outcome prediction and may be an effective combinatorial screening method
when available prognostic variables are not reliable or conclusive.
PMID- 29793775
TI - Out-of-body experience in the anterior insular cortex during the intracranial
electrodes stimulation in an epileptic child.
AB - The authors present a case of an out-of- body experience (OBE) that occurred in a
child with intractable epilepsy when stimulating the anterior insular cortex
(AIC) by intracranial electrodes. After destroying several sites precisely
located at the left AIC by radiofrequency thermocoagulation, the patient's
seizures disappeared, and the OBE could not be reproduced when repeatedly
stimulating these sites. To our knowledge, it has never been reported that an OBE
occurred in the AIC. We analyze the mechanism of an OBE mostly appearing in
temporo-parietal junction (TPJ) and propose two perspectives for a possible
mechanism involving the AIC causing the OBE.
PMID- 29793776
TI - Primary undifferentiated sarcoma of the meninges: A case report and comprehensive
review of the literature.
AB - BACKGROUND AND IMPORTANCE: Sarcomas make up 1% of all cases of adult cancer, with
5-10% of those classified as undifferentiated pleomorphic sarcomas (UPS/PUS) and
0.1-4.3% primary intracranial sarcomas. Intracranial undifferentiated sarcoma is
characterized by an earlier age of onset and generally poorer prognosis compared
to extracranial undifferentiated sarcomas. Current therapies involve surgical
excision with wide margins and radiotherapy, with minimal data available
regarding the efficacy of chemotherapy. CASE DESCRIPTION: A 79-year-old man with
a history of remote superficial bladder cancer presented with a large frontal
scalp lesion. A biopsy was initially attempted by a dermatologist in the
outpatient setting, but a follow-up CT scan revealed a skull-eroding, enhancing
soft tissue lesion. Neurosurgical treatment revealed an undifferentiated sarcoma.
The patient underwent adjuvant radiation therapy of 59.4 Gy fractionated over 45
days following surgery. Follow-up brain MRIs at 1-, 6-, 9-, 12-, 15-, 21-, and 27
months after surgery have not shown any indications of local recurrence or tumor
metastasis. Despite the high propensity that undifferentiated sarcomas have for
recurrence and metastasis and the patient's advanced age, this patient remains
uniquely disease-free. CONCLUSION: We provide a description of an unusual case
and comprehensive literature review of UPS to clarify the hallmarks of the
disease, identify the difficulties in diagnosis, and provide a summary of
therapies employed in the literature with their corresponding patient outcomes.
PMID- 29793777
TI - Posterior fossa crowdedness in idiopathic trigeminal neuralgia: Is it the real
perpetrator?
PMID- 29793778
TI - Preforming of polydioxanone sheets for orbital wall fractures - A technical note.
AB - INTRODUCTION: Polydioxanone (PDS) sheets are commonly used in the treatment of
orbital wall fractures. A potential drawback of PDS is that it may be difficult
to adapt to the anatomy of the orbital walls. Therefore a study was conceived to
test the feasibility of preforming PDS sheets. MATERIAL AND METHODS: PDS sheet
material was water-heated and preformed using a template based on a statistical
anatomical model. Then the deformed sheet was cooled, stored and compared to the
original model to investigate post-deformation changes. RESULTS: PDS sheet
material could easily be deformed using a mould. No significant post-cooling
shape changes were noticed. CONCLUSIONS: PDS sheet material can be preformed into
complex geometric shapes. This could be a benefit in the treatment of orbital
wall fractures.
PMID- 29793779
TI - Impact of early intravelar veloplasty at six months on mandibular growth in
patients with Pierre Robin Sequence.
AB - Pierre Robin Sequence (PRS) combines mandible microretrognathia, asynchronism of
the pharynx and tongue, glossoptosis and, in some cases, cleft palate. Its
principal functional consequences are respiratory and feeding problems during the
neonatal period. In this study, we focused on the impact of early closure of the
cleft at six months on mandibular growth in patients with PRS. We performed a
retrospective study of 15 patients followed for PRS and undergoing surgery
performed by the same senior surgeon (HB) at our cleft center between 2005 and
2012. These patients underwent early closure of the cleft (at a mean age of 5.87
months) by intravelar veloplasty, as described by Sommerlad. Only one article
with exploitable data analyzing facial and mandibular growth in a cephalometric
study of children with PRS has been published. The children in this series,
constituting the control group for our study, underwent veloplasty between the
ages of 12 and 18 months, often accompanied by labioglossoplasty, and the
cephalometric study was carried out between the ages of four and seven years. We
compared this control group in which surgery was performed at 12-18 months with
our series of children undergoing surgery at six months, in a cephalometric study
based on teleradiographic profile measurements performed between the ages of four
and seven years. We found that early closure of the cleft soft palate yielded
results identical to those for the control group in terms of mandibular growth,
without the need for labioglossopexy. Finally, early intravelar veloplasty led to
early functional improvement in terms of speech and phonation.
PMID- 29793780
TI - Evaluation of the potential efficacy of an ultrasound-guided adductor canal block
technique in dog cadavers.
AB - OBJECTIVE: To evaluate an ultrasound-guided technique for adductor canal (AC)
block by describing the distribution of methylene blue around the AC, popliteal
fossa, saphenous, tibial and common fibular nerves in dog cadavers. STUDY DESIGN:
Prospective experimental trial. ANIMALS: Ten mixed breed canine cadavers weighing
28.55 +/- 3.94 kg. METHODS: Ultrasound scans of the AC were performed bilaterally
in 10 canine cadavers. A high-frequency linear transducer was placed on the long
axis of the pectineus muscle and using an in-plane technique, an insulated needle
was introduced at a proximal to distal direction into the AC. Methylene blue 0.1%
(0.3 mL kg-1) was administered followed by dissection. The presence of dye over
the target nerves for >=2 cm was considered successful distribution. Three of 10
cadavers were submitted to computed tomography (CT) and one of them to magnetic
resonance (MR) evaluation. RESULTS: Methylene blue reached the AC in 20 (100%)
and the popliteal fossa in 17 (85%) pelvic limbs. Staining was successful in the
saphenous nerve (4.0 +/- 1.57 cm) in 11 (55%) limbs, tibial nerve (2.65 +/- 0.8
cm) in six (30%) and common fibular nerve (2.7 +/- 0.9 cm) in four (20%). There
was no evidence of staining around the motor branches of the femoral nerve. No
intraneural or intravascular dye spread was found during dissections. Contrast
distribution to the popliteal fossa was observed in three limbs (50%) in CT and
in one (50%) MR image. CONCLUSIONS AND CLINICAL RELEVANCE: Although the tibial
and common fibular nerves were not stained as often as the saphenous nerve, dye
was encountered throughout the popliteal fossa near the nerves. The AC block may
be useful for intra and postoperative analgesia in stifle surgery with minimal
femoral motor dysfunction. However, further study is required to confirm its
efficacy and safety in vivo.
PMID- 29793781
TI - Thoracic aortic injury caused by chest tube.
PMID- 29793782
TI - Amiodarone induced myxedema coma: Two case reports and literature review.
AB - Amiodarone is a benzofuran derivative that contains 37% iodine by weight and is
structurally similar to the thyroid hormones. Amiodarone has a complex effect on
the thyroid gland, ranging from abnormalities of thyroid function tests to overt
thyroid dysfunction, with either thyrotoxicosis or hypothyroidism. Myxedema coma
secondary to amiodarone use has been rarely reported in the literature. Our two
case reports are an add on to the literature, and illustrate that amiodarone is
an important cause of thyroid dysfunction including hypothyroidism and myxedema
coma. Hence, healthcare providers should have a high index of suspicion for these
conditions while treating patients who are taking amiodarone therapy as early
recognition and management are essential to optimize outcomes.
PMID- 29793783
TI - Letter to the Editor regarding recent Heart and Lung: The Journal of Acute and
Critical Care Article "In-hospital outcomes of percutaneous ventricular assist
devices versus intra-aortic balloon pumps in non-ischemia related cardiogenic
shock" by Ogunbayo et al.
PMID- 29793784
TI - An assessment of the quality and content of information on diverticulitis on the
internet.
AB - AIM: Although commonly the first port of call for medical information, the
internet provides unregulated information of variable quality. We aimed to
evaluate commonly accessed web-based patient information on diverticulitis using
validated and novel scoring systems. METHODS: The top internet search engines
(Google/Bing/Yahoo) were queried using the keyword 'diverticulitis.' The first 20
websites from each were graded using the DISCERN and Journal of the American
Medical Association (JAMA) benchmark criteria. A novel diverticulitis-specific
score was devised and applied. RESULTS: Thirty-six unique websites were
identified. The mean total DISCERN score for all websites was 39.92 +/- 12.44
(range = 18-62). No website achieved the maximum DISCERN score of 75. The mean
JAMA and diverticulitis scores were 2.5 +/- 1.08 (maximum possible score = 4) and
11.08 +/- 4.17 (19 points possible) respectively. Fourteen (35.9%) and 20 (51.2%)
did not provide the date of last update and authorship respectively. Thirty-three
(84.6%) mentioned surgery as a treatment option; however, the majority (69.7%)
did not describe the surgery or the possibility of a stoma. All except two
described disease symptoms. Only ten (25.64%) provided information on when to
seek further medical advice or help. CONCLUSION: Web-based information on
diverticulitis is of variable content and quality. The majority of top websites
describe disease symptoms and aetiology; however, information to prompt seeking
medical attention if required, descriptions of surgical procedures and the
possibility of stoma creation are poorly described in the majority of websites.
These findings should be highlighted to patients utilising the internet to obtain
information on diverticulitis.
PMID- 29793785
TI - Determinants of physical activity promotion by smoking cessation advisors.
AB - OBJECTIVE: To investigate the cross-sectional association between personal
physical activity (PA) level, Theory of Planned Behavior (TPB) constructs toward
PA promotion, and PA promotion behavior among smoking cessation advisors. METHOD:
149 smoking cessation advisors were invited to complete online questionnaires.
Hypotheses were tested using Bayesian path analysis. RESULTS: Attitudes and
perceived behavioral control (PBC) of smoking cessation advisors were related to
PA promotion intentions; intentions were in turn related to PA promotion
behaviors. Advisors' personal PA level was indirectly associated with PA
promotion behaviors through PBC and PA promotion intentions. CONCLUSION: The TPB
is a relevant theoretical framework with which to explore determinants of PA
promotion behavior among smoking cessation advisors. The PA level of health care
professionals may be linked to PA promotion behavior through some TPB constructs.
PRACTICE IMPLICATIONS: Smoking cessation advisor training should include
education on attitude development (e.g., PA benefits on smoking cessation), PBC
(e.g., modality of PA prescription) and PA promotion intentions (e.g., goal
setting). Smoking cessation advisors should also be encouraged to regularly
practice PA in order to improve their PA promotion behaviors.
PMID- 29793786
TI - Factors associated with physical activity promotion by allied and other non
medical health professionals: A systematic review.
AB - OBJECTIVE: To identify factors associated with non-medical health professionals'
engagement in physical activity (PA) promotion. METHODS: Five electronic
databases were searched for studies including practising health professionals
(excluding medical doctors), a PA promotion practice measure, a test of
association between potential influencing factors and PA promotion practice, and
written in English. Two researchers independently screened studies and extracted
data. Extracted data were synthesized in a tabular format with a narrative
summary (thematic analysis). RESULTS: Thirty studies involving 7734 non-medical
health professionals were included. Self-efficacy in PA promotion, positive
beliefs in the benefits of PA, assessing patients' PA, and PA promotion training
were the main factors associated with engaging in PA promotion. Lack of
remuneration was not associated. Common study limitations included a lack of
information on non-responders, data collection by survey only and limited
reliability or validity testing of measurements. CONCLUSIONS: There are common
factors influencing PA promotion, but the absence of studies from some health
professions, limitations related to study measures, and the lack of randomised
controlled intervention trials highlights the need for further research. PRACTICE
IMPLICATIONS: The factors identified may prove useful for guiding the development
of strategies to encourage greater engagement in PA promotion by health
professionals.
PMID- 29793787
TI - [A spontaneous hyphema].
PMID- 29793788
TI - [Idiopathic sclerochoroidal calcifications associated with asymptomatic
polypoidal choroidal vasculopathy, a case report].
PMID- 29793789
TI - Axenfeld-Rieger syndrome.
PMID- 29793790
TI - [Retinal arterial occlusion associated with meningoencephalitis in the Behcet
disease: Case report].
PMID- 29793792
TI - Dietary signals in the premolar dentition of primates.
AB - Dietary adaptations specific to the premolar row remain largely undocumented
across primates. This study examines how relative premolar size varies among
broad dietary groups (i.e., folivores, frugivores, insectivores, hard-object
feeders) using a phylogenetically and ecologically diverse sample of species. We
quantified relative premolar size with shape ratios computed using mandibular
length, body mass, palate area, and M1 area to evaluate hypotheses that link
variation in relative premolar size to differences in tooth loading, energy
requirements, the probability of tooth-food-tooth contact during mastication, and
shifts in preferred bite point. Our results revealed the following dietary
signals. First, primate folivores have large premolar rows relative to palate
area in comparison to frugivores and insectivores. This contrast is consistent
with the hypothesis that folivores require large postcanine teeth relative to the
size of the oral cavity to increase the probability of particle fracture during
mastication. Second, hard-object feeders are distinct from other groups in having
P4s that are large relative to their M1s. This morphology is not associated with
an increase in the size of the premolar row relative to mandibular length. This
combination challenges the idea that hard-object feeders have large premolars as
an adaptive response to resisting the loads incurred when processing mechanically
challenging foods. We therefore interpret the large P4/M1 ratios of hard-object
feeders as indicating greater functional integration across the premolar-molar
boundary owing to a mesial shift in preferred bite point. Finally, in a
restricted subset of anthropoids, we found that, relative to mandibular length,
premolar area increases with dietary elastic modulus (E) and toughness (R),
indicating that relative premolar size is evolutionarily sensitive to food
mechanical properties. Thus, our results show that relative premolar size is
correlated with diet, highlighting the importance of this region for
understanding the evolutionary history of primate dietary adaptations.
PMID- 29793791
TI - Cranial vault thickness variation and inner structural organization in the StW
578 hominin cranium from Jacovec Cavern, South Africa.
AB - The Sterkfontein Caves site is one of the richest early hominin fossil localities
in Africa. More specifically, the fossiliferous deposits within the lower-lying
Jacovec Cavern have yielded valuable hominin remains; prominent among them is the
Australopithecus partial cranium StW 578. Due to the fragmentary nature of the
braincase, the specimen has not yet been formally assigned to a species. In this
context, we employ microtomography to quantify cranial thickness and composition
of StW 578 in order to assess its taxonomic affinity. As comparative material, we
investigate 10 South African hominin cranial specimens from Sterkfontein (StW
505, Sts 5, Sts 25, Sts 71), Swartkrans (SK 46, SK 48, SK 49) and Makapansgat
(MLD 1, MLD 10, MLD 37/38), attributed to either Australopithecus or
Paranthropus, as well as 10 extant human and 10 extant chimpanzee crania.
Thickness variation in and structural arrangement of the inner and outer cortical
tables and the diploe are automatically assessed at regular intervals along one
parasagittal and one coronal section. Additionally, topographic cranial vault
thickness distribution is visualized using color maps. Comparisons highlight an
absolutely and relatively thickened condition of the StW 578 cranial vault versus
those of other South African Plio-Pleistocene hominins. Moreover, in StW 578, as
well as in the Australopithecus specimens Sts 5 and Sts 71 from Sterkfontein, the
diploic layer contributes substantially to cumulative vault thickness (i.e.,
>60%). Within the comparative sample investigated here, StW 505 and Sts 71 from
Sterkfontein Member 4, both attributed to Australopithecus, most closely resemble
StW 578 in terms of cranial vault thickness values, tissue proportions, and two-
and three-dimensional distributions. Including additional Plio-Pleistocene
Australopithecus and Paranthropus crania from South and East Africa in future
studies would further help establish morphological variability in these hominin
taxa.
PMID- 29793793
TI - Mechanical behavior of CAD/CAM occlusal ceramic reconstruction assessed by
digital color holography.
AB - OBJECTIVES: CAD/CAM ceramic occlusal veneers are increasingly used as therapeutic
options. However, little is known about their mechanical behavior under stress,
as the response of the prepared tooth that supports it. The aim of this article
is to use for the first time 3D color holography to evaluate the behavior of a
molar occlusal veneer under stress and the response of the prepared tooth.
METHODS: The occlusal surface of a lower molar is prepared to receive a specific
monolithic ceramic reconstruction manufactured with a chairside CAD/CAM system.
Longitudinally cut samples are used to get a planar object observation and to
"look inside" the tooth. A digital holographic set-up permits to obtain the
contact-less and one-shot measurement of the three-dimensional displacement field
at the surface of the tooth sample; stain fields are evaluated with low noise
sensitive computation. RESULTS: Figures show the strain fields with micro-strain
units and highlight the behavior of the ROI (region of interest) in the three
directions of space. The ROI are: the ceramic, the glue junction, the dentin
enamel junction, dentin and enamel. The results show an excellent behavior of the
restored tooth without areas of excessive stress concentrations, but also a
significant involvement of the dentin enamel junction. SIGNIFICANCE: The ceramic
occlusal veneer seems to behave in accordance with the biomechanical concepts
ensuring the longevity of the reconstituted tooth. 3D holography is a highly
recommended method for studying dental biomechanics.
PMID- 29793794
TI - Biaxial flexural strength of the bilayered disk composed of ceria-stabilized
zirconia/alumina nanocomposite (Ce-TZP/A) and veneering porcelain.
AB - OBJECTIVE: Herein we investigated the flexural strengths of bilayered ceria
stabilized zirconia/alumina nanocomposite (Ce-TZP/A) disks using different
veneering porcelains. METHODS: Commercial (VITA VM9, Cercon Ceram Kiss, and IPS
e.max Ceram) and experimental porcelains (Vintage ZR with coefficient of thermal
expansions: CTEs of 8.45, 9.04, and 9.61ppm/ degrees C) with various layer
thicknesses (1.0, 1.5, and 2.0mm) were applied to Ce-TZP/A disks (0.8mm
thickness, n=180). Biaxial flexural tests of the specimens with the porcelain
layer in tension were evaluated based on the piston-on-three-ball method (ISO
6872: 2008). The calculated strengths were statistically analyzed using the two
parameter Weibull distribution with the maximum likelihood estimation. RESULTS:
Although no significant differences were observed among the experimental
porcelains, most specimens with the thinner layer of commercial porcelain showed
higher Weibull characteristic strengths at the external surfaces than those with
the thicker layer. Irrespective of the porcelain material, the thinner porcelain
layer showed significantly higher strengths at the interface between the layers.
Fracture origins were always observed at the bottom surface and continuously
propagated into Ce-TZP/A substrates. The maximum tensile stress was located at
the interface in specimens with the 1.0mm porcelain layer, except for IPS e.max
Ceram. Porcelain delamination was dominant in the case of the higher CTE value
and thicker layer thickness of the porcelain. SIGNIFICANCE: The calculated
biaxial flexural strengths and the stress distributions for bilayered Ce-TZP/A
disks were dependent on the porcelain materials. Optimum behavior was observed
for a combination of a small CTE mismatch between the materials and a low core-to
porcelain thickness ratio.
PMID- 29793796
TI - Emerging IL-12 family cytokines in the fight against fungal infections.
AB - Invasive fungal infections cause approximately 1.5 million deaths per year
worldwide and are a growing threat to human health. Current anti-fungal therapies
are often insufficient, therefore studies into host-pathogen interactions are
critical for the development of novel therapies to improve mortality rates.
Myeloid cells, such as macrophages and dendritic cells, express pattern
recognition receptor (PRRs), which are important for fungal recognition.
Engagement of these PRRs by fungal pathogens induces multiple cytokines, which in
turn activate T effector responses. Interleukin (IL)-12 family members (IL-12p70,
IL-23, IL-27 and IL-35) link innate immunity with the development of adaptive
immunity and are also important for regulating T cell responses. IL-12 and IL-23
have established roles during anti-fungal immunity, whereas emerging roles for IL
27 and IL-35 have recently been reported. Here, we discuss the IL-12 family,
focusing on IL-27 and IL-35 during anti-fungal immune responses to pathogens such
as Candida and Aspergillus.
PMID- 29793795
TI - Effect of selective carious tissue removal on biomechanical behavior of class II
bulk-fill dental composite restorations.
AB - OBJECTIVES: This study aimed to develop a method to induce carious lesions in the
pulpal floor dentin of a class II cavity preparation, and to determine the
effects of this carious lesion on the biomechanical behavior of the dental
composite restoration. METHODS: The pulpal floor dentin of class I cavities in
sound third molars were demineralised with acetic acid for 35days followed by a 7
day exposure to pooled human saliva biofilm and demineralization was verified by
micro-CT. Subsequently, the proximal walls were removed forming a class II cavity
and the caries lesion was left intact or was completely removed prior to
restoration with a bulk-fill dental composite (n=10). Cuspal deflection was
assessed by strain-gauge and micro-CT imaging. The presence of enamel cracks was
assessed by transillumination before and after restoration, and again after
1,200,000 cycles of mechanical fatigue in a chewing simulator. Finally,
resistance to fracture by axial compressive loading and failure mode was
determined. Data were analyzed by 2-way repeated measures ANOVA, Fisher's exact
test, and t-test (alpha=0.05). RESULTS: The presence of carious lesions had no
significant effect upon cuspal deflection, formation of enamel cracks, and
fracture strength of the dental composite restorations. The restorative procedure
increased the number of enamel cracks, which was not affected by mechanical
cycling. SIGNIFICANCE: Maintaining carious lesions does not affect the
biomechanical behavior of class II restorations performed with bulk-fill dental
composite.
PMID- 29793798
TI - The effects of platelet rich plasma on healing of full thickness burns in swine.
AB - INTRODUCTION: Platelet rich plasma (PRP) is rich in growth factors and has been
shown to improve healing in a variety of wounds. We determined the effects of PRP
on healing and scarring in full thickness porcine burns with and without
tangential excision and grafting (TEG). METHODS: Standardized full thickness 5cm
by 5cm burns were created on each of the backs and flanks of 10 anesthetized
female pigs (25kg) using a validated model. The burns were created with a heating
device that emits heat at a temperature of 400 degrees C for a period of 30s. The
burns were randomized to one of six treatments: no TEG or PRP, no TEG+PRP, early
(day 2) TEG and no PRP, early TEG+PRP, late (day 14) TEG and no PRP, and late
TEG+PRP. Tangential excision was performed down to viable tissue and autografts
were 0.2mm thick. When used, a thin layer of autologous PRP was applied below the
graft. All wounds were then treated with a topical antibiotic ointment 3 times
weekly for 42 days. Digital images and full thickness biopsies were taken at 9,
11, 14, 18, 21, 28, 35 and 42days after injury to determine percentage
reepithelialization, scar depth, and scar contraction. Tissue sections were
stained with H&E and viewed by a dermatopathologist masked to treatment
assignment. RESULTS: There was no reduction in platelet and white blood cell
concentrations in PRP and blood samples for the first 14days after-full thickness
burns. A total of 120 burns were created on 10 animals evenly distributed between
the six treatment groups. Burns undergoing early TEG reepithelialized fastest and
with the thinnest scars followed by late TEG. Burns that did not undergo TEG had
the slowest reepithelialization and greatest amount of scarring. Application of
PRP had no additional effects on reepithelialization, scar depth, or scar
contraction in any of the treatment groups. CONCLUSIONS: Addition of PRP had
similar effects on reepithelialization and scarring of full thickness porcine
burns as standard topical antibiotic ointment regardless of whether the burns
underwent excision or grafting or the timing of excision and grafting.
PMID- 29793797
TI - Effect of blood transfusions on oncological outcomes of surgically treated
localized renal cell carcinoma.
AB - OBJECTIVE: To assess the associations between perioperative allogeneic blood
transfusions (ABTs) and recurrence, overall and renal cell carcinoma (RCC)
specific survival in patients undergoing surgical treatment for clinically
localized disease. MATERIALS AND METHODS: We performed a retrospective review of
1,056 consecutive patients undergoing surgical treatment (radical or partial
nephrectomy) for clinically localized RCC between 2000 to 2010. Demographic (age,
race, and sex) clinical (preoperative hemoglobin and hematocrit, type of surgery
[partial or radical nephrectomy]), and pathological (T and N stages, RCC
histotype, grade) data were compared between patients receiving perioperative
(intraoperative or postoperative) blood transfusions and those who are not.
Distant and local recurrence-free survival, overall survival, RCC-specific
survival were recorded and Kaplan-Meier survival curves as well as multivariable
proportional regression models adjusted for clinical and pathological
characteristics were produced. RESULTS: On multivariable analyses adjusted for
clinical and pathological characteristics, the receipt of ABTs was associated
with lower recurrence-free (HR = 1.86, P = 0.002), overall (HR = 1.83, P =
0.016), and RCC-specific survival (HR = 2.12, P = 0.031). The negative effect of
ABTs was apparent for distant (HR = 2.24, P<0.001) but not local recurrences (HR
= 0.78, P = 0.643). Limitations include retrospective nature and lack of uniform
criteria for blood transfusion during the study period. CONCLUSIONS: In this
study, perioperative ABTs were independently associated with worse oncological
outcomes in patients with clinically localized RCC. Receipt of ABT was associated
with roughly a 2-fold increase in the hazard of metastatic progression, all-cause
and RCC-specific mortality. Further research is needed on the mechanisms of
transfusion-induced immunomodulation, alternative transfusion protocols and
methods for autologous blood transfusion and recovery.
PMID- 29793799
TI - Caseload midwifery - A way forward for a model of care with historical roots.
PMID- 29793800
TI - Haemodynamic responses of wearing low-pressure sports compression tights during
an orthostatic challenge in healthy individuals.
AB - OBJECTIVES: While previous studies have demonstrated an ergogenic effect of sport
compression garments in exercise performance and recovery, the possible
underlying mechanisms remain unclear. Claims for improved venous return from
wearing sport compression garments with a low compression pressure remain
unproven. The aim of this study was to determine the pressure profile exerted by
low-pressure sports compression tights, and to investigate using a non-invasive
Doppler ultrasound cardiac output monitor (USCOM), whether the compression
applied will influence haemodynamic responses during an orthostatic challenge.
DESIGN: A randomized-crossover design. METHODS: Thirty-three healthy participants
(18 male and 15 female) underwent two trials, each consisting of lying supine and
70 degrees head-up tilt wearing either a low-pressure sports compression tights
(CT) or track pants (CON). Stroke volume (SV) and cardiac output (CO) were
measured non-invasively using USCOM; heart rate (HR) and non-invasive blood
pressure were measured, and systemic vascular resistance (SVR) was derived.
RESULTS: The SV and CO was lower at 70 degrees head-up tilt than in supine but
wearing CT attenuated the decline in SV (25.9+/-11.6cm3 CT vs. 35.9+/-11.4cm3
CON, p<0.001), CO (1.1+/-0.7 Lmin-1 CT vs. 1.4+/-0.6 Lmin-1 CON, p<0.05), HR
(8.8+/-8.4 beatmin-1 CT vs. 15.9+/-9.7 beatmin-1 CON, p<0.001) and SVR (740+/
504dscm-5 CT vs. 961+/-560dscm-5 CON, p<0.005). The mean arterial pressure (3.3+/
4.1mmHg CT vs. 3.6+/-4.5mm Hg CON, p>0.05) was similar in both trials.
CONCLUSIONS: Healthy individuals wearing low-pressure sports compression tights
experienced less severe haemodynamic disturbance such as decreases in CO, SV, HR
and SVR during an orthostatic challenge.
PMID- 29793802
TI - Post-stroke Movement Disorders: The Clinical, Neuroanatomic, and Demographic
Portrait of 284 Published Cases.
AB - PURPOSE: Abnormal movements are a relatively uncommon complication of strokes.
Besides the known correlation between stroke location and certain movement
disorders, there remain uncertainties about the collective effects of age and
stroke mechanism on phenomenology, onset latency, and outcome of abnormal
movements. MATERIALS AND METHODS: We systematically reviewed all published cases
and case series with adequate clinical-imaging correlations. A total of 284 cases
were analyzed to evaluate the distribution of different movement disorders and
their association with important cofactors. RESULTS: Posterolateral thalamus was
the most common region affected (22.5%) and dystonia the most commonly reported
movement disorder (23.2%). The most common disorders were parkinsonism (17.4%)
and chorea (17.4%) after ischemic strokes and dystonia (45.5%) and tremor (19.7%)
after hemorrhagic strokes. Strokes in the caudate and putamen were complicated by
dystonia in one third of the cases; strokes in the globus pallidus were followed
by parkinsonism in nearly 40%. Chorea was the earliest poststroke movement
disorder, appearing within hours, whereas dystonia and tremor manifested several
months after stroke. Hemorrhagic strokes were responsible for most delayed-onset
movement disorders (>6 months) and were particularly overrepresented among
younger individuals affected by dystonia. CONCLUSIONS: This evidence-mapping
portrait of poststroke movement disorders will require validation or correction
based on a prospective epidemiologic study. We hypothesize that selective network
vulnerability and resilience may explain the differences observed in movement
phenomenology and outcomes after stroke.
PMID- 29793801
TI - Therapeutic Effects of Pretreatment with Tocovid on Oxidative Stress in
Postischemic Mice Brain.
AB - BACKGROUND: Dietary supplement is an attempt to reduce the risk of ischemic
stroke in high-risk population. A new mixed vitamin E-Tocovid that mainly
contains tocotrienols other than tocopherol, attenuated the progression of white
matter lesions by oral in humans. However, the effect of Tocovid on ischemic
stroke has not been examined. In the present study, we assessed the therapeutic
effects of Tocovid pretreatment on transient middle cerebral artery occlusion
(tMCAO) in mice. MATERIALS AND METHODS: After pretreatment with Tocovid (200
mg/kg/d) or vehicle for 1 month, 60-minute tMCAO was performed, and these mice
were examined at 1 day, 3 days, and 7 days after reperfusion. We histologically
assessed the effects of Tocovid pretreatment on the expressive changes of
oxidative stress markers, cleaved caspase-3, and LC3-II after tMCAO in mice.
RESULTS: We observed that Tocovid pretreatment significantly improved the rotarod
time, reduced infarct volume, decreased the number of 4-HNE, nitrotyrosine, and 8
OhdG positive cells, inhibited advanced glycation end products biomarkers RAGE,
CMA, and CML expressions, and increased Nrf2 and MRP1 levels with GSSG/GSH ratio
decrease. Furthermore, Tocovid pretreatment greatly decreased cleaved caspase-3
and LC3-II expressions after tMCAO. CONCLUSIONS: The present study obviously
demonstrated that Tocovid pretreatment showed neuroprotective effects against
oxidative stress and at least in part by antiapoptotic/autophagic cell death in
ischemic mice brain.
PMID- 29793803
TI - Age-specific ovarian cancer risks among women with a BRCA1 or BRCA2 mutation.
AB - OBJECTIVES: For women at high risk of developing ovarian cancer, it is important
to provide an accurate recommendation for the optimal age for preventive surgery
in order to maximize the preventative effect while delaying symptoms associated
with early surgical menopause. The goal of the current study was to estimate age
specific incidence rates of ovarian cancer among women with a BRCA1 or BRCA2
mutation. METHODS: From our international registry, we identified 5689 women with
no previous diagnosis of ovarian or fallopian tube cancer or preventive
oophorectomy. Women were followed from the date of completion of the baseline
questionnaire until either a diagnosis of ovarian or fallopian tube cancer,
prophylactic oophorectomy, death or last follow-up. The annual and cumulative
incidence rates of ovarian cancer were estimated. RESULTS: Over a mean follow-up
period of 4.7 years (ranges 0-22.6), 195 incident ovarian or fallopian tube
cancers were diagnosed (169 [86%] ovarian cancers, 22 [11%] fallopian tube
cancers and four [2%] cancers that involved both the ovaries and fallopian
tubes). Of these, 45 (23%) cancers were diagnosed at preventive surgery (occult
cancers). The cumulative risk of ovarian cancer to age 80 was 49% for BRCA1 and
21% for BRCA2 mutation carriers. The mean age at diagnosis was 51.3 years (ranges
33-84) among women with a BRCA1 mutation and 61.4 years (ranges 44-80) among
women with a BRCA2 mutation. CONCLUSION: Based on a cumulative risk of 0.55% to
age 35 for BRCA1 mutation carriers and of 0.56% to age 45 for BRCA2 mutation
carriers, we recommend bilateral salpingo-oophorectomy before age 40, but ideally
by age 35, for women with a BRCA1 mutation and by age 45 for those with a BRCA2
mutation to maximize prevention and to minimize adverse effects.
PMID- 29793805
TI - Citizen Science: A Gateway for Innovation in Disease-Carrying Mosquito
Management?
AB - Traditional methods for tracking disease-carrying mosquitoes are hitting budget
constraints as the scales over which they must be implemented grow exponentially.
Citizen science offers a novel solution to this problem but requires new models
of innovation in the public health sector.
PMID- 29793804
TI - Massively parallel sequencing analysis of mucinous ovarian carcinomas: genomic
profiling and differential diagnoses.
AB - OBJECTIVE: Mucinous ovarian cancer (MOC) is a rare type of epithelial ovarian
cancer resistant to standard chemotherapy regimens. We sought to characterize the
repertoire of somatic mutations in MOCs and to define the contribution of
massively parallel sequencing to the classification of tumors diagnosed as
primary MOCs. METHODS: Following gynecologic pathology and chart review, DNA
samples obtained from primary MOCs and matched normal tissues/blood were
subjected to whole-exome (n = 9) or massively parallel sequencing targeting 341
cancer genes (n = 15). Immunohistochemical analysis of estrogen receptor,
progesterone receptor, PTEN, ARID1A/BAF250a, and the DNA mismatch (MMR) proteins
MSH6 and PMS2 was performed for all cases. Mutational frequencies of MOCs were
compared to those of high-grade serous ovarian cancers (HGSOCs) and mucinous
tumors from other sites. RESULTS: MOCs were heterogeneous at the genetic level,
frequently harboring TP53 (75%) mutations, KRAS (71%) mutations and/or CDKN2A/B
homozygous deletions/mutations (33%). Although established criteria for diagnosis
were employed, four cases harbored mutational and immunohistochemical profiles
similar to those of endometrioid carcinomas, and one case for colorectal or
endometrioid carcinoma. Significant differences in the frequencies of KRAS, TP53,
CDKN2A, FBXW7, PIK3CA and/or APC mutations between the confirmed primary MOCs (n
= 19) and HGSOCs, mucinous gastric and/or mucinous colorectal carcinomas were
found, whereas no differences in the 341 genes studied between MOCs and mucinous
pancreatic carcinomas were identified. CONCLUSIONS: Our findings suggest that the
assessment of mutations affecting TP53, KRAS, PIK3CA, ARID1A and POLE, and DNA
MMR protein expression may be used to further aid the diagnosis and treatment
decision-making of primary MOC.
PMID- 29793807
TI - [Benign pulmonary metastases from a leiomyoma].
AB - INTRODUCTION: Benign metastasizing leiomyoma (BML) is a rare cause of pulmonary
nodules. They can occur in women of reproductive age who have undergone
hysterectomy for uterine leiomyoma. OBSERVATION: We report the case of a 46-year
old women, who was incidentally found to have bilateral pulmonary cavitating
nodules. Pathology exam was consistent with BML. CONCLUSION: Although BML is a
rare cause of pulmonary nodules, it should be considered as one of the
possibilities especially in young women with a history of hysterectomy for
leiomyoma.
PMID- 29793806
TI - Diverse Host and Restriction Factors Regulate Mosquito-Pathogen Interactions.
AB - Mosquitoes transmit diseases that seriously impact global human health. Despite
extensive knowledge of the life cycles of mosquito-borne parasites and viruses
within their hosts, control strategies have proven insufficient to halt their
spread. An understanding of the relationships established between such pathogens
and the host tissues they inhabit is therefore paramount for the development of
new strategies that specifically target these interactions, to prevent the
pathogens' maturation and transmission. Here we present an updated account of the
antagonists and host factors that affect the development of Plasmodium, the
parasite causing malaria, and mosquito-borne viruses, such as dengue virus and
Zika virus, within their mosquito vectors, and we discuss the similarities and
differences between Plasmodium and viral systems, looking toward the elucidation
of new targets for disease control.
PMID- 29793808
TI - Best Practice for Implementation of the SCORE Portal in General Surgery Residency
Training Programs.
AB - INTRODUCTION: The Surgical Council on Resident Education (SCORE) has presented a
workshop annually at the annual meeting of the Association of Program Directors
(APDS) to discuss the evolution of the SCORE portal and best practices for
implementation within residency training programs. METHODS/RESULTS: A review of
the literature was undertaken, along with a summation of discussion at these
several workshops. A history of the SCORE project and a summary of its
organizational framework and content are presented. In addition, best practices
for use of SCORE within programs are described. CONCLUSIONS: The SCORE portal is
now a decade old, and is used ubiquitously in US surgical training programs. With
this experience, there is data to show the utility of SCORE to support trainee
learning and programmatic didactics.
PMID- 29793810
TI - Call for Papers: MT/MTNA Special Issue on mRNA Therapy.
PMID- 29793809
TI - DNA-Based Dynamic Reaction Networks.
AB - Deriving from logical and mechanical interactions between DNA strands and
complexes, DNA-based artificial reaction networks (RNs) are attractive for their
high programmability, as well as cascading and fan-out ability, which are similar
to the basic principles of electronic logic gates. Arising from the dream of
creating novel computing mechanisms, researchers have placed high hopes on the
development of DNA-based dynamic RNs and have strived to establish the basic
theories and operative strategies of these networks. This review starts by
looking back on the evolution of DNA dynamic RNs; in particular' the most
significant applications in biochemistry occurring in recent years. Finally, we
discuss the perspectives of DNA dynamic RNs and give a possible direction for the
development of DNA circuits.
PMID- 29793811
TI - Chronic Multifocal Paracoccidioidomycosis: Pulmonary and Cutaneous Involvement.
PMID- 29793812
TI - Emergency Care for Children in the United States: Epidemiology and Trends Over
Time.
AB - BACKGROUND: The emergency care system for children in the United States is
fragmented. A description of epidemiological trends based on emergency department
(ED) volume over time could help focus efforts to improve emergency care for
children. OBJECTIVES: To describe the trends of emergency care for children in
the United States from 2006-2014 in EDs across different pediatric volumes.
METHODS: We analyzed pediatric visits to EDs using the Health Care Utilization
Project Nationwide Emergency Department Sample in a representative sample of
1,000 EDs annually from 2006-2014. We report trends in disease severity,
mortality, and transfers based on strata by pediatric volume and other hospital
characteristics. RESULTS: From 2006-2014, there were 318,114,990 pediatric ED
visits. Pediatric visits remained steady but declined as a percentage of total
visits (-3.91%, p = 0.0007). The majority (92.7%) of children were cared for in
lower-volume EDs (<50,000 pediatric visits/year), where mortality was higher vs.
the highest-volume EDs. Mortality decreased over time (0.34/1,000 to 0.27, p =
0.0099), whereas interhospital transfers increased (p = 0.0020). ED visits
increased for children with Medicaid insurance (40.7% to 56.7%, p < 0.0001),
whereas rates of self-pay insurance decreased (13.6% to 9.45%, p = 0.0006). The
most common reasons for pediatric ED visits were trauma (25.6%); ear, nose, and
throat; dental/mouth disorders (21.8%); gastrointestinal diseases (17.0%); and
respiratory diseases (15.6%). CONCLUSIONS: Overall, pediatric ED visits have
remained stable, with lower mortality rates, whereas Medicaid-funded pediatric
visits have increased over time. Most children still seek care in lower-volume
EDs. Efforts to improve pediatric care could be best focused on lower-volume EDs
and interhospital transfers.
PMID- 29793813
TI - Umbilical Hernia with Evisceration. Two Cases and a Review of the Literature.
AB - BACKGROUND: Evisceration of umbilical hernias is an uncommon occurrence whereby
the hernial contents break through the skin overlying the sac and skin.
Irrespective of cause, sudden evisceration of an umbilical hernia is associated
with deterioration and a poor outcome. CASE REPORTS: Our first case was a 42-year
old woman who presented with sudden outpouring of fluid from the umbilicus with
omental evisceration. Further evaluation revealed hepatic decompensation caused
by hepatitis C infection belonging to Child-Turcotte-Pugh class C. After
stabilizing her hemodynamically, she underwent a partial omentectomy with primary
repair of umbilical defect. The patient's postoperative course was challenging.
She died of septicemia and acute renal failure after 5 days. Our second case was
a 40-year-old man who suffered from alcohol-induced cirrhosis, presenting with
omental evisceration, belonging to Child-Turcotte-Pugh class C. We performed a
primary repair of the hernial defect with peritoneovenous shunting for his
intractable ascites. Upper gastrointestinal endoscopy revealed grade I esophageal
varices. The patient succumbed to acute variceal hemorrhage with acute renal
failure 18 days later. WHY SHOULD AN EMERGENCY PHYSICIAN BE AWARE OF THIS?: In an
emergent setting with multiple factors influencing final surgical outcome, it is
imperative that management be tailored for each patient. Those with severe
encephalopathy or cardiovascular instability must be stabilized before surgical
intervention. Central venous and blood pressures need to be closely monitored
during resuscitation, as fervent fluid administration may predispose to variceal
hemorrhage. It may be prudent to follow the principle of hypotensive
resuscitation as in acute trauma cases.
PMID- 29793814
TI - Chest Pain as a Manifestation of Intracranial Hypotension: Report of Four Cases.
AB - BACKGROUND: Chest pain has not been recognized as a manifestation of intracranial
hypotension secondary to cerebrospinal fluid leakage. CASE REPORT: We report on 4
patients with intracranial hypotension diagnosed by the pattern of headaches,
temporal proximity to dural puncture, magnetic resonance imaging findings, and
resolution of symptoms after epidural blood patch who presented with chest pain.
The chest pain was episodic, located in the sternal and interscapular region for
the first 3 patients, with no radiation to any other region and no clear
relationship to exertion. The fourth patient had episodic chest pain located in
the subclavicular and suprascapular region. Two patients reported dyspnea with
chest pain. Underlying coronary artery ischemia was excluded using a combination
of the electrocardiogram and cardiac enzyme assays. The pain resolved after
epidural blood patch treatment. WHY SHOULD AN EMERGENCY PHYSICIAN BE AWARE OF
THIS?: Clinicians should be aware of chest pain that can be seen with
intracranial hypotension and cerebrospinal leakage to ensure appropriate
diagnostic tests and treatment.
PMID- 29793816
TI - Predictors of falls and fractures leading to hospitalization in people with
schizophrenia spectrum disorder: A large representative cohort study.
AB - AIM: To investigate predictors of falls/fractures leading to hospitalisation in
people with schizophrenia-spectrum disorders. METHODS: A historical cohort of
people with schizophrenia-spectrum disorders (ICD F20-29) from 01/2006-12/2012
was assembled using data from the South London and Maudsley NHS Biomedical
Research Centre Case Register. Falls/fractures were ascertained from a linkage to
national hospitalisation data. Separate multivariate Cox regression analyses were
employed to identify predictors of falls and fractures. RESULTS: Of 11,567 people
with schizophrenia-spectrum disorders (mean age 42.6 years, 43% female), 579
(incidence rate 12.79 per 1000 person-years) and 528 (11.65 per 1000 person
years) had at least one reported hospital admission due to a fall or fracture
respectively and 822 patients had at least either a recorded fall or a fracture
during this period (i.e. 7.1% of sample). Overall, 6.69 and 10.74 years of
inpatient hospital stay per 1000-person years of follow-up occurred due to a fall
and fracture respectively. 14(0.12%) and 28(0.24%) died due to a fall and
fracture respectively. In Multivariable analysis, increasing age, white
ethnicity, analgesics, cardiovascular disease, hypertension, diseases of the
genitourinary system, visual disturbance and syncope were significant risk factor
for both falls and fractures. A previous fracture (HR 2.05, 95% CI 1.53-2.73) and
osteoporosis (HR 6.79, 95% CI 4.71-9.78) were strong risk factors for consequent
fractures. CONCLUSION: Comorbid physical health conditions and analgesic
medication prescription were associated with higher risk of falls and fractures.
Osteoporosis and previous fracture were strong predictors for subsequent
fractures. Interventions targeting bone health and falls/fractures need to be
developed and evaluated in these populations.
PMID- 29793817
TI - Increased plasticity of bodily self-experience in individuals who may carry
latent liability for schizophrenia.
AB - Self-disturbances such as altered perception of one's own body boundary are
central to the lived-in experience of schizophrenia. Bodily self-disturbances are
also prevalent in healthy individuals who may carry latent liability for
schizophrenia. Much of the research on self-disturbances rely on clinical
interviews but these experiences are often difficult to verbalize, conceptualize
and quantify. Furthermore, experimental evidence for plasticity of bodily self
experience in the schizophrenia-spectrum is scarce. We sought to quantify body
boundary experience in healthy young adults who might be at an increased risk for
schizophrenia with a multisensory paradigm known as the Pinocchio Illusion (PI)
task that engenders the feeling that one's nose is changing, and has previously
been used to demonstrate increased plasticity of bodily self-boundary in
schizophrenia. 62 college students participated in the PI task that assessed
bodily self-disturbances, and a tactile discrimination task. We administered the
Prodromal Questionnaire Brief (PQ-B) and the Schizotypal Personality
Questionnaire (SPQ) to assess latent liability for schizophrenia. We found that
PI was enhanced in individuals with elevated PQ-B scores but their tactile
sensitivity was intact. PI was associated with the positive syndrome of
schizotypy, but not with negative or disorganized factors. Increased PQ-B and SPQ
scores were associated with loneliness. To conclude, these results highlight the
importance of bodily self-disturbances in the broader context of the
schizophrenia spectrum.
PMID- 29793815
TI - Multidomain Quantitative Recovery Following Radical Cystectomy for Patients
Within the Robot-assisted Radical Cystectomy with Intracorporeal Urinary
Diversion Versus Open Radical Cystectomy Randomised Controlled Trial: The First
30 Patients.
PMID- 29793818
TI - Evidence that the association of childhood trauma with psychosis and related
psychopathology is not explained by gene-environment correlation: A monozygotic
twin differences approach.
AB - BACKGROUND: Converging evidence supports childhood trauma as possible causal risk
for psychosis and related psychopathology. However, studies have shown that
baseline psychotic symptoms may actually increase risk for subsequent
victimization, suggesting that exposure to CT is not random but may result from
pre-existing vulnerability. Therefore, studies testing whether the association
between CT and psychopathology persists when accounting for gene-environment
correlation are much needed. METHODS: A monozygotic (MZ) twin differences
approach was used to examine whether differences in CT exposure among MZ twin
pairs would be associated with MZ differences in symptoms. As MZ twins are
genetically identical, within-pair correlations between CT exposure and
psychopathology rule out the possibility that the association is solely
attributable to gene-environment correlation. 266 monozygotic twins (133 pairs)
from a larger general population study were available for analysis. RESULTS: CT
was associated with symptoms of psychosis (B = 0.62; SE = 0.08, p < .001) and
overall psychopathology (B = 43.13; SE = 6.27; p < .001). There were measurable
differences within pairs in CT exposure and symptoms, allowing for meaningful
within-pair differences. Within-pair differences in CT exposure were associated
with within-pair differences in symptoms of psychosis (B = 0.35; SE = 0.16; p =
.024), as well as with overall psychopathology (B = 29.22; SE = 12.24; p = .018),
anxiety (B = 0.65; SE = 0.21; p = .002) and depression (B = 0.37; SE = 0.18; p =
.043). CONCLUSION: While it is not unlikely that pre-existing vulnerability may
increase the risk for traumatic exposures, such gene-environment correlation does
not explain away the association between CT and psychopathology. The present
findings thus suggest that at least part of the association between CT and
psychopathology may be causal.
PMID- 29793819
TI - Editor's notes.
PMID- 29793820
TI - Emerging stem cell based strategies for treatment of childhood diseases.
AB - Cell therapy is an important regenerative medicine approach, in which either
differentiated cells or stem cells capable of differentiation are transplanted
into an individual with the objective of yielding specific cell types in the
damaged tissue and consequently restoring its function. The most successful
example of cell therapy is hematopoietic stem cell transplantation, leading to
regeneration of patient's blood cells, now a widely established procedure for
many hematopoietic diseases. Development of cellular therapies for other tissues
then followed in the footsteps of the hematopoietic experience. Nowadays, there
are numerous ongoing clinical trials using various types of stem cells and some
of them become approved cell-based products for use by patients. The aim of this
review is to highlight some of advances and challenges of cell-based therapies
including.
PMID- 29793822
TI - Eliminating cystic echinococcosis in the 21st century.
PMID- 29793821
TI - Epidemiology and patient-reported outcome after juvenile osteochondritis
dissecans in the knee.
AB - BACKGROUND: This study describes the epidemiology and patient reported outcomes
following juvenile osteochondritis dissecans (JOCD) of the knee. METHODS: Medical
records and radiographs of patients aged 10-18years diagnosed with JOCD between
2010 and 2016 were retrospectively reviewed. The lesions were classified
according to the International Cartilage Repair Society's classification. The
results were evaluated with the Knee injury and Osteoarthritis Outcome Score
(KOOS), the Lysholm score and a Visual Analogue Scale (VAS) for pain. RESULTS:
Seventy patients with 87 JOCDs were identified. The annual incidence was 11.5
(95% confidence interval 10.7-12.2) per 100,000 inhabitants younger than 19years.
Fifty-two (74.3%) of the 70 patients returned the questionnaires on average
48months (five to 117) after diagnosis. The median Lysholm score was 84 for
patients with grade I-II lesions and 80 for patients with grade III-IV lesions.
The median Lysholm score was 84.5 for patients who were treated conservatively
and 79.5 for patients who were treated operatively. The median VAS score was 2.0
for all groups, except for patients treated conservatively (median score 1.5).
Conservative treatment was successful in 78% of grade I-II lesions. There was a
fivefold increased risk of failing conservative treatment with a stage III-IV
lesion, compared to stage I-II (Odds ratio=5.5, p=0.02). CONCLUSIONS: The results
following JOCD are good to excellent for the majority of patients. Grade I-II
lesions are successfully treated conservatively in 78% of cases. Grade III-IV
lesions have a high failure rate. LEVEL OF EVIDENCE: Level III, retrospective
cohort.
PMID- 29793823
TI - Prevalence of abdominal cystic echinococcosis in rural Bulgaria, Romania, and
Turkey: a cross-sectional, ultrasound-based, population study from the HERACLES
project.
AB - BACKGROUND: Cystic echinococcosis is a neglected zoonotic infection that is
distributed worldwide and prioritised by WHO for control efforts. The burden of
human cystic echinococcosis is poorly understood in most endemic regions,
including eastern Europe. We aimed to estimate the prevalence of abdominal cystic
echinococcosis in rural areas of Bulgaria, Romania, and Turkey. METHODS: We did a
cross-sectional ultrasound-based survey that recruited volunteers from 50
villages in rural areas of Bulgaria, Romania, and Turkey. These villages were in
provinces with annual hospital incidence of cystic echinococcosis within the mid
range for the respective countries. All people who attended a session were
allowed to participate if they agreed to be screened. Abdominal ultrasound
screening sessions were hosted in public community structures such as community
halls, primary health-care centres, schools, and mosques. Lesions were classified
using an adapted WHO classification. We reported the prevalence of abdominal
cystic echinococcosis adjusted by sex and age through direct standardisation,
using the country's rural population as a reference. FINDINGS: From July 1, 2014,
to Aug 3, 2015, 24 693 individuals presented to screening sessions and 24 687
underwent ultrasound screening. We excluded a further six indivduals due to
missing data, leaving 24 681 people in our analysis. Abdominal cystic
echinococcosis was detected in 31 of 8602 people screened in Bulgaria, 35 of 7461
screened in Romania, and 53 of 8618 screened in Turkey. The age and sex adjusted
prevalence of abdominal cystic echinococcosis was 0.41% (95% CI 0.29-0.58) in
Bulgaria, 0.41% (0.26-0.65) in Romania, and 0.59% (0.19-1.85) in Turkey. Active
cysts were found in people of all ages, including children, and in all
investigated provinces. INTERPRETATION: Our results provide population-based
estimates of the prevalence of abdominal cystic echinococcosis. These findings
should be useful to support the planning of cost-effective interventions,
supporting the WHO roadmap for cystic echinococcosis control. FUNDING: European
Union Seventh Framework Programme.
PMID- 29793825
TI - Chronic myeloproliferative neoplasms in the elderly.
AB - This review focuses on the management of elderly patients with chronic myeloid
leukemia and chronic myeloproliferative neoplasms, including polycythemia vera,
essential thrombocythemia and primary myelofibrosis. Median age in these
neoplasms is within the 6th decades of age. All new therapies can be done at any
age without absolute contraindication. However, the selection of the precise
therapy for the single patient is mandatory. For these reasons, an accurate
definition of diagnosis and prognostication is necessary. Precision in disease
definition and prognostication is definitively helpful for personalizing
therapeutic approach.
PMID- 29793824
TI - Effects of glycemic variability and hyperglycemia in acute ischemic stroke on
post-stroke cognitive impairments.
AB - AIMS: We aimed to investigate the effect of glycemic variability in the acute
stage of stroke on the development of post-stroke cognitive impairment (PSCI).
METHODS: Patients who underwent blood glucose tests at least five times within 7
days after acute ischemic stroke were included. Factors related to glycemic
variability (standard deviation (SD), coefficient of variance (CV), and mean
absolute glucose (MAG)) were calculated; neuropsychological assessments were
administered 3 months after stroke. PSCI was defined as a score of less than -2
SDs for age-, sex-, and education-adjusted means in at least one cognitive
domain. RESULTS: A total of 354 patients were enrolled. PSCI was identified in 74
(20.9%) subjects. In the diabetic group (n = 87), MAG was a significant predictor
for PSCI (adjusted OR, 1.94; 95% CI, 1.11-3.42); however, it was not significant
in the non-diabetic group, although PSCI exhibited an increasing tendency within
higher SD and MAG tertiles. Moreover, hyperglycemia demonstrated a detrimental
effect on PSCI, regardless of diabetes status; this effect did not appear in
poorly-controlled diabetic patients with HbA1c >= 8.0%. CONCLUSIONS: Glycemic
variability and hyperglycemia during acute ischemic stroke were identified as
novel predictors for PSCI. Although this result is not evidence of a causal
relationship, our study suggests that monitoring glycemic index and controlling
its variability during the acute phase of ischemic stroke may help to prevent
poor cognitive outcomes.
PMID- 29793826
TI - Investigation of cracking and water availability of soil-biochar composite
synthesized from invasive weed water hyacinth.
AB - Water hyacinth (WH), is one of the world's most intractable and invasive weed
species. Recent studies explored the efficacy of this species as a biochar (BC)
in improving soil fertility and metal adsorption. However, the soil water
retention (SWR) property and crack potential of soil-WH biochar composite has
still not been studied. The major objective of this study is to investigate the
SWR property and corresponding crack intensity factor (CIF) for compacted soil-WH
BC composites. Soil-WH BC composites at five percentages (0, 2, 5, 10 and 15) was
compacted and soil parameters such as suction (psi), water content and CIF were
simultaneously monitored for 63 days (including 9 drying-wetting cycles). Results
showed that soil-WH BC composite at all percentages retains more water (max. 19%
and min. 6.53%) than bare soil at both saturated and drought conditions. Gradual
inclusion of WH BC to soil decreases the CIF potential from 7% to 2.8%.
PMID- 29793827
TI - Nontypeable Haemophilus influenzae (NTHi).
AB - In this infographic the diseases caused by nontypeable Haemophilus influenzae
(NTHi), including otitis media, are discussed. Encapsulated type b Haemophilus
influenzae (Hib) was responsible for most of the invasive disease (meningitis)
prior to the use of Hib vaccines. As Hib vaccines have no effect on infections
due to nontypeable H. influenzae (NTHi), in areas where Hib vaccines are used,
nontypeable strains are now the most common cause of invasive disease. Moreover,
NTHi contributes to the ~21000 otitis media (OM)-associated deaths per year. Due
to this collective global morbidity and mortality, concerted vaccine development
is underway. In addition to preventing disease, an effective vaccine will likely
help to mitigate the global crisis of antibiotic resistance. Since 1973,
ampicillin resistance due to NTHi's production of beta-lactamase has been
recognized; however, a significant concern is the more recent emergence and
spread of beta-lactamase-negative-ampicillin-resistant (BLNAR) strains in many
regions of the world. As such, H. influenzae is one of 12 bacterial pathogens
that are considered priority pathogens by the World Health Organization.
PMID- 29793829
TI - Best practices for the use of intracerebroventricular drug delivery devices.
AB - For decades, intracerebroventricular (ICV), or intraventricular, devices have
been used in the treatment of a broad range of pediatric and adult central
nervous system (CNS) disorders. Due to the limited permeability of the blood
brain barrier, diseases with CNS involvement may require direct administration of
drugs into the brain to achieve full therapeutic effect. A recent comprehensive
literature review on the clinical use and complications of ICV drug delivery
revealed that device-associated complication rates are variable, and may be as
high as 33% for non-infectious complications and 27% for infectious
complications. The variability in reported safety outcomes may be driven by a
lack of consensus on best practices of device use. Numerous studies have
demonstrated that employing strict aseptic techniques and following stringent
protocols can dramatically reduce complications. Key practices to be considered
in facilitating the safe, long-term use of these devices are presented.
PMID- 29793830
TI - Start-up of a Cardiology Day Hospital: Activity, Quality Care and Cost
effectiveness Analysis of the First Year of Operation.
AB - INTRODUCTION AND OBJECTIVES: The cardiology day hospital (CDH) is an alternative
to hospitalization for scheduled cardiological procedures. The aims of this study
were to analyze the activity, quality of care and the cost-effectiveness of a
CDH. METHODS: An observational descriptive study was conducted of the health care
activity during the first year of operation of DHHA. The quality of care was
analyzed through the substitution rate (outpatient procedures), cancellation
rates, complications, and a satisfaction survey. For cost-effectiveness, we
calculated the economic savings of avoided hospital stays. RESULTS: A total of
1646 patients were attended (mean age 69 +/- 15 years, 60% men); 2550 procedures
were scheduled with a cancellation rate of 4%. The most frequently cancelled
procedure was electrical cardioversion. The substitution rate for scheduled
invasive procedures was 66%. Only 1 patient required readmission after discharge
from the CDH due to heart failure. Most surveyed patients (95%) considered the
care received in the CDH to be good or very good. The saving due to outpatient
converted procedures made possible by the CDH was ? 219 199.55, higher than the
cost of the first year of operation. CONCLUSIONS: In our center, the CDH allowed
more than two thirds of the invasive procedures to be performed on an outpatient
basis, while maintaining the quality of care. In the first year of operation, the
expenses due to its implementation were offset by a significant reduction in
hospital admissions.
PMID- 29793828
TI - Sex steroids mediate discrete effects on HDL cholesterol efflux capacity and
particle concentration in healthy men.
AB - BACKGROUND: Exogenous testosterone decreases serum concentrations of high-density
lipoprotein cholesterol (HDL-C) in men, but whether this alters cardiovascular
risk is uncertain. OBJECTIVE: To investigate the effects of testosterone and
estradiol on HDL particle concentration (HDL-Pima) and metrics of HDL function.
METHODS: We enrolled 53 healthy men, 19 to 55 years of age, in a double-blinded,
placebo-controlled, randomized trial. Subjects were rendered medically castrate
using the GnRH receptor antagonist acyline and administered either (1) placebo
gel, (2) low-dose transdermal testosterone gel (1.62%, 1.25 g), (3) full
replacement dose testosterone gel (1.62%, 5 g) or (4) full replacement dose
testosterone gel together with an aromatase inhibitor for 4 weeks. At baseline
and end of treatment, serum HDL total macrophage and ABCA1-specific cholesterol
efflux capacity (CEC), HDL-Pima and size, and HDL protein composition were
determined. RESULTS: Significant differences in serum HDL-C were observed with
treatment across groups (P = .01 in overall repeated measures ANOVA), with
increases in HDL-C seen after both complete and partial testosterone deprivation.
Medical castration increased total HDL-Pima (median [interquartile range] 19.1
[1.8] nmol/L at baseline vs 21.3 [3.1] nmol/L at week 4, P = .006). However,
corresponding changes in total macrophage CEC and ABCA1-specific CEC were not
observed. Change in serum 17beta-estradiol concentration correlated with change
in total macrophage CEC (beta = 0.33 per 10 pg/mL change in serum 17beta
estradiol, P = .03). CONCLUSIONS: Testosterone deprivation in healthy men leads
to a dissociation between changes in serum HDL-C and HDL CEC. Changes in serum
HDL-C specifically due to testosterone exposure may not reflect changes in HDL
function.
PMID- 29793831
TI - Illustrating the potency of current Good Manufacturing Practice-compliant induced
pluripotent stem cell lines as a source of multiple cell lineages using
standardized protocols.
AB - BACKGROUND AIMS: We have previously reported the generation of a current Good
Manufacture Practice (cGMP)-compliant induced pluripotent stem cell (iPSC) line
for clinical applications. Here we show that multiple cellular products currently
being considered for therapy can be generated from a single master cell bank of
this or any other clinically compliant iPSC line METHODS: Using a stock at
passage 20 prepared from the cGMP-compliant working cell bank (WCB), we tested
differentiation into therapeutically relevant cell types of the three germ layers
using standardized but generic protocols. Cells that we generated include (i)
neural stem cells, dopaminergic neurons and astrocytes; (ii) retinal cells
(retinal pigment epithelium and photoreceptors); and (iii) hepatocyte,
endothelial and mesenchymal cells. To confirm that these generic protocols can
also be used for other iPSC lines, we tested the reproducibility of our
methodology with a second clinically compliant line RESULTS: Our results
confirmed that well-characterized iPSC lines have broad potency, and, despite
allelic variability, the same protocols could be used with minimal modifications
with multiple qualified lines. In addition, we introduced a constitutively
expressed GFP cassette in Chr13 safe harbor site using a standardized previously
described method and observed no significant difference in growth and
differentiation between the engineered line and the control line indicating that
engineered products can be made using a standardized methodology CONCLUSIONS: We
believe that our demonstration that multiple products can be made from the same
WCB and that the same protocols can be used with multiple lines offers a path to
a cost-effective strategy for developing cellular products from iPSC lines.
PMID- 29793832
TI - The assessment of movement health in clinical practice: A multidimensional
perspective.
AB - This masterclass takes a multidimensional approach to movement assessment in
clinical practice. It seeks to provide innovative views on both emerging and more
established methods of assessing movement within the world of movement health,
injury prevention and rehabilitation. A historical perspective of the value and
complexity of human movement, the role of a physical therapist in function of
movement health evaluation across the entire lifespan and a critical appraisal of
the current evidence-based approach to identify individual relevant movement
patterns is presented. To assist a physical therapist in their role as a movement
system specialist, a clinical-oriented overview of current movement-based
approaches is proposed within this multidimensional perspective to facilitate the
translation of science into practice and vice versa. A Movement Evaluation Model
is presented and focuses on the measurable movement outcome of resultants on
numerous interactions of individual, environmental and task constraints. The
model blends the analysis of preferred movement strategies with a battery of
cognitive movement control tests to assist clinical judgement as to how to
optimize movement health across an individual lifespan.
PMID- 29793834
TI - Editorial commentary: Plant-based diets: More than meets the eye.
PMID- 29793833
TI - Early anterior knee pain in male adolescent basketball players is related to body
height and abnormal knee morphology.
AB - OBJECTIVES: To compare knee torque, range of motion, quality of movement, and
morphology in dominant and nondominant legs of male adolescent basketball players
with and without anterior knee pain and untrained peers. DESIGN: Cross-sectional.
SETTING: Sports performance laboratory. PARTICIPANTS: Male basketball players
aged 14-15 years with and without anterior knee pain and healthy untrained
subjects (n = 88). MAIN OUTCOME MEASURES: Basketball players were allocated to a
symptomatic or asymptomatic group based on self-reported anterior knee pain.
Associations between pain and body mass, height, passive range of motion, muscle
peak torque, coactivation, neuromuscular control, proprioception, and ultrasound
observations were investigated. RESULTS: The prevalence of pain did not differ
significantly between sides. Of 176 knees inspected, 44 were painful, and 26 of
these exhibited abnormalities in ultrasonography. Symptomatic players were 5.0
and 6.9 cm taller than asymptomatic players and controls, respectively (P <
0.05). In athletes with knee pain, the odds ratios of morphological abnormalities
and greater height were increased by 8.6 and 5.0 times (P < 0.001). CONCLUSION:
Knee pain prevalence in adolescent basketball players was not related to
differences between sides but was higher in tall players. Knee pain was
accompanied by morphological abnormalities detected with ultrasound.
PMID- 29793835
TI - Good Relationships Mean Good Reimbursement.
PMID- 29793836
TI - Estimated Mortality of Breast Cancer Patients Based on Stage at Diagnosis and
National Screening Guideline Categorization.
AB - PURPOSE: To compare overall and stage I to IV mortalities of patients diagnosed
with breast cancer, calculated from stage at diagnosis using the Surveillance,
Epidemiology, and End Results (SEER) database stage mortality estimates, which
are based on national screening guideline categorization. METHODS: From the stage
at diagnosis of new breast cancer patients between 2010 and 2014, percentages of
invasive cancers, stage 0 + I of total cancers, and stage I of invasive cancers,
were calculated. Five-year estimated overall and invasive mortalities were
calculated based on stage at diagnosis and SEER survival data. Program categories
defined included an Annual Program, based on the ACR (annual screening age 40 and
above), a Biennial Program, based on the US Preventative Services Taskforce
(biennial screening ages 50 to 74 years), and a Hybrid Program, based on the
American Cancer Society (annual screening ages 45 to 54 years, then biennially at
ages 55 and above), including respective interval cancers. RESULTS: In all, 445
breast cancers met the study inclusion criteria. Comparing program categories,
the Annual Program had the lowest percentage of invasive cancers (75.3%), highest
percentages of stage 0 + I of total cancers (75.3%) and stage I of invasive
cancers (67.1%), and the lowest 5-year estimated overall (10.1%) and stage I to
IV (12.0%) mortalities. Estimated overall and stage I to IV mortalities for the
Annual Program was 37.3% and 30.6% less, respectively, than the Biennial Program,
and 31.8% and 26.8% less, respectively, than the Hybrid Program. CONCLUSIONS:
Based on stage at diagnosis, the greatest mortality reduction is achieved with
mammography utilization starting at the age of 40.
PMID- 29793837
TI - Ionizing Radiation Use and Cancer Predisposition Syndromes in Children.
PMID- 29793838
TI - Central composite design parameterization of microalgae/cyanobacteria co-culture
pretreatment for enhanced lipid extraction using an external clamp-on ultrasonic
transducer.
AB - Lipids extracted from algal biomass could provide an abundant, rapidly growing,
high yield feedstock for bio-diesel and other green fuels to supplement current
fossil-based sources. Ultrasound pretreatment is a mechanical cell disruption
method that has been shown to enhance lipid recovery from algae due to cavitation
effects that disrupt algae cell walls. In this study, a locally grown mixture of
Chlorella vulgaris/Cyanobacteria leptolyngbya was sonicated in an ultrasonic
reactor with a clamp-on transducer prior to solvent lipid extraction. This
configuration allows for a non-contact delivery method of ultrasonic energy with
improved operational advantages (no fouling of transducer, continuous operation,
and fully scalable design). A central composite design (CCD) was implemented to
statistically analyze and evaluate the effect of ultrasonic power (350-750 W) and
treatment time (5-30 min) on lipid yield. Lipid recovery was found to increase
with both ultrasonic power and treatment time. Total lipid yields (on dry biomass
basis) extracted via the Bligh and Dyer method from Chlorella
vulgaris/cyanobacteria co-culture ranged from 8.3% for untreated algae to 16.9%
for algae sonicated with 750 W power for 30 min, which corresponds to more than a
doubling of lipid recovery due to ultrasound pretreatment. Increased power and
treatment times were found to increase the degree of cell disruption as observed
in the SEM and TEM images after ultrasonic pretreatment. Additionally, hexane
(1:1 v/v) was evaluated as an alternative to the standard Bligh & Dyer (2:2:1.8
v/v/v chloroform/methanol/cell suspension) lipid extraction solvent system. On
average, the Bligh and Dyer method extracted on average over twice the amount of
lipids compared to hexane extraction. The lipid profile of the algae extracts
indicates high concentrations of lauric acid (12:0), palmitic acid (16:0),
stearic acid (18:0), oleic acid (18:1), and linoleic acid (18:2). This particular
configuration of an ultrasonic system proved to be a viable method for the
pretreatment of algae for enhanced lipid yields. Future research should focus on
identifying alternative extraction solvents and expanding the range of treatment
conditions to optimize the ultrasonic power and treatment times for maximum lipid
recovery.
PMID- 29793839
TI - International consensus statements on early chronic Pancreatitis. Recommendations
from the working group for the international consensus guidelines for chronic
pancreatitis in collaboration with The International Association of
Pancreatology, American Pancreatic Association, Japan Pancreas Society,
PancreasFest Working Group and European Pancreatic Club.
AB - BACKGROUND: Chronic pancreatitis (CP) is a progressive inflammatory disorder
currently diagnosed by morphologic features. In contrast, an accurate diagnosis
of Early CP is not possible using imaging criteria alone. If this were possible
and early treatment instituted, the later, irreversible features and
complications of CP could possibly be prevented. METHOD: An international working
group supported by four major pancreas societies (IAP, APA, JPS, and EPC) and a
PancreasFest working group sought to develop a consensus definition and
diagnostic criteria for Early CP. Ten statements (S1-10) concerning Early CP were
used to gauge consensus on the Early CP concept using anonymous voting with a 9
point Likert scale. Consensus required an alpha >=0.80. RESULTS: No consensus
statement could be developed for a definition of Early-CP or diagnostic criteria.
There was consensus on 5 statements: (S2) The word "Early" in early chronic
pancreatitis is used to describe disease state, not disease duration. (S4) Early
CP defines a stage of CP with preserved pancreatic function and potentially
reversible features. (S8) Genetic variants are important risk factors for Early
CP and can add specificity to the likely etiology, but they are neither necessary
nor sufficient to make a diagnosis. (S9) Environmental risk factors can provide
evidence to support the diagnosis of Early CP, but are neither necessary nor
sufficient to make a diagnosis. (S10) The differential diagnosis for Early CP
includes other disorders with morphological and functional features that overlap
with CP. CONCLUSIONS: Morphology based diagnosis of Early CP is not possible
without additional information. New approaches to the accurate diagnosis of Early
CP will require a mechanistic definition that considers risk factors, biomarkers,
clinical context and new models of disease. Such a definition will require
prospective validation.
PMID- 29793840
TI - Bacterial interspecies quorum sensing in the mammalian gut microbiota [C. R.
Biologies 341 (2018) https://doi.org/10.1016/j.crvi.2018.03.006].
PMID- 29793841
TI - Assessing comfort in the epilepsy monitoring unit: Development of an instrument.
AB - BACKGROUND/PURPOSE: Some patients perceive the experience of hospitalization in
an epilepsy monitoring unit (EMU) to be an uncomfortable one. In order to provide
comfort-enhancing measures, nurses need to assess comfort levels. For this
purpose, Kolcaba developed the General Comfort Questionnaire (GCQ), which may be
modified for usage in specific settings. The objective of this study was to
develop an instrument to assess comfort of adult patients during hospitalization
in an EMU, namely the Epilepsy Monitoring Unit Comfort Questionnaire (EMUCQ).
METHODS: The GCQ was translated from American English into German following the
guidelines of the International Society for Pharmacoeconomics and Outcome
Research (ISPOR). Three native German speakers with good command of the English
language did forward translations. An expert who grew up bilingual did a
backtranslation. For use in an EMU, literature-based setting-specific items were
added. In a qualitative-descriptive study, cognitive debriefing with 25 patients
was conducted using cognitive interviews. Qualitative data analysis was based on
the framework method. In a quantitative-descriptive study, nine clinical experts
assessed content validity. RESULTS: For setting-specific modification, 12 items
pertaining to surroundings, feeling observed, and feeling afraid of a seizure
were added to the translated GCQ. Based on the initial content validity rating,
26 items remained unchanged, 12 items underwent revisions, and 14 items were
omitted. Eight items were put aside for a follow-up rating in the context of
cognitive debriefing. Cognitive interviewing revealed problems regarding the
interpretation of items and missing items. According to the results, 27 items
remained unchanged, 11 items were reworded, and six items were added. The final
content validity rating showed item-content validity indices (I-CVI) between .33
and 1, and an average CVI on a scale level (S-CVI/ave) of .84. CONCLUSIONS:
Enhancing comfort is a fundamental nursing goal in demanding situations.
Therefore, the contribution of nurses to the quality of individualized patient
care is a substantial one. The EMUCQ is a valuable tool to support the assessment
of comfort levels. The ISPOR guidelines proved to be useful to ensure high
quality of the translated instrument. Using cognitive interviews enhanced the
understandability of items and supported modification of the GCQ. At present, S
CVI/ave value of the EMUCQ is acceptable. Further testing is necessary.
PMID- 29793842
TI - 18F-choline PET/MRI in suspected recurrence of prostate carcinoma.
AB - OBJECTIVE: To evaluate the usefulness of simultaneous 18F-choline PET/MRI in the
suspicion of prostate cancer recurrence and to relate 18F-choline PET/MRI
detection rate with analytical and pathological variables. MATERIAL AND METHODS:
27 patients with prostate cancer who received local therapy as primary treatment
underwent a 18F-choline PET/MRI due to suspicion of recurrence (persistently
rising serum PSA level). 18F-choline PET/MRI findings were validated by
anatomopathological analysis, other imaging tests or by biochemical response to
oncological treatment. RESULTS: 18F-choline PET/MRI detected disease in 15 of 27
patients (detection rate 55.56%). 4 (15%) presented exclusively local recurrence,
5 (18%) lymph node metastases and 7 (26%) bone metastases. Mean PSA (PSAmed) at
study time was 2.94ng/mL (range 0.18-10ng/mL). PSAmed in patients with positive
PET/MRI was 3.70ng/mL (range 0.24-10ng/mL), higher than in patients with negative
PET/MRI, PSAmed 1.97ng/mL (range 0.18-4.38ng/mL), although without statistically
significant differences. Gleason score at diagnosis in patients with a positive
study was 7.33 (range 6-9) and in patients with a negative study was 7 (range 6
9), without statistically significant differences. CONCLUSION: 18F-choline
PET/MRI detection rate was considerable despite the relatively low PSA values in
our sample. The influence of Gleason score and PSA level on 18F-choline PET/MRI
detection rate was not statistically significant.
PMID- 29793843
TI - Clinical and nutritional outcome of pediatric esophageal stenosis with endoscopic
balloon dilatation.
AB - AIM: The present study evaluates the long-term clinical and nutritional effect to
endoscopic balloon dilatation (EBD) in pediatric esophageal stricture. METHODS:
This was a 15-year retrospective study involving pediatric patients with
esophageal stricture treated with EBD. Outcome parameters included the number of
dilatations, procedural success rates, nutritional status, and complications. EBD
was performed in patients with a dysphagia score greater than 2. The nutritional
status was assessed by weight-for-age z-score. Clinical success was defined as no
requirement for EBD for at least 1 year and/or increasing interval between
dilatation and the numbers of EBD was fewer than 4 times per year. RESULTS: A
total of 50 cases (mean age, 4.41 +/- 4.9 years) were enrolled. During a mean
follow-up of 3.2 +/- 1.9 years, a total of 268 EBD sessions were performed, with
an average of 5.36 sessions per patient (range, 1-33). Patients who had short
segment stricture (<2 cm) were prone to achieve clinical success after EBD (p =
0.0094). Procedural perforation rate is 2.6% (7/268); subsequent
tracheoesophageal fistula occurred in two patients. The clinical success rate of
EBD therapy was 72% (36/50). All had increments of weight-for-age z-score after
EBD therapy, and the increment was significantly greater in those patients with
short segment stricture or stricture in the middle esophagus at 12 months (p =
0.01 and 0.008, respectively). CONCLUSIONS: EBD has good long-term clinical
success and nutritional promotion in pediatric patients with esophageal
stricture, especially in short segment stricture or stricture in the middle
esophagus.
PMID- 29793844
TI - Wound Outcomes in Negative Pressure Dressings (WOUND) study - A randomised trial
in lower limb skin cancer grafts.
PMID- 29793845
TI - Review of educational interventions to increase traditional birth attendants'
neonatal resuscitation self-efficacy.
AB - BACKGROUND: Annually, up to 2.7 million neonatal deaths occur worldwide, and 25%
of these deaths are caused by birth asphyxia. Infants born in rural areas of low
and-middle-income countries are often delivered by traditional birth attendants
and have a greater risk of birth asphyxia-related mortality. AIM: This review
will evaluate the effectiveness of neonatal resuscitation educational
interventions in improving traditional birth attendants' knowledge, perceived
self-efficacy, and infant mortality outcomes in low-and-middle-income countries.
METHODS: An integrative review was conducted to identify studies pertaining to
neonatal resuscitation training of traditional birth attendants and midwives for
home-based births in low-and-middle-income countries. Ten studies met inclusion
criteria. FINDINGS: Most interventions were based on the American Association of
Pediatrics Neonatal Resuscitation Program, World Health Organization Safe
Motherhood Guidelines and American College of Nurse-Midwives Life Saving Skills
protocols. Three studies exclusively for traditional birth attendants reported
decreases in neonatal mortality rates ranging from 22% to 65%. These studies
utilized pictorial and oral forms of teaching, consistent in addressing the
social cognitive theory. Studies employing skill demonstration, role-play, and
pictorial charts showed increased pre- to post-knowledge scores and high self
efficacy scores. In two studies, a team approach, where traditional birth
attendants were assisted, was reported to decrease neonatal mortality rate from
49-43/1000 births to 10.5-3.7/1000 births. CONCLUSION: Culturally appropriate
methods, such as role-play, demonstration, and pictorial charts, can contribute
to increased knowledge and self-efficacy related to neonatal resuscitation. A
team approach to training traditional birth attendants, assisted by village
health workers during home-based childbirths may reduce neonatal mortality rates.
PMID- 29793846
TI - Feminizing genitoplasties: Where are we now?
AB - INTRODUCTION: Feminizing genitoplasties (FG) are controversial, because of
possible adverse effects on sex life. Some have suggested limiting surgery to
children presenting health problems related to their genital abnormality and
patients who may give their informed consent. This paper analyzes research data
about late results of FG, to substantiate the choice of whether to operate on
children or to limit surgery to adults/adolescents. STUDY DESIGN: Review and
synthesis of the literature about late results of FG. RESULTS: Eleven papers were
found, involving different primary diseases and techniques (levels of evidence 3
4). There are no long-term data about corporeal sparing clitoroplasty, ASTRA
procedures, and urogenital sinus mobilization. Surgery alters objective genital
sensitivity, but most patients attest good subjective post-operative clitoral
sensation. Complaints of poor clitoral sensation were related to reoperations,
amputation, recession, atrophy, or neurovascular bundle injuries. CAH homozygous
(null) genotypes show worse sensitivity and sex life, independent of surgery.
Sexual function and avoidance are comparable between post-operated and virgin CAH
patients. Problems with global auto-image were related to sexual difficulties.
Introitus stenosis is frequent. Patients rarely reported distress concerning FG
but vaginal self-dilatation is traumatic. Most patients operated on as young
children evaluated timing of their surgery as correct. DISCUSSION: Biological,
technical, and subjective factors influence females' attitudes towards sexual
satisfaction. FG patients tend to be sexually insecure. Some sequelae described
in adult women should be uncommon in contemporaneous cohorts, because of new
techniques. CONCLUSION: Evidence about long-term sequelae of FG are of low
quality and methodologically limited by unphysiological sensitivity measurement
methods that do not correspond to subjective reports of the patients. Modern
techniques have not been evaluated in the long term. The consequences of
intentionally raising severely virilized children as females in our
contemporaneous society have not been studied: such a decision still represents a
social experiment.
PMID- 29793847
TI - Improvement in LDL is associated with decrease in non-calcified plaque volume on
coronary CTA as measured by automated quantitative software.
AB - BACKGROUND: Computed tomography coronary angiography (CTA) can be used for
assessment of plaque characteristics; however, quantitative assessment of changes
in plaque composition in response to LDL lowering has not been performed with
CTA. We sought to assess the association between LDL reduction and changes in
plaque composition with quantitative CTA. METHODS: Quantification of total,
calcified, non-calcified and low-density non-calcified plaque volumes (TPV, CPV,
NCPV and LD-NCPV) was performed using semi-automated software in 234 vessels from
116 consecutive patients (89 men, 60 +/- 10 years) with baseline LDL>70 mg/dl.
Significant reduction in LDL was defined as a decrease by >10% of baseline LDL.
Changes (Delta) in plaque volumes between the second and baseline study were
compared between patients with LDL reduction (n = 63) and those with no decrease
in LDL (n = 53). RESULTS: Median LDL at baseline was 98 mg/dl [interquartile
range (IQR) 83-119 mg/dl] and median DeltaLDL was -14 mg/dl (IQR -38 to 3 mg/dl).
Mean interval between sequential CTA was 3.5 +/- 1.6 years. TPV, NCPV, and LD
NCPV decreased in patients with a reduction in LDL compared to baseline; whereas,
patients without reduction in LDL experienced an increase in TPV, NCPV and LD
NCPV. After adjusting for age, statin use, diabetes, baseline LDL and baseline
TPV, reduction in LDL was associated with a decrease in TPV (P = 0.005), NCPV (P
= 0.002) and LD-NCPV (P = 0.011) compared to patients without a reduction in LDL.
CONCLUSION: Reduction in LDL was associated with beneficial changes in the amount
and composition of noncalcified plaque as measured using semi-automated
quantitative software by CTA.
PMID- 29793848
TI - CAC-DRS: Coronary Artery Calcium Data and Reporting System. An expert consensus
document of the Society of Cardiovascular Computed Tomography (SCCT).
AB - The goal of CAC-DRS: Coronary Artery Calcium Data and Reporting System is to
create a standardized method to communicate findings of CAC scanning on all
noncontrast CT scans, irrespective of the indication, in order to facilitate
clinical decision-making, with recommendations for subsequent patient management.
The CAC-DRS classification is applied on a per-patient basis and represents the
total calcium score and the number of involved arteries. General recommendations
are provided for further management of patients with different degrees of
calcified plaque burden based on CAC-DRS classification. In addition, CAC-DRS
will provide a framework of standardization that may benefit quality assurance
and tracking patient outcomes with the potential to ultimately result in improved
quality of care.
PMID- 29793849
TI - Risk of Ischemic Stroke After Perioperative Atrial Fibrillation in Total Knee and
Hip Arthroplasty Patients.
AB - BACKGROUND: To determine if new-onset perioperative atrial fibrillation during
arthroplasty represents a benign response to intraoperative cardiac stress or is
a risk factor for stroke, we evaluated the subsequent risk of ischemic stroke in
patients with new-onset atrial fibrillation occurring during primary total knee
arthroplasty (TKA) and total hip arthroplasty (THA). METHODS: Discharge data of
all adult patients undergoing primary TKA or THA from 1997 to 2013 were queried
via the New York Statewide Planning and Research Cooperative System database to
find patients with new-onset perioperative atrial fibrillation. These patients
were then followed up over time to determine their risk of ischemic stroke.
RESULTS: Of the 312,636 TKA and 215,610 THA unique patient admissions, 3646
(0.7%) had a diagnosis of new-onset perioperative atrial fibrillation. The cohort
of patients with this finding was 58.9% female with an average age of 73.6 years
and higher prevalence of vascular risk factors. Adjusting for validated stroke
risk factors, the risk of ischemic stroke within 1 year after THA or TKA in
patients with new-onset atrial fibrillation was 2.7 times higher than in those
without a history of atrial fibrillation (odds ratio: 2.7, 95% confidence
interval: 1.5-4.8). Hospital length of stay and charges for patients with new
onset atrial fibrillation were also greater than patients with either a prior
diagnosis or no diagnosis of atrial fibrillation. CONCLUSION: New-onset atrial
fibrillation during TKA and THA may indicate risk of ischemic stroke following
surgery that should warrant medical follow-up and may increase hospital length of
stay and charges.
PMID- 29793850
TI - Preoperative Patient Profile in Total Hip and Knee Arthroplasty: Predictive of
Increased Medicare Payments in a Bundled Payment Model.
AB - BACKGROUND: The shift toward value-based bundled payment models in total joint
arthroplasty highlights the need for identification of modifiable risk factors
for increased spending as well as opportunities to mitigate perioperative
treatment of chronic disease. The purpose of this study was to identify
preoperative comorbidities that result in an increased financial burden using
institutional data at a single institution. METHODS: We conducted a retrospective
review of total joint arthroplasty patients and collected payment data from the
Center for Medicare and Medicaid Services for each patient up to 90 days after
surgery in accordance with the regulations of the Comprehensive Care for Joint
Replacement initiative. Statistical analysis and comparison of preoperative
profile and Medicare payments as a surrogate for cost were completed. RESULTS:
Six hundred ninety-four patients were identified over a 4-year time period who
underwent surgery before adoption of the Comprehensive Care for Joint Replacement
but that met criteria for inclusion. The median total payment per patient episode
of care was $20,048. Preoperative diagnosis of alcoholism, anemia, diabetes, and
obesity was found to have a statistically significant effect on total payments.
The model predicted a geometric mean increase from $1425 to $9308 for patients
bearing these comorbidities. CONCLUSION: With Medicare payments as a surrogate
for cost, we demonstrate that specific patient comorbidities and a cumulative
increase in comorbidities predict increased costs. This study was based on
institutional data rather than administrative data to gain actionable information
on an institutional level and highlight potential flaws in research based on
administrative data.
PMID- 29793851
TI - Evaluation of Examiner Performance Using a Duplex Ultrasound Simulator. Flow
Velocity Measurements in Dialysis Access Fistula Models.
AB - We developed a duplex ultrasound simulator for training and assessment of
scanning skills. We used the simulator to test examiner performance in the
measurement of flow velocities in dialysis access fistulas. Test cases were
created from 3-D ultrasound scans of two dialysis access fistulas by
reconstructing 3-D blood vessel models and simulating blood flow velocity fields
within the lumens. The simulator displays a 2-D B-mode or color Doppler image
corresponding to transducer position on a mannequin; a spectral waveform is
generated according to Doppler sample volume location and system settings.
Examiner performance was assessed by comparing the measured peak systolic
velocity (PSV) with the true PSV provided by the computational flow model. The
PSV measured by four expert examiners deviated from the true value by 7.8 +/-
6.1%. The results indicate the ability of the simulator to objectively assess an
examiner's measurement accuracy in complex vascular targets.
PMID- 29793852
TI - Ultrasound-Enhanced Protective Effect of Tetramethylpyrazine via the ROS/HIF-1A
Signaling Pathway in an in Vitro Cerebral Ischemia/Reperfusion Injury Model.
AB - Reactive oxygen species-induced oxidative stress is an important
pathophysiological process during cerebral ischemia/reperfusion (I/R) injury. It
has been reported that the protective effect of tetramethylpyrazine (TMP) against
cerebral I/R injury can be significantly improved by its combination with
ultrasound exposure. However, the molecular mechanisms and signaling pathways
underlying the synergistic protective effect remain unclear. In the present work,
the damage induced by I/R injury was modeled by glutamate-induced toxicity to
pheochromocytoma (PC12) cells. The ultrasound-enhanced protective effect of TMP
was systemically investigated by measuring variations in cell viability, cell
migration and levels of intracellular reactive oxygen species, the oxidative
stress-related protein glutathione, apoptosis-related proteins (caspase-8, -9 and
-3), as well as expression of related genes (hypoxia-inducible factor-1a, p53,
murine double minute2). The results suggest that the ultrasound-enhanced
protective effect of TMP against cerebral I/R injury might act via the reactive
oxygen species/hypoxia-inducible factor-1a signaling pathway, and an appropriate
ultrasound intensity should be selected to achieve an optimal synergistic
neuroprotective effect.
PMID- 29793853
TI - Superselective Drug Delivery Using Doxorubicin-Encapsulated Liposomes and
Ultrasound in a Mouse Model of Lung Metastasis Activation.
AB - Conventional treatment of lymph node metastasis involves dissection of the tumor
and regional lymph nodes, but this may cause activation of latent metastatic
tumor cells. However, there are few reports on animal models regarding the
activation of latent metastatic tumor cells and effective methods of treating
activated tumor cells. Here, we report the use of a superselective drug delivery
system in a mouse model of lung metastasis in which activated tumor cells are
treated with doxorubicin-encapsulated liposomes (DOX-LP) and ultrasound. The
axillary lymph node was injected with DOX-LP and exposed to ultrasound so that
the released DOX would be delivered from the axillary lymph node to the
metastatic lung via the subclavian vein, heart and pulmonary artery. The size of
the DOX-LP was optimized to a diameter of 460 nm using indocyanine green
encapsulated liposomes, and the ultrasound intensity was 0.5 W/cm2. We found that
compared with DOX or DOX-LP alone, the superselective drug delivery system was
effective in the treatment of metastasis in both the lung and axillary lymph
node. We anticipate that this superselective drug delivery system will be a
starting point for the development of new techniques for treating lung metastasis
in the clinical setting. Furthermore, the superselective drug delivery system may
be used to screen novel drugs for the treatment of lung cancer and investigate
the mechanisms of tumor cell activation after resection of a primary tumor or
lymph nodes.
PMID- 29793854
TI - Scaphoid-trapezium hereditary coalition. A case report.
AB - Carpal coalitions are relatively frequent between bones in the same carpal row.
Coalitions between bones of different rows, however, are very uncommon. We
present a case of bilateral intercarpal coalition between the trapezium and
scaphoid as an incidental finding, confirming its hereditary origin since a
parent has the same bilateral coalition.
PMID- 29793855
TI - Cost of osteoporotic hip fracture in Spain per Autonomous Region.
AB - OBJECTIVE: We estimated the health resource utilization (HRU) and associated
costs during the 12months after a first osteoporotic hip fracture (OHF) in six
Spanish Regions. METHODS: Observational, prospective study including patients
>=65years-old hospitalized due to a first OHF in: Andalusia, Catalonia, Valencian
Community, Galicia, Madrid and the Basque Country. HRU related to OHF, quality of
life and patient autonomy were collected, and HRU-associated costs were
estimated. RESULTS: Four hundred and eighty-seven patients (mean age: 83.1years,
77% women) were included, with demographic characteristics that were similar
across the Regions. Mean hospital stay was longest in Madrid and Galicia
(women/men: 15.0/18.6 and 16.9/12.6days, respectively) and shortest in Andalusia
and the Valencian Community (8.2/7.2 and 8.4/9.4days). There were more
rehabilitation sessions and formal home care days in Catalonia and Madrid
(women/men: 16/21 and 17/29 sessions; 19/20 and 30/27days) and fewer in Andalusia
and Galicia (4/1 and 3/0 sessions; 3/1 and 1/0days). Mean HRU costs were higher
in Madrid and lower in Andalusia (women/men: 12,321?/12,297? and 7,031?/6,115?,
respectively). CONCLUSIONS: OHF place a large burden on Spanish Regional Health
Systems, including high economic costs. We found notable differences in mean
costs across the Regions, mainly caused by the differential length of the first
hospital stay and the outpatient care in subsequent months. These differences may
be associated with differences in surgical delay. A national consensus on the
management of OHF is desirable; moreover, agreeing common guidelines could have
major socio-economic and healthcare benefits.
PMID- 29793856
TI - The anti-inflammatory properties of tiotropium.
PMID- 29793858
TI - Tralokinumab unsuccessful for management of severe, uncontrolled asthma.
PMID- 29793859
TI - COPD awareness and treatment in China.
PMID- 29793860
TI - Outdoor air pollution and cystic fibrosis.
AB - Outdoor air pollution is increasingly identified as a contributor to respiratory
and cardiovascular disease. Pro-inflammatory particles and gases are inhaled deep
into the lungs, and are associated with impaired lung growth and exacerbations of
chronic respiratory diseases. The magnitude of these effects are of interest to
patients and families, and have been assessed in studies specific to CF. Using
systematic review methodology, we sought to collate these studies in order to
summarise the known effects of air pollution in cystic fibrosis, and to present
information on decreasing personal air pollution exposures.
PMID- 29793857
TI - Effect of tralokinumab, an interleukin-13 neutralising monoclonal antibody, on
eosinophilic airway inflammation in uncontrolled moderate-to-severe asthma
(MESOS): a multicentre, double-blind, randomised, placebo-controlled phase 2
trial.
AB - BACKGROUND: The role of interleukin 13 in airway inflammation and remodelling in
asthma is unclear. Tralokinumab is a human monoclonal antibody that neutralises
interleukin 13. We aimed to evaluate whether tralokinumab would have an effect on
airway eosinophilic infiltration, blood and sputum eosinophil concentrations,
eosinophil activation, and airway remodelling. METHODS: We did a multicentre,
double-blind, randomised, placebo-controlled phase 2 trial at 15 centres across
the UK, Denmark, and Canada. We enrolled participants of either sex aged 18-75
years with inadequately controlled moderate-to-severe asthma for 12 months or
more, requiring treatment with inhaled corticosteroids at a stable dose. We
randomly assigned participants (1:1) to receive tralokinumab (300 mg) or placebo
by an interactive web-based system or voice response system. Participants and
study personnel were masked to treatment allocation. Both tralokinumab and
placebo were administered subcutaneously every 2 weeks. The primary outcome
measure was change from baseline to week 12 in bronchial biopsy eosinophil count.
Secondary outcome measures included change in blood and sputum eosinophil counts.
Exploratory outcomes included fractional exhaled nitric oxide (FENO) and blood
IgE concentrations. Safety analyses were carried out in all participants who
received study drug. This trial is registered with ClinicalTrials.gov, number
NCT02449473, and with the European Clinical Trials Database, EudraCT 2015-000857
19. FINDINGS: Between Sept 25, 2015, and June 21, 2017, 224 participants were
enrolled and screened. Of these participants, 79 were randomly assigned to
receive tralokinumab (n=39) or placebo (n=40). Tralokinumab did not significantly
affect bronchial eosinophil count compared with placebo at week 12 (treatment
effect ratio 1.43, 95% CI 0.63-3.27; p=0.39). Compared with placebo, tralokinumab
did not significantly affect blood eosinophil count (treatment effect ratio 1.21,
95% CI 1.00-1.48; p=0.055) or sputum eosinophil count (0.57, 0.06-6.00; p=0.63),
but FENO concentration (0.78, 0.63-0.96; p=0.023) and total blood IgE
concentration (0.86, 0.77-0.97; p=0.014) were significantly reduced. 33 (85%) of
39 patients receiving tralokinumab and 32 (80%) of 40 receiving placebo reported
at least one adverse event during the treatment period. No deaths in either
treatment group were observed. Treatment-related adverse events occurred more
frequently in the tralokinumab group than in the placebo group (11 [28%] of 39 vs
seven [18%] of 40). INTERPRETATION: Tralokinumab did not significantly affect
eosinophilic inflammation in bronchial submucosa, blood, or sputum compared with
placebo, but did reduce FENO and IgE concentrations. These results suggest
interleukin 13 is not crucial for eosinophilic airway inflammation control in
moderate-to-severe asthma. FUNDING: AstraZeneca.
PMID- 29793861
TI - Critical care nurses' knowledge of alarm fatigue and practices towards alarms: A
multicentre study.
AB - OBJECTIVES: To determine critical care nurses' knowledge of alarm fatigue and
practices toward alarms in critical care settings. RESEARCH METHODOLOGY/DESIGN: A
cross-sectional survey using an adaptation of The Health Technology Foundation
Clinical Alarms Survey. SETTING: A sample of critical care nurses (n = 250) from
10 departments across six hospitals in Ireland. RESULTS: A response rate of 66%
(n = 166) was achieved. All hospital sites reported patient adverse events
related to clinical alarms. The majority of nurses (52%, n = 86) did not know or
were unsure, how to prevent alarm fatigue. Most nurses (90%, n = 148) agreed that
non-actionable alarms occurred frequently, disrupted patient care (91%, n = 145)
and reduced trust in alarms prompting nurses to sometimes disable alarms (81%, n
= 132). Nurses claiming to know how to prevent alarm fatigue stated they
customised patient alarm parameters frequently (p = 0.037). Frequent false alarms
causing reduced attention or response to alarms ranked the number one obstacle to
effective alarm management; this was followed by inadequate staff to respond to
alarms. Only 31% (n = 50) believed that alarm management policies and procedures
were used effectively. CONCLUSION: Alarm fatigue has the potential for serious
consequences for patient safety and answering numerous alarms drains nursing
resources.
PMID- 29793862
TI - Protein kinase C-dependent cell damage by unsaturated carbonyl compounds in
vascular cells.
AB - Unsaturated carbonyl compounds, such as acrolein (ACR) and methyl vinyl ketone
(MVK), are known as the environmental pollutants, and are contained in smoke,
automobile exhaust, and heated oil. Although they can enter the circulation
through the alveolar epithelium, the details of their effects on the vascular
system remain to be clarified. We have recently reported that ACR and MVK induce
protein kinase C (PKC) activation and cell damage mediated by intracellular Ca2+
in rat glioma cells (Higashi et al., J. Biosci. Bioeng., 124, 680-684, 2017). In
this study, we have attempted to elucidate the effects of ACR and MVK on the
vascular system, because blood vessels are easily exposed to these compounds. The
rat aorta smooth muscle cells A7r5 were highly sensitive to ACR and MVK, whereas
the human umbilical vein endothelial cells EA.hy926 were resistant to them. The
ACR- and MVK-induced cell damage in A7r5 cells was PKC-dependent. In A7r5 cells,
PKCalpha, PKCdelta, PKCepsilon, and PKCiota were expressed. ACR and MVK induced
PKCalpha and PKCdelta translocation to the cell membrane. PKC activity was
enhanced in A7r5 cells by ACR and MVK. These results indicate that the
unsaturated carbonyl compounds might affect the vascular system by damaging
smooth muscle cells via PKC activation.
PMID- 29793863
TI - Production of giant unilamellar vesicles by the water-in-oil emulsion-transfer
method without high internal concentrations of sugars.
AB - Giant unilamellar vesicles (GUVs) are large vesicles bounded by a single lipid
bilayer, which have been used in various applications as artificial, cell-like
compartments. The water-in-oil (w/o) emulsion-transfer method has been attracting
attention as a method to prepare GUVs that can efficiently encapsulate
macromolecules. For efficient GUV production by this method, non-physiological,
high concentrations of sugars are usually required in the inner solution of the
GUVs. These sugars limit the utility of the GUVs for a wide range of
applications. In this study, we investigated various compositions of the inner
and outer solutions to achieve efficient production without high concentrations
of sugars through the w/o emulsion-transfer method. Firstly, we adjusted the
osmotic pressure and density of the outer solution with NaCl and succeeded in
increasing the proportion of GUVs and the absolute number in the prepared
liposome population. Secondly, we increased the density of the inner solution
with cytochrome c, but the proportion of GUVs and absolute number of vesicles did
not increase. Thirdly, we increased the density of the inner and outer solutions
with glycerol, which is membrane permeable and can be removed from GUVs, and
succeeded in increasing the GUV proportion. These results provide useful
information for the efficient preparation of GUVs that enclose a physiologically
relevant environment by the w/o emulsion-transfer method.
PMID- 29793864
TI - The use of consumer depth cameras for 3D surface imaging of people with obesity:
A feasibility study.
AB - OBJECTIVE: Three dimensional (3D) surface imaging is a viable alternative to
traditional body morphology measures, but the feasibility of using this technique
with people with obesity has not been fully established. Therefore, the aim of
this study was to investigate the validity, repeatability and acceptability of a
consumer depth camera 3D surface imaging system in imaging people with obesity.
METHODS: The concurrent validity of the depth camera based system was
investigated by comparing measures of mid-trunk volume to a gold-standard. The
repeatability and acceptability of the depth camera system was assessed in people
with obesity at a clinic. RESULTS: There was evidence of a fixed systematic
difference between the depth camera system and the gold standard but excellent
correlation between volume estimates (r2=0.997), with little evidence of
proportional bias. The depth camera system was highly repeatable - low typical
error (0.192L), high intraclass correlation coefficient (>0.999) and low
technical error of measurement (0.64%). Depth camera based 3D surface imaging was
also acceptable to people with obesity. CONCLUSION: It is feasible (valid,
repeatable and acceptable) to use a low cost, flexible 3D surface imaging system
to monitor the body size and shape of people with obesity in a clinical setting.
PMID- 29793865
TI - The measurement equivalence of a safety climate measure across five faultlines.
AB - This study examines the appropriateness of comparing safety climate survey
responses across multiple faultlines-hypothetical dividing lines that split a
group into subgroups based on one or more attributes. Using survey data from 8790
employees of a multinational chemical processing and manufacturing company from
76 work sites nested within 19 different countries, we examined the multilevel
measurement equivalence of a safety climate measure across cultural dimensions,
survey languages, organizational hierarchy, employment arrangements, and work
environments. As simulation studies support the faultline at the individual-level
requires measurement equivalence tests that are different from the faultline at
the country-level, we used multi-group multilevel confirmatory factor analyses
for the Level-3 faultline, and multilevel factor mixture models for known classes
for the Level-1 faultlines. The results demonstrated that faultlines can prevent
safety climate measurement equivalence, which prohibits the aggregation of
individual-level scores to higher levels and making comparisons across
faultlines. This first study on multilevel safety climate measurement equivalence
serves as both a warning to safety climate researchers and practitioners
regarding the importance of faultlines and reminds us to consider the level of
the faultlines when testing measurement equivalence with multilevel data.
PMID- 29793866
TI - The impact of texting on driver behaviour at rail level crossings.
AB - A driver text messaging in the vicinity of a rail level crossing represents the
merging of a high-risk, high-workload driving environment with a highly
distracting secondary task. In this simulator study, we examined how texting
impacts driver behaviour on approach to actively controlled urban rail level
crossings. Twenty-eight participants drove a series of simulated urban routes
containing rail level crossings, while sending text messages and while driving
without performing a secondary task. At half of the crossings, drivers were
required to respond to the crossing warnings as a train approached. Results
revealed that texting on approach to rail level crossings had a detrimental
impact on a range of driver behaviour measures. Specifically, texting more than
doubled the amount of time spent with eyes off the forward roadway, resulting in
drivers spending more than half of their approach time to rail level crossings
looking away from the road. This lack of visual attention to the roadway was
associated with a range of decrements in driving that may be indicative of a loss
of situation awareness, including increased brake reaction time to the crossing
warnings and a reduction in lateral position control. The findings have safety
implications, not only for urban level crossings, but also for passive level
crossings where no warnings are present to re-orient the distracted driver's
attention toward an approaching train.
PMID- 29793867
TI - Heart Rate, Responsiveness to Intravenous Immunoglobulin, and Coronary Artery
Aneurysms in Kawasaki Disease.
AB - OBJECTIVE: To evaluate whether heart rate (HR) was associated with intravenous
immunoglobulin (IVIG) responsiveness or development of coronary artery lesions
(CALs) in patients with Kawasaki disease. STUDY DESIGN: We conducted a
retrospective cohort study using data from in patients with Kawasaki disease who
were hospitalized in our institution from 2006 to 2016. The patients were divided
into 5 groups according to the age- and temperature-adjusted HR z score
(HRZage/temp) just before IVIG administration. The ORs of outcomes were estimated
by using logistic regression models, with the middle group set as the reference.
RESULTS: Of the 322 patients, a total of 98 patients (30%) were refractory to
initial IVIG treatment. The patients whose HRZage/temp belonged to the lowest
group were at the highest risk of being refractory to the initial IVIG treatment
(OR 2.10 [95% CI 1.01-4.37]). Multivariable analyses showed the same trend,
though this was not statistically significant. The patients with the highest
HRZage/temp were most likely to develop CALs (OR 2.61 [95%CI 0.86-7.92]).
CONCLUSIONS: In patients with Kawasaki disease , HRs has a different relationship
with IVIG responsiveness and CALs. Low HRZage/temp might be associated with high
risk of being refractory to the initial IVIG treatment, while the risk of
developing CALs increased among those whose HRs were high. Further studies are
necessary to investigate the mechanisms regarding HR and these outcomes in
Kawasaki disease.
PMID- 29793868
TI - Lower Distending Pressure Improves Respiratory Mechanics in Congenital
Diaphragmatic Hernia Complicated by Persistent Pulmonary Hypertension.
AB - OBJECTIVE: To investigate the effects of distending pressures on respiratory
mechanics and pulmonary circulation in newborn infants with congenital
diaphragmatic hernia (CDH) and persistent pulmonary hypertension (PPHN). STUDY
DESIGN: In total, 17 consecutive infants of >=37 weeks of gestational age with
CDH and PPHN were included in this prospective, randomized, crossover pilot
study. Infants were assigned randomly to receive 2 or 5 cmH2O of positive end
expiratory pressure (PEEP) for 1 hour in a crossover design. The difference
between peak inspiratory pressure and PEEP was kept constant. Respiratory
mechanics, lung function, and hemodynamic variables assessed by Doppler
echocardiography were measured after each study period. RESULTS: At 2 cmH2O of
PEEP, tidal volume and minute ventilation were greater (P < .05), and respiratory
system compliance was 30% greater (P < .05) than at 5 cmH2O. PaCO2 and
ventilation index were lower at 2 cmH2O than at 5 cmH2O (P < .05). Although
preductal peripheral oxygen saturation was similar at both PEEP levels,
postductal peripheral oxygen saturation was lower (median [range]: 81% [65-95] vs
91% [71-100]) and fraction of inspired oxygen was greater (35% [21-70] vs 25% [21
60]) at 5 cmH2O. End-diastolic left ventricle diameter, left atrium/aortic root
ratio, and pulmonary blood flow velocities in the left pulmonary artery were
lower at 5 cmH2O. CONCLUSIONS: After surgical repair, lower distending pressures
result in better respiratory mechanics in infants with mild-to-moderate CDH. We
speculate that hypoplastic lungs in CDH are prone to overdistension, with poor
tolerance to elevation of distending pressure.
PMID- 29793870
TI - Cell Count Analysis from Nonbronchoscopic Bronchoalveolar Lavage in Preterm
Infants.
AB - OBJECTIVES: To establish the reference values, diagnostic accuracy, and effect of
various factors on cell count in intubated preterm neonates subjected to
nonbronchoscopic bronchoalveolar lavage. STUDY DESIGN: This prospective, cross
sectional, blinded study included preterm neonates ventilated for any reason who
underwent nonbronchoscopic bronchoalveolar lavage if they had not previously
received postnatal antibiotics or steroids. Lavage was performed before
surfactant replacement, if any. A gentle ventilation policy was applied.
Pneumonia was diagnosed using clinical criteria, without considering cell count.
Investigators performing cell counts were blinded to the clinical data. RESULTS:
There were 276 neonates enrolled; 36 had congenital or ventilator-associated
pneumonia. In the 240 noninfected babies, median neutrophil count increased
significantly after the first 2 days of ventilation (day 1, 2 cells per field
[IQR, 0.0-9.5 cells per field]; day 2, 2 cells per field [IQR, 0-15 cells per
field]; day 3, 20 cells per field [IQR, 2-99 cells per field]; day 4, 15 cells
per field [IQR, 2-96 cells per field]; P < .0001). No significant difference was
seen over time in infected babies. Multivariate analysis indicated pneumonia
(standardized beta = 0.134; P = .033) and the time spent under mechanical
ventilation before nonbronchoscopic bronchoalveolar lavage as factors
significantly influencing neutrophil count (standardized beta = 0.143; P = .027).
Neutrophil count was correlated with the duration of ventilation (rho = 0.28; P
<.001). Neutrophil counts were higher in infected (24 cells/field [IQR, 5-78]
cells/field) than in noninfected babies (4 cells/field [IQR, 1-24 cells/field]; P
<.001) and had an moderate reliability for pneumonia within the first 2 days of
ventilation (area under the curve, 0.745; (95% CI, 0.672-0.810; P = .002).
CONCLUSIONS: We provide reference values for airway neutrophil counts in
ventilated preterm neonates. Bronchoalveolar lavage neutrophils significantly
increase after 2 days of ventilation. Neutrophil count has moderate accuracy to
diagnose pneumonia, but only within the first 2 days of ventilation.
PMID- 29793871
TI - Transition Home Plus Program Reduces Medicaid Spending and Health Care Use for
High-Risk Infants Admitted to the Neonatal Intensive Care Unit for 5 or More
Days.
AB - OBJECTIVE: To evaluate the effects of a transition home intervention on total
Medicaid spending, emergency department visits, and unplanned readmissions for
preterm infants born at <=366/7 weeks gestation and high-risk full-term infants.
STUDY DESIGN: The Transition Home Plus (THP) program incorporated enhanced
support services before and after discharge from the neonatal intensive care unit
(NICU) provided by social workers and family resource specialists (trained peers)
working with the medical team from October 2012 to October 2014. Rhode Island
Medicaid claims data were used to study the 321 infants cared for in the NICU for
>=5 days, who were enrolled in the THP program. THP infants were compared with a
historical comparison group of 365 high-risk infants born and admitted to the
same NICU in 2011 before the full launch of the THP program. Intervention and
comparison group outcomes were compared in the eight 3-month quarters after the
infant's birth. Propensity score weights were applied in regression models to
balance demographic characteristics between groups. RESULTS: Infants in the
intervention group had significantly lower total Medicaid spending, fewer
emergency department visits, and fewer readmissions than the comparison group.
Medicaid spending savings for the intervention group were $4591 per infant per
quarter in our study period. CONCLUSIONS: Transition home support services for
high-risk infants provided both in the NICU and for 90 days after discharge by
social workers and family resource specialists working with the medical team can
reduce Medicaid spending and health care use.
PMID- 29793872
TI - A Pediatric Neurology Perspective on Pediatric Autoimmune Neuropsychiatric
Disorder Associated with Streptococcal Infection and Pediatric Acute-Onset
Neuropsychiatric Syndrome.
PMID- 29793873
TI - Executive summary of the GeSIDA consensus document on control and monitoring of
HIV-infected patients.
AB - The continuous increase in our knowledge of HIV medicine and antiretroviral
treatment has led us to draft specific consensus documents focused on topics
other than antiretroviral therapy, such as treatment of opportunistic diseases,
pre- and post-exposure prophylaxis, metabolic abnormalities, treatment of HBV or
HCV coinfection, treatment of patients coinfected with tuberculosis,
osteoporosis, kidney disorders, and cardiovascular risk. Accordingly, the AIDS
Study Group (GeSIDA) of the Spanish Society of Infectious Diseases and Clinical
Microbiology has promoted the drafting of this consensus document on the control
and monitoring of adult patients infected with HIV. The document provides
recommendations on the initial evaluation and subsequent monitoring of HIV
infected patients that will prove useful for all professionals involved in the
management of this infection.
PMID- 29793874
TI - Validity and Reliability Study of Bahasa Malaysia Version of Voice Handicap Index
10.
AB - OBJECTIVES: This study aimed to determine the validity and reliability of Bahasa
Malaysia version of Voice Handicap Index-10 (mVHI-10). MATERIALS AND METHODS:
This cross-sectional study was carried out in the Otorhinolaryngology, Head and
Neck Surgery Department of Universiti Kebangsaan Malaysia Medical Centre (UKMMC)
from June 2015 to May 2016. The mVHI-10 was produced following a rigorous forward
and backward translation. One hundred participants, including 50 healthy
volunteers (17 male, 33 female) and 50 patients with voice disorders (26 male, 24
female), were recruited to complete the mVHI-10 before flexible laryngoscopic
examinations and acoustic analysis. The mVHI-10 was repeated in 2 weeks via
telephone interview or clinic visit. Its reliability and validity were assessed
using interclass correlation. RESULTS: The test-retest reliability for total mVHI
10 and each item score was high, with the Cronbach alpha of >0.90. The total mVHI
10 score and domain scores were significantly higher (P < 0.001) in the pathology
groups (20.92 +/- 8.74) than healthy volunteers (1.54 +/- 1.97), depicting
excellent discriminant validity. The Kaiser-Meyer-Olkin measure was 0.92, which
depicted excellent construct validity. There was a significant positive
correlation between the mVHI-10 score and jitter and shimmer result (P < 0.001).
CONCLUSIONS: The present study showed good reliability and validity of the mVHI
10 when applied to both healthy volunteers and patients with voice disorders. We
recommend the use of the mVHI-10 in daily clinical practice among Bahasa Malaysia
speaking population.
PMID- 29793875
TI - The Developing Female Chorister Voice: Case-Study Evidence of Musical
Development.
AB - The human singing voice changes throughout the lifespan and there are gender
specific variations that need to be taken into account. Life changes in terms of
voice are different for females and males and this paper concentrates on the
female singing voice in the context of choral singing. Case-study data from three
choristers are presented relating to the changing female voice during puberty as
part of a longitudinal study of female choristers in a major English Cathedral
Choir School. In addition, discussion is presented on important considerations
with respect to the female choral singing voice with a particular focus on
specific choral aspects during rehearsals and performance.
PMID- 29793876
TI - Effectiveness of Vocal Therapy for the Elderly When Applying Conventional and
Intensive Approaches: A Randomized Clinical Trial.
AB - OBJECTIVES: The aim of this study was to verify the effects of the method Vocal
Therapy for the Elderly and the differences in treatment efficacy when it was
administered intensively or in the conventional way. METHODS: Twenty-seven
elderly individuals were randomized into two groups and referred for 16 sessions
of vocal therapy. The Intensive Group (IG) had therapy four times a week, whereas
the Conventional Group had it twice a week. The effects of the therapy were
assessed by auditory-perceptual analysis, the Voice-Related Quality of Life
protocol, and visual-perceptive analysis of laryngoscopy examinations. The first
stage consisted of evaluating the vocal quality and self-assessment of 15
subjects before and after a time period equal to that which they would undergo in
vocal therapy. The second stage consisted of comparing the assessments of all
participants in the week preceding the beginning of treatment, in the week
following the end of treatment, and 1 month after that. RESULTS: There was no
difference between perceptual voice parameters and self-assessment when the
subjects were not undergoing therapy. When comparing the periods immediately
before and after therapy, there was improvement in vocal quality and Voice
Related Quality of Life. One month later, the benefits that had been revealed
through the self-assessment protocol, and some of the improvements in vocal
parameters were maintained. There was no difference between the IG and
Conventional Group with the exception of vocal fold bowing, which decreased in
the IG group. CONCLUSIONS: The Vocal Therapy for the Elderly program is effective
for treating voice presbyphonia. An intensive approach may be superior with
regard to vocal fold bowing.
PMID- 29793879
TI - Management of Neonatal Abstinence Syndrome: The Importance of a Multifaceted
Program Spanning Inpatient and Outpatient Care.
PMID- 29793880
TI - Early Treatment Innovation for Opioid-Dependent Newborns: A Retrospective
Comparison of Outcomes, Utilization, Quality, and Safety, 2006-2014.
AB - BACKGROUND: Few coordinated treatment programs address the needs of infants and
families struggling with the effects of substance use. In 2003 a large
Southeastern regional hospital launched the Managing Abstinence in Newborns
(MAiN) program, providing multidisciplinary, coordinated, community-based care
for neonatal abstinence syndrome (NAS). A hypothesis-generating study was
conducted to compare the outcomes of MAiN infants to comparable NAS infants
receiving traditional care from 2006 through 2014 in South Carolina. METHODS: De
identified sociodemographic and clinical data on MAiN infants, as well as NAS
infants not treated with MAiN, were obtained from South Carolina statewide
databases. Study measures included medical and safety outcomes, health services
utilization, child protective services involvement, emergency services
utilization, and inpatient readmissions. RESULTS: Some 110 infants were
identified who received the MAiN intervention and 356 NAS infants, also in South
Carolina, who were potentially MAiN eligible. Overall, there were no significant
differences in the two groups regarding medical or safety outcomes or child
protective services involvement. Traditional care NAS infants were more likely to
be treated in a higher-level nursery (68.8% vs. 0%). MAiN infants had $8,204 less
per birth in median charges (p <0.001) than the traditional care NAS infants.
MAiN infants also had a lower percentage of ED visits (p = 0.01) assessed as
possibly or likely NAS related compared to traditional care NAS infants.
CONCLUSION: This study demonstrates the potential value of implementing the MAiN
model in eligible NAS infants. With no difference in medical and safety outcomes
and a significant reduction in charges, the MAiN model can be considered safe and
cost-effective.
PMID- 29793877
TI - Comparative effectiveness of bariatric procedures among adolescents: the PCORnet
bariatric study.
AB - BACKGROUND: Bariatric surgery has been used for treatment of severe obesity in
adolescents but most studies have been small and limited in follow-up.
OBJECTIVES: We hypothesized that electronic health record data could be used to
compare effectiveness of bariatric procedures in adolescents. SETTING: Data were
obtained from clinical research networks using a common data model to extract
data from each site. METHODS: Adolescents who underwent a primary bariatric
procedure from 2005 through 2015 were identified. The percent change in body mass
index (BMI) at 1, 3, and 5 years was estimated using random effects linear
regression for patients undergoing all operations. Propensity score adjusted
estimates and 95% confidence intervals were estimated for procedures with >25
patients at each time period. RESULTS: This cohort of 544 adolescents was
predominantly female (79%) and White (66%), with mean (+/-standard deviation) age
of 17.3 (+/-1.6) years and mean BMI of 49.8 (+/- 7.8) kg/m2. Procedures included
Roux-en-Y gastric bypass (RYGB; n = 177), sleeve gastrectomy (SG; n = 306), and
laparoscopic adjustable gastric banding (n = 61). For those undergoing RYGB, SG,
and laparoscopic adjustable gastric banding, mean (95% confidence interval) BMI
changes of -31% (-30% to -33%), -28% (-27% to -29%), and -10% (-8% to -12%), were
estimated at 1 year. For RYGB and SG, BMI changes of -29% (-26% to -33%) and -25%
(-22% to -28%) were estimated at 3 years. CONCLUSIONS: Adolescents undergoing SG
and RYGB experienced greater declines in BMI at 1- and 3-year follow-up time
points, while laparoscopic adjustable gastric banding was significantly less
effective for BMI reduction.
PMID- 29793878
TI - TP53 Mutation as Potential Negative Predictor for Response of Anti-CTLA-4 Therapy
in Metastatic Melanoma.
AB - TP53 has been proved to be associated with cytotoxic T-cell induced apoptosis,
however, the association between TP53 and the benefit of immunotherapy in
melanoma has not been studied. In the present study, we examined the relationship
between TP53 mutation and response to CTLA-4 blockade in metastatic melanoma by
analyzing the data from one public cohort consisting of 110 patients with
metastatic melanoma. The sequencing, mRNA and survival data of 368 patients with
skin melanoma from The Cancer Genome Atlas (TCGA) was used to explore the
underlying mechanism. TP53 mutation was associated with significant poorer
progression-free survival (HR, 2.25; 95% CI, 1.15-4.37; P = 0.014), poorer
overall survival (HR, 2.05; 95% CI, 1.02-4.13; P = 0.040) and trend of poorer
response (OR, 0.20; 95% CI, 0.02-1.62; P = 0.131). The correlations were
significant in multivariate analysis including lactate dehydrogenase, tumor
mutational burden and tumor stage (P < 0.05). In TCGA, no association was
observed between TP53 mutation and survival (P = 0.55). The mRNA expression of
FAS was lower in patients with TP53 mutation than TP53 wild-type. Our findings
suggest that TP53 mutation is a potential negative predictor of metastatic
melanoma treated with CTLA-4 blockade.
PMID- 29793882
TI - Using an Inpatient Quality Improvement Curriculum for Internal Medicine Residents
to Improve Pneumococcal Conjugate Vaccine Administration Rates.
AB - BACKGROUND: Pneumococcal infections are an important source of morbidity and
mortality in older adults and persons with compromised immune systems. New
recommendations from the Advisory Committee on Immunization Practices (ACIP)
became available September 2014, which included recommendations for the use of
the 13-valent pneumococcal conjugate vaccine (PCV13). A study was conducted to
increase the PCV13 vaccination rates of hospitalized patients at the White River
Junction Veterans Affairs Medical Center (White River Junction, Vermont) through
the use of a resident-driven quality improvement (QI) project. METHODS: From
December 2014 through April 2016, 16 internal medicine inpatient residents
addressed inpatient PCV13 vaccination rates by participating in the facility's QI
curriculum. Eight Plan-Do-Study-Act cycles were used, including discharge
template editing, electronic reminders, and the discovery of a vaccination
administration documentation error in the record through data validation. The
measure was the monthly percentage of patients who received PCV13 vaccination
(vaccination completion rate) of those discharged from the hospital medicine
service who were due for PCV13 vaccination. RESULTS: The percentage of veterans
discharged with an up-to-date PCV13 vaccination on discharge increased from
approximately 30% to 87% and was sustained. CONCLUSION: Despite being driven by
many different residents, this project demonstrates that continuous improvement
can be achieved through a structured and iterative process while providing active
learning of core QI concepts to residents. It also displays a method in which new
guidelines can be incorporated into practice in an effective manner. Finally,
this project is an example of how resident-driven data validation can lead to
further improvement.
PMID- 29793881
TI - Using Concentration Curves to Assess Organization-Specific Relationships between
Surgeon Volumes and Outcomes.
AB - BACKGROUND: A well-documented association exists between higher surgeon volumes
and better outcomes for many procedures, but surgeons may be reluctant to change
practice patterns without objective, credible, and near real-time data on their
performance. In addition, published thresholds for procedure volumes may be
biased or perceived as arbitrary; typical reports compare surgeons grouped into
discrete procedure volume categories, even though the volume-outcomes
relationship is likely continuous. METHODS: The concentration curves methodology,
which has been used to analyze whether health outcomes vary with socioeconomic
status, was adapted to explore the association between procedure volume and
outcomes as a continuous relationship so that data for all surgeons within a
health care organization could be included. Using widely available software and
requiring minimal analytic expertise, this approach plots cumulative percentages
of two variables of interest against each other and assesses the characteristics
of the resulting curve. Organization-specific relationships between surgeon
volumes and outcomes were examined for three example types of procedures:
uncomplicated hysterectomies, infant circumcisions, and total thyroidectomies.
The concentration index was used to assess whether outcomes were equally
distributed unrelated to volumes. RESULTS: For all three procedures, the
concentration curve methodology identified associations between surgeon procedure
volumes and selected outcomes that were specific to the organization. The
concentration indices confirmed the higher prevalence of examined outcomes among
low-volume surgeons. The curves supported organizational discussions about
surgical quality. CONCLUSION: Concentration curves require minimal resources to
identify organization- and procedure-specific relationships between surgeon
procedure volumes and outcomes and can support quality improvement.
PMID- 29793883
TI - Reducing Serious Safety Events and Priority Hospital-Acquired Conditions in a
Pediatric Hospital with the Implementation of a Patient Safety Program.
AB - BACKGROUND: A freestanding children's hospital evaluated the impact of a patient
safety program on serious safety events (SSEs) and hospital-acquired conditions
(HACs). METHODS: The No Harm Patient Safety Program was developed throughout the
organization using a multifaceted approach that included safety moments,
leadership rounding, cause analysis changes, event reporting enhancements, error
prevention training, leadership training, identifying priority HACs, Eye on
Safety Campaign, and safety coaches. The organization set strategic goals for
improvement of SSEs and priority HACs. RESULTS: The rate of SSEs decreased from
0.19 in 2014 to 0.09 in 2015. The rate significantly declined from 2015 to 2016
to a rate of 0.00, for a rate difference of -0.00009 (95% confidence interval
[CI]: -0.00016, -0.00002; p = 0.012). The organization reached two years without
an SSE in July 2017. The central line-associated bloodstream infection rate
significantly declined from 2.8 per 1,000 line-days in 2015 to 1.6 in 2016, for a
difference of -0.00118 (95% CI: -0.002270, -0.00008; p = 0.036). Surgical site
infection rates declined from a 2015 rate of 3.8 infections per 100 procedures to
a 2016 rate of 2.6 (p = 0.2962), and catheter-associated urinary tract infection
rates declined from a 2015 rate of 2.7 per 1,000 catheter-days to a 2016 rate of
1.4 (p = 0.2770). CONCLUSION: The No Harm Patient Safety Program was interwoven
into the organization's strategic mission and values, and key messaging was used
to purposefully tie the many interventions being implemented back to it. These
interventions were associated with improvements in patient safety outcomes.
PMID- 29793884
TI - What is the Realistic Scope of Informed Consent?
PMID- 29793885
TI - Opportunities to Improve Informed Consent with AHRQ Training Modules.
AB - BACKGROUND: Informed consent is a process of communication between clinician and
patient that results in the patient's decision about whether to undergo a
specific intervention. However, patients often do not understand the risks,
benefits, and alternatives, even after signing a consent form. METHODS: Mixed
methods pilot test of two Agency for Healthcare Research and Quality (AHRQ)
informed consent training modules implemented in four hospitals. Methods included
staff and patient surveys, interviews, site visits, and pre- and posttests of the
modules. RESULTS: A low proportion of clinicians reported using teach-back
(40.0%) or high-quality decision aids (55.0%). Patients reported limited use of
best practices, including being asked to teach-back (58.4%), having other options
described (54.9%), viewing decision aids (37.4%), and finding the form very easy
to understand (66.8%). Content of the training modules aligned well with
identified deficiencies. Barriers to completing the modules included staff
turnover, competing demands, and lack of accountability. Facilitators included
committed champions with available time, motivation, and release time for staff
to take modules. Knowledge increased for leaders (p <0.05) and staff (p <0.001)
who completed the training modules. Hospitals reported the effects of piloting
the modules included fostering dialogue and identifying opportunities for
improvements, identifying and rectifying policy ambiguity and noncompliance,
reinforcing the use of interpreter services, and using modules' strategies and
tools to improve informed consent. CONCLUSION: Many opportunities exist for
hospitals to improve their informed consent practices. AHRQ's two training
modules, have face validity, addressed demonstrated deficiencies in hospitals'
informed consent policies and processes, and stimulated improvement activity in
motivated hospitals.
PMID- 29793887
TI - The Characteristics of Physicians Who are Re-Disciplined by Medical Boards: A
Retrospective Cohort Study.
AB - BACKGROUND: Physician misconduct adversely affects patient safety and is
therefore of societal importance. Little work has specifically examined re
disciplined physicians. A study was conducted to compare the characteristics of
re-disciplined to first-time disciplined physicians. METHODS: A retrospective
review of Canadian physicians disciplined by medical boards between 2000 and 2015
was conducted. Physicians were divided into those disciplined once and those
disciplined more than once. Differences in demographics, transgressions, and
penalties were evaluated. RESULTS: There were 938 disciplinary events for 810
disciplined physicians with 1 in 8 (n = 101, 12.5%) being re-disciplined. Re
disciplined physicians had up to six disciplinary events in the study period and
4 (4.0%) had events in more than one jurisdiction. Among those re-disciplined, 94
(93.1%) were male, 34 (33.7%) were international medical graduates, and 88
(87.1%) practiced family medicine (n = 59, 58.4%), psychiatry (n = 11, 10.9%),
surgery (n = 9, 8.9%), or obstetrics/gynecology (n = 9, 8.9%). The proportion of
obstetrician/gynecologists was higher among re-disciplined physicians (8.9% vs.
4.2%, p = 0.048). Re-disciplined physicians had more mental illness (1.7% vs.
0.1%, p = 0.01), unlicensed activity (19.2% vs. 7.2%, p <0.01), and less sexual
misconduct (20.1% vs. 27.9%, p = 0.02). License suspension occurred more
frequently among those re-disciplined (56.8% vs. 48.0%, p = 0.02) as did license
restriction (38.4% vs. 26.7%, p <0.01). License revocation was not different
between cohorts (10.9% vs. 13.5%, p = 0.36). CONCLUSION: Re-discipline is not
uncommon and underscores the need for better identification of at-risk
individuals and optimization of remediation and penalties. The distribution of
transgression argues for a national disciplinary database that could improve
communication between jurisdictional medical boards.
PMID- 29793886
TI - Implementation and Evaluation of a Novel Colorectal Cancer Decision Aid Using a
Centralized Delivery Strategy.
AB - BACKGROUND: Colorectal cancer (CRC) is the second leading cause of cancer-related
deaths in the United States; however, CRC screening reduces both incidence and
mortality rates. Patient decision aids (DAs) are an evidence-based strategy to
support patients making health-related decisions. CRC screening DAs can be
unsuccessful due to provider preferences for colonoscopy and lack of effective DA
implementation strategies within clinical settings. METHODS: A hybrid
implementation-effectiveness study was conducted testing the feasibility of using
an existing centralized preventive health screening outreach infrastructure to
implement a novel CRC DA across a health care system. Participants included
primary care patients at one of three study clinics. Implementation was assessed
by determining whether patients remembered receiving the DA and were aware of CRC
screening options. Effectiveness was measured by comparing overall screening
rates between the control and intervention groups. RESULTS: Using a centralized
delivery system was a feasible and efficient method for implementing DAs to a
large academic health system. More than 90% of the intervention group remembered
receiving the DA, and 80% found it helpful in their decision-making process. The
DA was successful in improving CRC screening knowledge; however, overall CRC
screening rates significantly decreased between the control and intervention
periods (50.8% vs. 39.2%, respectively; p = 0.03). CONCLUSION: Centralized
delivery is a feasible method for DA implementation. Although DAs increase
knowledge, the true effectiveness of CRC DAs in clinical settings is unknown, as
a result of the number in screening tests, diversity in DA format, and the
variability in dissemination and implementation practices.
PMID- 29793888
TI - Principles of Automation for Patient Safety in Intensive Care: Learning From
Aviation.
AB - BACKGROUND: The transition away from written documentation and analog methods has
opened up the possibility of leveraging data science and analytic techniques to
improve health care. In the implementation of data science techniques and
methodologies, high-acuity patients in the ICU can particularly benefit. The
Principles of Automation for Patient Safety in Intensive Care (PASPIC) framework
draws on Billings's principles of human-centered aviation (HCA) automation and
helps in identifying the advantages, pitfalls, and unintended consequences of
automation in health care. THE FRAMEWORK AND ITS KEY CHARACTERISTICS: Billings's
HCA principles are based on the premise that human operators must remain "in
command," so that they are continuously informed and actively involved in all
aspects of system operations. In addition, automated systems need to be
predictable, simple to train, to learn, and to operate, and must be able to
monitor the human operators, and every intelligent system element must know the
intent of other intelligent system elements. In applying Billings's HCA
principles to the ICU setting, PAPSIC has three key characteristics: (1)
integration and better interoperability, (2) multidimensional analysis, and (3)
enhanced situation awareness. RECOMMENDATIONS: PAPSIC suggests that health care
professionals reduce overreliance on automation and implement "cooperative
automation" and that vendors reduce mode errors and embrace interoperability.
CONCLUSION: Much can be learned from the aviation industry in automating the ICU.
Because it combines "smart" technology with the necessary controls to withstand
unintended consequences, PAPSIC could help ensure more informed decision making
in the ICU and better patient care.
PMID- 29793869
TI - Extreme Preterm Infant Rates of Overweight and Obesity at School Age in the
SUPPORT Neuroimaging and Neurodevelopmental Outcomes Cohort.
AB - OBJECTIVE: To identify rates of overweight (body mass index [BMI] >=85th
percentile) and obesity (BMI >=95th percentile) at 6-7 years of age and
associated risk factors among extremely preterm infants born at <28 weeks of
gestation. STUDY DESIGN: Anthropometrics, blood pressure, and active and
sedentary activity levels were prospectively assessed. Three groups were
compared, those with a BMI >=85th percentile (overweight or obese for age,
height, and sex) and >=95th percentile (obese) vs <85th percentile. Multiple
regression analyses estimated the relative risks of BMI >=85th percentile and
>=95th percentile associated with perinatal and early childhood factors. RESULTS:
Of 388 children, 22% had a BMI of >=85th percentile and 10% were obese. Children
with obesity and overweight compared with normal weight children had higher body
fat (subscapular skinfold and triceps skinfold >85th percentile), central fat
(waist circumference >90th percentile), spent more time in sedentary activity
(20.5 vs 18.2 vs 16.7 hours/week), and had either systolic and/or diastolic
hypertension (24% vs 26% vs 14%), respectively. Postdischarge weight gain
velocities from 36 weeks postmenstrual age to 18 months, and 18 months to 6-7
years were independently associated with a BMI of >=85th percentile, whereas
weight gain velocity from 18 months to 6-7 years was associated with obesity.
CONCLUSIONS: One in 5 former extremely preterm infants is overweight or obese and
has central obesity at early school age. Postdischarge weight gain velocities
were associated with overweight and obesity. These findings suggest the obesity
epidemic is spreading to the most extremely preterm infants. TRIAL REGISTRATION:
ClinicalTrials.gov: NCT00063063 and NCT0000.
PMID- 29793889
TI - Effects of Home Mechanical Ventilation on Left Ventricular Function in
Sarcoglycanopathies (Limb Girdle Muscular Dystrophies).
AB - Cardiac and respiratory function may be impaired in sarcoglycanopathies, a
subgroup of muscular dystrophies due to sarcoglycan proteins (alpha, beta, gamma,
and delta) genes mutations. Management of patients with restrictive respiratory
failure mainly relies on home mechanical ventilation (HMV). Little is known about
the cardiac effects of prolonged mechanical ventilation in patients with muscular
dystrophy and restrictive respiratory insufficiency. We aimed to assess the
effects of HMV on cardiac function in sarcoglycanopathies. We retrospectively
included 10 genetically proven patients with sarcoglycanopathy followed at the
HMV unit of the Raymond Poincare University Hospital (4 patients with alpha
sarcoglycanopathy and 6 patients with gamma-sarcoglycanopathy). We collected
cardiorespiratory clinical baseline data and left ventricular ejection fraction
(LVEF) at baseline before initiation of HMV and at the end of follow-up. At
baseline, median age was 30.5 years (27 to 39) and median pulmonary vital
capacity was 27% of the predicted value (21 to 36). Forty percent of the patients
had documented sleep apnea. Cardiomyopathy, defined as LVEF <50%, was found in 3
patients with gamma-sarcoglycanopathy. After a median follow-up of 3 years (1.0
to 4.5), there was a significant increase in LVEF after initiation of HMV, that
is, 62% (48 to 65) versus 53% (45.5 to 56.5) (p = 0.0039). In conclusion, HMV in
sarcoglycanopathies is not harmful and may protect left ventricular function by
its thoracic physiological effects.
PMID- 29793890
TI - Differential effects of adolescent and adult-initiated voluntary exercise on
context and cued fear conditioning.
AB - Adolescence is a critical period for postnatal brain maturation and a time during
which there is increased susceptibility to developing emotional and cognitive
related disorders. Exercise during adulthood has been shown to increase
hippocampal plasticity and enhance cognition. However, the impact of exercise
initiated in adolescence, on brain and behaviour in adulthood is not yet fully
explored or understood. The aim of this study was to compare the impact of
voluntary exercise that was initiated either during adolescence or early
adulthood on cognitive performance in hippocampal and amygdala-dependent fear
conditioning tasks in adulthood. Adult (eight weeks old) and adolescent (four
weeks old) male Sprague Dawley rats had access to a running wheel (exercise) or
were left undisturbed (sedentary control) for seven weeks. Adult-initiated
exercise enhanced both contextual and cued fear conditioning, while conversely,
exercise that began in adolescence did not affect performance in these tasks.
These behaviours were accompanied by differential expression of plasticity
related genes in the hippocampus and amygdala in adulthood. Specifically,
adolescent-initiated exercise increased the expression of an array of plasticity
related genes in the hippocampus including BDNF, synaptophysin, Creb, PSD-95,
Arc, TLX and DCX, while adult-initiated exercise did not affect hippocampal
plasticity related genes. Together results show that exercise initiated during
adolescence has a differential effect on hippocampal and amygdala-dependent
behaviour and neuronal plasticity compared to when exercise was initiated in
adulthood. These findings reinforce adolescence as a period during which
environmental influences have a distinct impact on neuronal plasticity and
cognition.
PMID- 29793891
TI - Sustained impact of rotavirus vaccine on rotavirus hospitalisations in Lusaka,
Zambia, 2009-2016.
AB - BACKGROUND: Monovalent rotavirus vaccine (RV1) was introduced in Lusaka in
February 2012 and rolled out countrywide in November 2013 in the routine Expanded
Programme on Immunisation and administered at 6 and 10 weeks with no catch up
dose. Reported here is the monitoring of rotavirus acute gastroenteritis
hospitalisations at the University Teaching Hospital, Lusaka, Zambia as part of
efforts to document the impact of rotavirus vaccine. METHODS: Children <5 years
hospitalised for acute gastroenteritis (AGE) from January 2009 to December 2016
were recruited into the rotavirus disease burden active surveillance and had
their stools tested for rotavirus by enzyme immunoassay. We compared rotavirus
associated AGE hospitalisations of the pre-vaccine era (2009-2011) with the post
rotavirus vaccine introduction period (2013-2016). RESULTS: With the increase in
RV1 coverage in Lusaka, rotavirus AGE declined significantly from 40% of
diarrhoea hospitalisation in the pre-vaccine era to 29% of diarrhoea
hospitalisation in the post-vaccine era (p < 0.001) in children <5 years. After a
decreasing trend in rotavirus positivity from 2013 to 2015, positivity increased
to 37% in 2016. However, the post-vaccine years (2012-2016) saw substantial
decline in the number tested (median decline: 34% (range: 20-43%)) and the number
of positive results (median decline: 52% (range: 30-65%). CONCLUSION: A sustained
and significant decline in rotavirus AGE hospitalisations was observed in
children <5 years since the introduction of RV1 in Lusaka, Zambia. Despite an
increase in rotavirus positivity in 2016, the total number of children enrolled
and the number of rotavirus positive children remained below baseline. The reason
for the increase in rotavirus positivity in 2016 is unknown but could be due to
an accumulation of susceptible children and the shifting of disease to children
of older age groups. This finding underscores the need for continued monitoring
of rotavirus vaccine impact.
PMID- 29793892
TI - Assessing population immunity for measles elimination - The promise and peril of
serosurveys.
PMID- 29793893
TI - Protective immune response against Toxoplasma gondii elicited by a novel yeast
based vaccine with microneme protein 16.
AB - Toxoplasma gondii is an obligate intracellular protozoan that can invade all
eukaryotic cells and infect all warm-blood animals, causing the important
zoonosis toxoplasmosis. Invasion of host cells is the key step necessary for T.
gondii to complete its life cycle and microneme proteins play an important role
in attachment and invasion of host cells. Microneme protein 16 (TgMIC16) is a new
protective protein in T. gondii and belongs to transmembrane microneme proteins
(TM-MIC). The TM-MICs are released onto the parasite's surface as complexes
capable of interacting with host cell receptors. In the present study, we
expressed the TgMIC16 protein on the surface of Saccharomyce cerevisiae (pCTCON2
TgMIC16/EBY100) and evaluated it as a potential vaccine for BALB/c mice against
challenge infection with the RH strain of T. gondii. We immunized BALB/c mice
both orally and intraperitoneally. After three immunizations, the immune response
was evaluated by measuring antibody levels, lymphocyte proliferative responses,
percentages of CD4+ and CD8+ T lymphocytes, cytokine production, and the survival
times of challenged mice. The results showed that the pCTCON2-TgMIC16/EBY100
vaccine stimulated humoral and cellular immune responses. In addition, mice
immunized with the pCTCON2-TgMIC16/EBY100 vaccine showed increased survival times
compared with non-immunized controls. In summary, TgMIC16 displayed on the cell
surface of S. cerevisiae could be used as potential vaccine against
toxoplasmosis.
PMID- 29793894
TI - An update from hospital-based surveillance for rotavirus gastroenteritis among
young children in Bangladesh, July 2012 to June 2017.
AB - INTRODUCTION: In preparation for the introduction of a rotavirus vaccine into the
routine immunization program of Bangladesh in 2018, we report data and highlight
evolving genotypes from five years of active hospital-based rotavirus
surveillance which began in July 2012. METHODS: We enrolled and collected fresh
stool from every fourth child < 5 years admitted with acute gastroenteritis (AGE)
at 8 participating surveillance hospitals. Rotavirus infections were detected by
enzyme immune assay. Twenty-five percent of rotavirus isolates were genotyped
using reverse transcription polymerase chain reaction. RESULTS: We found that 64%
(4832/7562) of children < 5 years of age admitted with AGE had evidence of
rotavirus infection. The majority (57%) of patients with rotavirus infection were
<12 months of age. The most common strains were G1P[8] (43%), G12P[8] (15%) and
G9P[8] (9%); 11% of children had mixed infection.G3P[8], which has not been
reported in Bangladesh since 2001, was documented for the first time in our
surveillance system. CONCLUSIONS: The high burden of rotavirus-associated
hospitalizations highlights the potential value of rotavirus vaccination in
Bangladesh. Continued surveillance is important for monitoring the impact of
vaccination as well as monitoring evolving genotypes.
PMID- 29793895
TI - Barriers and facilitators to HPV vaccination among rural Alabama adolescents and
their caregivers.
AB - INTRODUCTION: Half of all new human papillomavirus (HPV) infections occur in
adolescents and young adults, and this population has poor HPV vaccination rates.
Rural areas of the U.S. have high rates of HPV-related diseases and low
vaccination rates as well. The purpose of this study was to determine the
perceived barriers and facilitators to HPV vaccination among adolescents and
their caregivers in rural south Alabama. METHODS: Vaccinated and non-vaccinated
adolescents ages 11-18 years old and primary caregivers were recruited from three
rural counties in south Alabama. Participants completed individual interviews to
discuss perceived barriers to vaccination and factors influencing their decision
to vaccinate. Discussion groups were held to determine potential solutions to
barriers elucidated from the interviews. Interview and discussion group
transcripts were analyzed, and themes were identified. RESULTS: Approximately
62.5% of adolescents had not initiated the HPV vaccine series. Of those
adolescents who started the vaccine series (n = 9, 37.5%), about half completed
it (n = 5). Few participants in this study reported speaking with their health
care provider (HCP) about the vaccine in the past year. Lack of information about
the vaccine, its side effects, and no HCP recommendation were common barriers
cited by non-vaccinators. Facilitators to vaccination included cancer prevention,
discussion with HCP, and peer testimonials. Potential solutions to barriers were
also discussed. CONCLUSIONS: Proposed strategies to increase HPV vaccination were
similar between vaccinated and non-vaccinated groups. Education about HPV and the
HPV vaccine is needed throughout these rural south Alabama communities to ensure
informed decisions are made about vaccination and to increase vaccination rates.
PMID- 29793896
TI - What are the defining characteristics of the most cited publications in
orthognathic surgery?
AB - The purpose of this study was to identify the characteristics associated with
highly cited papers in orthognathic surgery. This was a cohort study of articles
published in the English-language literature from 1900 to 2017. Citation
databases were searched for papers related to orthognathic surgery and the most
frequently cited papers were identified. For each paper, the following variables
were collected: region of origin, time-period of publication, corresponding
author specialty, journal of publication, topic area, study design, and number of
citations. The outcome variable was the citation index (citations per year).
North American investigators published 70% of the 100 most-cited articles in
orthognathic surgery. The majority of papers were from oral and maxillofacial
surgeons. Frequent content areas were diagnosis, virtual planning,
fixation/stability, and complications. The majority (54%) of studies were cohort
or case report/series. The mean number of citations was 235.0+/-126.5; the mean
citation index was 9.9+/-6.1 citations per year. Time-period, content area, and
study design were associated with the citation index (all P<0.001). Time-period,
content area, and study design predicted the citation index (all P<=0.009). Among
frequently cited papers in orthognathic surgery, oral and maxillofacial surgeons
had the highest volume of contributions. Diagnosis, treatment planning, and
complications were the most common topics studied.
PMID- 29793897
TI - Conservative management of severe coronary artery hematoma and dissection
following stent implantation.
AB - Severe dissection and hematoma following stent implantation can cause acute
vessel closure, which requires an immediate bailout procedure. However, bailout
from such a situation may not be easy, especially when the hematoma extends to
the distal segment of a coronary artery. We present a case of 73-year-old woman
with effort angina who underwent PCI to the right coronary artery (RCA).
Following stent implantation, there was a massive hematoma from the distal edge
of the stent. We tried to create re-entry at the distal part of the hematoma, but
were not successful. We managed her conservatively without additional stent
placement or creating re-entry. Follow-up coronary angiography on day 68 showed
excellent coronary flow. Intravascular ultrasound demonstrated complete healing
of the hematoma. A hematoma caused by edge dissection is a challenging
complication. Additional stent implantation to cover the entire length of the
hematoma and/or cutting balloon dilatation to create re-entry are options;
however, these procedures may worsen the situation. Our case clearly showed
healing of dissection and hematoma without creating re-entry or additional stent
implantation. Conservative management should be considered an option for severe
edge dissection and hematoma following stent implantation.
PMID- 29793898
TI - Tryton dedicated bifurcation stent in treatment of unprotected distal left main
bifurcation disease.
AB - Percutaneous coronary interventions involving coronary bifurcation lesions are
more complex and associated with adverse outcomes (both angiographic and
clinical) compared to non-bifurcation lesions. Tryton, a dedicated bifurcation
stent, has been introduced with the aim to simplify treatment of bifurcation
lesions. Tryton stent in combination with conventional drug eluting stent is safe
and associated with reduced stenosis and bail-out stenting of side branch
compared to provisional stenting involving a large side. However, little is known
regarding safety and efficacy of Tryton stent in left main (LM) bifurcation
lesion. We describe two cases of unprotected LM bifurcation stenting using Tryton
stent in combination with drug eluting stent.
PMID- 29793899
TI - Attention and Cognitive Bias Modification Apps: Review of the Literature and of
Commercially Available Apps.
AB - BACKGROUND: Automatic processes, such as attentional biases or interpretative
biases, have been purported to be responsible for several psychiatric disorders.
Recent reviews have highlighted that cognitive biases may be modifiable. Advances
in eHealth and mHealth have been harnessed for the delivery of cognitive bias
modification. While several studies have evaluated mHealth-based bias
modification intervention, no review, to our knowledge, has synthesized the
evidence for it. In addition, no review has looked at commercial apps and their
functionalities and methods of bias modification. A review is essential in
determining whether scientifically validated apps are available commercially and
the proportion of commercial apps that have been evaluated scientifically.
OBJECTIVE: The objective of this review was primarily to determine the proportion
of attention or cognitive bias modification apps that have been evaluated
scientifically and secondarily to determine whether the scientifically evaluated
apps were commercially available. We also sought to identify commercially
available bias modification apps and determine the functionalities of these apps,
the methods used for attention or cognitive bias modification, and whether these
apps had been evaluated scientifically. METHODS: To identify apps in the
published literature, we searched PubMed, MEDLINE, PsycINFO, and Scopus for
studies published from 2000 to April 17, 2018. The search terms used were
"attention bias" OR "cognitive bias" AND "smartphone" OR "smartphone application"
OR "smartphone app" OR "mobile phones" OR "mobile application" OR mobile app" OR
"personal digital assistant." To identify commercial apps, we conducted a manual
cross-sectional search between September 15 and 25, 2017 in the Apple iTunes and
Google Play app stores. The search terms used to identify the apps were
"attention bias" and "cognitive bias." We also conducted a manual search on the
apps with published evaluations. RESULTS: The effectiveness of bias modification
was reported in 7 of 8 trials that we identified in the published literature.
Only 1 of the 8 previously evaluated apps was commercially available. The 17
commercial apps we identified tended to use either an attention visual search or
gamified task. Only 1 commercial app had been evaluated in the published
literature. CONCLUSIONS: This is perhaps the first review to synthesize the
evidence for published mHealth attention bias apps. Our review demonstrated that
evidence for mHealth attention bias apps is inconclusive, and quite a few
commercial apps have not been validated scientifically.
PMID- 29793900
TI - The Importance of Visit Notes on Patient Portals for Engaging Less Educated or
Nonwhite Patients: Survey Study.
AB - BACKGROUND: OpenNotes, a national initiative to share clinicians' visit notes
with patients, can improve patient engagement, but effects on vulnerable
populations are not known very well. OBJECTIVE: Our aim is to examine the
importance of visit notes to nonwhite and less educated patients. METHODS:
Patients at an urban academic medical center with an active patient portal
account and >=1 available ambulatory visit note over the prior year were surveyed
during June 2016 until September 2016. The survey was designed with patients and
families and assessed importance of reading notes (scale 0-10) for (1)
understanding health conditions, (2) feeling informed about care, (3)
understanding the provider's thought process, (4) remembering the plan of care,
and (5) making decisions about care. We compared the proportion of patients
reporting 9-10 (extremely important) for each item stratified by education level,
race/ethnicity, and self-reported health. Principal component analysis and
correlation measures supported a summary score for the 5 items (Cronbach
alpha=.93). We examined factors associated with rating notes as extremely
important to engage in care using logistic regression. RESULTS: Of 24,722
patients, 6913 (27.96%) completed the survey. The majority (6736/6913, 97.44%)
read at least one note. Among note readers, 74.0% (727/982) of patients with
<=high school education, 70.7% (130/184) of black patients, and 69.9% (153/219)
of Hispanic/Latino patients reported that notes are extremely important to feel
informed about their care. The majority of less educated and nonwhite patients
reported notes as extremely important to remember the care plan (62.4%, 613/982
<=high school education; 62.0%, 114/184 black patients; and 61.6%, 135/219
Hispanic/Latino patients) and to make care decisions (62.3%, 612/982; 59.8%,
110/184; and 58.5%, 128/219, respectively, and P<.003 for all comparisons to more
educated and white patients, respectively). Among patients with the poorest self
reported health, 65.9% (499/757) found notes extremely important to be informed
and to understand the provider. On multivariable modeling, less educated patients
were nearly three times as likely to report notes were extremely important to
engage in care compared with the most educated patients (odds ratio [OR] 2.9, 95%
CI 2.4-3.3). Nonwhite patients were twice as likely to report the same compared
with white patients (OR 2.0, 95% CI 1.5-2.7 [black] and OR 2.2, 95% CI 1.6-2.9
[Hispanic/Latino and Asian], P<.001 for each comparison). Healthier patients,
women, older patients, and those who read more notes were more likely to find
notes extremely important to engage in care. CONCLUSIONS: Less educated and
nonwhite patients using the portal each assigned higher importance to reading
notes for several health behaviors than highly educated and white patients, and
may find transparent notes especially valuable for understanding their health and
engaging in their care. Facilitating access to notes may improve engagement in
health care for some vulnerable populations who have historically been more
challenging to reach.
PMID- 29793901
TI - A Mobile App for Identifying Individuals With Undiagnosed Diabetes and
Prediabetes and for Promoting Behavior Change: 2-Year Prospective Study.
AB - BACKGROUND: To decrease the burden of diabetes in society, early screening of
undiagnosed diabetes and prediabetes is needed. Integrating a diabetes risk score
into a mobile app would provide a useful platform to enable people to self-assess
their risk of diabetes with ease. OBJECTIVE: The objectives of this study were to
(1) assess the profile of Diabetes Risk Score mobile app users, (2) determine the
optimal cutoff value of the Finnish Diabetes Risk Score to identify undiagnosed
diabetes and prediabetes in the Chinese population, (3) estimate users' chance of
developing diabetes within 2 years of using the app, and (4) investigate high
risk app users' lifestyle behavior changes after ascertaining their risk level
from the app. METHODS: We conducted this 2-phase study among adults via mobile
app and online survey from August 2014 to December 2016. Phase 1 adopted a cross
sectional design, with a descriptive analysis of the app users' profile. We used
a Cohen kappa score to show the agreement between the risk level (as shown in the
app) and glycated hemoglobin test results. We used sensitivity, specificity, and
area under the curve to determine the optimal cutoff value of the diabetes risk
score in this population. Phase 2 was a prospective cohort study. We used a
logistic regression model to estimate the chance of developing diabetes after
using the app. Paired t tests compared high-risk app users' lifestyle changes.
RESULTS: A total of 13,289 people used the app in phase 1a. After data cleaning,
we considered 4549 of these as valid data. Most users were male, and 1811
(39.81%) had tertiary education or above. Among them, 188 (10.4%) users agreed to
attend the health assessment in phase 1b. We recommend the optimal value of the
diabetes risk score for identifying persons with undiagnosed diabetes and
prediabetes to be 9, with an area under the receiver operating characteristic
curve of 0.67 (95% CI 0.60-0.74), sensitivity of 0.70 (95% CI 0.58-0.80), and
specificity of 0.57 (95% CI 0.47-0.66). At the 2-year follow-up, people in the
high-risk group had a higher chance of developing diabetes (odds ratio 4.59,
P=.048) than the low-risk group. The high-risk app users improved their daily
intake of vegetables (baseline: mean 0.76, SD 0.43; follow-up: mean 0.93, SD
0.26; t81=-3.77, P<.001) and daily exercise (baseline: mean 0.40, SD 0.49; follow
up: mean 0.54, SD 0.50; t81=-2.08, P=.04). CONCLUSIONS: The Diabetes Risk Score
app has been shown to be a feasible and reliable tool to identify persons with
undiagnosed diabetes and prediabetes and to predict diabetes incidence in 2
years. The app can also encourage high-risk people to modify dietary habits and
reduce sedentary lifestyle.
PMID- 29793902
TI - The Effects of Implicit and Explicit Motor Learning in Gait Rehabilitation of
People After Stroke: Protocol for a Randomized Controlled Trial.
AB - BACKGROUND: A significant part of neurological rehabilitation focuses on
facilitating the learning of motor skills. Training can adopt either (more)
explicit or (more) implicit forms of motor learning. Gait is one of the most
practiced motor skills within rehabilitation in people after stroke because it is
an important criterion for discharge and requirement for functioning at home.
OBJECTIVE: The aim of this study was to describe the design of a randomized
controlled study assessing the effects of implicit motor learning compared with
the explicit motor learning in gait rehabilitation of people suffering from
stroke. METHODS: The study adopts a randomized, controlled, single-blinded study
design. People after stroke will be eligible for participation when they are in
the chronic stage of recovery (>6 months after stroke), would like to improve
walking performance, have a slow walking speed (<1 m/s), can communicate in
Dutch, and complete a 3-stage command. People will be excluded if they cannot
walk a minimum of 10 m or have other additional impairments that (severely)
influence gait. Participants will receive 9 gait-training sessions over a 3-week
period and will be randomly allocated to an implicit or explicit group.
Therapists are aware of the intervention they provide, and the assessors are
blind to the intervention participants receive. Outcome will be assessed at
baseline (T0), directly after the intervention (T1), and after 1 month (T2). The
primary outcome parameter is walking velocity. Walking performance will be
assessed with the 10-meter walking test, Dynamic Gait Index, and while performing
a secondary task (dual task). Self-reported measures are the Movement Specific
Reinvestment Scale, verbal protocol, Stroke and Aphasia Quality of Life Scale,
and the Global Perceived Effect scale. A process evaluation will take place to
identify how the therapy was perceived and identify factors that may have
influenced the effectiveness of the intervention. Repeated measures analyses will
be conducted to determine significant and clinical relevant differences between
groups and over time. RESULTS: Data collection is currently ongoing and results
are expected in 2019. CONCLUSIONS: The relevance of the study as well as the
advantages and disadvantages of several aspects of the chosen design are
discussed, for example, the personalized approach and choice of measurements.
TRIAL REGISTRATION: Netherlands Trial Register NTR6272;
http://www.trialregister.nl/trialreg/admin/rctview.asp?TC=6272 (Archived by
WebCite http://www.webcitation.org/6ytA937m5). REGISTERED REPORT IDENTIFIER: RR1
10.2196/9595.
PMID- 29793903
TI - Errata.
PMID- 29793904
TI - Personal Exposure Prescription Method Reduces Dose in Radiography.
AB - PURPOSE: To evaluate the effectiveness of an automatic, personalized exposure
prescription method designed to reduce radiation dose during radiography
examinations. METHODS: Using standard imaging parameters of average-sized
patients, the authors measured individual body-part thicknesses or imaging
regions of 116 patients (69 men, 47 women) and calculated each patient's exposure
amount according to the thickness of the part or region. The data were used to
develop each patient's personalized exposure prescription. Using the personalized
exposure prescriptions, authors acquired chest images of the patients on a
Carestream DRX-Revolution mobile digital radiography system. RESULTS: All images
acquired using the personalized exposure prescription method were satisfactory
for diagnosis; exposure indexes were above 1300, a figure deemed acceptable for
diagnosis by the manufacturer. The personalized exposure method reduced the
amount of radiation each patient received. DISCUSSION: Variation of tube voltage
alone can control patients' exposure levels; however, using the personalized
exposure prescription method eliminates the need to use automatic exposure
controls. CONCLUSION: The personalized exposure prescription method is an
effective tool for reducing radiation to patients during radiography as well as
for eliminating dose creep.
PMID- 29793906
TI - Shielding in Medical Imaging and Radiation Therapy.
AB - The medical use of ionizing radiation accounts for almost half of the radiation
exposure accumulated by people living in the United States each year. Although
risks are associated with using radiation, materials and techniques are available
to protect patients and medical personnel from excess radiation. This article
reviews the nature of radiation, its effects on matter, and how shielding can
help keep exposure levels as low as reasonably achievable.
PMID- 29793905
TI - The Need for Cultural Competency in Health Care.
AB - PURPOSE: To highlight the importance of cultural competency education in health
care and in the medical imaging industry. METHODS: A comprehensive search of the
Education Resource Information Center and MEDLINE databases was conducted to
acquire full-text and peer-reviewed articles relating to cultural competency
training in health care. RESULTS: A total of 1008 academic journal articles and 3
books were identified for this literature review. Search criteria was narrowed to
peer-reviewed articles published between 2000 and 2016, resulting in 24 articles.
A majority of the research studies addressed cultural competency education in
allied health professions, as well as psychology and athletic training. Recent
research studies pertaining to the cultural competence of imaging professionals
were not found. DISCUSSION: Research shows that the behaviors of health care
providers can contribute to health disparities. National standards have been
established to promote patient-centered care that reduces or eliminates health
disparities in the U.S. POPULATION: Lectures and training sessions help
professionals maintain these standards, but they might not be adequate. Health
care workers need to interact and work with diverse patient populations to
increase their empathy and become culturally competent. CONCLUSION: A patient
centered care approach that responds to patients' unique needs and reduces health
disparities among diverse patient populations can be achieved by training
culturally competent health care professionals. More research is needed to
determine the nature of cultural competency education taught in radiography
programs.
PMID- 29793907
TI - Epilepsy and Magnetic Resonance Imaging.
AB - Epilepsy is the most common neurological disease worldwide and a frequent cause
of neurological morbidity. However, with advanced imaging techniques, accurate
determination of epilepsy etiology is possible and can help optimize effective
management of the disease. Magnetic resonance imaging plays an integral role in
epilepsy diagnosis and treatment, including first-onset seizure workup, chronic
epilepsy assessment, presurgical evaluation, magnetic resonance-guided laser
induced thermal therapy, and postoperative monitoring.
PMID- 29793908
TI - Conventional Film-Screen Principles of Exposure Selection in the Digital Age.
PMID- 29793909
TI - Application of the BLADE Sequence in Upper Abdominal MR Imaging.
PMID- 29793910
TI - Sexual Harassment in Medical Imaging.
PMID- 29793912
TI - Maximum Spatial Gradient and Implant Safety in MR Imaging.
PMID- 29793911
TI - Using MR to View PTSD's Effect on the Amygdala and Hippocampus.
PMID- 29793914
TI - Embracing a Holistic Approach to Patient Care.
PMID- 29793915
TI - Contrast-Enhanced Digital Mammography.
PMID- 29793913
TI - DXA Scanning of the Lumbar Spine and Proximal Femur.
PMID- 29793916
TI - Teaching Stomach Imaging to Radiography Students.
PMID- 29793918
TI - What's Inside?
PMID- 29793917
TI - Background Checks and Drug Screenings for Radiologic Science Students.
PMID- 29793919
TI - Acute Kidney Injury and Iodinated Contrast Media.
AB - Iodinated contrast agents used in computed tomography (CT) examinations have the
potential to cause adverse reactions in patients. The possibility of acute kidney
injury should be of concern to radiologic technologists performing CT
examinations. Although prevention is paramount, identifying and treating contrast
induced nephropathy, for example, as well as following appropriate guidelines
regarding the handling and usage of contrast material, are crucial. This article
discusses recent research in these areas.
PMID- 29793920
TI - Adverse Effects and Imaging Appearances of Breast Implants.
AB - Implants commonly are used to augment or reconstruct breasts but are associated
with many adverse effects, both in the short term and years after implantation.
This article discusses different types of breast implants and some of the common
adverse effects associated with them including contracture, rupture, leakage, and
infection. The clinical and imaging appearances of these adverse effects are
presented. In addition, the article describes findings and recommendations
regarding rare breast implant-associated adverse effects such as anaplastic large
cell lymphoma and breast fibromatosis.
PMID- 29793923
TI - Child obesity: government considers new direct interventions.
PMID- 29793921
TI - Strategies to Enhance Data Collection and Analysis in Qualitative Research.
PMID- 29793924
TI - GSDMD is critical for autoinflammatory pathology in a mouse model of Familial
Mediterranean Fever.
AB - Pyroptosis is an inflammasome-induced lytic cell death mode, the physiological
role of which in chronic inflammatory diseases is unknown. Familial Mediterranean
Fever (FMF) is the most common monogenic autoinflammatory disease worldwide,
affecting an estimated 150,000 patients. The disease is caused by missense
mutations in Mefv that activate the Pyrin inflammasome, but the pathophysiologic
mechanisms driving autoinflammation in FMF are incompletely understood. Here, we
show that Clostridium difficile infection of FMF knock-in macrophages that
express a chimeric FMF-associated MefvV726A Pyrin elicited pyroptosis and
gasdermin D (GSDMD)-mediated interleukin (IL)-1beta secretion. Importantly, in
vivo GSDMD deletion abolished spontaneous autoinflammatory disease. GSDMD
deficient FMF knock-in mice were fully protected from the runted growth, anemia,
systemic inflammatory cytokine production, neutrophilia, and tissue damage that
characterize this autoinflammatory disease model. Overall, this work identifies
pyroptosis as a critical mechanism of IL-1beta-dependent autoinflammation in FMF
and highlights GSDMD inhibition as a potential antiinflammatory strategy in
inflammasome-driven diseases.
PMID- 29793926
TI - Choriocapillaris and retinal vascular plexus density of diabetic eyes using split
spectrum amplitude decorrelation spectral-domain optical coherence tomography
angiography.
AB - BACKGROUND/AIMS: Split-spectrum amplitude decorrelation angiography for spectral
domain optical coherence tomography has enabled detailed, non-invasive assessment
of vascular flow. This study evaluates choriocapillaris and retinal capillary
perfusion density (CPD) in diabetic eyes using optical coherence tomography
angiography (OCTA). METHODS: Records of 136 eyes that underwent OCTA imaging at a
single institution were reviewed. Eyes were grouped as non-diabetic controls (37
eyes), patients with diabetes mellitus (DM) without diabetic retinopathy (DM
without DR, 31 eyes), non-proliferative diabetic retinopathy (NPDR, 41 eyes) and
proliferative diabetic retinopathy (PDR, 27 eyes). Quantitative CPD analyses were
performed on OCTA images for assessing perfusion density of the choriocapillaris
and retinal plexus for all patients and compared between groups. RESULTS: Eyes
with NPDR and PDR showed significantly decreased choriocapillaris CPD compared
with controls, while DM eyes without DR did not show significant change.
Choriocapillaris whole-image CPD was decreased by 8.3% in eyes with NPDR (p<0.01)
and decreased by 7.1% in eyes with PDR (p<0.01). Choriocapillaris parafoveal CPD
was decreased by 8.9% in eyes with NPDR (p<0.01) and decreased by 8.2% in eyes
with PDR (p<0.01). Compared with controls, only eyes with PDR showed
significantly decreased retinal CPD, as well as significantly increased foveal
avascular zone (FAZ) area. In those patients, retinal whole-image CPD was
decreased by 9.7% (p<0.01), retinal foveal CPD was decreased by 20.5% (p<0.01)
and retinal parafoveal CPD was decreased by 11.4% (p<0.01). FAZ area was
increased by 50.9% (p<0.01). CONCLUSIONS: Choriocapillaris and retinal CPD are
reduced in diabetic retinopathy, while FAZ area is increased in eyes with PDR.
Vascular changes captured by new imaging modalities can further characterise
diabetic choroidopathy.
PMID- 29793925
TI - Rapid assessment of avoidable blindness in Papua New Guinea: a nationwide survey.
AB - OBJECTIVE: To estimate the prevalence and main causes of blindness and vision
impairment in people aged 50 years and older in Papua New Guinea (PNG). DESIGN:
National cross-sectional population-based survey in National Capital District
(NCD), Highlands, Coastal and Islands regions. METHODS: Adults aged 50 years and
above were recruited from 100 randomly selected clusters. Each participant
underwent monocular presenting and pinhole visual acuity (VA) assessment and lens
examination. Those with pinhole VA<6/12 in either eye had a dilated fundus
examination to determine the primary cause of reduced vision. Those with obvious
lens opacity were interviewed on barriers to cataract surgery. RESULTS: A total
of 4818 adults were examined. The age-adjusted and sex-adjusted prevalence of
blindness (VA <3/60), severe vision impairment (SVI, VA <6/60 but >=3/60),
moderate vision impairment (MVI, VA <6/18 but >=6/60) and early vision impairment
(EVI, VA <6/12 but >=6/18) was 5.6% (95% CI 4.9% to 6.3%), 2.9% (95% CI 2.5% to
3.4%), 10.9% (95% CI 9.9% to 11.9%) and 7.3% (95% CI 6.6% to 8.0%), respectively.
The main cause of blindness, SVI and MVI was cataract, while uncorrected
refractive error was the main cause of EVI. A significantly higher prevalence of
blindness, SVI and MVI occurred in the Highlands compared with NCD. Across all
regions, women had lower cataract surgical coverage and spectacle coverage than
men. CONCLUSIONS: PNG has one of the highest reported prevalence of blindness
globally. Cataract and uncorrected refractive error are the main causes,
suggesting a need for increased accessible services with improved resources and
advocacy for enhancing eye health literacy.
PMID- 29793928
TI - Optic nerve head cupping in glaucomatous and non-glaucomatous optic neuropathy.
AB - BACKGROUND: Enlargement of optic disc cupping is seen both in glaucoma and in
neurological disorders. We used enhanced depth imaging with spectral-domain
optical coherence tomography to differentiate glaucoma from non-glaucomatous
optic neuropathy. METHODS: The optic discs were scanned in this prospective
comparative study, and the lamina cribrosa (LC) thickness and anterior laminar
depth (ALD) in the central, superior and inferior optic nerve head, and
peripapillary choroidal thicknesses, were measured. RESULTS: There were 31 eyes
of 31 patients with severe glaucoma and 33 eyes of 19 patients with non
glaucomatous cupping. Eyes of 29 healthy controls were also enrolled. There was
no significant difference in the cup-to-disc ratio and in the average
peripapillary nerve fibre layer thickness between the glaucoma and non
glaucomatous cupping groups (p>0.99). The average peripapillary choroidal
thickness was thinner in glaucoma eyes than in the control eyes after adjusting
for age and axial length. Glaucomatous and non-glaucomatous eyes had greater ALD
and thinner LC than the control eyes (p<0.001 for both). ALDs of glaucoma eyes
were deeper than non-glaucomatous eyes (p=0.01 for central ALD) when age, axial
length and peripapillary choroidal thickness were included in the linear mixed
model. Prelaminar thickness and LC thickness of glaucoma eyes were not different
from non-glaucomatous eyes after adjusting. CONCLUSION: Deeper ALD was observed
in glaucoma than non-glaucomatous cupping after adjusting for choroidal
thickness.
PMID- 29793927
TI - Seasonal variation of refractive error change among young schoolchildren in a
population-based cohort study in Taipei.
AB - PURPOSE: To investigate the relationship between seasonal variation of daylight
length and spherical equivalent (SE) progression among the schoolchildren
participating in the Myopia Investigation Study in Taipei. METHODS: We used the
first-year data from grade 2 schoolchildren who completed all the baseline and
two follow-up examinations (n=6790). There were two 6-month intervals between
visits over winter and summer, respectively. For each interval, we calculated
average daily daylight length using data from Taiwan's Central Weather Bureau and
measured 6-month SE progression rate based on right eye cycloplegic
autorefraction data. The midpoint month was defined as the month midway between
two consecutive visits. RESULTS: By the midpoint month, average daily daylight
length was the shortest in December (671+/-7 min/day) and the longest (785+/-7
min/day) in June, and SE progression rate was the fastest (-0.23+/-0.48 D) in
December and the slowest (-0.17+/-0.51 D) in June. Significant variation of SE
progression rate with season can be observed only among the schoolchildren
(n=1905) whose midpoint months for the winter and summer intervals were December
and June (winter rate, -0.25+/-0.47 D; summer rate, -0.17+/-0.49 D; p<0.001). Of
those, the summer progression rate was approximately 80%, 65% and 61.5% of that
measured in winter for myopic (p=0.252), emmetropic (p=0.012) and hyperopic
(p=0.012) schoolchildren, respectively. CONCLUSION: Our data demonstrate a
seasonal variation of minus shift in refractive error among Taipei schoolchildren
who had significant daytime fluctuation during the 1-year follow-up. Of those,
non-myopic children had significant and more pronounced variation of SE
progression than myopic children.
PMID- 29793929
TI - Financial disincentives? A three-armed randomised controlled trial of the effect
of financial Incentives in Diabetic Eye Assessment by Screening (IDEAS) trial.
AB - OBJECTIVE: Conflicting evidence exists regarding the impact of financial
incentives on encouraging attendance at medical screening appointments. The
primary aim was to determine whether financial incentives increase attendance at
diabetic eye screening in persistent non-attenders. METHODS AND ANALYSIS: A three
armed randomised controlled trial was conducted in London in 2015. 1051
participants aged over 16 years, who had not attended eye screening appointments
for 2 years or more, were randomised (1.4:1:1 randomisation ratio) to receive the
usual invitation letter (control), an offer of L10 cash for attending screening
(fixed incentive) or a 1 in 100 chance of winning L1000 (lottery incentive) if
they attend. The primary outcome was the proportion of invitees attending
screening, and a comparative analysis was performed to assess group differences.
Pairwise comparisons of attendance rates were performed, using a conservative
Bonferroni correction for independent comparisons. RESULTS: 34/435 (7.8%) of
control, 17/312 (5.5%) of fixed incentive and 10/304 (3.3%) of lottery incentive
groups attended. Participants who received any incentive were significantly less
likely to attend their appointment compared with controls (risk ratio (RR)=0.56;
95% CI 0.34 to 0.92). Those in the probabilistic incentive group (RR=0.42; 95% CI
0.18 to 0.98), but not the fixed incentive group (RR=1.66; 95% CI 0.65 to 4.21),
were significantly less likely to attend than those in the control group.
CONCLUSION: Financial incentives, particularly lottery-based incentives, attract
fewer patients to diabetic eye screening than standard invites in this
population. Financial incentives should not be used to promote screening unless
tested in context, as they may negatively affect attendance rates.
PMID- 29793930
TI - Possible connection of short posterior ciliary arteries to choroidal
neovascularisations in eyes with pathologic myopia.
AB - PURPOSE: To determine the connection between myopic choroidal neovascularisations
(CNVs) and intrascleral vessels examined by swept-source optical coherence
tomography (OCT). METHODS: The data of 124 eyes of 112 consecutive patients with
myopic CNVs were retrospectively analysed. A myopic CNV was defined as a CNV
occurring in eyes with pathologic myopia based on the META-PM study
classification. The images obtained by swept-source OCT were analysed to
determine the relationship between perforating scleral vessels and CNVs. The
continuity of the scleral vessels and the CNV was also analysed. The OCT
angiographic (OCTA) characteristics of the myopic CNVs at the active, scar and
atrophic phases were also analysed. RESULTS: OCTA images showed that CNVs had
blood flow in the active, scar and atrophic phases. Scleral perforating vessels
were detected just below or around the CNV in 93 eyes (75%). In 10 of the 93
eyes, the scleral vessels and CNV appeared to be continuous through a defect of
Bruch's membrane in the OCT images. Indocyanine green angiography showed that
these perforating vessels were intrascleral arteries originating from the short
posterior ciliary arteries (SPCAs). CONCLUSIONS: Swept-source OCT showed that
some of the myopic CNVs were continuous with scleral vessels mainly the SPCA.
Further studies to confirm angiographical continuity between these two components
are necessary.
PMID- 29793931
TI - Asymmetric Golgi Repositioning: A Prerequisite for Appropriate Dendrite Formation
in Adult-Born Neurons.
PMID- 29793932
TI - Primary care is a neglected resource for clinical research.
PMID- 29793934
TI - Trends in Noncardiovascular Comorbidities Among Patients Hospitalized for Heart
Failure: Insights From the Get With The Guidelines-Heart Failure Registry.
AB - BACKGROUND: The increase in medical complexity among patients hospitalized with
heart failure (HF) may be reflected by an increase in concomitant
noncardiovascular comorbidities. Among patients hospitalized with HF, the
temporal trends in the prevalence of noncardiovascular comorbidities have not
been well described. METHODS AND RESULTS: We used data from 207 984 patients in
the Get With The Guidelines-Heart Failure registry (from 2005 to 2014) to
evaluate the prevalence and trends of noncardiovascular comorbidities (chronic
obstructive pulmonary disorder/asthma, anemia, diabetes mellitus, obesity [body
mass index >=30 kg/m2], and renal impairment) among patients hospitalized with
HF. Medicare beneficiaries aged >=65 years were used to assess 30-day mortality.
The prevalence of 0, 1, 2, and >=3 noncardiovascular comorbidities was 18%, 30%,
27%, 25%, respectively. From 2005 to 2014, there was a decline in patients with 0
noncardiovascular comorbidities (22%-16%; P<0.0001) and an increase in patients
with >=3 noncardiovascular comorbidities (18%-29%; P<0.0001). Among Medicare
beneficiaries, there was an increased 30-day adjusted mortality risk among
patients with 1 noncardiovascular comorbidity (hazard ratio, 1.16; 95% confidence
interval, 1.09-1.24; P<0.0001), 2 noncardiovascular comorbidities (hazard ratio,
1.34; 95% confidence interval, 1.25-1.44; P<0.0001), and >=3 noncardiovascular
comorbidities (hazard ratio, 1.63; 95% confidence interval, 1.51-1.75; P<0.0001).
Similar trends were seen for in-hospital mortality. CONCLUSIONS: Patients
admitted in hospital for HF have an increasing number of noncardiovascular
comorbidities over time, which are associated with worse outcomes. Strategies
addressing the growing burden of noncardiovascular comorbidities may represent an
avenue to improve outcomes and should be included in the delivery of in-hospital
HF care.
PMID- 29793933
TI - Tetrodotoxin-sensitive Navs contribute to early and delayed afterdepolarizations
in long QT arrhythmia models.
AB - Recent evidence suggests that neuronal Na+ channels (nNavs) contribute to
catecholamine-promoted delayed afterdepolarizations (DADs) and catecholaminergic
polymorphic ventricular tachycardia (CPVT). The newly identified overlap between
CPVT and long QT (LQT) phenotypes has stoked interest in the cross-talk between
aberrant Na+ and Ca2+ handling and its contribution to early afterdepolarizations
(EADs) and DADs. Here, we used Ca2+ imaging and electrophysiology to investigate
the role of Na+ and Ca2+ handling in DADs and EADs in wild-type and cardiac
calsequestrin (CASQ2)-null mice. In experiments, repolarization was impaired
using 4-aminopyridine (4AP), whereas the L-type Ca2+ and late Na+ currents were
augmented using Bay K 8644 (BayK) and anemone toxin II (ATX-II), respectively.
The combination of 4AP and isoproterenol prolonged action potential duration
(APD) and promoted aberrant Ca2+ release, EADs, and DADs in wild-type
cardiomyocytes. Similarly, BayK in the absence of isoproterenol induced the same
effects in CASQ2-null cardiomyocytes. In vivo, it prolonged the QT interval and,
upon catecholamine challenge, precipitated wide QRS polymorphic ventricular
tachycardia that resembled human torsades de pointes. Treatment with ATX-II
produced similar effects at both the cellular level and in vivo. Importantly,
nNav inhibition with riluzole or 4,9-anhydro-tetrodotoxin reduced the incidence
of ATX-II-, BayK-, or 4AP-induced EADs, DADs, aberrant Ca2+ release, and VT
despite only modestly mitigating APD prolongation. These data reveal the
contribution of nNaVs to triggered arrhythmias in murine models of LQT and CPVT
LQT overlap phenotypes. We also demonstrate the antiarrhythmic impact of nNaV
inhibition, independent of action potential and QT interval duration, and provide
a basis for a mechanistically driven antiarrhythmic strategy.
PMID- 29793935
TI - The scale of life and its lessons for humanity.
PMID- 29793937
TI - Revisiting sources of left-handedness in multiple-birth individuals.
PMID- 29793938
TI - Metastable quasicrystal-induced nucleation in a bulk glass-forming liquid.
AB - This study presents a unique Mg-based alloy composition in the Mg-Zn-Yb system
which exhibits bulk metallic glass, metastable icosahedral quasicrystals (iQCs),
and crystalline approximant phases in the as-cast condition. Microscopy revealed
a smooth gradual transition from glass to QC. We also report the complete melting
of a metastable eutectic phase mixture (including a QC phase), generated via
suppression of the metastable-to-stable phase transition at high heating rates
using fast differential scanning calorimetry (FDSC). The melting temperature and
enthalpy of fusion of this phase mixture could be measured directly, which
unambiguously proves its metastability in any temperature range. The kinetic
pathway from liquid state to stable solid state (an approximant phase) minimizes
the free-energy barrier for nucleation through an intermediate state (metastable
QC phase) because of its low solid-liquid interfacial energy. At high
undercooling of the liquid, where diffusion is limited, another approximant phase
with near-liquid composition forms just above the glass-transition temperature.
These experimental results shed light on the competition between metastable and
stable crystals, and on glass formation via system frustration associated with
the presence of several free-energy minima.
PMID- 29793936
TI - Role of CD40 and ADAMTS13 in von Willebrand factor-mediated endothelial cell
platelet-monocyte interaction.
AB - Monocyte extravasation into the vessel wall is a key step in atherogenesis. It is
still elusive how monocytes transmigrate through the endothelial cell (EC)
monolayer at atherosclerosis predilection sites. Platelets tethered to ultra
large von Willebrand factor (ULVWF) multimers deposited on the luminal EC surface
following CD40 ligand (CD154) stimulation may facilitate monocyte diapedesis.
Human ECs grown in a parallel plate flow chamber for live-cell imaging or
Transwell permeable supports for transmigration assay were exposed to fluid or
orbital shear stress and CD154. Human isolated platelets and/or monocytes were
superfused over or added on top of the EC monolayer. Plasma levels and activity
of the ULVWF multimer-cleaving protease ADAMTS13 were compared between coronary
artery disease (CAD) patients and controls and were verified by the bioassay. Two
photon intravital microscopy was performed to monitor CD154-dependent leukocyte
recruitment in the cremaster microcirculation of ADAMTS13-deficient versus wild
type mice. CD154-induced ULVWF multimer-platelet string formation on the EC
surface trapped monocytes and facilitated transmigration through the EC monolayer
despite high shear stress. Two-photon intravital microscopy revealed CD154
induced ULVWF multimer-platelet string formation preferentially in venules, due
to strong EC expression of CD40, causing prominent downstream leukocyte
extravasation. Plasma ADAMTS13 abundance and activity were significantly reduced
in CAD patients and strongly facilitated both ULVWF multimer-platelet string
formation and monocyte trapping in vitro. Moderate ADAMTS13 deficiency in CAD
patients augments CD154-mediated deposition of platelet-decorated ULVWF multimers
on the luminal EC surface, reinforcing the trapping of circulating monocytes at
atherosclerosis predilection sites and promoting their diapedesis.
PMID- 29793939
TI - Convergent evolution of tertiary structure in rhodopsin visual proteins from
vertebrates and box jellyfish.
AB - Box jellyfish and vertebrates are separated by >500 million years of evolution
yet have structurally analogous lens eyes that employ rhodopsin photopigments for
vision. All opsins possess a negatively charged residue-the counterion-to
maintain visible-light sensitivity and facilitate photoisomerization of their
retinaldehyde chromophore. In vertebrate rhodopsins, the molecular evolution of
the counterion position-from a highly conserved distal location in the second
extracellular loop (E181) to a proximal location in the third transmembrane helix
(E113)-is established as a key driver of higher fidelity photoreception. Here, we
use computational biology and heterologous action spectroscopy to determine
whether the appearance of the advanced visual apparatus in box jellyfish was also
accompanied by changes in the opsin tertiary structure. We found that the
counterion in an opsin from the lens eye of the box jellyfish Carybdea rastonii
(JellyOp) has also moved to a unique proximal location within the transmembrane
bundle-E94 in TM2. Furthermore, we reveal that this Schiff base/counterion system
includes an additional positive charge-R186-that has coevolved with E94 to
functionally separate E94 and E181 in the chromophore-binding pocket of JellyOp.
By engineering this pocket-neutralizing R186 and E94, or swapping E94 with the
vertebrate counterion E113-we can recreate versions of the invertebrate and
vertebrate counterion systems, respectively, supporting a relatively similar
overall architecture in this region of animal opsins. In summary, our data
establish the third only counterion site in animal opsins and reveal convergent
evolution of tertiary structure in opsins from distantly related species with
advanced visual systems.
PMID- 29793940
TI - Importance of dispersal in the assembly of the Neotropical biota.
PMID- 29793942
TI - Problem gambling: five minutes with . . . Henrietta Bowden-Jones.
PMID- 29793941
TI - Sampling the insects of the amber forest.
PMID- 29793943
TI - Andrew Goddard: Brilliant dreamer.
PMID- 29793944
TI - RCGP calls for national campaign to tackle loneliness.
PMID- 29793945
TI - Is BMI the best measure of obesity?
PMID- 29793947
TI - Comprehensive Profiling of DNA Repair Defects in Breast Cancer Identifies a Novel
Class of Endocrine Therapy Resistance Drivers.
AB - Purpose: This study was undertaken to conduct a comprehensive investigation of
the role of DNA damage repair (DDR) defects in poor outcome ER+
disease.Experimental Design: Expression and mutational status of DDR genes in ER+
breast tumors were correlated with proliferative response in neoadjuvant
aromatase inhibitor therapy trials (discovery dataset), with outcomes in
METABRIC, TCGA, and Loi datasets (validation datasets), and in patient-derived
xenografts. A causal relationship between candidate DDR genes and endocrine
treatment response, and the underlying mechanism, was then tested in ER+ breast
cancer cell lines.Results: Correlations between loss of expression of three
genes: CETN2 (P < 0.001) and ERCC1 (P = 0.01) from the nucleotide excision repair
(NER) and NEIL2 (P = 0.04) from the base excision repair (BER) pathways were
associated with endocrine treatment resistance in discovery dataset, and
subsequently validated in independent patient cohorts. Complementary mutation
analysis supported associations between mutations in NER and BER genes and
reduced endocrine treatment response. A causal role for CETN2, NEIL2, and ERCC1
loss in intrinsic endocrine resistance was experimentally validated in ER+ breast
cancer cell lines, and in ER+ patient-derived xenograft models. Loss of CETN2,
NEIL2, or ERCC1 induced endocrine treatment resistance by dysregulating G1-S
transition, and therefore, increased sensitivity to CDK4/6 inhibitors. A combined
DDR signature score was developed that predicted poor outcome in multiple patient
cohorts.Conclusions: This report identifies DDR defects as a new class of
endocrine treatment resistance drivers and indicates new avenues for predicting
efficacy of CDK4/6 inhibition in the adjuvant treatment setting. Clin Cancer Res;
24(19); 4887-99. (c)2018 AACR.
PMID- 29793946
TI - Phase II Study of Taselisib (GDC-0032) in Combination with Fulvestrant in
Patients with HER2-Negative, Hormone Receptor-Positive Advanced Breast Cancer.
AB - Purpose: This single-arm, open-label phase II study evaluated the safety and
efficacy of taselisib (GDC-0032) plus fulvestrant in postmenopausal women with
locally advanced or metastatic HER2-negative, hormone receptor (HR)-positive
breast cancer.Patients and Methods: Patients received 6-mg oral taselisib
capsules daily plus intramuscular fulvestrant (500 mg) until disease progression
or unacceptable toxicity. Tumor tissue (if available) was centrally evaluated for
PIK3CA mutations. Adverse events (AE) were recorded using NCI-CTCAE v4.0. Tumor
response was investigator-determined using RECIST v1.1.Results: Median treatment
duration was 4.6 (range: 0.9-40.5) months. All patients experienced >=1 AE, 30
(50.0%) had grade >=3 AEs, and 19 (31.7%) experienced 35 serious AEs. Forty-seven
of 60 patients had evaluable tissue for central PIK3CA mutation testing [20 had
mutations, 27 had no mutation detected (MND)]. In patients with baseline
measurable disease, clinical activity was observed in tumors with PIK3CA
mutations [best confirmed response rate: 38.5% (5/13; 95% CI, 13.9-68.4);
clinical benefit rate (CBR): 38.5% (5/13; 95% CI, 13.9-68.4)], PIK3CA-MND [best
confirmed response rate: 14.3% (3/21; 95% CI, 3.0-36.3); CBR: 23.8% (5/21; 95%
CI, 8.2-47.2)], and unknown PIK3CA mutation status [best confirmed response rate:
20.0% (2/10; 95% CI, 2.5-55.6); CBR: 30.0% (3/10; 95% CI, 6.7-65.2)].Conclusions:
Taselisib plus fulvestrant had clinical activity irrespective of PIK3CA mutation
status, with numerically higher objective response rate and CBR in patients with
PIK3CA-mutated (vs. -MND) locally advanced or metastatic HER2-negative, HR
positive breast cancer. No new safety signals were reported. A confirmatory phase
III trial is ongoing. Clin Cancer Res; 24(18); 4380-7. (c)2018 AACR.
PMID- 29793948
TI - Canine Distemper Virus Spread and Transmission to Naive Ferrets: Selective
Pressure on SLAM-Dependent Entry.
AB - Upon infection, morbilliviruses such as measles, rinderpest, and canine distemper
virus (CDV) initially target immune cells via the signalling lymphocyte
activation molecule (SLAM) before spreading to respiratory epithelia through the
adherens junction protein nectin-4. However, the roles of these receptors in
transmission from infected to naive hosts have not yet been formally tested.
Towards experimentally addressing this question, we established a model of CDV
contact transmission between ferrets. We show here that transmission of wild type
CDV sometimes precedes the onset of clinical disease. In contrast, transmission
was not observed in most animals infected with SLAM- or nectin-4-blind CDVs, even
though all animals infected with the nectin-4-blind virus developed sustained
viremia. There was an unexpected case of transmission of a nectin-4-blind virus,
possibly due to biting. Another unprecedented event was transient viremia in an
infection with a SLAM-blind virus. We identified three compensatory mutations
within or near its SLAM-binding surface of the attachment protein. A recombinant
CDV expressing the mutated attachment protein regained the ability to infect
ferret lymphocytes in vitro, but its replication was not as efficient as wild
type CDV. Ferrets infected with this virus developed transient viremia and fever,
but there was no transmission to naive contacts. Our study supports the
importance of epithelial cell infection, and of sequential CDV H protein
interactions first with SLAM and then nectin-4 receptors for transmission to
naive hosts. It also highlights the in vivo selection pressure on the H protein
interactions with SLAM.IMPORTANCE Morbilliviruses such as measles, rinderpest,
and canine distemper virus (CDV) are highly contagious. Despite extensive
knowledge of how morbilliviruses interact with their receptors, little is known
about how those interactions influence viral transmission to naive hosts. In a
ferret model of CDV contact transmission, we show that sequential use of the
signaling lymphocytic activation molecule (SLAM) and nectin-4 receptors is
essential for transmission. In one animal infected with a SLAM-blind CDV, we
documented mild viremia due to the acquisition of three compensatory mutations
within or near its SLAM-binding surface. The interaction, however, was not
sufficient to cause disease or sustain transmission to naive contacts. This work
confirms the sequential roles of SLAM and nectin-4 in morbillivirus transmission,
and highlights the selective pressure directed toward productive interactions
with SLAM.
PMID- 29793949
TI - Frequencies of Circulating Th1-Biased T Follicular Helper Cells in Acute HIV-1
Infection Correlate with the Development of HIV-Specific Antibody Responses and
Lower Set Point Viral Load.
AB - Despite decades of focused research, the field has yet to develop a prophylactic
vaccine for HIV-1 infection. In the RV144 vaccine trial, nonneutralizing antibody
responses were identified as a correlate for prevention of HIV acquisition.
However, factors that predict the development of such antibodies are not fully
elucidated. We sought to define the contribution of circulating T follicular
helper (cTfh) subsets to the development of nonneutralizing antibodies in HIV-1
clade C infection. Study participants were recruited from an acute HIV-1 clade C
infection cohort. Plasma anti-gp41, -gp120, -p24, and -p17 antibodies were
screened using a customized multivariate Luminex assay. Phenotypic and functional
characterizations of cTfh cells were performed using HLA class II tetramers and
intracellular cytokine staining. In this study, we found that acute HIV-1 clade C
infection skewed the differentiation of functional cTfh subsets toward increased
Tfh1 (P = 0.02) and Tfh2 (P < 0.0001) subsets, with a concomitant decrease in
overall Tfh1-17 (which shares both Tfh1 and Tfh17 properties) (P = 0.01) and
Tfh17 (P < 0.0001) subsets, compared to the subsets found in HIV-negative
subjects. Interestingly, the frequencies of Tfh1 cells during acute infection
(5.0 to 8.0 weeks postinfection) correlated negatively with the set point viral
load (P = 0.03, Spearman rho [r] = -60) and were predictive of p24-specific
plasma IgG titers at 1 year of infection (P = 0.003, r = 0.85). Taken together,
our results suggest that the circulating Tfh1 subset plays an important role in
the development of anti-HIV antibody responses and contributes to HIV suppression
during acute HIV-1 infection. These results have implications for vaccine studies
aimed at inducing long-lasting anti-HIV antibody responses.IMPORTANCE The HIV
epidemic in southern Africa accounts for almost half of the global HIV burden,
with HIV-1 clade C being the predominant strain. It is therefore important to
define immune correlates of clade C HIV control that might have implications for
vaccine design in this region. T follicular helper (Tfh) cells are critical for
the development of HIV-specific antibody responses and could play a role in viral
control. Here we showed that the early induction of circulating Tfh1 cells during
acute infection correlated positively with the magnitude of p24-specific IgG and
was associated with a lower set point viral load. This study highlights a key Tfh
cell subset that could limit HIV replication by enhancing antibody generation.
This study underscores the importance of circulating Tfh cells in promoting
nonneutralizing antibodies during HIV-1 infection.
PMID- 29793950
TI - Similar Epitope Specificities of IgG and IgA Antibodies Elicited by Ad26 Vector
Prime, Env Protein Boost Immunizations in Rhesus Monkeys.
AB - Vaccine-elicited immunoglobulin G (IgG) has been shown to be important for
protection against simian-human immunodeficiency virus (SHIV) infection in rhesus
monkeys. However, it remains unclear whether vaccine-elicited IgA responses are
beneficial or detrimental for protection. In this study, we evaluated the
kinetics, magnitude, breadth, and linear epitope specificities of vaccine
elicited IgG and IgA responses in serum and mucosal secretions following
intramuscular immunization with adenovirus 26 (Ad26) prime, Env protein boost
vaccination regimens. The systemic and mucosal antibody responses exhibited
kinetics similar to those of the serum antibody responses but lower titers than
the serum antibody responses. Moreover, the IgG and IgA responses were
correlated, both in terms of the magnitude of the responses and in terms of the
antibody specificities against linear human immunodeficiency virus type 1 (HIV-1)
Env, Gag, and Pol epitopes. These data suggest that IgG and IgA responses are
highly coordinated in both peripheral blood and mucosal compartments following
Ad26/Env vaccination in rhesus monkeys.IMPORTANCE Vaccine-elicited IgG responses
are important for protection against simian-human immunodeficiency virus (SHIV)
infection in nonhuman primates. However, much less is known about the role and
function of IgA, despite it being the predominant antibody in mucosal sites.
There is debate as to whether HIV-1-specific IgA responses are beneficial or
detrimental, since serum anti-Env IgA titers were shown to be inversely
correlated with protection in the RV144 clinical trial. We thus assessed vaccine
elicited IgG and IgA antibody responses in peripheral blood and mucosal
secretions following vaccination with the Ad26/Env vaccine.
PMID- 29793951
TI - Varicella-Zoster Virus ORF9p Binding to Cellular Adaptor Protein Complex 1 Is
Important for Viral Infectivity.
AB - ORF9p (homologous to herpes simplex virus 1 [HSV-1] VP22) is a varicella-zoster
virus (VZV) tegument protein essential for viral replication. Even though its
precise functions are far from being fully described, a role in the secondary
envelopment of the virus has long been suggested. We performed a yeast two-hybrid
screen to identify cellular proteins interacting with ORF9p that might be
important for this function. We found 31 ORF9p interaction partners, among which
was AP1M1, the MU subunit of the adaptor protein complex 1 (AP-1). AP-1 is a
heterotetramer involved in intracellular vesicle-mediated transport and regulates
the shuttling of cargo proteins between endosomes and the trans-Golgi network via
clathrin-coated vesicles. We confirmed that AP-1 interacts with ORF9p in infected
cells and mapped potential interaction motifs within ORF9p. We generated VZV
mutants in which each of these motifs was individually impaired and identified
leucine 231 in ORF9p to be critical for the interaction with AP-1. Disrupting
ORF9p binding to AP-1 by mutating leucine 231 to alanine in ORF9p strongly
impaired viral growth, most likely by preventing efficient secondary envelopment
of the virus. Leucine 231 is part of a dileucine motif conserved among
alphaherpesviruses, and we showed that VP22 of Marek's disease virus and HSV-2
also interacts with AP-1. This indicates that the function of this interaction in
secondary envelopment might be conserved as well.IMPORTANCE Herpesviruses are
responsible for infections that, especially in immunocompromised patients, can
lead to severe complications, including neurological symptoms and strokes. The
constant emergence of viral strains resistant to classical antivirals (mainly
acyclovir and its derivatives) pleads for the identification of new targets for
future antiviral treatments. Cellular adaptor protein (AP) complexes have been
implicated in the correct addressing of herpesvirus glycoproteins in infected
cells, and the discovery that a major constituent of the varicella-zoster virus
tegument interacts with AP-1 reveals a previously unsuspected role of this
tegument protein. Unraveling the complex mechanisms leading to virion production
will certainly be an important step in the discovery of future therapeutic
targets.
PMID- 29793954
TI - Function of the Nonconserved N-Terminal Domain of Pseudorabies Virus pUL31 in
Nuclear Egress.
AB - Nuclear egress of herpesvirus capsids is mediated by the conserved nuclear egress
complex (NEC), composed of the membrane-anchored pUL34 and its nucleoplasmic
interaction partner, pUL31. The recently solved crystal structures of the NECs
from different herpesviruses show a high structural similarity, with the pUL34
homologs building a platform recruiting pUL31 to the inner nuclear membrane. Both
proteins possess a central globular fold, while the conserved N-terminal portion
of pUL31 forms an extension reaching around the core of pUL34. However, the
extreme N terminus of the pUL31 homologs, which is highly variable in length and
amino acid composition, had to be removed for crystallization. Several pUL31
homologs contain a classical nuclear localization signal (NLS) within this part
mediating efficient nuclear import. In addition, membrane-binding activity,
blocking premature interaction with pUL34, nucleocapsid trafficking, and
regulation of NEC assembly and disassembly via phosphorylation were assigned to
the extreme pUL31 N terminus. To test the functional importance in the
alphaherpesvirus pseudorabies virus (PrV) pUL31, N-terminal truncations and site
specific mutations were generated, and the resulting proteins were tested for
intracellular localization, interaction with pUL34, and functional
complementation of PrV-DeltaUL31. Our data show that neither the bipartite NLS
nor the predicted phosphorylation sites are essential for pUL31 function during
nuclear egress. Moreover, nearly the complete variable N-terminal part was
dispensable for function as long as a stretch of basic amino acids was retained.
Phosphorylation of this domain controls efficient nucleocapsid release from the
perinuclear space.IMPORTANCE Nuclear egress of herpesvirus capsids is a unique
vesicle-mediated nucleocytoplasmic transport. Crystal structures of the
heterodimeric NECs from different herpesviruses provided important details of
this viral nuclear membrane deformation and scission machinery but excluded the
highly variable N terminus of the pUL31 component. We present here a detailed
mutagenesis study of this important portion of pUL31 and show that basic amino
acid residues within this domain play an essential role for proper targeting,
complex formation, and function during nuclear egress, while phosphorylation
modulates efficient release from the perinuclear space. Thus, our data complement
previous structure-function assignments of the nucleocapsid-interacting component
of the NEC.
PMID- 29793952
TI - Herpes Simplex Virus 1 Tegument Protein VP22 Abrogates cGAS/STING-Mediated
Antiviral Innate Immunity.
AB - Cytosolic DNA arising from intracellular pathogens is sensed by cyclic GMP-AMP
synthase (cGAS) and triggers a powerful innate immune response. However, herpes
simplex virus 1 (HSV-1), a double-stranded DNA virus, has developed multiple
mechanisms to attenuate host antiviral machinery and facilitate viral infection
and replication. In the present study, we found that HSV-1 tegument protein VP22
acts as an inhibitor of cGAS/stimulator of interferon genes (cGAS/STING)-mediated
production of interferon (IFN) and its downstream antiviral genes. Our results
showed that ectopic expression of VP22 decreased cGAS/STING-mediated IFN-beta
promoter activation and IFN-beta production. Infection with wild-type (WT) HSV-1,
but not VP22-deficient virus (DeltaVP22), inhibited immunostimulatory DNA (ISD)
induced activation of the IFN signaling pathway. Further study showed that VP22
interacted with cGAS and inhibited the enzymatic activity of cGAS. In addition,
stable knockdown of cGAS facilitated the replication of DeltaVP22 virus but not
the WT. In summary, our findings indicate that HSV-1 VP22 acts as an antagonist
of IFN signaling to persistently evade host innate antiviral responses.IMPORTANCE
cGAS is very important for host defense against viral infection, and many viruses
have evolved ways to target cGAS and successfully evade the attack by the immune
system of their susceptible host. This study demonstrated that HSV-1 tegument
protein VP22 counteracts the cGAS/STING-mediated DNA-sensing antiviral innate
immunity signaling pathway by inhibiting the enzymatic activity of cGAS. The
findings in this study will expand our understanding of the interaction between
HSV-1 replication and the host DNA-sensing signaling pathway.
PMID- 29793953
TI - N-Linked Glycosylation Is Not Essential for Sodium Taurocholate Cotransporting
Polypeptide To Mediate Hepatitis B Virus Infection In Vitro.
AB - Sodium taurocholate cotransporting polypeptide (NTCP) has been identified as a
hepatitis B virus (HBV) receptor, and its overexpression in HepG2 cell lines
leads to efficient secretion of hepatitis B e antigen (HBeAg) following challenge
with a large dose of cell culture-derived HBV (cHBV) particles. However, NTCP
reconstituted HepG2 cells are inefficiently infected by patient serum-derived HBV
(sHBV) and release very little hepatitis B surface antigen (HBsAg) following cHBV
infection, unlike differentiated HepaRG cells, which are naturally susceptible to
both cHBV and sHBV particles. Here, we investigated whether NTCP could explain
the different behaviors of the two cell types. Endogenous NTCP protein from
differentiated HepaRG cells was unglycosylated despite wild-type coding sequence.
HepaRG cells stably transfected with an epitope-tagged NTCP expression construct
displayed higher sHBV but not cHBV susceptibility than cells transfected with the
null mutant. Tagged NTCP introduced to both HepG2 and HepaRG cells was
glycosylated, with N5 and N11 being sites of N-linked glycosylation. Mutating N5,
N11, or both did not alter cell surface availability of NTCP or its subcellular
localization, with both the singly glycosylated and nonglycosylated forms still
capable of mediating cHBV infection in HepG2 cells. In conclusion,
nonglycosylated NTCP is expressed by differentiated HepaRG cells and capable of
mediating cHBV infection in HepG2 cells, but it cannot explain differential
susceptibility of HepaRG and HepG2/NTCP cells to cHBV versus sHBV infection and
different HBsAg/HBeAg ratios following cHBV infection. The responsible host
factor(s) remains to be identified.IMPORTANCE HBV can infect differentiated
HepaRG cells and also HepG2 cells overexpressing NTCP, the currently accepted HBV
receptor. However, HepG2/NTCP cells remain poorly susceptible to patient serum
derived HBV particles and release very little hepatitis B surface antigen
following infection by cell culture-derived HBV. We found differentiated HepaRG
cells expressed nonglycosylated NTCP despite a wild-type coding sequence. NTCP
introduced to HepG2 cells was glycosylated at two N-linked glycosylation sites,
but mutating either or both sites failed to prevent infection by cell culture
derived HBV or to confer susceptibility to serum-derived HBV. Overexpressing NTCP
in HepRG cells did not increase infection by cell culture-derived HBV or distort
the ratio between the two viral antigens. These findings suggest that host
factors unique to HepaRG cells are required for efficient infection by serum
derived HBV, and factors other than NTCP contribute to balanced viral antigen
production following infection by cell culture-derived HBV.
PMID- 29793955
TI - Nsp1alpha of Porcine Reproductive and Respiratory Syndrome Virus Strain BB0907
Impairs the Function of Monocyte-Derived Dendritic Cells via the Release of
Soluble CD83.
AB - Porcine reproductive and respiratory syndrome virus (PRRSV), a virulent pathogen
of swine, suppresses the innate immune response and induces persistent infection.
One mechanism used by viruses to evade the immune system is to cripple the
antigen-processing machinery in monocyte-derived dendritic cells (MoDCs). In this
study, we show that MoDCs infected by PRRSV express lower levels of the major
histocompatibility complex (MHC)-peptide complex proteins TAP1 and ERp57 and are
impaired in their ability to stimulate T cell proliferation and increase their
production of CD83. Neutralization of sCD83 removes the inhibitory effects of
PRRSV on MoDCs. When MoDCs are incubated with exogenously added sCD83 protein,
TAP1 and ERp57 expression decreases and T lymphocyte activation is impaired.
PRRSV nonstructural protein 1alpha (Nsp1alpha) enhances CD83 promoter activity.
Mutations in the ZF domain of Nsp1alpha abolish its ability to activate the CD83
promoter. We generated recombinant PRRSVs with mutations in Nsp1alpha and the
corresponding repaired PRRSVs. Viruses with Nsp1alpha mutations did not decrease
levels of TAP1 and ERp57, impair the ability of MoDCs to stimulate T cell
proliferation, or increase levels of sCD83. We show that the ZF domain of
Nsp1alpha stimulates the secretion of CD83, which in turn inhibits MoDC function.
Our study provides new insights into the mechanisms of immune suppression by
PRRSV.IMPORTANCE PRRSV has a severe impact on the swine industry throughout the
world. Understanding the mechanisms by which PRRSV infection suppresses the
immune system is essential for a robust and sustainable swine industry. Here, we
demonstrated that PRRSV infection manipulates MoDCs by interfering with their
ability to produce proteins in the MHC-peptide complex. The virus also impairs
the ability of MoDCs to stimulate cell proliferation, due in large part to the
enhanced release of soluble CD83 from PRRSV-infected MoDCs. The viral
nonstructural protein 1 (Nsp1) is responsible for upregulating CD83 promoter
activity. Amino acids in the ZF domain of Nsp1alpha (L5-2A, rG45A, G48A, and L61
6A) are essential for CD83 promoter activation. Viruses with mutations at these
sites no longer inhibit MoDC-mediated T cell proliferation. These findings
provide novel insights into the mechanism by which the adaptive immune response
is suppressed during PRRSV infection.
PMID- 29793956
TI - RESTRICTION OF gamma34.5-DELETED ONCOLYTIC HERPES SIMPLEX VIRUS REPLICATION IN
GLIOBLASTOMA STEM-LIKE CELLS.
AB - Oncolytic viruses, including herpes simplex viruses (HSVs), are a new class of
cancer therapeutic engineered to infect and kill cancer cells, while sparing
normal tissue. To ensure that oncolytic HSV (oHSV) is safe in the brain, all
oHSVs in clinical trial for glioma lack the gamma34.5 genes responsible for
neurovirulence. However, loss of gamma34.5 attenuates growth in cancer cells.
Glioblastoma (GBM) is a lethal brain tumor that is heterogeneous and contains a
subpopulation of cancer stem cells, termed GBM stem-like cells (GSCs), that
likely promote tumor progression and recurrence. GSCs and matched serum-cultured
GBM cells (ScGCs), representative of bulk or differentiated tumor cells, were
isolated from the same patient tumor specimens. ScGCs are permissive to gamma34.5
deleted oHSV replication and cell killing, while patient-matched GSCs were not,
implying an underlying biological difference between stem and bulk cancer cells.
GSCs specifically restrict the synthesis of HSV1 true late (TL) proteins, without
affecting viral DNA replication or transcription of TL genes. A global shutoff of
cellular protein synthesis also occurs late after gamma34.5-deleted oHSV
infection of GSCs, but does not affect the synthesis of early and leaky late
viral proteins. Levels of phosphorylated eIF2alpha and eIF4E do not correlate
with cell permissivity. Expression of Us11 in GSCs rescues replication of
gamma34.5-deleted oHSV. The difference in permissivity between GSCs and ScGCs to
gamma34.5-deleted oHSV illustrates a selective translational regulatory pathway
in GSCs that may be operative in other stem-like cells and has implications for
creating oHSVs.IMPORTANCE Herpes simplex virus (HSV) can be genetically
engineered to endow cancer selective replication and oncolytic activity.
gamma34.5, a key neurovirulence gene, has been deleted in all oncolytic HSVs in
clinical trial for glioma. Glioblastoma stem-like cells (GSCs) are a
subpopulation of tumor cells thought to drive tumor heterogeneity and therapeutic
resistance. GSCs are non-permissive for gamma34.5-deleted HSV, while non-stem
like cancer cells from the same patient tumors are permissive. GSCs restrict true
late protein synthesis, despite normal viral DNA replication and transcription of
all kinetic classes. This is specific for true late translation, as early and
leaky late transcripts are translated late in infection, notwithstanding shutoff
of cellular protein synthesis. Expression of Us11 in GSCs rescues the replication
of gamma34.5-deleted HSV. We have identified a cell type specific innate response
to HSV1 that limits oncolytic activity in glioblastoma.
PMID- 29793960
TI - Oral anticoagulants for prevention of stroke in atrial fibrillation: systematic
review, network meta-analysis, and cost effectiveness analysis.
PMID- 29793961
TI - Erratum.
PMID- 29793959
TI - Defining the Role of Stress Granules in Innate Immune Suppression by the Herpes
Simplex Virus 1 Endoribonuclease VHS.
AB - In response to virus-induced shutoff host protein synthesis, dynamic aggregates
containing mRNA, RNA-binding proteins and translation factors termed stress
granules (SGs) often accumulate within the cytoplasm. SGs typically form
following phosphorylation and inactivation of the eukaryotic translation
initiation factor 2alpha (eIF2alpha), a substrate of the double-stranded RNA
(dsRNA)-activated kinase protein kinase R (PKR). The detection of innate immune
sensors and effectors like PKR at SGs suggests a role in pathogen nucleic acid
sensing. However, the functional importance of SGs in host innate responses is
unclear and has primarily been examined in response to infection with select RNA
viruses. During infection with the DNA virus herpes simplex virus 1 (HSV-1), the
virus-encoded virion host shutoff (VHS) endoribonuclease is required to restrict
interferon production, PKR activation, and SG formation, although the
relationship between these activities remains incompletely understood. Here, we
show that in cells infected with a VHS-deficient HSV-1 (DeltaVHS) dsRNA
accumulated and localized to SGs. Surprisingly, formation of dsRNA and its
concentration at SGs was not required for beta interferon mRNA induction,
indicating that suppression of type I interferon induction by VHS does not stem
from its control of dsRNA accumulation. Instead, STING signaling downstream of
cGMP-AMP synthase (cGAS)-dependent DNA sensing is required for beta interferon
induction. In contrast, significantly less PKR activation is observed when SG
assembly is disrupted by ISRIB, an inhibitor of phosphorylated eIF2alpha-mediated
translation repression, or depleting SG scaffolding proteins G3BP1 or TIA1. This
demonstrates that PKR activation is intimately linked to SG formation and that
SGs form important hubs to potentiate PKR activation during infection.IMPORTANCE
Formation of cytoplasmic stress granules that are enriched for innate immune
sensors and effectors is suppressed during many viral infections. It is unclear,
however, to what extent this is a side effect of viral efforts to maintain
protein synthesis or intentional disruption of a hub for innate immune sensing.
In this study, we utilize a herpes simplex virus 1 mutant lacking the RNA
nuclease VHS which upon infection induces SGs, PKR activation, and beta
interferon to address this question. We show that dsRNA is localized to SGs and
that SGs can function to promote PKR activation in the context of a DNA virus
infection, but we find no evidence to support their importance for interferon
induction during HSV-1 infection.
PMID- 29793962
TI - Failed Target Weight Achievement Associates with Short-Term Hospital Encounters
among Individuals Receiving Maintenance Hemodialysis.
AB - Background Hospitalizations and 30-day readmissions are common in the
hemodialysis population. Actionable clinical markers for near-term hospital
encounters are needed to identify individuals who require swift intervention to
avoid hospitalization. Aspects of volume management, such as failed target weight
(i.e, estimated dry weight) achievement, are plausible modifiable indicators of
impending adverse events. The short-term consequences of failed target weight
achievement are not well established.Methods Statistically deidentified data were
taken from a cohort of Medicare-enrolled, prevalent hemodialysis patients treated
at a large dialysis organization from 2010 to 2012. We used a retrospective
cohort design with repeated intervals, each consisting of 180-day baseline, 30
day exposure assessment, and 30-day follow-up period, to estimate the
associations between failed target weight achievement and the risk of 30-day
emergency department visits and hospitalizations. We estimated adjusted risk
differences using inverse probability of exposure weighted Kaplan-Meier
methods.Results A total of 113,561 patients on hemodialysis contributed 788,722
study intervals to analyses. Patients who had a postdialysis weight >1.0 kg above
the prescribed target weight in >=30% (versus <30%) of exposure period treatments
had a higher absolute risk (risk difference) of 30-day: emergency department
visits (2.13%; 95% confidence interval, 2.00% to 2.32%); and all-cause (1.47%;
95% confidence interval, 1.34% to 1.62%), cardiovascular (0.31%; 95% confidence
interval, 0.24% to 0.40%), and volume-related (0.15%; 95% confidence interval,
0.11% to 0.21%) hospitalizations.Conclusions In the absence of objective measures
of volume status, recurrent failure to achieve target weight is an easily
identifiable clinical risk marker for impending hospital encounters among
patients on hemodialysis.
PMID- 29793957
TI - Control of Heterologous Simian Immunodeficiency Virus SIVsmE660 Infection by DNA
and Protein Coimmunization Regimens Combined with Different Toll-Like-Receptor-4
Based Adjuvants in Macaques.
AB - We developed a method of simultaneous vaccination with DNA and protein resulting
in robust and durable cellular and humoral immune responses with efficient
dissemination to mucosal sites and protection against simian immunodeficiency
virus (SIV) infection. To further optimize the DNA-protein coimmunization
regimen, we tested a SIVmac251-based vaccine formulated with either of two Toll
like receptor 4 (TLR4) ligand-based liposomal adjuvant formulations (TLR4 plus
TLR7 [TLR4+7] or TLR4 plus QS21 [TLR4+QS21]) in macaques. Although both vaccines
induced humoral responses of similar magnitudes, they differed in their
functional quality, including broader neutralizing activity and effector
functions in the TLR4+7 group. Upon repeated heterologous SIVsmE660 challenge, a
trend of delayed viral acquisition was found in vaccinees compared to controls,
which reached statistical significance in animals with the TRIM-5alpha-resistant
(TRIM-5alpha R) allele. Vaccinees were preferentially infected by an SIVsmE660
transmitted/founder virus carrying neutralization-resistant A/K mutations at
residues 45 and 47 in Env, demonstrating a strong vaccine-induced sieve effect.
In addition, the delay in virus acquisition directly correlated with SIVsmE660
specific neutralizing antibodies. The presence of mucosal V1V2 IgG binding
antibodies correlated with a significantly decreased risk of virus acquisition in
both TRIM-5alpha R and TRIM-5alpha-moderate/sensitive (TRIM-5alpha M/S) animals,
although this vaccine effect was more prominent in animals with the TRIM-5alpha R
allele. These data support the combined contribution of immune responses and
genetic background to vaccine efficacy. Humoral responses targeting V2 and SIV
specific T cell responses correlated with viremia control. In conclusion, the
combination of DNA and gp120 Env protein vaccine regimens using two different
adjuvants induced durable and potent cellular and humoral responses contributing
to a lower risk of infection by heterologous SIV challenge.IMPORTANCE An
effective AIDS vaccine continues to be of paramount importance for the control of
the pandemic, and it has been proven to be an elusive target. Vaccine efficacy
trials and macaque challenge studies indicate that protection may be the result
of combinations of many parameters. We show that a combination of DNA and protein
vaccinations applied at the same time provides rapid and robust cellular and
humoral immune responses and evidence for a reduced risk of infection. Vaccine
induced neutralizing antibodies and Env V2-specific antibodies at mucosal sites
contribute to the delay of SIVsmE660 acquisition, and genetic makeup (TRIM
5alpha) affects the effectiveness of the vaccine. These data are important for
the design of better vaccines and may also affect other vaccine platforms.
PMID- 29793958
TI - SAMHD1 Impairs HIV-1 Gene Expression and Negatively Modulates Reactivation of
Viral Latency in CD4+ T Cells.
AB - Sterile alpha motif and HD domain-containing protein 1 (SAMHD1) restricts human
immunodeficiency virus type 1 (HIV-1) replication in nondividing cells by
degrading intracellular deoxynucleoside triphosphates (dNTPs). SAMHD1 is highly
expressed in resting CD4+ T cells, which are important for the HIV-1 reservoir
and viral latency; however, whether SAMHD1 affects HIV-1 latency is unknown.
Recombinant SAMHD1 binds HIV-1 DNA or RNA fragments in vitro, but the function of
this binding remains unclear. Here we investigate the effect of SAMHD1 on HIV-1
gene expression and reactivation of viral latency. We found that endogenous
SAMHD1 impaired HIV-1 long terminal repeat (LTR) activity in monocytic THP-1
cells and HIV-1 reactivation in latently infected primary CD4+ T cells.
Overexpression of wild-type (WT) SAMHD1 suppressed HIV-1 LTR-driven gene
expression at a transcriptional level. Tat coexpression abrogated SAMHD1-mediated
suppression of HIV-1 LTR-driven luciferase expression. SAMHD1 overexpression also
suppressed the LTR activity of human T-cell leukemia virus type 1 (HTLV-1), but
not that of murine leukemia virus (MLV), suggesting specific suppression of
retroviral LTR-driven gene expression. WT SAMHD1 bound to proviral DNA and
impaired reactivation of HIV-1 gene expression in latently infected J-Lat cells.
In contrast, a nonphosphorylated mutant (T592A) and a dNTP triphosphohydrolase
(dNTPase) inactive mutant (H206D R207N [HD/RN]) of SAMHD1 failed to efficiently
suppress HIV-1 LTR-driven gene expression and reactivation of latent virus.
Purified recombinant WT SAMHD1, but not the T592A and HD/RN mutants, bound to
fragments of the HIV-1 LTR in vitro These findings suggest that SAMHD1-mediated
suppression of HIV-1 LTR-driven gene expression potentially regulates viral
latency in CD4+ T cells.IMPORTANCE A critical barrier to developing a cure for
HIV-1 infection is the long-lived viral reservoir that exists in resting CD4+ T
cells, the main targets of HIV-1. The viral reservoir is maintained through a
variety of mechanisms, including regulation of the HIV-1 LTR promoter. The host
protein SAMHD1 restricts HIV-1 replication in nondividing cells, but its role in
HIV-1 latency remains unknown. Here we report a new function of SAMHD1 in
regulating HIV-1 latency. We found that SAMHD1 suppressed HIV-1 LTR promoter
driven gene expression and reactivation of viral latency in cell lines and
primary CD4+ T cells. Furthermore, SAMHD1 bound to the HIV-1 LTR in vitro and in
a latently infected CD4+ T-cell line, suggesting that the binding may negatively
modulate reactivation of HIV-1 latency. Our findings indicate a novel role for
SAMHD1 in regulating HIV-1 latency, which enhances our understanding of the
mechanisms regulating proviral gene expression in CD4+ T cells.
PMID- 29793963
TI - A NOX4/TRPC6 Pathway in Podocyte Calcium Regulation and Renal Damage in Diabetic
Kidney Disease.
AB - Background Loss of glomerular podocytes is an indicator of diabetic kidney
disease (DKD). The damage to these cells has been attributed in part to elevated
intrarenal oxidative stress. The primary source of the renal reactive oxygen
species, particularly H2O2, is NADPH oxidase 4 (NOX4). We hypothesized that NOX4
derived H2O2 contributes to podocyte damage in DKD via elevation of podocyte
calcium.Methods We used Dahl salt-sensitive (SS) rats with a null mutation for
the Nox4 gene (SSNox4-/-) and mice with knockout of the nonselective calcium
channel TRPC6 or double knockout of TRPC5 and TRPC6. We performed whole animal
studies and used biosensor measurements, electron microscopy, electrophysiology,
and live calcium imaging experiments to evaluate the contribution of this pathway
to the physiology of the podocytes in freshly isolated glomeruli.Results Upon
induction of type 1 diabetes with streptozotocin, SSNox4-/- rats exhibited
significantly lower basal intracellular Ca2+ levels in podocytes and less DKD
associated damage than SS rats did. Furthermore, the angiotensin II-elicited
calcium flux was blunted in glomeruli isolated from diabetic SSNox4-/- rats
compared with that in glomeruli from diabetic SS rats. H2O2 stimulated TRPC
dependent calcium influx in podocytes from wild-type mice, but this influx was
blunted in podocytes from Trpc6-knockout mice and, in a similar manner, in
podocytes from Trpc5/6 double-knockout mice. Finally, electron microscopy
revealed that podocytes of glomeruli isolated from Trpc6-knockout or Trpc5/6
double-knockout mice were protected from damage induced by H2O2 to the same
extent.Conclusions These data reveal a novel signaling mechanism involving NOX4
and TRPC6 in podocytes that could be pharmacologically targeted to abate the
development of DKD.
PMID- 29793964
TI - Clinical Characteristics and Outcome of Lung Transplant Recipients with
Respiratory Isolation of Corynebacterium spp.
AB - Although chronic respiratory disease and immunosuppression are risk factors for
Corynebacterium species respiratory infection, data are scarce regarding this
disease in lung transplantation. Our aim was to describe the clinical
characteristics and outcomes of lung transplant recipients (LTR) with respiratory
isolation of Corynebacterium spp. This was a retrospective observational study
performed at a referral center in Barcelona, Spain (2014 to 2016). We included
all LTR in whom Corynebacterium spp. were isolated in at least one good-quality
lower respiratory tract specimen. Overall, 24 of 527 (4.6%) LTR at risk during
the study period were included. The main epidemiological, clinical, and
microbiological data were analyzed. The most frequently isolated species were C.
striatum (11/24), C. pseudodiphtheriticum (3/24), and C. amycolatum (3/24). All
19 (76%) patients who underwent bronchoscopy showed abnormalities, mainly mucosal
plaques at the bronchial suture and purulent secretions. Clinical cure was
achieved in 8/12 (67%) patients who fulfilled the CDC definition of lower
respiratory tract infection (LRTI). To assess the clinical relevance of
Corynebacterium spp., only patients with monomicrobial isolation (n = 18) were
evaluated. LRTI was diagnosed in 9, and a nonsignificant association was found
with a significant number of Corynebacterium sp. CFU/ml (7/9 LRTI versus 2/9 non
LRTI, P = 0.057). Persistent infection was associated with metallic bronchial
stent implantation (4/4 versus 2/14, P = 0.005). The isolation of Corynebacterium
spp. in respiratory specimens of lung transplant recipients may herald a
respiratory tract infection or bronchial suture damage. Bronchial stent
implantation is a risk factor for the persistence of Corynebacterium species
infection.
PMID- 29793965
TI - Evaluation of Performance Characteristics of Panther Fusion Assays for Detection
of Respiratory Viruses from Nasopharyngeal and Lower Respiratory Tract Specimens.
AB - Accurate and rapid diagnosis is needed for timely intervention and clinical
management of acute respiratory infections. This study evaluated performance
characteristics of the Panther Fusion assay for the detection of influenza A
virus (Flu A), influenza B virus (Flu B), respiratory syncytial virus (RSV),
parainfluenza viruses 1 to 3 (Para 1 to 3), human metapneumovirus (hMPV),
rhinovirus (RV), and adenovirus (Adeno) targets in comparison to those of the
eSensor and Lyra assays using 395 nasopharyngeal (NP) and 104 lower respiratory
tract (LRT) specimens. Based on the consensus positive result established
(positive result in 2 of the 3 assays), the NP specimens for the Fusion and
eSensor assays had 100% positive percent agreement (PPA) for all the analytes and
the Lyra assays had 100% PPA for Flu A and Adeno analytes. A 100% negative
percent agreement (NPA) was observed for all the Lyra analytes, whereas those for
the Fusion targets ranged from 98.4 to 100% and those for the eSensor ranged from
99.4 to 100% for all the analytes except RV. For the LRT specimens, Fusion had
100% PPA and 100% NPA for all the targets except hMPV. There was a 100% PPA for
eSensor analytes; the NPA ranged from 98 to 100%, except for RV. For the Lyra
assays, the PPA ranged between 50 and 100%, while the NPA was 100% for all the
targets except Adeno. The Fusion assay performed similarly to the eSensor assay
for majority of the targets tested and provides laboratories with a fully
automated random-access system to test for a broad array of viral respiratory
pathogens.
PMID- 29793966
TI - QuantiFERON-TB Gold Plus Is a More Sensitive Screening Tool than QuantiFERON-TB
Gold In-Tube for Latent Tuberculosis Infection among Older Adults in Long-Term
Care Facilities.
AB - We investigated the prevalence of latent tuberculosis infection (LTBI) among the
residents in seven long-term care facilities (LTCFs) located in different regions
of Taiwan and compared the performance of two interferon gamma release assays,
i.e., QuantiFERON-TB Gold In-Tube (QFT-GIT) and QuantiFERON-TB Gold Plus (QFT
Plus) for screening LTBI. We also assessed the diagnostic performance against a
composite reference standard (subjects with persistent-positive, transient
positive, and negative results from QFTs during reproducibility analysis were
classified as definite, possible, and not LTBI, respectively). Two hundred forty
four residents were enrolled, and 229 subjects were included in the analysis. The
median age was 80 years (range, 60 to 102 years old), and 117 (51.1%) were male.
Among them, 66 (28.8%) and 74 (32.3%) subjects had positive results from QFT-GIT
and QFT-Plus, respectively, and the results for 215 (93.9%) subjects showed
agreement. Using the composite reference standard, 66 (28.8%), 11 (4.8%), and 152
(66.4%) were classified as definite, possible, and not LTBI, respectively. For
definite LTBI, the sensitivity, specificity, positive predictive value, and
negative predictive value of QFT-GIT were 89.4%, 95.7%, 89.4%, and 95.7%,
respectively, and those for QFT-Plus were 100.0%, 95.1%, 89.2%, and 100.0%,
respectively. The sensitivity of QFT-GIT decreased gradually with patient age.
Compared to QFT-GIT, QFT-Plus displayed significantly higher sensitivity (100.0%
versus 89.4%, P = 0.013) and similar specificity (95.1% versus 95.7%). In
conclusion, a high prevalence of LTBI was found among elders in LTCFs in Taiwan.
The new QFT-Plus test demonstrated a higher sensitivity than QFT-GIT in the older
adults in LTCFs.
PMID- 29793967
TI - Diagnostic Performance of Pleural Fluid Adenosine Deaminase for Tuberculous
Pleural Effusion in a Low-Incidence Setting.
AB - The challenges associated with diagnosing tuberculous pleural effusion have led
to the use of pleural fluid adenosine deaminase (pfADA) as a biomarker for
Mycobacterium tuberculosis infection. This study retrospectively reviewed the
diagnostic performance of pfADA, the pleural fluid lactate dehydrogenase (LD)/ADA
ratio, and combinations of these two parameters in 1,637 episodes of pleural
effusion in the low-tuberculosis (TB)-incidence setting of Auckland, Aotearoa New
Zealand, from between March 2008 and November 2014. The median pfADA in 57 TB
pleural effusion episodes (58.1 U/liter) was significantly higher (P < 0.001)
than in 1,580 non-TB pleural effusions (11.4 U/liter). The median LD/ADA ratio in
TB pleural effusion (8.2) was significantly lower (P < 0.001) than in non-TB
pleural effusions (30.5). The pfADA and pleural fluid LD/ADA ratio AUCROC values
(that is, receiver operating characteristic [ROC] curve analysis with
determination of the ROC area under the curve) were 0.93 and 0.94, respectively.
The pfADA thresholds of >=15 and >=30 U/liter demonstrated sensitivities of 100%
(95% confidence internal = 93.7 to 100) and 93.0% (83.3 to 97.2), specificities
of 62.7% (60.3 to 65.0) and 87.3% (85.6 to 88.9), positive predictive values
(PPVs) of 8.8% (6.9 to 11.2) and 20.9% (16.4 to 26.4), and negative predictive
values (NPVs) of 100% (99.6 to 100) and 99.7% (99.3 to 99.9), respectively.
LD/ADA ratio thresholds of <25 and <15 demonstrated sensitivities of 100% (93.5
to 100) and 89.1% (78.2 to 94.9), specificities of 61.6% (59.1 to 64.0) and 84.8%
(82.9 to 86.5), PPVs of 8.5% (6.6 to 10.9) and 17.3% (13.3 to 22.0), and NPVs of
100% (99.6 to 100) and 99.5% (99.0 to 99.8), respectively. A combination of pfADA
>= 30 U/liter and an LD/ADA ratio < 15 increased the specificity and PPV to 97.8%
(96.9 to 98.4) and 57.3% (46.5 to 67.5) but decreased the sensitivity to 85.5%
(73.8 to 92.4). The primary value of pfADA in a low-TB-incidence setting, such as
Auckland, is in utilization of its high NPV.
PMID- 29793970
TI - Effects of mindfulness training programmes delivered by a self-directed mobile
app and by telephone compared with an education programme for survivors of
critical illness: a pilot randomised clinical trial.
AB - BACKGROUND: Patients who are sick enough to be admitted to an intensive care unit
(ICU) commonly experience symptoms of psychological distress after discharge, yet
few effective therapies have been applied to meet their needs. METHODS: Pilot
randomised clinical trial with 3-month follow-up conducted at two academic
medical centres. Adult (>=18 years) ICU patients treated for cardiorespiratory
failure were randomised after discharge home to 1 of 3 month-long interventions:
a self-directed mobile app-based mindfulness programme; a therapist-led telephone
based mindfulness programme; or a web-based critical illness education programme.
RESULTS: Among 80 patients allocated to mobile mindfulness (n=31), telephone
mindfulness (n=31) or education (n=18), 66 (83%) completed the study. For the
primary outcomes, target benchmarks were exceeded by observed rates for all
participants for feasibility (consent 74%, randomisation 91%, retention 83%),
acceptability (mean Client Satisfaction Questionnaire 27.6 (SD 3.8)) and
usability (mean Systems Usability Score 89.1 (SD 11.5)). For secondary outcomes,
mean values (and 95% CIs) reflected clinically significant group-based changes on
the Patient Health Questionnaire depression scale (mobile (-4.8 (-6.6, -2.9)),
telephone (-3.9 (-5.6, -2.2)), education (-3.0 (-5.3, 0.8)); the Generalized
Anxiety Disorder scale (mobile -2.1 (-3.7, -0.5), telephone -1.6 (-3.0, -0.1),
education -0.6 (-2.5, 1.3)); the Post-Traumatic Stress Scale (mobile -2.6 (-6.3,
1.2), telephone -2.2 (-5.6, 1.2), education -3.5 (-8.0, 1.0)); and the Patient
Health Questionnaire physical symptom scale (mobile -5.3 (-7.0, -3.7), telephone
3.7 (-5.2, 2.2), education -4.8 (-6.8, 2.7)). CONCLUSIONS: Among ICU patients, a
mobile mindfulness app initiated after hospital discharge demonstrated evidence
of feasibility, acceptability and usability and had a similar impact on
psychological distress and physical symptoms as a therapist-led programme. A
larger trial is warranted to formally test the efficacy of this approach. TRIAL
REGISTRATION NUMBER: Results, NCT02701361.
PMID- 29793968
TI - Comparison of Detection Limits of Fourth- and Fifth-Generation Combination HIV
Antigen-Antibody, p24 Antigen, and Viral Load Assays on Diverse HIV Isolates.
AB - Detection of acute HIV infection is critical for HIV public health and
diagnostics. Clinical fourth-generation antigen (Ag)/antibody (Ab) combination
(combo) and p24 Ag immunoassays have enhanced detection of acute infection
compared to Ab-alone assays but require ongoing evaluation with currently
circulating diverse subtypes. Genetically and geographically diverse HIV clinical
isolates were used to assess clinical HIV diagnostic, blood screening, and next
generation assays. Three-hundred-member panels of 20 serially diluted well
characterized antibody-negative HIV isolates for which the researchers were blind
to the results (blind panels) were distributed to manufacturers and end-user labs
to assess the relative analytic sensitivity of currently approved and preapproved
clinical HIV fourth-generation Ag/Ab combo or p24 Ag-alone immunoassays for the
detection of diverse subtypes. The limits of detection (LODs) of virus were
estimated for different subtypes relative to confirmed viral loads. Analysis of
immunoassay sensitivity was benchmarked against confirmed viral load measurements
on the blind panel. On the basis of the proportion of positive results on 300
observations, all Ag/Ab combo and standard sensitivity p24 Ag assays performed
similarly and within half-log LODs, illustrating the similar breadth of
reactivity and diagnostic utility. Ultrasensitive p24 Ag assays achieved
dramatically increased sensitivities, while the rapid combo assays performed
poorly. The similar performance of the different commercially available fourth
generation assays on diverse subtypes supports their use in broad geographic
settings with locally circulating HIV clades and recombinant strains. Next
generation preclinical ultrasensitive p24 Ag assays achieved dramatically
improved sensitivity, while rapid fourth-generation assays performed poorly for
p24 Ag detection.
PMID- 29793969
TI - Clinical Pharmacogenomics: Applications in Nephrology.
AB - Pharmacogenomics is a tool for practitioners to provide precision pharmacotherapy
using genomics. All providers are likely to encounter genomic data in practice
with the expectation that they are able to successfully apply it to patient care.
Pharmacogenomics tests for genetic variations in genes that are responsible for
drug metabolism, transport, and targets of drug action. Variations can increase
the risk for drug toxicity or poor efficacy. Pharmacogenomics can, therefore, be
used to help select the best medication or aid in dosing. Nephrologists routinely
treat cardiovascular disease and manage patients after kidney transplantation,
two situations for which there are several high-evidence clinical recommendations
for commonly used anticoagulants, antiplatelets, statins, and transplant
medications. Successful use of pharmacogenomics in practice requires that
providers are familiar with how to access and use pharmacogenomics resources.
Similarly, clinical decision making related to whether to use existing data,
whether to order testing, and if data should be used in practice is needed to
deliver precision medicine. Pharmacogenomics is applicable to virtually every
medical specialty, and nephrologists are well positioned to be implementation
leaders.
PMID- 29793973
TI - Cortical Oscillatory Mechanisms Supporting the Control of Human Social-Emotional
Actions.
AB - The human anterior prefrontal cortex (aPFC) is involved in regulating social
emotional behavior, presumably by modulating effective connectivity with
downstream parietal, limbic, and motor cortices. Regulating that connectivity
might rely on theta-band oscillations (4-8 Hz), a brain rhythm known to create
overlapping periods of excitability between distant regions by temporally
releasing neurons from inhibition. Here, we used MEG to understand how aPFC theta
band oscillations implement control over prepotent social-emotional behaviors;
that is, the control over automatically elicited approach and avoidance actions.
Forty human male participants performed a social approach-avoidance task in which
they approached or avoided visually displayed emotional faces (happy or angry) by
pulling or pushing a joystick. Approaching angry and avoiding happy faces
(incongruent condition) requires rapid application of cognitive control to
override prepotent habitual action tendencies to approach appetitive and to avoid
aversive situations. In the time window before response delivery, trial-by-trial
variations in aPFC theta-band power (6 Hz) predicted reaction time increases
during emotional control and were inversely related to beta-band power (14-22 Hz)
over parietofrontal cortex. In sensorimotor areas contralateral to the moving
hand, premovement gamma-band rhythms (60-90 Hz) were stronger during incongruent
than congruent trials, with power increases phase locked to peaks of the aPFC
theta-band oscillations. These findings define a mechanistic relation between
cortical areas involved in implementing rapid control over human social-emotional
behavior. The aPFC may bias neural processing toward rule-driven actions and away
from automatic emotional tendencies by coordinating tonic disinhibition and
phasic enhancement of parietofrontal circuits involved in action
selection.SIGNIFICANCE STATEMENT Being able to control social-emotional behavior
is crucial for successful participation in society, as is illustrated by the
severe social and occupational difficulties experienced by people suffering from
social motivational disorders such as social anxiety. In this study, we show that
theta-band oscillations in the anterior prefrontal cortex (aPFC), which are
thought to provide temporal organization for neural firing during communication
between distant brain areas, facilitate this control by linking aPFC to
parietofrontal beta-band and sensorimotor gamma-band oscillations involved in
action selection. These results contribute to a mechanistic understanding of
cognitive control over automatic social-emotional action and point to frontal
theta-band oscillations as a possible target of rhythmic neurostimulation
techniques during treatment for social anxiety.
PMID- 29793971
TI - Blocking Autophagy in Oligodendrocytes Limits Functional Recovery after Spinal
Cord Injury.
AB - Autophagy mechanisms are well documented in neurons after spinal cord injury
(SCI), but the direct functional role of autophagy in oligodendrocyte (OL)
survival in SCI pathogenesis remains unknown. Autophagy is an evolutionary
conserved lysosomal-mediated catabolic pathway that ensures degradation of
dysfunctional cellular components to maintain homeostasis in response to various
forms of stress, including nutrient deprivation, hypoxia, reactive oxygen
species, DNA damage, and endoplasmic reticulum (ER) stress. Using pharmacological
gain and loss of function and genetic approaches, we investigated the
contribution of autophagy in OL survival and its role in the pathogenesis of
thoracic contusive SCI in female mice. Although upregulation of Atg5 (an
essential autophagy gene) occurs after SCI, autophagy flux is impaired. Purified
myelin fractions of contused 8 d post-SCI samples show enriched protein levels of
LC3B, ATG5, and BECLIN 1. Data show that, while the nonspecific drugs rapamycin
(activates autophagy) and spautin 1 (blocks autophagy) were pharmacologically
active on autophagy in vivo, their administration did not alter locomotor
recovery after SCI. To directly analyze the role of autophagy, transgenic mice
with conditional deletion of Atg5 in OLs were generated. Analysis of hindlimb
locomotion demonstrated a significant reduction in locomotor recovery after SCI
that correlated with a greater loss in spared white matter. Immunohistochemical
analysis demonstrated that deletion of Atg5 from OLs resulted in decreased
autophagic flux and was detrimental to OL function after SCI. Thus, our study
provides evidence that autophagy is an essential cytoprotective pathway operating
in OLs and is required for hindlimb locomotor recovery after thoracic
SCI.SIGNIFICANCE STATEMENT This study describes the role of autophagy in
oligodendrocyte (OL) survival and pathogenesis after thoracic spinal cord injury
(SCI). Modulation of autophagy with available nonselective drugs after thoracic
SCI does not affect locomotor recovery despite being pharmacologically active in
vivo, indicating significant off-target effects. Using transgenic mice with
conditional deletion of Atg5 in OLs, this study definitively identifies autophagy
as an essential homeostatic pathway that operates in OLs and exhibits a direct
functional role in SCI pathogenesis and recovery. Therefore, this study
emphasizes the need to discover novel autophagy-specific drugs that specifically
modulate autophagy for further investigation for clinical translation to treat
SCI and other CNS pathologies related to OL survival.
PMID- 29793972
TI - Functional Consequences of Synapse Remodeling Following Astrocyte-Specific
Regulation of Ephrin-B1 in the Adult Hippocampus.
AB - Astrocyte-derived factors can control synapse formation and functions, making
astrocytes an attractive target for regulating neuronal circuits and associated
behaviors. Abnormal astrocyte-neuronal interactions are also implicated in
neurodevelopmental disorders and neurodegenerative diseases associated with
impaired learning and memory. However, little is known about astrocyte-mediated
mechanisms that regulate learning and memory. Here, we propose astrocytic ephrin
B1 as a regulator of synaptogenesis in adult hippocampus and mouse learning
behaviors. We found that astrocyte-specific ablation of ephrin-B1 in male mice
triggers an increase in the density of immature dendritic spines and excitatory
synaptic sites in the adult CA1 hippocampus. However, the prevalence of immature
dendritic spines is associated with decreased evoked postsynaptic firing
responses in CA1 pyramidal neurons, suggesting impaired maturation of these newly
formed and potentially silent synapses or increased excitatory drive on the
inhibitory neurons resulting in the overall decreased postsynaptic firing.
Nevertheless, astrocyte-specific ephrin-B1 knock-out male mice exhibit normal
acquisition of fear memory but enhanced contextual fear memory recall. In
contrast, overexpression of astrocytic ephrin-B1 in the adult CA1 hippocampus
leads to the loss of dendritic spines, reduced excitatory input, and impaired
contextual memory retention. Our results suggest that astrocytic ephrin-B1 may
compete with neuronal ephrin-B1 and mediate excitatory synapse elimination
through its interactions with neuronal EphB receptors. Indeed, a deletion of
neuronal EphB receptors impairs the ability of astrocytes expressing functional
ephrin-B1 to engulf synaptosomes in vitro Our findings demonstrate that
astrocytic ephrin-B1 regulates long-term contextual memory by restricting new
synapse formation in the adult hippocampus.SIGNIFICANCE STATEMENT These studies
address a gap in our knowledge of astrocyte-mediated regulation of learning and
memory by unveiling a new role for ephrin-B1 in astrocytes and elucidating new
mechanisms by which astrocytes regulate learning. Our studies explore the
mechanisms underlying astrocyte regulation of hippocampal circuit remodeling
during learning using new genetic tools that target ephrin-B signaling in
astrocytes in vivo On a subcellular level, astrocytic ephrin-B1 may compete with
neuronal ephrin-B1 and trigger astrocyte-mediated elimination of EphB receptor
containing synapses. Given the role EphB receptors play in neurodevelopmental
disorders and neurodegenerative diseases, these findings establish a foundation
for future studies of astrocyte-mediated synaptogenesis in clinically relevant
conditions that can help to guide the development of clinical applications for a
variety of neurological disorders.
PMID- 29793974
TI - Classification of Neurons in the Primate Reticular Formation and Changes after
Recovery from Pyramidal Tract Lesion.
AB - The reticular formation is important in primate motor control, both in health and
during recovery after brain damage. Little is known about the different neurons
present in the reticular nuclei. Here we recorded extracellular spikes from the
reticular formation in five healthy female awake behaving monkeys (193 cells),
and in two female monkeys 1 year after recovery from a unilateral pyramidal tract
lesion (125 cells). Analysis of spike shape and four measures derived from the
interspike interval distribution identified four clusters of neurons in control
animals. Cluster 1 cells had a slow firing rate. Cluster 2 cells had narrow
spikes and irregular firing, which often included high-frequency bursts. Cluster
3 cells were highly rhythmic and fast firing. Cluster 4 cells showed negative
spikes. A separate population of 42 cells was antidromically identified as
reticulospinal neurons in five anesthetized female monkeys. The distribution of
spike width in these cells closely overlaid the distribution for cluster 2,
leading us tentatively to suggest that cluster 2 included neurons with
reticulospinal projections. In animals after corticospinal lesion, cells could be
identified in all four clusters. The firing rate of cells in clusters 1 and 2 was
increased in lesioned animals relative to control animals (by 52% and 60%,
respectively); cells in cluster 2 were also more regular and more bursting in the
lesioned animals. We suggest that changes in both membrane properties and local
circuits within the reticular formation occur following lesioning, potentially
increasing reticulospinal output to help compensate for lost corticospinal
descending drive.SIGNIFICANCE STATEMENT This work is the first to subclassify
neurons in the reticular formation, providing insights into the local circuitry
of this important but little understood structure. The approach developed can be
applied to any extracellular recording from this region, allowing future studies
to place their data within our current framework of four neural types. Changes in
reticular neurons may be important to subserve functional recovery after damage
in human patients, such as after stroke or spinal cord injury.
PMID- 29793977
TI - The Interplay Between Spike-Time and Spike-Rate Modes in the Auditory Nerve
Encodes Tone-In-Noise Threshold.
AB - Auditory nerve fibers (ANFs) encode pure tones through two modes of coding, spike
time and spike rate, depending on the tone frequency. In response to a low
frequency tone, ANF firing is phase locked to the sinusoidal waveform. Because
time coding vanishes with an increase in the tone frequency, high-frequency tone
coding relies on the spike rate of the ANFs. Adding a continuous broadband noise
to a tone compresses the rate intensity function of ANFs and shifts its dynamic
range toward higher intensities. Therefore, the ANFs with high-threshold/low
spontaneous rate (SR) are thought to contribute to behavioral tone detection in
noise. However, this theory relies on the discharge rate of the ANFs. The direct
comparison with the masking threshold through spike timing, irrespective of the
spontaneous rate, has not so far been investigated. Taking advantage of a unique
proxy to quantify the spike synchrony (i.e., the shuffle autocorrelogram), we
show in female gerbils that high-SR ANFs are more adapted to encode low-frequency
thresholds through temporal code, giving them a strong robustness in noise. By
comparing behavioral thresholds measured using prepulse inhibition of the
acoustical startle reflex with population thresholds calculated from ANFs pooled
per octave band, we show that threshold-based spike timing provides a better
estimate of behavioral thresholds in the low-frequency range, whereas the high
frequency behavioral thresholds rely on the spiking rate, particularly in noise.
This emphasizes the complementarity of temporal and rate modes to code tone-in
noise thresholds over a large range of frequencies.SIGNIFICANCE STATEMENT There
is a general agreement that high-threshold/low-spontaneous rate (SR) auditory
nerve fibers (ANFs) are of prime importance for tone detection in noise. However,
this theory is based on the discharge rate of the fibers. Comparing the
behavioral thresholds and single ANF thresholds shows that this is only true in
the high-frequency range of tone stimulations. In the low-frequency range of
tones (up to 2.7 kHz in the gerbil), the most sensitive ANFs (high-SR fibers)
carry neural information through a spike-timing mode, even for noise in which
tones do not induce a noticeable increment in the spike rate. This emphasizes the
interplay between spike-time and spike-rate modes in the auditory nerve to encode
tone-in-noise threshold over a large range of tone frequencies.
PMID- 29793975
TI - Preferential Targeting of Lateral Entorhinal Inputs onto Newly Integrated Granule
Cells.
AB - Mature dentate granule cells in the hippocampus receive input from the entorhinal
cortex via the perforant path in precisely arranged lamina, with medial
entorhinal axons innervating the middle molecular layer and lateral entorhinal
cortex axons innervating the outer molecular layer. Although vastly outnumbered
by mature granule cells, adult-generated newborn granule cells play a unique role
in hippocampal function, which has largely been attributed to their enhanced
excitability and plasticity (Schmidt-Hieber et al., 2004; Ge et al., 2007).
Inputs from the medial and lateral entorhinal cortex carry different
informational content. Thus, the distribution of inputs onto newly integrated
granule cells will affect their function in the circuit. Using retroviral
labeling in combination with selective optogenetic activation of medial or
lateral entorhinal inputs, we examined the functional innervation and synaptic
maturation of newly generated dentate granule cells in the mouse hippocampus. Our
results indicate that lateral entorhinal inputs provide the majority of
functional innervation of newly integrated granule cells at 21 d postmitosis.
Despite preferential functional targeting, the dendritic spine density of
immature granule cells was similar in the outer and middle molecular layers,
which we speculate could reflect an unequal distribution of shaft synapses.
However, chronic blockade of neurotransmitter release of medial entorhinal axons
with tetanus toxin disrupted normal synapse development of both medial and
lateral entorhinal inputs. Our results support a role for preferential lateral
perforant path input onto newly generated neurons in mediating pattern
separation, but also indicate that medial perforant path input is necessary for
normal synaptic development.SIGNIFICANCE STATEMENT The formation of episodic
memories involves the integration of contextual and spatial information. Newly
integrated neurons in the dentate gyrus of the hippocampus play a critical role
in this process, despite constituting only a minor fraction of the total number
of granule cells. Here we demonstrate that these neurons preferentially receive
information thought to convey the context of an experience. Each newly integrated
granule cell plays this unique role for ~1 month before reaching maturity.
PMID- 29793976
TI - nox2/cybb Deficiency Affects Zebrafish Retinotectal Connectivity.
AB - NADPH oxidase (Nox)-derived reactive oxygen species (ROS) have been linked to
neuronal polarity, axonal outgrowth, cerebellar development, regeneration of
sensory axons, and neuroplasticity. However, the specific roles that individual
Nox isoforms play during nervous system development in vivo remain unclear. To
address this problem, we investigated the role of Nox activity in the development
of retinotectal connections in zebrafish embryos. Zebrafish broadly express four
nox genes (nox1, nox2/cybb, nox5, and duox) throughout the CNS during early
development. Application of a pan-Nox inhibitor, celastrol, during the time of
optic nerve (ON) outgrowth resulted in significant expansion of the ganglion cell
layer (GCL), thinning of the ON, and a decrease in retinal axons reaching the
optic tectum (OT). With the exception of GCL expansion, these effects were
partially ameliorated by the addition of H2O2, a key ROS involved in Nox
signaling. To address isoform-specific Nox functions, we used CRISPR/Cas9 to
generate mutations in each zebrafish nox gene. We found that nox2/cybb chimeric
mutants displayed ON thinning and decreased OT innervation. Furthermore,
nox2/cybb homozygous mutants (nox2/cybb-/-) showed significant GCL expansion and
mistargeted retinal axons in the OT. Neurite outgrowth from cultured zebrafish
retinal ganglion cells was reduced by Nox inhibitors, suggesting a cell
autonomous role for Nox in these neurons. Collectively, our results show that
Nox2/Cybb is important for retinotectal development in zebrafish.SIGNIFICANCE
STATEMENT Most isoforms of NADPH oxidase (Nox) only produce reactive oxygen
species (ROS) when activated by an upstream signal, making them ideal candidates
for ROS signaling. Nox enzymes are present in neurons and their activity has been
shown to be important for neuronal development and function largely by in vitro
studies. However, whether Nox is involved in the development of axons and
formation of neuronal connections in vivo has remained unclear. Using mutant
zebrafish embryos, this study shows that a specific Nox isoform, Nox2/Cybb, is
important for the establishment of axonal connections between retinal ganglion
cells and the optic tectum.
PMID- 29793978
TI - Ischemic Brain Injury Leads to Brain Edema via Hyperthermia-Induced TRPV4
Activation.
AB - Brain edema is characterized by an increase in net brain water content, which
results in an increase in brain volume. Although brain edema is associated with a
high fatality rate, the cellular and molecular processes of edema remain largely
unclear. Here, we developed an in vitro model of ischemic stroke-induced edema in
which male mouse brain slices were treated with oxygen-glucose deprivation (OGD)
to mimic ischemia. We continuously measured the cross-sectional area of the brain
slice for 150 min under macroscopic microscopy, finding that OGD induces swelling
of brain slices. OGD-induced swelling was prevented by pharmacologically blocking
or genetically knocking out the transient receptor potential vanilloid 4 (TRPV4),
a member of the thermosensitive TRP channel family. Because TRPV4 is activated at
around body temperature and its activation is enhanced by heating, we next
elevated the temperature of the perfusate in the recording chamber, finding that
hyperthermia induces swelling via TRPV4 activation. Furthermore, using the
temperature-dependent fluorescence lifetime of a fluorescent-thermosensitive
probe, we confirmed that OGD treatment increases the temperature of brain slices
through the activation of glutamate receptors. Finally, we found that brain edema
following traumatic brain injury was suppressed in TRPV4-deficient male mice in
vivo Thus, our study proposes a novel mechanism: hyperthermia activates TRPV4 and
induces brain edema after ischemia.SIGNIFICANCE STATEMENT Brain edema is
characterized by an increase in net brain water content, which results in an
increase in brain volume. Although brain edema is associated with a high fatality
rate, the cellular and molecular processes of edema remain unclear. Here, we
developed an in vitro model of ischemic stroke-induced edema in which mouse brain
slices were treated with oxygen-glucose deprivation. Using this system, we showed
that the increase in brain temperature and the following activation of the
thermosensitive cation channel TRPV4 (transient receptor potential vanilloid 4)
are involved in the pathology of edema. Finally, we confirmed that TRPV4 is
involved in brain edema in vivo using TRPV4-deficient mice, concluding that
hyperthermia activates TRPV4 and induces brain edema after ischemia.
PMID- 29793979
TI - Deep Neural Networks for Modeling Visual Perceptual Learning.
AB - Understanding visual perceptual learning (VPL) has become increasingly more
challenging as new phenomena are discovered with novel stimuli and training
paradigms. Although existing models aid our knowledge of critical aspects of VPL,
the connections shown by these models between behavioral learning and plasticity
across different brain areas are typically superficial. Most models explain VPL
as readout from simple perceptual representations to decision areas and are not
easily adaptable to explain new findings. Here, we show that a well -known
instance of deep neural network (DNN), whereas not designed specifically for VPL,
provides a computational model of VPL with enough complexity to be studied at
many levels of analyses. After learning a Gabor orientation discrimination task,
the DNN model reproduced key behavioral results, including increasing specificity
with higher task precision, and also suggested that learning precise
discriminations could transfer asymmetrically to coarse discriminations when the
stimulus conditions varied. Consistent with the behavioral findings, the
distribution of plasticity moved toward lower layers when task precision
increased and this distribution was also modulated by tasks with different
stimulus types. Furthermore, learning in the network units demonstrated close
resemblance to extant electrophysiological recordings in monkey visual areas.
Altogether, the DNN fulfilled predictions of existing theories regarding
specificity and plasticity and reproduced findings of tuning changes in neurons
of the primate visual areas. Although the comparisons were mostly qualitative,
the DNN provides a new method of studying VPL, can serve as a test bed for
theories, and assists in generating predictions for physiological
investigations.SIGNIFICANCE STATEMENT Visual perceptual learning (VPL) has been
found to cause changes at multiple stages of the visual hierarchy. We found that
training a deep neural network (DNN) on an orientation discrimination task
produced behavioral and physiological patterns similar to those found in human
and monkey experiments. Unlike existing VPL models, the DNN was pre-trained on
natural images to reach high performance in object recognition, but was not
designed specifically for VPL; however, it fulfilled predictions of existing
theories regarding specificity and plasticity and reproduced findings of tuning
changes in neurons of the primate visual areas. When used with care, this
unbiased and deep-hierarchical model can provide new ways of studying VPL from
behavior to physiology.
PMID- 29793980
TI - Calciprotein Particle Formation in Peritoneal Dialysis Effluent Is Dependent on
Dialysate Calcium Concentration.
AB - BACKGROUND: The accumulation of fetuin-A-containing calciprotein particles (CPP)
in the serum of patients with renal disease and those with chronic inflammation
may be involved in driving sterile inflammation and extraosseous mineral
deposition. We previously showed that both fetuin-A and CPP were present in the
peritoneal dialysis (PD) effluent of stable PD patients. It is unknown whether
different PD fluids might affect the formation of CPP in vivo. METHOD: Peritoneal
effluent from 12 patients was collected after a 6-hour dwell with 7 different
commercial PD fluids. Calciprotein particles and inflammatory cytokines were
measured by flow cytometry. RESULTS: High inter-subject variability in CPP
concentration was observed. Peritoneal dialysis fluids containing 1.75 mmol/L
calcium were associated with enhanced formation of CPP in vivo, compared with
fluids containing 1.25 mmol/L calcium. Osmotic agent, fluid pH, and glucose
concentration did not affect CPP formation. Peritoneal dialysis effluent CPP
levels were not associated with changes in inflammatory cytokines. CONCLUSION:
High calcium-containing PD fluids favor intraperitoneal CPP formation. This
finding may have relevance for future PD fluid design.
PMID- 29793981
TI - Expanding CAPD in Low-Resource Settings: A Distance Learning Approach.
AB - BACKGROUND: Despite growing need, treatment for end-stage renal disease is
limited in low- and middle-income countries due to resource restraints. We
describe the development of an educational curriculum and quality improvement
program to support continuous ambulatory peritoneal dialysis (CAPD) performed
primarily by non-nephrology providers in Sri Lanka. METHODS: We developed a
program of education, outcome tracking, and expert consultation to support
providers in Kandy, Sri Lanka. Education included videos and in-person didactics
covering core topics in CAPD. Event-tracking sheets recorded root causes and
management of infections and hospitalizations. Conferences reviewed clinical
cases and overall clinic management. We evaluated the patient census, peritonitis
rates, and root causes and management of infections over 1 year. RESULTS: The
curriculum was published through the International Society of Nephrology online
academy. High provider turnover limited curriculum assessments. The CAPD patient
census rose from 63 to 116 during the year. The peritonitis rate declined
significantly, from 0.8 episodes per patient-year in the first 6 months to 0.4 in
the latter 6 months, though the most common root causes of peritonitis, related
to contamination events and hygiene, persisted. The appropriate ascertainment of
culture data and prescription of antibiotics also increased. CONCLUSIONS: Our
project supported the expansion of a CAPD program in a resource-limited setting,
while also improving peritonitis outcomes. Ongoing challenges include ensuring a
durable educational system for rotating providers, tracking outcomes beyond
peritonitis, and formalizing management protocols. Our program can serve as an
example of how established dialysis programs can support the burgeoning work of
providers in resource-limited setting.
PMID- 29793983
TI - Heartbeat: socioeconomic deprivation is associated with non-cardiovascular
mortality in chronic heart failure patients.
PMID- 29793984
TI - Miscellaneous: Secondary mitral regurgitation: pathophysiology, diagnosis, and
treatment.
PMID- 29793982
TI - Peritoneal Dialysis for AKI in Cameroon: Commercial vs Locally-Made Solutions.
AB - BACKGROUND: Acute kidney injury (AKI) is common in low- and middle-income
countries, and is associated with a high mortality. The high mortality rate is in
large part due to the inability to perform dialysis in resource-limited settings.
Due to significant cost advantages, peritoneal dialysis (PD) has been used to
treat AKI in these settings. The costs, however, remain high when commercial
solutions are used. METHODS: This is a retrospective cohort study of the outcome,
and of the peritonitis rates, of patients with AKI treated with either
commercially manufactured PD solutions or locally-made PD solutions. A program to
treat AKI with PD was started at Mbingo Baptist Hospital in Cameroon. Between May
2013 and January 2015, solutions and connection sets were provided by the Saving
Young Lives Program. From January 2015 through March 2017, solutions were locally
produced and available tubing was used. RESULTS: Mortality in hospitalized AKI
patients was 28% during the period when commercial solutions and tubing were
utilized, and 33% when locally produced solutions and available tubing were
utilized. In both groups, peritonitis occurred in 16% of treatment courses.
CONCLUSIONS: Locally produced PD solutions, used with locally available tubing,
were used to treat AKI with PD. The mortality and peritonitis rates were similar
whether locally produced or commercial supplies were used.
PMID- 29793985
TI - Advocating for Life Support Training of Children, Parents, Caregivers, School
Personnel, and the Public.
AB - Pediatric cardiac arrest in the out-of-hospital setting is a traumatic event for
family, friends, caregivers, classmates, and school personnel. Immediate
bystander cardiopulmonary resuscitation and the use of automatic external
defibrillators have been shown to improve survival in adults. There is some
evidence to show improved survival in children who receive immediate bystander
cardiopulmonary resuscitation. Pediatricians, in their role as advocates to
improve the health of all children, are uniquely positioned to strongly encourage
the training of children, parents, caregivers, school personnel, and the lay
public in the provision of basic life support, including pediatric basic life
support, as well as the appropriate use of automated external defibrillators.
PMID- 29793986
TI - Outpatient Antibiotic Use and the Need for Increased Antibiotic Stewardship
Efforts.
AB - Antibiotic-resistant infections pose a growing threat to public health.
Antibiotic use, regardless of whether it is warranted, is a primary factor in the
development of resistance. In the United States, the majority of antibiotic
health care expenditures are due to prescribing in outpatient settings. Much of
this prescribing is inappropriate, with research showing that at least 30% of
antibiotic use in outpatient settings is unnecessary. In this State of the Art
Review article, we provide an overview of the latest research on outpatient
antibiotic prescribing practices in the United States. Although many of the
researchers in these studies describe antibiotic prescribing across all patient
age groups, we highlight prescribing in pediatric populations when data are
available. We then describe the various factors that can influence a physician's
prescribing decisions and drive inappropriate antibiotic use and the potential
role of behavioral science in enhancing stewardship interventions to address
these drivers. Finally, we highlight the role that a wide range of health care
stakeholders can play in aiding the expansion of outpatient stewardship efforts
that are needed to fully address the threat of antibiotic resistance.
PMID- 29793987
TI - Advocating for Life Support Training of Children, Parents, Caregivers, School
Personnel, and the Public.
AB - Out-of-hospital cardiac arrest occurs frequently among people of all ages,
including more than 6000 children annually. Pediatric cardiac arrest in the out
of-hospital setting is a stressful event for family, friends, caregivers,
classmates, school personnel, and witnesses. Immediate bystander cardiopulmonary
resuscitation and the use of automated external defibrillators are associated
with improved survival in adults. There is some evidence in which improved
survival in children who receive immediate bystander cardiopulmonary
resuscitation is shown. Pediatricians, in their role as advocates to improve the
health of all children, are uniquely positioned to strongly encourage the
training of children, parents, caregivers, school personnel, and the lay public
in the provision of basic life support, including pediatric basic life support,
as well as the appropriate use of automated external defibrillators.
PMID- 29793989
TI - Myocardial Bridge and the Progression of Atherosclerotic Plaque in the Proximal
Segment.
PMID- 29793988
TI - Telomerase: Location, Location, Location?
PMID- 29793990
TI - Proteases, Protease-Activated Receptors, and Atherosclerosis.
PMID- 29793991
TI - Smooth Muscle Cells Move With Mitochondria.
PMID- 29793993
TI - Letter by Rus et al Regarding Article, "RGC-32 (Response Gene to Complement 32)
Deficiency Protects Endothelial Cells From Inflammation and Attenuates
Atherosclerosis".
PMID- 29793995
TI - Letter by Ramirez and Hibbert Regarding Article, "Consideration of Sex
Differences in Design and Reporting of Experimental Arterial Pathology Studies: A
Statement From the Arteriosclerosis, Thrombosis, and Vascular Biology Council".
PMID- 29793992
TI - Thrombotic Regulation From the Endothelial Cell Perspectives.
PMID- 29793996
TI - Response by Daugherty et al to Letter Regarding Article, "Consideration of Sex
Differences in Design and Reporting of Experimental Arterial Pathology Studies: A
Statement From the Arteriosclerosis, Thrombosis, and Vascular Biology Council".
PMID- 29793994
TI - Response by Cui et al to Letter Regarding Article, "RGC-32 (Response Gene to
Complement 32) Deficiency Protects Endothelial Cells From Inflammation and
Attenuates Atherosclerosis".
PMID- 29793997
TI - Antidepressant utilisation and incidence of weight gain during 10 years' follow
up: population based cohort study.
AB - OBJECTIVE: To evaluate the long term association between antidepressant
prescribing and body weight. DESIGN: Population based cohort study. SETTING:
General practices contributing to the UK Clinical Practice Research Datalink,
2004-14. PARTICIPANTS: 136 762 men and 157 957 women with three or more records
for body mass index (BMI). MAIN OUTCOME MEASURES: The main outcomes were
antidepressant prescribing, incidence of >=5% increase in body weight, and
transition to overweight or obesity. Adjusted rate ratios were estimated from a
Poisson model adjusting for age, sex, depression recording, comorbidity,
coprescribing of antiepileptics or antipsychotics, deprivation, smoking, and
advice on diet. RESULTS: In the year of study entry, 17 803 (13.0%) men and 35
307 (22.4%) women with a mean age of 51.5 years (SD 16.6 years) were prescribed
antidepressants. During 1 836 452 person years of follow-up, the incidence of new
episodes of >=5 weight gain in participants not prescribed antidepressants was
8.1 per 100 person years and in participants prescribed antidepressants was 11.2
per 100 person years (adjusted rate ratio 1.21, 95% confidence interval 1.19 to
1.22, P<0.001). The risk of weight gain remained increased during at least six
years of follow-up. In the second year of treatment the number of participants
treated with antidepressants for one year for one additional episode of >=5%
weight gain was 27 (95% confidence interval 25 to 29). In people who were
initially of normal weight, the adjusted rate ratio for transition to overweight
or obesity was 1.29 (1.25 to 1.34); in people who were initially overweight, the
adjusted rate ratio for transition to obesity was 1.29 (1.25 to 1.33).
Associations may not be causal, and residual confounding might contribute to
overestimation of associations. CONCLUSION: Widespread utilisation of
antidepressants may be contributing to long term increased risk of weight gain at
population level. The potential for weight gain should be considered when
antidepressant treatment is indicated.
PMID- 29793998
TI - UK government vows to adopt stringent WHO clean air targets.
PMID- 29793999
TI - The Transplantation of omega3 PUFA-Altered Gut Microbiota of fat-1 Mice to Wild
Type Littermates Prevents Obesity and Associated Metabolic Disorders.
AB - Altering the gut microbiome may be beneficial to the host and recently arose as a
promising strategy to manage obesity. Here, we investigated the relative
contribution of omega3 polyunsaturated fatty acid (PUFA)-mediated alterations in
the microbiota to metabolic parameter changes in mice. Four groups were compared:
male fat-1 transgenic mice (with constitutive production of omega3 PUFAs) and
male wild-type (WT) littermates fed an obesogenic (high fat/high sucrose [HFHS])
or a control diet. Unlike WT mice, HFHS-fed fat-1 mice were protected against
obesity, glucose intolerance, and hepatic steatosis. Unlike WT mice, fat-1 mice
maintained a normal barrier function, resulting in a significantly lower
metabolic endotoxemia. The fat-1 mice displayed greater phylogenic diversity in
the cecum, and fecal microbiota transplantation from fat-1 to WT mice was able to
reverse weight gain and to normalize glucose tolerance and intestinal
permeability. We concluded that the omega3 PUFA-mediated alteration of gut
microbiota contributed to the prevention of metabolic syndrome in fat-1 mice. It
occurred independently of changes in the PUFA content of host tissues and may
represent a promising strategy to prevent metabolic disease and preserve a lean
phenotype.
PMID- 29794002
TI - Dyslipidaemia and cardiovascular risk.
PMID- 29794001
TI - Skin specialist is suspended for six months after secretly recording colleague's
consultations.
PMID- 29794003
TI - Atopic eczema and cardiovascular disease.
PMID- 29794000
TI - Observe Before You Leap: Why Observation Provides Critical Insights for Formative
Research and Intervention Design That You'll Never Get From Focus Groups,
Interviews, or KAP Surveys.
AB - Formative research is essential to designing both study instruments and
interventions in global health. While formative research may employ many
qualitative methods, focus group discussions and in-depth interviews are the most
common. Observation is less common but can generate insights unlikely to emerge
from any other method. This article presents 4 case studies in which observation
revealed critical insights: corralling domestic poultry to reduce childhood
diarrhea, promoting insecticide-treated bed nets (ITNs) to prevent malaria,
evaluating skilled birth attendant competency to manage life-threatening
obstetric and neonatal complications, and assessing community health worker (CHW)
ability to use malaria rapid diagnostic tests (RDTs). Observation of Zambian CHWs
to design malaria RDT training materials revealed a need for training on how to
take finger-stick blood samples, a procedure second nature to many health workers
but one that few CHWs had ever performed. In Lima, Peru, study participants
reported keeping their birds corralled "all the time," but observers frequently
found them loose, a difference potentially explained by an alternative
interpretation of the phrase "all the time" to mean "all the time (except at some
specific seemingly obvious times)." In the Peruvian Amazon, observation revealed
a potential limitation of bed net efficacy due to the built environment: In
houses constructed on stilts, many people sleep directly on the floor, allowing
mosquitoes to bite from below through gaps in the floorboards. Observation forms
and checklists from each case study are included as supplemental files; these may
serve as models for designing new observation guides. The case studies illustrate
the value of observation to clearly understanding clinical practices and skills,
details about how people carry out certain tasks, routine behaviors people would
most likely not think to describe in an interview, and environmental barriers
that must be overcome if an intervention is to succeed. Observation provides a
way to triangulate for social desirability bias and to measure details that
interview or focus group participants are unlikely to recognize, remember, or be
able to describe with precision.
PMID- 29794004
TI - Role of the light source position in freely falling hoverflies' stabilization
performances.
AB - The stabilization of plummeting hoverflies was filmed and analysed in terms of
their wingbeat initiation times as well as the crash and stabilization rates. The
flies experienced near-weightlessness for a period of time that depended on their
ability to counteract the free fall by triggering their wingbeats. In this
paradigm, hoverflies' flight stabilization strategies were investigated here for
the first time under two different positions of the light source (overhead and
bottom lighting). The crash rates were higher in bottom lighting conditions than
with top lighting. In addition, adding a texture to the walls reduced the crash
rates only in the overhead lighting condition. The position of the lighting also
significantly affected both the stabilization rates and the time taken by the
flies to stabilize, which decreased and increased under bottom lighting
conditions, respectively, whereas textured walls increased the stabilization
rates under both lighting conditions. These results support the idea that flies
may mainly base their flight control strategy on visual cues and particularly
that the light distribution in the visual field may provide reliable, efficient
cues for estimating their orientation with respect to an allocentric reference
frame. In addition, the finding that the hoverflies' optic flow-based motion
detection ability is affected by the position of the light source in their visual
field suggests the occurrence of interactions between movement perception and
this visual vertical perception process.
PMID- 29794005
TI - Non-uniform evolutionary response of gecko eye size to changes in diel activity
patterns.
AB - Geckos feature a large range of eye sizes, but what drives this phenotypic
diversity is currently unknown. Earlier studies point towards diel activity
patterns (DAPs) and locomotory mode, but phylogenetic comparative studies in
support of the proposed adaptive mode of eye evolution are lacking. Here, we test
the hypothesis of DAPs as the driver of eye size evolution with a dataset on 99
species of gecko. Results from phylogenetic generalized least-square analysis
(PGLS) and multivariate model-fitting reveal smaller eyes in diurnal geckos
consistent with different phenotypic optima. However, Bayesian analyses of
selective regime shifts demonstrate that only two of nine transitions from
nocturnal to diurnal activity are coupled with decreases in eye size, and two
other regime shifts are not associated with DAP transitions. This non-uniform
evolutionary response suggests that eye size is not the only functionally
relevant variable. Evolutionary adaptations may therefore include different
combinations of several traits (e.g. photoreceptors), all with the same
functional outcome. Our results further demonstrate that DAP only partially
explains eye size diversity in geckos. As open habitats favour the evolution of
large eyes while obstructed habitats favour small eyes, the degree of habitat
clutter emerges as another potential axis of eye diversification.
PMID- 29794006
TI - The origin of the bird's beak: new insights from dinosaur incubation periods.
AB - The toothless beak of modern birds was considered as an adaption for feeding
ecology; however, several recent studies suggested that developmental factors are
also responsible for the toothless beak. Neontological and palaeontological
studies have progressively uncovered how birds evolved toothless beaks and
suggested that the multiple occurrences of complete edentulism in non-avian
dinosaurs were the result of selection for specialized diets. Although
developmental biology and ecological factors are not mutually exclusive, the
conventional hypothesis that ecological factors account for the toothless beak
appears insufficient. A recent study on dinosaur incubation period using
embryonic teeth posited that tooth formation rate limits developmental speed,
constraining toothed dinosaur incubation to slow reptilian rates. We suggest that
selection for tooth loss was a side effect of selection for fast embryo growth
and thus shorter incubation. This observation would also explain the multiple
occurrences of tooth loss and beaks in non-avian dinosaur taxa crownward of
Tyrannosaurus Whereas our hypothesis is an observation without any experimental
supports, more studies of gene regulation of tooth formation in embryos would
allow testing for the trade-off between incubation period and tooth development.
PMID- 29794008
TI - Evidence for contrasting roles for prolactin in eusocial naked mole-rats,
Heterocephalus glaber and Damaraland mole-rats, Fukomys damarensis.
AB - Elevated prolactin (PRL) has been associated with the expression of social and
cooperative behaviours in a number of vertebrate species, as well as suppression
of reproduction. As social mole-rats exhibit both of these traits, PRL is a prime
candidate in mediating their social phenotype. While naked and Damaraland mole
rats (NMRs and DMRs) have evolved eusociality independently within their family,
both species exhibit an extreme skew in lifetime reproductive success, with
breeding restricted to a single female and one or two males. Non-breeding NMRs of
both sexes are physiologically inhibited from reproducing, while in DMRs only the
non-breeding females are physiologically suppressed. Newly emerging work has
implicated the dopamine system and PRL as a component in socially induced
reproductive suppression and eusociality in NMR, but the DMR remains unstudied in
this context. To investigate evolutionary convergence in the role of PRL in
shaping African mole-rat eusociality, we determined plasma PRL concentrations in
breeders and non-breeders of both sexes, comparing DMRs with NMRs. Among samples
from non-breeding NMRs 80% had detectable plasma PRL concentrations. As a
benchmark, these often (37%) exceeding those considered clinically
hyperprolactinaemic (25 ng ml-1) in humans: mean +/- s.e.m.: 34.81 +/- 5.87 ngml
1; range 0.00-330.30 ng ml-1 Conversely, 85% of non-breeding DMR samples had
undetectable values and none had concentrations above 25 ng ml-1: 0.71 +/- 0.38
ng ml-1; 0.00-23.87 ngml-1 Breeders in both species had the expected variance in
plasma PRL concentrations as part of normal reproductive function, with lactating
queens having significantly higher values. These results suggest that while
elevated PRL in non-breeders is implicated in NMR eusociality, this may not be
the case in DMRs, and suggests a lack of evolutionary convergence in the
proximate control of the social phenotype in these mole-rats.
PMID- 29794007
TI - Simultaneous radiation of bird and mammal lice following the K-Pg boundary.
AB - The diversification of parasite groups often occurs at the same time as the
diversification of their hosts. However, most studies demonstrating this
concordance only examine single host-parasite groups. Multiple diverse lineages
of ectoparasitic lice occur across both birds and mammals. Here, we describe the
evolutionary history of lice based on analyses of 1107 single-copy orthologous
genes from sequenced genomes of 46 species of lice. We identify three major
diverse groups of lice: one exclusively on mammals, one almost exclusively on
birds and one on both birds and mammals. Each of these groups radiated just after
the Cretaceous-Paleogene (K-Pg) boundary, the time of the mass extinction event
of the dinosaurs and rapid diversification of most of the modern lineages of
birds and mammals.
PMID- 29794009
TI - Spatial spread of Wolbachia in Rhagoletis cerasi populations.
AB - The bacterial endosymbiont Wolbachia has been used to control insect pests owing
to its ability to manipulate their life history and suppress infectious diseases.
Therefore, knowledge on Wolbachia dynamics in natural populations is fundamental.
The European cherry fruit fly, Rhagoletis cerasi, is infected with the Wolbachia
strain wCer2, mainly present in southern and central European populations, and is
currently spreading into wCer2-uninfected populations driven by high
unidirectional cytoplasmic incompatibility. Here, we describe the distribution of
wCer2 along two transition zones where the infection is spreading into wCer2
uninfected R. cerasi populations. Fine-scale sampling of 19 populations in the
Czech Republic showed a smooth decrease of wCer2 frequency from south to north
within a distance of less than 20 km. Sampling of 12 Hungarian populations,
however, showed a sharp decline of wCer2 infection frequency within a few
kilometres. We fitted a standard wave equation to our empirical data and
estimated a Wolbachia wave speed of 1.9 km yr-1 in the Czech Republic and 1.0 km
yr-1 in Hungary. Considering the univoltine life cycle and limited dispersal
ability of R. cerasi, our study highlights a rapid Wolbachia spread in natural
host populations.
PMID- 29794010
TI - Neuromyelitis optica spectrum disorder presenting as rhomboencephalitis.
AB - Rhomboencephalitis, at least in its acute phase, is often a severely disabling
syndrome, and can be life threatening. A range of underlying conditions can lead
to this clinical syndrome. Rapid diagnosis to initiate treatment early is key to
a beneficial outcome. We report the case of a 22 year old Afro-Caribbean woman,
who presented with a two -week history of walking difficulties, upper limb
incoordination and slurred speech. Her brainstem function deteriorated at pace,
and she developed hypersomnia. A broad diagnostic approach led to prophylactic
treatment for the most common infectious causes. This did not improve her
symptoms. Non-infectious inflammatory causes were therefore considered and plasma
exchange treatment was initiated leading to marked improvement within days.
Screening for autoimmune conditions confirmed aquaporin-4 positive neuromyelitis
optica spectrum disorder (NMOSD) as the underlying cause. Immunotherapy with
rituximab was started. So far, no relapse has been observed. While the definition
of NMOSD continues to be refined, aquaporin-4 testing should be considered early
in patients presenting with rhomboencephalitis who do not respond to antibiotic
and antiviral treatment. Vigilance and early intervention are key to limit
morbidity and mortality from NMOSD.
PMID- 29794011
TI - Spontaneous acalculous gallbladder perforation post-cardiac transplantation.
AB - Spontaneous acalculous gallbladder perforation is a rare radiological and
clinical phenomenon with life-threatening consequences. In the setting of recent
cardiac transplantation, the condition is increasingly uncommon and difficult to
diagnose preoperatively. We describe a case of spontaneous acalculous gallbladder
perforation in an intensive care unit (ICU) patient, most likely due to a
combination of cardiac transplantation and immunosuppression. There are no such
documented cases in the literature with an established preoperative diagnosis, to
the best of our knowledge. Abdominal CT and targeted ultrasound proved
complimentary in establishing the diagnosis, facilitating successful and timely
treatment with urgent cholecystectomy.
PMID- 29794012
TI - Stuck guidewire due to soft tissue imposition: a rare complication of central
line catheter placement.
AB - Central venous catheter (CVC) placement is a commonly done procedure but is
associated with a few complications, and guidewire-related complications are one
of them. In our case after induction of general anaesthesia, we planned to insert
a CVC in the right internal jugular vein under ultrasound guidance. After the
insertion of the introducer needle, when we tried to insert the guidewire, it got
stuck and was neither moving forward nor in a backward direction. Too much force
was not applied to remove the guidewire as it might have caused shearing of the
guidewire and further complicated the picture. This problem was solved by
simultaneous withdrawal of guidewire along with the needle, and on examination we
found soft tissue debris lodged within the lumen which was preventing the
guidewire movement in both directions. So, it is suggested that guidewire should
be removed along with needle as a single unit if it is required.
PMID- 29794013
TI - Transcription Factor NFAT5 Promotes Migration and Invasion of Rheumatoid
Synoviocytes via Coagulation Factor III and CCL2.
AB - Fibroblast-like synoviocytes (FLSs) play a key role in the progression of
rheumatoid arthritis (RA) as a primary component of invasive hypertrophied
pannus. FLSs of RA patients (RA-FLSs) exhibit cancer-like features, including
promigratory and proinvasive activities that largely contribute to joint
cartilage and bone destruction. In this study, we hypothesized that the NF of
activated T cell 5 (NFAT5), a transcription factor involving tumor invasiveness,
would control the migration and invasion of RA-FLSs. Analyses of transcriptomes
demonstrated the significant involvement of NFAT5 in locomotion of RA-FLSs and
that tissue factor (TF; also known as coagulation factor III) and CCL2 were the
major downstream target genes of NFAT5 involving FLS migration and invasion. In
cultured RA-FLSs, IL-1beta and TGF-beta increased TF and CCL2 expression by
upregulating NFAT5 expression via p38 MAPK. Functional assays demonstrated that
NFAT5- or TF-deficient RA-FLSs displayed decreased lamellipodia formation, cell
migration, and invasion under IL-1beta- or TGF-beta-stimulated conditions.
Conversely, factor VIIa, a specific activator of TF, increased migration of RA
FLSs, which was blocked by NFAT5 knockdown. Recombinant CCL2 partially restored
the decrease in migration and invasion of NFAT5-deficient RA-FLSs stimulated with
IL-1beta. NFAT5-knockout mouse FLSs also showed decreased expressions of TF and
CCL2 and reduced cell migration. Moreover, KRN2, a specific inhibitor of NFAT5,
suppressed migration of FLSs stimulated with TGF-beta. Conclusively, to our
knowledge, this is the first study to provide evidence of a functional link
between osmoprotective NFAT5 and TF in the migration and invasion of RA-FLSs and
supports a role for NFAT5 blockade in the treatment of RA.
PMID- 29794015
TI - Innate Recognition of the Microbiota by TLR1 Promotes Epithelial Homeostasis and
Prevents Chronic Inflammation.
AB - There is cross-talk between the intestinal epithelium and the microbiota that
functions to maintain a tightly regulated microenvironment and prevent chronic
inflammation. This communication is partly mediated through the recognition of
bacterial proteins by host-encoded innate receptors, such as TLRs. However,
studies examining the role of TLR signaling on colonic homeostasis have given
variable and conflicting results. Despite its critical role in mediating immunity
during enteric infection of the small intestine, TLR1-mediated recognition of
microbiota-derived ligands and their influence on colonic homeostasis has not
been well studied. In this study, we demonstrate that defective TLR1 recognition
of the microbiome by epithelial cells results in disruption of crypt homeostasis
specifically within the secretory cell compartment, including a defect in the
mucus layer, ectopic Paneth cells in the colon, and an increase in the number of
rapidly dividing cells at the base of the crypt. As a consequence of the
perturbed epithelial barrier, we found an increase in mucosal-associated and
translocated commensal bacteria and chronic low-grade inflammation characterized
by an increase in lineage-negative Sca1+Thy1hi innate lymphoid-like cells that
exacerbate inflammation and worsen outcomes in a model of colonic injury and
repair. Our findings demonstrate that sensing of the microbiota by TLR1 may
provide key signals that regulate the colonic epithelium, thereby limiting
inflammation through the prevention of bacterial attachment to the mucosa and
exposure to the underlying immune system.
PMID- 29794016
TI - IL-36alpha from Skin-Resident Cells Plays an Important Role in the Pathogenesis
of Imiquimod-Induced Psoriasiform Dermatitis by Forming a Local Autoamplification
Loop.
AB - IL-36alpha (gene symbol Il1f6), a member of the IL-36 family, is closely
associated with inflammatory diseases, including colitis and psoriasis. In this
study, we found that Il1f6-/- mice developed milder psoriasiform dermatitis upon
treatment with imiquimod, a ligand for TLR ligand 7 (TLR7) and TLR8, whereas
Il1f6-/- mice showed similar susceptibility to dextran sodium sulfate-induced
colitis to wild-type mice. These effects were observed in both cohoused and
separately housed conditions, and antibiotic treatment did not cancel the
resistance of Il1f6-/- mice to imiquimod-induced dermatitis. Bone marrow (BM)
cell transfer revealed that IL-36alpha expression in skin-resident cells is
important for the pathogenesis of dermatitis in these mice. Following stimulation
with IL-36alpha, the expression of Il1f6 and Il1f9 (IL-36gamma), but not Il1f8
(IL-36beta), was enhanced in murine BM-derived Langerhans cells (BMLCs) and
murine primary keratinocytes but not in fibroblasts from mice. Upon stimulation
with agonistic ligands of TLRs and C-type lectin receptors (CLRs), Il1f6
expression was induced in BMLCs and BM-derived dendritic cells. Furthermore, IL
36alpha stimulation resulted in significantly increased gene expression of
psoriasis-associated Th17-related cytokines and chemokines such as IL-1alpha, IL
1beta, IL-23, CXCL1, and CXCL2 in BMLCs and fibroblasts, and IL-1alpha, IL-1beta,
IL-17C, and CXCL2 in keratinocytes. Collectively, these results suggest that
TLR/CLR signaling-induced IL-36alpha plays an important role for the development
of psoriasiform dermatitis by enhancing Th17-related cytokine/chemokine
production in skin-resident cells via a local autoamplification loop.
PMID- 29794014
TI - PRMT1 Plays a Critical Role in Th17 Differentiation by Regulating Reciprocal
Recruitment of STAT3 and STAT5.
AB - Th17 cells are a class of Th cells that secrete IL-17 and mediate pathogenic
immunity responsible for autoimmunity including experimental autoimmune
encephalomyelitis, a murine model of multiple sclerosis. Retinoic acid-related
orphan receptor gamma t (RORgammat) is the critical transcription factor that
controls the differentiation of Th17 cells. However, little is known about the
transcriptional cofactors for RORgammat in the regulation of Th17
differentiation. In this study, we demonstrate that protein arginine N
methyltransferase 1 (PRMT1) associates with RORgammat and regulates mouse Th17
differentiation. Overexpression of PRMT1 promoted Th17 differentiation, whereas
inactivation or knockdown of PRMT1 decreased Th17 differentiation while expanding
Foxp3+ regulatory T cells. Consistently, pharmacological inhibition of PRMT1
impaired the generation of Th17 cells and prevented induction of experimental
autoimmune encephalomyelitis in mice. Mechanistically, PRMT1-dependent
modification of asymmetric histone 4 arginine 3 dimethylation is required to
stabilize the stimulatory STAT3 to displace the inhibitory STAT5 at IL-17 locus,
resulting in the activation of IL-17 gene. Furthermore, PRMT1-facilitated
recruitment of STAT3 overcame the inhibition of Th17 differentiation exerted by
IL-2-induced STAT5 activation. PRMT1 thus regulates Th17 differentiation by
controlling the reciprocal recruitment of STAT3 and STAT5. Our study thus reveals
PRMT1 as a novel target for alleviating Th17-mediated autoimmunity by decreasing
RORgammat-dependent generation of pathogenic Th17 cells.
PMID- 29794017
TI - Naturally Occurring Missense MRGPRX2 Variants Display Loss of Function Phenotype
for Mast Cell Degranulation in Response to Substance P, Hemokinin-1, Human beta
Defensin-3, and Icatibant.
AB - Human mast cells (MCs) express a novel G protein-coupled receptor (GPCR) known as
Mas-related GPCR X2 (MRGPRX2). Activation of this receptor by a diverse group of
cationic ligands such as neuropeptides, host defense peptides, and Food and Drug
Administration-approved drugs contributes to chronic inflammatory diseases and
pseudoallergic drug reactions. For most GPCRs, the extracellular (ECL) domains
and their associated transmembrane (TM) domains display the greatest structural
diversity and are responsible for binding different ligands. The goal of the
current study was to determine if naturally occurring missense variants within
MRGPRX2's ECL/TM domains contribute to gain or loss of function phenotype for MC
degranulation in response to neuropeptides (substance P and hemokinin-1), a host
defense peptide (human beta-defensin-3) and a Food and Drug Administration
approved cationic drug (bradykinin B2 receptor antagonist, icatibant). We have
identified eight missense variants within MRGPRX2's ECL/TM domains from publicly
available exome-sequencing databases. We investigated the ability of MRGPRX2
ligands to induce degranulation in rat basophilic leukemia-2H3 cells individually
expressing these naturally occurring MRGPRX2 missense variants. Using stable and
transient transfections, we found that all variants express in rat basophilic
leukemia cells. However, four natural MRGPRX2 variants, G165E (rs141744602),
D184H (rs372988289), W243R (rs150365137), and H259Y (rs140862085) failed to
respond to any of the ligands tested. Thus, diverse MRGPRX2 ligands use common
sites on the receptor to induce MC degranulation. These findings have important
clinical implications for MRGPRX2 and MC-mediated pseudoallergy and chronic
inflammatory diseases.
PMID- 29794018
TI - The Extra-Pathway Interactome of the TCA Cycle: Expected and Unexpected Metabolic
Interactions.
AB - The plant tricarboxylic acid (TCA) cycle provides essential precursors for
respiration, amino acid biosynthesis, and general nitrogen metabolism; moreover,
it is closely involved in biotic stress responses and cellular redox homeostasis.
To further understand the in vivo function of the TCA cycle enzymes, we combined
affinity purification with proteomics to generate a comprehensive extra-pathway
protein-protein interaction network of the plant TCA cycle. We identified 125
extra-pathway interactions in Arabidopsis (Arabidopsis thaliana) mostly related
to the mitochondrial electron transport complex/ATP synthesis and amino acid
metabolism but also to proteins associated with redox stress. We chose three high
scoring and two low-scoring interactions for complementary bimolecular
fluorescence complementation and yeast two-hybrid assays, which highlighted the
reliability of our approach, supported the intimate involvement of TCA cycle
enzymes within many biological processes, and reflected metabolic changes
reported previously for the corresponding mutant lines. To analyze the function
of a subset of these interactions, we selected two mutants of mitochondrial
glutaredoxin S15 and Amidase, which have not yet been analyzed with respect to
their TCA cycle function, and performed metabolite profiling and flux analysis.
Consistent with their interactions identified in this study, TCA cycle
metabolites and the relative TCA flux of the two mutants were altered
significantly.
PMID- 29794019
TI - NLR Mutations Suppressing Immune Hybrid Incompatibility and Their Effects on
Disease Resistance.
AB - Genetic divergence between populations can lead to reproductive isolation. Hybrid
incompatibilities (HI) represent intermediate points along a continuum toward
speciation. In plants, genetic variation in disease resistance (R) genes
underlies several cases of HI. The progeny of a cross between Arabidopsis
(Arabidopsis thaliana) accessions Landsberg erecta (Ler, Poland) and Kashmir2
(Kas2, central Asia) exhibits immune-related HI. This incompatibility is due to a
genetic interaction between a cluster of eight TNL (TOLL/INTERLEUKIN1 RECEPTOR
NUCLEOTIDE BINDING-LEU RICH REPEAT) RPP1 (RECOGNITION OF PERONOSPORA PARASITICA1)
like genes (R1-R8) from Ler and central Asian alleles of a Strubbelig-family
receptor-like kinase (SRF3) from Kas2. In characterizing mutants altered in
Ler/Kas2 HI, we mapped multiple mutations to the RPP1-like Ler locus. Analysis of
these suppressor of Ler/Kas2 incompatibility (sulki) mutants reveals complex,
additive and epistatic interactions underlying RPP1-like Ler locus activity. The
effects of these mutations were measured on basal defense, global gene
expression, primary metabolism, and disease resistance to a local
Hyaloperonospora arabidopsidis isolate (Hpa Gw) collected from Gorzow (Gw), where
the Landsberg accession originated. Gene expression sectors and metabolic
hallmarks identified for HI are both dependent and independent of RPP1-like Ler
members. We establish that mutations suppressing immune-related Ler/Kas2 HI do
not compromise resistance to Hpa Gw. QTL mapping analysis of Hpa Gw resistance
point to RPP7 as the causal locus. This work provides insight into the complex
genetic architecture of the RPP1-like Ler locus and immune-related HI in
Arabidopsis and into the contributions of RPP1-like genes to HI and defense.
PMID- 29794021
TI - Glycolate Induces Redox Tuning Of Photosystem II in Vivo: Study of a
Photorespiration Mutant.
AB - Bicarbonate removal from the nonheme iron at the acceptor side of photosystem II
(PSII) was shown recently to shift the midpoint potential of the primary quinone
acceptor QA to a more positive potential and lowers the yield of singlet oxygen
(1O2) production. The presence of QA- results in weaker binding of bicarbonate,
suggesting a redox-based regulatory and protective mechanism where loss of
bicarbonate or exchange of bicarbonate by other small carboxylic acids may
protect PSII against 1O2 in vivo under photorespiratory conditions. Here, we
compared the properties of QA in the Arabidopsis (Arabidopsis thaliana)
photorespiration mutant deficient in peroxisomal HYDROXYPYRUVATE REDUCTASE1 (hpr1
1), which accumulates glycolate in leaves, with the wild type. Photosynthetic
electron transport was affected in the mutant, and chlorophyll fluorescence
showed slower electron transport between QA and QB in the mutant. Glycolate
induced an increase in the temperature maximum of thermoluminescence emission,
indicating a shift of the midpoint potential of QA to a more positive value. The
yield of 1O2 production was lowered in thylakoid membranes isolated from hpr1-1
compared with the wild type, consistent with a higher potential of QA/QA- In
addition, electron donation to photosystem I was affected in hpr1-1 at higher
light intensities, consistent with diminished electron transfer out of PSII. This
study indicates that replacement of bicarbonate at the nonheme iron by a small
carboxylate anion occurs in plants in vivo. These findings suggested that
replacement of the bicarbonate on the nonheme iron by glycolate may represent a
regulatory mechanism that protects PSII against photooxidative stress under low
CO2 conditions.
PMID- 29794022
TI - YAP Controls Endothelial Activation and Vascular Inflammation Through TRAF6.
AB - RATIONALE: Microvascular inflammation and endothelial dysfunction secondary to
unchecked activation of endothelium play a critical role in the pathophysiology
of sepsis and organ failure. The intrinsic signaling mechanisms responsible for
dampening excessive activation of endothelial cells are not completely
understood. OBJECTIVE: To determine the central role of YAP (Yes-associated
protein), the major transcriptional coactivator of the Hippo pathway, in
modulating the strength and magnitude of endothelial activation and vascular
inflammation. METHODS AND RESULTS: Endothelial-specific YAP knockout mice showed
increased basal expression of E-selectin and ICAM (intercellular adhesion
molecule)-1 in endothelial cells, a greater number of adherent neutrophils in
postcapillary venules and increased neutrophil counts in bronchoalveolar lavage
fluid. Lipopolysaccharide challenge of these mice augmented NF-kappaB (nuclear
factor-kappaB) activation, expression of endothelial adhesion proteins,
neutrophil and monocyte adhesion to cremaster muscle venules, transendothelial
neutrophil migration, and lung inflammatory injury. Deletion of YAP in
endothelial cells also markedly augmented the inflammatory response and
cardiovascular dysfunction in a polymicrobial sepsis model induced by cecal
ligation and puncture. YAP functioned by interacting with the E3 ubiquitin
protein ligase TLR (Toll-like receptor) signaling adaptor TRAF6 (tumor necrosis
factor receptor-associated factor 6) to ubiquitinate TRAF6, and thus promoted
TRAF6 degradation and modification resulting in inhibition of NF-kappaB
activation. TRAF6 depletion in endothelial cells rescued the augmented
inflammatory phenotype in mice with endothelial cell-specific deletion of YAP.
CONCLUSIONS: YAP modulates the activation of endothelial cells and suppresses
vascular inflammation through preventing TRAF6-mediated NF-kappaB activation and
is hence essential for limiting the severity of sepsis-induced inflammation and
organ failure.
PMID- 29794025
TI - Trump's proposals would restrict US abortions and deny sexual health services for
millions.
PMID- 29794024
TI - Reproductive performance of male mice after hypothalamic ghrelin administration.
AB - It has been demonstrated that food intake and reproductive physiology are both
simultaneously modulated to optimize reproductive success under fluctuating
metabolic conditions. Ghrelin (GHRL) is an orexigenic peptide identified as the
endogenous ligand of the growth hormone secretagogue receptor that is being
investigated for its potential role on reproduction. Considering that data
available so far are still limited and characterization of GHRL action mechanism
on the reproductive system has not been fully elucidated, we studied the
participation of hypothalamus in GHRL effects on sperm functional activity,
plasma levels of gonadotropins and histological morphology in mice testes after
hypothalamic infusion of 0.3 or 3.0 nmol/day GHRL or artificial cerebrospinal
fluid (ACSF) at different treatment periods. We found that GHRL 3.0 nmol/day
administration for 42 days significantly reduced sperm concentration (GHRL 3.0
nmol/day = 14.05 +/- 2.44 * 106/mL vs ACSF = 20.33 +/- 1.35 * 106/mL, P < 0.05)
and motility (GHRL 3.0 nmol/day = 59.40 +/- 4.20% vs ACSF = 75.80 +/- 1.40%, P <
0.05). In addition, histological studies showed a significant decrease percentage
of spermatogonia (GHRL 3.0 nmol/day = 6.76 +/- 0.68% vs ACSF = 9.56 +/- 0.41%, P
< 0.05) and sperm (GHRL 3.0 nmol/day = 24.24 +/- 1.92% vs ACSF = 31.20 +/- 3.06%,
P < 0.05). These results were associated with a significant reduction in
luteinizing hormone and testosterone plasma levels (P < 0.05). As GHRL is an
orexigenic peptide, body weight and food intake were measured. Results showed
that GHRL increases both parameters; however, the effect did not last beyond the
first week of treatment. Results presented in this work confirm that central GHRL
administration impairs spermatogenesis and suggest that this effect is mediated
by inhibition of hypothalamic-pituitary-gonadal axis.
PMID- 29794020
TI - Decoys Untangle Complicated Redundancy and Reveal Targets of Circadian Clock F
Box Proteins.
AB - Eukaryotic circadian clocks utilize the ubiquitin proteasome system to precisely
degrade clock proteins. In plants, the F-box-type E3 ubiquitin ligases ZEITLUPE
(ZTL), FLAVIN-BINDING, KELCH REPEAT, F-BOX1 (FKF1), and LOV KELCH PROTEIN2 (LKP2)
regulate clock period and couple the clock to photoperiodic flowering in response
to end-of-day light conditions. To better understand their functions, we
expressed decoy ZTL, FKF1, and LKP2 proteins that associate with target proteins
but are unable to ubiquitylate their targets in Arabidopsis (Arabidopsis
thaliana). These dominant-negative forms of the proteins inhibit the
ubiquitylation of target proteins and allow for the study of ubiquitylation
independent and -dependent functions of ZTL, FKF1, and LKP2. We demonstrate the
effects of expressing ZTL, FKF1, and LKP2 decoys on the circadian clock and
flowering time. Furthermore, the decoy E3 ligases trap substrate interactions,
and using immunoprecipitation-mass spectrometry, we identify interacting
partners. We focus studies on the clock transcription factor CCA1 HIKING
EXPEDITION (CHE) and show that ZTL interacts directly with CHE and can mediate
CHE ubiquitylation. We also demonstrate that CHE protein is degraded in the dark
and that degradation is reduced in a ztl mutant plant, showing that CHE is a bona
fide ZTL target protein. This work increases our understanding of the genetic and
biochemical roles for ZTL, FKF1, and LKP2 and also demonstrates an effective
methodology for studying complicated genetic redundancy among E3 ubiquitin
ligases.
PMID- 29794023
TI - Regulation of the porcine corpus luteum during pregnancy.
AB - The new corpora lutea (CLs) in pigs are formed from the preovulatory follicles
after the luteinizing hormone (LH) surge. However, total autonomy and
independence of CLs from LH up to Day 12 of cycle has recently been questioned.
Transformation of estrous cycle CL to CL of pregnancy initiated by embryonic
signals requires not only the cessation of prostaglandin F2 (PGF2alpha) supply to
the luteal tissue but also needs the CL to overcome luteolytic acquisition and/or
changing its sensitivity to PGF2alpha during Days 12-14 of pregnancy. The
luteolytic cascade is prevented by inhibition of lymphocyte infiltration and
leucocyte recruitment, limitation of cell apoptosis, upregulation of pregnancy
associated genes and an enhanced antiluteolytic role of PGE2 Our 'two-signal
switch hypothesis' highlights the importance of post PGF2alpha and PGE2 receptor
signaling pathways activation in CLs during luteolysis and rescue. The
'luteolytic switch' involves increased expression of many regression mediators
and activation of the post PTGFR signaling pathway. The 'rescue switch' initiated
by embryonic signals - estradiol 17beta and PGE2 - induces post PTGER2/4 pathway,
turning the 'luteolytic switch' off and triggering activity of genes responsible
for CL maintenance. In mid and late pregnancy, CLs are maintained by LH and the
synergistic action of metabolic hormones. This paper provides an outline of
recent views on CL regression, rescue and maintenance during pregnancy in pigs
that conflict with previous paradigms and highlights new findings regarding the
actions of prostaglandins, role of microRNAs (miRNA) and immune system and
signaling pathways governing the life cycle of porcine CL.
PMID- 29794026
TI - Protein kinase Calpha drives fibroblast activation and kidney fibrosis by
stimulating autophagic flux.
AB - Kidney fibrosis is a histological hallmark of chronic kidney disease and arises
in large part through extracellular matrix deposition by activated fibroblasts.
The signaling protein complex mTOR complex 2 (mTORC2) plays a critical role in
fibroblast activation and kidney fibrosis. Protein kinase Calpha (PKCalpha) is
one of the major sub-pathways of mTORC2, but its role in fibroblast activation
and kidney fibrosis remains to be determined. Here, we found that transforming
growth factor beta1 (TGFbeta1) activates PKCalpha signaling in cultured NRK-49F
cells in a time-dependent manner. Blocking PKCalpha signaling with the chemical
inhibitor Go6976 or by transfection with PKCalpha siRNA largely reduced
expression of the autophagy-associated protein lysosomal-associated membrane
protein 2 (LAMP2) and also inhibited autophagosome-lysosome fusion and autophagic
flux in the cells. Similarly to chloroquine, Go6976 treatment and PKCalpha siRNA
transfection also markedly inhibited TGFbeta1-induced fibroblast activation. In
murine fibrotic kidneys with unilateral ureteral obstruction (UUO) nephropathy,
PKCalpha signaling is activated in the interstitial myofibroblasts. Go6976
administration largely blocked autophagic flux in fibroblasts in the fibrotic
kidneys and attenuated the UUO nephropathy. Together, our findings suggest that
blocking PKCalpha activity may retard autophagic flux and thereby prevent
fibroblast activation and kidney fibrosis.
PMID- 29794027
TI - Discovery of a new Pro-Pro endopeptidase, PPEP-2, provides mechanistic insights
into the differences in substrate specificity within the PPEP family.
AB - Pro-Pro endopeptidases (PPEPs) belong to a recently discovered family of
proteases capable of hydrolyzing a Pro-Pro bond. The first member from the
bacterial pathogen Clostridium difficile (PPEP-1) cleaves two C. difficile cell
surface proteins involved in adhesion, one of which is encoded by the gene
adjacent to the ppep-1 gene. However, related PPEPs may exist in other bacteria
and may shed light on substrate specificity in this enzyme family. Here, we
report on the homolog of PPEP-1 in Paenibacillus alvei, which we denoted PPEP-2.
We found that PPEP-2 is a secreted metalloprotease, which likewise cleaved a cell
surface protein encoded by an adjacent gene. However, the cleavage motif of PPEP
2, PLP?PVP, is distinct from that of PPEP-1 (VNP?PVP). As a result, an optimal
substrate peptide for PPEP-2 was not cleaved by PPEP-1 and vice versa. To gain
insight into the specificity mechanism of PPEP-2, we determined its crystal
structure at 1.75 A resolution and further confirmed the structure in solution
using small-angle X-ray scattering (SAXS). We show that a four-amino-acid loop,
which is distinct in PPEP-1 and -2 (GGST in PPEP-1 and SERV in PPEP-2), plays a
crucial role in substrate specificity. A PPEP-2 variant, in which the four loop
residues had been swapped for those from PPEP-1, displayed a shift in substrate
specificity toward PPEP-1 substrates. Our results provide detailed insights into
the PPEP-2 structure and the structural determinants of substrate specificity in
this new family of PPEP proteases.
PMID- 29794030
TI - What is this thing called injury prevention?
PMID- 29794028
TI - Structural basis of transcriptional regulation by CouR, a repressor of coumarate
catabolism, in Rhodopseudomonas palustris.
AB - The MarR family transcriptional regulator CouR, from the soil bacterium
Rhodopseudomonas palustris CGA009, has recently been shown to negatively regulate
a p-coumarate catabolic operon. Unlike most characterized MarR repressors that
respond to small metabolites at concentrations in the millimolar range,
repression by CouR is alleviated by the 800-Da ligand p-coumaroyl-CoA with high
affinity and specificity. Here we report the crystal structures of ligand-free
CouR as well as the complex with p-coumaroyl-CoA, each to 2.1-A resolution, and
the 2.85-A resolution cocrystal structure of CouR bound to an oligonucleotide
bearing the cognate DNA operator sequence. In combination with binding
experiments that uncover specific residues important for ligand and DNA
recognition, these structures provide glimpses of a MarR family repressor in all
possible states, providing an understanding of the molecular basis of DNA binding
and the conformation alterations that accompany ligand-induced dissociation for
activation of the operon.
PMID- 29794029
TI - Chemoproteomics of matrix metalloproteases in a model of cartilage degeneration
suggests functional biomarkers associated with posttraumatic osteoarthritis.
AB - Active matrix metalloproteases (MMPs) play a significant role in the pathogenesis
of many diseases including osteoarthritis (OA), which involves progressive
proteolytic degradation of cartilage. Clinical success of OA interventions that
target MMPs has been limited by a lack of information about the presence and
activity of specific disease-related proteases. We therefore developed a
chemoproteomics approach based on MS to characterize the release and activity of
MMPs in an in vitro model of the early inflammatory phase of posttraumatic OA
(PTOA). We designed and synthesized chemical activity-based probes (ABPs) to
identify active MMPs in bovine cartilage explants cultured for 30 days with the
proinflammatory cytokine, interleukin-1alpha. Using these probes in an activity
based protein profiling-multidimensional identification technology (ABPP-MudPIT)
approach, we identified active MMP-1, -2, -3, -7, -9, -12, and -13 in the medium
after 10 days of culture, the time at which irreversible proteolysis of the
collagen network in the explant was detected using proteolytic activation of FRET
quenched MMP substrates. Total MMP levels were quantified by shotgun proteomics,
which, taken with ABPP-MudPIT data, indicated the presence of predominantly
inactive MMPs in the culture medium. The selectivity of the ABPP-MudPIT approach
was further validated by detection of specific endogenous MMPs activated de novo
with 4-aminophenylmurcuric acetate. The utility of the new ABPP-MudPIT approach
for detecting molecular biomarkers of PTOA disease initiation and potential
targets for therapeutics motivates possible application in other diseases
involving MMP activity.
PMID- 29794031
TI - Microtubules grow by the addition of bent guanosine triphosphate tubulin to the
tips of curved protofilaments.
AB - We used electron tomography to examine microtubules (MTs) growing from pure
tubulin in vitro as well as two classes of MTs growing in cells from six species.
The tips of all these growing MTs display bent protofilaments (PFs) that curve
away from the MT axis, in contrast with previously reported MTs growing in vitro
whose tips are either blunt or sheetlike. Neither high pressure nor freezing is
responsible for the PF curvatures we see. The curvatures of PFs on growing and
shortening MTs are similar; all are most curved at their tips, suggesting that
guanosine triphosphate-tubulin in solution is bent and must straighten to be
incorporated into the MT wall. Variations in curvature suggest that PFs are
flexible in their plane of bending but rigid to bending out of that plane.
Modeling by Brownian dynamics suggests that PF straightening for MT growth can be
achieved by thermal motions, providing a simple mechanism with which to
understand tubulin polymerization.
PMID- 29794034
TI - Brexit is bad for our health.
PMID- 29794035
TI - Comparison of Acute Ischemic Stroke Care and Outcomes Between Comprehensive
Stroke Centers and Primary Stroke Centers in the United States.
AB - BACKGROUND: To improve stroke care, the Brain Attack Coalition recommended
establishing primary stroke center (PSC) and comprehensive stroke center (CSC)
certification. This study aimed to compare ischemic stroke care and in-hospital
outcomes between CSCs and PSCs. METHODS AND RESULTS: We analyzed patients with
acute ischemic stroke who were hospitalized at stroke centers participating in
Get With The Guidelines-Stroke from 2013 to 2015. Multivariable logistic
regression models were generated to examine the association between stroke center
certification (CSC versus PSC) and performances and outcomes. This study included
722 941 patients who were admitted to 134 CSCs and 1047 PSCs. Both CSCs and PSCs
had good conformity to 7 performance measures and the summary defect-free care
measure. Among emergency department admissions, CSCs had higher intravenous tPA
(tissue-type plasminogen activator) and endovascular thrombectomy rates than PSCs
(14.3% versus 10.3%, 4.1% versus 1.0%, respectively). Door to intravenous tPA
time was shorter at CSCs (median, 52 versus 61 minutes; adjusted risk ratio,
0.92; 95% confidence interval, 0.89-0.95). More patients at CSCs had door to
intravenous tPA time <=60 minutes (79.7% versus 65.1%; adjusted odds ratio, 1.48;
95% confidence interval, 1.25-1.75). For transferred patients, CSCs and PSCs had
comparable overall performance in defect-free care, except higher endovascular
thrombectomy therapy rates. The overall in-hospital mortality was higher at CSCs
in both emergency department admissions (4.6% versus 3.8%; adjusted odds ratio,
1.14; 95% confidence interval, 1.01-1.29) and transferred patients (7.7% versus
6.8%; adjusted odds ratio, 1.17; 95% confidence interval, 1.05-1.32). In-hospital
outcomes were comparable between CSCs and PSCs in patients who received
intravenous tPA or endovascular thrombectomy. CONCLUSIONS: CSCs and PSCs achieved
similar overall care quality for patients with acute ischemic stroke. CSCs
exceeded PSCs in timely acute reperfusion therapy for emergency department
admissions, whereas PSCs had lower risk-adjusted in-hospital mortality. This
information may be important for acute stroke triage and targeted quality
improvement.
PMID- 29794033
TI - Prediction of response to drug therapy in psychiatric disorders.
AB - Personalized medicine has become increasingly relevant to many medical fields,
promising more efficient drug therapies and earlier intervention. The development
of personalized medicine is coupled with the identification of biomarkers and
classification algorithms that help predict the responses of different patients
to different drugs. In the last 10 years, the Food and Drug Administration (FDA)
has approved several genetically pre-screened drugs labelled as pharmacogenomics
in the fields of oncology, pulmonary medicine, gastroenterology, haematology,
neurology, rheumatology and even psychiatry. Clinicians have long cautioned that
what may appear to be similar patient-reported symptoms may actually arise from
different biological causes. With growing populations being diagnosed with
different psychiatric conditions, it is critical for scientists and clinicians to
develop precision medication tailored to individual conditions. Genome-wide
association studies have highlighted the complicated nature of psychiatric
disorders such as schizophrenia, bipolar disorder, major depression and autism
spectrum disorder. Following these studies, association studies are needed to
look for genomic markers of responsiveness to available drugs of individual
patients within the population of a specific disorder. In addition to GWAS, the
advent of new technologies such as brain imaging, cell reprogramming, sequencing
and gene editing has given us the opportunity to look for more biomarkers that
characterize a therapeutic response to a drug and to use all these biomarkers for
determining treatment options. In this review, we discuss studies that were
performed to find biomarkers of responsiveness to different available drugs for
four brain disorders: bipolar disorder, schizophrenia, major depression and
autism spectrum disorder. We provide recommendations for using an integrated
method that will use available techniques for a better prediction of the most
suitable drug.
PMID- 29794036
TI - Deep phenotyping in zebrafish reveals genetic and diet-induced adiposity changes
that may inform disease risk.
AB - The regional distribution of adipose tissues is implicated in a wide range of
diseases. For example, proportional increases in visceral adipose tissue increase
the risk for insulin resistance, diabetes, and CVD. Zebrafish offer a tractable
model system by which to obtain unbiased and quantitative phenotypic information
on regional adiposity, and deep phenotyping can explore complex disease-related
adiposity traits. To facilitate deep phenotyping of zebrafish adiposity traits,
we used pairwise correlations between 67 adiposity traits to generate stage
specific adiposity profiles that describe changing adiposity patterns and
relationships during growth. Linear discriminant analysis classified individual
fish according to an adiposity profile with 87.5% accuracy. Deep phenotyping of
eight previously uncharacterized zebrafish mutants identified neuropilin 2b as a
novel gene that alters adipose distribution. When we applied deep phenotyping to
identify changes in adiposity during diet manipulations, zebrafish that underwent
food restriction and refeeding had widespread adiposity changes when compared
with continuously fed, equivalently sized control animals. In particular,
internal adipose tissues (e.g., visceral adipose) exhibited a reduced capacity to
replenish lipid following food restriction. Together, these results in zebrafish
establish a new deep phenotyping technique as an unbiased and quantitative method
to help uncover new relationships between genotype, diet, and adiposity.
PMID- 29794032
TI - Sequence analysis and structure prediction of ABHD16A and the roles of the ABHD
family members in human disease.
AB - Abhydrolase domain containing 16A (ABHD16A) is a member of the alpha/beta
hydrolase domain-containing (ABHD) protein family and is expressed in a variety
of animal cells. Studies have shown that ABHD16A has acylglycerol lipase and
phosphatidylserine lipase activities. Its gene location in the main
histocompatibility complex (MHC) III gene cluster suggests that this protein may
participate in the immunomodulation of the body. The results of studies
investigating nearly 20 species of ABHDs reveal that the ABHD proteins are key
factors in metabolic regulation and disease occurrence and development. In this
paper, we summarize the related progress regarding the function of ABHD16A and
other ABHD proteins. A prediction of the active sites and structural domains of
ABHD16A and an analysis of the amino acid sites are included. Moreover, we
analysed the amino acid sequences of the ABHD16A molecules in different species
and provide an overview of the related functions and diseases associated with
these proteins. The functions and diseases related to ABHD are systematically
summarized and highlighted. Future research directions for studies investigating
the functions and mechanisms of these proteins are also suggested. Further
studies investigating the function of ABHD proteins may further confirm their
positions as important determinants of lipid metabolism and related diseases.
PMID- 29794037
TI - Sphingolipid changes do not underlie fatty acid-evoked GLUT4 insulin resistance
nor inflammation signals in muscle cells.
AB - Ceramides contribute to obesity-linked insulin resistance and inflammation in
vivo, but whether this is a cell-autonomous phenomenon is debated, particularly
in muscle, which dictates whole-body glucose uptake. We comprehensively analyzed
lipid species produced in response to fatty acids and examined the consequence to
insulin resistance and pro-inflammatory pathways. L6 myotubes were incubated with
BSA-adsorbed palmitate or palmitoleate in the presence of myriocin, fenretinide,
or fumonisin B1. Lipid species were determined by lipidomic analysis. Insulin
sensitivity was scored by Akt phosphorylation and glucose transporter 4 (GLUT4)
translocation, while pro-inflammatory indices were estimated by IkappaBalpha
degradation and cytokine expression. Palmitate, but not palmitoleate, had mild
effects on Akt phosphorylation but significantly inhibited insulin-stimulated
GLUT4 translocation and increased expression of pro-inflammatory cytokines Il6
and Ccl2 Ceramides, hexosylceramides, and sphingosine-1-phosphate significantly
heightened by palmitate correlated negatively with insulin sensitivity and
positively with pro-inflammatory indices. Inhibition of sphingolipid pathways led
to marked changes in cellular lipids, but did not prevent palmitate-induced
impairment of insulin-stimulated GLUT4 translocation, suggesting that palmitate
induced accumulation of deleterious lipids and insulin resistance are correlated
but independent events in myotubes. We propose that muscle cell-endogenous
ceramide production does not evoke insulin resistance and that deleterious
effects of ceramides in vivo may arise through ancillary cell communication.
PMID- 29794038
TI - Perceived Social Isolation and Outcomes in Patients With Heart Failure.
AB - BACKGROUND: Perceived social isolation has been shown to have a negative impact
on health outcomes, particularly among older adults. However, these relationships
have not been fully examined among patients with heart failure. METHODS AND
RESULTS: Residents from 11 southeast Minnesota counties with a first-ever
International Classification of Diseases, Ninth Revision (ICD-9) code 428 for
heart failure between January 1, 2013, and March 31, 2015 (N=3867), were
prospectively surveyed to measure perceived social isolation. A total of 2003
patients returned the survey (response rate, 52%); 1681 patients completed all
questions and were retained for analysis. Among these patients (53% men; mean
age, 73 years), ~19% (n=312) had moderate perceived social isolation and 6%
(n=108) had high perceived social isolation. After adjustment, patients reporting
moderate perceived social isolation did not have an increased risk of death,
hospitalizations, or emergency department visits compared with patients reporting
low perceived social isolation; however, patients reporting high perceived social
isolation had >3.5 times increased risk of death (hazard ratio, 3.74; 95%
confidence interval [CI], 1.82-7.70), 68% increased risk of hospitalization
(hazard ratio, 1.68; 95% CI, 1.18-2.39), and 57% increased risk of emergency
department visits (hazard ratio, 1.57; 95% CI, 1.09-2.27). Compared with patients
who self-reported low perceived social isolation, patients reporting moderate
perceived social isolation had a 16% increased risk of outpatient visits (rate
ratio, 1.16; 95% CI, 1.03-1.31), whereas those reporting high perceived social
isolation had a 26% increased risk (rate ratio, 1.26; 95% CI, 1.04-1.53).
CONCLUSIONS: In patients with heart failure, greater perceived social isolation
is associated with an increased risk of death and healthcare use. Assessing
perceived social isolation during the clinical encounter with a brief screening
tool may help identify patients with heart failure at greater risk of poor
outcomes.
PMID- 29794039
TI - Exaggerated groups: amplification in ensemble coding of temporal and spatial
features.
AB - The human visual system represents summary statistical information (e.g. average)
along many visual dimensions efficiently. While studies have indicated that
approximately the square root of the number of items in a set are effectively
integrated through this ensemble coding, how those samples are determined is
still unknown. Here, we report that salient items are preferentially weighted
over the other less salient items, by demonstrating that the perceived means of
spatial (i.e. size) and temporal (i.e. flickering temporal frequency (TF))
features of the group of items are positively biased as the number of items in
the group increases. This illusory 'amplification effect' was not the product of
decision bias but of perceptual bias. Moreover, our visual search experiments
with similar stimuli suggested that this amplification effect was due to
attraction of visual attention to the salient items (i.e. large or high TF
items). These results support the idea that summary statistical information is
extracted from sets with an implicit preferential weighting towards salient
items. Our study suggests that this saliency-based weighting may reflect a more
optimal and efficient integration strategy for the extraction of spatio-temporal
statistical information from the environment, and may thus be a basic principle
of ensemble coding.
PMID- 29794040
TI - Collective ritual and social support networks in rural South India.
AB - The scholarship on religion has long argued that collective worship helps foster
social cohesion. Despite the pervasiveness of this contention, rigorous
quantitative evaluations of it have been surprisingly limited. Here, I draw on
network data representing the ties of social support among Hindu residents of a
South Indian village to evaluate the association between collective religious
ritual and social cohesion. I find that those who partake in collective religious
rituals together have a higher probability of having a supportive relationship
than those who do not. At the structural level, this corresponds to denser
connections among co-participants. At the individual level, participants are more
embedded in the local community of co-religionists, but are not disassociating
themselves from members of other religious denominations. These patterns hold
most strongly for co-participation in the recurrent, low-arousal monthly worships
at the temple, and are suggestive for co-participation in the intense and
dysphoric ritual acts carried out as part of an annual festival. Together, these
findings provide clear empirical evidence of the lasting relationship between
collective religious ritual and social cohesion.
PMID- 29794042
TI - Mechanisms and seasonal drivers of calcification in the temperate coral
Turbinaria reniformis at its latitudinal limits.
AB - High-latitude coral reefs provide natural laboratories for investigating the
mechanisms and limits of coral calcification. While the calcification processes
of tropical corals have been studied intensively, little is known about how their
temperate counterparts grow under much lower temperature and light conditions.
Here, we report the results of a long-term (2-year) study of seasonal changes in
calcification rates, photo-physiology and calcifying fluid (cf) chemistry (using
boron isotope systematics and Raman spectroscopy) for the coral Turbinaria
reniformis growing near its latitudinal limits (34.5 degrees S) along the
southern coast of Western Australia. In contrast with tropical corals,
calcification rates were found to be threefold higher during winter (16 to 17
degrees C) compared with summer (approx. 21 degrees C), and negatively
correlated with light, but lacking any correlation with temperature. These
unexpected findings are attributed to a combination of higher chlorophyll a, and
hence increased heterotrophy during winter compared with summer, together with
the corals' ability to seasonally modulate pHcf, with carbonate ion concentration
[Formula: see text] being the main controller of calcification rates. Conversely,
calcium ion concentration [Ca2+]cf declined with increasing calcification rates,
resulting in aragonite saturation states Omegacf that were stable yet elevated
fourfold above seawater values. Our results show that corals growing near their
latitudinal limits exert strong physiological control over their cf in order to
maintain year-round calcification rates that are insensitive to the unfavourable
temperature regimes typical of high-latitude reefs.
PMID- 29794043
TI - Sex-specific effects of inbreeding on reproductive senescence.
AB - Inbreeding depression plays a significant role in evolutionary biology and
ecology. However, we lack a clear understanding of the fitness consequences of
inbreeding depression. Studies often focus on short-term effects of inbreeding in
juvenile offspring, whereas inbreeding depression in adult traits and the
interplay between inbreeding depression and age are rarely addressed. Inbreeding
depression may increase with age and accelerate the decline in reproductive
output in ageing individuals (reproductive senescence), which could be subject to
sex-specific dynamics. We test this hypothesis with a longitudinal experimental
study in a short-lived songbird. Adult inbred and outbred male and female
canaries were paired in a 2 * 2 factorial design, and survival and annual
reproductive performance were studied for 3 years. We found inbreeding depression
in female egg-laying ability, male fertilization success and survival of both
sexes. Annual reproductive success of both males and females declined when paired
with an inbred partner independent of their own inbreeding status. This shows
that inbreeding can have fitness costs in outbred individuals when they mate with
an inbred individual. Further, inbred females showed faster reproductive
senescence than outbred females, confirming that inbreeding depression and age
can interact to affect fitness. By contrast, there was no evidence for an
interaction between inbreeding depression and reproductive senescence in male
fertilization success. Our findings highlight the importance of considering sex
specific effects and age to determine the full range of fitness consequences of
inbreeding and demonstrate that inbreeding depression can accelerate reproductive
senescence.
PMID- 29794044
TI - Inter-generational change in African elephant range use is associated with
poaching risk, primary productivity and adult mortality.
AB - Repeated use of the same areas may benefit animals as they exploit familiar
sites, leading to consistent home ranges over time that can span generations.
Changing risk landscapes may reduce benefits associated with home range fidelity,
however, and philopatric animals may alter movement in response to new pressures.
Despite the importance of range changes to ecological and evolutionary processes,
little tracking data have been collected over the long-term nor has range change
been recorded in response to human pressures across generations. Here, we
investigate the relationships between ecological, demographic and human variables
and elephant ranging behaviour across generations using 16 years of tracking data
from nine distinct female social groups in a population of elephants in northern
Kenya that was heavily affected by ivory poaching during the latter half of the
study. Nearly all groups-including those that did not experience loss of mature
adults-exhibited a shift north over time, apparently in response to increased
poaching in the southern extent of the study area. However, loss of mature adults
appeared to be the primary indicator of range shifts and expansions, as
generational turnover was a significant predictor of range size increases and
range centroid shifts. Range expansions and northward shifts were associated with
higher primary productivity and lower poached carcass densities, while westward
shifts exhibited a trend to areas with higher values of primary productivity and
higher poached carcass densities relative to former ranges. Together these
results suggest a trade-off between resource access, mobility and safety. We
discuss the relevance of these results to elephant conservation efforts and
directions meriting further exploration in this disrupted society of a keystone
species.
PMID- 29794041
TI - Mitochondrial genetic effects on reproductive success: signatures of positive
intrasexual, but negative intersexual pleiotropy.
AB - Theory predicts that maternal inheritance of mitochondria will facilitate the
accumulation of mtDNA mutations that are male biased, or even sexually
antagonistic, in effect. While there are many reported cases of mtDNA mutations
conferring cytoplasmic male sterility in plants, historically it was assumed such
mutations would not persist in the streamlined mitochondrial genomes of
bilaterian metazoans. Intriguingly, recent cases of mitochondrial variants
exerting male biases in effect have come to light in bilaterians. These cases
aside, it remains unknown whether the mitochondrial genetic variation affecting
phenotypic expression, and in particular reproductive performance, in bilaterians
is routinely composed of sex-biased or sex-specific variation. If selection
consistently favours mtDNA variants that augment female fitness, but at cost to
males, this could shape patterns of pleiotropy and lead to negative intersexual
correlations across mtDNA haplotypes. Here, we show that genetic variation across
naturally occurring mitochondrial haplotypes affects components of reproductive
success in both sexes, in the fruit fly Drosophila melanogaster We find that
intrasexual correlations across mitochondrial haplotypes, for components of
reproductive success, are generally positive, while intersexual correlations are
negative. These results accord with theoretical predictions, suggesting that
maternal inheritance has led to the fixation of numerous mutations of sexually
antagonistic effect.
PMID- 29794045
TI - Migration promotes plasmid stability under spatially heterogeneous positive
selection.
AB - Bacteria-plasmid associations can be mutualistic or antagonistic depending on the
strength of positive selection for plasmid-encoded genes, with contrasting
outcomes for plasmid stability. In mutualistic environments, plasmids are swept
to high frequency by positive selection, increasing the likelihood of
compensatory evolution to ameliorate the plasmid cost, which promotes long-term
stability. In antagonistic environments, plasmids are purged by negative
selection, reducing the probability of compensatory evolution and driving their
extinction. Here we show, using experimental evolution of Pseudomonas fluorescens
and the mercury-resistance plasmid, pQBR103, that migration promotes plasmid
stability in spatially heterogeneous selection environments. Specifically,
migration from mutualistic environments, by increasing both the frequency of the
plasmid and the supply of compensatory mutations, stabilized plasmids in
antagonistic environments where, without migration, they approached extinction.
These data suggest that spatially heterogeneous positive selection, which is
common in natural environments, coupled with migration helps to explain the
stability of plasmids and the ecologically important genes that they encode.
PMID- 29794046
TI - Morph-specific artificial selection reveals a constraint on the evolution of
polyphenisms.
AB - Theory predicts that the evolution of polyphenic variation is facilitated where
morphs are genetically uncoupled and free to evolve towards their phenotypic
optima. However, the assumption that developmentally plastic morphs can evolve
independently has not been tested directly. Using morph-specific artificial
selection, we investigated correlated evolution between the sexes and male morphs
of the bulb mite Rhizoglyphus echinopus Large 'fighter' males have a thick and
sharply terminating pair of legs used to kill rival males, while small
'scrambler' males have unmodified legs, and search for unguarded females,
avoiding fights. We selected on the relative leg width of only the fighter male
morph, tracked the evolutionary responses in fighters and the correlated
evolutionary responses in scramblers and females that were untouched by direct
selection. Fighters diverged in relative leg thickness after six generations;
assaying scramblers and females at the ninth generation we observed correlated
responses in relative leg width in both. Our results represent strong evidence
for the evolution of intraspecific phenotypic diversity despite correlated
evolution between morphs and sexes, challenging the idea that male morphs are
genetically uncoupled and free to independently respond to selection. We
therefore question the perceived necessity for genetic independence in traits
with extreme phenotypic plasticity.
PMID- 29794048
TI - Behavioural homogenization with spillovers in a normative domain.
AB - The importance of culture for human social evolution hinges largely on the extent
to which culture supports outcomes that would not otherwise occur. An especially
controversial claim is that social learning leads groups to coalesce around group
typical behaviours and associated social norms that spill over to shape choices
in asocial settings. To test this, we conducted an experiment with 878 groups of
participants in 116 communities in Sudan. Participants watched a short film and
evaluated the appropriate way to behave in the situation dramatized in the film.
Each session consisted of an asocial condition in which participants provided
private evaluations and a social condition in which they provided public
evaluations. Public evaluations allowed for social learning. Across sessions, we
randomized the order of the two conditions. Public choices dramatically increased
the homogeneity of normative evaluations. When the social condition was first,
this homogenizing effect spilled over to subsequent asocial conditions. The
asocial condition when first was thus alone in producing distinctly heterogeneous
groups. Altogether, information about the choices of others led participants to
converge rapidly on similar normative evaluations that continued to hold sway in
subsequent asocial settings. These spillovers were at least partly owing to the
combined effects of conformity and self-consistency. Conformity dominated self
consistency when the two mechanisms were in conflict, but self-consistency
otherwise produced choices that persisted through time. Additionally, the
tendency to conform was heterogeneous. Females conformed more than males, and
conformity increased with the number of other people a decision-maker observed
before making her own choice.
PMID- 29794047
TI - Peripherally injected ghrelin and leptin reduce food hoarding and mass gain in
the coal tit (Periparus ater).
AB - In birds little is known about the hormonal signals that communicate nutritional
state to the brain and regulate appetitive behaviours. In mammals, the peptide
hormones ghrelin and leptin elevate and inhibit consumption and food hoarding,
respectively. But in birds, administration of both ghrelin and leptin inhibit
food consumption. The role of these hormones in the regulation of food hoarding
in avian species has not been examined. To investigate this, we injected wild
caught coal tits (Periparus ater) with leptin, high-dose ghrelin, low-dose
ghrelin and a saline control in the laboratory. We then measured food hoarding
and mass gain, as a proxy of food consumption, every 20 min for 2 h post
injection. Both high-dose ghrelin and leptin injections significantly reduced
hoarding and mass gain compared with controls. Our results provide the first
evidence that hoarding behaviour can be reduced by both leptin and ghrelin in a
wild bird. These findings add to evidence that the hormonal control of food
consumption and hoarding in avian species differs from that in mammals. Food
hoarding and consumptive behaviours consistently show the same response to
peripheral signals of nutritional state, suggesting that the hormonal regulation
of food hoarding has evolved from the consumption regulatory system.
PMID- 29794050
TI - Phytoplankton size diversity and ecosystem function relationships across oceanic
regions.
AB - Trait diversity, a key component of biodiversity, mediates many essential
ecosystem functions and services. However, the mechanisms behind such
relationships at large spatial scales are not fully understood. Here we adopt the
functional biogeography approach to investigate how the size composition of
phytoplankton communities relates to primary production and export production
along a broad latitudinal gradient. Using in situ phytoplankton size distribution
data and a trait-based model, we find an increase in the average phytoplankton
size, size diversity, primary production and export when moving from low to high
latitudes. Our analysis indicates that the interplay between spatio-temporal
heterogeneities in environmental conditions and a trade-off between the high
affinity for nutrients of smaller cells and the ability to avoid predation by
larger cells are the main mechanisms driving the observed patterns. Our results
also suggest that variations in size diversity alone do not directly lead to
changes in primary production and export. The trade-off thus introduces a
feedback that influences the relationship between size diversity and ecosystem
functions. These findings support the importance of environmentally mediated
trade-offs as crucial mechanisms shaping biodiversity and ecosystem function
relationships at large spatial scales.
PMID- 29794049
TI - Magnetocarcinogenesis: is there a mechanism for carcinogenic effects of weak
magnetic fields?
AB - Extremely low-frequency (ELF) magnetic fields have been classified as possibly
carcinogenic, mainly based on rather consistent epidemiological findings
suggesting a link between childhood leukaemia and 50-60 Hz magnetic fields from
power lines. However, causality is not the only possible explanation for the
epidemiological associations, as animal and in vitro experiments have provided
only limited support for carcinogenic effects of ELF magnetic fields.
Importantly, there is no generally accepted biophysical mechanism that could
explain such effects. In this review, we discuss the possibility that
carcinogenic effects are based on the radical pair mechanism (RPM), which seems
to be involved in magnetoreception in birds and certain other animals, allowing
navigation in the geomagnetic field. We review the current understanding of the
RPM in magnetoreception, and discuss cryptochromes as the putative
magnetosensitive molecules and their possible links to cancer-relevant biological
processes. We then propose a hypothesis for explaining the link between ELF
fields and childhood leukaemia, discuss the strengths and weaknesses of the
current evidence, and make proposals for further research.
PMID- 29794051
TI - Evidence for atypical nest overwintering by hatchling lizards, Heloderma
suspectum.
AB - The timing of reproductive events (e.g. oviposition and hatching) to coincide
with favourable seasonal conditions is critical for successful reproduction.
However, developmental time may not match the duration between the optimal time
for oviposition and the optimal time for hatchling survival. Thus, strategies
that alter the time between oviposition and hatchling emergence can be highly
advantageous. Arrested development and the resulting extension of the duration
between oviposition and hatching has been widely documented across oviparous
amniotes, but nest overwintering by hatchlings has only been documented in
aquatic chelonians that live where winters are quite cold. Herein, we present a
compilation of evidence regarding reproductive phenology by hatchlings of the
Gila monster (Heloderma suspectum), a lizard inhabiting the Sonoran Desert of
North America. Our data demonstrate that (i) Gila monster hatchlings from eggs
oviposited in July do not emerge from their nests until late spring or summer of
the following year, yet (ii) Gila monster eggs artificially incubated at field
relevant temperatures hatch in 4-5 months. Furthermore, we describe a fortuitous
excavation of a hatching Gila monster nest in late October, which coincides with
the artificial incubation results. Together, these results provide strong support
for the existence of overwintering in the nest by a lizard, and suggest that this
reproductive strategy should be explored in a broader array of taxa.
PMID- 29794052
TI - Density-dependent interspecific interactions and the complexity-stability
relationship.
AB - Ever since May theorized that communities with larger numbers of species or
interspecific interactions are inherently unstable, the mechanism allowing for
the stable existence of complex communities in nature has been a central question
in ecology. The main efforts to answer this question have sought to identify non
random features of ecological systems that can reverse a negative complexity
stability relationship into a positive one, but are far from successful,
especially in their generality. Here, using the traditional community matrix
analysis, we show that variation in the density dependence of interspecific
interactions, which should be ubiquitous in nature, can dramatically affect the
complexity-stability relationship. More specifically, we reveal that a positive
complexity-stability relationship arises when harmful interspecific effects have
larger density dependence than beneficial ones, regardless of the signs (i.e.
positive or negative) of their dependence. Furthermore, numerical simulations
demonstrated the synergistic stabilizing effect of interaction type diversity and
density-dependence variation. Thus, this concept of density-dependence variation
advances our understanding of the complexity-stability relationship in the real
world.
PMID- 29794053
TI - ROM mapping of ligamentous constraints on avian hip mobility: implications for
extinct ornithodirans.
AB - Studies of soft tissue effects on joint mobility in extant animals can help to
constrain hypotheses about joint mobility in extinct animals. However, joint
mobility must be considered in three dimensions simultaneously, and applications
of mobility data to extinct taxa require both a phylogenetically informed
reconstruction of articular morphology and justifications for why specific
structures' effects on mobility are inferred to be similar. We manipulated
cadaveric hip joints of common quail and recorded biplanar fluoroscopic videos to
measure a 'ligamentous' range of motion (ROM), which was then compared to an
'osteological' ROM on a ROM map. Nearly 95% of the joint poses predicted to be
possible at the hip based on osteological manipulation were rendered impossible
by ligamentous constraints. Because the hip joint capsule reliably includes a
ventral ligamentous thickening in extant diapsids, the hip abduction of extinct
ornithodirans with an offset femoral head and thin articular cartilage was
probably similarly constrained by ligaments as that of birds. Consequently, in
the absence of extraordinary evidence to the contrary, our analysis casts doubt
on the 'batlike' hip pose traditionally inferred for pterosaurs and basal
maniraptorans, and underscores that reconstructions of joint mobility based on
manipulations of bones alone can be misleading.
PMID- 29794055
TI - Genetic Variation of the SusC/SusD Homologs from a Polysaccharide Utilization
Locus Underlies Divergent Fructan Specificities and Functional Adaptation in
Bacteroides thetaiotaomicron Strains.
AB - Genomic differences between gut-resident bacterial strains likely underlie
significant interindividual variation in microbiome function. Traditional methods
of determining community composition, such as 16S rRNA gene amplicon sequencing,
fail to capture this functional diversity. Metagenomic approaches are a
significant step forward in identifying strain-level sequence variants; however,
given the current paucity of biochemical information, they too are limited to
mainly low-resolution and incomplete functional predictions. Using genomic,
biochemical, and molecular approaches, we identified differences in the fructan
utilization profiles of two closely related Bacteroides thetaiotaomicron strains.
B. thetaiotaomicron 8736 (Bt-8736) contains a fructan polysaccharide utilization
locus (PUL) with a divergent susC/susD homolog gene pair that enables it to
utilize inulin, differentiating this strain from other characterized Bt strains.
Transfer of the distinct pair of susC/susD genes from Bt-8736 into the noninulin
using type strain B. thetaiotaomicronVPI-5482 resulted in inulin use by the
recipient strain, Bt(8736-2). The presence of the divergent susC/susD gene pair
alone enabled the hybrid Bt(8736-2) strain to outcompete the wild-type strain in
vivo in mice fed an inulin diet. Further, we discovered that the susC/susD
homolog gene pair facilitated import of inulin into the periplasm without surface
predigestion by an endo-acting enzyme, possibly due to the short average chain
length of inulin compared to many other polysaccharides. Our data builds upon
recent reports of dietary polysaccharide utilization mechanisms found in members
of the Bacteroides genus and demonstrates how the acquisition of two genes can
alter the functionality and success of a strain within the gut.IMPORTANCE Dietary
polysaccharides play a dominant role in shaping the composition and functionality
of our gut microbiota. Dietary interventions using these microbiota-accessible
carbohydrates (MACs) serve as a promising tool for manipulating the gut microbial
community. However, our current gap in knowledge regarding microbial metabolic
pathways that are involved in the degradation of these MACs has made the design
of rational interventions difficult. The issue is further complicated by the
diversity of pathways observed for the utilization of similar MACs, even in
closely related microbial strains. Our current work focuses on divergent fructan
utilization pathways in two closely related B. thetaiotaomicron strains and
provides an integrated approach to characterize the molecular basis for strain
level functional differences.
PMID- 29794054
TI - An Observational Cohort Study of Clostridium difficile Ribotype 027 and Recurrent
Infection.
AB - Recurrent Clostridium difficile infection (rCDI) frequently complicates recovery
from CDI. Accurately predicting rCDI would allow judicious allocation of limited
resources, but published models have met with limited success. Thus, biomarkers
predictive of recurrence have been sought. This study tested whether PCR ribotype
independently predicted rCDI. Stool samples from nonpregnant inpatients >=18
years of age with diarrhea were included from October 2010 to January 2013 after
the patients tested positive for C. difficile in the clinical microbiology
laboratory. Per guidelines, the rCDI was defined as a positive test for C.
difficile at >2 weeks but <=8 weeks from the index episode. For each sample, a
single colony of C. difficile was isolated by anaerobic culture, confirmed to be
toxigenic by PCR, and ribotyped. Simple logistic regression and multiple logistic
regression were used to model the primary outcome of rCDI, incorporating a wide
range of clinical parameters. In total, 927 patients with 968 index episodes of
CDI were included, with 110 (11.4%) developing rCDI. Age and use of proton pump
inhibitors or concurrent antibiotics did not increase the risk of rCDI. Low serum
bilirubin levels and ribotype 027 were associated with increased risk of rCDI on
unadjusted analysis, with health care-associated CDI being inversely associated.
In the final multivariable model, ribotype 027 was the strongest independent
predictor of rCDI (odds ratio, 2.17; 95% confidence interval, 1.33 to 3.56; P =
0.002). Ribotype 027 is an independent predictor of rCDI.IMPORTANCE CDI is a
major public health issue, with over 400,000 cases per year in the United States
alone. Recurrent CDI is common, occurring in approximately one in five
individuals after a primary episode. Although interventions exist that could
reduce the risk of recurrence, deployment in all patients is limited by cost,
invasiveness, and/or an undetermined long-term safety profile. Thus, clinicians
need risk stratification tools to properly allocate treatments. Because prior
research on clinical predictors has failed to yield a reliable, reproducible, and
effective predictive model to assist treatment decisions, accurate biomarkers of
recurrence would be of great value. This study tested whether PCR ribotype
independently predicted rCDI, and the data build upon prior research in showing
that ribotype 027 is associated with rCDI.
PMID- 29794056
TI - Peptide-Like Nylon-3 Polymers with Activity against Phylogenetically Diverse,
Intrinsically Drug-Resistant Pathogenic Fungi.
AB - Understanding the dimensions of fungal diversity has major implications for the
control of diseases in humans, plants, and animals and in the overall health of
ecosystems on the planet. One ancient evolutionary strategy organisms use to
manage interactions with microbes, including fungi, is to produce host defense
peptides (HDPs). HDPs and their synthetic analogs have been subjects of interest
as potential therapeutic agents. Due to increases in fungal disease worldwide,
there is great interest in developing novel antifungal agents. Here we describe
activity of polymeric HDP analogs against fungi from 18 pathogenic genera
composed of 41 species and 72 isolates. The synthetic polymers are members of the
nylon-3 family (poly-beta-amino acid materials). Three different nylon-3 polymers
show high efficacy against surprisingly diverse fungi. Across the phylogenetic
spectrum (with the exception of Aspergillus species), yeasts, dermatophytes,
dimorphic fungi, and molds were all sensitive to the effects of these polymers.
Even fungi intrinsically resistant to current antifungal drugs, such as the
causative agents of mucormycosis (Rhizopus spp.) and those with acquired
resistance to azole drugs, showed nylon-3 polymer sensitivity. In addition, the
emerging pathogens Pseudogymnoascus destructans (cause of white nose syndrome in
bats) and Candida auris (cause of nosocomial infections of humans) were also
sensitive. The three nylon-3 polymers exhibited relatively low toxicity toward
mammalian cells. These findings raise the possibility that nylon-3 polymers could
be useful against fungi for which there are only limited and/or no antifungal
agents available at present.IMPORTANCE Fungi reside in all ecosystems on earth
and impart both positive and negative effects on human, plant, and animal health.
Fungal disease is on the rise worldwide, and there is a critical need for more
effective and less toxic antifungal agents. Nylon-3 polymers are short, sequence
random, poly-beta-amino acid materials that can be designed to manifest
antimicrobial properties. Here, we describe three nylon-3 polymers with potent
activity against the most phylogenetically diverse set of fungi evaluated thus
far in a single study. In contrast to traditional peptides, nylon-3 polymers are
highly stable to proteolytic degradation and can be produced efficiently in large
quantities at low cost. The ability to modify nylon-3 polymer composition easily
creates an opportunity to tailor efficacy and toxicity, which makes these
materials attractive as potential broad-spectrum antifungal therapeutics.
PMID- 29794058
TI - Cancer metabolism gets physical.
AB - Patient-derived culture models enable assessment of drug sensitivity and can
connect personalized genomics with therapeutic options. However, their clinical
translation is constrained by limited fidelity. We outline how the physical
microenvironment regulates cell metabolism and describe how engineered culture
systems could enhance the predictive power for precision medicine.
PMID- 29794059
TI - Adult rat myelin enhances axonal outgrowth from neural stem cells.
AB - Axon regeneration after spinal cord injury (SCI) is attenuated by growth
inhibitory molecules associated with myelin. We report that rat myelin stimulated
the growth of axons emerging from rat neural progenitor cells (NPCs) transplanted
into sites of SCI in adult rat recipients. When plated on a myelin substrate,
neurite outgrowth from rat NPCs and from human induced pluripotent stem cell
(iPSC)-derived neural stem cells (NSCs) was enhanced threefold. In vivo, rat NPCs
and human iPSC-derived NSCs extended greater numbers of axons through adult
central nervous system white matter than through gray matter and preferentially
associated with rat host myelin. Mechanistic investigations excluded Nogo
receptor signaling as a mediator of stem cell-derived axon growth in response to
myelin. Transcriptomic screens of rodent NPCs identified the cell adhesion
molecule neuronal growth regulator 1 (Negr1) as one mediator of permissive axon
myelin interactions. The stimulatory effect of myelin-associated proteins on
rodent NPCs was developmentally regulated and involved direct activation of the
extracellular signal-regulated kinase (ERK). The stimulatory effects of myelin on
NPC/NSC axon outgrowth should be investigated further and could potentially be
exploited for neural repair after SCI.
PMID- 29794057
TI - Genes Activated by Vibrio cholerae upon Exposure to Caenorhabditis elegans Reveal
the Mannose-Sensitive Hemagglutinin To Be Essential for Colonization.
AB - During its life cycle, the facultative human pathogen Vibrio cholerae, which is
the causative agent of the diarrheal disease cholera, needs to adapt to a variety
of different conditions, such as the human host or the aquatic environment.
Importantly, cholera infections originate from the aquatic reservoir where V.
cholerae persists between the outbreaks. In the aquatic environment, bacteria are
constantly threatened by predatory protozoa and nematodes, but our knowledge of
the response pathways and adaptation strategies of V. cholerae to such stressors
is limited. Using a temporally controlled reporter system of transcription, we
identified more than 100 genes of V. cholerae induced upon exposure to the
nematode Caenorhabditis elegans, which emerged recently as a valuable model for
environmental predation during the aquatic lifestyle of V. cholerae Besides
others, we identified and validated the genes encoding the mannose-sensitive
hemagglutinin (MSHA) type IV pilus to be significantly induced upon exposure to
the nematode. Subsequent analyses demonstrated that the mannose-sensitive
hemagglutinin is crucial for attachment of V. cholerae in the pharynx of the worm
and initiation of colonization, which results in growth retardation and
developmental delay of C. elegans Thus, the surface adhesion factor MSHA could be
linked to a fitness advantage of V. cholerae upon contact with bacterium-grazing
nematodes.IMPORTANCE The waterborne diarrheal disease cholera is caused by the
bacterium Vibrio cholerae The facultative human pathogen persists as a natural
inhabitant in the aquatic ecosystem between outbreaks. In contrast to the human
host, V. cholerae requires a different set of genes to survive in this hostile
environment. For example, predatory micrograzers are commonly found in the
aquatic environment and use bacteria as a nutrient source, but knowledge of the
interaction between bacterivorous grazers and V. cholerae is limited. In this
study, we successfully adapted a genetic reporter technology and identified more
than 100 genes activated by V. cholerae upon exposure to the bacterium-grazing
nematode Caenorhabditis elegans This screen provides a first glimpse into
responses and adaptational strategies of the bacterial pathogen against such
natural predators. Subsequent phenotypic characterization revealed the mannose
sensitive hemagglutinin to be crucial for colonization of the worm, which causes
developmental delay and growth retardation.
PMID- 29794060
TI - Striatal dopamine regulates systemic glucose metabolism in humans and mice.
AB - The brain is emerging as an important regulator of systemic glucose metabolism.
Accumulating data from animal and observational human studies suggest that
striatal dopamine signaling plays a role in glucose regulation, but direct
evidence in humans is currently lacking. We present a series of experiments
supporting the regulation of peripheral glucose metabolism by striatal dopamine
signaling. First, we present the case of a diabetes patient who displayed
strongly reduced insulin requirements after treatment with bilateral deep brain
stimulation (DBS) targeting the anterior limb of the internal capsule. Next, we
show that DBS in this striatal area, which induced dopamine release, increased
hepatic and peripheral insulin sensitivity in 14 nondiabetic patients with
obsessive-compulsive disorder. Conversely, systemic dopamine depletion reduced
peripheral insulin sensitivity in healthy subjects. Supporting these human data,
we demonstrate that optogenetic activation of dopamine D1 receptor-expressing
neurons in the nucleus accumbens increased glucose tolerance and insulin
sensitivity in mice. Together, these findings support the hypothesis that
striatal neuronal activity regulates systemic glucose metabolism.
PMID- 29794061
TI - A rationally designed NRP1-independent superagonist SEMA3A mutant is an effective
anticancer agent.
AB - Vascular normalizing strategies, aimed at ameliorating blood vessel perfusion and
lessening tissue hypoxia, are treatments that may improve the outcome of cancer
patients. Secreted class 3 semaphorins (SEMA3), which are thought to directly
bind neuropilin (NRP) co-receptors that, in turn, associate with and elicit
plexin (PLXN) receptor signaling, are effective normalizing agents of the cancer
vasculature. Yet, SEMA3A was also reported to trigger adverse side effects via
NRP1. We rationally designed and generated a safe, parenterally deliverable, and
NRP1-independent SEMA3A point mutant isoform that, unlike its wild-type
counterpart, binds PLXNA4 with nanomolar affinity and has much greater
biochemical and biological activities in cultured endothelial cells. In vivo,
when parenterally administered in mouse models of pancreatic cancer, the NRP1
independent SEMA3A point mutant successfully normalized the vasculature,
inhibited tumor growth, curbed metastatic dissemination, and effectively improved
the supply and anticancer activity of chemotherapy. Mutant SEMA3A also inhibited
retinal neovascularization in a mouse model of age-related macular degeneration.
In summary, mutant SEMA3A is a vascular normalizing agent that can be exploited
to treat cancer and, potentially, other diseases characterized by pathological
angiogenesis.
PMID- 29794062
TI - Derepression of co-silenced tumor suppressor genes by nanoparticle-loaded
circular ssDNA reduces tumor malignancy.
AB - The co-silencing of multiple tumor suppressor genes can lead to escalated
malignancy in cancer cells. Given the limited efficacy of anticancer therapies
targeting single tumor suppressor genes, we developed small circular single
stranded DNA (CSSD) that can up-regulate the expression of co-silenced tumor
suppressor genes by sequestering microRNAs (miRNAs) that negatively regulate
these genes. We found that cancer patients with low tumor expression of the tumor
suppressor genes KLF17, CDH1, and LASS2 had shortened survival times. The up
regulation of these genes upon transfection of artificial CSSD-9 inhibited tumor
proliferation and metastasis and promoted apoptosis in vitro as well as in ex
vivo and patient-derived xenograft models. In addition, CSSD is more stable and
effective than current miRNA inhibitors, and transfecting CSSDs via nanoparticles
substantially improved delivery efficiency. The use of a single CSSD can promote
the inhibition of multiple tumor suppressor genes. This study provides evidence
for the possibility of using CSSDs as therapeutic miRNA inhibitors to target the
co-silencing of multiple tumor suppressor genes.
PMID- 29794064
TI - Allocating healthcare resources-seriously ill people should have priority.
PMID- 29794063
TI - The Defense Phytohormone Signaling Network Enables Rapid, High-Amplitude
Transcriptional Reprogramming during Effector-Triggered Immunity.
AB - The phytohormone network consisting of jasmonate, ethylene, PHYTOALEXIN
DEFICIENT4, and salicylic acid signaling is required for the two modes of plant
immunity, pattern-triggered immunity (PTI), and effector-triggered immunity
(ETI). A previous study showed that during PTI, the transcriptional responses of
over 5000 genes qualitatively depend on complex interactions between the network
components. However, the role of the network in transcriptional reprogramming
during ETI and whether it differs between PTI and ETI remain elusive. Here, we
generated time-series RNA-sequencing data of Arabidopsis thaliana wild-type and
combinatorial mutant plants deficient in components of the network upon challenge
with virulent or ETI-triggering avirulent strains of the foliar bacterial
pathogen Pseudomonas syringae Resistant plants such as the wild type achieved
high-amplitude transcriptional reprogramming 4 h after challenge with avirulent
strains and sustained this transcriptome response. Strikingly, susceptible plants
including the quadruple network mutant showed almost identical transcriptome
responses to resistant plants but with several hours delay. Furthermore, gene
coexpression network structure was highly conserved between the wild type and
quadruple mutant. Thus, in contrast to PTI, the phytohormone network is required
only for achieving high-amplitude transcriptional reprogramming within the early
time window of ETI against this bacterial pathogen.
PMID- 29794065
TI - Malignant peritoneal mesothelioma in patients with endometriosis.
AB - AIMS: Florid mesothelial hyperplasia is known to result from endometriosis. Well
differentiated papillary mesothelioma and multiloculated peritoneal inclusion
cysts have also been described in women with endometriosis. To our knowledge,
peritoneal diffuse malignant mesothelioma (MM) arising in the setting of
endometriosis has not been reported. The purpose of this study is to report the
clinicopathological characteristics of women with MM and endometriosis. METHODS:
The surgical pathology files of a tertiary academic medical centre and the
consultation files of one of the study authors were reviewed for cases of MM in
females with and without endometriosis. RESULTS: Six women with MM and
endometriosis ranging in age from 29 to 55 years (median=45 years) were
identified. All had peritoneal MM and endometriosis involving the peritoneum
and/or adnexa. Five had epithelioid MM and one had biphasic MM. Two had
paraoccupational exposure to asbestos. The median age of women with MM and
endometriosis (44.5 years) was significantly less than the median age of cases
without endometriosis (58.0 years) (p value=0.01). CONCLUSIONS: To our knowledge,
this is the first report of MM in women with endometriosis. Interestingly, MM in
the setting of endometriosis has only been observed in the peritoneum and not in
other serosal cavities. The findings in the present study suggest that chronic
serosal inflammation secondary to endometriosis may be an inducing factor in rare
cases of MM of the peritoneum.
PMID- 29794067
TI - Shared Decision Making Emphasized for Prostate Screening.
AB - The U.S. Preventive Services Task Force (USPSTF) now recommends that men ages 55
to 69 individually decide, in consultation with their physician, whether to
undergo PSA screening for prostate cancer. The guidelines represent a change from
2012, when the USPSTF recommended against screening for all men.
PMID- 29794066
TI - Phase II Study of Everolimus and Octreotide LAR in Patients with Nonfunctioning
Gastrointestinal Neuroendocrine Tumors: The GETNE1003_EVERLAR study.
AB - BACKGROUND: Antitumor activity of the combination of somatostatin analogues
(SSAs) and the mammalian target of rapamycin (mTOR) inhibitor everolimus in
patients with neuroendocrine tumors (NETs) has been reported but not confirmed in
prospective trials. MATERIALS AND METHODS: This prospective, multicenter, single
arm phase II EVERLAR study evaluated everolimus 10 mg/day and the SSA octreotide
30 mg every 28 days in patients with advanced nonfunctioning well-differentiated
gastrointestinal NETs (GI-NETs) that progressed in the last 12 months
(ClinicalTrials.gov NCT01567488). Prior treatment with SSAs and any systemic or
locoregional therapy was allowed except for mTOR inhibitors. Patients continued
treatment until disease progression or unacceptable adverse events (AEs). The
primary endpoint was progression-free survival (PFS) at 12 months; secondary
endpoints included early biochemical response, objective response rate (ORR) by
RECIST v1.0, overall survival (OS), AEs, activation of mTOR pathway (insulin-like
growth factor 1 receptor [IGF1R] and phosphoS6 [pS6] expression). RESULTS: Forty
three patients were included in the intent-to-treat analyses. After 12 months of
treatment, 62.3% (95% confidence interval [CI] 48%-77%) of patients had not
progressed or died. The 24-month PFS rate was 43.6% (95% CI 29%-58%). The
confirmed ORR was 2.3%, and stable disease was 58.1%. Median OS was not reached
after 24 months of median follow-up. Dose reductions and temporary interruptions
due to AEs were required in 14 (33%) and 33 (77%) patients, respectively. The
most frequent AEs were diarrhea, asthenia, mucositis, rash, and hyperglycemia. No
correlation was observed between IGFR1 and pS6 expression and PFS/OS. CONCLUSION:
The everolimus-octreotide combination provided clinically relevant efficacy in
nonfunctioning GI-NETs, similar to the results of RADIANT-2 in functioning
setting. IMPLICATIONS FOR PRACTICE: The EVERLAR study reports prospective data of
somatostatin analogue in combination with everolimus in nonfunctioning
gastrointestinal neuroendocrine tumors suggesting meaningful activity and
favorable toxicity profile that supports drug combination in this setting.
PMID- 29794068
TI - GPIbalpha is required for platelet-mediated hepatic thrombopoietin generation.
AB - Thrombopoietin (TPO), a hematopoietic growth factor produced predominantly by the
liver, is essential for thrombopoiesis. Prevailing theory posits that circulating
TPO levels are maintained through its clearance by platelets and megakaryocytes
via surface c-Mpl receptor internalization. Interestingly, we found a two- to
threefold decrease in circulating TPO in GPIbalpha-/- mice compared with wild
type (WT) controls, which was consistent in GPIbalpha-deficient human Bernard
Soulier syndrome (BSS) patients. We showed that lower TPO levels in GPIbalpha
deficient conditions were not due to increased TPO clearance by GPIbalpha-/-
platelets but rather to decreased hepatic TPO mRNA transcription and production.
We found that WT, but not GPIbalpha-/-, platelet transfusions rescued hepatic TPO
mRNA and circulating TPO levels in GPIbalpha-/- mice. In vitro hepatocyte
cocultures with platelets or GPIbalpha-coupled beads further confirm the
disruption of platelet-mediated hepatic TPO generation in the absence of
GPIbalpha. Treatment of GPIbalpha-/- platelets with neuraminidase caused
significant desialylation; however, strikingly, desialylated GPIbalpha-/-
platelets could not rescue impaired hepatic TPO production in vivo or in vitro,
suggesting that GPIbalpha, independent of platelet desialylation, is a
prerequisite for hepatic TPO generation. Additionally, impaired hepatic TPO
production was recapitulated in interleukin-4/GPIbalpha-transgenic mice, as well
as with antibodies targeting the extracellular portion of GPIbalpha,
demonstrating that the N terminus of GPIbalpha is required for platelet-mediated
hepatic TPO generation. These findings reveal a novel nonredundant regulatory
role for platelets in hepatic TPO homeostasis, which improves our understanding
of constitutive TPO regulation and has important implications in diseases related
to GPIbalpha, such as BSS and auto- and alloimmune-mediated thrombocytopenias.
PMID- 29794070
TI - Government's response to L239m worth of inquiries has been "opaque," says NAO.
PMID- 29794069
TI - Call for independent monitoring of disease outbreak preparedness.
PMID- 29794071
TI - Access to patient records while on telephone consultations.
PMID- 29794072
TI - Postmarket studies required by the US Food and Drug Administration for new drugs
and biologics approved between 2009 and 2012: cross sectional analysis.
AB - OBJECTIVES: To characterize postmarketing requirements for new drugs and
biologics approved by the US Food and Drug Administration (FDA), and to examine
rates and timeliness of registration, results reporting, and publication of
required prospective cohort studies, registries, and clinical trials. DESIGN:
Cross sectional analysis. SETTING: Postmarketing requirements for all new drugs
and biologics approved by the FDA between 1 January 2009 and 31 December 2012,
with follow-up up to 15 November 2017. MAIN OUTCOME MEASURES: Postmarketing
requirements and their characteristics known at the time of FDA approval,
including FDA authority, study design, and study characteristics. Rates and
timeliness of registration and results reporting on ClinicalTrials.gov and
publication in peer reviewed journals of required prospective cohort studies,
registries, and clinical trials. RESULTS: Between 2009 and 12, the FDA approved
97 new drugs and biologics for 106 indications with at least one postmarketing
requirement at the time of first approval, for a total of 437 postmarketing
requirements. Postmarket study descriptions were short (median word count 44
(interquartile range 29-71)) and often lacked information to determine an up to
date progress (131 (30%)). 220 (50.3%) postmarketing requirements were for new
animal or other studies (including pharmacokinetic studies); 134 (30.7%) were for
prospective cohort studies, registries, and clinical trials; and 83 (19.0%) were
for secondary analyses or follow-up studies. Of 110 clinical trials, 38 (34.5%),
44 (40.0%), 62 (56.4%), 66 (60.0%), and 98 (89.1%) did not report enough
information to establish use of randomization, comparator type, allocation,
outcome, and number of patients to be enrolled, respectively. Of 134 required
prospective cohort studies, registries, and clinical trials, 102 (76.1%) were
registered on ClinicalTrials.gov; of 50 registered and completed studies, 36
(72.0%) had reported results on ClinicalTrials.gov. Among 65 completed studies,
47 (72.3%) had either reported results or were published a median of 47 months
(interquartile range 32-67) after FDA approval. 32 (68.1%) of these 47 studies
did not report results publicly by the time of their original FDA report
submission deadline. CONCLUSIONS: Postmarketing requirements for new drugs and
biologics were often briefly described and did not contain enough information to
characterize study designs. Approximately three quarters of postmarketing
requirements for prospective cohort studies, registries, and clinical trials were
registered on ClinicalTrials.gov, and nearly three quarters of completed studies
reported results or were published, suggesting that at least a quarter of these
required studies are not being publicly disseminated.
PMID- 29794073
TI - Related donor transplants: has posttransplantation cyclophosphamide nullified the
detrimental effect of HLA mismatch?
AB - We sought to identify whether posttransplantation cyclophosphamide (PT-Cy)
reduces or eliminates the detrimental impact of HLA mismatching on outcomes of
HLA-haploidentical related donor transplantation for acute leukemia. Data from
2143 donor-recipient pairs (n = 218 haploidentical sibling; n = 218 offspring; n
= 1707 HLA-matched sibling) with acute myeloid or lymphoblastic leukemia were
studied. All received a calcineurin inhibitor for graft-versus-host disease
(GVHD) prophylaxis while high-dose PT-Cy was also given to recipients of
haploidentical transplant. Patient age correlated with donor-recipient
relationship: haploidentical siblings donated to patients aged 18 to 54 years
whereas offspring donated to patients aged 55 to 76 years. Therefore, transplant
outcomes were examined separately in the 2 patient age groups. In patients aged
18 to 54 years, there were no significant differences in outcomes except chronic
GVHD, which was lower after haploidentical sibling compared to HLA-matched
sibling transplant (hazard ratio [HR], 0.63; P < .001). In patients aged 55 to 76
years, despite lower chronic GVHD (HR, 0.42; P < .001), graft failure (14% vs 6%;
P = .003), nonrelapse mortality (HR, 1.48; P = .02), and overall mortality (HR,
1.32; P = .003) were higher after transplant from offspring compared with an HLA
matched sibling. These data demonstrate a superior outcome in older recipients
when using an HLA-matched sibling instead of offspring, although there were
differences in transplant platforms (GVHD prophylaxis and graft type) between the
2 groups. Validation of these findings requires a prospective randomized trial
wherein the transplant platforms can be closely matched.
PMID- 29794075
TI - MRD in HCL: does it matter?
PMID- 29794077
TI - Five years of ibrutinib in CLL.
PMID- 29794074
TI - Tau Mutations Serve as a Novel Risk Factor for Cancer.
AB - In addition to its well-recognized role in neurodegeneration, tau participates in
maintenance of genome stability and chromosome integrity. In particular,
peripheral cells from patients affected by frontotemporal lobar degeneration
carrying a mutation in tau gene (genetic tauopathies), as well as cells from
animal models, show chromosome numerical and structural aberrations, chromatin
anomalies, and a propensity toward abnormal recombination. As genome instability
is tightly linked to cancer development, we hypothesized that mutated tau may be
a susceptibility factor for cancer. Here we conducted a retrospective cohort
study comparing cancer incidence in families affected by genetic tauopathies to
control families. In addition, we carried out a bioinformatics analysis to
highlight pathways associated with the tau protein interactome. We report that
the risk of developing cancer is significantly higher in families affected by
genetic tauopathies, and a high proportion of tau protein interactors are
involved in cellular processes particularly relevant to cancer. These findings
disclose a novel role of tau as a risk factor for cancer, providing new insights
in the various pathologic roles of mutated tau.Significance: This study reveals a
novel role for tau as a risk factor for cancer, providing new insights beyond its
role in neurodegeneration. Cancer Res; 78(13); 3731-9. (c)2018 AACR.
PMID- 29794078
TI - Leukemic-phase progression of aleukemic mast cell leukemia.
PMID- 29794076
TI - The future of kinase inhibitors for DLBCL?
PMID- 29794079
TI - Taxes must rise to fund 5% budget increase the NHS needs.
PMID- 29794080
TI - Atherosclerotic Cardiovascular Disease in South Asians in the United States:
Epidemiology, Risk Factors, and Treatments: A Scientific Statement From the
American Heart Association.
AB - South Asians (from Bangladesh, Bhutan, India, the Maldives, Nepal, Pakistan, and
Sri Lanka) make up one quarter of the world's population and are one of the
fastest-growing ethnic groups in the United States. Although native South Asians
share genetic and cultural risk factors with South Asians abroad, South Asians in
the United States can differ in socioeconomic status, education, healthcare
behaviors, attitudes, and health insurance, which can affect their risk and the
treatment and outcomes of atherosclerotic cardiovascular disease (ASCVD). South
Asians have higher proportional mortality rates from ASCVD compared with other
Asian groups and non-Hispanic whites, in contrast to the finding that Asian
Americans (Asian Indian, Chinese, Filipino, Japanese, Korean, and Vietnamese)
aggregated as a group are at lower risk of ASCVD, largely because of the lower
risk observed in East Asian populations. Literature relevant to South Asian
populations regarding demographics and risk factors, health behaviors, and
interventions, including physical activity, diet, medications, and community
strategies, is summarized. The evidence to date is that the biology of ASCVD is
complex but is no different in South Asians than in any other racial/ethnic
group. A majority of the risk in South Asians can be explained by the increased
prevalence of known risk factors, especially those related to insulin resistance,
and no unique risk factors in this population have been found. This scientific
statement focuses on how ASCVD risk factors affect the South Asian population in
order to make recommendations for clinical strategies to reduce disease and for
directions for future research to reduce ASCVD in this population.
PMID- 29794081
TI - Periprocedural Outcomes of Direct Oral Anticoagulants Versus Warfarin in
Nonvalvular Atrial Fibrillation.
AB - BACKGROUND: Direct oral anticoagulants (DOACs) are surpassing warfarin as the
anticoagulant of choice for stroke prevention in nonvalvular atrial fibrillation.
DOAC outcomes in elective periprocedural settings have not been well elucidated
and remain a source of concern for clinicians. The aim of this meta-analysis was
to evaluate the periprocedural safety and efficacy of DOACs versus warfarin in
patients with nonvalvular atrial fibrillation. METHODS: We reviewed the
literature for data from phase III randomized controlled trials comparing DOACs
with warfarin in the periprocedural period among patients with nonvalvular atrial
fibrillation. Substudies from 4 trials (RE-LY [Randomized Evaluation of Long-Term
Anticoagulation Therapy], ROCKET AF [Rivaroxaban Once Daily Oral Direct Factor Xa
Inhibitor Compared with Vitamin K Antagonism for Prevention of Stroke and
Embolism Trial in Atrial Fibrillation], ARISTOTLE [Apixaban for the Prevention of
Stroke in Subjects With Atrial Fibrillation], and ENGAGE-AF [Effective
Anticoagulation With Factor xA Next Generation in Atrial Fibrillation]) were
included in the meta-analysis. DOACs as a group and warfarin were compared in
terms of the 30-day pooled risk for stroke/systemic embolism, major bleeding, and
death, according to whether the study drug was interrupted or not
periprocedurally. The overall relative risk (RR) was estimated with a random
effects model. The I2 test was used to assess heterogeneity in RR among the
studies. RESULTS: In the uninterrupted anticoagulant strategy, there were no
differences in the rates of stroke/systemic embolism (pooled risk, 0.6% [29
events/4519 procedures] versus 1.1% [31/2971]; RR, 0.70; 95% confidence interval
[CI], 0.41-1.18) and death (1.4% versus 1.8%; RR, 0.77; 95% CI, 0.53-1.12)
between DOACs and warfarin and significantly fewer major bleeding events (2.0%
versus 3.3%; RR, 0.62; 95% CI, 0.47-0.82) with DOACs compared to warfarin. Under
an interrupted strategy, there was no significant difference between DOACs versus
warfarin for stroke/systemic embolism (0.4% [41/9260] versus 0.5% [31/7168]; RR,
0.95; 95% CI, 0.59-1.55), major bleeding (2.1% versus 2.0%; RR, 1.05; 95% CI,
0.85-1.30), and death (0.7% versus 0.6%; RR, 1.24; 95% CI, 0.76-2.04). The
studies were homogeneous ( I2=0.0%) for all calculated pooled associations except
for the RR of death in the interrupted strategy ( I2=26.3%). CONCLUSIONS: The
short-term safety and efficacy of DOACs and warfarin are not different in
patients with nonvalvular atrial fibrillation periprocedurally. Under an
uninterrupted anticoagulation strategy, DOACs are associated with a 38% lower
risk of major bleeding compared with warfarin.
PMID- 29794083
TI - Adenosine and Ticagrelor Plasma Levels in Patients With and Without Ticagrelor
Related Dyspnea.
PMID- 29794082
TI - Deletion of Macrophage Low-Density Lipoprotein Receptor-Related Protein 1 (LRP1)
Accelerates Atherosclerosis Regression and Increases C-C Chemokine Receptor Type
7 (CCR7) Expression in Plaque Macrophages.
AB - BACKGROUND: We previously showed that mice lacking MPhiLRP1-/- (low-density
lipoprotein receptor-related protein 1 in macrophages) undergo accelerated
atherosclerotic plaque formation due to changes in macrophages including
increased apoptosis, decreased efferocytosis, and exaggerated transition to the
inflammatory M1 phenotype. Here we sought to explore the role of macrophage low
density lipoprotein receptor-related protein 1 during regression of
atherosclerosis since regressing plaques are characterized by transitioning of
macrophages to M2 status as inflammation resolves. METHODS: Apolipoprotein E-/-
mice on a high-fat diet for 12 weeks were reconstituted with bone marrow from
apolipoprotein E-producing wild-type or MPhiLRP1-/- mice, and then placed on a
chow diet for 10 weeks (n=9 to 11 mice/group). A cohort of apolipoprotein E-/-
mice reconstituted with apolipoprotein E-/- bone marrow served as baseline
controls (n=9). RESULTS: Plaques of both wild-type and MPhiLRP1-/- bone marrow
recipients regressed compared with controls (11% and 22%, respectively; P<0.05),
and plaques of MPhiLRP1-/- recipients were 13% smaller than those of wild-type
recipients ( P<0.05). Recipients of MPhiLRP1-/- marrow had 36% fewer M1
macrophages ( P<0.01) and 2.5-fold more CCR7 (C-C chemokine receptor type 7)
positive macrophages in the plaque relative to wild-type mice ( P<0.01).
Additionally, in vivo studies of cellular egress showed a 4.6-fold increase in 5
ethynyl-2'-deoxyuridine-labeled CCR7+ macrophages in mediastinal lymph nodes.
Finally, in vivo studies of reverse cholesterol transport showed a 1.4-fold
higher reverse cholesterol transport in MPhiLRP1-/- recipient mice ( P<0.01).
CONCLUSIONS: Absence of macrophage low-density lipoprotein receptor-related
protein 1 unexpectedly accelerates atherosclerosis regression, enhances reverse
cholesterol transport, and increases expression of the motility receptor CCR7,
which drives macrophage egress from lesions.
PMID- 29794085
TI - Do all daily metabolic equivalent task units (METs) bring the same health
benefits?
PMID- 29794084
TI - An unusual rash on the feet.
PMID- 29794087
TI - Winter pressure: England's health regulator publishes tips to help emergency
departments cope better next year.
PMID- 29794086
TI - Cardiovascular screening of Olympic athletes reported by chief medical officers
of the Rio 2016 Olympic Games.
AB - OBJECTIVE: The IOC recommends periodic cardiovascular screening of athletes, but
the adoption of these recommendations is unknown. The purpose of this
investigation was to evaluate and compare cardiovascular screening practices of
countries participating in the Rio 2016 Olympic Games. METHODS: A list of chief
medical officers (CMOs) was compiled by the IOC during the 2016 Olympic Games.
CMOs were requested to complete an online survey about cardiovascular screening
of their countries' Olympic athletes. Comparisons of screening practices were
made by categorising countries by continent, gross domestic product (GDP) per
capita and size of athlete delegation. RESULTS: CMOs for 117/207 (56.5%)
countries participating in the 2016 Olympic Games were identified. 94/117
countries (80.3%) completed the survey, representing 45.4% of all countries and
8805/11 358 (77.5%) of all 2016 Olympic athletes. Most of the countries surveyed
(70.2%) perform annual cardiovascular screening. Among the survey respondents,
all or most athletes from each country were screened at least once with the
following components: personal history (86.2% of countries), family history
(85.1%), physical examination (87.2%), resting ECG (74.5%), echocardiogram
(31.9%) and stress test (30.8%). Athletes were more likely to be screened with
ECG in countries with relatively larger athlete delegation (OR 2.05, 95% CI 1.10
to 3.80, p=0.023) and with higher GDP per capita (OR 1.69, 95% CI 1.11 to 2.57,
p=0.014). CONCLUSION: Most of the responding countries perform annual
cardiovascular screening of Olympic athletes, but there are differences in the
components used. Athletes from countries with larger athlete delegations and
higher GDP per capita were more likely to be screened with ECG.
PMID- 29794088
TI - Health economic evaluation of a serum-based blood test for brain tumour
diagnosis: exploration of two clinical scenarios.
AB - OBJECTIVES: To determine the potential costs and health benefits of a serum-based
spectroscopic triage tool for brain tumours, which could be developed to reduce
diagnostic delays in the current clinical pathway. DESIGN: A model-based health
pre-trial economic assessment. Decision tree models were constructed based on
simplified diagnostic pathways. Models were populated with parameters identified
from rapid reviews of the literature and clinical expert opinion. SETTING:
Explored as a test in both primary and secondary care (neuroimaging) in the UK
health service, as well as application to the USA. PARTICIPANTS: Calculations
based on an initial cohort of 10 000 patients. In primary care, it is estimated
that the volume of tests would approach 75 000 per annum. The volume of tests in
secondary care is estimated at 53 000 per annum. MAIN OUTCOME MEASURES: The
primary outcome measure was quality-adjusted life-years (QALY), which were
employed to derive incremental cost-effectiveness ratios (ICER) in a cost
effectiveness analysis. RESULTS: Results indicate that using a blood-based
spectroscopic test in both scenarios has the potential to be highly cost
effective in a health technology assessment agency decision-making process, as
ICERs were well below standard threshold values of L20 000-L30 000 per QALY. This
test may be cost-effective in both scenarios with test sensitivities and
specificities as low as 80%; however, the price of the test would need to be
lower (less than approximately L40). CONCLUSION: Use of this test as triage tool
in primary care has the potential to be both more effective and cost saving for
the health service. In secondary care, this test would also be deemed more
effective than the current diagnostic pathway.
PMID- 29794089
TI - China Intracranial Aneurysm Project (CIAP): protocol for a prospective cohort
study of interventional treatment and craniotomy for unruptured aneurysms.
AB - INTRODUCTION: There are two approaches for the treatment of intracranial aneurysm
(IA): interventional therapy and craniotomy, both of which have their advantages
and disadvantages in terms of treatment efficacy. To avoid overtreatment of
unruptured aneurysms (UIA), to save valuable medical resources and to reduce
patient mortality and disability rate, it is vital that neurosurgeons select the
most appropriate type of treatment to provide the best levels of care. In this
study, we propose a refined, prospective, multicentre study for the Chinese
population with strictly defined patient inclusion criteria, along with the
selection of representative clinical participating centres. METHODS AND ANALYSIS:
This report describes a multicentre, prospective cohort study. As IA is extremely
harmful if it ruptures, ethical issues need to be taken into account with regard
to this study. Researchers are therefore not able to use randomised controlled
trials. The study will be conducted by 12 clinical centres located in different
regions of China. The trial recruitment programme begins in 2016 and is scheduled
to be completed in 2020. We expect 1500 participants with UIA to be included.
Clinical information relating to the participants will be recorded objectively.
The primary endpoints are an evaluation of the safety and efficiency of
interventional treatment and craniotomy for 6 months after surgery, with each
participant completing at least 1 year of follow-up. The secondary endpoint is
the evaluation of safety and efficacy of interventional therapy and craniotomy
clipping when participants are treated for 12 months. We also address the success
of treatment and the incidence of adverse events. ETHICS AND DISSEMINATION: The
research protocol and the informed consent form for participants in this study
were approved by the Ethics Committee of Zhujiang Hospital of Southern Medical
University (2017-SJWK-001). The results of this study are expected to be
disseminated in peer-reviewed journals in 2021. TRIAL REGISTRATION NUMBER:
NCT03133598.
PMID- 29794090
TI - Second-generation antipsychotic medications and risk of chronic kidney disease in
schizophrenia: population-based nested case-control study.
AB - OBJECTIVES: The study aims to compare the risk of chronic kidney diseases (CKDs)
between patients with schizophrenia using first and second-generation
antipsychotics. SETTING: Datasets of 2000-2013 National Health Insurance in
Taiwan were used. PARTICIPANTS: The National Health Insurance reimbursement
claims data have been transferred to and managed by the National Health Research
Institute in Taiwan since 1996. We used the Psychiatric Inpatient Medical Claims
database, a subset of the National Health Insurance Research Database, comprising
a cohort of patients hospitalised for psychiatric disorders between 2000 and 2013
(n=2 67 807). The database included patients with at least one psychiatric
inpatient record and one discharge diagnosis of mental disorders coded by the
International Classification of Diseases, Ninth Revision (ICD-9) codes 290-319.
The age of patients at first admission was restricted to 18-65 years. PRIMARY
OUTCOME: CKD (ICD-9 code 582, 583, 585, 586, 588) requiring hospitalisation or
three outpatient visits. The diagnosis of CKD follows the criteria of 'Kidney
Disease: Improving Global Outcomes' in Taiwan. CKD is defined as a kidney damage
as albumin-to-creatinine ratio >30 mg/g in two of three spot urine specimens or
glomerular filtration rate <60 mL/min/1.73 m2 for 3 months or more. RESULTS: We
found that the risks for CKD were higher for those who used second-generation
antipsychotics (SGAs) longer cumulatively than those who did not. Using non
users, patients did not have any SGA records, as reference group, the risks for
CKD comparing those using SGAs for 90 to 180 days with non-users and those using
SGAs for more than 1000 days were 1.42 (1.06-1.91) and 1.30 (1.13-1.51),
respectively. CONCLUSIONS: The current study suggests the relationship between
using SGAs and risk of CKD.
PMID- 29794091
TI - Validation of prediction models for risk stratification of incidentally detected
pulmonary subsolid nodules: a retrospective cohort study in a Korean tertiary
medical centre.
AB - OBJECTIVES: To validate the performances of two prediction models (Brock and Lee
models) for the differentiation of minimally invasive adenocarcinoma (MIA) and
invasive pulmonary adenocarcinoma (IPA) from preinvasive lesions among subsolid
nodules (SSNs). DESIGN: A retrospective cohort study. SETTING: A tertiary
university hospital in South Korea. PARTICIPANTS: 410 patients with 410
incidentally detected SSNs who underwent surgical resection for the pulmonary
adenocarcinoma spectrum between 2011 and 2015. PRIMARY AND SECONDARY OUTCOME
MEASURES: Using clinical and radiological variables, the predicted probability of
MIA/IPA was calculated from pre-existing logistic models (Brock and Lee models).
Areas under the receiver operating characteristic curve (AUCs) were calculated
and compared between models. Performance metrics including sensitivity,
specificity, accuracy, positive predictive value (PPV) and negative predictive
value (NPV) were also obtained. RESULTS: For pure ground-glass nodules (n=101),
the AUC of the Brock model in differentiating MIA/IPA (59/101) from preinvasive
lesions (42/101) was 0.671. Sensitivity, specificity, accuracy, PPV and NPV based
on the optimal cut-off value were 64.4%, 64.3%, 64.4%, 71.7% and 56.3%,
respectively. Sensitivity, specificity, accuracy, PPV and NPV according to the
Lee criteria were 76.3%, 42.9%, 62.4%, 65.2% and 56.3%, respectively. AUC was not
obtained for the Lee model as a single cut-off of nodule size (>=10 mm) was
suggested by this model for the assessment of pure ground-glass nodules. For part
solid nodules (n=309; 26 preinvasive lesions and 283 MIA/IPAs), the AUC was 0.746
for the Brock model and 0.771 for the Lee model (p=0.574). Sensitivity,
specificity, accuracy, PPV and NPV were 82.3%, 53.8%, 79.9%, 95.1% and 21.9%,
respectively, for the Brock model and 77.0%, 69.2%, 76.4%, 96.5% and 21.7%,
respectively, for the Lee model. CONCLUSIONS: The performance of prediction
models for the incidentally detected SSNs in differentiating MIA/IPA from
preinvasive lesions might be suboptimal. Thus, an alternative risk calculation
model is required for the incidentally detected SSNs.
PMID- 29794092
TI - Gelatine tannate in the management of acute gastroenteritis in children: a
randomised controlled trial.
AB - OBJECTIVE: To assess the efficacy of gelatine tannate (a complex of tannic acid
with astringent and anti-inflammatory properties, and a protective gelatine) for
the treatment of acute gastroenteritis (AGE) in children. DESIGN: Randomised,
double-blind, placebo-controlled trial. Intention-to-treat analysis. SETTING: Two
paediatric hospitals in Warsaw. PARTICIPANTS: Children younger than 5 years of
age with AGE, defined as a change in stool consistency to a loose or liquid form
(according to the Bristol Stool Form Scale or Amsterdam Stool Form Scale) and/or
an increase in the frequency of evacuations (>=3 in 24 hours), lasting for no
longer than 5 days. INTERVENTIONS: Seventy-two children were assigned to receive
gelatine tannate (n=36) or placebo (n=36) in addition to standard rehydration
therapy. The gelatine tannate was administered at an age-dependent dose (250-500
mg), and both study products were taken four times per day for 5 days. PRIMARY
AND SECONDARY OUTCOME MEASURES: The main outcome measure was duration of
diarrhoea. Secondary outcomes included the need for intravenous rehydration, need
for hospitalisation of outpatients, number of watery stools per day, vomiting,
weight gain, adverse events, recurrence of diarrhoea, severity of diarrhoea
according to the Vesikari Scale and use of concomitant medications. RESULTS:
Sixty-four children (89%) completed the intervention and were included in the
analysis. The duration of diarrhoea after randomisation was similar in the
gelatine tannate and placebo groups (75.6+/-27.8 vs 75.5+/-29.0 hours,
respectively, mean difference 0.1 hours, 95% CI -14.1 to 14.3 hours). There was
no significant difference between groups in the number of watery stools per day
throughout the study period. There were also no differences in any other
secondary outcome measures between groups. CONCLUSION: In children with AGE
younger than 5 years of age, gelatine tannate was ineffective as an adjunct to
rehydration therapy. TRIAL REGISTRATION NUMBER: NCT02280759.
PMID- 29794093
TI - Exploring emergency department 4-hour target performance and cancelled elective
operations: a regression analysis of routinely collected and openly reported NHS
trust data.
AB - OBJECTIVE: To quantify the effect of intrahospital patient flow on emergency
department (ED) performance targets and indicate if the expectations set by the
National Health Service (NHS) England 5-year forward review are realistic in
returning emergency services to previous performance levels. DESIGN: Linear
regression analysis of routinely reported trust activity and performance data
using a series of cross-sectional studies. SETTING: NHS trusts in England
submitting routine nationally reported measures to NHS England. PARTICIPANTS: 142
acute non-specialist trusts operating in England between 2012 and 2016. MAIN
OUTCOME MEASURES: The primary outcome measures were proportion of 4-hour waiting
time breaches and cancelled elective operations. METHODS: Univariate and
multivariate linear regression models were used to show relationships between the
outcome measures and various measures of trust activity including empty day beds,
empty night beds, day bed to night bed ratio, ED conversion ratio and delayed
transfers of care. RESULTS: Univariate regression results using the outcome of 4
hour breaches showed clear relationships with empty night beds and ED conversion
ratio between 2012 and 2016. The day bed to night bed ratio showed an increasing
ability to explain variation in performance between 2015 and 2016. Delayed
transfers of care showed little evidence of an association. Multivariate model
results indicated that the ability of patient flow variables to explain 4-hour
target performance had reduced between 2012 and 2016 (19% to 12%), and had
increased in explaining cancelled elective operations (7% to 17%). CONCLUSIONS:
The flow of patients through trusts is shown to influence ED performance;
however, performance has become less explainable by intratrust patient flow
between 2012 and 2016. Some commonly stated explanatory factors such as delayed
transfers of care showed limited evidence of being related. The results indicate
some of the measures proposed by NHS England to reduce pressure on EDs may not
have the desired impact on returning services to previous performance levels.
PMID- 29794094
TI - Prevalence of peritonitis and mortality in patients treated with continuous
ambulatory peritoneal dialysis (CAPD) in Africa: a protocol for a systematic
review and meta-analysis.
AB - INTRODUCTION: Continuous ambulatory peritoneal dialysis (CAPD) is the ideal
modality for renal replacement therapy in most African settings given that it is
relatively cheaper than haemodialysis (HD) and does not require in-centre care.
CAPD is, however, not readily utilised as it is often complicated by peritonitis
leading to high rates of technique failure. The objective of this study is to
assess the prevalence of CAPD-related peritonitis and all-cause mortality in
patients treated with CAPD in Africa. METHODS AND ANALYSIS: We will search
PubMed, EMBASE, SCOPUS, Africa Journal Online and Google Scholar for studies
conducted in Africa from 1 January 1980 to 30 June 2017 with no language
restrictions. Eligible studies will include cross-sectional, prospective
observational and cohort studies of patients treated with CAPD. Two authors will
independently screen, select studies, extract data and conduct risk of bias
assessment. Data consistently reported across studies will be pooled using random
effects meta-analysis. Heterogeneity will be evaluated using Cochrane's Q
statistic and quantified using I2 statistics. Graphical and formal statistical
tests will be used to assess for publication bias. ETHICS AND DISSEMINATION:
Ethical approval will not be needed for this study as data used will be extracted
from already published studies. Results of this review will be published in a
peer-reviewed journal and presented at conferences. The Preferred Reporting Items
for Systematic reviews and Meta-Analyses for Protocols 2015 (PRISMA-P 2015)
framework guided the development of this protocol. PROSPERO REGISTRATION NUMBER:
CRD42017072966.
PMID- 29794095
TI - Non-pharmacological interventions for the prevention of hypertension in low
income and middle-income countries: protocol for a systematic review and meta
analysis.
AB - INTRODUCTION: In recent times, hypertension has become one of the major public
health concerns in both the developed and the developing world and is responsible
for death due to heart diseases and stroke. The increasing trend of the
prevalence of hypertension in low-income and middle-income countries (LMICs) and
it's catastrophic consequences have made the phenomenon important to continue to
investigate interventions for its prevention and control. Different dietary and
lifestyle-related approaches have been recommended for the prevention of
hypertension. The aim of this proposed review is to explore the available non
pharmacological interventions tried for the prevention of hypertension in LMICs.
METHODS AND ANALYSIS: Eight electronic databases will be searched covering the
period between 1990 and 2016 to identify relevant studies and will be screened by
two independent reviewers. The searched articles will be included for full-text
extraction applying definitive inclusion and exclusion criteria. Appropriate
critical appraisal tools including the Cochrane Handbook for Systematic Reviews
of Interventions will be used to assess the risk of bias. Disagreement between
the two reviewers will be resolved by a third reviewer. Narrative synthesis of
the findings will be provided along with summaries of the intervention effect. A
meta-analysis will be undertaken using the random-effects model where applicable.
Heterogeneity between the studies will be assessed, and sensitivity analysis will
be conducted based on study quality. ETHICS AND DISSEMINATION: Approval from the
institutional review board has been taken for this review. Findings will be
summarised in a single manuscript.This review is an attempt to explore the
available non-pharmacological approaches for the prevention of hypertension in
LMICs. Findings from the review will highlight effective non-pharmacological
measures for the prevention of hypertension to guide policy for future
strategies. PROSPERO REGISTRATION NUMBER: CRD42017055423.
PMID- 29794096
TI - Is disability exclusion associated with psychological distress? Australian
evidence from a national cross-sectional survey.
AB - OBJECTIVE: To examine the association between disability exclusion and
psychological distress. DESIGN: Cross-sectional study. SETTING: Population-based
study of individuals living in households across Australia. PARTICIPANTS:
Respondents were persons aged 15 and over living with a disability. PRIMARY
OUTCOME MEASURES: Reporting an experience of discrimination or avoidance
behaviour due to a respondent's disability. High or very high levels of
psychological distress measured using the Kessler K10 instrument. METHODOLOGY:
Using the Survey of Disability, Ageing and Carers, we calculated the prevalence
of persons with a disability experiencing psychological distress, disaggregated
by experiences of disability exclusion, including discrimination and avoidance.
Logistic regression models were fitted to examine the association between
disability exclusion and psychological distress, once extensive controls and
adjustments for survey design and presence of psychosocial disabilities were
considered. RESULTS: About 62% of persons citing an experience of disability
discrimination were in psychological distress, compared with 27% of those citing
no discrimination. Furthermore, 53% of those who actively avoided social,
familial or economic activities because of their disability experienced
psychological distress, compared with 19% of those who did not avoid these
situations. After controlling for demographic characteristics and disabling
conditions, reporting an experience of disability discrimination or disability
avoidance increased the odds of psychological distress by 2.2 (95% CI 1.74 to
2.26) and 2.6 (95% CI 2.28 to 2.97) times, respectively. Those who experienced
both avoidance and discrimination were 3.7 (95% CI 2.95 to 4.72) times more
likely to be in psychological distress than those experiencing neither. Avoidance
and discrimination in healthcare settings were also found to be strongly
associated with experiencing psychological distress. CONCLUSIONS: Given new
policy initiatives to improve disability care, coupled with the increasing speed
of population ageing, the onus is on governments and its citizenry to address
disability exclusion to offset potential mental health impacts.
PMID- 29794098
TI - Financial, opportunity and psychosocial costs of spinal muscular atrophy: an
exploratory qualitative analysis of Australian carer perspectives.
AB - OBJECTIVES: Spinal muscular atrophy (SMA) has profound implications for patients
and families. The aim of the present study was to gain insights into the effects
caring for a child with SMA has on the costs incurred by families caring for a
child with SMA from carer perspectives to identify gaps in provision of care,
inform public policy and cost-effectiveness analyses. DESIGN: Interpretive
phenomenological analysis guided the delivery and analysis of semi-structured
interviews undertaken to explore the financial, opportunity and psychosocial
costs associated with caring for children with SMA. PARTICIPANTS AND SETTING:
Parents of children with SMA types II and III from a single Australian paediatric
neuromuscular clinic participated in this study. RESULTS: A range of experiences
were reported and information saturation (n=7) was reached endorsing themes,
including: significant financial and caregiving burdens, adjusted career choices
and limitations on career progression and a complex landscape of access to
funding, equipment, support and resources. Opportunity costs of foregone
employment, purchases and leisure activities were substantial, as were emotional
and social impacts. Participants voiced determination and resilience, and called
for continued efforts to improve supportive care services and resources.
CONCLUSIONS: The range and nature of costs met by families caring for a child
with SMA were found to be expansive and not typically recognised. These include
high direct costs associated with goods and services, indirect costs associated
with voluntary care, substantial and long-term opportunities foregone in paid
employment and career progression and unmeasured or hidden costs associated with
mental health burden.
PMID- 29794097
TI - Polypharmacy among patients with diabetes: a cross-sectional retrospective study
in a tertiary hospital in Saudi Arabia.
AB - : ObjectivesPatients with diabetes are at high risk for polypharmacy (ie, use of
multiple medications) for treatment of diabetes, associated comorbidities and
other coexisting conditions. This study aims to estimate the prevalence of
polypharmacy and factors associated with polypharmacy among adult patients with
diabetes. METHODS: A cross-sectional retrospective observational study of adults
with diabetes, who visited the outpatient clinic of a tertiary teaching hospital
in Saudi Arabia, was conducted. Data were extracted from the Electronic Health
Record database for a period of 12 months (January-December 2016). Polypharmacy
was defined as the cumulative use of five or more medications. Polypharmacy among
adults with diabetes was measured by calculating the average number of
medications prescribed per patient. A multivariable logistic regression model was
used to examine the factors associated with polypharmacy. RESULTS: A total of
8932 adults with diabetes were included in this study. Of these, nearly 78% had
polypharmacy which was more likely among women as compared with men and more
likely among older adults (age >=60 years) as compared with the adults. Also,
polypharmacy was two times as likely among patients with coexisting
cardiovascular conditions (adjusted OR (AOR)=2.89; 95% CI 2.54 to 3.29),
respiratory disease (AOR=2.42; 95% CI 1.92 to 3.03) and mental health conditions
(AOR=2.19; 95% CI 1.74 to 2.76), and three times as likely among patients with
coexisting musculoskeletal disease (AOR=3.16; 95% CI 2.31 to 4.30) as compared
with those without these coexisting chronic conditions categories. CONCLUSIONS:
Polypharmacy is common among patients with diabetes, with an even higher rate in
older adults patients. Healthcare providers can help in detecting polypharmacy
and in providing recommendations for simplifying medication regimens and
minimising medications to enhance the outcome of diabetes care.
PMID- 29794099
TI - Partly randomised, controlled study in children aged 6-10 years to investigate
motor and cognitive effects of a 9-week coordination training intervention with
concurrent mental tasks.
AB - INTRODUCTION: Physical training may play a prominent role in the development of
preadolescent brains, but it is yet to be determined what type of exercise may
generate higher cognitive effects, and if concurrent mental engagement provides
further efficacy. The aim of this study is to investigate motor and cognitive
effects of a 9-week exercise intervention in children aged 6-10 years. Trainings
include the automatisation of challenging coordination exercises with concurrent
mental tasks (intervention group) and multisport exercises with and without
mental tasks (two control groups). It is hypothesised that all groups gain motor
and cognitive effects, but highest benefits are expected for the combination of
automatised coordination exercises with mental tasks. METHODS AND ANALYSIS: Two
elementary schools (~500 students) take part in the study. Data are generated by
using the German Motor Performance Test 6-18 (Deutscher Motorik-Test 6-18), TDS
(Match 4 Point), d2-R test of attention and Kasel-Concentration-Task for Children
Aged 3-8 Years; test-duration: 6-7 min. After pretesting in September 2017 and a
9-week training intervention, post-testing takes place in December 2017 and March
2018 (long-term effects). Training interventions consist of coordination
exercises with concurrent mental tasks (intervention group) and multimotor
exercises with and without concurrent mental tasks (control groups). Shapiro-Wilk
test will be used to test for normal distribution and the Levene test for
variance homogeneity. The appropriate multivariate statistical methods
(multivariate analysis of variance or Kruskal-Wallis test) will be used for
analysing differences among the groups and for comparing preintervention with
postintervention performances. ETHICS AND DISSEMINATION: All procedures have been
approved by the board for ethical questions in science of the University of
Innsbruck. Findings will be published in 2018 in international journals and
presented at conferences. Schools will be informed of key results.
PMID- 29794100
TI - Linkage to care among adults being investigated for tuberculosis in South Africa:
pilot study of a case manager intervention.
AB - OBJECTIVES: We piloted an intervention to determine if support from a case
manager would assist adults being investigated for tuberculosis (TB) to link into
TB and HIV care. DESIGN: Pilot interventional cohort study. PARTICIPANTS AND
SETTING: Patients identified by primary healthcare clinic staff in South Africa
as needing TB investigations were enrolled. INTERVENTION: Participants were
supported for 3 months by case managers who facilitated the care pathway by
promoting HIV testing, getting laboratory results, calling patients to return for
results and facilitating treatment initiation. OUTCOMES MEASURED: Linkage to TB
care was defined as starting TB treatment within 28 days in those with a positive
test result; linkage to HIV care, for HIV-positive people, was defined as having
blood taken for CD4 count and, for those eligible, starting antiretroviral
therapy within 3 months. Intervention implementation was measured by number of
attempts to contact participants. RESULTS: Among 562 participants (307 (54.6%)
female, median age: 36 years (IQR 29-44)), most 477 (84.8%) had previously tested
for HIV; of these, 328/475 (69.1%) self-reported being HIV-positive. Overall,
189/562 (33.6%) participants needed linkage to care (132 HIV care linkage only;
35 TB treatment linkage only; 22 both). Of 555 attempts to contact these 189
participants, 407 were to facilitate HIV care linkage, 78 for TB treatment
linkage and 70 for both. At the end of 3-month follow-up, 40 participants had not
linked to care (29 of the 132 (22.0%) participants needing linkage to HIV care
only, 4 of the 35 (11.4%) needing to start on TB treatment only and 7 of the 22
(31.8%) needing both). CONCLUSION: Many people testing for TB need linkage to
care. Despite case manager support, non-linkage into HIV care remained higher
than desirable, suggesting a need to modify this intervention before
implementation. Innovative strategies to enable linkage to care are needed.
PMID- 29794101
TI - Reperfusion Injury after ischemic Stroke Study (RISKS): single-centre (Florence,
Italy), prospective observational protocol study.
AB - INTRODUCTION: Treatments aiming at reperfusion of the acutely ischaemic brain
tissue may result futile or even detrimental because of the so-called reperfusion
injury. The processes contributing to reperfusion injury involve a number of
factors, ranging from blood-brain barrier (BBB) disruption to circulating
biomarkers. Our aim is to evaluate the relative effect of imaging and circulating
biomarkers in relation to reperfusion injury. METHODS AND ANALYSIS: Observational
hospital-based study that will include 140 patients who had ischaemic stroke,
treated with systemic thrombolysis, endovascular treatment or both. BBB
disruption will be assessed with CT perfusion (CTP) before treatment, and levels
of a large panel of biomarkers will be measured before intervention and after 24
hours. Relevant outcomes will include: (1) reperfusion injury, defined as
radiologically relevant haemorrhagic transformation at 24 hours and (2) clinical
status 3 months after the index stroke. We will investigate the separate and
combined effect of pretreatment BBB disruption and circulating biomarkers on
reperfusion injury and clinical status at 3 months. Study protocol is registered
at http://www.clinicaltrials.gov (ClinicalTrials.gov ID: NCT03041753). ETHICS AND
DISSEMINATION: The study protocol has been approved by ethics committee of the
Azienda Ospedaliero Universitaria Careggi (Universita degli Studi di Firenze).
Informed consent is obtained by each patient at time of enrolment or deferred
when the participant lacks the capacity to provide consent during the acute
phase. Researchers interested in testing hypotheses with the data are encouraged
to contact the corresponding author. Results from the study will be disseminated
at national and international conferences and in medical thesis. TRIAL
REGISTRATION NUMBER: NCT03041753.
PMID- 29794102
TI - Cardiac complications after stroke: protocol for a systematic review and meta
analysis.
AB - INTRODUCTION: Stroke is the second most common cause of death after ischaemic
heart diseases and the third leading cause of disability worldwide. The
contribution of cardiac complications to the mortality of patients with stroke is
variable across studies, ranging from 12.5% to 22.7%. Many of these cardiac
complications are preventable, and early recognition and adequate management
guided by appropriate up-to-date knowledge of their relative incidence and
fatality can help to improve patients' outcomes. This systematic review aims to
summarise the available data on the burden of cardiac complications after stroke.
METHODS AND ANALYSIS: This review will include all cross-sectional, case-control
and cohort studies and clinical trials published between 1 January 1950 and 31
December 2017, involving adults and/or children, and reporting on the prevalence,
the incidence and/or the mortality of cardiac complications after stroke. Two
reviewers will independently screen titles and abstracts of records retrieved
from PubMed, Excerpta Medica Database, ISI Web of Science and the Cumulative
Index to Nursing and Allied Health Literature for eligibility, and then assess
the risk of bias and quality of reporting to select the studies which will be
included. All authors will contribute to the retrieval of full texts of eligible
records and data extraction. Heterogeneity across studies will be evaluated by
the chi2 test on Cochran's Q statistic. Study-specific estimates of the
prevalence, incidence and mortality of cardiac complications after stroke across
studies will be pooled through random-effect or fixed-effect meta-analysis
depending on the source of the heterogeneity, after stabilising the variance of
individual studies using the Freeman-Tukey double arcsine transformation. Visual
analysis of funnel plots and Egger's test will be done to detect small-study
effect. ETHICS AND DISSEMINATION: This review and meta-analysis will be based on
published data and will therefore not require a specific ethical clearance. The
results will be published in peer-reviewed journals. PROSPERO REGISTRATION
NUMBER: CRD42018082551.
PMID- 29794104
TI - Alcohol-related presentations to emergency departments in Ireland: a descriptive
prevalence study.
AB - OBJECTIVES: To determine the prevalence of alcohol-related presentations in all
29 emergency departments (EDs) in Ireland and compare with non-alcohol-related
presentations in order to identify opportunities for improvements in the quality
of patient care and related data collection. DESIGN AND SETTING: Descriptive
prevalence study reviewing all records in the same four 6-hour periods in every
24-hour ED in the country. PARTICIPANTS: 3194 persons who attended EDs over four
specified 6-hour periods. PRIMARY OUTCOME MEASURES: The prevalence of alcohol
related presentations, comparison with non-alcohol-related presentations and the
categorisation of these presentations according to WHO International Statistical
Classification of Diseases and Related Health Problems 10th Revision codes.
RESULTS: The total number of presentations was 3194 in the four 6-hour periods,
of whom 189 (5.9%) were alcohol related, varying from 29.0% in the early hours of
Sunday morning to 1.2% on Monday morning (p>0.0001). The alcohol-related
presentations were more likely to be men, attend on early hours of Sunday
morning, arrive by ambulance, leave before being seen by a doctor or leave
against medical advice; and they were less likely to be admitted to hospital.
CONCLUSIONS: Alcohol-related presentations are a significant burden on EDs and
ambulance services, especially in the early hours of Sunday mornings. Addressing
the alcohol-related burden on EDs requires improvements in data collection and
information systems, the development of appropriate interventions and related
referral services and better preventive actions for alcohol-related harm.
PMID- 29794103
TI - Epidemiology of multimorbidity in New Zealand: a cross-sectional study using
national-level hospital and pharmaceutical data.
AB - OBJECTIVES: To describe the prevalence of multimorbidity (presence of two or more
long-term health conditions) in the New Zealand (NZ) population, and compare risk
of health outcomes by multimorbidity status. DESIGN: Cross-sectional analysis for
prevalence of multimorbidity, with 1-year prospective follow-up for health
outcomes. SETTING: NZ general population using national-level routine health data
on hospital discharges and pharmaceutical dispensing. PARTICIPANTS: All NZ adults
(aged 18+, n=3 489 747) with an active National Health Index number at the index
date (1 January 2014). OUTCOME MEASURES: Prevalence of multimorbidity was
calculated using two data sources: prior routine hospital discharge data (61 ICD
10 coded diagnoses from the M3 multimorbidity index); and recent pharmaceutical
dispensing records (30 conditions from the P3 multimorbidity index). METHODS:
Prevalence of multimorbidity was calculated separately for the two data sources,
stratified by age group, sex, ethnicity and socioeconomic deprivation, and age
and sex standardised to the total population. One-year risk of poor health
outcomes (mortality, ambulatory sensitive hospitalisation (ASH) and overnight
hospital admission) was compared by multimorbidity status using logistic
regression adjusted for confounders. RESULTS: Prevalence of multimorbidity was
7.9% using past hospital discharge data, and 27.9% using past pharmaceutical
dispensing data. Prevalence increased with age, with a clear socioeconomic
gradient and differences in prevalence by ethnicity. Age and sex standardised
risk of 1-year mortality was 2.7% for those with multimorbidity (defined on
hospital discharge data), and 0.5% for those without multimorbidity (age and sex
adjusted OR 4.8, 95% CI 4.7 to 5.0). Risk of ASH was also increased for those
with multimorbidity (eg, pharmaceutical discharge definition: age and sex
standardised risk 6.2%, compared with 1.8% for those without multimorbidity; age
and sex-adjusted OR 3.6, 95% CI 3.5 to 3.6). CONCLUSIONS: Multimorbidity is
common in the NZ adult population, with disparities in who is affected. Providing
for the needs of individuals with multimorbidity requires collaborative and
coordinated work across the health sector.
PMID- 29794105
TI - Multimorbidity in children and youth: a scoping review protocol.
AB - INTRODUCTION: Multimorbidity (co-occurring physical and mental illness) is an
important issue for clinicians and researchers with combined efforts aimed at
promoting the health and well-being of individuals across the life course. In
children and youth, experience of any chronic physical illness leads to a
substantial increase in risk for mental illness. As a growing field of interest,
research is needed to map the current state of the literature in child and youth
multimorbidity in order to identify existing gaps and inform the direction of
future investigations. METHODS AND ANALYSIS: We are proposing the conduct of a
scoping review to explore the depth and breadth of existing evidence in the field
of child and youth multimorbidity. The scoping review will follow the
methodological framework developed by Arksey and O'Malley, and will incorporate
additional scoping review recommendations made by Levac et al. A systematic
search of the following four key databases will be conducted: (1) PubMed; (2)
EMBASE; (3) PsycINFO; and (4) Scopus, using combinations of Medical Subject
Headings (MeSH) and Emtree terms. We will also consult grey literature sources
and hand-search reference lists of included studies to identify additional
studies of relevance. For eligible studies that meet all identified inclusion and
exclusion criteria, a data extraction tool will be used to collect and store key
study characteristics that will be relevant for collating, summarising and
reporting the results of the scoping review. This scoping review also presents a
novel use of quality index scoring, which we anticipate will contribute to
strengthening the rigour of the scoping review methodology. ETHICS AND
DISSEMINATION: The proposed scoping review does not require ethical approval.
Final study results will be disseminated via conference presentations,
publication in a peer-reviewed journal and knowledge translation activities with
relevant stakeholders.
PMID- 29794106
TI - Clinical prediction models for young febrile infants at the emergency department:
an international validation study.
AB - OBJECTIVE: To assess the diagnostic value of existing clinical prediction models
(CPM; ie, statistically derived) in febrile young infants at risk for serious
bacterial infections. METHODS: A systematic literature review identified eight
CPMs for predicting serious bacterial infections in febrile children. We
validated these CPMs on four validation cohorts of febrile children in Spain (age
<3 months), France (age <3 months) and two cohorts in the Netherlands (age 1-3
months and >3-12 months). We evaluated the performance of the CPMs by
sensitivity/specificity, area under the receiver operating characteristic curve
(AUC) and calibration studies. RESULTS: The original cohorts in which the
prediction rules were developed (derivation cohorts) ranged from 381 to 15 781
children, with a prevalence of serious bacterial infections varying from 0.8% to
27% and spanned an age range of 0-16 years. All CPMs originally performed
moderately to very well (AUC 0.60-0.93). The four validation cohorts included 159
2204 febrile children, with a median age range of 1.8 (1.2-2.4) months for the
three cohorts <3 months and 8.4 (6.0-9.6) months for the cohort >3-12 months of
age. The prevalence of serious bacterial infections varied between 15.1% and
17.2% in the three cohorts <3 months and was 9.8% for the cohort >3-12 months of
age. Although discriminative values varied greatly, best performance was observed
for four CPMs including clinical signs and symptoms, urine dipstick analyses and
laboratory markers with AUC ranging from 0.68 to 0.94 in the three cohorts <3
months (ranges sensitivity: 0.48-0.94 and specificity: 0.71-0.97). For the >3-12
months' cohort AUC ranges from 0.80 to 0.89 (ranges sensitivity: 0.70-0.82 and
specificity: 0.78-0.90). In general, the specificities exceeded sensitivities in
our cohorts, in contrast to derivation cohorts with high sensitivities, although
this effect was stronger in infants <3 months than in infants >3-12 months.
CONCLUSION: We identified four CPMs, including clinical signs and symptoms, urine
dipstick analysis and laboratory markers, which can aid clinicians in identifying
serious bacterial infections. We suggest clinicians should use CPMs as an
adjunctive clinical tool when assessing the risk of serious bacterial infections
in febrile young infants.
PMID- 29794107
TI - Exploring how non-inferiority and equivalence are assessed in paediatrics: a
systematic review.
AB - OBJECTIVE: To review characteristics, methodology and reporting of non
inferiority and equivalence trials in the specific context of paediatrics.
DESIGN: PubMed and Cochrane databases were searched (up to September 2016) for
non-inferiority/equivalence randomised controlled trials conducted in children
published in high-impact-factor journals (>5.0 for general/specialist medical
journals; >2.2 for paediatric journals). RESULTS: We found that the statistical
hypothesis was inconsistent with the objective in 12 (10%) of the 125 reports
included. Non-inferiority (n=98) and equivalence trials (n=27) were mostly used
to evaluate interventions with easier administration (45%, n=54/120) and/or
better safety profile (34%, n=41/120). All the data needed for targeted sample
size recalculation were available for 39 reports (31%). The margin-representing
the largest difference between arms that would be clinically acceptable-was
reported in 119 (95%), and 44/119 (37%) reported the method used for margin
determination. The median sample size was 268 (IQR 125-531). Margins were wider
in smaller trials (<125 randomised patients) than in larger trials (p=0.04/p<0.01
for binary/continuous outcomes, respectively). We did not agree with the authors'
conclusions in 11% (11/103) of the reports that provided sufficient information.
CONCLUSIONS: There is still a need to improve the quality of methodology,
reporting and interpretation of non-inferiority/equivalence trials in
paediatrics. In particular, the margins were often not justified and the
conclusion was often not supported by the design and/or the results. As
researchers have to cope with small sample size and with lack of evidence,
methods for non-inferiority/equivalence trials need to be used and/or developed
in this vulnerable population.
PMID- 29794108
TI - Airway clearance physiotherapy improves ventilatory dynamics during exercise in
patients with cystic fibrosis: a pilot study.
AB - BACKGROUND: Airflow limitation and dynamic hyperinflation may limit exercise
capacity in patients with cystic fibrosis (CF). The aim was to investigate
whether the undertaking of airway clearance physiotherapy (ACT) prior to
cardiopulmonary exercise testing (CPET) results in improvements in exercise
capacity. METHODS: A prospective randomised, cross-over pilot study was performed
in children aged >9 years. Spirometry, plethysmography and CPET were performed on
two separate occasions-one test with ACT prior to CPET and the other without.
RESULTS: 12 patients with CF were included in the study with a mean (SD) age of
12.83 (1.85) years. No significant difference in peak oxygen uptake (VO2) was
found between the tests. However, lower minute ventilation (VE) and ventilatory
equivalents (VEVO2 and VEVCO2) at ventilatory threshold (VT) were noted when ACT
was undertaken prior to CPET. The mean(SD) VE (L/min) at VT was 26.67 (5.49) vs
28.92 (6.3) (p=0.05), VEVO2 (L/min) at VT was 24.5 (1.75) vs 26.05 (2.5) (p=0.03)
and VEVCO2 (L/min) at VT was 26.58 (2.41) vs 27.98 (2.11) (p=0.03). CONCLUSIONS:
These pilot data suggest that ACT prior to exercise may lead to improved
ventilatory dynamics during exercise in individuals with CF.
PMID- 29794109
TI - Genetic Screening Test to Detect Translocations in Acute Leukemias by Use of
Targeted Locus Amplification.
AB - BACKGROUND: Over 500 translocations have been identified in acute leukemia. To
detect them, most diagnostic laboratories use karyotyping, fluorescent in situ
hybridization, and reverse transcription PCR. Targeted locus amplification (TLA),
a technique using next-generation sequencing, now allows detection of the
translocation partner of a specific gene, regardless of its chromosomal origin.
We present a TLA multiplex assay as a potential first-tier screening test for
detecting translocations in leukemia diagnostics. METHODS: The panel includes 17
genes involved in many translocations present in acute leukemias. Procedures were
optimized by using a training set of cell line dilutions and 17 leukemia patient
bone marrow samples and validated by using a test set of cell line dilutions and
a further 19 patient bone marrow samples. Per gene, we determined if its region
was involved in a translocation and, if so, the translocation partner. To balance
sensitivity and specificity, we introduced a gray zone showing indeterminate
translocation calls needing confirmation. We benchmarked our method against
results from the 3 standard diagnostic tests. RESULTS: In patient samples passing
QC, we achieved a concordance with benchmarking tests of 81% in the training set
and 100% in the test set, after confirmation of 4 and nullification of 3 gray
zone calls (in total). In cell line dilutions, we detected translocations in 10%
aberrant cells at several genetic loci. CONCLUSIONS: Multiplex TLA shows
promising results as an acute leukemia screening test. It can detect cryptic and
other translocations in selected genes. Further optimization may make this assay
suitable for diagnostic use.
PMID- 29794111
TI - Algorithmically outsourcing the detection of statistical errors and other
problems.
PMID- 29794110
TI - 65 YEARS OF THE DOUBLE HELIX: Genetics informs precision practice in the
diagnosis and management of pheochromocytoma.
AB - Although the authors of the present review have contributed to genetic
discoveries in the field of pheochromocytoma research, we can legitimately ask
whether these advances have led to improvements in the diagnosis and management
of patients with pheochromocytoma. The answer to this question is an emphatic
Yes! In the field of molecular genetics, the well-established axiom that familial
(genetic) pheochromocytoma represents 10% of all cases has been overturned, with
>35% of cases now attributable to germline disease-causing mutations.
Furthermore, genetic pheochromocytoma can now be grouped into five different
clinical presentation types in the context of the ten known susceptibility genes
for pheochromocytoma-associated syndromes. We now have the tools to diagnose
patients with genetic pheochromocytoma, identify germline mutation carriers and
to offer gene-informed medical management including enhanced surveillance and
prevention. Clinically, we now treat an entire family of tumors of the
paraganglia, with the exact phenotype varying by specific gene. In terms of
detection and classification, simultaneous advances in biochemical detection and
imaging localization have taken place, and the histopathology of the
paraganglioma tumor family has been revised by immunohistochemical-genetic
classification by gene-specific antibody immunohistochemistry. Treatment options
have also been substantially enriched by the application of minimally invasive
and adrenal-sparing surgery. Finally and most importantly, it is now widely
recognized that patients with genetic pheochromocytoma/paraganglioma syndromes
should be treated in specialized centers dedicated to the diagnosis, treatment
and surveillance of this rare neoplasm.
PMID- 29794112
TI - How DNA vicinity controls SUMO E3 ligase activity.
PMID- 29794113
TI - Blood pressure-lowering treatment lowers mortality and cardiovascular disease
risk, but whether effects differ at an arbitrary threshold of 140 mm Hg systolic
blood pressure requires further research.
PMID- 29794114
TI - JCAD, a Gene at the 10p11 Coronary Artery Disease Locus, Regulates Hippo
Signaling in Endothelial Cells.
AB - Objective- A large number of genetic loci have been associated with risk of
coronary artery disease (CAD) through genome-wide association studies, however,
for most loci the underlying biological mechanism is unknown. Determining the
molecular pathways and cellular processes affected by these loci will provide new
insights into CAD pathophysiology and may lead to new therapies. The CAD
associated variants at 10p11.23 fall in JCAD, which encodes an endothelial
junction protein, however, its molecular function in endothelial cells is not
known. In this study, we characterize the molecular role of JCAD (junctional
cadherin 5 associated) in endothelial cells. Approach and Results- We show that
JCAD knockdown in endothelial cells affects key phenotypes related to
atherosclerosis including proliferation, migration, apoptosis, tube formation,
and monocyte binding. We demonstrate that JCAD interacts with LATS2 (large tumor
suppressor kinase 2) and negatively regulates Hippo signaling leading to
increased activity of YAP (yes-associated protein), the transcriptional effector
of the pathway. We also show by double siRNA knockdown that the phenotypes caused
by JCAD knockdown require LATS2 and that JCAD is involved in transmission of RhoA
mediated signals into the Hippo pathway. In human tissues, we find that the CAD
associated lead variant, rs2487928, is associated with expression of JCAD in
arteries, including atherosclerotic arteries. Gene co-expression analyses across
disease-relevant tissues corroborate our phenotypic findings and support the link
between JCAD and Hippo signaling. Conclusions- Our results show that JCAD
negatively regulates Hippo signaling in endothelial cells and we suggest that
JCAD contributes to atherosclerosis by mediating YAP activity and contributing to
endothelial dysfunction.
PMID- 29794116
TI - Medicinal cannabis should not be used ahead of approved drugs, says German
review.
PMID- 29794118
TI - Time to take a stand on nurses' working conditions?
PMID- 29794119
TI - Nurse education.
AB - EBN Perspectives brings together key issues from the commentaries in one of our
nursing topic themes.
PMID- 29794115
TI - nSMase2 (Type 2-Neutral Sphingomyelinase) Deficiency or Inhibition by GW4869
Reduces Inflammation and Atherosclerosis in Apoe-/- Mice.
AB - OBJECTIVE: Atherosclerosis is a chronic multifactorial and inflammatory disease
of large and medium arteries and the leading cause of cardiovascular diseases
worldwide. The aim of this study was to investigate whether and how the nSMase2
(type 2-neutral sphingomyelinase), a key enzyme of sphingolipid metabolism, may
contribute to the development of atherosclerotic lesions. APPROACH AND RESULTS:
The role of nSMase2 in atherosclerosis was investigated in Apoe-/-;Smpd3fro/fro
mice, mutant for nSMase2, and in Apoe-/-;Smpd3+/+ mice intraperitoneally injected
with GW4869, a pharmacological nSMase2 inhibitor. The defect or inhibition of
nSMase2 resulted in a reduction of atherosclerotic lesions and a decrease in
macrophage infiltration and lipid deposition, although cholesterolemia remained
unchanged. nSMase2 inhibition decreased the inflammatory response of murine
endothelial cells to oxLDL (oxidized low-density lipoprotein), as assessed by the
significant reduction of MCP-1 (monocyte chemoattractant protein 1), ICAM-1
(intercellular adhesion molecule-1), and VCAM-1 (vascular cell adhesion molecule
1) mRNA expressions and macrophage recruitment. Likewise, in RAW264.7 or in
macrophages isolated from Apoe-/-/Smpd3fro/fro or Apoe-/-/Smpd3+/+ mice
stimulated by lipopolysaccharides, nSMase2 inhibition resulted in a decrease in
the expression of inflammatory molecules. Mechanistically, the anti-inflammatory
response resulting from nSMase2 inhibition involves Nrf2 (nuclear factor
[erythroid-derived 2]-like 2 or NF-E2-related factor-2) activation in both
endothelial cells and macrophages, as assessed by the lack of protective effect
of GW4869 in endothelial cells silenced for Nrf2 by small interfering RNAs, and
in lipopolysaccharide-stimulated macrophages issued from Nrf2-KO mice.
CONCLUSIONS: The genetic deficiency or inhibition of nSMase2 strongly decreases
the development of atherosclerotic lesions in Apoe-/- mice, by reducing
inflammatory responses through a mechanism involving the Nrf2 pathway. Inhibitors
of nSMase2 may, therefore, constitute a novel approach to slow down
atherosclerosis progression.
PMID- 29794120
TI - Mobilising identity through social media: psychosocial support for young people
with life-limiting conditions.
AB - EBN engages readers through a range of Online social media activities to debate
issues important to nurses and nursing. EBN Opinion papers highlight and expand
on these debates.
PMID- 29794117
TI - Distinct, IgG1-driven antibody response landscapes demarcate individuals with
broadly HIV-1 neutralizing activity.
AB - Understanding pathways that promote HIV-1 broadly neutralizing antibody (bnAb)
induction is crucial to advance bnAb-based vaccines. We recently demarcated host,
viral, and disease parameters associated with bnAb development in a large HIV-1
cohort screen. By establishing comprehensive antibody signatures based on IgG1,
IgG2, and IgG3 activity to 13 HIV-1 antigens in 4,281 individuals in the same
cohort, we now show that the same four parameters that are significantly linked
with neutralization breadth, namely viral load, infection length, viral
diversity, and ethnicity, also strongly influence HIV-1-binding antibody
responses. However, the effects proved selective, shaping binding antibody
responses in an antigen and IgG subclass-dependent manner. IgG response
landscapes in bnAb inducers indicated a differentially regulated, IgG1-driven HIV
1 antigen response, and IgG1 binding of the BG505 SOSIP trimer proved the best
predictor of HIV-1 neutralization breadth in plasma. Our findings emphasize the
need to unravel immune modulators that underlie the differentially regulated IgG
response in bnAb inducers to guide vaccine development.
PMID- 29794121
TI - Prehospital emergency anaesthesia: an updated survey of UK practice with emphasis
on the role of standardisation and checklists.
AB - INTRODUCTION: Prehospital emergency anaesthesia (PHEA or 'prehospital rapid
sequence intubation') is a high-risk procedure. Standard operating procedures
(SOPs) and checklists within healthcare systems have been demonstrated to reduce
human error and improve patient safety. We aimed to describe the current practice
of PHEA in the UK, determine the use of checklists for PHEA and describe the
content, format and layout of any such checklists currently used in the UK.
METHOD: A survey of UK prehospital teams was conducted to establish the incidence
and conduct of PHEA practice. Results were grouped into systems delivering a high
volume of PHEA per year (>50 PHEAs) and low volume (<=50 PHEAs per annum).
Standard and 'crash' (immediate) induction checklists were reviewed for length,
content and layout. RESULTS: 59 UK physician-led prehospital services were
identified of which 43 (74%) participated. Thirty services (70%) provide PHEA and
perform approximately 1629 PHEAs annually. Ten 'high volume' services deliver 84%
of PHEAs per year with PHEA being performed on a median of 11% of active
missions. The most common indication for PHEA was trauma. 25 of the 30 services
(83%) used a PHEA checklist prior to induction of anaesthesia and 24 (80%) had an
SOP for the procedure. 19 (76%) of the 'standard' checklists and 5 (50%) of the
'crash' induction checklists used were analysed. On average, standard checklists
contained 169 (range: 52-286) words and 41 (range: 28-70) individual checks. The
style and language complexity varied significantly between different checklists.
CONCLUSION: PHEA is now performed commonly in the UK. The use of checklists for
PHEA is relatively common among prehospital systems delivering this intervention.
Care must be taken to limit checklist length and to use simple, unambiguous
language in order to maximise the safety of this high-risk intervention.
PMID- 29794122
TI - An Assessment of Asthma Therapy in the Pediatric ICU.
AB - OBJECTIVES: To describe asthma management, investigate practice variation, and
describe asthma-associated charges and resource use during asthma management in
the PICU. METHODS: Children ages 2 to 18 years treated for status asthmaticus in
the PICU from 2008 to 2011 are included in this study. This is a retrospective,
single-center, cohort study. Data were collected by using the Intermountain
Healthcare Enterprise Data Warehouse. RESULTS: There were 262 patients included
and grouped by maximal respiratory support intervention. Seventy percent of the
patients did not receive escalation of respiratory support beyond nasal cannula
or nonrebreather mask, and the majority of these patients received only first
tier recommended therapy. For all patients, medical imaging and laboratory charge
fractions accounted for <3% and <5% of the total charges, respectively. Among
nonintubated patients, the majority of these diagnostic test results were normal.
Fifteen patients were intubated during our study period; 4 were intubated at our
facility. Compared with outside hospital intubations, these 4 patients had longer
time to intubation (>3 days versus <24 hours) and significantly longer median
PICU length of stay (12.7 days versus 2.6 days). CONCLUSIONS: In our study, the
vast majority of patients with severe asthma were treated with minimal
interventions alone (nasal cannula or nonrebreather mask and first-tier
medications). Minimizing PICU length of stay is likely the most successful way to
decrease expense during asthma care.
PMID- 29794123
TI - Comparing the use and timing of palliative care services in COPD and lung cancer:
a population-based survey.
PMID- 29794124
TI - Partitioning of pulmonary vascular resistances in group 2 pulmonary hypertension:
insightful suggestions for bridging haemodynamics to underlying mechanisms.
PMID- 29794125
TI - Aminopeptidase antibodies in mesothelioma: new wine deserves new sacks.
PMID- 29794129
TI - Safety and tolerability of nintedanib in patients with idiopathic pulmonary
fibrosis in the USA.
PMID- 29794127
TI - Adding a temporal dimension to the study of Friedreich's ataxia: the effect of
frataxin overexpression in a human cell model.
AB - The neurodegenerative disease Friedreich's ataxia is caused by lower than normal
levels of frataxin, an important protein involved in iron-sulfur (Fe-S) cluster
biogenesis. An important step in designing strategies to treat this disease is to
understand whether increasing the frataxin levels by gene therapy would simply be
beneficial or detrimental, because previous studies, mostly based on animal
models, have reported conflicting results. Here, we have exploited an inducible
model, which we developed using the CRISPR/Cas9 methodology, to study the effects
of frataxin overexpression in human cells and monitor how the system recovers
after overexpression. Using new tools, which range from high-throughput
microscopy to in cell infrared, we prove that overexpression of the frataxin gene
affects the cellular metabolism. It also leads to a significant increase of
oxidative stress and labile iron pool levels. These cellular alterations are
similar to those observed when the gene is partly silenced, as occurs in
Friedreich's ataxia patients. Our data suggest that the levels of frataxin must
be tightly regulated and fine-tuned, with any imbalance leading to oxidative
stress and toxicity.
PMID- 29794126
TI - Advances in adrenal tumors 2018.
AB - This review aims to provide clinicians and researchers with a condensed update on
the most important studies in the field during 2017. We present the academic
output measured by active clinical trials and peer-reviewed published
manuscripts. The most important and contributory manuscripts were summarized for
each diagnostic entity, with a particular focus on manuscripts that describe
translational research that have the potential to improve clinical care. Finally,
we highlight the importance of collaborations in adrenal tumor research, which
allowed for these recent advances and provide structures for future success in
this scientific field.
PMID- 29794128
TI - A Single-Cell Transcriptome Atlas of the Mouse Glomerulus.
AB - Background Three different cell types constitute the glomerular filter: mesangial
cells, endothelial cells, and podocytes. However, to what extent cellular
heterogeneity exists within healthy glomerular cell populations remains
unknown.Methods We used nanodroplet-based highly parallel transcriptional
profiling to characterize the cellular content of purified wild-type mouse
glomeruli.Results Unsupervised clustering of nearly 13,000 single-cell
transcriptomes identified the three known glomerular cell types. We provide a
comprehensive online atlas of gene expression in glomerular cells that can be
queried and visualized using an interactive and freely available database. Novel
marker genes for all glomerular cell types were identified and supported by
immunohistochemistry images obtained from the Human Protein Atlas. Subclustering
of endothelial cells revealed a subset of endothelium that expressed marker genes
related to endothelial proliferation. By comparison, the podocyte population
appeared more homogeneous but contained three smaller, previously unknown
subpopulations.Conclusions Our study comprehensively characterized gene
expression in individual glomerular cells and sets the stage for the dissection
of glomerular function at the single-cell level in health and disease.
PMID- 29794130
TI - Home spirometry in bronchiolitis obliterans after allogeneic haematopoietic cell
transplant.
PMID- 29794131
TI - Multi-omic molecular profiling of lung cancer in COPD.
AB - Chronic obstructive pulmonary disease (COPD) is a known risk factor for
developing lung cancer but the underlying mechanisms remain unknown. We
hypothesise that the COPD stroma contains molecular mechanisms supporting
tumourigenesis.We conducted an unbiased multi-omic analysis to identify gene
expression patterns that distinguish COPD stroma in patients with or without lung
cancer. We obtained lung tissue from patients with COPD and lung cancer (tumour
and adjacent non-malignant tissue) and those with COPD without lung cancer for
profiling of proteomic and mRNA (both cytoplasmic and polyribosomal). We used the
Joint and Individual Variation Explained (JIVE) method to integrate and analyse
across the three datasets.JIVE identified eight latent patterns that robustly
distinguished and separated the three groups of tissue samples (tumour, adjacent
and control). Predictive variables that associated with the tumour, compared to
adjacent stroma, were mainly represented in the transcriptomic data, whereas
predictive variables associated with adjacent tissue, compared to controls, were
represented at the translatomic level. Pathway analysis revealed extracellular
matrix and phosphatidylinositol-4,5-bisphosphate 3-kinase-protein kinase B
signalling pathways as important signals in the tumour adjacent stroma.The multi
omic approach distinguishes tumour adjacent stroma in lung cancer and reveals two
stromal expression patterns associated with cancer.
PMID- 29794132
TI - The construction and validation of the Severe Asthma Questionnaire.
AB - The US Food and Drug Administration's procedure for scale validation requires a
documented stepwise process of qualitative and quantitative data. The aim of this
paper is to provide final quantitative validating data for the Severe Asthma
Questionnaire (SAQ).The SAQ, Asthma Control Test, Mini Asthma Quality of Life
Questionnaire and EQ-5D-5L were completed by 160 patients attending a severe
asthma clinic; 51 patients completed the SAQ on two occasions for test-retest
reliability analysis. The SAQ produces two scores, a SAQ score based on the
average of 16 items and a SAQ-global score from a single 100-point global quality
of life scale.Construct validity was demonstrated by factor analysis of the 16
items, convergent validity by correlations of >0.6 between the SAQ, SAQ-global
and other questionnaires, and discriminant validity by the ability of the SAQ and
SAQ-global to distinguish between different treatment levels. Test-retest
reliability (intra-class correlation) was 0.93 for the SAQ and 0.93 for the SAQ
global, and the alpha coefficient for the SAQ was 0.93.The SAQ was developed
using recommended qualitative and quantitative procedures for scale development,
and can be used to gain insight into patients' perceptions of how severe asthma
and its treatment affects their lives.
PMID- 29794133
TI - Screening for tuberculosis in migrants and visitors from high-incidence settings:
present and future perspectives.
AB - In most settings with a low incidence of tuberculosis (TB), foreign-born people
make up the majority of TB cases, but the distribution of the TB risk among
different migrant populations is often poorly quantified. In addition, screening
practices for TB disease and latent TB infection (LTBI) vary widely. Addressing
the risk of TB in international migrants is an essential component of TB
prevention and care efforts in low-incidence countries, and strategies to
systematically screen for, diagnose, treat and prevent TB among this group
contribute to national and global TB elimination goals.This review provides an
overview and critical assessment of TB screening practices that are focused on
migrants and visitors from high to low TB incidence countries, including pre
migration screening and post-migration follow-up of those deemed to be at an
increased risk of developing TB. We focus mainly on migrants who enter the
destination country via application for a long-stay visa, as well as asylum
seekers and refugees, but briefly consider issues related to short-term visitors
and those with long-duration multiple-entry visas. Issues related to the
screening of children and screening for LTBI are also explored.
PMID- 29794135
TI - General method for rapid purification of native chromatin fragments.
AB - Biochemical, proteomic, and epigenetic studies of chromatin rely on the ability
to efficiently isolate native nucleosomes in high yield and purity. However,
isolation of native chromatin suitable for many downstream experiments remains a
challenging task. This is especially true for the budding yeast Saccharomyces
cerevisiae, which continues to serve as an important model organism for the study
of chromatin structure and function. Here, we developed a time- and cost
efficient universal protocol for isolation of native chromatin fragments from
yeast, insect, and mammalian cells. The resulting protocol preserves histone
posttranslational modification in the native chromatin state and is applicable
for both parallel multisample spin-column purification and large-scale isolation.
This protocol is based on the efficient and stable purification of
polynucleosomes and features a combination of optimized cell lysis and
purification conditions, three options for chromatin fragmentation, and a novel
ion-exchange chromatographic purification strategy. The procedure will aid
chromatin researchers interested in isolating native chromatin material for
biochemical studies and serve as a mild, acid- and detergent-free sample
preparation method for MS analysis.
PMID- 29794134
TI - Molecular basis for the loss-of-function effects of the Alzheimer's disease
associated R47H variant of the immune receptor TREM2.
AB - Triggering receptor expressed on myeloid cells 2 (TREM2) is an immune receptor
expressed on the surface of microglia, macrophages, dendritic cells, and
osteoclasts. The R47H TREM2 variant is a significant risk factor for late-onset
Alzheimer's disease (AD), and the molecular basis of R47H TREM2 loss of function
is an emerging area of TREM2 biology. Here, we report three high-resolution
structures of the extracellular ligand-binding domains (ECDs) of R47H TREM2, apo
WT, and phosphatidylserine (PS)-bound WT TREM2 at 1.8, 2.2, and 2.2 A,
respectively. The structures reveal that Arg47 plays a critical role in
maintaining the structural features of the complementarity-determining region 2
(CDR2) loop and the putative positive ligand-interacting surface (PLIS),
stabilizing conformations capable of ligand interaction. This is exemplified in
the PS-bound structure, in which the CDR2 loop and PLIS drive critical
interactions with PS via surfaces that are disrupted in the variant. Together
with in vitro and in vivo characterization, our structural findings elucidate the
molecular mechanism underlying loss of ligand binding, putative oligomerization,
and functional activity of R47H TREM2. They also help unravel how decreased in
vitro and in vivo stability of TREM2 contribute to loss of function in disease.
PMID- 29794136
TI - The transcription factor Vezf1 represses the expression of the antiangiogenic
factor Cited2 in endothelial cells.
AB - Formation of the vasculature by angiogenesis is critical for proper development,
but angiogenesis also contributes to the pathogenesis of various disorders,
including cancer and cardiovascular diseases. Vascular endothelial zinc finger 1
(Vezf1), is a Kruppel-like zinc finger protein that plays a vital role in
vascular development. However, the mechanism by which Vezf1 regulates this
process is not fully understood. Here, we show that Vezf1-/- mouse embryonic stem
cells (ESC) have significantly increased expression of a stem cell factor,
Cbp/p300-interacting transactivator 2 (Cited2). Compared with WT ESCs, Vezf1-/-
ESCs inefficiently differentiated into endothelial cells (ECs), which exhibited
defects in the tube-formation assay. These defects were due to reduced activation
of EC-specific genes concomitant with lower enrichment of histone 3 acetylation
at Lys27 (H3K27) at their promoters. We hypothesized that overexpression of
Cited2 in Vezf1-/- cells sequesters P300/CBP away from the promoters of
proangiogenic genes and thereby contributes to defective angiogenesis in these
cells. This idea was supported by the observation that shRNA-mediated depletion
of Cited2 significantly reduces the angiogenic defects in the Vezf1-/- ECs. In
contrast to previous studies that have focused on the role of Vezf1 as a
transcriptional activator of proangiogenic genes, our findings have revealed a
role for Vezf1 in modulating the expression of the antiangiogenic factor Cited2.
Vezf1 previously has been characterized as an insulator protein, and our results
now provide insights into the mechanism, indicating that Vezf1 can block
inappropriate, nonspecific interactions of promoters with cis-located enhancers,
preventing aberrant promoter activation.
PMID- 29794137
TI - Restoration of hydroxyindole O-methyltransferase levels in human cancer cells
induces a tryptophan-metabolic switch and attenuates cancer progression.
AB - 5-Methoxytryptophan (5-MTP) is a tryptophan metabolite with recently discovered
anti-inflammatory and tumor-suppressing activities. Its synthesis is catalyzed by
a hydroxyindole O-methyltransferase (HIOMT)-like enzyme. However, the exact
identity of this HIOMT in human cells remains unclear. Human HIOMT exists in
several alternatively spliced isoforms, and we hypothesized that 5-MTP-producing
HIOMT is a distinct isoform. Here, we show that human fibroblasts and cancer
cells express the HIOMT298 isoform as contrasted with the expression of the
HIOMT345 isoform in pineal cells. Sequencing analysis of the cloned isoforms
revealed that HIOMT298 is identical to the sequence of a previously reported
truncated HIOMT isoform. Of note, HIOMT298 expression was reduced in cancer cells
and tissues. Stable transfection of A549 cancer cells with HIOMT298 restored
HIOMT expression to normal levels, accompanied by 5-MTP production. Furthermore,
HIOMT298 transfection caused a tryptophan-metabolic switch from serotonin to 5
MTP production. To determine the in vivo relevance of this alteration, we
compared growth and lung metastasis of HIOMT298-transfected A549 cells with those
of vector- or untransfected A549 cells as controls in a murine xenograft model.
Of note, the HIOMT298-transfected A549 cells exhibited slower growth and lower
metastasis than the controls. Our findings provide insight into the crucial role
of HIOMT298 in 5-MTP production in cells and in inhibiting cancer progression and
highlight the potential therapeutic value of 5-MTP for managing cancer.
PMID- 29794139
TI - What I got wrong about shelterin.
AB - The ASBMB 2018 Bert and Natalie Vallee award in Biomedical Sciences honors our
work on shelterin, a protein complex that helps cells distinguish the chromosome
ends from sites of DNA damage. Shelterin protects telomeres from all aspects of
the DNA damage response, including ATM and ATR serine/threonine kinase signaling
and several forms of double-strand break repair. Today, this six-subunit protein
complex could easily be identified in one single proteomics step. But, it took us
more than 15 years to piece together the entire shelterin complex, one protein at
a time. Although we did a lot of things right, here I tell the story of
shelterin's discovery with an emphasis on the things that I got wrong along the
way.
PMID- 29794138
TI - Decline in arylsulfatase B expression increases EGFR expression by inhibiting the
protein-tyrosine phosphatase SHP2 and activating JNK in prostate cells.
AB - Epidermal growth factor receptor (EGFR) has a crucial role in cell
differentiation and proliferation and cancer, and its expression appears to be up
regulated when arylsulfatase B (ARSB or GalNAc-4-sulfatase) is reduced. ARSB
removes 4-sulfate groups from the nonreducing end of dermatan sulfate and
chondroitin 4-sulfate (C4S), and its decreased expression has previously been
reported to inhibit the activity of the ubiquitous protein-tyrosine phosphatase,
nonreceptor type 11 (SHP2 or PTPN11). However, the mechanism by which decline in
ARSB leads to decline in SHP2 activity is unclear. Here, we show that SHP2 binds
preferentially C4S, rather than chondroitin 6-sulfate, and confirm that SHP2
activity declines when ARSB is silenced. The reduction in ARSB activity, and the
resultant increase in C4S, increased the expression of EGFR (Her1/ErbB1) in human
prostate stem and epithelial cells. The increased expression of EGFR occurred
after 1) the decline in SHP2 activity, 2) enhanced c-Jun N-terminal kinase (JNK)
activity, 3) increased nuclear DNA binding by c-Jun and c-Fos, and 4) EGFR
promoter activation. In response to exogenous EGF, there was increased
bromodeoxyuridine incorporation, consistent with enhanced cell proliferation.
These findings indicated that ARSB and chondroitin 4-sulfation affect the
activation of an important dual phosphorylation threonine-tyrosine kinase and the
mRNA expression of a critical tyrosine kinase receptor in prostate cells.
Restoration of ARSB activity with the associated reduction in C4S may provide a
new therapeutic approach for managing malignancies in which EGFR-mediated
tyrosine kinase signaling pathways are active.
PMID- 29794141
TI - Fetal over- and undernutrition differentially program thyroid axis adaptability
in adult sheep.
AB - OBJECTIVE: We aimed to test, whether fetal under- or overnutrition differentially
program the thyroid axis with lasting effects on energy metabolism, and if early
life postnatal overnutrition modulates implications of prenatal programming.
DESIGN: Twin-pregnant sheep (n = 36) were either adequately (NORM), under- (LOW;
50% of NORM) or overnourished (HIGH; 150% of energy and 110% of protein
requirements) in the last-trimester of gestation. From 3 days-of-age to 6 months
of-age, twin lambs received a conventional (CONV) or an obesogenic, high
carbohydrate high-fat (HCHF) diet. Subgroups were slaughtered at 6-months-of-age.
Remaining lambs were fed a low-fat diet until 21/2 years-of-age (adulthood).
METHODS: Serum hormone levels were determined at 6 months- and 21/2 years-of-age.
At 21/2 years-of-age, feed intake capacity (intake over 4-h following 72-h
fasting) was determined, and an intravenous thyroxine tolerance test (iTTT) was
performed, including measurements of heart rate, rectal temperature and energy
expenditure (EE). RESULTS: In the iTTT, the LOW and nutritionally mismatched
NORM:HCHF and HIGH:CONV sheep increased serum T3, T3:T4 and T3:TSH less than
NORM:CONV, whereas TSH was decreased less in HIGH, NORM:HCHF and LOW:HCHF. Early
postnatal exposure to the HCHF diet decreased basal adult EE in NORM and HIGH,
but not LOW, and increased adult feed intake capacity in NORM and LOW, but not
HIGH.Conclusions: The iTTT revealed a differential programming of central and
peripheral HPT axis function in response to late fetal malnutrition and an early
postnatal obesogenic diet, with long-term implications for adult HPT axis
adaptability and associated consequences for adiposity risk.
PMID- 29794140
TI - The stimulatory G protein Gsalpha is required in melanocortin 4 receptor
expressing cells for normal energy balance, thermogenesis, and glucose
metabolism.
AB - Central melanocortin 4 receptors (MC4Rs) stimulate energy expenditure and inhibit
food intake. MC4Rs activate the G protein Gsalpha, but whether Gsalpha mediates
all MC4R actions has not been established. Individuals with Albright hereditary
osteodystrophy (AHO), who have heterozygous Gsalpha-inactivating mutations, only
develop obesity when the Gsalpha mutation is present on the maternal allele
because of tissue-specific genomic imprinting. Furthermore, evidence in mice
implicates Gsalpha imprinting within the central nervous system (CNS) in this
disorder. In this study, we examined the effects of Gsalpha in MC4R-expressing
cells on metabolic regulation. Mice with homozygous Gsalpha deficiency in MC4R
expressing cells (MC4RGsKO) developed significant obesity with increased food
intake and decreased energy expenditure, along with impaired insulin sensitivity
and cold-induced thermogenesis. Moreover, the ability of the MC4R agonist
melanotan-II (MTII) to stimulate energy expenditure and to inhibit food intake
was impaired in MC4RGsKO mice. MTII failed to stimulate the secretion of the
anorexigenic hormone peptide YY (PYY) from enteroendocrine L cells, a
physiological response mediated by MC4R-Gsalpha signaling, even though baseline
PYY levels were elevated in these mice. In Gsalpha heterozygotes, mild obesity
and reduced energy expenditure were present only in mice with a Gsalpha deletion
on the maternal allele in MC4R-expressing cells, whereas food intake was
unaffected. These results demonstrate that Gsalpha signaling in MC4R-expressing
cells is required for controlling energy balance, thermogenesis, and peripheral
glucose metabolism. They further indicate that Gsalpha imprinting in MC4R
expressing cells contributes to obesity in Gsalpha knockout mice and probably in
individuals with Albright hereditary osteodystrophy as well.
PMID- 29794142
TI - Frequency, risk factors, and impact on mortality of arterial thromboembolism in
patients with cancer.
AB - In contrast to venous thromboembolism, little is known about arterial
thromboembolism in patients with cancer. The aim of this study was to quantify
the risk and explore clinical risk factors of arterial thromboembolism in
patients with cancer, and investigate its potential impact on mortality. Patients
with newly-diagnosed cancer or progression of disease after remission were
included in a prospective observational cohort study and followed for two years.
Between October 2003 and October 2013, 1880 patients (54.3% male; median age 61
years) were included. During a median follow up of 723 days, 48 (2.6%) patients
developed arterial thromboembolism [20 (41.7%) myocardial infarction, 16 (33.3%)
stroke and 12 (25.0%) peripheral arterial events], 157 (8.4%) developed venous
thromboembolism, and 754 (40.1%) patients died. The cumulative 3-, 6-, 12-, and
24-month risks of arterial thromboembolism were 0.9%, 1.1%, 1.7%, and 2.6%,
respectively. Male sex (subdistribution hazard ratio=2.9, 95%CI: 1.5-5.6;
P=0.002), age (subdistribution hazard ratio per 10 year increase=1.5, 1.2-1.7;
P<0.001), hypertension (3.1, 1.7-5.5; P<0.001), smoking (2.0, 1.1-3.7; P=0.022),
lung cancer (2.3, 1.2-4.2; P=0.009), and kidney cancer (3.8, 1.4-10.5; P=0.012)
were associated with a higher arterial thromboembolism risk. Furthermore, the
occurrence of arterial thromboembolism was associated with a 3.2-fold increased
risk of all-cause mortality (hazard ratio=3.2, 95%CI: 2.2-4.8; P<0.001). Arterial
thromboembolism is a less common complication in patients with cancer than venous
thromboembolism. The risk of arterial thromboembolism is high in patients with
lung and kidney cancer. Patients with cancer who develop arterial thromboembolism
are at a 3-fold increased risk of mortality.
PMID- 29794144
TI - Contribution of alternative complement pathway to delayed hemolytic transfusion
reaction in sickle cell disease.
PMID- 29794145
TI - Lenalidomide plus bendamustine-rituximab does not overcome the adverse impact of
TP53 mutations in mantle cell lymphoma.
PMID- 29794143
TI - Hereditary hemorrhagic telangiectasia: diagnosis and management from the
hematologist's perspective.
AB - Hereditary hemorrhagic telangiectasia (HHT), also known as Osler-Weber-Rendu
syndrome, is an autosomal dominant disorder that causes abnormal blood vessel
formation. The diagnosis of hereditary hemorrhagic telangiectasia is clinical,
based on the Curacao criteria. Genetic mutations that have been identified
include ENG, ACVRL1/ALK1, and MADH4/SMAD4, among others. Patients with HHT may
have telangiectasias and arteriovenous malformations in various organs and suffer
from many complications including bleeding, anemia, iron deficiency, and high
output heart failure. Families with the same mutation exhibit considerable
phenotypic variation. Optimal treatment is best delivered via a multidisciplinary
approach with appropriate diagnosis, screening and local and/or systemic
management of lesions. Anti-angiogenic agents such as bevacizumab have emerged as
a promising systemic therapy in reducing bleeding complications but are not
curative. Other pharmacological agents include iron supplementation,
antifibrinolytics and hormonal treatment. This review discusses the biology of
HHT, management issues that face the practising hematologist, and considerations
of future directions in HHT treatment.
PMID- 29794146
TI - Phase 3 results for vosaroxin/cytarabine in the subset of patients >=60 years old
with refractory/early relapsed acute myeloid leukemia.
PMID- 29794147
TI - Reducing mortality in newly diagnosed standard-risk acute promyelocytic leukemia
in elderly patients treated with arsenic trioxide requires major reduction of
chemotherapy: a report by the French Belgian Swiss APL group (APL 2006 trial).
PMID- 29794148
TI - Transfusion of packed red blood cells at the end of shelf life is associated with
increased risk of mortality - a pooled patient data analysis of 16 observational
trials.
AB - Observational studies address packed red blood cell effects at the end of shelf
life and have larger sample sizes compared to randomized control trials. Meta
analyses combining data from observational studies have been complicated by
differences in aggregate transfused packed red blood cell age and outcome
reporting. This study abrogated these issues by taking a pooled patient data
approach. Observational studies reporting packed red blood cell age and clinical
outcomes were identified and patient-level data sets were sought from
investigators. Odds ratios and 95% confidence intervals for binary outcomes were
calculated for each study, with mean packed red blood cell age or maximum packed
red blood cell age acting as independent variables. The relationship between mean
packed red blood cell age and hospital length of stay for each paper was analyzed
using zero-inflated Poisson regression. Random effects models combined paper
level effect estimates. Extremes analyses were completed by comparing patients
transfused with mean packed red blood cell aged less than ten days to those
transfused with mean packed red blood cell aged at least 30 days. sixteen
datasets were available for pooled patient data analysis. Mean packed red blood
cell age of at least 30 days was associated with an increased risk of in-hospital
mortality compared to mean packed red blood cell of less than ten days (odds
ratio: 3.25, 95% confidence interval: 1.27-8.29). Packed red blood cell age was
not correlated to increased risks of nosocomial infection or prolonged length of
hospital stay.
PMID- 29794149
TI - NLRP3 regulates platelet integrin alphaIIbbeta3 outside-in signaling, hemostasis
and arterial thrombosis.
AB - In addition to their hemostatic function, platelets play an important role in
regulating the inflammatory response. The platelet NLRP3 inflammasome not only
promotes interleukin-1beta secretion, but was also found to be upregulated during
platelet activation and thrombus formation in vitro However, the role of NLRP3 in
platelet function and thrombus formation in vivo remains unclear. In this study,
we aimed to investigate the role of NLRP3 in platelet integrin alphaIIbbeta3
signaling transduction. Using NLRP3-/- mice, we showed that NLRP3-deficient
platelets do not have significant differences in expression of the platelet
specific adhesive receptors alphaIIbbeta3 integrin, GPIba or GPVI; however, NLRP3
/- platelets transfused into wild-type mice resulted in prolonged tail-bleeding
time and delayed arterial thrombus formation, as well as exhibiting impaired
spreading on immobilized fibrinogen and defective clot retraction, concomitant
with decreased phosphorylation of c-Src, Syk and PLCgamma2 in response to
thrombin stimulation. Interestingly, addition of exogenous recombinant
interleukin-1beta reversed the defect in NLRP3-/- platelet spreading and clot
retraction, and restored thrombin-induced phosphorylation of c-Src/Syk/PLCgamma2,
whereas an anti-interleukin-1beta antibody blocked spreading and clot retraction
mediated by wild-type platelets. Using the direct NLRP3 inhibitor, CY-09, we
demonstrated significantly reduced human platelet aggregation in response to
threshold concentrations of collagen and ADP, as well as impaired clot retraction
in CY-09-treated human platelets, supporting a role for NLRP3 also in regulating
human platelet alphaIIbbeta3 outside-in signaling. This study identifies a novel
role for NLRP3 and interleukin-1beta in platelet function, and provides a new
potential link between thrombosis and inflammation, suggesting that therapies
targeting NLRP3 or interleukin-1beta might be beneficial for treating
inflammation-associated thrombosis.
PMID- 29794151
TI - National Rates of Initiation and Intensification of Antidiabetic Therapy Among
Patients With Commercial Insurance.
AB - OBJECTIVE: Prompt initiation and intensification of antidiabetic therapy can
delay or prevent complications from diabetes. We sought to understand the rates
of and factors associated with the initiation and intensification of antidiabetic
therapy among commercially insured patients in the U.S. RESEARCH DESIGN AND
METHODS: Using 2008-2015 commercial claims linked with laboratory and pharmacy
data, we created an initiation cohort with no prior antidiabetic drug use and an
HbA1c >=8% (64 mmol/mol) and an intensification cohort of patients with an HbA1c
>=8% (64 mmol/mol) who were on a stable dose of one noninsulin diabetes drug.
Using multivariable logistic regression, we determined the rates of and factors
associated with initiation and intensification. In addition, we determined the
percent of variation in treatment patterns explained by measurable patient
factors. RESULTS: In the initiation cohort (n = 9,799), 63% of patients received
an antidiabetic drug within 6 months of the elevated HbA1c test. In the
intensification cohort (n = 10,941), 82% had their existing antidiabetic therapy
intensified within 6 months of the elevated HbA1c test. Higher HbA1c levels,
lower generic drug copayments, and more frequent office visits were associated
with higher rates of both initiation and intensification. Better patient
adherence prior to the elevated HbA1c level, existing therapy with a second
generation antidiabetic drug, and lower doses of existing therapy were also
associated with intensification. Patient factors explained 7.96% of the variation
in initiation and 7.35% of the variation in intensification. CONCLUSIONS:
Approximately two-thirds of patients were newly initiated on antidiabetic
therapy, and four-fifths of those already receiving antidiabetic therapy had it
intensified within 6 months of an elevated HbA1c in a commercially insured
population. Patient factors explain 7-8% of the variation in diabetes treatment
patterns.
PMID- 29794150
TI - ZMPSTE24 missense mutations that cause progeroid diseases decrease prelamin A
cleavage activity and/or protein stability.
AB - The human zinc metalloprotease ZMPSTE24 is an integral membrane protein crucial
for the final step in the biogenesis of the nuclear scaffold protein lamin A,
encoded by LMNA After farnesylation and carboxyl methylation of its C-terminal
CAAX motif, the lamin A precursor (prelamin A) undergoes proteolytic removal of
its modified C-terminal 15 amino acids by ZMPSTE24. Mutations in LMNA or ZMPSTE24
that impede this prelamin A cleavage step cause the premature aging disease
Hutchinson-Gilford progeria syndrome (HGPS), and the related progeroid disorders
mandibuloacral dysplasia type B (MAD-B) and restrictive dermopathy (RD). Here, we
report the development of a 'humanized yeast system' to assay ZMPSTE24-dependent
cleavage of prelamin A and examine the eight known disease-associated ZMPSTE24
missense mutations. All mutations show diminished prelamin A processing and fall
into three classes, with defects in activity, protein stability or both. Notably,
some ZMPSTE24 mutants can be rescued by deleting the E3 ubiquitin ligase Doa10,
involved in endoplasmic reticulum (ER)-associated degradation of misfolded
membrane proteins, or by treatment with the proteasome inhibitor bortezomib. This
finding may have important therapeutic implications for some patients. We also
show that ZMPSTE24-mediated prelamin A cleavage can be uncoupled from the
recently discovered role of ZMPSTE24 in clearance of ER membrane translocon
clogged substrates. Together with the crystal structure of ZMPSTE24, this
humanized yeast system can guide structure-function studies to uncover mechanisms
of prelamin A cleavage, translocon unclogging, and membrane protein folding and
stability.
PMID- 29794153
TI - Research or clinical care: what's the difference?
PMID- 29794154
TI - Ethics briefing.
PMID- 29794155
TI - Global conformational changes in IgG-Fc upon mutation of the FcRn-binding site
are not associated with altered antibody-dependent effector functions.
AB - Antibody engineering is important for many diagnostic and clinical applications
of monoclonal antibodies. We recently reported a series of fragment
crystallizable (Fc) mutations targeting the neonatal Fc receptor (FcRn) site on a
Lewis Y (Ley) binding IgG1, hu3S193. The hu3S193 variants displayed shortened in
vivo half-lives and may have potential for radioimaging or radiotherapy of Ley
positive tumors. Here, we report Fc crystal structures of wild-type hu3S193,
seven FcRn-binding site variants, and a variant lacking C1q binding or complement
dependent cytotoxicity (CDC) activity. The Fc conformation of the FcRn-binding
sites was similar for wild-type and all mutants of hu3S193 Fc, which suggests
that FcRn interactions were directly affected by the amino acid substitutions.
The C1q-binding site mutant Fc was nearly identical with the wild-type Fc.
Surprisingly, several hu3S193 Fc variants showed large changes in global
structure compared with wild-type Fc. All hu3S193 Fc mutants had similar antibody
dependent cellular cytotoxicity, despite some with conformations expected to
diminish Fc gamma receptor binding. Several hu3S193 variants displayed altered
CDC, but there was no correlation with the different Fc conformations. All
versions of hu3S193, except the C1q-binding site mutant, bound C1q, suggesting
that the altered CDC of some variants could result from different propensities to
form IgG hexamers after engaging Ley on target cells. Overall, our findings
support the concept that the antibody Fc is both flexible and mobile in solution.
Structure-based design approaches should take into account the conformational
plasticity of the Fc when engineering antibodies with optimal effector
properties.
PMID- 29794157
TI - A novel combined approach using a Penumbra catheter and balloon catheter for
cerebral venous sinus thrombosis.
AB - Cerebral venous sinus thrombosis is sometimes fatal. We describe a case of sinus
thrombosis in a 43-year-old woman presenting with generalized seizure, delirium,
and a 2 week history of headache and nausea. The patient underwent mechanical
thrombectomy using a novel combined approach, in which a Shouryu HR balloon
catheter (Kaneka) was anchored in the right transverse sinus (TS), sigmoid sinus
(SS), and superior sagittal sinus (SSS), while a Penumbra 5 MAX ACE (Penumbra)
catheter was moved back and forth between the right TS, SS, and SSS.
Additionally, back and forth movement of the inflated balloon with aspiration-the
so-called 'dental floss technique'-was performed. Partial recanalization was
eventually obtained. Follow-up angiography on postoperative day 7 showed a
dramatic improvement in venous outflow. The patient was transferred to a
rehabilitation hospital on postoperative day 42. We describe our combined
approach using aspiration, and Penumbra and balloon catheters, to achieve
mechanical thrombectomy for sinus thrombosis.
PMID- 29794158
TI - Mechanical thrombectomy for repeated cerebral tumor embolism from a thoracic
sarcomatoid carcinoma.
AB - Cerebral embolism originating from intracardiac tumors represents a rare cause of
stroke and has been documented in both adult and pediatric populations. We
present a patient recently diagnosed with a right pulmonary hilum tumor, invading
the pulmonary veins and the left atrium. Two consecutive episodes of large
cerebral vessel occlusion in separate vascular territories occurred in the same
day and were treated by mechanical thrombectomy. Embolic material retrieved on
both occasions contained tumor fragments with peripheral endothelialization. To
our knowledge, this is the first report with histological confirmation of
cerebral embolism from an invasive extracardiac tumor.
PMID- 29794160
TI - Recurrence of a totally occluded aneurysm after treatment with a pipeline
embolization device.
AB - Treatment with a pipeline embolization device (PED) is widely accepted as an
excellent option for patients harboring giant, wide neck, saccular intracranial
aneurysms. It has been shown to be safe and very effective. We describe a
previously unreported case of a large middle cerebral artery aneurysm, related to
the carotid tip, that was treated with a PED and coils. The 6 month follow-up
angiogram demonstrated complete occlusion of the aneurysm. However, the 9 month
angiogram revealed recurrent filling of the aneurysm sac. To our knowledge, this
is the first report to describe recanalization of an aneurysm totally occluded on
the control angiography after treatment with a PED. Unanswered questions include
the mechanism of recanalization and the need for additional control angiograms
and MRI following a previous examination revealing total aneurysm occlusion.
PMID- 29794156
TI - The beta3-integrin endothelial adhesome regulates microtubule-dependent cell
migration.
AB - Integrin beta3 is seen as a key anti-angiogenic target for cancer treatment due
to its expression on neovasculature, but the role it plays in the process is
complex; whether it is pro- or anti-angiogenic depends on the context in which it
is expressed. To understand precisely beta3's role in regulating integrin
adhesion complexes in endothelial cells, we characterised, by mass spectrometry,
the beta3-dependent adhesome. We show that depletion of beta3-integrin in this
cell type leads to changes in microtubule behaviour that control cell migration.
beta3-integrin regulates microtubule stability in endothelial cells through
Rcc2/Anxa2-driven control of active Rac1 localisation. Our findings reveal that
angiogenic processes, both in vitro and in vivo, are more sensitive to
microtubule targeting agents when beta3-integrin levels are reduced.
PMID- 29794159
TI - Anatomy of the deep venous system in vein of Galen malformation and its changes
after endovascular treatment depicted by magnetic resonance venography.
AB - BACKGROUND AND PURPOSE: It is classically thought that the internal cerebral
veins (ICV) do not communicate with the venous pouch of vein of Galen
malformations (VGM). We report on the anatomy of the deep venous system in VGM
with special emphasis on the drainage of the ICV and possible changes after
endovascular treatment. MATERIALS AND METHODS: We retrospectively analyzed DSA
and 2D time-of-flight MR venograms of 55 children with VGM. We evaluated all pre-
and post-operative images for the presence of the ICVs and determined their route
of venous drainage. RESULTS: Of 55 children, pre-operative 2D MRV detected the
ICVs in 19 cases (35%) compared with one case (2%) for pre-embolization DSA (2%)
(P<0.0001). Of the cases in which the ICVs were seen preoperatively, in 15 cases
(78.9%) the ICV drained directly into the VGM while in the other four cases, the
ICV used alternative venous drainage routes. On post-operative MRV, the ICVs were
seen in 17 cases (31%) on MRV and 10 cases (18.2%) on DSA with drainage into an
adult-like vein of Galen in 13 cases (76%), respectively (P=0.08). In four cases
normal ICV drainage into the vein of Galen was seen even when the venous sac was
closed. In two cases there was a change in ICV drainage from the vein of Galen to
the lateral mesencephalic vein. CONCLUSION: The communication of the ICV with the
VGM is a common phenomenon. Different changes of venous drainage routes do occur
after treatment and are best seen on MRV.
PMID- 29794161
TI - Fluorocholine Transport Mediated by the Organic Cation Transporter 2 (OCT2,
SLC22A2): Implication for Imaging of Kidney Tumors.
AB - [18F]fluorocholine is the fluorinated analog of [11C]choline and is used in
positron emission tomography to monitor tumor metabolic activity. Although
important to optimize its use and expand the clinical indications, the molecular
determinants of fluorocholine cellular uptake are poorly characterized. In this
work, we described the influx kinetics of fluorocholine mediated by the organic
cation transporter 2 (OCT2, SLC22A2) and compared with that of choline. Then we
characterized the expression pattern of OCT2 in renal cell carcinoma (RCC). In
HEK293 cells stably transfected with OCT2 fluorocholine influx, kinetics was
biphasic, suggesting two independent binding sites: a high-affinity (Km = 14 +/-
8 uM, Vmax = 1.3 +/- 0.5 nmol mg-1 min-1) and a low-affinity component (Km = 1.8
+/- 0.3 mM, Vmax = 104 +/- 4.5 nmol mg-1 min-1). Notably, choline was found to be
transported with sigmoidal kinetics typical of homotropic positive cooperativity
(h = 1.2, 95% confidence interval 1.1-1.3). OCT2 mRNA expression level was found
significantly decreased in primary but not in metastatic RCC. Tissue microarray
immunostaining of 216 RCC biopsies confirmed that the OCT2 protein level was
consistent with that of the mRNA. The kinetic properties described in this work
suggest that OCT2 is likely to play a dominant role in [18F]fluorocholine uptake
in vivo. OCT2-altered expression in primary and metastatic cancer cells, as
compared with the surrounding tissues, could be exploited in RCC imaging,
especially to increase the detection sensitivity for small metastatic lesions, a
major clinical challenge during the initial staging of RCC.
PMID- 29794152
TI - The high-affinity calcium sensor synaptotagmin-7 serves multiple roles in
regulated exocytosis.
AB - Synaptotagmin (Syt) proteins comprise a 17-member family, many of which trigger
exocytosis in response to calcium. Historically, most studies have focused on the
isoform Syt-1, which serves as the primary calcium sensor in synchronous
neurotransmitter release. Recently, Syt-7 has become a topic of broad interest
because of its extreme calcium sensitivity and diversity of roles in a wide range
of cell types. Here, we review the known and emerging roles of Syt-7 in various
contexts and stress the importance of its actions. Unique functions of Syt-7 are
discussed in light of recent imaging, electrophysiological, and computational
studies. Particular emphasis is placed on Syt-7-dependent regulation of synaptic
transmission and neuroendocrine cell secretion. Finally, based on biochemical and
structural data, we propose a mechanism to link Syt-7's role in membrane fusion
with its role in subsequent fusion pore expansion via strong calcium-dependent
phospholipid binding.
PMID- 29794163
TI - Phenotypic Data from Inbred Parents Can Improve Genomic Prediction in Pearl
Millet Hybrids.
AB - Pearl millet is a non-model grain and fodder crop adapted to extremely hot and
dry environments globally. In India, a great deal of public and private sectors'
investment has focused on developing pearl millet single cross hybrids based on
the cytoplasmic-genetic male sterility (CMS) system, while in Africa most pearl
millet production relies on open pollinated varieties. Pearl millet lines were
phenotyped for both the inbred parents and hybrids stage. Many breeding efforts
focus on phenotypic selection of inbred parents to generate improved parental
lines and hybrids. This study evaluated two genotyping techniques and four
genomic selection schemes in pearl millet. Despite the fact that 6* more
sequencing data were generated per sample for RAD-seq than for tGBS, tGBS yielded
more than 2* as many informative SNPs (defined as those having MAF > 0.05) than
RAD-seq. A genomic prediction scheme utilizing only data from hybrids generated
prediction accuracies (median) ranging from 0.73-0.74 (1000-grain weight), 0.87
0.89 (days to flowering time), 0.48-0.51 (grain yield) and 0.72-0.73 (plant
height). For traits with little to no heterosis, hybrid only and hybrid/inbred
prediction schemes performed almost equivalently. For traits with significant mid
parent heterosis, the direct inclusion of phenotypic data from inbred lines
significantly (P < 0.05) reduced prediction accuracy when all lines were analyzed
together. However, when inbreds and hybrid trait values were both scored relative
to the mean trait values for the respective populations, the inclusion of inbred
phenotypic datasets moderately improved genomic predictions of the hybrid genomic
estimated breeding values. Here we show that modern approaches to genotyping by
sequencing can enable genomic selection in pearl millet. While historical pearl
millet breeding records include a wealth of phenotypic data from inbred lines, we
demonstrate that the naive incorporation of this data into a hybrid breeding
program can reduce prediction accuracy, while controlling for the effects of
heterosis per se allowed inbred genotype and trait data to improve the accuracy
of genomic estimated breeding values for pearl millet hybrids.
PMID- 29794164
TI - The Aspergillus nidulans Pyruvate Dehydrogenase Kinases Are Essential To
Integrate Carbon Source Metabolism.
AB - The pyruvate dehydrogenase complex (PDH), that converts pyruvate to acetyl-coA,
is regulated by pyruvate dehydrogenase kinases (PDHK) and phosphatases (PDHP)
that have been shown to be important for morphology, pathogenicity and carbon
source utilization in different fungal species. The aim of this study was to
investigate the role played by the three PDHKs PkpA, PkpB and PkpC in carbon
source utilization in the reference filamentous fungus Aspergillus nidulans, in
order to unravel regulatory mechanisms which could prove useful for fungal
biotechnological and biomedical applications. PkpA and PkpB were shown to be
mitochondrial whereas PkpC localized to the mitochondria in a carbon source
dependent manner. Only PkpA was shown to regulate PDH activity. In the presence
of glucose, deletion of pkpA and pkpC resulted in reduced glucose utilization,
which affected carbon catabolite repression (CCR) and hydrolytic enzyme
secretion, due to de-regulated glycolysis and TCA cycle enzyme activities.
Furthermore, PkpC was shown to be required for the correct metabolic utilization
of cellulose and acetate. PkpC negatively regulated the activity of the
glyoxylate cycle enzyme isocitrate lyase (ICL), required for acetate metabolism.
In summary, this study identified PDHKs important for the regulation of central
carbon metabolism in the presence of different carbon sources, with effects on
the secretion of biotechnologically important enzymes and carbon source-related
growth. This work demonstrates how central carbon metabolism can affect a variety
of fungal traits and lays a basis for further investigation into these
characteristics with potential interest for different applications.
PMID- 29794165
TI - Limited Mutation-Rate Variation Within the Paramecium aurelia Species Complex.
AB - Mutation is one of the most fundamental evolutionary forces. Studying variation
in the mutation rate within and among closely-related species can help reveal
mechanisms of genome divergence, but such variation is unstudied in the vast
majority of organisms. Previous studies on ciliated protozoa have found extremely
low mutation rates. In this study, using mutation-accumulation techniques
combined with deep whole-genome sequencing, we explore the germline base
substitution mutation-rate variation of three cryptic species in the Paramecium
aurelia species complex-P. biaurelia, P. sexaurelia, and P. tetraurelia We find
that there is extremely limited variation of the mutation rate and spectrum in
the three species and confirm the extremely low mutation rate of ciliates.
PMID- 29794162
TI - Genome-Wide Analysis of Multidrug and Toxic Compound Extrusion (MATE) Family in
Gossypium raimondii and Gossypium arboreum and Its Expression Analysis Under
Salt, Cadmium, and Drought Stress.
AB - The extrusion of toxins and substances at a cellular level is a vital life
process in plants under abiotic stress. The multidrug and toxic compound
extrusion (MATE) gene family plays a large role in the exportation of toxins and
other substrates. We carried out a genome-wide analysis of MATE gene families in
Gossypium raimondii and Gossypium arboreum and assessed their expression levels
under salt, cadmium and drought stresses. We identified 70 and 68 MATE genes in
G. raimondii and G. arboreum, respectively. The majority of the genes were
predicted to be localized within the plasma membrane, with some distributed in
other cell parts. Based on phylogenetic analysis, the genes were subdivided into
three subfamilies, designated as M1, M2 and M3. Closely related members shared
similar gene structures, and thus were highly conserved in nature and have mainly
evolved through purifying selection. The genes were distributed in all
chromosomes. Twenty-nine gene duplication events were detected, with segmental
being the dominant type. GO annotation revealed a link to salt, drought and
cadmium stresses. The genes exhibited differential expression, with GrMATE18,
GrMATE34, GaMATE41 and GaMATE51 significantly upregulated under drought, salt and
cadmium stress, and these could possibly be the candidate genes. Our results
provide the first data on the genome-wide and functional characterization of MATE
genes in diploid cotton, and are important for breeders of more stress-tolerant
cotton genotypes.
PMID- 29794166
TI - A High Quality Genome for Mus spicilegus, a Close Relative of House Mice with
Unique Social and Ecological Adaptations.
AB - Genomic data for the closest relatives of house mice (Mus musculus species
complex) are surprisingly limited. Here, we present the first complete genome for
a behaviorally and ecologically unique member of the sister clade to house mice,
the mound-building mouse, Mus spicilegus Using read cloud sequencing and de novo
assembly we produced a 2.50 Gbp genome with a scaffold N50 of 2.27 Mbp. We
constructed >25 000 gene models, of which the majority had high homology to other
Mus species. To evaluate the utility of the M. spicilegus genome for behavioral
and ecological genomics, we extracted 196 vomeronasal receptor (VR) sequences
from our genome and analyzed phylogenetic relationships between M. spicilegus VRs
and orthologs from M. musculus and the Algerian mouse, M. spretus While most M.
spicilegus VRs clustered with orthologs in M. musculus and M. spretus, 10 VRs
with evidence of rapid divergence in M. spicilegus are strong candidate
modulators of species-specific chemical communication. A high quality assembly
and genome for M. spicilegus will help to resolve discordant ancestry patterns in
house mouse genomes, and will provide an essential foundation for genetic
dissection of phenotypes that distinguish commensal from non-commensal species,
and the social and ecological characteristics that make M. spicilegus unique.
PMID- 29794168
TI - Carbonic Anhydrase Mutants in Zea mays Have Altered Stomatal Responses to
Environmental Signals.
AB - Stomata regulate transpirational water loss and CO2 uptake for photosynthesis in
response to changing environmental conditions. Research investigating stomatal
movement has mostly been conducted in C3 eudicot species, which have very
different CO2 requirements for photosynthesis relative to C4 grasses. Carbonic
anhydrase (CA) catalyzes the hydration of CO2, and its activity has been linked
to stomatal aperture regulation in eudicots. The number of Ca genes and their
evolutionary history differ between monocots and dicots, and many questions
remain unanswered about potential neofunctionalization and subfunctionalization
of grass Ca paralogs and their roles in photosynthesis and stomatal conductance.
To investigate the roles of different Ca genes in maize (Zea mays), we examined
stomatal responses in ca1 and ca2 single mutants as well as a ca1ca2 double
mutant. The ca1 and ca2 single mutants had 10% and 87% of the CA activity
exhibited by the wild type, respectively, while ca1ca2 had less than 5% of wild
type CA activity. The ca mutants had higher stomatal conductance than the wild
type and slower stomatal closure in response to increases in CO2 partial
pressure. Contrary to previous reports in eudicots, ca mutants showed slowed
stomatal closure in response to the light-dark transition and did not show
differences in stomatal density compared with the wild type. These results
implicate CA-mediated signaling in the control of stomatal movement but not
stomatal development. Drought experiments with ca1ca2 mutant plants suggest a
role for CA in water-use efficiency and reveal that Z. mays is not optimized for
water-use efficiency under well-watered conditions.
PMID- 29794167
TI - Genomic Selection for Late Blight and Common Scab Resistance in Tetraploid Potato
(Solanum tuberosum).
AB - Potato (Solanum tuberosum) is a staple food crop and is considered one of the
main sources of carbohydrates worldwide. Late blight (Phytophthora infestans) and
common scab (Streptomyces scabies) are two of the primary production constraints
faced by potato farming. Previous studies have identified a few resistance genes
for both late blight and common scab; however, these genes explain only a limited
fraction of the heritability of these diseases. Genomic selection has been
demonstrated to be an effective methodology for breeding value prediction in many
major crops (e.g., maize and wheat). However, the technology has received little
attention in potato breeding. We present the first genomic selection study
involving late blight and common scab in tetraploid potato. Our data involves
4,110 (Single Nucleotide Polymorphisms, SNPs) and phenotypic field evaluations
for late blight (n=1,763) and common scab (n=3,885) collected in seven and nine
years, respectively. We report moderately high genomic heritability estimates
(0.46 +/- 0.04 and 0.45 +/- 0.017, for late blight and common scab,
respectively). The extent of genotype-by-year interaction was high for late
blight and low for common scab. Our assessment of prediction accuracy
demonstrates the applicability of genomic prediction for tetraploid potato
breeding. For both traits, we found that more than 90% of the genetic variance
could be captured with an additive model. For common scab, the highest prediction
accuracy was achieved using an additive model. For late blight, small but
statistically significant gains in prediction accuracy were achieved using a
model that accounted for both additive and dominance effects. Using whole-genome
regression models we identified SNPs located in previously reported hotspots
regions for late blight, on genes associated with systemic disease resistance
responses, and a new locus located in a WRKY transcription factor for common
scab.
PMID- 29794170
TI - Who does TORC2 talk to?
AB - The target of rapamycin (TOR) is a protein kinase that, by forming complexes with
partner proteins, governs diverse cellular signalling networks to regulate a wide
range of processes. TOR thus plays central roles in maintaining normal cellular
functions and, when dysregulated, in diverse diseases. TOR forms two distinct
types of multiprotein complexes (TOR complexes 1 and 2, TORC1 and TORC2). TORC1
and TORC2 differ in their composition, their control and their substrates, so
that they play quite distinct roles in cellular physiology. Much effort has been
focused on deciphering the detailed regulatory links within the TOR pathways and
the structure and control of TOR complexes. In this review, we summarize recent
advances in understanding mammalian (m) TORC2, its structure, its regulation, and
its substrates, which link TORC2 signalling to the control of cell functions. It
is now clear that TORC2 regulates several aspects of cell metabolism, including
lipogenesis and glucose transport. It also regulates gene transcription, the
cytoskeleton, and the activity of a subset of other protein kinases.
PMID- 29794169
TI - DDM1 Represses Noncoding RNA Expression and RNA-Directed DNA Methylation in
Heterochromatin.
AB - C methylation of DNA, which occurs at CG, CHG, and CHH (H = A, C, or T) sequences
in plants, is a hallmark for the epigenetic repression of repetitive sequences.
The chromatin-remodeling factor DECREASE IN DNA METHYLATION1 (DDM1) is essential
for DNA methylation, especially at CG and CHG sequences. However, its potential
roles in RNA-directed DNA methylation (RdDM) and in chromatin function are not
completely understood in rice (Oryza sativa). In this work, we used high
throughput approaches to study the function of rice DDM1 (OsDDM1) in RdDM and the
expression of noncoding RNA. We show that loss of function of OsDDM1 results in
ectopic CHH methylation of transposable elements and repeats. The ectopic CHH
methylation was dependent on rice DOMAINS REARRANGED METHYLTRANSFERASE2, a DNA
methyltransferase involved in RdDM. Mutations in OsDDM1 lead to decreases of
histone H3K9me2 and increases in the levels of heterochromatic small RNA and long
noncoding RNA. In particular, OsDDM1 was found to be essential to repress the
transcription of the two repetitive sequences, Centromeric Retrotransposons of
Rice1 and the dominant centromeric CentO repeats. These results suggest that
OsDDM1 antagonizes RdDM at heterochromatin and represses the tissue-specific
expression of noncoding RNA from repetitive sequences in the rice genome.
PMID- 29794171
TI - Emergent management of the suspected pelvic fracture: challenges in the obese
patient.
AB - Pelvic fractures, although infrequent, are a significant cause of morbidity and
mortality in the trauma population. Currently, the mainstay of emergent
management of a suspected pelvic fracture is placement of a pelvic binder, and
their use in the prehospital setting is recommended for any individual involved
in high-energy trauma. Obesity in the trauma patient has been shown to be an
independent risk factor of morbidity and mortality, and the incidence of pelvic
and lower extremity fractures has consistently demonstrated to be higher in the
obese patient compared with an individual with a normal body habitus. This
article aims to highlight the challenges associated with pelvic fracture in the
obese population.
PMID- 29794172
TI - Experimental platforms to study blast injury.
AB - Injuries sustained due to attacks from explosive weapons are multiple in number,
complex in nature, and not well characterised. Blast may cause damage to the
human body by the direct effect of overpressure, penetration by highly energised
fragments, and blunt trauma by violent displacements of the body. The ability to
reproduce the injuries of such insults in a well-controlled fashion is essential
in order to understand fully the unique mechanism by which they occur, and design
better treatment and protection strategies to alleviate the resulting poor long
term outcomes. This paper reports a range of experimental platforms that have
been developed for different blast injury models, their working mechanism, and
main applications. These platforms include the shock tube, split-Hopkinson bars,
the gas gun, drop towers and bespoke underbody blast simulators.
PMID- 29794173
TI - John Douglas Parkinson.
AB - A likeable practitioner - ferociously intelligent, fair minded and with strong
principles - he was one of the last veterinary surgeons who saw action in the
Second World War.
PMID- 29794174
TI - Welfare: are we heading to a golden age?
PMID- 29794175
TI - Insurer partners with 'cheap' drugs supplier.
PMID- 29794177
TI - Government set to consult on puppy trade.
PMID- 29794178
TI - Tackling bovine TB in Northern Ireland.
PMID- 29794179
TI - Alliance to develop future leaders in agri-food.
PMID- 29794181
TI - Dispelling the myths of animal-assisted care.
AB - The use of animals in healthcare settings can have many benefits, but some people
are worried about their use. Now, as Georgina Mills explains, the nursing
profession is helping to ease concern.
PMID- 29794185
TI - 'Animal welfare is core to the role of every vet'.
AB - Sean Wensley's name has become synonymous with animal welfare reform. Here, the
BVA council member and former president of the association tells Adele Waters
where his ambition for better welfare began and where he hopes it may lead.
PMID- 29794186
TI - Pet owners turn to the internet for advice: will vets be there to provide an
information prescription?
PMID- 29794189
TI - Full-time veterinary equivalents.
PMID- 29794187
TI - Diagnosis and prevention of RHVD2 infection.
PMID- 29794190
TI - Patient safety first.
PMID- 29794191
TI - Universities should encourage helmet wearing.
PMID- 29794192
TI - BVD eradication.
PMID- 29794193
TI - Horses at the Royal wedding.
PMID- 29794194
TI - Electric collars and fences.
PMID- 29794195
TI - Seized dogs in kennels.
PMID- 29794196
TI - Tick bites and tick-transmitted diseases.
PMID- 29794201
TI - Clinical Pharmacology of Bronchodilator Medications.
AB - Obstructive lung diseases, including asthma and COPD, are characterized by air
flow limitation. Bronchodilator therapy can often decrease symptoms of air-flow
obstruction by relaxing airway smooth muscle (bronchodilation), decreasing
dyspnea, and improving quality of life. In this review, we discuss the
pharmacology of the beta agonist and anticholinergic bronchodilators and their
use, particularly in asthma and COPD. Expanding knowledge of receptor subtypes
and G-protein signaling, agonist and antagonist specificity, and drug delivery
have led to the introduction of safer medications with fewer off-target effects,
medications with longer duration of action that may improve adherence, and more
effective and efficient aerosol delivery devices.
PMID- 29794202
TI - Clinical Pharmacology of Corticosteroids.
AB - Corticosteroids have numerous applications in treating inflammation and diseases
of immune function based on their significant anti-inflammatory and
immunosuppressive effects. Corticosteroids modulate immune function through
various effects in the nucleus of numerous cells. When used in pharmacologic
doses to suppress allergic responses or inflammation, these agents can cause
numerous adverse effects associated with an excess of glucocorticoid activity.
Prolonged use (>2 wk) results in suppression of the hypothalamic-pituitary
adrenal axis, which requires tapering of doses. Dosing strategies for systemic
corticosteroids are designed to minimize the risk for hypothalamic-pituitary
adrenal axis suppression. Topical administration of corticosteroids, including
oral inhalation, is often used to avoid the significant adverse effects
associated with chronic use. Inhaled corticosteroids are potent synthetic agents
that exert their actions locally in the airways but can cause systemic effects
based on several factors that influence systemic bioavailability. Inhaled
corticosteroids are the cornerstone of asthma therapy and important options for
COPD in patients who experience frequent exacerbations. By the nasal route, they
are the most effective therapy for treating moderate-to-severe allergic rhinitis.
PMID- 29794205
TI - Current and Emerging Biologic Therapies for Asthma and COPD.
AB - Historical treatments for asthma and COPD have primarily focused on addressing
the underlying inflammation and bronchoconstriction that result in air flow
obstruction symptoms, including shortness of breath, cough, chest tightness, and
mucus production. However, in the past several years, new research into the
underlying pathophysiology of asthma and COPD has led to novel targeted therapies
that address the underlying pathways that cause these obstructive disorders. As
we have gained a better understanding of underlying disease mechanisms, we have
begun to use biomarkers and endotypes to personalize our approach to therapy.
Targets for asthma and COPD include immunoglobulin E, interleukin 5, interleukin
4/interleukin 13, thymic stromal lymphopoietin, interleukin 17, tyrosine kinases,
and others. The new biologics are generally safe and well tolerated, and are
bringing promise and hope of personalized therapy to patients with severe asthma.
PMID- 29794203
TI - Clinical Pharmacology of Oral Maintenance Therapies for Obstructive Lung
Diseases.
AB - Although inhaled therapies are typically preferred for the maintenance treatment
of obstructive lung diseases, oral drug therapies can also play valuable roles.
The most commonly used oral agents are phosphodiesterase inhibitors,
theophylline, macrolides, leukotriene modifiers, and mucoactive agents.
Advantages of these oral agents include the unique pharmacologic mechanisms of
action, the avoidance of the challenges of proper inhalational lung
administration, and, in most instances, relative drug cost. For many of these
agents, anti-inflammatory or immunomodulatory effects are the predominant
pharmacologic mechanism that each provides clinical benefit, with the exception
of guaifenesin. In addition, theophylline, leukotriene modifiers, chronic
macrolides, phosphodiesterase inhibitors, and N-acetylcysteine have been shown to
decrease exacerbations in obstructive lung disease. Fairly rapid bronchodilation
occurs with the phosphodiesterase inhibitors, theophylline, and leukotriene
modifiers, although less than that achieved with inhaled therapies. The clinical
roles of phosphodiesterase inhibitors, specifically roflumilast, and macrolides
continues to be defined today, whereas the roles theophylline and leukotriene
modifiers have probably been largely delineated. Azithromycin is the principal
macrolide used chronically for obstructive lung diseases, especially COPD.
Although guaifenesin is used widely, its effectiveness is unclear, whereas N
acetylcysteine currently has strong evidence supporting a decreased risk of COPD
exacerbations. Mucolytic agents like N-acetylcysteine are used more widely
outside the United States in obstructive lung diseases.
PMID- 29794204
TI - Anti-Proteases and Alpha-1 Antitrypsin Augmentation Therapy.
AB - Alpha-1 antitrypsin deficiency is a genetic disease that first highlighted the
importance of protease balance in normal lung homeostasis. Proteases such as
neutrophil elastase are important in many pulmonary diseases. However, the first
commercially licensed anti-protease therapy was used for emphysema in alpha-1
antitrypsin deficiency. The 4 commercial alpha-1 protease inhibitor preparations
in the United States are currently given intravenously to augment the serum and
alveolar epithelial lining fluid concentrations of alpha-1 antitrypsin. Since the
discovery of alpha-1 antitrypsin deficiency in 1963, many genetic polymorphisms
of the SERPINA1 gene have been discovered. Because neutrophilic inflammation is
the hallmark of usual COPD, anti-protease therapy also has been explored in this
disease. Further trials with different dosing schema, inhaled therapy, and in
different disease states are all ongoing. These studies would be facilitated by
having COPD outcomes that are independent of measurement of air flow and
achievable in smaller populations over a short period of time.
PMID- 29794206
TI - Aerosol Delivery Devices for Obstructive Lung Diseases.
AB - Drug delivery by inhalation is the principal strategy to treat obstructive lung
diseases, which affect about 15% of the population in the United States. Aerosol
delivery devices have evolved over more than 60 years from the basic pressurized
metered-dose inhaler and nebulizer to numerous types of inhalers and devices,
including valved holder chambers, dry powder inhalers, soft mist inhalers, as
well as smart inhalers and nebulizers. Although these devices improve a patient's
ability to self-administer medication, many problems with optimal delivery still
exist. Appropriate selection and repeated patient education can help lessen the
problems with these devices. Aerosol science is evolving, with methods of
measurement that include radio-scintigraphy and magnetic resonance imaging, to
provide a better understanding of aerosol delivery and effects. Understanding the
science and clinical application of aerosol drug delivery can substantially aid
clinicians in optimizing these therapies for their patients.
PMID- 29794207
TI - Oxygen Therapy in COPD.
AB - Long-term oxygen therapy (LTOT) at home has been demonstrated to improve survival
in patients with COPD and severe resting hypoxemia. Support for LTOT is based on
2 landmark trials published nearly 4 decades ago. These results form the basis
for reimbursement and prescription of LTOT to this day. Recent work has
demonstrated no outcome benefit of LTOT in stable COPD patients with moderate
desaturation at rest or during activity. Oxygen therapy during activity and
exercise has been shown to alleviate symptoms and maintain arterial oxygen
saturation, but not improve long-term outcomes. Oxygen therapy in COPD has a
number of physiologic, functional, and biologic effects, not all of which are
completely understood. Oxygen therapy in exacerbations of COPD can be both
helpful and harmful. New guidance on the use of oxygen therapy during pre
hospital care has been published in the United Kingdom. Technology for LTOT
represents a challenge for physicians writing prescriptions, durable medical
equipment suppliers, caregivers, and patients. New technology for automated
control of LTOT shows promise but is hampered by regulatory processes and cost
pressures. Recent changes in government reimbursement for home oxygen therapy
also present challenges. This paper will review the current evidence regarding
LTOT in COPD and the impact on mortality and functional outcomes as well as
reviewing technological challenges.
PMID- 29794209
TI - Medication Regimens for Managing Stable Asthma.
AB - Guideline-based management of asthma was developed as a means of standardizing
asthma therapies and of improving outcomes. The National Asthma Education and
Prevention Program and the Global Initiative for Asthma guidelines provide
recommendations to care providers about the routine management of asthma. There
has been rapid development of new therapies for asthma, specifically with the
advent of new drug delivery devices and biologic therapies for severe asthma. We
are increasingly recognizing that asthma is a heterogenous disease with a diverse
underlying pathophysiology, and therefore, it is imperative for care providers to
begin to understand asthma phenotypes and endotypes, and the implications of
these classifications on management, especially of severe refractory asthma. This
article serves as a review of guideline-based therapy for asthma and includes
updates on alternative therapies, new approaches that use previously recognized
therapies, and special populations with asthma.
PMID- 29794208
TI - An Update on the Global Initiative for Chronic Obstructive Lung Disease 2017
Guidelines With a Focus on Classification and Management of Stable COPD.
AB - The 2017 Global Initiative for Chronic Obstructive Lung Disease (GOLD) guidelines
offer important changes to the assessment and management of stable COPD of
importance to practitioners, respiratory therapists, pharmacists, and nurses who
care for patients with COPD. Therapies are now chosen based on the burden of
symptoms and the history of COPD exacerbations, and inhaler regimens are
modifiable based on continual clinical reassessment. Although identifying the
degree of airway obstruction remains important for informing the clinical status
of the patient with COPD, FEV1 is no longer used to direct the therapeutic
approach. Therapies and modes of inhaled medication delivery for each GOLD
grouping have been modified and reflect the need for reevaluation of patient
symptoms and COPD exacerbation history as an indicator to add or withdraw
therapies. As the knowledge of this important disease continues to expand,
exacerbation and symptom prevention in patients with stable COPD will remain as
an important target of COPD therapies and research. Novel drug combinations and
delivery devices are sure to positively affect the practitioner's approach to
patients with stable COPD. The new 2017 GOLD guidelines represent a step toward
personalized care of the patient with COPD.
PMID- 29794210
TI - Medication Regimens for Managing COPD Exacerbations.
AB - Exacerbations are a hallmark feature of COPD and contribute to morbidity and
mortality. There is general agreement that the pharmacotherapy of COPD
exacerbations includes bronchodilators, corticosteroids, and antibiotics. Strong
evidence exists for the benefit of corticosteroids for exacerbations and of
antibiotics in the acute hospital setting. There remains considerable
uncertainty, however, in the best drug selection, dose, route, and duration of
treatment. This article reviews the evidence base and expert recommendations for
drug treatment of COPD exacerbations in the out-patient and in-patient settings.
PMID- 29794211
TI - Medication Regimens for Managing Acute Asthma.
AB - Asthma exacerbation is defined as a progressive increase in symptoms of shortness
of breath, cough, or wheezing sufficient to require a change in therapy. After
ruling out diagnoses that mimic an asthma exacerbation, therapy should be
initiated. Short-acting beta2 agonists and short-acting muscarinic antagonists
are effective as bronchodilators for asthma in the acute setting. Systemic
corticosteroids to reduce airway inflammation continue to be the mainstay therapy
for asthma exacerbations, and, unless there is a contraindication, the oral route
is favored. Based on the current evidence, nebulized magnesium should not be
routinely used in acute asthma. The evidence favors the use of intravenous
magnesium sulfate in selected cases, particularly in severe exacerbations.
Methylxanthines have a minimum role as therapy for asthma exacerbations but may
be considered in refractory cases of status asthmaticus with careful monitoring
of toxicity. Current guidelines recommend the use of helium-oxygen mixtures in
patients who do not respond to standard therapies or those with severe disease.
PMID- 29794212
TI - Drug Development for Asthma and COPD: A Regulatory Perspective.
AB - This review addresses drug development intended to support United States
clearance for asthma and COPD by explaining basic regulatory terms and broadly
discussing the regulatory pathways to clearance. Some of the key clinical
regulatory challenges that are faced by drugs for asthma and COPD are explained
and clarified, both overall and by class of drug, citing relevant examples that
emphasize key lessons. Generic drug development of inhaled drugs is also
addressed. The purpose of this review is to provide the reader with a greater
understanding and appreciation of asthma and COPD drug development from the
regulatory perspective.
PMID- 29794214
TI - Editor's Commentary.
PMID- 29794213
TI - Adherence in Asthma and COPD: New Strategies for an Old Problem.
AB - Adherence broadly encompasses the decisions patients make as to whether health
care advice should be initiated, as well as the degree to which the recommended
health behaviors, once started, are maintained. Disease-related conditions such
as severity and duration of illness, as well as treatment-related features such
as frequency of dosing and side effects, are 2 of several factors that influence
adherence. Other factors affecting adherence include socioeconomic status,
patient-related causes, and health system-related reasons. Adherence is rarely,
if ever, an all-or-none phenomenon. Typically, patients follow some
recommendations closely while deciding others are optional; these decisions are
often made without consulting with or notifying health care professionals. Non
adherence can be categorized as either unintentional or intentional.
Unintentional non-adherence is easier to remedy because it responds to patient
education, simplification of treatment regimens, or the use of a reminder system.
Intentional non-adherence is more complex and challenging to address because
patients exhibiting these behaviors often do not find evidence-based
recommendations compelling, lack the motivation to follow advice, or have deeply
entrenched personal beliefs that conflict with health guidance. Novel
psychotherapeutic behavioral interventions, such as shared decision-making,
motivational interviewing, and coaching are some approaches being tested to
determine their effectiveness in mitigating the resistance to treatment that
characterizes intentional non-adherence in asthma and COPD populations. In this
narrative review, the extent of non-adherence to asthma and COPD management
recommendations is explored, the factors affecting adherence are explicated, the
methods used to measure adherence are compared and contrasted, and the
effectiveness of strategies targeting unintentional and intentional non-adherence
is detailed.
PMID- 29794215
TI - What makes clinical labour different? The case of human guinea pigging.
AB - Each year thousands of individuals enrol in clinical trials as healthy volunteers
to earn money. Some of them pursue research participation as a full-time or at
least a part-time job. They call themselves professional or semiprofessional
guinea pigs. The practice of paying healthy volunteers raises numerous ethical
concerns. Different payment models have been discussed in literature. Dickert and
Grady argue for a wage-payment model. This model gives research subjects a
standardised hourly wage, and it is based on an assumption that research
participation is morally indistinguishable from other forms of unskilled labour.
In this paper, I will challenge this assumption. I will argue that human guinea
pigging has particular characteristics which taken together make it significantly
different from other forms of labour. (1) Participation in research is skill
independent. Healthy volunteers are valuable not because they are skilful
persons, but because they are human bodies. (2) The role of research volunteers
is mainly passive. They are not asked to produce goods or deliver services. They
are paid for enduring unpleasant, painful and risky interventions performed by
investigators. (3) Research volunteering involves inherent risks and
uncertainties, and subjects have little or no control over their minimisation and
materialisation. I conclude that participation in clinical research is a specific
kind of activity. It is more like renting out one's body to strangers, than
working. Thus, research participation should not be treated on par with other
forms of employment.
PMID- 29794216
TI - Postimpact earliest Paleogene warming shown by fish debris oxygen isotopes (El
Kef, Tunisia).
AB - Greenhouse warming is a predicted consequence of the Chicxulub impact, but
supporting data are sparse. This shortcoming compromises understanding of the
impact's effects, and it has persisted due to an absence of sections that both
contain suitable material for traditional carbonate- or organic-based
paleothermometry and are complete and expanded enough to resolve changes on short
time scales. We address the problem by analyzing the oxygen isotopic composition
of fish debris, phosphatic microfossils that are relatively resistant to
diagenetic alteration, from the Global Stratotype Section and Point for the
Cretaceous/Paleogene boundary at El Kef, Tunisia. We report an ~1 per mil
decrease in oxygen isotopic values (~5 degrees C warming) beginning at the
boundary and spanning ~300 centimeters of section (~100,000 years). The pattern
found matches expectations for impact-initiated greenhouse warming.
PMID- 29794217
TI - Atmospheric blocking as a traffic jam in the jet stream.
AB - Atmospheric blocking due to anomalous, persistent meandering of the jet stream
often causes weather extremes in the mid-latitudes. Despite the ubiquity of
blocking, the onset mechanism is not well understood. Here we demonstrate a close
analogy between blocking and traffic congestion on a highway by using
meteorological data and show that blocking and traffic congestion can be
described by a common mathematical theory. The theory predicts that the jet
stream has a capacity for the flux of wave activity (a measure of meandering),
just as the highway has traffic capacity, and when the capacity is exceeded,
blocking manifests as congestion. Stationary waves modulate the jet stream's
capacity for transient waves and localize block formation. Climate change likely
affects blocking frequency by modifying the jet stream's proximity to capacity.
PMID- 29794218
TI - Mapping the dark space of chemical reactions with extended nanomole synthesis and
MALDI-TOF MS.
AB - Understanding the practical limitations of chemical reactions is critically
important for efficiently planning the synthesis of compounds in pharmaceutical,
agrochemical, and specialty chemical research and development. However,
literature reports of the scope of new reactions are often cursory and biased
toward successful results, severely limiting the ability to predict reaction
outcomes for untested substrates. We herein illustrate strategies for carrying
out large-scale surveys of chemical reactivity by using a material-sparing
nanomole-scale automated synthesis platform with greatly expanded synthetic scope
combined with ultrahigh-throughput matrix-assisted laser desorption/ionization
time-of-flight mass spectrometry (MALDI-TOF MS).
PMID- 29794219
TI - Structures of the fully assembled Saccharomyces cerevisiae spliceosome before
activation.
AB - The precatalytic spliceosome (B complex) is preceded by the pre-B complex. Here
we report the cryo-electron microscopy structures of the Saccharomyces cerevisiae
pre-B and B complexes at average resolutions of 3.3 to 4.6 and 3.9 angstroms,
respectively. In the pre-B complex, the duplex between the 5' splice site (5'SS)
and U1 small nuclear RNA (snRNA) is recognized by Yhc1, Luc7, and the Sm ring. In
the B complex, U1 small nuclear ribonucleoprotein is dissociated, the 5'-exon
5'SS sequences are translocated near U6 snRNA, and three B-specific proteins may
orient the precursor messenger RNA. In both complexes, U6 snRNA is anchored to
loop I of U5 snRNA, and the duplex between the branch point sequence and U2 snRNA
is recognized by the SF3b complex. Structural analysis reveals the mechanism of
assembly and activation for the yeast spliceosome.
PMID- 29794222
TI - Maturation of polycistronic mRNAs by the endoribonuclease RNase Y and its
associated Y-complex in Bacillus subtilis.
AB - Endonucleolytic cleavage within polycistronic mRNAs can lead to differential
stability, and thus discordant abundance, among cotranscribed genes. RNase Y, the
major endonuclease for mRNA decay in Bacillus subtilis, was originally identified
for its cleavage activity toward the cggR-gapA operon, an event that
differentiates the synthesis of a glycolytic enzyme from its transcriptional
regulator. A three-protein Y-complex (YlbF, YmcA, and YaaT) was recently
identified as also being required for this cleavage in vivo, raising the
possibility that it is an accessory factor acting to regulate RNase Y. However,
whether the Y-complex is broadly required for RNase Y activity is unknown. Here,
we used end-enrichment RNA sequencing (Rend-seq) to globally identify operon
mRNAs that undergo maturation posttranscriptionally by RNase Y and the Y-complex.
We found that the Y-complex is required for the majority of RNase Y-mediated mRNA
maturation events and also affects riboswitch abundance in B. subtilis In
contrast, noncoding RNA maturation by RNase Y often does not require the Y
complex. Furthermore, deletion of RNase Y has more pleiotropic effects on the
transcriptome and cell growth than deletions of the Y-complex. We propose that
the Y-complex is a specificity factor for RNase Y, with evidence that its role is
conserved in Staphylococcus aureus.
PMID- 29794223
TI - Astronomical metronome of geological consequence.
PMID- 29794220
TI - Phylogenomics reveals multiple losses of nitrogen-fixing root nodule symbiosis.
AB - The root nodule symbiosis of plants with nitrogen-fixing bacteria affects global
nitrogen cycles and food production but is restricted to a subset of genera
within a single clade of flowering plants. To explore the genetic basis for this
scattered occurrence, we sequenced the genomes of 10 plant species covering the
diversity of nodule morphotypes, bacterial symbionts, and infection strategies.
In a genome-wide comparative analysis of a total of 37 plant species, we
discovered signatures of multiple independent loss-of-function events in the
indispensable symbiotic regulator NODULE INCEPTION in 10 of 13 genomes of
nonnodulating species within this clade. The discovery that multiple independent
losses shaped the present-day distribution of nitrogen-fixing root nodule
symbiosis in plants reveals a phylogenetically wider distribution in evolutionary
history and a so-far-underestimated selection pressure against this symbiosis.
PMID- 29794221
TI - Aldehyde dehydrogenase 3A1 activation prevents radiation-induced xerostomia by
protecting salivary stem cells from toxic aldehydes.
AB - Xerostomia (dry mouth) is the most common side effect of radiation therapy in
patients with head and neck cancer and causes difficulty speaking and swallowing.
Since aldehyde dehydrogenase 3A1 (ALDH3A1) is highly expressed in mouse salivary
stem/progenitor cells (SSPCs), we sought to determine the role of ALDH3A1 in
SSPCs using genetic loss-of-function and pharmacologic gain-of-function studies.
Using DarkZone dye to measure intracellular aldehydes, we observed higher
aldehyde accumulation in irradiated Aldh3a1-/- adult murine salisphere cells and
in situ in whole murine embryonic salivary glands enriched in SSPCs compared with
wild-type glands. To identify a safe ALDH3A1 activator for potential clinical
testing, we screened a traditional Chinese medicine library and isolated d
limonene, commonly used as a food-flavoring agent, as a single constituent
activator. ALDH3A1 activation by d-limonene significantly reduced aldehyde
accumulation in SSPCs and whole embryonic glands, increased sphere-forming
ability, decreased apoptosis, and improved submandibular gland structure and
function in vivo after radiation. A phase 0 study in patients with salivary gland
tumors showed effective delivery of d-limonene into human salivary glands
following daily oral dosing. Given its safety and bioavailability, d-limonene may
be a good clinical candidate for mitigating xerostomia in patients with head and
neck cancer receiving radiation therapy.
PMID- 29794224
TI - One-Stop-Shop Whole-Body 68Ga-PSMA-11 PET/MRI Compared with Clinical Nomograms
for Preoperative T and N Staging of High-Risk Prostate Cancer.
AB - Our aim was to assess the diagnostic potential of 1-stop-shop prostate-specific
membrane antigen ligand (68Ga-PSMA-11) PET/MRI compared with preoperative staging
nomograms in patients with high-risk prostate cancer. Methods: A total of 102
patients underwent 68Ga-PSMA-11 PET/MRI before intended radical prostatectomy
with lymph node dissection. Preoperative variables determined the probabilities
for lymph node metastases (LNM), extracapsular extension (ECE), and seminal
vesical involvement (SVI) using the Memorial Sloan Kettering Cancer Center
(MSKCC) nomogram and Partin tables. Receiver-operating-characteristic analyses
were performed to determine best discriminatory cutoffs. On a cohort basis,
positivity rates of imaging and nomograms were compared with pathologic
prevalence. On a patient basis, sensitivity, specificity, and area under the
curves were calculated. Finally, the full concordance of each method to
postoperative T and N stage was determined. Results: Seventy-three patients were
finally analyzed. On a cohort basis, the MSKCC nomogram (39.7%) positivity rate
was most concordant with pathologic prevalence for LNM (34.3%) compared with
Partin tables (14.1%) and imaging (20.6%). Prevalence of ECE (72.6%) was best
predicted by MSKCC nomograms and imaging (83.6% each), compared with Partin
tables (38.4%). For prevalence of SVI (45.2%), imaging (47.9%) performed superior
to MSKCC (37.6%) and Partin tables (19.3%). On a patient basis, AUCs for LNM,
ECE, and SVI did not differ significantly between tests (P > 0.05). Imaging
revealed a high specificity (100%) for LNM and a sensitivity (60%) comparable to
the MSKCC nomogram (68%) and Partin tables (60%). For ECE, imaging revealed the
highest sensitivity (94.3%) compared with the MSKCC nomogram (66%) and Partin
tables (71.1%). For SVI, sensitivity and specificity of imaging and the MSKCC
nomogram were comparable (81.5% and 80% vs. 87.9% and 75%). The rate of
concordance to the final pTN stage was 60.3% for imaging, 52.1% for the MSKCC
nomogram, and 39.7% for Partin tables. Conclusion: In our analysis, preoperative
1-stop-shop 68Ga-PSMA-11 PET/MRI performs at least equally for T and N stage
prediction compared with nomograms in high-risk prostate cancer patients. Despite
an improved prediction of the full final stage and the yield of additional
anatomic information, the use of 68Ga-PSMA-11 PET/MRI warrants further
prospective evaluation.
PMID- 29794225
TI - Depicting Changes in Tumor Biology in Response to Cetuximab Monotherapy or
Combination Therapy by Apoptosis and Proliferation Imaging Using 18F-ICMT-11 and
18F-FLT PET.
AB - Imaging biomarkers must demonstrate their value in monitoring treatment. Two PET
tracers, the caspase-3/7-specific isatin-5-sulfonamide 18F-ICMT-11 (18F-(S)-1-((1
(2-fluoroethyl)-1H-[1,2,3]-triazol-4-yl)methyl)-5-(2(2,4-difluoro-phenoxymethyl)
pyrrolidine-1-sulfonyl)isatin) and 18F-FLT (3'-deoxy-3'-18F-fluorothymidine),
were used to detect early treatment-induced changes in tumor biology and
determine whether any of these changes indicate a response to cetuximab,
administered as monotherapy or combination therapy with gemcitabine. Methods: In
mice bearing cetuximab-sensitive H1975 tumors (non-small lung cancer), the
effects of single or repeated doses of the antiepidermal growth factor receptor
antibody cetuximab (10 mg/kg on day 1 only or on days 1 and 2) or a single dose
of gemcitabine (125 mg/kg on day 2) were investigated by 18F-ICMT-11 or 18F-FLT
on day 3. Imaging was also performed after 2 doses of cetuximab (days 1 and 2) in
mice bearing cetuximab-insensitive HCT116 tumors (colorectal cancer). For imaging
histology comparison, tumors were evaluated for proliferation (Ki-67 and
thymidine kinase 1 [TK1]), cell death (cleaved caspase-3 and terminal
deoxynucleotidyl transferase-mediated deoxyuridine triphosphate nick-end labeling
[TUNEL]), and target engagement (epidermal growth factor receptor expression) by
immunohistochemistry, immunofluorescence, and immunoblotting, respectively. Tumor
and plasma were analyzed for thymidine and gemcitabine metabolites by liquid
chromatography-mass spectrometry. Results: Retention of both tracers was
sensitive to cetuximab in H1975 tumors. 18F-ICMT-11 uptake and ex vivo cleaved
caspase-3 staining notably increased in tumors treated with repeated doses of
cetuximab (75%) and combination treatment (46%). Although a single dose of
cetuximab was insufficient to induce apoptosis, it did affect proliferation.
Significant reductions in tumor 18F-FLT uptake (44%-50%; P < 0.001) induced by
cetuximab monotherapy and combination therapy were paralleled by a clear decrease
in proliferation (Ki-67 decrease, 72%-95%; P < 0.0001), followed by a marked
tumor growth delay. TK1 expression and tumor thymidine concentrations were
profoundly reduced. Neither imaging tracer depicted the gemcitabine-induced tumor
changes. However, cleaved caspase-3 and Ki-67 staining did not significantly
differ after gemcitabine treatment whereas TK1 expression and thymidine
concentrations increased. No cetuximab-induced modulation of the imaging tracers
or other response markers was detected in the insensitive model of HCT116.
Conclusion: 18F-ICMT-11 and 18F-FLT are valuable tools to assess cetuximab
sensitivity depicting distinct and time-variant aspects of treatment response.
PMID- 29794228
TI - Sixty seconds on . . . eggs.
PMID- 29794229
TI - Epidemiology and Risk Factors of Infection in Early Childhood.
AB - BACKGROUND: There is a large, unexplained variation in the frequency of childhood
infections. We described incidence and risk factors of infections in early
childhood. METHODS: Simple infections were captured during the first 3 years of
life in the Copenhagen Prospective Studies on Asthma in Childhood 2000 birth
cohort. Environmental exposures were analyzed by quasi-Poisson regression and
sparse principal component analysis. RESULTS: The 334 children experienced a
median of 14 (range 2-43) infectious episodes at ages 0 to 3 years. The overall
rate of infections was associated with the number of children in the day care
(adjusted incidence rate ratio [aIRR] 1.09 [1.2-1.16]) and the m2 per child in
the day care (aIRR 0.96 [0.92-0.99]). Upper respiratory infections were also
associated with the number of children in the day care (aIRR 1.11 [1.03-1.20])
and the m2 per child in the day care (aIRR 0.95 [0.91-0.99]), whereas lower
respiratory infections were associated with caesarean section (aIRR 1.49 [1.12
1.99]), maternal smoking (aIRR 1.66 [1.18-2.33]), older siblings (aIRR 1.54 [1.19
2.01]), and the age at entry to day care (aIRR 0.77 [0.65-0.91]). The sparse
principal component analysis revealed a risk factor profile driven by tobacco
exposure, social circumstances, and domestic pets, but could only be used to
explain 8.4% of the infection burden. CONCLUSIONS: Children experienced around 14
infections during the first 3 years of life, but incidences varied greatly.
Environmental exposures only explained a small fraction of the variation,
suggesting host factors as major determinants of infectious burden.
PMID- 29794227
TI - Longitudinal Characterization of mGluR5 Using 11C-ABP688 PET Imaging in the Q175
Mouse Model of Huntington Disease.
AB - Metabotropic glutamate receptor 5 (mGluR5) represents a potential therapeutic
target for Huntington disease. Using 11C-ABP688 (3-(6-methyl-pyridin-2-ylethynyl)
cyclohex-2-enone-O-11C-methyl-oxime), a noncompetitive and highly selective
antagonist for mGluR5, we aimed to longitudinally characterize in vivo changes in
mGluR5 by means of PET imaging in the Q175 mouse model of Huntington disease.
Methods: 11C-ABP688 PET imaging, followed by a CT scan, was performed on 18
heterozygous mice and 18 wild-type (WT) littermates at 3 different time points
(6, 9, and 13 mo old). 11C-ABP688 nondisplaceable binding potential (BPND) was
calculated for each time point in striatum and cortex using the cerebellum as the
reference region. In addition, voxel-based statistical parametric mapping (SPM)
analysis was performed on BPND images. Postmortem validation of mGluR5 level and
neuronal density was performed on the mice at 6 mo old. Results: The 11C-ABP688
BPND of heterozygous animals was significantly reduced at all time points in the
striatum (-13.1%, -13.5%, and -14.2% at 6, 9, and 13 mo, respectively; P < 0.001
for all) and in the cortex (-9.8%, -10.2%, and -10.6%, respectively; P < 0.01 for
all), when compared with WT animals. Longitudinal changes in 11C-ABP688 BPND were
also found in heterozygous mice, showing a reduction at 13 mo compared with 6 mo
(-10.4%, P < 0.05). SPM analysis confirmed reduced BPND in heterozygous compared
with WT mice, as well as a time-related decline in 11C-ABP688 binding in the
striatum of heterozygous mice. Postmortem analysis confirmed a mGluR5 decrease in
both striatum (-36.6%; P < 0.01) and cortex (-16.6%; P < 0.05) in heterozygous
mice, whereas no difference in neuronal density was found. Conclusion: In vivo
imaging of mGluR5 using 11C-ABP688 PET/CT revealed a marked reduction in ligand
binding in the striatum and cortex of heterozygous mice, compared with WT mice,
as well as a temporal decline. This study suggests that 11C-ABP688 PET imaging is
a potential biomarker to monitor the progression of, and therapeutic strategies
for, Huntington disease.
PMID- 29794230
TI - Predictors of Medication Continuity in Children With ADHD.
AB - OBJECTIVES: To identify predictors of attention-deficit/hyperactivity disorder
(ADHD) medication continuity, hypothesizing greater continuity among children
with (1) greater child acceptance of treatment, (2) parent belief in longer time
course for ADHD, (3) positive differential between parent-perceived need for and
concerns about medication, and (4) greater parent-perceived alliance with their
child's doctor. METHODS: We conducted a prospective longitudinal cohort of 89
children aged 6 to 10 years old newly treated for ADHD by 1 of 44 pediatricians
in 11 practices. Parents completed validated surveys on their beliefs about ADHD
and medicine. We audited charts and obtained pharmacy dispensing records. In our
analyses, we examined the relationship between predictor variables (eg,
sociodemographic and clinical characteristics, quality of care, and belief
measures) and short-term (first 90 days after starting medication) and long-term
(91-450 days) medication continuity as defined by the number of days covered with
medication. RESULTS: Children had a median of 81% of days covered over 0 to 90
days and 54% of days covered over 91 to 450 days after starting medicine. In the
first 90 days, medication coverage related to child age, satisfaction with
information about medicine, medication titration, symptom reduction, parent
beliefs about control over symptoms, uncertainty about treating with medicine,
and working alliance. Long-term medication continuity related to child acceptance
of treatment and differential between parent-perceived need for and concerns
about medication at 3 months, not baseline factors. CONCLUSIONS: Adherence is a
process that can change over time in response to experiences with treatment.
Interventions are needed to promote productive interactions between pediatricians
and families in support of continuity.
PMID- 29794226
TI - The Immunoimaging Toolbox.
AB - The recent clinical success of cancer immunotherapy has renewed interest in the
development of tools to image the immune system. In general, immunotherapies
attempt to enable the body's own immune cells to seek out and destroy malignant
disease. Molecular imaging of the cells and molecules that regulate immunity
could provide unique insight into the mechanisms of action, and failure, of
immunotherapies. In this article, we will provide a comprehensive overview of the
current state-of-the-art immunoimaging toolbox with a focus on imaging strategies
and their applications toward immunotherapy.
PMID- 29794231
TI - STK25 regulates oxidative capacity and metabolic efficiency in adipose tissue.
AB - Whole-body energy homeostasis at over-nutrition critically depends on how well
adipose tissue remodels in response to excess calories. We recently identified
serine/threonine protein kinase (STK)25 as a critical regulator of ectopic lipid
storage in non-adipose tissue and systemic insulin resistance in the context of
nutritional stress. Here, we investigated the role of STK25 in regulation of
adipose tissue dysfunction in mice challenged with a high-fat diet. We found that
overexpression of STK25 in high-fat-fed mice resulted in impaired mitochondrial
function and aggravated hypertrophy, inflammatory infiltration and fibrosis in
adipose depots. Reciprocally, Stk25-knockout mice displayed improved
mitochondrial function and were protected against diet-induced excessive fat
storage, meta-inflammation and fibrosis in brown and white adipose tissues.
Furthermore, in rodent HIB-1B cell line, STK25 depletion resulted in enhanced
mitochondrial activity and consequently, reduced lipid droplet size,
demonstrating an autonomous action for STK25 within adipocytes. In summary, we
provide the first evidence for a key function of STK25 in controlling the
metabolic balance of lipid utilization vs lipid storage in brown and white
adipose depots, suggesting that repression of STK25 activity offers a potential
strategy for establishing healthier adipose tissue in the context of chronic
exposure to dietary lipids.
PMID- 29794232
TI - Association between tax structure and cigarette consumption: findings from the
International Tobacco Control Policy Evaluation (ITC) Project.
AB - BACKGROUND: Recent studies show that greater price variability and more
opportunities for tax avoidance are associated with tax structures that depart
from a specific uniform one. These findings indicate that tax structures other
than a specific uniform one may lead to more cigarette consumption. OBJECTIVE:
This paper aims to examine how cigarette tax structure is associated with
cigarette consumption. METHODS: We used survey data taken from the International
Tobacco Control Policy Evaluation Project in 17 countries to conduct the
analysis. Self-reported cigarette consumption was aggregated to average measures
for each surveyed country and wave. The effect of tax structures on cigarette
consumption was estimated using generalised estimating equations after adjusting
for sociodemographic characteristics, average taxes and year fixed effects.
FINDINGS: Our study provides important empirical evidence of a relationship
between tax structure and cigarette consumption. We find that a change from a
specific to an ad valorem structure is associated with a 6%-11% higher cigarette
consumption. In addition, a change from uniform to tiered structure is associated
with a 34%-65% higher cigarette consumption. The results are consistent with
existing evidence and suggest that a uniform and specific tax structure is the
most effective tax structure for reducing tobacco consumption.
PMID- 29794233
TI - MSVAT-SPACE-STIR and SEMAC-STIR for Reduction of Metallic Artifacts in 3T Head
and Neck MRI.
AB - BACKGROUND AND PURPOSE: The incidence of metallic dental restorations and
implants is increasing, and head and neck MR imaging is becoming challenging
regarding artifacts. Our aim was to evaluate whether multiple-slab acquisition
with view angle tilting gradient based on a sampling perfection with application
optimized contrasts by using different flip angle evolution (MSVAT-SPACE)-STIR
and slice-encoding for metal artifact correction (SEMAC)-STIR are beneficial
regarding artifact suppression compared with the SPACE-STIR and TSE-STIR in vitro
and in vivo. MATERIALS AND METHODS: At 3T, 3D artifacts of 2 dental implants,
supporting different single crowns, were evaluated. Image quality was evaluated
quantitatively (normalized signal-to-noise ratio) and qualitatively (2 reads by 2
blinded radiologists). Feasibility was tested in vivo in 5 volunteers and 5
patients, respectively. RESULTS: Maximum achievable resolution and the normalized
signal-to-noise ratio of MSVAT-SPACE-STIR were higher compared with SEMAC-STIR.
Performance in terms of artifact correction was dependent on the material
composition. For highly paramagnetic materials, SEMAC-STIR was superior to MSVAT
SPACE-STIR (27.8% smaller artifact volume) and TSE-STIR (93.2% less slice
distortion). However, MSVAT-SPACE-STIR reduced the artifact size compared with
SPACE-STIR by 71.5%. For low-paramagnetic materials, MSVAT-SPACE-STIR performed
as well as SEMAC-STIR. Furthermore, MSVAT-SPACE-STIR decreased artifact volume by
69.5% compared with SPACE-STIR. The image quality of all sequences did not differ
systematically. In vivo results were comparable with in vitro results.
CONCLUSIONS: Regarding susceptibility artifacts and acquisition time, MSVAT-SPACE
STIR might be advantageous over SPACE-STIR for high-resolution and isotropic head
and neck imaging. Only for materials with high-susceptibility differences to soft
tissue, the use of SEMAC-STIR might be beneficial. Within limited acquisition
times, SEMAC-STIR cannot exploit its full advantage over TSE-STIR regarding
artifact suppression.
PMID- 29794234
TI - Hematocrit Measurement with R2* and Quantitative Susceptibility Mapping in
Postmortem Brain.
AB - BACKGROUND AND PURPOSE: Noninvasive venous oxygenation quantification with MR
imaging will improve the neurophysiologic investigation and the understanding of
the pathophysiology in neurologic diseases. Available MR imaging methods are
limited by sensitivity to flow and often require assumptions of the hematocrit
level. In situ postmortem imaging enables evaluation of methods in a fully
deoxygenated environment without flow artifacts, allowing direct calculation of
hematocrit. This study compares 2 venous oxygenation quantification methods in in
situ postmortem subjects. MATERIALS AND METHODS: Transverse relaxation (R2*)
mapping and quantitative susceptibility mapping were performed on a whole-body
4.7T MR imaging system. Intravenous measurements in major draining intracranial
veins were compared between the 2 methods in 3 postmortem subjects. The
quantitative susceptibility mapping technique was also applied in 10 healthy
control subjects and compared with reference venous oxygenation values. RESULTS:
In 2 early postmortem subjects, R2* mapping and quantitative susceptibility
mapping measurements within intracranial veins had a significant and strong
correlation (R2 = 0.805, P = .004 and R2 = 0.836, P = .02). Higher R2* and
susceptibility values were consistently demonstrated within gravitationally
dependent venous segments during the early postmortem period. Hematocrit ranged
from 0.102 to 0.580 in postmortem subjects, with R2* and susceptibility as large
as 291 seconds-1 and 1.75 ppm, respectively. CONCLUSIONS: Measurements of R2* and
quantitative susceptibility mapping within large intracranial draining veins have
a high correlation in early postmortem subjects. This study supports the use of
quantitative susceptibility mapping for evaluation of in vivo venous oxygenation
and postmortem hematocrit concentrations.
PMID- 29794235
TI - Prevalence of Cerebral Microhemorrhage following Chronic Blast-Related Mild
Traumatic Brain Injury in Military Service Members Using Susceptibility-Weighted
MRI.
AB - BACKGROUND AND PURPOSE: Cerebral microhemorrhages are a known marker of mild
traumatic brain injury. Blast-related mild traumatic brain injury relates to a
propagating pressure wave, and there is evidence that the mechanism of injury in
blast-related mild traumatic brain injury may be different from that in blunt
head trauma. Two recent reports in mixed cohorts of blunt and blast-related
traumatic brain injury in military personnel suggest that the prevalence of
cerebral microhemorrhages is lower than in civilian head injury. In this study,
we aimed to characterize the prevalence of cerebral microhemorrhages in military
service members specifically with chronic blast-related mild traumatic brain
injury. MATERIALS AND METHODS: Participants were prospectively recruited and
underwent 3T MR imaging. Susceptibility-weighted images were assessed by 2
neuroradiologists independently for the presence of cerebral microhemorrhages.
RESULTS: Our cohort included 146 veterans (132 men) who experienced remote blast
related mild traumatic brain injury (mean, 9.4 years; median, 9 years after
injury). Twenty-one (14.4%) reported loss of consciousness for <30 minutes.
Seventy-seven subjects (52.7%) had 1 episode of blast-related mild traumatic
brain injury; 41 (28.1%) had 2 episodes; and 28 (19.2%) had >2 episodes. No
cerebral microhemorrhages were identified in any subject, as opposed to the
frequency of SWI-detectable cerebral microhemorrhages following blunt-related
mild traumatic brain injury in the civilian population, which has been reported
to be as high as 28% in the acute and subacute stages. CONCLUSIONS: Our results
may reflect differences in pathophysiology and the mechanism of injury between
blast- and blunt-related mild traumatic brain injury. Additionally, the
chronicity of injury may play a role in the detection of cerebral
microhemorrhages.
PMID- 29794237
TI - Abnormal Blood Oxygen Level-Dependent Fluctuations in Focal Cortical Dysplasia
and the Perilesional Zone: Initial Findings.
AB - BACKGROUND AND PURPOSE: Focal cortical dysplasia is a common cause of intractable
epilepsy for which neurosurgery is an option. Delineations of a focal cortical
dysplasia lesion on structural brain images may not necessarily reflect the
functional borders of normal tissue. Our objective was to determine whether
abnormalities in spontaneous blood oxygen level-dependent fluctuations arise in
focal cortical dysplasia lesions and proximal regions. MATERIALS AND METHODS:
Fourteen patients with focal cortical dysplasia-related epilepsy and 16 healthy
controls underwent structural and resting-state functional MR imaging. Three
known blood oxygen level-dependent measures were determined, including the
fractional amplitude of low-frequency fluctuations, regional homogeneity, and
wavelet entropy. These measures were evaluated in the lesion and perilesional
zone and normalized to the contralateral cortex of patients with focal cortical
dysplasia and healthy controls. RESULTS: Patients showed significantly decreased
fractional amplitude of low-frequency fluctuations and increased wavelet entropy
in the focal cortical dysplasia lesion and the perilesional zone (<=2 cm)
relative to the contralateral homotopic cortex and the same regions in healthy
controls. Regional homogeneity was significantly increased in the focal cortical
dysplasia lesion compared with the contralateral homotopic cortex and healthy
controls. CONCLUSIONS: Abnormalities in spontaneous blood oxygen level-dependent
fluctuations were seen up to 2 cm distant from the radiologically visible
boundary. It was demonstrated that functional boundaries go beyond structural
boundaries of focal cortical dysplasia lesions. Validation is required to reveal
whether this information is valuable for surgical planning and outcome evaluation
of focal cortical dysplasia lesions and comparing current results with
electrophysiologic analysis.
PMID- 29794236
TI - Clinical Significance of Intraplaque Hemorrhage in Low- and High-Grade Basilar
Artery Stenosis on High-Resolution MRI.
AB - BACKGROUND AND PURPOSE: Intraplaque hemorrhage within intracranial
atherosclerotic plaques identified by high-resolution MR imaging has been studied
as a potential marker of stroke risk. However, previous studies only examined
intracranial arteries with high-grade stenosis (degree of stenosis, >50%). This
study aimed to ascertain the clinical relevance of intraplaque hemorrhage in
patients with low- and high-grade stenotic basilar artery plaques. MATERIALS AND
METHODS: Patients with basilar artery stenosis (n = 126; mean age, 62 +/- 10
years; 66 symptomatic and 60 asymptomatic) underwent high-resolution MR imaging.
The relationship between imaging findings (intraplaque hemorrhage, contrast
enhancement, degree of stenosis, minimal lumen area, and plaque burden) and
symptoms was analyzed. RESULTS: Intraplaque hemorrhage was identified in 22
patients (17.5%), including 21 (31.8%) symptomatic patients and 1 (1.7%)
asymptomatic patient. Multivariate analysis showed that intraplaque hemorrhage
was the strongest independent marker of symptomatic status (odds ratio, 27.5; 95%
CI, 3.4-221.5; P = .002). Contrast enhancement was also independently associated
with symptomatic status (odds ratio, 9.9; 95% CI, 1.5-23.6; P = .016). Stenosis,
minimal lumen area, and plaque burden were not correlated with symptoms (P >
.05). Intraplaque hemorrhage was present in both low- and high-grade stenotic
basilar arteries (11.3% versus 16.3%, P = .63). Diagnostic performance values of
intraplaque hemorrhage for patients with acute/subacute symptomatic stroke were
the following: specificity, 98.3%; sensitivity, 31.8%; positive predictive value,
95.5%; and negative predictive value, 56.7%. CONCLUSIONS: Intraplaque hemorrhage
is present in both low- and high-grade stenotic basilar artery plaques and is
independently associated with symptomatic stroke status. Intraplaque hemorrhage
may identify high-risk plaque and provide new insight into the management of
patient with stroke without significant stenosis.
PMID- 29794238
TI - Efficacy and Safety of Percutaneous Microwave Ablation and Cementoplasty in the
Treatment of Painful Spinal Metastases and Myeloma.
AB - BACKGROUND AND PURPOSE: Painful spinal metastases are a common cause of cancer
related morbidity. Percutaneous ablation presents an attractive minimally
invasive alternative to conventional therapies. We performed a retrospective
review of 69 patients with 102 painful spinal metastases undergoing microwave
ablation and cementoplasty to determine the efficacy and safety of this
treatment. MATERIALS AND METHODS: Procedures were performed between January 2015
and October 2016 with the patient under general anesthesia using image guidance
for 102 spinal metastases in 69 patients in the following areas: cervical (n =
2), thoracic (n = 50), lumbar (n = 34), and sacral (n = 16) spine. Tumor
pathologies included the following: multiple myeloma (n = 10), breast (n = 27),
lung (n = 12), thyroid (n = 6), prostate (n = 5), colon (n = 4), renal cell (n =
3), oral squamous cell (n = 1), and adenocarcinoma of unknown origin (n = 1).
Procedural efficacy was determined using the visual analog scale measured
preprocedurally and at 2-4 weeks and 20-24 weeks postprocedure. Tumor
locoregional control was assessed on follow-up cross-sectional imaging.
Procedural complications were recorded to establish the safety profile. RESULTS:
The median ablation time was 4 minutes 30 seconds +/- 7 seconds, and energy dose,
4.1 +/- 1.6 kJ. Median visual analog scale scores were the following: 7.0 +/- 1.8
preprocedurally, 2 +/- 1.6 at 2-4 weeks, and 2 +/- 2.1 at 20-24 weeks. Eight
patients died within 6 months following the procedure. Follow-up imaging in the
surviving patients at 20-24 weeks demonstrated no locoregional progression in
59/61 patients. Two complications were documented (S1 nerve thermal injury and
skin burn). CONCLUSIONS: Microwave ablation is an effective and safe treatment
technique for painful spinal metastases. Further studies may be helpful in
determining the role of microwave ablation in locoregional control of metastases.
PMID- 29794243
TI - Mind the gap: missed valve disease diagnosis.
PMID- 29794242
TI - Prevalence of human papillomavirus by geographical regions, sexual orientation
and HIV status in China: a systematic review and meta-analysis.
AB - OBJECTIVE: Human papillomavirus (HPV) infection causes multiple cancers in both
women and men. In China, both HPV vaccination and cervical cancer screening
coverages are low. We aim to investigate the temporal and geographical trends of
HPV DNA prevalence in heterosexual men, women, men who have sex with men (MSM)
and people living with HIV (PLHIV) in China. METHODS: We conducted a systematic
review, collecting publications in PubMed, Web of Science, China National
Knowledge Infrastructure (CNKI) and Wanfang Data from January 2000 to May 2017. A
total of 247 studies were selected for this meta-analysis to estimate pooled HPV
prevalence, incidence of cervical cancer and risk of infection for subgroups.
Meta-regression was applied to identify contributing factors to prevalence
heterogeneities. RESULTS: The national HPV prevalence was 15.6% (95% CI (14.4% to
16.9%)) in women with normal cervical cytology, and Central China had the highest
prevalence (20.5% (15.2% to 25.8%)). HPV prevalence in heterosexual men (14.5%
(11.3% to 17.7%)) was comparable with that of women (OR=1.09 (0.98 to 1.17)), but
HPV prevalence in MSM (59.9% (52.2% to 67.6%)) was significantly higher than that
in heterosexual men (OR=8.81 (8.01 to 9.69)). HIV-positive women (45.0% (38.4% to
51.6%)) and HIV-positive MSM (87.5% (82.3% to 90.9%)) had 4.67 (3.61 to 6.03) and
6.46 (5.20 to 8.02) times higher risk of HPV infection than their HIV negative
counterparts. CONCLUSION: HPV infection is prevalent in China, particularly in
Central China, in comparison with the global level and neighbouring countries.
Targeted HPV vaccination for women, MSM and PLHIV and scale-up of cervical
screening for women are priorities in curbing the HPV epidemic in China.
PMID- 29794241
TI - Perivascular Adipose Tissue-Derived PDGF-D Contributes to Aortic Aneurysm
Formation During Obesity.
AB - Obesity increases the risk of vascular diseases, including aortic aneurysm (AA).
Perivascular adipose tissue (PVAT) surrounding arteries are altered during
obesity. However, the underlying mechanism of adipose tissue, especially PVAT, in
the pathogenesis of AA is still unclear. Here we showed that angiotensin II
(AngII) infusion increases the incidence of AA in leptin-deficient obese mice
(ob/ob) and high-fat diet-induced obese mice with adventitial inflammation.
Furthermore, transcriptome analysis revealed that platelet-derived growth factor
D (PDGF-D) was highly expressed in the PVAT of ob/ob mice. Therefore, we
hypothesized that PDGF-D mediates adventitial inflammation, which provides a
direct link between PVAT dysfunction and AA formation in AngII-infused obese
mice. We found that PDGF-D promotes the proliferation, migration, and
inflammatory factors expression in cultured adventitial fibroblasts. In addition,
the inhibition of PDGF-D function significantly reduced the incidence of AA in
AngII-infused obese mice. More importantly, adipocyte-specific PDGF-D transgenic
mice are more susceptible to AA formation after AngII infusion accompanied by
exaggerated adventitial inflammatory and fibrotic responses. Collectively, our
findings reveal a notable role of PDGF-D in the AA formation during obesity, and
modulation of this cytokine might be an exploitable treatment strategy for the
condition.
PMID- 29794240
TI - Repeated Selection of Alternatively Adapted Haplotypes Creates Sweeping Genomic
Remodeling in Stickleback.
AB - Heterogeneous genetic divergence can accumulate across the genome when
populations adapt to different habitats while still exchanging alleles. How long
does diversification take and how much of the genome is affected? When divergence
occurs in parallel from standing genetic variation, how often are the same
haplotypes involved? We explore these questions using restriction site-associated
DNA sequencing genotyping data and show that broad-scale genomic repatterning,
fueled by copious standing variation, can emerge in just dozens of generations in
replicate natural populations of threespine stickleback fish (Gasterosteus
aculeatus). After the catastrophic 1964 Alaskan earthquake, marine stickleback
colonized newly created ponds on seismically uplifted islands. We find that
freshwater fish in these young ponds differ from their marine ancestors across
the same genomic segments previously shown to have diverged in much older lake
populations. Outside of these core divergent regions the genome shows no
population structure across the ocean-freshwater divide, consistent with strong
local selection acting in alternative environments on stickleback populations
still connected by significant gene flow. Reinforcing this inference, a majority
of divergent haplotypes that are at high frequency in ponds are detectable in the
sea, even across great geographic distances. Building upon previous population
genomics work in this model species, our data suggest that a long history of
divergent selection and gene flow among stickleback populations in oceanic and
freshwater habitats has maintained polymorphisms of alternatively adapted DNA
sequences that facilitate parallel evolution.
PMID- 29794239
TI - Multisite Concordance of DSC-MRI Analysis for Brain Tumors: Results of a National
Cancer Institute Quantitative Imaging Network Collaborative Project.
AB - BACKGROUND AND PURPOSE: Standard assessment criteria for brain tumors that only
include anatomic imaging continue to be insufficient. While numerous studies have
demonstrated the value of DSC-MR imaging perfusion metrics for this purpose, they
have not been incorporated due to a lack of confidence in the consistency of DSC
MR imaging metrics across sites and platforms. This study addresses this
limitation with a comparison of multisite/multiplatform analyses of shared DSC-MR
imaging datasets of patients with brain tumors. MATERIALS AND METHODS: DSC-MR
imaging data were collected after a preload and during a bolus injection of
gadolinium contrast agent using a gradient recalled-echo-EPI sequence (TE/TR =
30/1200 ms; flip angle = 72 degrees ). Forty-nine low-grade (n = 13) and high
grade (n = 36) glioma datasets were uploaded to The Cancer Imaging Archive.
Datasets included a predetermined arterial input function, enhancing tumor ROIs,
and ROIs necessary to create normalized relative CBV and CBF maps. Seven sites
computed 20 different perfusion metrics. Pair-wise agreement among sites was
assessed with the Lin concordance correlation coefficient. Distinction of low-
from high-grade tumors was evaluated with the Wilcoxon rank sum test followed by
receiver operating characteristic analysis to identify the optimal thresholds
based on sensitivity and specificity. RESULTS: For normalized relative CBV and
normalized CBF, 93% and 94% of entries showed good or excellent cross-site
agreement (0.8 <= Lin concordance correlation coefficient <= 1.0). All metrics
could distinguish low- from high-grade tumors. Optimum thresholds were determined
for pooled data (normalized relative CBV = 1.4, sensitivity/specificity =
90%:77%; normalized CBF = 1.58, sensitivity/specificity = 86%:77%). CONCLUSIONS:
By means of DSC-MR imaging data obtained after a preload of contrast agent,
substantial consistency resulted across sites for brain tumor perfusion metrics
with a common threshold discoverable for distinguishing low- from high-grade
tumors.
PMID- 29794244
TI - Cardiac auscultation poorly predicts the presence of valvular heart disease in
asymptomatic primary care patients.
AB - OBJECTIVE: Cardiac auscultation is a key clinical skill, particularly for the
diagnosis of valvular heart disease (VHD). However, its utility has declined due
to the widespread availability of echocardiography and diminishing emphasis on
the importance of clinical examination. We aim to determine the contemporary
accuracy of auscultation for diagnosing VHD in primary care. METHODS: Cardiac
auscultation was undertaken by one of two experienced general practitioners
(primary care/family doctors) in a subset of 251 asymptomatic participants aged
>65 years undergoing echocardiography within a large community-based screening
study of subjects with no known VHD. Investigators were blinded to the
echocardiographic findings. Newly detected VHD was classified as mild (mild
regurgitation of any valve or aortic sclerosis) or significant (at least moderate
regurgitation or mild stenosis of any valve). RESULTS: Newly identified VHD was
common, with mild disease in 170/251 participants (68%) and significant disease
in 36/251 (14%). The sensitivity of auscultation was low for the diagnosis of
mild VHD (32%) but slightly higher for significant VHD (44%), with specificities
of 67% and 69%, respectively. Likelihood ratios were not statistically
significant for the diagnosis of either mild or significant VHD in the overall
cohort, but showed possible value for auscultation in non-overweight subjects
(body mass index <25 kg/m2). CONCLUSION: Cardiac auscultation has limited
accuracy for the detection of VHD in asymptomatic patients and is a poor
diagnostic screening tool in primary care, particularly for overweight subjects.
Ensuring easy access to echocardiography in patients with symptoms suggesting VHD
is likely to represent a better diagnostic strategy.
PMID- 29794245
TI - KLF4 Mediates the Effect of 5-ASA on the beta-Catenin Pathway in Colon Cancer
Cells.
AB - Mesalazine (5-ASA) is an aminosalicylate anti-inflammatory drug capable of
inducing MU-protocadherin, a protein expressed by colorectal epithelial cells
that is downregulated upon malignant transformation. Treatment with 5-ASA
restores MU-protocadherin expression and promotes the sequestration of beta
catenin to the plasma membrane. Here, we show that 5-ASA-induced MU-protocadherin
expression is directly regulated by the KLF4 transcription factor. In addition,
we suggest the existence of a dual mechanism whereby 5-ASA-mediated beta-catenin
inhibition is caused by MU-protocadherin-dependent sequestration of beta-catenin
to the plasma membrane and by the direct binding of KLF4 to beta-catenin. In
addition, we found that 5-ASA treatment suppresses the expression of miR-130a and
miR-135b, which target KLF4 mRNA, raising the possibility that this mechanism is
involved in the increased expression of KLF4 induced by 5-ASA. Cancer Prev Res;
11(8); 503-10. (c)2018 AACR.
PMID- 29794246
TI - Retraction for Fouhy et al., "Diffusible Signal Factor-Dependent Cell-Cell
Signaling and Virulence in the Nosocomial Pathogen Stenotrophomonas maltophilia".
PMID- 29794247
TI - Evaluation of a novel prognostic score based on thrombosis and inflammation in
patients with sepsis: a retrospective cohort study.
AB - BACKGROUND: Inflammation and thrombosis are involved in the development and
progression of sepsis. A novel thrombo-inflammatory prognostic score (TIPS),
based on both an inflammatory and a thrombus biomarker, was assessed for its
ability to predict adverse outcomes of sepsis patients in the emergency
department (ED). METHODS: This was a retrospective cohort study of sepsis
patients. TIPS (range: 0-2) was predictive of adverse outcomes. Multivariable
logistic regression analyses were performed to investigate the associations
between TIPS and 28-day adverse outcomes. The study end points were mortality,
mechanical ventilation (MV), consciousness disorder (CD) and admission to the
intensive care unit (AICU). RESULTS: In total, 821 sepsis patients were enrolled;
173 patients died within the 28-day follow-up period. Procalcitonin and D-dimer
values were used to calculate TIPS because they had the best performance in the
prediction of 28-day mortality by receiver operating characteristic curves. The
28-day mortality and the incidence of MV, CD and AICU were significantly higher
in patients with higher TIPS. Multivariable logistic regression analysis
indicated TIPS was an independent predictor of 28-day mortality, MV and AICU.
TIPS performed better than other prognostic scores, including quick sequential
organ failure assessment, Modified Early Warning Score and Mortality in Emergency
Department Sepsis Score for predicting 28-day mortality, and similar to the Acute
Physiology and Chronic Health Evaluation II, but inferior to sequential organ
failure assessment. CONCLUSIONS: TIPS is useful for stratifying the risk of
adverse clinical outcomes in sepsis patients shortly after admission to the ED.
PMID- 29794248
TI - External quality assessment programs in the context of ISO 15189 accreditation.
PMID- 29794249
TI - Quality, origins and limitations of common therapeutic drug reference intervals.
AB - Therapeutic drug monitoring (TDM) is used to manage drugs with a narrow window
between effective and toxic concentrations. TDM involves measuring blood
concentrations of drugs to ensure effective therapy, avoid toxicity and monitor
compliance. Common drugs for which TDM is used include aminoglycosides for
infections, anticonvulsants to treat seizures, immunosuppressants for transplant
patients and cardiac glycosides to regulate cardiac output and heart rate. An
essential element of TDM is the provision of accurate and clinically relevant
reference intervals. Unlike most laboratory reference intervals, which are
derived from a healthy population, TDM reference intervals need to relate to
clinical outcomes in the form of efficacy and toxicity. This makes TDM inherently
more difficult to develop as healthy individuals are not on therapy, so there is
no "normal value". In addition, many of the aforementioned drugs are old and much
of the information regarding reference intervals is based on small trials using
methods that have changed. Furthermore, individuals have different
pharmacokinetics and drug responses, particularly in the context of combined
therapies, which exacerbates the challenge of universal TDM targets. This focused
review examines the origins and limitations of existing TDM reference intervals
for common drugs, providing targets where possible based on available guidelines.
PMID- 29794250
TI - Blood sample quality.
AB - Several lines of evidence now confirm that the vast majority of errors in
laboratory medicine occur in the extra-analytical phases of the total testing
processing, especially in the preanalytical phase. Most importantly, the
collection of unsuitable specimens for testing (either due to inappropriate
volume or quality) is by far the most frequent source of all laboratory errors,
thus calling for urgent strategies for improving blood sample quality and
managing data potentially generated measuring unsuitable specimens. A
comprehensive overview of scientific literature leads us to conclude that
hemolyzed samples are the most frequent cause of specimen non-conformity in
clinical laboratories (40-70%), followed by insufficient or inappropriate sample
volume (10-20%), biological samples collected in the wrong container (5-15%) and
undue clotting (5-10%). Less frequent causes of impaired sample quality include
contamination by infusion fluids (i.e. most often saline or glucose solutions),
cross-contamination of blood tubes additives, inappropriate sample storage
conditions or repeated freezing-thawing cycles. Therefore, this article is aimed
to summarize the current evidence about the most frequent types of unsuitable
blood samples, along with tentative recommendations on how to prevent or manage
these preanalytical non-conformities.
PMID- 29794251
TI - Chemical composition and antioxidant activity of phenolic compounds and essential
oils from Calamintha nepeta L.
AB - Background Essential oils, infusion and decoction extracts of Calamintha nepeta
L. were evaluated for their bioactive substances (polyphenols and essential oils)
and antioxidant activities. Methods The amounts of phenolic compounds were
determined by colorimetric assays and identified by high performance and liquid
chromatography coupled with ultraviolet detector (HPLC-UV) method. The chemical
composition of essential oils was determined by gas-chromatography coupled with
mass spectrometry (GC/MS) method. For the evaluation of the antioxidant activity
of essential oils and extracts, two different assays (reducing power and DPPH
radical scavenging activity) were used. Results Infusion extract presented the
highest phenolic content, followed by the decoction one, while the lowest amount
was observed in essential oils. The amount of flavonoids of the decocted extract
was higher than that of the infused one. The phenolic profile of C. nepeta
infusion and decoction extracts revealed the presence of 28 and 13 peaks,
respectively. Four phenolics compounds were identified in infusion (gallic acid
(GA), rosmarinic acid (RA), caffeine (C) and caffeic acid (CA)) and two were
identified in decoction (GA and RA). The chemical composition of essential oils
revealed the presence of 29 compounds, accounting for the 99.7% of the total
oils. Major compounds of essential oil (EO) were trans-menthone (50.06%) and
pulegone (33.46%). Infusion and decoction extracts revealed an interesting
antioxidant activity which correlates positively with their total phenolic
contents. Conclusions These results showed that Calamintha nepeta could be
considered as a valuable source of phenolics and essential oils with potent
antioxidant activity.
PMID- 29794253
TI - What's new in preterm birth prediction and prevention?
PMID- 29794252
TI - Wrist circumference as a novel predictor of obesity in children and adolescents:
the CASPIAN-IV study.
AB - Background Recent studies have discussed the application of wrist circumference
as an easy-to-use predictor of general and abdominal obesity. The aim of the
current study is to evaluate the association of wrist circumference with
generalized and abdominal obesity and to determine its sex- and age-specific
optimal cutoff points in association with generalized and abdominal obesity in a
national sample of pediatric population. Methods This nationwide survey was
conducted among 14,880 students, aged 6-18 years, selected through a multistage,
random cluster sampling method from rural and urban areas of 30 provinces in Iran
from 2011 to 2012. Anthropometric indices (weight, height, wrist circumference,
waist circumference [WC], hip circumference [HC]) were measured by standard
protocols using calibrated instruments. Body mass index (BMI) and waist-to-height
ratio (WHtR) were calculated. By considering the area under the curve (AUC) of
the receiver operator characteristic (ROC) curves, we evaluated the association
of wrist circumference with obesity indices and determined its sex- and age
specific optimal cutoff points in association with obesity. AUC: 0.5, AUC: 0.5
0.65 and AUC: 0.65-1.0 were interpreted as equal to chance, moderately and highly
accurate tests, respectively. Results Overall, 13,486 children and adolescents
with a mean age of 12.47+/-3.36 years completed the study (participation rate of
90.6%). In both genders, wrist circumference had a significant correlation with
anthropometric measures including weight, height, BMI, WC, HC and WHtR. In all
age groups and both genders, wrist circumference performed relatively well in
classifying individuals into overweight (AUC: 0.67-0.75, p<0.001), generalized
obesity (AUC: 0.81-0.85, p<0.001) and abdominal obesity (AUC: 0.82-0.87,
p<0.001). Conclusions Wrist circumference is suggested to be a useful index for
assessing excess weight in the pediatric age group. Its easy measurement without
the need of calculation ratios might make it as a routine measurement in daily
clinical practice and in large epidemiological studies.
PMID- 29794254
TI - Cannabidiol effects on prepulse inhibition in nonhuman primates.
AB - Prepulse inhibition (PPI) of acoustic startle reflex is a well-established
behavior paradigm to measure sensorimotor gating deficits. PPI is disrupted in
several neuropsychiatric disorders, including schizophrenia. PPI tests can be
used to screen new drugs for treatment of such disorders. In this review, we
discuss how PPI paradigm can help in screening the therapeutic effects of
cannabidiol (CBD). We look into recent literature about CBD effects on PPI
response in animal models, especially in nonhuman primates. CBD has been shown to
modify PPI in N-methyl d-aspartate receptor antagonist models for schizophrenia,
both in rodents and in nonhuman primates. These results show CBD as a potential
drug for the treatment of neurologic disorders that present alterations in
sensorimotor system, such as schizophrenia. Moreover, the PPI paradigm seems to
be a useful and relative simple paradigm to test the efficacy of CBD as a
potential therapeutic drug.
PMID- 29794255
TI - Comparison of four methods of establishing control limits for monitoring quality
controls in infectious disease serology testing.
AB - BACKGROUND: A general trend towards conducting infectious disease serology
testing in centralized laboratories means that quality control (QC) principles
used for clinical chemistry testing are applied to infectious disease testing.
However, no systematic assessment of methods used to establish QC limits has been
applied to infectious disease serology testing. METHODS: A total of 103 QC data
sets, obtained from six different infectious disease serology analytes, were
parsed through standard methods for establishing statistical control limits,
including guidelines from Public Health England, USA Clinical and Laboratory
Standards Institute (CLSI), German Richtlinien der Bundesarztekammer (RiliBAK)
and Australian QConnect. The percentage of QC results failing each method was
compared. RESULTS: The percentage of data sets having more than 20% of QC results
failing Westgard rules when the first 20 results were used to calculate the
mean+/-2 standard deviation (SD) ranged from 3 (2.9%) for R4S to 66 (64.1%) for
10X rule, whereas the percentage ranged from 0 (0%) for R4S to 32 (40.5%) for 10X
when the first 100 results were used to calculate the mean+/-2 SD. By contrast,
the percentage of data sets with >20% failing the RiliBAK control limits was 25
(24.3%). Only two data sets (1.9%) had more than 20% of results outside the
QConnect Limits. CONCLUSIONS: The rate of failure of QCs using QConnect Limits
was more applicable for monitoring infectious disease serology testing compared
with UK Public Health, CLSI and RiliBAK, as the alternatives to QConnect Limits
reported an unacceptably high percentage of failures across the 103 data sets.
PMID- 29794256
TI - Investigating adolescents' sweetened beverage consumption and Western fast food
restaurant visits in China, 2006-2011.
AB - Background China has undergone rapid Westernization and established dramatic
social reforms since the early 21st century. However, health issues led to
challenges in the lives of the Chinese residents. Western fast food and sweetened
beverages, two food options associated with chronic diseases and obesity, have
played key roles to alter adolescents' dietary patterns. This study aims to
examine the association between adolescents' visits to Western fast food
restaurants and sweetened beverage consumption. Methods Applying three waves of
the China Health and Nutrition Study (CHNS) between 2006 and 2011 (n = 1063), we
used generalized Poisson regression (GPR) to investigate the association between
adolescents' Western fast food restaurant visits and sweetened beverage
consumption, as the popularity of fast food and sweetened beverages has
skyrocketed among adolescents in contemporary China. A linear-by-linear
association test was used as a trend test to study general patterns between
sweetened beverage consumption and Western fast food restaurant visits. We
adjusted all models with sweetened beverage consumption frequency, four food
preferences (fast food, salty snacks, fruits and vegetables), school status,
gross household income, provinces, rural/urban regions, age and gender. Results
From the results of the trend test, frequent sweetened beverage consumption was
highly associated with more Western fast food restaurant visits among Chinese
adolescents in the three waves (p < 0.001). Furthermore, we observed that
adolescents, who had less than monthly sweetened beverage consumption or did not
drink them at all, had much less likelihood of visiting Western fast food
restaurants (p < 0.05), compared with those daily consumers. Conclusion
Adolescents' sweetened beverage consumption was highly associated with Western
fast food restaurant visits in contemporary China. Further actions are needed
from the Chinese central government to create a healthier dietary environment for
adolescents.
PMID- 29794258
TI - Premature ovarian failure of autoimmune etiology in 46XX patients: is there a
hope?
AB - OBJECTIVES: To evaluate the efficacy of live bee stings at fertility points and
acupuncture in treating symptoms and managing infertility in premature ovarian
failure (POF) of autoimmune etiology. PATIENTS AND METHODS: Patients with primary
POF were allocated randomly into two groups: group I: subjected to acupuncture at
specific fertility points and group II: subjected to live bee stings at sites of
fertility points. RESULTS: A total of 24 cases show significant reduction of
Follicle stimulating hormone (FSH) level to normal range with gradual decline
over the study duration: 13 cases in group I and 11 cases in group II. Eight
cases got pregnant while the other 13 cases regained normal menses but still
infertile. CONCLUSIONS: Both bee sting therapy and acupuncture were effective in
reduction of FSH levels with restoration of regular menstrual patterns and
restoration of fertility. The bee sting therapy was superior in the pregnancy
rate, while acupuncture was superior in alleviation of symptoms.
PMID- 29794257
TI - Integrated training (practicing, peer clinical training and OSCE assessment): a
ladder to promote learning and training.
AB - Introduction The teaching-learning process plays an effective role in training
nursing students. Devising novel methods can lead to achievement of educational
objectives as well as promotion of the clinical and practical training. The
present study is aimed to determine the effect of integrated training, including
practicing, peer clinical training and objective structured clinical examination
(OSCE) assessment. Methods The interventional study was conducted on 58 freshman
students. Two groups underwent a five-stage educational process; so that, all the
students were trained and practiced in the skills lab, and their practical skills
were investigated via the OSCE test. Afterwards, for clinical training in
hospital, they were randomly divided into two groups of routine training (n = 26)
and the peer-learning method (n = 32). Subsequently, in order to investigate the
outcomes of the process, the OSCE test scores of the two training groups were
compared both before and after the apprenticeship course. Results Scores of all
the students were increased significantly at the end of the semester, but in
terms of the total score of the clinical skills (14.79 +/- 1.52 vs. 18.52 +/-
0.84), the difference was insignificant (p = 0.29). Conclusion Training clinical
skills along with OSCE practice and assessment can improve the nursing students'
learning as well as their practical and clinical performance. Improvement of the
students' performance can lead to high-quality care nursing.
PMID- 29794259
TI - A proteomics analysis of adventitious root formation after leaf removal in lotus
(Nelumbo nucifera Gaertn.).
PMID- 29794260
TI - Spectroscopic differences in posterior insula in patients with chronic
temporomandibular pain.
AB - Background and aims Chronic pain including temporomandibular disorder (TMD) pain
involves a complex interplay between peripheral and central sensitization,
endogenous modulatory pathways, cortical processing and integration and numerous
psychological, behavioral and social factors. The aim of this study was to
compare spectroscopic patterns of N-Acetyl-aspartate (NAA), total creatine (tCr),
choline (Cho), myo-inositol (MI), glutamate (Glu), and the combination of Glu and
glutamine in the posterior insula in patients with chronic generalized or
regional chronic TMD pain (gTMD and rTMD, respectively) compared to healthy
individuals (HI) in relation to clinical findings of TMD pain. Methods Thirty-six
female patients with chronic rTMD or gTMD with at least 3 months duration were
included in the study. Ten healthy women were included as controls. All
participants completed a questionnaire that comprised assessment of degrees of
depression, anxiety, stress, catastrophizing, pain intensity, disability and
locations. A clinical Diagnostic Criteria for Temporomandibular Disorders
examination that comprised assessment of pain locations, headache, mouth opening
capacity, pain on mandibular movement, pain on palpation and temporomandibular
joint noises was performed. Pressure-pain threshold (PPT) over the masseter
muscle and temporal summation to pressure stimuli were assessed with an
algometer. Within a week all participants underwent non-contrast enhanced MRI on
a 3T MR scanner assessing T1-w and T2-w fluid attenuation inversion recovery. A
single-voxel 1H-MRS examination using point-resolved spectroscopy was performed.
The metabolite concentrations of NAA, tCr, Cho, MI, Glu and Glx were analyzed
with the LC model. Metabolite levels were calculated as absolute concentrations,
normalized to the water signal. Metabolite concentrations were used for
statistical analysis from the LC model if the Cramer-Rao bounds were less than
20%. In addition, the ratios NAA/tCr, Cho/tCr, Glu/tCr and MI/tCr were
calculated. Results The results showed significantly higher tCr levels within the
posterior insula in patients with rTMD or gTMD pain than in HI (p=0.029). Cho was
negatively correlated to maximum mouth opening capacity with or without pain (rs=
0.42, n=28, p=0.031 and rs=-0.48, n=28, p=0.034, respectively) as well as
pressure-pain threshold on the hand (rs=-0.41, n=28, p=0.031). Glu was positively
correlated to temporal summation to painful mechanical stimuli (rs=0.42, n=26,
p=0.034). Conclusions The present study found that increased concentrations of
Cho and Glu in the posterior insular cortex is related to clinical
characteristics of chronic TMD pain, including generalized pain. These findings
provide new evidence about the critical involvement of the posterior insular
cortex and the neurobiology underlying TMD pain in both regional and generalized
manifestations. Implications The findings in this study have indirect
implications for the diagnosis and management of TMD patients. That said, the
findings provide new evidence about the critical involvement of the posterior
insular cortex and the neurobiology underlying TMD pain in both regional and
generalized manifestations. It is also a further step towards understanding and
accepting chronic pain as a disorder in itself.
PMID- 29794261
TI - "When I feel the worst pain, I look like shit" - body image concerns in
persistent pain.
AB - Background and aims Persistent pain is a pervasive condition that is often
associated with a distorted body image. Most research into pain and body image
investigated neural or physiological correlates (e.g. phantom limb pain), and
much less is known about the psychological experience of body image changes in
response to pain such as appearance concerns. The aim was to examine body image
concerns in people with persistent pain, in particular appearance concerns and
related coping behaviours and appearance-related emotions such as anger and
shame. Methods Design was cross-sectional and data was collected through in-depth
semi-structured interviews with people suffering from persistent musculoskeletal
pain (n=7; six females; age=19-56), and analysed with inductive thematic analysis
(TA). Results Two main themes were identified: "Relationship to the painful body"
and "Dissatisfaction with the body", each containing three subthemes, along with
the side-theme "Appearance concerns affected by pain and mood". All participants
reported appearance concerns, predominantly about their weight and related coping
behaviours such as avoidance of mirrors, exercising or dieting and pain-induced
mood changes that were associated with a negative body image. Conclusions People
with persistent pain report appearance concerns, often related to pain-induced
negative mood changes, and reduced functioning. It remains unclear to what extent
attitudes towards the body change over time in accordance with pain. A wider
concept of body image is required, including the perception of reduced
functioning, related appraisals (e.g. "I look weak and old") and appearance
investment.
PMID- 29794262
TI - Correlation of clinical grading, physical tests and nerve conduction study in
carpal tunnel syndrome.
AB - Background and aims Carpal tunnel syndrome (CTS) is a common debilitating
condition. As the reliability of CTS-specific physical tests and its clinical
grading remain a matter of debate, we determined the correlations between these
assessments with nerve conduction study (NCS). Methods In this cross-sectional
study, patients with uni or bilateral CTS, which was confirmed in
electrodiagnosis, were enrolled. Clinical grading was based on the modified
criteria of the Italian CTS Study Group. Numeric Pain Rating Scale (NPRS) and
Boston Questionnaire (BQ) were used. Physical tests [Phalen's, reverse Phalen's,
Tinel's and manual carpal compression test (mCCT)] were performed by a single
blinded neurologist. A p-value<0.05 was considered statistically significant.
Results A total of 100 patients (age=47.48+/-11.44 years; 85% female) with 181
involved hands were studied. The majority of hands (59.7%) were classified as
grade 2 of clinical grading. On NCS, hands with mild (64%), moderate (27%) and
severe (9%) CTS were identified. Sensory (velocity, latency and amplitude) and
motor parameters (latency and amplitude) were significantly correlated with
clinical grades (p-value<0.001). The correlation of NPRS (p-value=0.009) and BQ
(p-value<0.001) scores with NCS was significant. None of the physical tests were
significantly correlated with NCS in terms of result or duration (p-value>0.05).
Conclusions We found that physical tests are not a reliable screening method for
evaluation of CTS severity. However, the BQ and clinical grading can be more
valuable due to their significant correlation with NCS. Implications Physicians
might benefit from employing clinical grading and BQ in practice for better
assessment of CTS severity.
PMID- 29794263
TI - Do pain-associated contexts increase pain sensitivity? An investigation using
virtual reality.
AB - Background and aims Pain is not a linear result of nociception, but is dependent
on multisensory inputs, psychological factors, and prior experience. Since
nociceptive models appear insufficient to explain chronic pain, understanding non
nociceptive contributors is imperative. Several recent models propose that cues
associatively linked to painful events might acquire the capacity to augment, or
even cause, pain. This experiment aimed to determine whether contexts associated
with pain, could modulate mechanical pain thresholds and pain intensity. Methods
Forty-eight healthy participants underwent a contextual conditioning procedure,
where three neutral virtual reality contexts were paired with either
unpredictable noxious stimulation, unpredictable vibrotactile stimulation, or no
stimulation. Following the conditioning procedure, mechanical pain thresholds and
pain evoked by a test stimulus were examined in each context. In the test phase,
the effect of expectancy was equalised across conditions by informing
participants when thresholds and painful stimuli would be presented. Results
Contrary to our hypothesis, scenes that were associated with noxious stimulation
did not increase mechanical sensitivity (p=0.08), or increase pain intensity
(p=0.46). However, an interaction with sex highlighted the possibility that pain
associated contexts may alter pain sensitivity in females but not males (p=0.03).
Conclusions Overall, our data does not support the idea that pain-associated
contexts can alter pain sensitivity in healthy asymptomatic individuals. That an
effect was shown in females highlights the possibility that some subgroups may be
susceptible to such an effect, although the magnitude of the effect may lack real
world significance. If pain-associated cues prove to have a relevant pain
augmenting effect, in some subgroups, procedures aimed at extinguishing pain
related associations may have therapeutic potential.
PMID- 29794264
TI - The prevalence of neck-shoulder pain, back pain and psychological symptoms in
association with daytime sleepiness - a prospective follow-up study of school
children aged 10 to 15.
AB - Background and aims Chronic and recurrent pain is prevalent in adolescents and
generally girls report more pain symptoms than boys. Also, pain symptoms and
sleep problems often co-occur. Pain symptoms have negative effects on school
achievement, emotional well-being, sleep, and overall health and well-being. For
effective intervention and prevention there is a need for defining factors
associated with pain symptoms and daytime sleepiness. The aim of this
longitudinal study was to investigate the prevalence and association between neck
shoulder pain, back pain, psychological symptoms and daytime sleepiness in 10-,
12- and 15-year-old children. This study is the first that followed up the same
cohort of children from the age of 10 to 15. Methods A cohort study design with
three measurement points was used. Participants (n=568) were recruited from an
elementary school cohort in a city of 1,75,000 inhabitants in South-Western
Finland. Symptoms and daytime sleepiness were measured with self-administered
questionnaires. Regression models were used to analyze the associations. Results
Frequent neck-shoulder pain and back pain, and psychological symptoms, as well as
daytime sleepiness, are already common at the age of 10 and increase strongly
between the ages 12 and 15. Overall a greater proportion of girls suffered from
pain symptoms and daytime sleepiness compared to boys. Daytime sleepiness in all
ages associated positively with the frequency of neck-shoulder pain and back
pain. The more that daytime sleepiness existed, the more neck-shoulder pain and
back pain occurred. Daytime sleepiness at the age of 10 predicted neck-shoulder
pain at the age of 15, and back pain at the age of 10 indicated that there would
also be back pain at the age of 15. In addition, positive associations between
psychological symptoms and neck-shoulder pain, as well as back pain, were
observed. Subjects with psychological problems suffered neck-shoulder pain and
back pain more frequently. Conclusions This study is the first study that has
followed up the same cohort of children from the age of 10 to 15. The studied
symptoms were all already frequent at the age of 10. An increase mostly happened
between the ages of 12 and 15. Moreover, the self-reported daytime sleepiness at
the age of 10 predicted neck-shoulder pain at the age of 15. More attention
should be paid to the daytime sleepiness of children at an early stage as it has
a predictive value for other symptoms later in life. Implications School nurses,
teachers and parents are in a key position to prevent adolescents' sleep habits
and healthy living habits. Furthermore, the finding that daytime sleepiness
predicts neck-shoulder pain later in adolescence suggests that persistent sleep
problems in childhood need early identification and treatment. Health care
professionals also need take account of other risk factors, such as psychological
symptoms and pain symptoms. The early identification and treatment of sleep
problems in children might prevent the symptoms' development later in life. There
is a need for an individuals' interventions to treat adolescents' sleep problems.
PMID- 29794265
TI - The influence of isometric exercise on endogenous pain modulation: comparing
exercise-induced hypoalgesia and offset analgesia in young, active adults.
AB - Background and aims Impairment of endogenous analgesia has been associated with
the development, maintenance and persistence of pain. Endogenous analgesia can be
evaluated using exercise-induced hypoalgesia (EIH) and offset analgesia (OffA)
paradigms, which measure temporal filtering of sensory information. It is not
clear if these paradigms are underpinned by common mechanisms, as EIH and OffA
have not previously been directly compared. A further understanding of the
processes responsible for these clinically relevant phenomena may have future
diagnostic and therapeutic utility in management of individuals with persistent
pain conditions. The primary aim of this study was to investigate if there is a
correlation between the magnitudes of EIH and OffA. The secondary aim of the
study was to examine whether exercise influences OffA. Methods Thirty-six
healthy, pain-free participants were recruited. EIH was evaluated using pressure
pain thresholds (PPT) and pain ratings to suprathreshold pressure stimuli over
tibialis anterior and the cervical spine. OffA evaluation utilised a three-step
protocol, whereby individualised heat pain thermal stimuli [Numerical Rating
Scale (NRS)=50/100] were applied (T1), before increasing 1 degrees C (T2),
followed by 1 degrees C reduction (T3). The magnitude of OffA was calculated as
the percentage reduction in the NRS from T2 to T3. PPT/suprathreshold pain
ratings and OffA measures were recorded, before and after 5 min of isometric
quadriceps exercise performed at 20-25% maximum voluntary contraction (MVC); and
following a 15 min rest period. Data were analysed using repeated measures (RM)
ANCOVA and correlational analyses. Results There was no correlation between EIH
measures (PPTs or pain ratings to suprathreshold pressure stimuli over tibialis
anterior or the cervical spine) and OffA (p>0.11 for all). OffA was induced and
not modulated by exercise (p=0.28). Conclusions Five minutes of 20-25% MVC lower
limb isometric exercise provided non-pharmacological pain modulation in young,
active adults. Magnitude of EIH was not correlated with that of OffA, and
exercise did not influence magnitude of OffA. Implications These results suggest
that in young, pain-free individuals, separate testing of these two paradigms is
required to comprehensively evaluate efficacy of endogenous analgesia. If these
results are replicated in patient populations, alternative or complementary
methods to exercise interventions may be required to modulate impaired OffA.
PMID- 29794266
TI - Chronic pelvic pain - pain catastrophizing, pelvic pain and quality of life.
AB - Background and aims Chronic pelvic pain (CPP) impacts significantly on the lives
of women. Negative coping responses such as pain catastrophizing are thought to
be significant in predicting both pain severity and outcome. The combined effect
of the individual's response to pain and its severity on their quality of life
(QoL) has not been well studied in women with CPP. Aims were to determine the
prevalence of pain catastrophizing in women with CPP and to examine the
associations between pain catastrophizing and levels of dysmenorrhea, non
cyclical pelvic pain, dyspareunia, dysuria, dyschezia and QoL. Methods A cross
sectional study including women aged 18-50 years, referred to a tertiary
gynecology outpatients department at an Australian women's hospital in 2015.
Participants completed questionnaires including: pain catastrophizing scale
(PCS); pelvic pain levels in the prior 3 months; and the World Health
Organisation Quality of life - Bref Questionnaire (WHOQoL-Bref). Statistical
analysis was performed using STATA (StataCorp, USA Version13). Results
Participants (n = 115) had a median age of 29.0 [interquartile range (IQR): 23.0
38.0] years. The Pain catastrophizing score revealed that 60/113 [95% confidence
interval (CI): 48.6, 71.2] of participants had a clinically relevant total score
>=30. There were statistically significant positive correlations between pain
catastrophizing scores and pelvic pain levels in all five pain categories
studied, dysmenorrhea (rho = 0.37, p = 0.0001), non-cyclical pelvic pain (rho =
0.46, p<0.0001), dyspareunia (rho = 0.32, p = 0.0008), dysuria (rho = 0.32, p =
0.0005) and dyschezia (rho = 0.38, p = 0.0012). Participants who reported maximal
pain levels (5/5) had significantly higher median pain catastrophizing scores
when compared to those who reported no pain (0/5) in all categories. Overall QoL
was considered as "good" in 71/113 (95% CI: 60.1, 81.0) participants and "poor"
in 42/113 (95% CI: 32.0, 53.0) participants. Comparison to Australian female
norms revealed significantly lower QoL scores in the physical domain, across all
ages, and in psychological domain for those aged <30 and 30-40 years. There was a
significant association between increased catastrophizing scores and reduced odds
of good QoL. An increase in PCS by one point is associated with a 6.3% decrease
in the odds of good QoL [odds ratio (OR) per one-point increase: 0.94 (95% CI:
0.89, 0.98), p = 0.008]. Conclusions Pain catastrophizing is prevalent at
clinically relevant levels in women with CPP across all domains. It is associated
with higher pain levels and decreased QoL. Implications There is potential for
further studies to investigate the predictive nature of pain catastrophizing and
management targeting catastrophizing to improve outcomes in women with CPP.
PMID- 29794267
TI - The role of pain in chronic pain patients' perception of health-related quality
of life: a cross-sectional SQRP study of 40,000 patients.
AB - Background and aims Health-related quality of life (Hr-QoL) reflects the burden
of a condition on an overarching level. Pain intensity, disability and other
factors influence how patients with chronic pain perceive their condition, e.g.
Hr-QoL. However, the relative importance of these factors is unclear and there is
an ongoing debate as to what importance pain measures have in this group. We
investigated the importance of current pain level and mood on aspects of Hr-QoL
in patients with chronic pain and investigated whether such relationships are
influenced by demographics. Methods Data was obtained from the Swedish Quality
Registry for Pain Rehabilitation (SQRP), between 2008 and 2016 on patients >=18
years old who suffered from chronic pain and were referred to participating
specialist clinics. Dependent variables were general Hr-QoL [using two scales
from European Quality of Life instrument: EQ5D Index and the European Quality of
Life instrument health scale (EQ thermometer)] and specific Hr-QoL [from the
Short Form Health Survey (SF36) the physical component summary (SF36-PCS) and the
mental (psychological) component summary (SF36-MCS)]. Independent variables were
sociodemographic variables, pain variables, psychological distress and pain
attitudes. Principal component analysis (PCA) was used for multivariate
correlation analyses of all investigated variables and Orthogonal Partial Least
Square Regression (OPLS) for multivariate regressions on health aspects. Results
There was 40,518 patients (72% women). Pain intensity and interference showed the
strongest multivariate correlations with EQ5D Index, EQ thermometer and SF36-PCS.
Psychological distress variables displayed the strongest multivariate
correlations with SF36-MCS. Demographic properties did not significantly
influence variations in the investigated Hr-QoL variables. Conclusions Pain, mood
and pain attitudes were significantly correlated with Hr-QoL variables, but these
variables cannot explain most of variations in Hr-QoL variables. The results
pinpoint that broad assessments (including pain intensity aspects) are needed to
capture the clinical presentation of patients with complex chronic pain
conditions.
PMID- 29794268
TI - Adding steroids to lidocaine in a therapeutic injection regimen for patients with
abdominal pain due to anterior cutaneous nerve entrapment syndrome (ACNES): a
single blinded randomized clinical trial.
AB - Background and aims Anterior cutaneous nerve entrapment syndrome (ACNES) may
result in chronic abdominal pain. Therapeutic options include local injection
therapy. Data on the efficacy of adding corticosteroids to these injections is
lacking. Methods Patients >=18 years with ACNES were randomized to receive an
injection of lidocaine with (LC-group) or without (LA-group) the addition of
methylprednisolone into the point of maximal abdominal wall pain. Pain was
recorded using a numeric rating scale (NRS: 0-10) and a verbal rating scale (VRS:
0=no pain, 5=unbearable pain) at baseline and 6 weeks after the start of a bi
weekly injection regimen consisting of a total of three injections. A minimal 50%
reduction on NRS and/or two points on VRS were considered successful responses.
Results Between February 2014 and August 2016, 136 patients (median age 46 year,
range 18-79, 75% females) were randomized (68 vs. 68). The proportion of patients
demonstrating a successful response after 6 weeks did not significantly differ
between groups (LA 38%, LC 31%, p=0.61). At 12 weeks, the number of patients
still experiencing a minimal 50% pain relief had decreased but no group
difference was observed (LA 20%, LC 18%, p=0.80). Minor side effects included
temporary increase of pain, tenderness at injection sites or transient malaise
(LA23/68, LC 29/68, p=0.46). Conclusions Adding corticosteroids to a lidocaine
does not increase the proportion of ACNES patients with a successful response to
injection therapy. Lidocaine alone can provide long term pain relief after one or
multiple injections, in approximately 1 of 5 patients.
PMID- 29794269
TI - Wireless peripheral nerve stimulation for complex regional pain syndrome type I
of the upper extremity: a case illustration introducing a novel technology.
AB - Background Complex regional pain syndrome (CRPS) is a debilitating painful
disorder, cryptic in its pathophysiology and refractory condition with limited
therapeutic options. Type I CRPS with its variable relationship to trauma has
often no discernible fractures or nerve injuries and remains enigmatic in its
response to conservative treatment as well as the other limited interventional
therapies. Neuromodulation in the form of spinal cord and dorsal root ganglion
stimulation (SCS, DRGS) has shown encouraging results, especially of causalgia or
CRPS I of lower extremities. Upper extremity CRPS I is far more difficult.
Objective To report a case of upper extremity CRPS I treated by wireless
peripheral nerve stimulation (WPNS) for its unique features and minimally
invasive technique. The system does not involve implantation of battery or its
connections. Case report A 47 year old female patient presented with refractory
CRPS I following a blunt trauma to her right forearm. As interventional treatment
in the form of local anesthetics (Anesthesia of peripheral branches of radial
nerve) and combined infusions of ketamine/lidocaine failed to provide any
significant relief she opted for WPNS treatment. Based on the topographic
distribution, two electrodes (Stimwave Leads: FR4A-RCV-A0 with tines, Generation
1 and FR4A-RCV-B0 with tines, Generation 1), were placed along the course of
radial and median nerves under ultrasonography monitoring and guided by
intraoperative stimulation. This procedure did not involve implantation of
extension cables or the power source. At a frequency of 60 Hz and 300 MUs the
stimulation induced paresthesia along the distribution of the nerves. Therapeutic
relief was observed with high frequency (HF) stimulation (HF 10 kHz/32 MUs, 2.0
mA) reducing her pain from a visual analogue scale (VAS) score of 7-4
postoperatively. Three HF stimulations programs were provided at the time of
discharge, as she improved in her sensory impairment to touch, pressure and
temperature at her first follow up visit. At 5-months she was able to drive, did
not require opioids and allodynia disappeared. Conclusions In a case with
difficult CRPS I involving upper extremity, a minimally invasive WPNS of radial
and median nerves provided good symptomatic relief. The procedure was tolerated
well and both electrodes remained in place without any adverse events.
Implications In view of the very limited options currently available to manage
CRPS, WPNS can be a promising therapeutic modality.
PMID- 29794270
TI - Patients' pre-operative general and specific outcome expectations predict
postoperative pain and function after total knee and total hip arthroplasties.
AB - Background and aims Previous studies have suggested there is an association
between preoperative expectations about the outcome and outcomes of total knee
and total hip arthroplasty (TKA/THA). However, expectations have been rarely
examined on their clinical relevance relative to other well-known predictive
factors. Furthermore expectations can be measured on a more generic level (e.g.
does one expect their symptoms to improve after surgery) or on a more specific
level (e.g. does one expect to be able to squat again after surgery). Aim of this
study was to examine whether patients' general and specific preoperative outcome
expectations predict function and pain 12-months after TKA/THA, when assessed as
one of the candidate predictive variables alongside other relevant clinical and
sociodemographic variables. Moreover, we explored whether a more generic or a
more specific assessment of expectations would better predict outcome. Methods A
prospective cohort study on consecutive TKA/THA patients, with assessments done
preoperatively and 12-months postoperative. Primary outcomes were the knee injury
and osteoarthritis outcome score (KOOS) and hip injury and osteoarthritis outcome
score (HOOS) activities of daily living (ADL) and pain subscale scores at 12
months. The pain subscales consist of nine-(KOOS) and 10-(HOOS) items and the ADL
of 17 items. Patients' preoperative outcome expectations were measured with the
credibility expectancy questionnaire (CEQ), which contains three items scored on
a 0-9 scale and sum score 0-27 and the Hospital for Special Surgery expectations
surveys (HSS expectation surveys) for 17(TKA) or 18(THA) outcomes on 0-4 scale.
Other candidate predictors: preoperative pain and function as measured with
HOOS/KOOS, sex, age, education level, body mass index, Kellgren/Lawrence score,
preoperative mental health and treatment credibility as measured with CEQ. Eight
prediction models were constructed using multivariate linear regression analysis
with a backward selection procedure. Results The 146 TKA patients included in
this study had a mean age of 66.9 years (SD 9.2) and 69% was female. The 148 THA
patients had a mean age 67.2 (SD 9.5) and 57% was female. Mean outcomes:
postoperative HOOS-ADL 84.3 (SD 16.6), pain 88.2 (SD 15.4), KOOS-ADL 83.9 (SD
15.8) and pain 83.6 (SD 17.1). CEQ-expectancy median was in THA 23 (IQR 21;24)
and TKA 23 (IQR 20;24). HSS-expectation surveys function was for THA 21.0
(18.0;24.0) and 19.0 (14.0;22.0) in TKA. Patients' outcome expectations were
consistently part of the combination of variables that best predicted outcomes
for both TKA/THA 1-year post-operatively. Expectations alone explained between
17.0 and 30.3% of the variance in outcomes. The CEQ expectancy subscale explained
more variance of postoperative function in TKA and of function and pain in THA as
compared to the HSS expectation surveys. Conclusions In planning of surgical
treatment, orthopedic surgeons should take a range of variables into account of
which the patient's expectations about outcome of surgery is one. The CEQ
expectancy subscale predicted outcomes slightly better as the HSS expectation
surveys, but differences in predictive value of the two measurements were too
small to prefer between the two. Future studies are advised to replicate these
findings and externally validate the models presented.
PMID- 29794271
TI - Differences in Swedish and Australian medical student attitudes and beliefs about
chronic pain, its management, and the way it is taught.
AB - Background and aims Medical students receive training in the management of
chronic pain, but the training is often suboptimal. Considering that the basis
for physician's knowledge is their medical education, it is important to explore
the attitudes and beliefs of medical students with respect both to chronic pain
management and to their views on current pain education. Therefore, the aim of
this study was to compare Swedish and Australian medical student's attitudes and
beliefs about patients with chronic pain, and their perceptions regarding their
chronic pain management education. Methods An online survey was conducted with
final year Australian and Swedish medical students from two different
universities between December 2016 and February 2017. Attitudes and beliefs
towards chronic pain patients were measured using the Health Care Providers' Pain
and Impairment Scale (HC-PAIRS). A thematic analysis was conducted on open end
questions regarding their views on their education and important skills for
chronic pain management. Results A total of 57 Swedish and 26 Australian medical
students completed the HC-PAIRS scale. The Swedish medical students showed
statistically significantly lower total mean HC-PAIRS scores compared to
Australian medical students (46 and 51, respectively). Australian students had
statistically significantly higher scores than the Swedish students for two of
four factors: functional expectations and need for cure, whereas no significant
differences were seen for the factors social expectations or for projected
cognition. From the open end questions it was evident that final year medical
students are knowledgeable about key chronic pain items described in clinical
guidelines. However, both cohorts described their chronic pain training as poor
and in need of improvement in several areas such as more focus on the
biopsychosocial model, working in multidisciplinary teams, seeing chronic pain
patients and pharmacological training. Conclusions Attitudes and beliefs are
formed during medical education, and our study exploring attitudes of medical
students towards chronic pain and how it is taught have provided valuable
information. Our survey provided detailed and cohesive suggestions for education
improvement that also are in line with current clinical guidelines. This study
indicates that the Swedish final year students have a more positive attitude
towards chronic pain patients compared to their Australian counterparts. The
majority of students in both cohorts perceived chronic pain management education
in need of improvement. Implications This study highlights several areas of
interest that warrant further investigation, for example, the impact of a changed
medical curriculum in alignment with these clinical guidelines requested by
students in this survey, and correspondingly if their attitudes towards chronic
pain patients can be improved through education. Further, we conclude that it
would be valuable to align the implementation of the HC-PAIRS instrument in order
to achieve comparable results between future studies.
PMID- 29794273
TI - The neglected role of distress in pain management: qualitative research on a
gastrointestinal ward.
AB - Background and aims Pain management for hospital inpatients remains suboptimal.
Previously identified barriers to optimal pain management include staff
communication difficulties, confusion around pain management roles and a lack of
suitable resources for clinical staff. The emotional, relational and contextual
complexities of gastrointestinal (GI) pain create particular challenges for
frontline clinical staff attempting to implement a biopsychosocial approach to
its management. The current study took place over 2 years, comprised an
ethnographic and a feedback phase, and aimed to examine pain management processes
with clinical staff in order to generate hypotheses and initiatives for
improvement. This paper focuses on two overarching themes identified in the
ethnographic phase of the study, centred on the neglected role of both staff and
patient distress in GI pain management. Methods Grounded theory and thematic
analysis methods were used as part of action research, which involves
collaborative working with clinical staff. The study took place on a 60 bed GI
ward in a university hospital in London. Participants were clinical staff who
were either ward-based or involved in the care of particular patients. This
latter group included doctors, nurses, psychologists and physiotherapists from
the Acute and Complex Pain Teams. Qualitative data on pain management processes
was gathered from staff interviews, consultation groups, and observations of
patient-staff interactions. Recruitment was purposive and collaborative in that
early participants suggested targets and staff groups for subsequent enquiry.
Following the identification of initial ethnographic themes, further analysis and
the use of existing literature led to the identification of two overarching pain
management processes. As such the results are divided into three sections: (i)
illustration of initial ethnographic themes, (ii) summary of relevant theory
used, (iii) exploration of hypothesised overarching processes. Results Initially,
two consultation groups, five nursing staff and five junior doctors, provided key
issues that were included in subsequent interviews (n=18) and observations (n=5).
Initial ethnographic themes were divided into challenges and resources,
reflecting the emergent structure of interviews and observations. Drawing on
attachment, psychodynamic and evolutionary theories, themes were then regrouped
around two overarching processes, centred on the neglected role of distress in
pain management. The first process elucidates the lack of recognition during pain
assessment of the emotional impact of patient distress on staff decision-making
and pain management practice. The second process demonstrates that, as a
consequence of resultant staff distress, communication between staff groups was
fraught and resources, such as expert team referral and pharmacotherapy, appeared
to function, at times, to protect staff rather than to help patients.
Interpersonal skills used by staff to relieve patient distress were largely
outside systems for pain care. Conclusions Findings suggest that identified
"barriers" to optimal pain management likely serve an important defensive
function for staff and organisations. Implications Unless the impact of patient
distress on staff is recognised and addressed within the system, these barriers
will persist.
PMID- 29794272
TI - An experimental investigation of the relationships among race, prayer, and pain.
AB - Background and aims Compared to White individuals, Black individuals demonstrate
a lower pain tolerance. Research suggests that differences in pain coping
strategies, such as prayer, may mediate this race difference. However, previous
research has been cross-sectional and has not determined whether prayer in and of
itself or rather the passive nature of prayer is driving the effects on pain
tolerance. The aim of this study was to clarify the relationships among race,
prayer (both active and passive), and pain tolerance. Methods We randomly
assigned 208 pain-free participants (47% Black, 53% White) to one of three
groups: active prayer ("God, help me endure the pain"), passive prayer ("God,
take the pain away"), or no prayer ("The sky is blue"). Participants first
completed a series of questionnaires including the Duke University Religion
Index, the Coping Strategies Questionnaire-Revised (CSQ-R), and the Pain
Catastrophizing Scale. Participants were then instructed to repeat a specified
prayer or distractor coping statement while undergoing a cold pressor task. Cold
pain tolerance was measured by the number of seconds that had elapsed while the
participant's hand remained in the cold water bath (maximum 180 s). Results
Results of independent samples t-tests indicated that Black participants scored
higher on the CSQ-R prayer/hoping subscale. However, there were no race
differences among other coping strategies, religiosity, or catastrophizing.
Results of a 2 (Race: White vs. Black)*3 (Prayer: active vs. passive vs. no
prayer) ANCOVA controlling for a general tendency to pray and catastrophizing in
response to prayer indicated a main effect of prayer that approached significance
(p=0.06). Pairwise comparisons indicated that those in the active prayer
condition demonstrated greater pain tolerance than those in the passive (p=0.06)
and no prayer (p=0.03) conditions. Those in the passive and no prayer distractor
conditions did not significantly differ (p=0.70). There was also a trending main
effect of race [p=0.08], with White participants demonstrating greater pain
tolerance than Black participants. Conclusions Taken together, these results
indicate that Black participants demonstrated a lower pain tolerance than White
participants, and those in the active prayer condition demonstrated greater
tolerance than those in the passive and no prayer conditions. Furthermore, Black
participants in the passive prayer group demonstrated the lowest pain tolerance,
while White participants in the active prayer group exhibited the greatest
tolerance. Results of this study suggest that passive prayer, like other passive
coping strategies, may be related to lower pain tolerance and thus poorer pain
outcomes, perhaps especially for Black individuals. On the other hand, results
suggest active prayer is associated with greater pain tolerance, especially for
White individuals. Implications These results suggest that understanding the
influence of prayer on pain may require differentiation between active versus
passive prayer strategies. Like other active coping strategies for pain, active
prayer may facilitate self-management of pain and thus enhance pain outcomes
independent of race. Psychosocial interventions may help religiously-oriented
individuals, regardless of race, cultivate a more active style of prayer to
improve their quality of life.
PMID- 29794274
TI - Pain mapping of the anterior knee: injured athletes know best.
AB - Background and aims Research investigating differences in pain location and
distribution across conditions is lacking. Mapping a patient's pain may be a
useful way of understanding differences in presentations, however the use of pain
mapping during a pain provocation task has not been investigated. The aim of this
study was to assess the reliability of patient and clinician rated pain maps
during a pain provocation task for the anterior knee. Methods Participants were
recruited from a larger study of professional Australian rules football players
(n=17). Players were invited to participate if they reported a current or past
history of patellar tendon pain. No clinical diagnosis was performed for this
reliability study. Participants were asked to point on their own knee where they
usually experienced pain, which was recorded by a clinician on a piloted
photograph of the knee using an iPad. Participants then completed a single leg
decline squat (SLDS), after which participants indicated where they experienced
pain during the task with their finger, which was recorded by a clinician.
Participants then recorded their own self-rated pain map. This process was
repeated 10 min later. Pain maps were subjectively classified into categories of
pain location and spread by two raters. Pain area was quantified by the number of
pixels shaded. Intra- and inter-rater reliability (between participants and
clinicians) were analysed for pain area, similarity of location as well as
subjective classification. Results Test-retest reliability was good for
participants (intraclass correlation coefficients [ICC]=0.81) but only fair for
clinicians (ICC=0.47) for pain area. There was poor agreement between
participants and clinicians for pain area (ICC=0.16) and similarity of location
(Jaccard index=0.19). Clinicians had good inter- and intra-rater reliability of
classification of pain spread (k=0.75 and 0.67). Conclusions Participant
completed pain maps were more reliable than clinician pain maps. Clinicians were
reliable at classifying pain based on location and type of spread. Implications
Clinicians should ask patients to complete their own pain maps following a pain
provocation test, to elicit the most reliable and consistent understanding of
their pain perception.
PMID- 29794275
TI - The peer effect on pain tolerance.
AB - Background and aims Twin studies have found that approximately half of the
variance in pain tolerance can be explained by genetic factors, while shared
family environment has a negligible effect. Hence, a large proportion of the
variance in pain tolerance is explained by the (non-shared) unique environment.
The social environment beyond the family is a potential candidate for explaining
some of the variance in pain tolerance. Numerous individual traits have
previously shown to be associated with friendship ties. In this study, we
investigate whether pain tolerance is associated with friendship ties. Methods We
study the friendship effect on pain tolerance by considering data from the Tromso
Study: Fit Futures I, which contains pain tolerance measurements and social
network information for adolescents attending first year of upper secondary
school in the Tromso area in Northern Norway. Pain tolerance was measured with
the cold-pressor test (primary outcome), contact heat and pressure algometry. We
analyse the data by using statistical methods from social network analysis.
Specifically, we compute pairwise correlations in pain tolerance among friends.
We also fit network autocorrelation models to the data, where the pain tolerance
of an individual is explained by (among other factors) the average pain tolerance
of the individual's friends. Results We find a significant and positive
relationship between the pain tolerance of an individual and the pain tolerance
of their friends. The estimated effect is that for every 1 s increase in friends'
average cold-pressor tolerance time, the expected cold-pressor pain tolerance of
the individual increases by 0.21 s (p-value: 0.0049, sample size n=997). This
estimated effect is controlled for sex. The friendship effect remains significant
when controlling for potential confounders such as lifestyle factors and test
sequence among the students. Further investigating the role of sex on this
friendship effect, we only find a significant peer effect of male friends on
males, while there is no significant effect of friends' average pain tolerance on
females in stratified analyses. Similar, but somewhat lower estimates were
obtained for the other pain modalities. Conclusions We find a positive and
significant peer effect in pain tolerance. Hence, there is a significant tendency
for students to be friends with others with similar pain tolerance. Sex
stratified analyses show that the only significant effect is the effect of male
friends on males. Implications Two different processes can explain the friendship
effect in pain tolerance, selection and social transmission. Individuals might
select friends directly due to similarity in pain tolerance, or indirectly
through similarity in other confounding variables that affect pain tolerance.
Alternatively, there is an influence effect among friends either directly in pain
tolerance, or indirectly through other variables that affect pain tolerance. If
there is indeed a social influence effect in pain tolerance, then the social
environment can account for some of the unique environmental variance in pain
tolerance. If so, it is possible to therapeutically affect pain tolerance through
alteration of the social environment.
PMID- 29794276
TI - Friends in pain: pain tolerance in a social network.
PMID- 29794277
TI - Low dose ketamine versus morphine for acute severe vaso occlusive pain in
children: a randomized controlled trial.
AB - BACKGROUND AND AIMS: Acute pain episodes associated with sickle cell disease
(SCD) are very difficult to manage effectively. Opioid tolerance and side effects
have been major roadblocks in our ability to provide these patients with adequate
pain relief. Ketamine is cheap, widely safe, readily available drug, with
analgesic effects at sub-anesthetic doses and has been used in wide range of
surgeries, pediatric burns dressing change and cancer related pain however,
literature concerning its use in sickle cell crises is still limited in our
setting. This study aimed to establish if 1 mg/kg of intravenous ketamine is non
inferior to intravenous morphine 0.1 mg/kg in severe SCD-associated pain.
METHODS: We performed an institutional review board-approved randomized,
prospective, double-blinded, active-control, non-inferiority trial at the
national referral sickle cell center. Children between 7 and 18 years of age with
severe painful sickle cell crisis, defined by numerical rating scale score of
greater or equal to 7 were enrolled. Patients were consented and randomized to
receive, either IV ketamine (LDK) 1 mg/kg or IV morphine (MOR) 0.1 mg/kg as an
infusion over 10 min. The primary endpoint is maximal change in Numerical Rating
Scale (NRS) pain score. Secondary outcomes were, incidence of adverse effects,
optimal time to and duration of action of ketamine and incidence of treatment
failures by treatment group. A clinically meaningful difference in validated pain
scores was defined as 1.3 units. Assuming both treatments are on average equal, a
sample size of 240 patients (120 per group) provided 95% power to demonstrate
that IV LDK is non-inferior to IV morphine with a 0.05 level of significance and
a 10% non-inferiority margin. All analyses were based on a modified intention to
treat. This trial was registered with clinicaltrials.gov NCT02434939. RESULTS:
Two hundred and forty patients were enrolled (LDK120, MOR120). Demographic
variables and baseline NRS scores (8.9 vs. 9.2) were similar. LDK was comparable
to MOR in the maximum change in NRS scores, 66.4% vs. 61.3% (MD 5.5; 95% CI -2.2
to -13.2). Time to achieve maximum reduction in NRS pain scores was at 19.8 min
for LDK and 34.1 min for MOR. The average duration of action for LDK was 60 min.
MOR had more patients still at maximum effect at 120 min (45.8% vs. 37.5%; RR
1.2; 95% CI 0.9-1.7). LDK patients were 11.3 times more likely to develop side
effects, though were transient, anticipated and non-life threatening (37.5% vs.
3.3%). MOR had significantly more treatment failures 40% vs. 28.3% (RR 0.7; 95%
CI 0.5-1.03, p=0.07) Vital signs and sedation scores were similar in both groups.
CONCLUSIONS: Intravenous LDK at 1 mg/kg provides comparable analgesic
effectiveness as IV MOR in the acute treatment of severe painful sickle cell
crisis in children in the day care sickle cell center. However, it is associated
with a high incidence of several transient, non-life threatening mild side
effects. IMPLICATIONS: Intravenous ketamine at 1 mg/kg can be a reliable
alternative to morphine in the management of severe painful sickle cell crisis
especially in a resource limited area where morphine is not readily available.
PMID- 29794278
TI - A case report of a thalamic stroke associated with sudden disappearance of severe
chronic low back pain.
AB - BACKGROUND: Chronic pain conditions are associated with neuroplasticity within
the central nervous system. In most patients the maladaptive consequence of
neuroplasticity supports prolonged course of chronic pain, despite the absence of
a commensurate etiology. From a pain neuromatrix perspective it can involve three
different circuits within the central nervous system; the classical sensory
pathway, the limbic system pathway, and the associative pathways involving the
parietal cortical connections. Although this can be conceptualized as a fluid
system composed of several interacting networks, it can be broadly separated into
a nociceptive specific network of spino-thalamic neurons and second order neurons
beyond thalamus that are not nociceptor specific. Thalamus acts as an important
relay station that conveys nociceptive signaling to higher centres. Neuroplastic
changes can potentially involve any parts within this neuromatrix. It is very
uncommon to observe the sudden disappearance of such a chronic pain condition.
METHODS AND RESULTS: In this case report, the author describes the clinical
course of a patient with severe chronic low back pain (CLBP), whose pain suddenly
disappeared after a stroke involving his left thalamus. Although extremely rare,
existing case reports of such disappearance of pain with a secondary stroke in
patients suffering from central post stroke pain (CPSP) are reviewed. The author
further postulates hypotheses that could potentially explain this phenomenon
based on the existing knowledge. CONCLUSIONS AND IMPLICATIONS: Although extremely
rare and unpredictable, a thalamic stroke involving areas that are involved in
chronic pain signaling can potentially lead to disappearence of an existing
chronic pain condition. This is the first case report of such sudden
disappearence of CLBP with well established nociceptive pathology supported by
clinical and imaging findings. This unique case report could potentially generate
ideas for future research and clinical treatment in the field of neuromodulation
and brain stimulation.
PMID- 29794279
TI - Physical activity during work and leisure show contrasting associations with fear
avoidance beliefs: cross-sectional study among more than 10,000 wage earners of
the general working population.
AB - BACKGROUND AND AIMS: The association between different types of physical activity
and fear-avoidance beliefs remains unclear. This study investigates the
association between work-related and leisure-time physical activity with fear
avoidance beliefs in the general working population. METHODS: Currently employed
wage earners (n=10,427) from the 2010 round of the Danish Work Environment Cohort
Study replied to questions about work, lifestyle and health. General linear
models controlling for lifestyle, psychosocial work factors, education, pain,
medication-use and chronic diseases tested associations of work-related and
leisure-time physical activity (explanatory variables) with fear-avoidance
beliefs (outcome variable, scale 0-100). RESULTS: The level of fear-avoidance was
41.7 (SD 27.3), 38.0 (SD 26.9) and 54.3 (SD 27.7) among the general working
population, a subgroup of pain-free individuals, and a subgroup with back
disease, respectively. In the general working population, the level of fear
avoidance among those with low, moderate and high physical activity during
leisure were 47 [95% confidence intervals (CI) 45-49], 44 (95% CI 42-46) and 43
(95% CI 41-45), and physical activity at work were 40 (95% CI 39-42), 44 (95% CI
42-46) and 49 (95% CI 48-51), respectively. Individuals with back disease and a
high level of physical activity at work showed the overall highest level of fear
avoidance whereas pain-free individuals with a low level of physical activity at
work showed the overall lowest level of fear-avoidance. CONCLUSIONS: Physical
activity during work and leisure shows contrasting associations with fear
avoidance beliefs. While high physical activity during leisure is associated with
lower levels, high physical activity at work is associated with higher levels of
fear-avoidance. IMPLICATIONS: The present results may reflect some deeply rooted
negative beliefs about pain and work in the population. On the societal level,
campaigns may be a possible way forward as these have shown to improve beliefs
about musculoskeletal pain and work.
PMID- 29794280
TI - Repetitive nerve block for neuropathic pain management: a case report.
AB - Schwannoma is a common neoplasm in the peripheral and central nervous systems.
Sciatic nerve schwanommas are rare. We report the case of a 50-year-old woman who
was referred for treatment of persistent neuropathic pain in the left lower limb
after resection of a schwannoma on the left S1 nerve root. The patient's history
goes back when she was 27 years old and started to have electric-like pain in her
lower left limb upon intercourse. Examination revealed a left ovarian cyst which
was surgically removed. Her pain persisted despite taking nonsteroidal anti
inflammatory drugs (NSAIDs). Several years later a schwannoma on the left S1
nerve root was detected. The patient had surgical excision of the left S1 nerve
root at the plexus along with the schwannoma. Following the surgery, she
experienced pain upon sitting and touch, and had a limp in her left leg. She was
prescribed NSAIDs, antidepressant and pregabalin. Despite the pharmacological
treatment, the patient had persistent mild pain. Upon physical examination, the
incision from her previous surgery was 4 cm away from the sacral midline and
parallel to S1 and S2. The length of the incision was 3 cm. The patient had
severe allodynia upon palpation at the area between S1 and L5 and the visual
analog scale (VAS) score increased from 3 to 10. She had severe pain at rest and
movement. Her neurologic exam revealed that the left lower extremity motor power
showed mild weakness in the leg abduction, foot eversion, plantar and toes
flexion, and in the hip extension. The sensory exam showed severe reduction in
pinprick and temperature sensation in the lateral aspect of foot, lower leg and
dorsolateral thigh and buttocks. Nerve stimulator guided injection was performed
at the pain trigger point being 1 cm above the midline of the incision. Upon
nerve stimulation the contraction of the gluteal muscle was observed. Then, 20 mL
of the anesthetic mixture were injected. The patient had immediate pain relief
after the block (VAS 1/10). She remained pain free for 15 days after which pain
reappeared but with less severity (3/10). Repetitive sciatic nerve block was
performed in a progressive manner and was shown to be effective in managing
neuropathic pain.
PMID- 29794281
TI - Good news in the new year - New publisher of the PubMed-indexed Scandinavian
Journal of Pain.
PMID- 29794282
TI - The validity of pain intensity measures: what do the NRS, VAS, VRS, and FPS-R
measure?
AB - BACKGROUND AND AIMS: The Numerical Rating Scale (NRS), Visual Analogue Scale
(VAS), Verbal Rating Scale (VRS), and Faces Pain Scale-Revised (FPS-R) are valid
measures of pain intensity. However, ratings on these measures may be influenced
by factors other than pain intensity. The purpose of this study was to evaluate
the influence of non-pain intensity factors on the pain intensity scales.
METHODS: We administered measures of pain intensity (NRS, VAS, VRS, FPS-R), pain
unpleasantness, catastrophizing, depressive symptoms, and pain interference to
101 individuals with chronic lower back or knee pain. Correlation analyses
examined the associations among the pain intensity scales, and regression
analyses evaluated the contributions of the non-pain intensity factors
(depressive symptoms, and pain unpleasantness, catastrophizing, and interference)
to the VAS, VRS, and FPS-R ratings, while controlling for NRS, age, and gender.
RESULTS: Although the NRS, VAS, VRS, FPR-S, scales were strongly associated with
one another, supporting their validity as measures of pain intensity, regression
analyses showed that the VRS also reflected pain interference, the FPS-R also
reflected pain unpleasantness, and the VAS was not associated with any of the
additional non-pain intensity factors when controlling for NRS, age, and gender.
CONCLUSIONS: The VAS appears to be most similar to the NRS and less influenced by
non-pain intensity factors than the VRS or FPS-R. Although the VRS and FPS-R
ratings both reflect pain intensity, they also contain additional information
about pain interference and pain unpleasantness, respectively. These findings
should be kept in mind when selecting pain measures and interpreting the results
of research studies using these scales. IMPLICATIONS: The influence of pain
interference and pain unpleasantness on VRS and FPS-R, respectively should be
kept in mind when selecting pain measures and interpreting the results of
research studies using these scales.
PMID- 29794283
TI - The MMP9 rs17576 A>G polymorphism is associated with increased lumbopelvic pain
intensity in pregnant women.
AB - BACKGROUND AND AIMS: Matrix metalloproteinase 9 (MMP9) is an enzyme that may
affect degradation of several extracellular matrix (ECM) components in the pelvic
ligaments during pregnancy. Previous studies indicate that genetic variations in
the gene encoding MMP9 may affect the enzymatic activity. One such genetic
variant is a single nucleotide polymorphism (SNP), rs17576 A>G. In this study we
investigated whether the MMP9 SNP rs17576 A>G may be associated with increased
lumbopelvic pain in 838 pregnant woman. The study was registered with
ClinicalTrials.gov (NCT 00476567) on May 21, 2007. METHODS: Lumbopelvic pain
intensity was measured by visual analog scale (VAS) at two time points during
pregnancy, T1 (18-22 weeks), T2 (32-36 weeks) and 3 months after delivery. Blood
samples were collected at each point and SNP genotyping was carried out using
predesigned TaqMan SNP genotyping assays. RESULTS: The results showed a
significant association between the number of G alleles and pain-intensity in the
evening at T2. The pain among G/G carriers was higher than among A/G carriers,
which in turn was higher than among the A/A carriers. The most pronounced
association between the G allele and pain-intensity was observed in primiparae.
CONCLUSIONS: We conclude that the MMP9 rs17576 A>G polymorphism is associated
with increased lumbopelvic pain-intensity during pregnancy. The present data
support the hypothesis that lumbopelvic pain during pregnancy may be related to a
relaxin - MMP9 - tissue remodeling mechanism. IMPLICATIONS: The present findings
may be important for future mechanistic studies on how MMP9 rs17576 A>G may
affect changes in the ECM components in pelvic ligaments and lumbopelvic pain
intensity during pregnancy.
PMID- 29794284
TI - Pain, psychosocial tests, pain sensitization and laparoscopic pelvic surgery.
AB - BACKGROUND AND AIMS: Individuals with non-acute pain are challenged with variable
pain responses following surgery as well as psychological challenges,
particularly depression and catastrophizing. The purpose of this study was to
compare pre- and postoperative psychosocial tests and the associated presence of
sensitization on a cohort of women undergoing elective laparoscopic surgery for
non-acute pain defined as pain sufficient for surgical investigation without
persistent of chronic pain. METHODS: The study was a secondary analysis of a
previous report (Am J Obstet Gynecol 2014 Oct;211(4):360-8.). The study was a
prospective cohort trial of 77 women; 61 with non-acute pain and 16 women for a
tubal ligation. The women had the following tests: Pain Disability Index, Pain
Catastrophizing Scale, CES-D (Center for Epidemiologic Studies Depression Scale)
depression scale and the McGill Pain Scale (short form) as well as their average
pain score and the presence of pain sensitization. All test scores were
correlated together and comparisons were done using paired t-test. RESULTS: There
were reductions in pain and psychosocial test scores that were significantly
correlated. Pre-operative sensitization indicated greater changes in psychosocial
tests. CONCLUSIONS: There was a close association of tests of psychosocial status
with average pain among women having surgery on visceral tissues. Incorporation
of these tests in the pre- and postoperative evaluation of women having
laparoscopic surgery appears to provide a means to a broader understanding of the
woman's pain experience.
PMID- 29794285
TI - Mycophenolate for persistent complex regional pain syndrome, a parallel, open,
randomised, proof of concept trial.
AB - BACKGROUND AND AIMS: Current therapies for persistent complex regional pain
syndrome (CRPS) are grossly inadequate. With accruing evidence to support an
underlying immunological process and anecdotal evidence suggesting potential
efficacy of mycophenolate, we wished to explore the feasibility and effectiveness
of this treatment in patients with CRPS. METHODS: A randomised, open, parallel,
proof of concept trial was conducted. Patients with Budapest research criteria
CRPS of >2-year duration and moderate or high pain intensity (numeric rating
scale score >=5) were enrolled. Eligible patients were randomised 1:1 to openly
receive mycophenolate as add-on treatment, or their usual treatment alone, over
5.5 months. They then switched to the other treatment arm for 5.5 months. The
main outcome was average the patients' average pain intensity recorded over 14
days, between 5.0 and 5.5 months post randomisation, on 11-point (0-10) numeric
rating scales, compared between trial arms. Skin sensitivities and additional
outcomes were also assessed. RESULTS: Twelve patients were enrolled. Nine
provided outcomes and were analysed for the main outcome. Mycophenolate treatment
was significantly more effective than control [drug-group mean (SD): pre: 7.4
(1.2)- post: 5.2 (1.3), n=4, control: pre: 7.7 (1.4)- post: 8.1 (0.9), n=5; -2.8
(95% CI: -4.7, -1.0), p=0.01, analysis of covariance]. There were four treatment
responders (to mycophenolate treatment either before, or after switch), whose
initial exquisite skin hyper-sensitivities, function and quality of life strongly
improved. Side effects including itchiness, skin-cryptitis, increased pain, and
increased depression caused 45% of the subjects to stop taking mycophenolate.
CONCLUSIONS: Mycophenolate appears to reduce pain intensity and improve quality
of life in a subgroup of patients with persistent CRPS. IMPLICATIONS: These
results support the feasibility of conducting a definite trial to confirm the
efficacy and effect size of mycophenolate treatment for persistent CRPS (EudraCT
2015-000263-14).
PMID- 29794286
TI - What are the similarities and differences between healthy people with and without
pain?
AB - BACKGROUND AND AIMS: Knowledge of pain characteristics among the healthy
population or among people with minimal pain-related disability could hold
important insights to inform clinical practice and research. This study
investigated pain prevalence among healthy individuals and compared psychosocial
and physical characteristics between adults with and without pain. METHODS: Data
were from 1,000 self-reported healthy participants aged 3-101 years (1,000 Norms
Project). Single-item questions assessed recent bodily pain ("none" to "very
severe") and chronic pain (pain every day for 3 months in the previous 6 months).
Assessment of Quality of Life (AQoL) instrument, New Generalised Self-Efficacy
Scale, International Physical Activity Questionnaire, 6-min walk test, 30-s chair
stand and timed up-and-down stairs tests were compared between adults with and
without pain. RESULTS: Seventy-two percent of adults and 49% of children had
experienced recent pain, although most rated their pain as mild (80% and 87%,
respectively). Adults with recent pain were more likely to be overweight/obese
and report sleep difficulties, and had lower self-efficacy, AQoL mental super
dimension scores and sit-to-stand performance, compared to adults with no pain
(p<0.05). Effect sizes were modest (Cohen's d=0.16-0.39), therefore unlikely
clinically significant. Chronic pain was reported by 15% of adults and 3% of
children. Adults with chronic pain were older, more likely to be
overweight/obese, and had lower AQoL mental super dimension scores, 6-min walk,
sit-to-stand and stair-climbing performance (p<0.05). Again, effect sizes were
modest (Cohen's d=0.25-0.40). CONCLUSIONS: Mild pain is common among healthy
individuals. Adults who consider themselves healthy but experience pain
(recent/chronic) display slightly lower mental health and physical performance,
although these differences are unlikely clinically significant. IMPLICATIONS:
These findings emphasise the importance of assessing pain-related disability in
addition to prevalence when considering the disease burden of pain. Early
assessment of broader health and lifestyle risk factors in clinical practice is
emphasised. Avenues for future research include examination of whether lower
mental health and physical performance represent risk factors for future pain and
whether physical activity levels, sleep and self-efficacy are protective against
chronic pain-related disability.
PMID- 29794288
TI - Effects of activity interruptions by pain on pattern of activity performance - an
experimental investigation.
AB - BACKGROUND AND AIMS: Suspending an ongoing activity with the intention to resume
it again later is a natural response to pain. This response facilitates coping
with the pain, but it may also have negative consequences for the resumption and
performance of the activity. For example, people with pain problems are often
forced to take a break from doing their household chores because of their pain.
They might delay resuming their chore, eventually needing longer time to finish
it. We investigated how activity interruptions by pain influence the pattern of
subsequent activity performance. We expected that when an activity is interrupted
by pain (compared to non-pain), people spend longer time away from the activity,
need longer time to complete it, and are less motivated to perform it. METHODS:
Sixty healthy volunteers performed an ongoing task that required them to make
joystick movements in different directions according to a specific rule.
Occasionally, participants received either a painful electrocutaneous stimulus or
a non-painful and non-aversive auditory stimulus (between-subjects) as an
interruption cue. The interruption cue was followed by the temporary suspension
of the ongoing task and the initiation of a different activity (interruption
task). The latter required the categorization of cards and had a maximum
duration, but participants could also stop it earlier by pressing a button. We
measured time away from the (interrupted) ongoing task, total time to complete
the ongoing task (including the interruptions) and self-reported motivation to
perform both the ongoing as well as the interruption task. RESULTS: Groups did
not differ in the time away from the ongoing task, total time to complete the
ongoing task, or self-reported motivation to perform the two tasks. CONCLUSIONS:
Activity interruptions by pain did not impair the pattern of activity performance
more than activity interruptions by non-pain. Potential explanations and
suggestions for future research are discussed. IMPLICATIONS: Interrupting ongoing
activities is a common response to pain. However, activity interruptions by pain
do not appear to influence the pattern of activity performance in a different way
than activity interruptions by pain-irrelevant external stimuli.
PMID- 29794287
TI - Cognitive behavioral therapy for irritable bowel syndrome: the effects on state
and trait anxiety and the autonomic nervous system during induced rectal
distensions - An uncontrolled trial.
AB - BACKGROUND AND AIMS: Irritable bowel syndrome (IBS), is a common multifactorial
gastrointestinal disorder linked to disturbances in the microbe gut-brain axis.
Cognitive behavioral therapy (CBT), in face-to-face format has showed promising
results on IBS and its associated psychological symptoms. The present study
explored for the first time if CBT for IBS affects the autonomic nervous system
(ANS) during experimentally induced visceral pain and cognitive stress,
respectively. The levels of state and trait anxiety, current and perceived stress
were also evaluated. METHODS: In this uncontrolled trial, individual CBT was
performed in face-to-face format for 12 weeks in 18 subjects with IBS. Heart rate
variability and skin conductance were measured during experimentally induced
visceral pain and during a cognitive task (Stroop color-word test), before and
after intervention. The levels of state and trait anxiety as well as self-rated
current and perceived stress were also measured before and after the
intervention. RESULTS: CBT did not affect ANS activity during experimentally
induced visceral pain and cognitive stress. The sympathetic activity was high,
typical for IBS and triggered during both visceral pain and cognitive stress. The
levels of state and trait anxiety significantly decreased after the intervention.
No significant changes in self-rated current or perceived stress were found.
CONCLUSIONS: Results suggest that face-to-face CBT for IBS improved anxiety- a
key psychological mechanism for the IBS pathophysiology, rather than the
autonomic stress response to experimentally induced visceral pain and cognitive
stress, respectively. IMPLICATIONS: This study indicates that IBS patients
present high levels of stress and difficulties coping with anxiety and ANS
activity during visceral pain and a cognitive stress test, respectively. These
manifestations of IBS are however not targeted by CBT, and do not seem to be
central for the study participants IBS symptoms according to the current and our
previous study. Face-to-face CBT for IBS, it does not seem to affect modulation
of ANS activity in response to induced visceral pain or cognitive stress.
Instead, face-to-face CBT decreased levels of state and trait anxiety.
Implications for further studies include that anxiety seems to be important in
the IBS pathophysiology, and needs further scientific attention. This is in line
with the fear-avoidance model which suggests that anxious responses to pain and
discomfort drive hypervigilance to, and (behavioral) avoidance of, symptom
provoking stimuli and vice versa. Catastrophic cognitions, hypervigilance and
avoidant behavioral responses are proposed to produce vicious circles that
withhold and exacerbate pain-related symptoms and disability, and lead to lower
quality of life. Larger scale studies of potential autonomic changes are needed
in order to elucidate which mechanisms elicit its effects in face-to-face CBT for
IBS, and provide new avenues in understanding the pathophysiology of IBS.
PMID- 29794289
TI - Psychosocial factors partially mediate the relationship between mechanical
hyperalgesia and self-reported pain.
AB - BACKGROUND AND AIMS: Amplification of sensory signalling within the nervous
system along with psychosocial factors contributes to the variation and severity
of knee pain. Quantitative sensory testing (QST) is a non-invasive test battery
that assesses sensory perception of thermal, pressure, mechanical and vibration
stimuli used in the assessment of pain. Psychosocial factors also have an
important role in explaining the occurrence of pain. The aim was to determine
whether QST measures were associated with self-reported pain, and whether those
associations were mediated by psychosocial factors. METHODS: Participants with
knee pain identified from a population-based cohort completed a tender point
count and a reduced QST battery of thermal, mechanical and pressure pain
thresholds, temporal summation, mechanical pain sensitivity (MPS), dynamic
mechanical allodynia (DMA) and vibration detection threshold performed following
the protocol by the German Research Network on Neuropathic Pain. QST assessments
were performed at the most painful knee and opposite forearm (if pain-free).
Participants were asked to score for their global and knee pain intensities
within the past month (range 0-10), and complete questionnaire items
investigating anxiety, depression, illness perceptions, pain catastrophising, and
physical functioning. QST measures (independent variable) significantly
correlated (Spearman's rho) with self-reported pain intensity (dependent
variable) were included in structural equation models with psychosocial factors
(latent mediators). RESULTS: Seventy-two participants were recruited with 61
participants (36 women; median age 64 years) with complete data included in
subsequent analyses. Tender point count was significantly correlated with global
pain intensity. DMA at the knee and MPS at the most painful knee and opposite
pain-free forearm were significantly correlated with both global pain and knee
pain intensities. Psychosocial factors including pain catastrophising sub-scales
(rumination and helplessness) and illness perceptions (consequences and concern)
were significant partial mediators of the association with global pain intensity
when loaded on to a latent mediator for: tender point count [75% total effect;
95% confidence interval (CI) 22%, 100%]; MPS at the knee (49%; 12%, 86%); and DMA
at the knee (63%; 5%, 100%). Latent psychosocial factors were also significant
partial mediators of the association between pain intensity at the tested knee
with MPS at the knee (30%; 2%, 58%), but not for DMA at the knee. CONCLUSIONS:
Measures of mechanical hyperalgesia at the most painful knee and pain-free
opposite forearm were associated with increased knee and global pain indicative
of altered central processing. Psychosocial factors were significant partial
mediators, highlighting the importance of the central integration of emotional
processing in pain perception. IMPLICATIONS: Associations between mechanical
hyperalgesia at the forearm and knee, psychosocial factors and increased levels
of clinical global and knee pain intensity provide evidence of altered central
processing as a key mechanism in knee pain, with psychological factors playing a
key role in the expression of clinical pain.
PMID- 29794290
TI - Efficacy and safety of epidural, continuous perineural infusion and adjuvant
analgesics for acute postoperative pain after major limb amputation - a
systematic review.
AB - BACKGROUND AND AIMS: Treatment of pain following major limb amputations is often
a clinical challenge in a patient population consisting mainly of elderly with
underlying diseases. Literature on management of acute post-amputation pain is
scarce. We performed a systematic review on this topic to evaluate the efficacy
and safety of analgesic interventions for acute pain following major limb
amputation. METHODS: A literature search was performed in PubMed, Cochrane
Central Register of Controlled Trials and Cochrane Database of Systematic Reviews
using the following key words: [(amputation) AND (pain OR analgesi* OR pain
relief)] AND (acute OR postoperative). Randomized controlled studies (RCTs) and
observational studies investigating treatment of acute pain following major
amputations for any indication (peripheral vascular disease, malignant disease,
trauma) were included. The review was performed according to the standards
described in the PRISMA statement. The Cochrane quality assessment tool was used
to evaluate the risk of bias in the RCTs. RESULTS: Nineteen studies with total of
949 patients were included. The studies were generally small and heterogeneous on
outcomes, study designs and quality. There were 16 studies on epidural or
continuous perineural analgesia (CPI). Based on five RCTs (n=268) and two
observational studies (n=49), epidural analgesia decreased the intensity of acute
stump pain as compared to systemic analgesics, during the first 24 h after the
operation. Based on one study epidural analgesia caused more adverse effects like
sedation, nausea and motor block than continuous perineural local anesthetic
infusion. Based on one RCT (n=21) and eight observational studies (n=501) CPI
seemed to decrease opioid consumption as compared to systemic analgesics only, on
the first three postoperative days, and was well tolerated. Only three trials
investigated systemic analgesics (oral memantine, oral gabapentine, iv ketamine).
Ketamine did not decrease acute pain or opioid consumption after amputation as
compared to other systemic analgesics. Gabapentin did not decrease acute pain
when combined to epidural analgesia as compared to epidural analgesia and opioid
treatment, and caused adverse effects. CONCLUSIONS: The main finding of this
systematic review is that evidence regarding pain management after major limb
amputation is very limited. Epidural analgesia may be effective, but firm
evidence is lacking. Epidural causes more adverse effects than CPI. The results
on efficacy of CPI are indecisive. The data on adjuvant medications combined to
epidural analgesia or CPI is limited. Studies on efficacy and adverse effects of
systemic analgesics for amputation pain, especially concentrating on elderly
patients, are needed.
PMID- 29794291
TI - Pain, psychological distress and motor pattern in women with provoked
vestibulodynia (PVD) - symptom characteristics and therapy suggestions.
AB - BACKGROUND AND AIMS: Provoked vestibulodynia (PVD) represent a longstanding pain
syndrome that affects large numbers of women worldwide. However, no standardized
guidelines for PVD treatment exist. In a cross-sectional pilot study we examined
30 PVD patients on multidimensional parameters including pain, psychological
distress and quality of movement, in order to obtain a broader understanding of
the somatic and psychological symptoms in PVD, and for the future to develop
better interventions. Additionally, we compare the findings to previously
published results regarding the same parameters in women with chronic pelvic pain
(CPP). METHODS: Thirty women with PVD recruited from a tertiary care university
clinic of gynecology were assessed for demographic data, pain intensity (VAS),
psychological distress (GHQ-30 and Tampa scale of Kinesophobia) and quality of
movement (standardized Mensendieck test, SMT). RESULTS: Average age of the PVD
women was 24.7+/-3.60 years, 60% of them were in permanent relationships, all
were nulliparous, none had been subjected to surgical procedures, 100% were
working full or part time and 90% were educated to at least undergraduate level.
Mean VAS score was 7.77+/-1.97 (mean+/-SD), kinesiophobia 24.4+/-3.95 and anxiety
domain of GHQ-30 9.73+/-4.06. SMT scores were particularly low for the domains of
respiration and gait (less than 50% of optimal scores). CONCLUSIONS: PVD women
display reduced quality of movement, especially for gait and respiration
patterns, increased level of anxiety and high average pain scores. These findings
are similar to what we have previously reported in CPP patients. However, in
contrast to CPP group, PVD women are on average younger, have higher work
participation, higher education level and have not been subjected to surgical
procedures. IMPLICATIONS: Since PVD women display similar, although somewhat less
severe, symptom profile than CPP, we suggest that a multidimensional approach to
treatment, such as "somatocognitive therapy" should be investigated in this group
as it has previously been shown to be promising in treatment of CPP.
PMID- 29794292
TI - The Standardised Mensendieck Test as a tool for evaluation of movement quality in
patients with nonspecific chronic low back pain.
AB - BACKGROUND AND AIMS: Nonspecific chronic low back pain is a multifactorial
biopsychosocial health problem where accurate assessments of pain, function and
movement are vital. There are few reliable and valid assessment tools evaluating
movement quality, hence the aim was to investigate nonspecific chronic low back
pain patients' movement patterns with the Standardised Mensendieck Test. METHODS:
Twenty patients (mean age=41, SD=9.02) with nonspecific chronic low back pain
were examined with the Standardised Mensendieck Test whilst being videotaped and
compared with 20 healthy controls. A physiotherapist, blinded to participant's
group belonging, scored Standardised Mensendieck Test videos according to the
standardised manual. Associations between movement quality, fear of movement and
re(injury) i.e. kinesiophobia and pain intensity were also investigated. RESULTS:
Patients scored significantly poorer than the controls in all 5 Standardised
Mensendieck Test domains (p<0.001). The biggest difference was observed with
regard to movement pattern domain. In women we also found a difference in the
respiration pattern domain. CONCLUSIONS: The Standardised Mensendieck Test was
able to detect significant differences in quality of movement between patients
and healthy controls. These results indicate that the Standardised Mensendieck
Test may be a valuable examination tool in assessment and treatment of
nonspecific chronic low back pain patients. Further, longitudinal studies should
investigate whether poor movement and respiration patterns are important factors
in nonspecific chronic low back pain, e.g. as predictors and/or mediators of
therapeutic effects.
PMID- 29794293
TI - Relative and absolute test-retest reliabilities of pressure pain threshold in
patients with knee osteoarthritis.
AB - BACKGROUND AND AIMS: Pressure pain threshold (PPT) and PPT maps are commonly used
to quantify and visualize mechanical pain sensitivity. Although PPT's have
frequently been reported from patients with knee osteoarthritis (KOA), the
absolute and relative reliability of PPT assessments remain to be determined.
Thus, the purpose of this study was to evaluate the test-retest relative and
absolute reliability of PPT in KOA. For that purpose, intra- and interclass
correlation coefficient (ICC) as well as the standard error of measurement (SEM)
and the minimal detectable change (MDC) values within eight anatomical locations
covering the most painful knee of KOA patients was measured. METHODS: Twenty KOA
patients participated in two sessions with a period of 2 weeks+/-3 days apart.
PPT's were assessed over eight anatomical locations covering the knee and two
remote locations over tibialis anterior and brachioradialis. The patients rated
their maximum pain intensity during the past 24 h and prior to the recordings on
a visual analog scale (VAS), and completed The Western Ontario and McMaster
Universities Osteoarthritis Index (WOMAC) and PainDetect surveys. The ICC, SEM
and MDC between the sessions were assessed. The ICC for the individual
variability was expressed with coefficient of variance (CV). Bland-Altman plots
were used to assess potential bias in the dataset. RESULTS: The ICC ranged from
0.85 to 0.96 for all the anatomical locations which is considered "almost
perfect". CV was lowest in session 1 and ranged from 44.2 to 57.6%. SEM for
comparison ranged between 34 and 71 kPa and MDC ranged between 93 and 197 kPa
with a mean PPT ranged from 273.5 to 367.7 kPa in session 1 and 268.1-331.3 kPa
in session 2. The analysis of Bland-Altman plot showed no systematic bias. PPT
maps showed that the patients had lower thresholds in session 2, but no
significant difference was observed for the comparison between the sessions for
PPT or VAS. No correlations were seen between PainDetect and PPT and PainDetect
and WOMAC. CONCLUSIONS: Almost perfect relative and absolute reliabilities were
found for the assessment of PPT's for KOA patients. IMPLICATIONS: The present
investigation implicates that PPT's is reliable for assessing pain sensitivity
and sensitization in KOA patients.
PMID- 29794294
TI - Postural control in subclinical neck pain: a comparative study on the effect of
pain and measurement procedures.
AB - BACKGROUND AND AIMS: This study investigated whether young university students
with neck pain (NP) have postural control deficits when compared to sex and age
matched asymptomatic subjects. METHODS: Centre of pressure (COP) sway area,
velocity, anterior-posterior and mediolateral distances were measured in
participants with (n=27) and without (n=27) neck pain for different combinations
of static standing (narrow stance, tandem stance and single leg stance) and
measurement time (90, 60, 30 and 15 s) with eyes closed using a force plate.
Additionally, static and dynamic clinical tests of postural control were used.
RESULTS: No significant between group differences were found for the COP
measurements (p>0.05). However, individuals with subclinical NP were more likely
to fail the 90 s tandem test (p<0.05) in the force plate and univariate
comparisons revealed significant between group differences in the tandem and
single leg stance clinical test measurements. CONCLUSIONS: Taken together, the
inconsistent results might suggest an emerging postural control deficit in
university students with low disability and low intensity chronic idiopathic NP.
PMID- 29794295
TI - Chronic pain experience and pain management in persons with spinal cord injury in
Nepal.
AB - BACKGROUND AND AIMS: Chronic pain is the frequent and significantly challenging
complications in persons with spinal cord injury (SCI). Socio-cultural background
may lead people perceive and manage pain differently. The study aims to describe
the chronic pain experience and pain management of SCI persons in Nepal. METHODS:
A descriptive cross sectional study was conducted among purposively selected
sample of 120 SCI persons with chronic pain living in the eight districts of
Bagmati Zone of Nepal. The data were collected using the International Spinal
Cord Injury Pain Basic Data Set Version 2 (ISCIPBDS-2) and Open-ended Pain
Management Questionnaire. The data were analyzed using descriptive statistics and
content analysis method. RESULTS: The back (n=84), lower legs/feet (n=63) and
buttocks/hips (n=51) was found as the common pain locations. In common, the onset
of pain was found within the first 6 month of the injury. Overall pain intensity
and pain interference were found to be at the moderate level. The SCI persons
used pain medications and non-pharmacological pain management. Ibuprofen was the
commonly used pain medication and commonly used non-pharmacological pain
management methods included physical support (e.g. massage, exercise), relaxation
(e.g. distraction, substance abuse), coping (e.g. acceptance, praying), and
traditional herbs. CONCLUSIONS: SCI persons had chronic pain experience which
interfered with their daily living. They used pain medications and non
pharmacological pain management methods based on their beliefs, knowledge, and
community resources in Nepal. IMPLICATIONS: This study provides some evidence to
help the team of rehabilitation professional to plan and help SCI persons with
chronic pain. Based on these findings, chronic pain management intervention for
SCI persons should be developed and supported continuously from hospital to home
based community context of Nepal.
PMID- 29794296
TI - The influence of pre- and perioperative administration of gabapentin on pain 3-4
years after total knee arthroplasty.
AB - BACKGROUND AND AIMS: Approximately 20% of patients having total knee arthroplasty
(TKA) will experience chronic postoperative pain. Recently, preoperative pain
facilitation has been associated with chronic pain after TKA, and gabapentin has
been shown to decrease pain facilitation. The current study is a secondary follow
up of a primary RCT investigating the effect of gabapentin on acute postoperative
pain after TKA and exploring the effect of pre- and perioperative administration
of gabapentin on chronic postoperative pain and psychological state 3-4 years
after TKA. METHODS: Patients scheduled for TKA were randomized to either
gabapentin 1,300 mg/day, gabapentin 900 mg/day, or placebo daily from 2-h before
and 6 days after operation. Pre- and 3-4 years postoperatively pain scores
related to pain while walking, at rest, when flexing the hip or the knee were
collected. At the same time, the pain catastrophizing scale (PCS) and hospital
anxiety and depression scale subscales for anxiety (HADS-A) and depression (HADS
D) were collected. RESULTS: Lower postoperative pain while walking, flexing the
hip, and at rest were found compared with preoperative scores (p<0.03), but these
were not associated with gabapentin treatment (p>0.19). Significantly lower
postoperative PCS and HADS-A scores were seen compared with preoperative scores
(p<0.001), but these were not associated with gabapentin treatment (p>0.55).
CONCLUSIONS: The current study found that pre- and perioperative administrations
of gabapentin do not influence the pain or psychological state 3-4 years after
TKA. IMPLICATIONS: The current study does not support that short-term pre- and
perioperative use of gabapentin can reduce the development of chronic
postoperative pain after TKA.
PMID- 29794297
TI - Prevalence of self-reported musculoskeletal pain symptoms among school-age
adolescents: age and sex differences.
AB - BACKGROUND AND AIMS: Non-specific musculoskeletal pain symptoms are common in
adolescents and may differ between the sexes, and be related to age and daily
activities. It is critical to examine the prevalence and frequency of symptoms in
adolescent students who tend to have pain which interferes with their routine
activities. This study aimed to explore the prevalence and frequency of self
reported musculoskeletal pain symptoms by age and sex, and we also examined the
association of symptoms with routine activities of school-age adolescents by area
of pain. METHODS: A cross-sectional survey was conducted among Thai students aged
10-19 years. All 2,750 students were asked to report previous 7-day and/or 12
month pain using the Standardized Nordic Questionnaire (Thai version) in 10 body
areas. Multivariable logistic regression adjusted for age and sex was used to
analyze the association between daily activity and musculoskeletal pain symptoms.
RESULTS: A total of 76.1% (n=2,093) of students reported experiencing pain in the
previous 7 days, 73.0% (n=2,007) reported in the previous 12-month period and
83.8% (n=2,304) reported pain in both 7-day and 12-month periods. The most common
pain area was from a headache, with other areas being neck and shoulders, in that
order, for both periods of time. The prevalence of musculoskeletal pain was
especially higher in older groups and females. Participants reported frequency of
pain as "sometimes in different areas" ranging from 78.4% to 88% and severity of
pain at 3.82+/-2.06 out of 10 (95% CI 3.74-3.91). Routine daily activities
associated with symptoms of headache, neck, shoulders, and ankles or feet pain
were computer use (head, OR=2.22), school bag carrying (neck, OR=2.05), school
bag carrying (shoulder, OR=3.09), and playing sports (ankle or foot, OR=2.68).
CONCLUSIONS: The prevalence of musculoskeletal pain symptoms was high in both the
previous 7-day and 12-month periods, especially in females and older adolescents,
although most of them sometimes experienced pain. Computer use and school bag
carrying were associated with headache, neck and shoulder pain, while playing
sports was related to symptoms of the foot and ankle. IMPLICATIONS: The
prevalence of pain was high, particularly in the older and female groups.
Although they experienced mild symptoms sometimes, the related daily activities
leading to these symptoms should be closely noticed.
PMID- 29794298
TI - Exercise does not produce hypoalgesia when performed immediately after a painful
stimulus.
AB - BACKGROUND AND AIMS: Exercise-induced hypoalgesia (EIH) and conditioned pain
modulation (CPM) are assumed to reflect descending pain inhibition. Potential
interactions between EIH and CPM may be important in the therapy of chronic pain,
as reduced CPM and increased pain after exercise are frequently observed. This
study compared the EIH response after CPM was activated using a cold pressor task
with the EIH response after a control condition. METHODS: Thirty-one participants
(age: 27.7+/-9.8; 15 female) completed two sessions: a cold pressor task (CPT)
session, i.e. testing EIH with preceding CPM activation induced using a 2 min CPT
at approximately 2 degrees C, and a control session, i.e. testing EIH after a
control condition (2 min of quiet rest). EIH was induced using a 15 min bicycling
exercise at a target heart rate corresponding to 75% VO2 max. Repeated measures
ANOVAs on pressure pain thresholds (PPTs) at the hand, back and leg were used to
determine the effects of exercise after the cold pressor test and control
condition. Furthermore, correlations between CPM and EIH, in the CPT session as
well as control session, were calculated at each assessment site. RESULTS: A
significant time x condition interaction (F(1, 30)=43.61, p<0.001, partial
eta2=0.59), with Bonferroni-corrected post-hoc t-tests showed that PPTs increased
after exercise in the control session (p<0.001), but not in the CPT session
(p=0.125). Furthermore, there was a small positive correlation of EIH in the
control session and CPM at the hand (r=0.37, p=0.043). There was a moderate
negative correlation of EIH in the CPT session and CPM at the hand (r=-0.50,
p=0.004), and smaller negative correlations at the back (r=-0.37, p=0.036) and at
the leg (r=-0.35, p=0.054). CONCLUSIONS: Attenuated EIH after the CPM activation
in comparison to a control condition suggests that EIH and CPM may share
underlying pain inhibitory mechanisms on a systemic level. This assumption is
further supported by the finding of small to moderate significant correlations
between EIH and CPM at the hand. The attenuated EIH response furthermore suggests
that these mechanisms are exhaustible, i.e. that its effects decline after a
certain amount of inhibition. IMPLICATIONS: In patients with chronic pain,
assessing the current capacity of the descending pain inhibitory system - as
indicated by the CPM response - may aid to make better predictions about how
patients will respond to exercise with respect to acute pain reduction.
PMID- 29794299
TI - Reliability of three linguistically and culturally validated pain assessment
tools for sedated ICU patients by ICU nurses in Finland.
AB - BACKGROUND AND AIMS: Pain assessment in intensive care is challenging, especially
when the patients are sedated. Sedated patients who cannot communicate verbally
are at risk of suffering from pain that remains unnoticed without careful pain
assessment. Some tools have been developed for use with sedated patients. The
Behavioral Pain Scale (BPS), the Critical-Care Pain Observation Tool (CPOT) and
the Nonverbal Adult Pain Assessment Scale (NVPS) have shown promising
psychometric qualities. We translated and culturally adapted these three tools
for the Finnish intensive care environment. The objective of this feasibility
study was to test the reliability of the three pain assessment tools translated
into Finnish for use with sedated intensive care patients. METHODS: Six sedated
intensive care patients were videorecorded while they underwent two procedures:
an endotracheal suctioning was the nociceptive procedure, and the non-nociceptive
treatment was creaming of the feet. Eight experts assessed the patients' pain by
observing video recordings. They assessed the pain using four instruments: the
BPS, the CPOT and the NVPS, and the Numeric Rating Scale (NRS) served as a
control instrument. Each expert assessed the patients' pain at five measurement
points: (1) right before the procedure, (2) during the endotracheal suctioning,
(3) during rest (4) during the creaming of the feet, and (5) after 20 min of
rest. Internal consistency and inter-rater reliability of the tools were
evaluated. After 6 months, the video recordings were evaluated for testing the
test-retest reliability. RESULTS: Using the BPS, the CPOT, the NVPS and the NRS,
960 assessments were obtained. Internal consistency with Cronbach's alpha
coefficient varied greatly with all the instruments. The lowest values were seen
at those measurement points where the pain scores were 0. The highest scores were
achieved after the endotracheal suctioning at rest: for the BPS, the score was
0.86; for the CPOT, 0.96; and for the NVPS, 0.90. The inter-rater reliability
using the Shrout-Fleiss intraclass correlation coefficient (ICC) tests showed the
best results after the painful procedure and during the creaming. The scores were
slightly lower for the BPS compared to the CPOT and the NVPS. The test-retest
results using the Bland-Altman plots show that all instruments gave similar
results. CONCLUSIONS: To our knowledge, this is the first time all three
behavioral pain assessment tools have been evaluated in the same study in a
language other than English or French. All three tools had good internal
consistency, but it was better for the CPOT and the NVPS compared to the BPS. The
inter-rater reliability was best for the NVPS. The test-retest reliability was
strongest for the CPOT. The three tools proved to be reliable for further testing
in clinical use. IMPLICATIONS: There is a need for feasible, valid and reliable
pain assessment tools for pain assessment of sedated ICU patients in Finland.
This was the first time the psychometric properties of these tools were tested in
Finnish use. Based on the results, all three instruments could be tested further
in clinical use for sedated ICU patients in Finland.
PMID- 29794300
TI - CT guided neurolytic blockade of the coeliac plexus in patients with advanced and
intractably painful pancreatic cancer.
AB - BACKGROUND AND AIMS: Pain caused by infiltrating pancreatic cancer is complex in
nature and may therefore be difficult to treat. In addition to conventional
analgesics, neurolytic blockade of the coeliac plexus is often recommended.
However, different techniques are advocated, and procedures vary, and the results
may therefore be difficult to compare. Therefore strong evidence for the effect
of this treatment is still lacking, and more studies are encouraged. Our aim was
to describe our technique and procedures using a Computer Tomograph (CT) guided
procedure with a dorsal approach and present the results. METHODS: The procedures
were performed in collaboration between a radiologist and an anaesthesiologist.
All patients had advanced pancreatic cancer. The patients were placed in prone
position on pillows, awake and monitored. Optimal placement of injection needles
was guided by CT, and the radiologist injected a small dose of contrast as a
control. When optimal needle position, the anaesthesiologist took over and
completed the procedure. At first 40 mg methylprednisolone was injected to
prevent inflammation. Thereafter a mixture of 99% ethanol diluted to 50% by
ropivacaine 7.5 mg/mL to a total amount of 20-30 mL per needle was slowly
injected. Repeated aspiration was performed during injection to avoid intravasal
injection. Pain treatment and pain score was recorded and compared before and
after the treatment. RESULTS: Eleven procedures in 10 patients were performed.
Age 49-75, mean 59 years. Median rest life time was 36 days (11-140). Significant
reduction of analgesics was observed 1 week after the procedure, and most
patients also reported reduction of pain. No serious side effects were observed.
CONCLUSIONS: CT guided neurolytic celiac plexus blockade is a safe and effective
treatment for intractable pain caused by advanced pancreatic cancer. Not all
patients experience a significant effect, but the side effects are minor, and the
procedure should therefore be offered patients experiencing intractable cancer
related pain.
PMID- 29794301
TI - Morning cortisol and fasting glucose are elevated in women with chronic
widespread pain independent of comorbid restless legs syndrome.
AB - BACKGROUND AND AIMS: Chronic widespread pain (CWP) is associated with poor
quality of sleep, but the detailed underlying mechanisms are still not fully
understood. In this study we investigated the influence of CWP on morning
cortisol and fasting glucose concentrations as well as sleep disordered
breathing. METHODS: In this case-control study, subjects with CWP (n=31) and a
control group without CWP (n=23) were randomly selected from a population-based
cohort of women. Current pain intensity, sleep quality, excessive daytime
sleepiness [Epworth sleepiness scale (ESS)], psychiatric comorbidity and
occurrence of restless legs syndrome (RLS) were assessed. Overnight polygraphy
was applied to quantify sleep apnoea, airflow limitation and attenuations of
finger pulse wave amplitude (>50%) as a surrogate marker for increased skin
sympathetic activity. Morning cortisol and fasting glucose concentrations were
determined. Generalised linear models were used for multivariate analyses.
RESULTS: CWP was associated with higher cortisol (464+/-141 vs. 366+/-111 nmol/L,
p=0.011) and fasting glucose (6.0+/-0.8 vs. 5.4+/-0.7 mmol/L, p=0.007) compared
with controls. The significance remained after adjustment for age, body mass
index, RLS and anxiety status (beta=122+/-47 nmol/L and 0.89+/-0.28 mmol/L,
p=0.009 and 0.001, respectively). The duration of flow limitation in sleep was
longer (35+/-22 vs. 21+/-34 min, p=0.022), and pulse wave attenuation was more
frequent (11+/-8 vs. 6+/-2 events/h, p=0.048) in CWP subjects compared with
controls. RLS was associated with higher ESS independent of CWP (beta=3.1+/-1.3,
p=0.018). CONCLUSIONS: Elevated morning cortisol, impaired fasting glucose
concentration and increased skin sympathetic activity during sleep suggested an
activated adrenal medullary system in subjects with CWP, which was not influenced
by comorbid RLS. IMPLICATIONS: CWP is associated with activated stress markers
that may deteriorate sleep.
PMID- 29794302
TI - Exploring effect of pain education on chronic pain patients' expectation of
recovery and pain intensity.
AB - BACKGROUND AND AIMS: Chronic pain affects an estimated 1 in 10 adults globally
regardless of age, gender, ethnicity, income or geography. Chronic pain, a
multifactorial problem requires multiple interventions. One intervention which
demonstrates promising results to patient reported outcomes is pain education.
However, patient perspective on pain education and its impact remains fairly
unknown. A cross-sectional study involving individuals with chronic pain examined
their perspectives on pain education; did it change their understanding about
their pain and self-management and did it have any impact on their perceived pain
intensity and recovery. METHODS: The study complied with CHERRIES guidelines and
the protocol was locked prior to data collection. Primary outcomes were pain
intensity and participants' expectation of recovery. Univariate and multiple
logistic regressions were used to analyze the data. RESULTS: Five hundred and
seventy three people participated; full data sets were available for 465.
Participants who observed changes in their pain cognition and self-management
following pain education reported lower pain intensity and greater expectation of
recovery than participants who did not observe changes to cognition and
management. CONCLUSIONS: The results suggest that individuals who observed
changes to pain cognition and self-management on receiving pain education
reported lower pain intensity and higher expectations of recovery than their
counterparts who did not perceive any changes to pain cognition and self
management. IMPLICATIONS: Pain intensity and expectations about recovery are
primary considerations for people in pain. What influences these factors is not
fully understood, but education about pain is potentially important. The results
suggest that individuals who observed changes to pain cognition and self
management on receiving pain education reported lower pain intensity and higher
expectations of recovery than their counterparts who did not perceive any changes
to pain cognition and self-management. The results from this study highlight the
importance of effective pain education focused on reconceptualization of pain and
its management.
PMID- 29794303
TI - Prescription of opioids to post-operative orthopaedic patients at time of
discharge from hospital: a prospective observational study.
AB - BACKGROUND AND AIMS: Excessive opioid prescribing can lead to adverse
consequences including stockpiling, misuse, dependency, diversion and mortality.
Increased prescriptions to post-operative inpatients as part of their discharge
planning may be a significant contributor. Primary aims included comparing the
amount of opioids prescribed, consumed, left unused and their relationship with
pain and functionality. METHODS: A total of 132 consecutive patients who
underwent elective orthopaedic surgery were prospectively audited. Daily oral
morphine equivalent (DME) of opioids prescribed was compared with opioids
consumed and amount left unused 7-10 days after discharge. For analysis, patients
were split into three groups: total knee replacement (TKR), hand surgery (Hands),
and miscellaneous (Misc). RESULTS: The mean dose of opioid prescribed per patient
was 108.5 mg DME. TKR consumed 33-35% more opioids than Misc (p=0.0283) and Hands
(p=0.0975). Age was a significant independent factor for opioid consumption in
the 50th and 75th percentiles of Hands (p<=0.05). An average of 36 mg DME per
patient was left unused with Hands having the highest median DME (37 mg) unused.
In the total cohort, 26% of patients were discharged with more DME than their
last 24 h as an inpatient and had at least 50% of their tablets left unused at
follow-up. CONCLUSIONS: Over-prescription of opioids occurs at discharge which
can increase the risk of harm. New intervention is needed to optimise prescribing
practises. IMPLICATIONS: Changes to prescribing habits and workplace culture are
required to minimise unnecessary opioid prescribing but will be challenging to
implement. A multi-layered approach of electronic prescribing, opioid stewardship
and targeted educational awareness programmes is recommended.
PMID- 29794304
TI - Exercise-induced hypoalgesia in women with varying levels of menstrual pain.
AB - BACKGROUND AND AIMS: Exercise-induced hypoalgesia (EIH) is a well-established
phenomenon in pain-free individuals that describes a decrease in pain sensitivity
after an acute bout of exercise. The EIH response has been demonstrated to be sub
optimal in the presence of persisting pain. Menstrual pain is a common recurrent
painful problem with many women experiencing high levels of pain each cycle.
However, the EIH response has not been examined in a cohort of women with high
levels of menstrual pain. This research aimed to examine whether EIH manifests
differently in women with varying levels of menstrual pain. The primary
hypothesis was that women with high levels of menstrual pain would demonstrate
compromised EIH. Secondary aims were to explore relationships between EIH and
emotional state, sleep quality, body mass index (BMI) or physical activity
levels. METHODS: Pressure pain thresholds (PPT) were measured in 64 participants
using a digital handheld algometer before and after a submaximal isometric
handgrip exercise. EIH index was compared between low (VAS 0-3), moderate (VAS 4
7) and high (VAS 8-10) pain groups, using a linear mixed model analysis with
participant as a random effect, and site, menstrual pain category and the
interaction between the two, as fixed effects. RESULTS: EIH was consistently
induced in all groups. However, there was no statistically significant difference
between the pain groups for EIH index (p=0.835) or for any co-variates (p>0.05).
CONCLUSIONS: EIH was not found to differ between women who report regular low,
moderate or high levels of menstrual pain, when measured at a point in their
menstrual cycle when they are pain free. IMPLICATIONS: This study provides
insight that EIH does not vary in women with differing levels of menstrual pain
when they are not currently experiencing pain. The current findings indicate
that, although menstrual pain can involve regular episodes of high pain levels,
it may not be associated with the same central nervous system dysfunctions as
seen in sustained chronic pain conditions.
PMID- 29794305
TI - Effectiveness of neck stabilisation and dynamic exercises on pain intensity,
depression and anxiety among patients with non-specific neck pain: a randomised
controlled trial.
AB - BACKGROUND AND AIMS: Non-specific neck pain (NsNP) constitutes a burden to the
bearers and a management challenge to physiotherapists globally. Effectiveness of
neck stabilisation and dynamic exercises in the management of NsNP has been
documented, but it is not clear which exercise regimen is more effective in
alleviating its associated pain, depression and anxiety. This study was carried
out to compare the effectiveness of neck stabilisation and/or dynamic exercises
on pain intensity, depression and anxiety among patients with NsNP. METHODS:
Eighty-nine consenting individuals with NsNP participated in this single-blind,
randomised controlled trial. They were recruited from the outpatient
physiotherapy clinics of the National Orthopaedic Hospital in Dala, Kano State,
Nigeria. Participants were randomly assigned into one of three intervention
groups: neck stabilisation exercise group (NSEG; n=30), neck dynamic exercise
group (NDEG; n=28) and neck stabilisation and dynamic exercise group (NSDEG;
n=31). Treatment was administered thrice weekly for 8 consecutive weeks.
Variables were assessed at baseline, at the end of the fourth and eighth weeks.
Pain intensity was assessed through the use of a visual analogue scale, while
depression and anxiety were evaluated using both the Beck Depression Inventory
and Beck Anxiety Inventory. The data was analysed using descriptive statistics,
multivariate analysis of variance (MANOVA) and post hoc tests with Bonferroni
adjustment at the p=0.05 significant level. RESULTS: Ages of participants in NSEG
(46.8+/-12.4 years), NDEG (48.6+/-11.6 years) and NSDEG (45.1+/-13.4 years) were
comparable. The comparison for NSEG, NSDEG and NDEG within groups revealed that
there was significant difference in pain intensity, depression and anxiety scores
from baseline, in the fourth and eighth weeks of the study - (F=62.40, p=0.001,
F=13.91, p=0.001 and F=20.93, p=0.001); (F=11.92, p=0.001, F=8.75, p=0.004 and
F=9.70, p=0.001) and (F=36.63, p=0.001, F=11.99, p=0.001 and F=6.59, p=0.001),
respectively. A group comparison of the pain intensity, depression and anxiety
scores of participants in the NSEG, NSDEG and NDEG at the baseline of the study
revealed that there were no significant differences in the pain intensity and
depression and anxiety scores among the three groups: p=0.159, 0.58 and 0.179,
respectively. At week 4 of the study, however, a significant difference in pain
intensity and anxiety scores across the three groups was recorded - p=0.018,
p=0.011, respectively, but no significant difference was noted in depression
scores (p=0.93). At week 8 of the study, it was determined that there were
significant differences in pain intensity and depression scores p=0.001 and
p=0.041, but no significant dissimilarities in the anxiety scores. Post hoc
revealed that only pain was significant and lay with NSEG. CONCLUSIONS: The study
concluded that the stabilisation, dynamic and stabilisation, plus dynamic
exercises were effective in relieving pain and reducing depression and anxiety in
patients with NsNP. However, stabilisation showed a more marked effect than the
combination exercises of stabilisation plus dynamic exercises, and dynamic
exercises in reducing pain intensity in patients with NsNP. IMPLICATIONS: It is
recommended that stabilisation exercises be chosen over stabilisation plus
dynamic exercises, or dynamic exercise, while treating patients with NsNP.
However, both are effective.
PMID- 29794306
TI - A multiple-dose double-blind randomized study to evaluate the safety,
pharmacokinetics, pharmacodynamics and analgesic efficacy of the TRPV1 antagonist
JNJ-39439335 (mavatrep).
AB - BACKGROUND AND AIMS: This double-blind (DB), randomized, placebo-controlled,
sequential-group, multiple-ascending dose, phase 1 study evaluated safety,
pharmacokinetics and pharmacodynamics of JNJ-39439335 in healthy men (part 1),
and in participants with knee osteoarthritis (part 2). METHODS: Both parts 1 and
2 consisted of screening (upto 21 days), 21-day DB treatment phase [eight
participants/group: JNJ-39439335 (part 1: 2-50 mg; part 2: 10-50 mg): n=6;
placebo: n=2] and follow-up (total study duration ~10 weeks). RESULTS: Plasma
concentrations and systemic exposure of JNJ-39439335 increased in slightly higher
than dose-proportional fashion (steady-state reached by day 14). Renal excretion
of JNJ-39439335 was negligible. Marked dose-related increases in pharmacodynamic
heat pain assessments were observed in JNJ-39439335-treated participants, which
persisted throughout the treatment with no signs of tolerance with repeated
dosing. No effect on pharmacodynamic cold pain or mechanical pain assessments
were seen. Effects on pharmacodynamic capsaicin-induced flare assessments in JNJ
39439335-treated participants versus placebo were consistent with effects
observed with single-dose, and did not demonstrate tolerance with multiple
dosing. In participants with knee osteoarthritis, significant improvements versus
placebo were observed in a stair-climbing-induced pain model. All JNJ-39439335
treated participants reported >=1 treatment-emergent adverse events (TEAE); most
common (>=50% incidence) TEAEs in part 1 were feeling hot (79%),
thermohypoesthesia (71%), paresthesia (58%) and feeling cold (50%), and in part
2, were minor thermal burns (50%). CONCLUSIONS: JNJ-39439335 (doses 2-50 mg) was
well-tolerated, and associated with acceptable multiple-dose pharmacokinetic
profile. JNJ-39439335 demonstrated sustained pharmacodynamic effects (heat pain
perception, heat pain latency, capsaicin-induced flare), and an efficacy signal
in participants with osteoarthritis pain. IMPLICATIONS: Given the efficacy signal
observed and the unique safety profile, larger phase 2 studies are needed to
better understand the potential of JNJ-39439335 in the treatment of chronic pain.
Analgesic efficacy of lower doses administered over a longer period of time and
improved patient counseling techniques to reduce the minor thermal burns can be
explored to minimize the adverse events.
PMID- 29794307
TI - The psychological features of patellofemoral pain: a cross-sectional study.
AB - BACKGROUND AND AIMS: Patellofemoral pain (PFP) is a prevalent and debilitating
musculoskeletal condition, considered to have a mechanical aetiology. As such,
the physical impairments associated with PFP are well documented and have helped
characterise different physical phenotypes. But little is known about the
relationship between PFP and psychological well-being. In this study, we aimed
to: (1) compare psychological profiles between groups with and without PFP; (2)
compare psychological profiles and condition severity between PFP subgroups; and
(3) explore relationships between psychological factors and their contribution to
disability. We expected to find higher levels of psychological impairment,
especially kinesiophobia and catastrophizing in the PFP group. We also expected
to identify a sub-group for who worsening levels of disability correspond with
worsening psychological well-being. METHODS: One hundred participants with PFP
(72 females, mean+/-SD age 27+/-5 years, BMI 25.3+/-4.8 kg/m2) completed measures
of pain, disability, and psychological features (kinesiophobia, catastrophizing,
anxiety and depression). Fifty controls, matched by sex, age and activity level
(36 females, age 27+/-5 years, BMI 22.9+/-4.5 kg/m2) also completed psychological
measures. The Knee injury and Osteoarthritis Outcome Score (KOOS) was used to
cluster PFP participants (K-means cluster analysis) into more and less severe sub
groups. Differences between the control and PFP groups were analysed using t
tests, analysis of variance, Mann-Whitney U-tests or chi2 tests as appropriate
(p<0.05). Pearson correlations were used to explore relationships between
psychological measures. Backward stepwise regression (p out >0.05) evaluated how
the psychological factors potentially relate to disability. RESULTS:
Psychological features did not differ between PFP and pain-free groups. But
differences were apparent when the PFP cohort was subgrouped. Compared to
controls, the more-severe group had significantly higher levels of depression (MD
1.8, 95% CI 0.8-2.8; p<=0.001) and catastrophizing (MD 5.7, 95% CI 2.4-9;
p<=0.001). When compared to less-severe cases, the more-severe group also
demonstrated significantly higher levels of kinesiophobia (MD 4.3, 95% CI 2.1
6.5; p<=0.001), depression (MD 1.5 95% CI 0.5-2.6; p=0.01) and catastrophizing
(MD 4.9, 95% CI 1-8.8; p=0.01). The weakest relationship between psychological
factors was found between kinesiophobia and anxiety (r=0.29; p=0.02). While the
strongest relationship existed between depression and anxiety (r=0.52; p<=0.001).
Both kinesiophobia (beta -0.27, 95% CI -0.265 to -0.274) and depression (beta
0.22, 95% CI -0.211 to -0.228) were associated with disability as defined by the
KOOS in the regression model (R2=0.17, p<=0.001). CONCLUSIONS: Those with more
severe PFP-related disability have higher levels of psychological impairment than
less-severe cases. Kinesiophobia seems to stand as an important factor in the
experience of PFP, because it was elevated in the PFP group, significantly
differed between the PFP sub-groups and contributed to explaining disability.
Contrary to our hypothesis, levels of catastrophizing in the PFP group and severe
sub-group were low and seemingly not important. IMPLICATIONS: These findings draw
attention to psychological factors to which clinicians assessing PFP should show
vigilance. They also highlight psychological impairments that might be worthwhile
targets in optimising PFP management.
PMID- 29794308
TI - Reducing risk of spinal haematoma from spinal and epidural pain procedures.
AB - BACKGROUND AND AIMS: Central neuraxial blocks (CNB: epidural, spinal and their
combinations) and other spinal pain procedures can cause serious harm to the
spinal cord in patients on antihaemostatic drugs or who have other risk-factors
for bleeding in the spinal canal. The purpose of this narrative review is to
provide a practise advisory on how to reduce risk of spinal cord injury from
spinal haematoma (SH) during CNBs and other spinal pain procedures. Scandinavian
guidelines from 2010 are part of the background for this practise advisory.
METHODS: We searched recent guidelines, PubMed (MEDLINE), SCOPUS and EMBASE for
new and relevant randomised controlled trials (RCT), case-reports and original
articles concerning benefits of neuraxial blocks, risks of SH due to anti
haemostatic drugs, patient-related risk factors, especially renal impairment with
delayed excretion of antihaemostatic drugs, and specific risk factors related to
the neuraxial pain procedures. RESULTS AND RECOMMENDATIONS: Epidural and spinal
analgesic techniques, as well as their combination provide superior analgesia and
reduce the risk of postoperative and obstetric morbidity and mortality. Spinal
pain procedure can be highly effective for cancer patients, less so for chronic
non-cancer patients. We did not identify any RCT with SH as outcome. We evaluated
risks and recommend precautions for SH when patients are treated with
antiplatelet, anticoagulant, or fibrinolytic drugs, when patients' comorbidities
may increase risks, and when procedure-specific risk factors are present.
Inserting and withdrawing epidural catheters appear to have similar risks for
initiating a SH. Invasive neuraxial pain procedures, e.g. spinal cord
stimulation, have higher risks of bleeding than traditional neuraxial blocks. We
recommend robust monitoring routines and treatment protocol to ensure early
diagnosis and effective treatment of SH should this rare but potentially serious
complication occur. CONCLUSIONS: When neuraxial analgesia is considered for a
patient on anti-haemostatic medication, with patient-related, or procedure
related risk factors, the balance of benefits against risks of bleeding is
decisive; when CNB are offered exclusively to patients who will have a reduction
of postoperative morbidity and mortality, then a higher risk of bleeding may be
accepted. Robust routines should ensure appropriate discontinuation of anti
haemostatic drugs and early detection and treatment of SH. IMPLICATIONS: There is
an on-going development of drugs for prevention of thromboembolic events
following surgery and childbirth. The present practise advisory provides up-to
date knowledge and experts' experiences so that patients who will greatly benefit
from neuraxial pain procedures and have increased risk of bleeding can safely
benefit from these procedures. There are always individual factors for the
clinician to evaluate and consider. Increasingly it is necessary for the
anaesthesia and analgesia provider to collaborate with specialists in
haemostasis. Surgeons and obstetricians must be equally well prepared to
collaborate for the best outcome for their patients suffering from acute or
chronic pain. Optimal pain management is a prerequisite for enhanced recovery
after surgery, but there is a multitude of additional concerns, such as early
mobilisation, early oral feeding and ileus prevention that surgeons and
anaesthesia providers need to optimise for the best outcome and least risk of
complications.
PMID- 29794309
TI - The association between back muscle characteristics and pressure pain sensitivity
in low back pain patients.
AB - BACKGROUND AND AIMS: Some low back pain (LBP) patients recover after every pain
episode whereas others develop chronicity. Research indicates that the amount of
atrophy and fat infiltration differs between patients with LBP. Also enhanced
pain sensitivity is present only in a subgroup of LBP patients. The relationship
between pain sensitivity and muscular deformations in LBP, is however unexplored.
This study examined the association between pressure pain sensitivity and the
structural characteristics of the lumbar muscles in three different groups of non
specific LBP patients. METHODS: This cross-sectional study examined the total
cross-sectional area (CSA), fat CSA, muscle CSA and muscle fat index (MFI) of the
lumbar multifidus (MF) and erector spinae (ES) at level L4 by magnetic resonance
imaging in 54 patients with non-specific LBP (23 recurrent LBP, 15 non-continuous
chronic LBP and 16 continuous chronic LBP). Pressure pain thresholds were
measured at four locations (lower back, neck, hand and leg) by a manual pressure
algometer and combined into one "pain sensitivity" variable. As a primary outcome
measure, the association between pain sensitivity and muscle structure
characteristics was investigated by multiple independent general linear
regression models. Secondly, the influence of body mass index (BMI) and age on
muscle characteristics was examined. RESULTS: A positive association was found
between pain sensitivity and the total CSA of the MF (p=0.006) and ES (p=0.001),
and the muscle CSA of the MF (p=0.003) and ES (p=0.001), irrespective of the LBP
group. No association was found between pain sensitivity and fat CSA or MFI
(p>0.01). Furthermore, a positive association was found between BMI and the fat
CSA of the MF (p=0.004) and ES (p=0.006), and the MFI of the MF (p<0.01) and ES
(p=0.003). Finally, a positive association was found between age with the fat CSA
of the MF (p=0.008) but not with the fat CSA of the ES (p>0.01), nor the MFI of
the MF (p>0.01) and ES (p>0.01). CONCLUSIONS: A higher pain sensitivity is
associated with a smaller total and muscle CSA in the lumbar MF and ES, and vice
versa, but results are independent from the LBP subgroup. On the other hand, the
amount of fat infiltration in the lumbar muscles is not associated with pain
sensitivity. Instead, a higher BMI is associated with more lumbar fat
infiltration. Finally, older patients with LBP are associated with higher fat
infiltration in the MF but not in the ES muscle. IMPLICATIONS: These results
imply that reconditioning muscular tissues might possibly decrease the pain
sensitivity of LBP patients. Vice versa, therapy focusing on enhancement of pain
sensitivity might also positively influence the CSA and that way contribute to
the recovery of LBP. Furthermore, the amount of lumbar muscle fat seems not
susceptible to pain sensitivity or vice versa, but instead a decrease in BMI
might decrease the fat infiltration in the lumbar muscles and therefore improve
the muscle structure quality in LBP. These hypothesis apply for all non-specific
LBP patients, despite the type of LBP.
PMID- 29794311
TI - The annual meeting of the Scandinavian Association for the Study of Pain (SASP)
18-20 April 2018.
PMID- 29794312
TI - [Results for Treatment of Newly-Diagnosed Glioblastoma Using Carmustine
Wafers(Gliadel(r))].
PMID- 29794310
TI - Superior outcomes following cervical fusion vs. multimodal rehabilitation in a
subgroup of randomized Whiplash-Associated-Disorders (WAD) patients indicating
somatic pain origin-Comparison of outcome assessments made by four examiners from
different disciplines.
AB - BACKGROUND AND AIMS: Whiplash-Associated Disorders (WAD) are characterized by
great variability in long-term symptoms. Patients with central neck and movement
induced stabbing pain participated in a randomized study comparing cervical
fusion and multimodal rehabilitation. As reported in our previous paper, more
patients treated by cervical fusion than by rehabilitation experienced pain
relief. Although patient reported outcome measures are a core component of
outcome evaluation, independent examiner has been recommended. Because of the
heterogeneity of WAD complaints the patients in our study were examined at
baseline and follow-up by four experts representing neurology, orthopedics,
psychology and physical medicine. The aim was to compare the professional
assessments of change both regarding the possible impact of the different
examiners' perspectives on individual patient's outcome, and also on the analysis
of possible outcome differences between the treatment groups. METHODS: WAD
patients with long-term neck pain as the predominant symptom after a traffic
accident were eligible. The neck pain origin should be in the midline and
perceived as dull and aching, with sudden movement inducing midline stabbing
pain. Of the 1,052 patients in contact with our team, 49 were eligible. The
overall treatment effect was evaluated on a global outcome transitional scale.
The criteria for the scale categories were defined by each expert's professional
perspective on change in the whiplash complaints. Statistical methods that take
account of the non-metric properties of ordered categorical data were used.
Observed inter-expert disagreement was evaluated by the Svensson method that
identifies and measures systematic group-related disagreement separately from
disagreement caused by individual variation. Possible differences in the
distributions of assessments on the expert-specific outcome scales between the
treatment groups were analyzed by the Kruskal-Wallis test. RESULTS: The per
protocol evaluation showed that a majority of the 18 patients who underwent
fusion surgery were assessed as somewhat or much better, ranging from 67% to 78%
depending on the expert. Corresponding proportions of improvement in the 17
patients treated by multimodal rehabilitation ranged from 29% to 53%. The
statistical analyses confirmed better outcomes in the patients treated by fusion
surgery, with p-values ranging from 0.003 to 0.04. The experts' assessments of
intra-patient change disagreed more or less for all patients. The analyses of the
paired comparisons confirmed that these disagreements could most probably be
explained by the different profession-specific operational definitions of the
outcome scales rather than by individual variations in data. CONCLUSIONS: The
multi-dimensional complexity of WAD-related complaints was comprehensively
demonstrated by the inter-disciplinary disagreements in assessing intra-patient
outcomes. The superiority of positive treatment effects in patients who underwent
cervical fusion compared with multimodal rehabilitation was evident to all
experts. IMPLICATIONS: The results strengthen our previous opinion that neck pain
in this subgroup of WAD patients has a somatic origin. More than one examiner is
recommended for multi-dimensional outcome assessments.
PMID- 29794313
TI - [Ultrasound-aided Fixation of a Biodegradable Cranial Fixation System:SonicWeld
Rx(r) System in Pediatric Neurosurgery].
AB - PURPOSE: Ultrasound-aided fixation of a biodegradable cranial fixation system
called SonicWeld Rx(r), has been widely applied in the fields of craniofacial
surgery and plastic surgery. However, there are few reports that denote its use
in the field of neurosurgery. This study aimed to evaluate the usefulness of
SonicWeld Rx(r) system in pediatric neurosurgery. METHODS: This study included 11
pediatric patients(10 boys, 1 girl), who underwent craniotomy for moyamoya
disease, brain tumor, and arachnoid cyst. Their mean age was 6.4 years, ranging
from 4 to 10 years. LactoSorb(r) system was applied in 6 patients and SonicWeld
Rx(r) system in 5. RESULTS: The time required for screw fixation was
significantly shorter in the SonicWeld group(6.5+/-1.4sec)than in the LactoSorb
group(13.4+/-2.8sec), with p<0.05. The quality of fixation strength was adequate
in both groups. There were no perioperative complications related to the
absorbable fixation device. A 3-D skull CT detected no malposition of the bone
flap 3 months postoperatively. There were no scalp complications noted for an
average of 21 months. CONCLUSION: SonicWeld Rx(r) system can be easy and useful
in fixing the bone flap to the cranium in the field of pediatric neurosurgery.
PMID- 29794314
TI - [A Case of Ventriculoperitoneal Shunt Dysfunction in an Adult Secondary to
Constipation].
AB - INTRODUCTION: Ventriculoperitoneal(VP)shunts function because of the pressure
differential between the intracranial space and the peritoneal cavity. Although
chronic constipation is often a cause of VP shunt dysfunction in children, it is
not well recognized in adults. We present a case of shunt dysfunction that not
only resolved after resolution of constipation but also resulted in overdrainage.
CASE REPORT: A 28-year-old woman who had a VP shunt placed 11 years prior for
hydrocephalus was referred to our department because of an enlarged ventricle
diagnosed with computed tomography(CT). She had a previous history of pineal
germinoma and a VP shunt was placed to treat the associated hydrocephalus. At
presentation, she complained of headache and somnolence. Shunt dysfunction was
suspected, but no problem was detected in the shunt system, including pressure
settings. As constipation was detected, we treated this condition. Soon after,
her symptoms resolved and ventricle size normalized. She was discharged without
any deficit, but overdrainage was detected with CT obtained 1 month later.
CONCLUSION: Although constipation is not a common cause of shunt dysfunction in
adult patients, it is important to consider to avoid unnecessary shunt revision.
In the present case, resolution of chronic constipation resulted in resolution of
shunt dysfunction. This suggests the importance of resolution of constipation in
case of shunt adjustment, even in adult cases.
PMID- 29794315
TI - [Postoperative Neurological Deficit due to Transient Hypoperfusion in the
Pretreated Contralateral Hemisphere after Bypass Surgery in an Adult Patient with
Moyamoya Disease:A Case Report].
AB - Postoperative neurological deficits frequently occur in adult moyamoya disease.
In this case report, we describe the time course and disease state of a patient
with adult moyamoya disease, who experienced a postoperative neurological deficit
due to transient hypoperfusion in the pretreated contralateral hemisphere. A 68
year-old female presented with a sudden onset of left hemianopia due to ischemic
moyamoya disease. She had severely low cerebral blood flow(CBF)in the right
hemisphere and deterioration of cerebrovascular reactivity in the left
hemisphere. First, right combined bypass surgery was performed; subsequently,
left combined bypass surgery was performed. Two days after left hemisphere
surgery, left hemiparesis gradually appeared. Hypoperfusion of the right
hemisphere and hyperperfusion of the left hemisphere were revealed by SPECT and
CT perfusion imaging. Blood pressure was controlled to normal levels, and an
antiepileptic drug, antiplatelet drug, and edaravone were administered. The
patient gradually recovered 2 weeks later, and was able to resume her normal
daily life. During the clinical course, laterality of CBF was improved following
improvement of clinical symptoms. Abnormal postoperative disproportion of the CBF
may occur after revascularization surgery for adult moyamoya disease. Prompt
assessment of CBF and proper treatment are needed.
PMID- 29794316
TI - [A Case of Cerebellum Abscess due to Fusobacterium nucleatum that
Developed Because of Poor Dental Hygiene].
AB - We report a case of cerebellum abscess due to Fusobacterium
nucleatum(F. nucleatum) in a 60-year-old man. He was admitted to our
hospital complaining of headache and dizziness. On admission, he was lucid with
the following vital data:blood pressure, 136/89 mmHg;heart rate, 65
beats/min;body temperature, 37.0C;and oxygen saturation, 100%. He had a moderate
headache and could not walk straight. In general, there were no abnormal findings
except for his poor dental hygiene. Laboratory findings revealed elevated white
blood cell counts(10,900/mm3)and brain MRI revealed a mass shadow that
was suspected to be an abscess in the left side of his cerebellum. Elective
surgery was scheduled. However, consciousness of disorder was observed on the
second hospital day and the size of mass shadow extended;hence, emergent drainage
under craniotomy was performed. The diagnosis was cerebellum abscess, and F.
nucleatum, which is a normal flora in the oral cavity, was isolated in his
cerebellum abscess. After the surgery, his hospital course was positive under the
treatment of antibiotics for F. nucleatum. The route of bacterial
infection entry was unclear;however, it will be considered that abscesses in the
central nervous system occur because of poor dental hygiene.
PMID- 29794318
TI - [Dissecting Aneurysm of the Distal Middle Cerebral Artery Formed the Out Pouch
during Long-term Follow-up:A Case Report].
AB - A 40-year-old man with a rare case of dissecting aneurysm of the distal middle
cerebral artery(MCA, M2 segment)presented with ischemic stroke, manifesting as
headache, nausea, and visual disorder. Computed tomography(CT)scan revealed
cerebral infarction, and three-dimensional CT angiogram revealed stenotic change
at the M2 segment. The patient was conservatively treated and remained
neurologically stable. Ten months later, CT scan and magnetic resonance
imaging(MRI)revealed outpouching of the M2 segment at the location of the initial
stenosis. Angiogram revealed pearl and string sign at the M2 segment of the
inferior trunk. The preoperative diagnosis was spontaneous dissecting aneurysm of
the right distal MCA. The patient was treated with direct surgery for aneurysm
resection and revascularization using the superficial temporal artery. The brain
surface in contact with the dissecting aneurysm was colored xanthochromic, so
minor bleeding from the dissecting aneurysm was highly suspected. Previously, 19
cases of dissecting aneurysm of the distal MCA have been reported. Distal
dissecting aneurysms seem to rupture more frequently in the MCA than in the
proximal segment. Long-term follow-up MRI and angiogram might be important, not
only in the acute stage in patients with atypical caliber changes in the distal
MCA. Immediate direct surgery is indicated to avoid hemorrhagic stroke if
dilatation of the major trunk at the dissection is suspected.
PMID- 29794317
TI - [An Unusual Case of Acute Epidural Hematoma Showing Rapid Spontaneous Resolution
with Delayed Recurrence].
AB - A 16-year-old boy collided with a passenger car while riding a motorcycle. He was
thrown to a distance and experienced a head injury on impact. When brought to our
medical facility, he was alert, had no neurological abnormalities, and did not
complain of headache. A head computed tomography(CT)scan indicated a left cranial
fracture and an acute epidural hematoma(15mm thick)directly under the fracture.
Follow-up head CT performed 3 hours after the injury indicated no change in the
size of the hematoma. The head CT performed on the following day indicated that
most of the hematoma had disappeared. As the patient had neither headache nor
neurological symptoms, he was placed under observation. However, a head CT
performed 7 days after the injury indicated the formation of an epidural hematoma
approximately the same size as the initial hematoma and located at the same site.
We performed craniotomy to evacuate the hematoma, identify the source of the
bleeding, and restore hemostasis. Although cases in which an acute epidural
hematoma rapidly and spontaneously resolves have been reported, these are
extremely rare. Recurrence of an epidural hematoma despite normal blood
coagulation function after its initial rapid resolution has not been reported
yet. We report on this rare case of acute epidural hematoma with reference to
relevant literatures.
PMID- 29794319
TI - [Multiple Spinal Intradural and Extradural Syphilis Granuloma Mimicking Lumbar
Dumbbell Type Neurinoma:A Case Report].
AB - Spinal intradural and extradural syphilis granuloma is extremely rare. Here, we
report a patient with multiple spinal intradural and extradural syphilis
granuloma mimicking dumbbell type neurinoma. The patient was a 68-year-old man,
who presented with left femoral pain for a month. Magnetic resonance
imaging(MRI)revealed a homogeneous enhanced dumbbell-shaped lesion occupying the
spinal canal at the level of lumbar 3/4 and developing through the intervertebral
foramen. Although initial blood tests revealed that he contracted with the
syphilis, we diagnosed dumbbell type neurinoma preoperatively. He underwent
partial tumor removal. The tumor adhered tightly to the cauda equina in
intraoperative finding. Histopathological diagnosis of the lesion was
granulomatous inflammation with the lymphocytic infiltration. Postoperatively,
results of the Treponema pallidum hemagglutination(TPHA)test and the rapid
plasma regain(RPR)test of cerebrospinal fluid were reactive, so we confirmed
syphilis granuloma. He was treated with penicillin G for two weeks from 25 days
after surgery. A follow-up MRI of the lumbar spine 51 days after surgery showed a
reduction in size of the lumbar spinal tumor compared to the initial findings.
Thus, syphilis granuloma should be considered in differential diagnosis of a
spinal dumbbell shaped lesion. Penicillin G may be effective for the treatment of
syphilis granuloma.
PMID- 29794320
TI - [Clot Retrieval Treatment for Infectious Embolus due to Infective Endocarditis:A
Case Report].
PMID- 29794322
TI - [Preoperative Diffusion MR Images may be Necessary for Patients with Chronic
Subdural Hematoma].
PMID- 29794321
TI - [(5)Advance in Latest Devices and Systems of Deep Brain Stimulation].
PMID- 29794325
TI - Magnetic field induced metal-insulator transition in single nodal ring
topological semimetals.
AB - Our theoretical investigation indicates that an applied magnetic field can open a
gap between the conduction and valence bands of a nodal line semimetal (NLSM),
though it is a kind of gapless material in the absence of a magnetic field. The
emerging bandgap depends sensitively on the strength and orientation of the
magnetic field which implies a tunable and large anisotropy of magnetoresistance
in such kinds of topological materials. Following such a theoretical finding, we
predict that in some candidates of NSLMs with a single nodal ring, such as the
materials of CaP3 family, a transition between metallic and insulating states
driven by a magnetic field is possibly observed experimentally. Consequently, a
magnetic field can be viewed as a novel mechanism for metal-insulator transition
of solid materials, in additional to the well-known conventional ones such as the
Anderson and Mott transitions.
PMID- 29794324
TI - Magnetic responsive cell-based strategies for diagnostics and therapeutics.
AB - The potential of magnetically assisted strategies within the remit of cell-based
therapies is increasing, creating new opportunities for biomedical platforms and
in the field of tissue engineering and regenerative medicine. Among the magnetic
elements approached for building magnetically responsive strategies,
superparamagnetic iron oxide nanoparticles (SPIONs) represent tunable and precise
tools whose properties can be modelled for detection, diagnosis, targeting and
therapy purposes. The most investigated clinical role of SPIONs is as contrast
imaging agents for tracking and monitoring cells and tissues. Nevertheless,
magnetic detection also includes biomarker mapping, cell labelling and cell/drug
targeting to monitor cell events and anticipate the disruption of homeostatic
conditions and the progression of disease. Additionally, the isolation and
screening techniques of cell subsets in heterogeneous populations or of proteins
of interest have been explored in a magnetic sorting context. More recently,
SPION-based technologies have been applied to stimulate cell differentiation and
mechanotransduction processes and to transport genetic or drug cargo to study
biological mechanisms and contribute to improved therapies. Magnetically based
strategies significantly contribute to magnetic tissue engineering (magTE), in
which magnetically responsive actuators built from magnetic labelled cells or
magnetic functionalized systems can be remotely controlled and spatially
manipulated upon the actuation of an external magnetic field for the delivery or
target of TE solutions. SPION functionalities combined with magnetic
responsiveness in multifactorial magnetically assisted platforms can
revolutionize diagnosis and therapeutics, providing new diagnosis and theranostic
tools, encouraging regenerative medicine approaches and having potential for more
effective therapies. This review will address the contribution of SPION-based
technologies as multifunctional tools in boosting magnetically assisted cell
based strategies to explore diagnostics and tracking solutions for the detection
and analysis of pathologies, and to generate improved treatments and therapies,
envisioning precise and customized answers for the management of numerous
diseases.
PMID- 29794323
TI - Aligned fibrous PVDF-TrFE scaffolds with Schwann cells support neurite extension
and myelination in vitro.
AB - OBJECTIVE: Polyvinylidene fluoride-trifluoroethylene (PVDF-TrFE), which is a
piezoelectric, biocompatible polymer, holds promise as a scaffold in combination
with Schwann cells (SCs) for spinal cord repair. Piezoelectric materials can
generate electrical activity in response to mechanical deformation, which could
potentially stimulate spinal cord axon regeneration. Our goal in this study was
to investigate PVDF-TrFE scaffolds consisting of aligned fibers in supporting SC
growth and SC-supported neurite extension and myelination in vitro. APPROACH:
Aligned fibers of PVDF-TrFE were fabricated using the electrospinning technique.
SCs and dorsal root ganglion (DRG) explants were co-cultured to evaluate SC
supported neurite extension and myelination on PVDF-TrFE scaffolds. MAIN RESULTS:
PVDF-TrFE scaffolds supported SC growth and neurite extension, which was further
enhanced by coating the scaffolds with Matrigel. SCs were oriented and neurites
extended along the length of the aligned fibers. SCs in co-culture with DRGs on
PVDF-TrFE scaffolds promoted longer neurite extension as compared to scaffolds
without SCs. In addition to promoting neurite extension, SCs also formed myelin
around DRG neurites on PVDF-TrFE scaffolds. SIGNIFICANCE: This study demonstrated
PVDF-TrFE scaffolds containing aligned fibers supported SC-neurite extension and
myelination. The combination of SCs and PVDF-TrFE scaffolds may be a promising
tissue engineering strategy for spinal cord repair.
PMID- 29794326
TI - Concurrent segregation and erosion effects in medium-energy iron beam patterning
of silicon surfaces.
AB - We have bombarded crystalline silicon targets with a 40 keV Fe+ ion beam at
different incidence angles. The resulting surfaces have been characterized by
atomic force, current-sensing and magnetic force microscopies, scanning electron
microscopy, and x-ray photoelectron spectroscopy. We have found that there is a
threshold angle smaller than 40 degrees for the formation of ripple patterns,
which is definitely lower than those frequently reported for noble gas ion beams.
We compare our observations with estimates of the value of the critical angle and
of additional basic properties of the patterning process, which are based on a
continuum model whose parameters are obtained from binary collision simulations.
We have further studied experimentally the ripple structures and measured how the
surface slopes change with the ion incidence angle. We explore in particular
detail the fluence dependence of the pattern for an incidence angle value (40
degrees ) close to the threshold. Initially, rimmed holes appear randomly
scattered on the surface, which evolve into large, bug-like structures. Further
increasing the ion fluence induces a smooth, rippled background morphology. By
means of microscopy techniques, a correlation between the morphology of these
structures and their metal content can be unambiguously established.
PMID- 29794327
TI - Corrigendum: Rapid freezing of water under dynamic compression (2018 J. Phys.:
Condens. Matter 30 233002).
AB - Corrigendum.
PMID- 29794328
TI - The influence of the entry region on the instability of a coflowing injector
device.
AB - The occurrence of dripping and jetting regimes in a microfluidic coflowing
injector device has been related recently to the spatio-temporal stability
properties of the developed velocity profile. Dripping corresponds to an
absolutely unstable flow while jetting is observed when the Rayleigh-Plateau
instability of the core-annular jet is convective. In this work we take into
account the effect of the entry region on the dripping to jetting transition by
carrying out a global stability analysis of the steady two-phase base flow. We
show that, depending on the flow parameters, the entry region can affect
significantly the transition between the two regimes.
PMID- 29794329
TI - In vivo ultrasound imaging of the bone cortex.
AB - Current clinical ultrasound scanners cannot be used to image the interior
morphology of bones because these scanners fail to address the complicated
physics involved for exact image reconstruction. Here, we show that if the
physics is properly addressed, bone cortex can be imaged using a conventional
transducer array and a programmable ultrasound scanner. We provide in vivo proof
for this technique by scanning the radius and tibia of two healthy volunteers and
comparing the thickness of the radius bone with high-resolution peripheral x-ray
computed tomography. Our method assumes a medium that is composed of different
homogeneous layers with unique elastic anisotropy and ultrasonic wave-speed
values. The applicable values of these layers are found by optimizing image
sharpness and intensity over a range of relevant values. In the algorithm of
image reconstruction we take wave refraction between the layers into account
using a ray-tracing technique. The estimated values of the ultrasonic wave-speed
and anisotropy in cortical bone are in agreement with ex vivo studies reported in
the literature. These parameters are of interest since they were proposed as
biomarkers for cortical bone quality. In this paper we discuss the physics
involved with ultrasound imaging of bone and provide an algorithm to successfully
image the first segment of cortical bone.
PMID- 29794330
TI - A design for a dynamic biomimetic sonarhead inspired by horseshoe bats.
AB - The noseleaf and pinnae of horseshoe bats (Rhinolophus ferrumequinum) have both
been shown to actively deform during biosonar operation. Since these baffle
structures directly affect the properties of the animals biosonar system, this
work mimics horseshoe bat sonar system with the goal of developing a platform to
study the dynamic sensing principles horseshoe bats employ. Consequently, two
robotic devices were developed to mimic the dynamic emission and reception
characteristics of horseshoe bats. The noseleaf and pinnae shapes were modeled as
smooth blanks matched to digital representations of a horseshoe bat specimens
noseleaf and pinnae. Local shape features mimicking structures on the pinnae and
noseleaf were added digitally. Flexible baffles with local shape feature
combinations were manufactured and paired with actuation mechanisms to mimic
pinnae and noseleaf deformations in vivo. Two noseleaves with and without local
shape features were considered. Each noseleaf baffle was mounted to a platform
called the dynamic emission head to actuate three surface elements of the baffle.
Similarly, 12 pinna realizations composed of combinations of three local shape
features were mounted to a platform called the dynamic reception head to deform
the left and right pinnae independently. Motion of the noseleaf and pinnae were
synchronized to the incoming and outgoing sonar waveform, and the joint time
frequency properties of the noseleaf and pinnae local feature combinations and
pairs of pinnae and noseleaf thereof were characterized across spatial direction.
Amplitude modulations to the outgoing and incoming sonar pulse information across
spatial direction were observed for all pinnae and noseleaf local shape feature
combinations. Peak modulation variance generated by motion of the pinnae and
combinations of the noseleaf and pinnae approached a white Gaussian noise
variance bound. It was found the dynamic emitter generated less modulation than
either the combined or reception scenarios.
PMID- 29794331
TI - Laser-etch patterning of metal oxide coated carbon nanotube 3D architectures.
AB - This paper describes a way to fabricate novel hybrid low density nanostructures
containing both carbon nanotubes (CNTs) and ceramic nanotubes. Using atomic layer
deposition, a thin film of aluminum oxide was conformally deposited on aligned
multiwall CNT foams in which the CNTs make porous, three-dimensional
interconnected networks. A CO2 laser was used to etch pure alumina nanotube
structures by burning out the underlying CNT substrate in discrete locations via
the printed laser pattern. Structural and morphological transitions during the
calcination process of aluminum oxide coated CNTs were investigated through in
situ transmission electron microscopy and high-resolution scanning electron
microscopy. Laser parameters were optimized to etch the CNT away (i.e. etching
speed, power and focal length) while minimizing damage to the alumina nanotubes
due to overheating. This study opens a new route for fabricating very low density
three dimensionally patterned materials with areas of dissimilar materials and
properties. To demonstrate the attributes of these structures, the etched areas
were used toward anisotropic microfluidic liquid flow. The demonstration used the
full thickness of the material to make complex pathways for the liquid flow in
the structure. Through tuning of processing conditions, the alumina nanotube
(etched) regions became hydrophilic while the bulk material remained hydrophobic
and electrically conductive.
PMID- 29794332
TI - Theory of pore-driven and end-pulled polymer translocation dynamics through a
nanopore: an overview.
AB - We review recent progress on the theory of dynamics of polymer translocation
through a nanopore based on the iso-flux tension propagation (IFTP) theory. We
investigate both pore-driven translocation of flexible and a semi-flexible
polymers, and the end-pulled case of flexible chains by means of the IFTP theory
and extensive molecular dynamics (MD) simulations. The validity of the IFTP
theory can be quantified by the waiting time distributions of the monomers which
reveal the details of the dynamics of the translocation process. The IFTP theory
allows a parameter-free description of the translocation process and can be used
to derive exact analytic scaling forms in the appropriate limits, including the
influence due to the pore friction that appears as a finite-size correction to
asymptotic scaling. We show that in the case of pore-driven semi-flexible and end
pulled polymer chains the IFTP theory must be augmented with an explicit trans
side friction term for a quantitative description of the translocation process.
PMID- 29794333
TI - Facile synthesis of AuPd nanoparticles anchored on TiO2 nanosheets for efficient
dehydrogenation of formic acid.
AB - Safe and efficient hydrogen storage is one of the key technologies for the
widespread utilization of hydrogen energy. Formic acid (FA) is regarded as a safe
and convenient chemical hydrogen storage material. However, the lack of highly
efficient heterogeneous catalysts hinders its practical application. Herein, we
presented a facile wet-impregnated deposition method to synthesize ultrafine AuPd
alloy nanoparticles anchored on TiO2 nanosheets (AuPd/TiO2 nanosheets) which were
used as high efficient catalysts for the dehydrogenation of FA. TiO2 nanosheets
were calcined at different temperatures to modify the catalytic activity of
catalyst. AuPd/TiO2 nanosheets-400 exhibits the superior activity for catalyzing
the FA to release 96% of overall hydrogen content with an initial turnover
frequency value of 592 mol H2 mol-1 metal h-1 at 25 degrees C and low activation
energy of 11.8 kJ mol-1. Detailed characterizations show that the superior
catalytic performance can be ascribed to the alloy structure of AuPd centers, the
phase and crystallinity of TiO2 nanosheets, and the strong electron transfer
interaction between AuPd nanoparticles and TiO2 nanosheets substrate.
PMID- 29794334
TI - Comparative study of DFT+U functionals for non-collinear magnetism.
AB - We performed comparative analysis for DFT+U functionals to better understand
their applicability to non-collinear magnetism. Taking LiNiPO4 and Sr2IrO4 as
examples, we investigated the results out of two formalisms based on charge-only
density and spin density functional plus U calculations. Our results show that
the ground state spin order in terms of tilting angle is strongly dependent on
Hund J. In particular, the opposite behavior of canting angles as a function of J
is found for LiNiPO4. The dependence on the other physical parameters such as
Hubbard U and Slater parameterization [Formula: see text] is investigated. We
also discuss the formal aspects of these functional dependences as well as
parameter dependences. The current study provides useful information and
important intuition for the first-principles calculation of non-collinear
magnetic materials.
PMID- 29794335
TI - Suppression of ferromagnetic order by Ag-doping: a neutron scattering
investigation on Ce2(Pd1-x Ag x )2In (x = 0.20, 0.50).
AB - The ground state magnetic behaviour of Ce2(Pd0.8Ag0.2)2In and Ce2(Pd0.5Ag0.5)2In,
found in the ferromagnetic branch of Ce2Pd2In, has been investigated by neutron
powder diffraction at low temperature. Ce2(Pd0.8Ag0.2)2In is characterized by a
ferromagnetic structure with the Ce moments aligned along the c-axis and values
of 0.96(2) u B. The compound retains the P4/mbm throughout the magnetic
transition, although the magnetic ordering is accompanied by a significant
decrease of the lattice strain along [0 0 l], suggesting a magnetostructural
contribution. The magnetic behaviour of Ce2(Pd0.5Ag0.5)2In is very different;
this compound exhibits an extremely reduced magnetic scattering contribution in
the diffraction pattern, that can be ascribed to a different kind of
ferromagnetic ordering, with extremely reduced magnetic moments (~0.1 u B)
aligned along [0 l 0]. These results point to a competition between different
types of magnetic correlations induced by Ag-substitution, giving rise to a
magnetically frustrated scenario in Ce2(Pd0.5Ag0.5)2In.
PMID- 29794336
TI - Regional lung ventilation and perfusion by electrical impedance tomography
compared to single-photon emission computed tomography.
AB - OBJECTIVE: Electrical impedance tomography (EIT) is a noninvasive imaging
modality that allows real-time monitoring of regional lung ventilation ([Formula:
see text]) in intensive care patients at bedside. However, for improved guidance
of ventilation therapy it would be beneficial to obtain regional ventilation-to
perfusion ratio ([Formula: see text]) by EIT. APPROACH: In order to further
explore the feasibility, we first evaluate a model-based approach, based on semi
negative matrix factorization and a gamma-variate model, to extract regional lung
perfusion ([Formula: see text]) from EIT measurements. Subsequently, a combined
validation of both [Formula: see text] and [Formula: see text] measured by EIT
against single-photon emission computed tomography (SPECT) is performed on data
acquired as part of a porcine animal trial. Four pigs were ventilated at two
different levels of positive end-expiratory pressure (PEEP 0 and 15 cm H2O,
respectively) in randomized order. Repeated injections of an EIT contrast agent
(NaCl 10%) and simultaneous SPECT measurements of [Formula: see text] (81mKr gas)
and [Formula: see text] (99mTc-labeled albumin) were performed. MAIN RESULTS:
Both [Formula: see text] and [Formula: see text] from EIT and SPECT were compared
by correlation analysis. Very strong (r 2 = 0.94 to 0.95) correlations were
found for [Formula: see text] and [Formula: see text] in the dorsal-ventral
direction at both PEEP levels. Moderate (r 2 = 0.36 to 0.46) and moderate to
strong (r 2 = 0.61 to 0.82) correlations resulted for [Formula: see text] and
[Formula: see text] in the right-left direction, respectively. SIGNIFICANCE: The
results of combined validation indicate that monitoring of [Formula: see text]
and [Formula: see text] by EIT is possible. However, care should be taken when
trying to quantify [Formula: see text] by EIT, as imaging artefacts and model
bias may void necessary spatial matching.
PMID- 29794337
TI - Engineering approaches of smart, bio-inspired vesicles for biomedical
applications.
AB - Advances in materials engineering have allowed for the development of
sophisticated and controlled drug delivery through vesicles. Smart vesicles,
capable of sensing single stimulus or multiple stimuli, can be engineered to
process specific environmental signals to produce a tailored response. Exhibiting
multifunctionality and theranostic abilities, they are a promising platform for
new therapeutic methods. Here, we discuss smartness in the context of biosensing
vesicles, followed by the various components required to develop a smart vesicle
and the design considerations regarding engineering approaches of each. We then
focus on biomedical applications of the vesicles in disease treatment and
biosensing.
PMID- 29794338
TI - Tumor-on-a-chip platforms for assessing nanoparticle-based cancer therapy.
AB - Cancer has become the most prevalent cause of deaths, placing a huge economic and
healthcare burden worldwide. Nanoparticles (NPs), as a key component of
nanomedicine, provide alternative options for promoting the efficacy of cancer
therapy. Current conventional cancer models have limitations in predicting the
effects of various cancer treatments. To overcome these limitations, biomimetic
and novel 'tumor-on-a-chip' platforms have emerged with other innovative
biomedical engineering methods that enable the evaluation of NP-based cancer
therapy. In this review, we first describe cancer models for evaluation of NP
based cancer therapy techniques, and then present the latest advances in 'tumor
on-a-chip' platforms that can potentially facilitate clinical translation of NP
based cancer therapies.
PMID- 29794339
TI - Comparison of photoplethysmogram measured from wrist and finger and the effect of
measurement location on pulse arrival time.
AB - OBJECTIVE: The aim of this paper was to compare photoplethysmogram (PPG) signals
measured from the wrist and finger and to evaluate if wrist PPG signal could be
used to calculate pulse arrival time (PAT), the time delay between
electrocardiogram (ECG) R peak and a feature (e.g. peak, foot, first derivative
peak) in the PPG signal. Further, the correlation between pulse wave velocity
(rePWV, defined as PWV from ECG R peak to extremity) and systolic blood pressure
was studied. APPROACH: Thirty subjects were measured at rest by a trained
research nurse. For reference measurement, chest ECG and finger PPG were measured
using commercial sensors. Wrist PPG and arm ECG were measured with a custom-made
setup, where the PPG sensor was located at the back surface of the forearm. MAIN
RESULTS: Reference finger and wrist PPG signals were found to differ in shape and
also in amplitude. The PPG foot or first derivative peak detection methods seemed
to be the most suitable methods for wrist PAT calculation. The Pearson
correlation coefficient between blood pressure and rePWV was found to be 0.44 for
the reference finger measurement and 0.37 for the wrist measurement.
SIGNIFICANCE: Wrist PPG signal is widely used in optical heart rate monitors.
Based on the results obtained in this study, wrist PPG signal may be used also
for PAT calculation. The use of PAT for blood pressure estimation still has
challenges, but PAT as such could be used as an interesting indicator of vascular
health.
PMID- 29794340
TI - A support vector machine approach for AF classification from a short single-lead
ECG recording.
AB - OBJECTIVE: In this paper, a support vector machine (SVM) approach using
statistical features, P wave absence, spectrum features, and length-adaptive
entropy are presented to classify ECG rhythms as four types: normal rhythm,
atrial fibrillation (AF), other rhythm, and too noisy to classify. APPROACH: The
proposed algorithm consisted of three steps: (1) signal pre-processing based on
the wavelet method; (2) feature extraction, the extracted features including one
power feature, two spectrum features, two entropy features, 17 RR interval
related features, and 11 P wave features; and (3) classification using the SVM
classifier. MAIN RESULTS: The algorithm was trained by 8528 single-lead ECG
recordings lasting from 9 s to just over 60 s and then tested on a hidden test
set consisting of 3658 recordings of similar lengths, which were all provided by
the PhysioNet/Computing in Cardiology Challenge 2017. The scoring for this
challenge used an F 1 measure, and the final F 1 score was defined as the average
of F 1n (the F 1 score of normal rhythm), F 1a (the F 1 score of AF rhythm), and
F 1o (the F 1 score of other rhythm). The results confirmed the high accuracy of
our proposed method, which obtained 90.27%, 86.37%, and 75.08% for F 1n , F 1a ,
and F 1n and the final F 1 score of 84% on the training set. In the final test to
assess the performance of all of the hidden data, the obtained F 1n , F 1a , F 1o
and the average F 1 were 90.82%, 78.56%, 71.77% and 80%, respectively.
SIGNIFICANCE: The proposed algorithm targets a large number of raw, short single
ECG data rather than a small number of carefully selected, often clean ECG
records, which have been studied in most of the previous literature. It breaks
through the limitation in applicability and provides reliable AF detection from a
short single-lead ECG.
PMID- 29794341
TI - Calcium and phosphate ions as simple signaling molecules with versatile
osteoinductivity.
AB - Due to the continually increasing clinical need to heal large bone defects,
synthetic bone graft substitutes have become ever more necessary with calcium
phosphates (CaP) widely used due to their similarity to the mineral component of
bone. In this research, different concentrations of calcium ions (Ca2+),
phosphate ions (Pi), or their combination were provided to mesenchymal stem cells
(MSCs) to evaluate their influence on proliferation and differentiation. The
results suggest that 1-16 mM Ca2+ and 1-8 mM Pi is osteoinductive, but not
cytotoxic. Furthermore, three distinct calcium phosphates (i.e. monobasic,
dibasic, and hydroxyapatite) with different dissolution rates were investigated
for their Ca2+ and Pi release. These biomaterials were then adjusted to release
ion concentrations within the established therapeutics window for which MSC
bioactivity was assessed. These findings suggest that CaP-based biomaterials can
be leveraged to achieve Ca2+ and Pi dose-dependent osteoinduction for bone
regenerative engineering applications.
PMID- 29794342
TI - Multiclass classification of obstructive sleep apnea/hypopnea based on a
convolutional neural network from a single-lead electrocardiogram.
AB - OBJECTIVE: In this paper, we propose a convolutional neural network (CNN)-based
deep learning architecture for multiclass classification of obstructive sleep
apnea and hypopnea (OSAH) using single-lead electrocardiogram (ECG) recordings.
OSAH is the most common sleep-related breathing disorder. Many subjects who
suffer from OSAH remain undiagnosed; thus, early detection of OSAH is important.
APPROACH: In this study, automatic classification of three classes-normal,
hypopnea, and apnea-based on a CNN is performed. An optimal six-layer CNN model
is trained on a training dataset (45 096 events) and evaluated on a test dataset
(11 274 events). The training set (69 subjects) and test set (17 subjects) were
collected from 86 subjects with length of approximately 6 h and segmented into 10
s durations. MAIN RESULTS: The proposed CNN model reaches a mean [Formula: see
text]-score of 93.0 for the training dataset and 87.0 for the test dataset.
SIGNIFICANCE: Thus, proposed deep learning architecture achieved a high
performance for multiclass classification of OSAH using single-lead ECG
recordings. The proposed method can be employed in screening of patients
suspected of having OSAH.
PMID- 29794343
TI - High-speed large area atomic force microscopy using a quartz resonator.
AB - A high-speed atomic force microscope for scanning large areas, utilizing a quartz
bar driven close to resonance to provide the motion in the fast scan axis is
presented. Images up to 170 * 170 MUm2 have been obtained on a
polydimethylsiloxane (PDMS) grating in 1 s. This is provided through an average
tip-sample velocity of 28 cm s-1 at a line rate of 830 Hz. Scan areas up to 80 *
80 MUm2 have been obtained in 0.42 s with a line rate of 1410 Hz. To demonstrate
the capability of the scanner the spherulitic crystallization of a
semicrystalline polymer was imaged in situ at high speed.
PMID- 29794345
TI - Bubble growth in cylindrically-shaped optical absorbers during photo-mediated
ultrasound therapy.
AB - Photo-mediated ultrasound therapy (PUT) is a non-invasive, agent-free technique
to shut down microvessels with high precision by promoting cavitation activity
precisely in the targeted microvessels. PUT is based on the photoacoustic (PA)
cavitation generated through concurrently applied nanosecond laser pulses and
ultrasound bursts. In this study, a PA cavitation model is employed to understand
the enhanced cavitation activity during PUT, with full consideration of the
optical absorption of blood vessels. Bubble size evolution in cylindrically
shaped optical absorbers (vessels) due to rectified diffusion is simulated.
Results show that the ultrasound pressure required for bubble growth decreases
dramatically with the increased laser fluence. At a relatively low ultrasound
driving pressure, bubble equilibrium radius increases rapidly due to concurrently
applied nanosecond laser pulses and ultrasound bursts, resulting in a transition
from inertial cavitation to stable cavitation. This inertial to stable transition
is verified by the experimentally measured results on 0.76 mm silicone tubes
filled with human whole blood with 0.5 MHz ultrasound at 0.243 MPa. This study
demonstrated the potential to induce stable bubbles in blood vessels by PUT non
invasively.
PMID- 29794344
TI - Performance of marrow stromal cell-seeded small-caliber multilayered vascular
graft in a senescent sheep model.
AB - Failure of small-caliber grafts, used as bypass or reconstructive grafts in
cardiovascular treatments, is often caused by thrombosis and stenosis. We have
developed a multilayered, compliant graft with an electrospun heparin
encapsulated core and collagen-chitosan shell. Herein, the performances of
acellular and cell-seeded grafts were evaluated in adult sheep for preclinical
assessment. Allogeneic ovine marrow stroma cells (MSCs) were uniformly attached
to the lumen of cell-seeded grafts. Interposition grafts were used for carotid
arteries. Four grafts were tested for each type. Upon implantation, all grafts
successfully restored perfusion and rhythmically deformed under pulsatile
arterial flow. Weekly ultrasonography and Doppler revealed that all grafts
remained patent for perfusion during the course of one-month study. No formation
of blood clots or other complications were found. The diameter of graft lumen did
not vary significantly over the time or with the graft type, while narrowing at
anastomosis and significant thickening of graft wall were found in both types of
grafts. More significant neotissue formation was found at anastomotic sections of
acellular controls compared to cell-seeded grafts. Results from histological and
immunofluorescent analyses revealed moderate intimal hyperplasia (IH) at
anastomosis. When compared to cell-seeded grafts, acellular controls presented
thicker IH composed of alpha-smooth muscle actin positive cells and ground
substances, which correlated with reduced and more disturbing flow. IH was
thickest at anastomosis and tapered off to a minimum in the mid-section. Few
PECAM-positive cells appeared on cell-seeded grafts but not acellular controls.
Additionally, lesser graft thickening was found in cell-seed grafts, which might
be associated with the function of stromal cells in altering the fibrotic process
during tissue repair. Results suggest that MSCs held the potential to reduce
hyperplasia and improve healing in an aged, large animal model for vascular
grafting.
PMID- 29794346
TI - Promote quantitative ischemia imaging via myocardial perfusion CT iterative
reconstruction with tensor total generalized variation regularization.
AB - Myocardial perfusion computed tomography (MPCT) imaging is commonly used to
detect myocardial ischemia quantitatively. A limitation in MPCT is that an
additional radiation dose is required compared to unenhanced CT due to its
repeated dynamic data acquisition. Meanwhile, noise and streak artifacts in low
dose cases are the main factors that degrade the accuracy of quantifying
myocardial ischemia and hamper the diagnostic utility of the filtered
backprojection reconstructed MPCT images. Moreover, it is noted that the MPCT
images are composed of a series of 2/3D images, which can be naturally regarded
as a 3/4-order tensor, and the MPCT images are globally correlated along time and
are sparse across space. To obtain higher fidelity ischemia from low-dose MPCT
acquisitions quantitatively, we propose a robust statistical iterative MPCT image
reconstruction algorithm by incorporating tensor total generalized variation
(TTGV) regularization into a penalized weighted least-squares framework.
Specifically, the TTGV regularization fuses the spatial correlation of the
myocardial structure and the temporal continuation of the contrast agent intake
during the perfusion. Then, an efficient iterative strategy is developed for the
objective function optimization. Comprehensive evaluations have been conducted on
a digital XCAT phantom and a preclinical porcine dataset regarding the accuracy
of the reconstructed MPCT images, the quantitative differentiation of ischemia
and the algorithm's robustness and efficiency.
PMID- 29794347
TI - Assessment of sequence dependent geometric distortion in contrast-enhanced MR
images employed in stereotactic radiosurgery treatment planning.
AB - This work focuses on MR-related sequence dependent geometric distortions, which
are associated with B 0 inhomogeneity and patient-induced distortion
(susceptibility differences and chemical shift effects), in MR images used in
stereotactic radiosurgery (SRS) applications. Emphasis is put on characterizing
distortion at target brain areas identified by gadolinium diethylenetriamine
pentaacetic acid (Gd-DTPA) paramagnetic contrast agent uptake. A custom-made
phantom for distortion detection was modified to accommodate two small
cylindrical inserts, simulating small brain targets. The inserts were filled with
Gd-DTPA solutions of various concentrations (0-20 mM). The phantom was scanned at
1.5 T unit using both the reversed read gradient polarity (to determine the
overall distortion as reflected by the inserts centroid offset) and the field
mapping (to determine B 0 inhomogeneity related distortion in the vicinity of the
inserts) techniques. Post-Gd patient images involving a total of 10 brain
metastases/targets were also studied using a similar methodology. For the
specific imaging conditions, contrast agent presence was found to evidently
affect phantom insert position, with centroid offset extending up to 0.068 mm mM
1 (0.208 ppm mM-1). The Gd-DTPA induced distortion in patient images was of the
order of 0.5 mm for the MRI protocol used, in agreement with the phantom results.
Total localization uncertainty of metastases-targets in patient images ranged
from 0.35 mm to 0.87 mm, depending on target location, with an average value of
0.54 mm (2.24 ppm). This relative wide range of target localization uncertainty
results from the fact that the B 0 inhomogeneity distortion vector in a specific
location may add to or partly counterbalance Gd-DTPA induced distortion, thus
increasing or decreasing, respectively, the total sequence dependent distortion.
Although relatively small, the sequence dependent distortion in Gd-DTPA enhanced
brain images can be easily taken into account for SRS treatment planning and
target definition purposes by carefully inspecting both the forward and reversed
polarity series.
PMID- 29794348
TI - New possibilities for aligners.
PMID- 29794349
TI - The Horseshoe Jet for miniscrew-supported molar distalization.
PMID- 29794350
TI - Space management with Invisalign for interdisciplinary orthodontic treatment.
PMID- 29794351
TI - Combining skeletal anchorage and intermaxillary elastics in Class II treatment.
PMID- 29794352
TI - Intrusion of a supraerupted maxillary second molar using a modified cantilever
appliance.
PMID- 29794353
TI - Ransomware: Is your practice protected?
PMID- 29794354
TI - 2018 Eugene L. Gottlieb JCO Student of the Year: Dr. Samaneh Mojarrad.
PMID- 29794355
TI - Updates on the use of vaccines in dermatological conditions.
AB - Numerous vaccines are being actively developed for use in dermatologic diseases.
Advances in the fields of immunotherapy, genetics and molecular medicine have
allowed for the design of prophylactic and therapeutic vaccines with immense
potential in managing infections and malignancies of the skin. This review
addresses the different vaccines available for use in dermatological diseases and
those under development for future potential use. The major limitation of our
review is its complete reliance on published data. Our review is strictly limited
to the availability of published research online through available databases. We
do not cite any of the authors' previous publications nor have we conducted
previous original research studies regarding vaccines in dermatology. Strength
would have been added to our paper had we conducted original studies by our
research team regarding the candidate vaccines delineated in the paper.
PMID- 29794356
TI - Bloom syndrome sans characteristic facial features in a Mestizo patient- a
diagnostic challenge.
PMID- 29794357
TI - Laparoscopic parastomal hernia repair: A modified technique of mesh placement in
Sugarbaker procedure.
AB - Introduction: Conventional surgery for parastomal hernia entails primary suture
repair or stoma relocation. Laparoscopic surgery has advantages of less pain,
faster post-operative recovery and better cosmesis. While the Sugarbaker
technique has been valued for least recurrences, however, it exposes the stomal
loop to the parietal surface of the mesh exposing it to complications. We report
a modification of mesh placement after primary defect repair to improvise the
safety of meshplasty and to minimise mesh erosions into the stomal loop of bowel.
Patients and Methods: Patients with permanent stoma presenting with a parastomal
bulge leading to difficulty with stoma care or abdominal distention or pain were
included in the study. A pre-operative computed tomography scan was performed in
all patients to rule out any recurrence of primary pathology for which stoma was
created and to study the abdominal musculature and defects. Results: Of 14
patients, 12 patients had end-sigmoid stoma, one had end ileostomy following
surgery for ulcerative colitis and one had urinary conduit. The size of the
defect varied from 4.5 cm to 6 cm in diameter, and the average duration of
surgery was 125 min. Pain assessed on VAS score was higher in the first 12 h, and
all were started on orals on the next day, and average hospital stay was 4.2
days. The longest follow-up of 7 years and shortest of 15 months did not reveal
any complications as recurrence, seroma, mesh infections or erosions into the
stoma. Conclusion: Modified placement of composite mesh is safe and helps in
minimising mesh-related complications of the Sugarbaker technique for parastomal
hernias.
PMID- 29794358
TI - 'Little old lady's hernia' (obturator hernia): A deceptive encounter.
AB - Obturator hernia (OH) is rare which not only carries high mortality amongst all
abdominal hernia, but also known for the difficulty in diagnosing it. Howship
Romberg sign is a clinical sign to diagnose OH, but due to the lower-limb muscle
contractures, it was not possible in our case. Computed tomography scan becomes
the investigation of choice in this situation. A laparoscopic approach can be
used safely.
PMID- 29794359
TI - Surgery strategy of 13 cases to control bleeding from the liver on laparoscopic
repeat liver resection for recurrent hepatocellular carcinoma.
AB - Introduction: Laparoscopic repeat liver resection (LRLR) is a safe and effective
treatment in recurrent hepatocellular carcinoma (rHCC) in particular patients.
However, there are less reports about surgery strategy of LRLR for rHCC. The aim
of this study was to perform a systematic strategy for bleeding of liver to
increase the safety and feasibility of LRLR for rHCC. Methods: In this study, a
total of 13 cases of LRLR for rHCC, including 8 males and 5 females; aged 28-72
years, mean age 54 years, who were received at least one laparotomy due to HCC.
We employ to block the local blood flow, ligation of the left or right hepatic
artery and/or approach of Pringle according to the assessment of the degree of
adhesions in the abdominal and the first hepatic portal, the location of the
tumour (edge/central). Results: Three cases were less adhesions, nine cases were
dense adhesions but 1 case was serious adhesions. Two cases were employed to
block the local blood flow, 3 cases were employed to ligation of the left or
right hepatic artery and 7 cases were employed to approach of Pringle. Twelve
cases were successfully completed by LRLR whereas 1 case was completed by
transfer to the open resection, including massive resection in 3 cases (the
diameter of resection >=3 cm), small hepatectomy in 10 cases (the diameter of
resection < 3 cm), no severe perioperative complication. The average operative
time was (142 +/- 34) min, the average intraoperative blood loss was (251 +/- 92)
ml and the average post-operative hospital time was (9 +/- 3) d. The mean follow
up time was 25 months. Until the last follow-up, 11 cases survived while 2 cases
died because of tumour recurrence. Conclusions: It can improve the safety and
feasibility of LRLR for rHCC, according to the degree of adhesion of the
peritoneal adhesions and the first hepatic portal, then selecting the appropriate
technique to control the bleeding of the hepatectomy.
PMID- 29794360
TI - Easy and effective way to evaluate the urological complication during
laparoscopic gynaecologic surgery.
PMID- 29794361
TI - Extended totally extraperitoneal repair (eTEP) for ventral hernias: Short-term
results from a single centre.
AB - Introduction: There has been a surge of innovative procedures in the field of
abdominal wall hernias. Works of pioneers such as Dr. Yuri Novitsky, Dr. Jorge
Daes and Dr. Igor Belyansky have started a new era in the field of hernia
surgery. Conventional and popular surgeries for ventral hernias are open onlay
mesh hernioplasty, open retromuscular mesh hernioplasty (Rives-Stoppa procedure)
and laparoscopic intraperitoneal mesh hernioplasty. Evidence seems to suggest
that retromuscular mesh hernioplasty has advantages over other procedures
regarding recurrence and surgical site occurrences. An alternative strategy has
been developed for this setting where a mesh is placed in retromuscular space by
minimal access technique of the extended Totally Extraperitoneal approach (eTEP).
Methods: We have retrospectively analysed the data of 21 patients who underwent
an eTEP procedure with a minimum follow-up of 2 months. Their data were analysed
for operative details, intra-operative and post-operative complications. Results:
For a total of 21 patients, we have recorded a total of two surgical site
occurrences (1 seroma and 1 linea alba dehiscence) and one recurrence. One
patient had chronic pain. There was no surgical site infection. Conclusion:
Judging from our short-term results, we suggest that the eTEP technique can be
adapted in centres with advanced laparoscopic skills with the careful patient
selection.
PMID- 29794362
TI - Clip-stone and T clip-sinus: A clinical analysis of six cases on migration of
clips and literature review from 1997 to 2017.
AB - Introduction: With the development of laparoscopic skills, the laparoscopic
common bile duct exploration (LCBDE) and laparoscopic cholecystectomy (LC) has
become the standard surgical procedure for choledocholithiasis. We usually use
Hem-o-lok clips to control cystic duct and vessels, which is safe on most
occasions and has few perioperative complications such as major bleeding, wound
infection, bile leakage, and biliary and bowel injury. However, a rare
complication of post-cholecystectomy clip migration (PCCM) increases year by year
due to the advancement and development of LC, CBD exploration as well as the wide
use of surgical ligation clips. Materials and Methods: Six patients whose clips
are found dropping into CBD or forming T-tube sinus after laparoscopic surgery in
our department. Results: Six patients whose clips are found dropping into CBD
(clip-stone) (3/6) or forming T-tube sinus (T clip-sinus) (3/6) after LCBDE or
LC. Conclusions: PCCM is a rare but severe complication of LCBDE. A pre-operative
understanding of bile duct anatomy, the use of the minimum number of clips and
the harmonic scalpel during the surgeries is necessary. Considering clip-stone or
clip-sinus in the differential diagnosis of patients with biliary colics or
cholangitis after LCBDE even years after surgery, the detailed medical history
and pre-operative examination are inevitable, especially for these patients who
had undergone LCBDE.
PMID- 29794363
TI - The debate between use and cost of technology is on-going!
PMID- 29794364
TI - Laparoscopic splenectomy for large splenic pseudocyst: A rare case report and
review of literature.
AB - Laparoscopic splenectomy is gaining popularity due to less morbidity and minimal
operative complications. Nowadays, laparoscopic splenectomy is the approach of
choice for both benign and malignant diseases of the spleen. Splenic pseudocyst
due to non-traumatic cause has been very rarely reported in literature. We report
an interesting case of a rare large splenic pseudocyst without a history of
previous abdominal trauma, treated successfully by laparoscopic technique and
discuss literature for the same.
PMID- 29794365
TI - Per-operative modified rigid cholangioscopy for removal of intrahepatic stones
associated with choledochal cyst in children.
AB - Introduction: Choledochal cyst (CDC) is often associated with intrahepatic stones
(IHSs) in children which necessitate their removal during excision. The
endoscopic equipment needed for their clearance such as paediatric flexible
cholangioscope and other advanced modalities are not freely available in resource
poor setups. We describe per-operative modified rigid cholangioscopy using rigid
paediatric cystoscope for stone removal during open CDC excision. Methods: All
children with CDC presenting with IHSs between January 2015 and December 2017
were included in the present study. IHSs were diagnosed by ultrasound/magnetic
resonance cholangiopancreatography (MRCP). In these patients, after cyst excision
by open technique, a 9 Fr paediatric cystoscope with 4 Fr working channel was
inserted into the common hepatic duct for visualisation and clearance of stones
from (intrahepatic bile ducts). Follow-up was done using liver function tests,
ultrasound and MRCP (if needed). Patients underwent three monthly liver function
test and ultrasound and if needed MRCP. Results: Six cases of CDC presenting with
IHS were managed, and one case with post-R-en-Y IHS was treated with this
technique. Rigid paediatric cystoscope with working channel and forceps was used.
All cases were successfully managed, and one case was found to have intrahepatic
duct stenosis was dilated. Conclusion: Per-operative rigid endoscopy using
paediatric cystoscope is an easily available tool in most of the setups for the
management of IHS associated with CDC in children.
PMID- 29794366
TI - Early recurrence after laparoscopic radical cholecystectomy in a patient with
gallbladder cancer.
AB - Laparoscopic radical cholecystectomy for gallbladder cancer (GBC) has been
performed at various oncology centres reporting its technical feasibility.
Considering GBC an aggressive malignancy, laparoscopic radical cholecystectomy
should be dealt with caution. We recently encountered a case of carcinoma
gallbladder who underwent laparoscopic radical cholecystectomy elsewhere and
presented with early recurrence. The patient's records were evaluated and he
underwent re-resection. Hereby, we discuss the factors that could lead to early
recurrence after laparoscopic radical cholecystectomy and measures that can be
taken to prevent it.
PMID- 29794367
TI - 5-Methylmellein is a novel inhibitor of fungal sirtuin and modulates fungal
secondary metabolite production.
AB - Sirtuin is an NAD+-dependent histone deacetylase that is highly conserved among
prokaryotes and eukaryotes. Sirtuin deacetylates histones and non-histone
proteins, and it is involved in fungal growth and secondary metabolite
production. Here, we screened 579 fungal culture extracts that inhibited the
histone deacetylase activity of Sirtuin A (SirA), produced by the fungus
Aspergillus nidulans. Eight fungal strains containing three Ascomycota, two
Basidiomycota and three Deuteromycetes produced SirA inhibitors. We purified the
SirA inhibitor from the culture broth of Didymobotryum rigidum JCM 8837, and
identified it as 5-methylmellein-a known polyketide. This polyketide and its
structurally-related compound, mellein, inhibited SirA activity with IC50 of 120
and 160 MUM, respectively. Adding 5-methylmellein to A. nidulans cultures
increased secondary metabolite production in the medium. The metabolite profiles
were different from those obtained by adding other sirtuin inhibitors
nicotinamide and sirtinol to the culture. These results indicated that 5
methylmellein modulates fungal secondary metabolism, and is a potential tool for
screening novel compounds derived from fungi.
PMID- 29794368
TI - Distinctive Roles of D-Amino Acids in the Homochiral World: Chirality of Amino
Acids Modulates Mammalian Physiology and Pathology.
AB - Living organisms enantioselectively employ L-amino acids as the molecular
architecture of protein synthesized in the ribosome. Although L-amino acids are
dominantly utilized in most biological processes, accumulating evidence points to
the distinctive roles of D-amino acids in non-ribosomal physiology. Among the
three domains of life, bacteria have the greatest capacity to produce a wide
variety of D-amino acids. In contrast, archaea and eukaryotes are thought
generally to synthesize only two kinds of D-amino acids: D-serine and D
aspartate. In mammals, D-serine is critical for neurotransmission as an
endogenous coagonist of N-methyl D-aspartate receptors. Additionally, D-aspartate
is associated with neurogenesis and endocrine systems. Furthermore, recognition
of D-amino acids originating in bacteria is linked to systemic and mucosal innate
immunity. Among the roles played by D-amino acids in human pathology, the
dysfunction of neurotransmission mediated by D-serine is implicated in
psychiatric and neurological disorders. Non-enzymatic conversion of L-aspartate
or L-serine residues to their D-configurations is involved in age-associated
protein degeneration. Moreover, the measurement of plasma or urinary D-/L-serine
or D-/L-aspartate levels may have diagnostic or prognostic value in the treatment
of kidney diseases. This review aims to summarize current understanding of D
amino-acid-associated biology with a major focus on mammalian physiology and
pathology.
PMID- 29794369
TI - Transducin beta-like 1, X-linked and nuclear receptor co-repressor cooperatively
augment the ligand-independent stimulation of TRH and TSHbeta gene promoters by
thyroid hormone receptors.
AB - Mutations in TBL1X, a component of the nuclear receptor co-repressor (N-CoR) and
silencing mediator of retinoic acid and thyroid hormone receptor co-repressor
complexes, have recently been implicated in isolated central hypothyroidism
(CeH). However, the mechanisms by which TBL1X mutations affect negative feedback
regulation in the hypothalamus-pituitary-thyroid axis remain unclear. N-CoR was
previously reported to paradoxically enhance the ligand-independent stimulation
of TRH and TSHbeta gene promoters by thyroid hormone receptors (TR) in cell
culture systems. We herein investigated whether TBL1X affects the unliganded TR
mediated stimulation of the promoter activities of genes negatively regulated by
T3 in cooperation with N-CoR. In a hypothalamic neuronal cell line, the
unliganded TR-mediated stimulation of the TRH gene promoter was significantly
enhanced by co-transfected TBL1X, and the co-transfection of TBL1X with N-CoR
further enhanced promoter activity. In contrast, the knockdown of endogenous
Tbl1x using short interfering RNA significantly attenuated the N-CoR-mediated
enhancement of promoter activity in the presence of unliganded TR. The co
transfection of N365Y or Y458C, TBL1X mutants identified in CeH patients, showed
impaired co-activation with N-CoR for the ligand-independent stimulation of the
TRH promoter by TR. In the absence of T3, similar or impaired enhancement of the
TSHbeta gene promoter by the wild type or TBL1X mutants, respectively, was
observed in the presence of co-transfected TR and N-CoR in CV-1 cells. These
results suggest that TBL1X is needed for the full activation of TRH and TSHbeta
gene promoters by unliganded TR. Mutations in TBL1X may cause CeH due to the
impaired up-regulation of TRH and/or TSHbeta gene transcription despite low T3
levels.
PMID- 29794370
TI - Collagen-enriched serpiginous skin lesion in a cat resembling the linear form of
localized scleroderma in humans.
AB - Localized scleroderma (LS) is a sclerotic skin disorder rarely reported in the
veterinary literature. We herein report the first case of a linear LS-like skin
lesion in a cat. A 1-year-old castrated male Himalayan cat was presented with a 1
month history of an alopecic, indurated, serpiginous, branched skin lesion on the
dorsal cervical to scapular area. The cat had no history of trauma, although a
topical spot-on endectocide had been applied near the lesion. Histopathological
examination revealed a focal area of hyperplastic dermal collagen with the
absence of pilosebaceous units. The cutaneous lesion remained unchanged during a
2-year follow-up period. Clinical and histopathological similarities of this skin
lesion with those of the linear form of LS in humans were considered.
PMID- 29794371
TI - Sarcomatoid mesothelioma of tunica vaginalis testis in the right scrotum of a
dog.
AB - A 12-year-old intact male Welsh Corgi was presented with enlargement of the right
scrotum. Both testicles were surgically removed and histopathologically examined.
On gross examination, white nodules were found in the epididymis and ductus
deferens. Histopathologically, the nodules developed continuously from the tunica
vaginalis testis of the right scrotum and consisted of spindle-shaped neoplastic
cells that invaded the surrounding tissue. Immunohistochemically, the neoplastic
cells were diffusely positive for vimentin, cytokeratin and Wilms tumor-1 (WT-1).
Based on these findings, the tumor was diagnosed as sarcomatoid mesothelioma. The
dog presented with respiratory distress 122 days after surgery and clinical
examination found multiple metastatic lesions in the lung, abdominal lymph nodes
and peritoneum. The dog died 144 days after surgery due to disease progression.
PMID- 29794372
TI - Effect of radioactive iodine-induced hypothyroidism on longitudinal bone growth
during puberty in immature female rats.
AB - Thyroid cancer in children, the most common endocrine malignancy, shows
aggressive behavior and has a high recurrence rate after surgical ablation.
Radioactive iodine (RAI) treatment is the most effective primary modality for
medical ablation of juvenile thyroid cancer, and leads to intentional
hypothyroidism. Although several negative impacts of hypothyroidism have been
reported in children in response to other antithyroid agents, the combined
effects of RAI exposure and hypothyroidism, on growing bones specifically, are
unknown. In this study, we investigated the effect of RAI-induced hypothyroidism
on the long bones during the pubertal growth spurt using immature female rats.
Female Sprague-Dawley rats were randomly divided into a control group, and an RAI
treated group fed with RAI (0.37 MBq/g body weight) twice via gavage. After 4
weeks, we observed a significantly-reduced serum free thyroxine level in the RAI
group. The latter group also displayed decreased body weight gain compared to the
control. In addition, the lengths of long bones, such as the leg bones and
vertebral column, as well as bone mineral content, were reduced in the RAI
treated animals. Our results confirm the negative impacts of RAI-induced thyroid
deficiency during puberty on longitudinal bone growth and bone mineralization.
PMID- 29794374
TI - Primary Cardiac Diffuse Large B-Cell Lymphoma.
PMID- 29794373
TI - The characteristics of a porcine mitral regurgitation model.
AB - The porcine mitral regurgitation (MR) model is a common cardiovascular animal
model. Standardized manufacturing processes can improve the uniformity and
success rate of the model, and systematic research can evaluate its potential
use. In this study, 17 pigs were divided into an experimental group (n=11) and a
control group (n=6). We used a homemade retractor to cut the mitral chordae via
the left atrial appendage to establish a model of MR; the control group underwent
a sham surgery. The model animals were followed for 30 months after the surgery.
Enlargement and fibrosis of the left atrium were significant in the experimental
group compared with those in the control group, and left atrial systolic function
decreased significantly. In addition, model animals showed preserved left
ventricular systolic function. There were no differences in left atrial potential
or left ventricular myocardial fibrosis between the two groups. Atrial
fibrillation susceptibility in the experimental group was higher than that in the
control group. Our method enables the simple and effective production of a MR
model with severe reflux that can be used for pathophysiological studies of MR,
as well as for the development of preclinical surgical instruments and their
evaluation. This model could also be used to study atrial fibrillation and
myocardial fibrosis but is not suitable for studies of heart failure.
PMID- 29794375
TI - Learning Curve for Transcatheter Aortic Valve Implantation Under a Controlled
Introduction System - Initial Analysis of a Japanese Nationwide Registry.
AB - BACKGROUND: The introduction of transcatheter aortic valve implantation (TAVI)
into Japan was strictly controlled to optimize patient outcomes. The goal of this
study was to assess if increasing experience during the introduction of this
procedure was associated with outcomes.Methods and Results:The initial 1,752
patients registered in the Japanese national TAVI registry were included in the
study. The association between operator procedure number and incidence of the
early safety endpoint at 30 days (ESE30) as defined in the Valve Academic
Research Consortium-2 consensus document was evaluated. Patients were divided
into 4 groups by quartiles of procedure count (Groups I-IV in order of increasing
number of procedures). Median patient age was 85 years, and 30.5% were male. The
30-day mortality rate was 1.4% (n=24), and 78 patients (7.9%) experienced 95
ESE30. Among the variables included in the model, ESE30 was associated with non
transfemoral approach (P=0.004), renal dysfunction (Cr >2.0 mg/dL) (P=0.01) and
NYHA class III/IV (P=0.04). ESE30 incidence was not significantly different
between Groups I-III and Group IV. Spline plots demonstrated that experience of
15-20 cases in total was needed to achieve a consistent low risk of ESE30.
CONCLUSIONS: Increasing experience was associated with better outcomes, but to a
lesser degree than in previous reports. Our findings suggested that the risks
associated with the learning curve process were appropriately mitigated.
PMID- 29794376
TI - Diastolic Tricuspid Regurgitation Related to Atrial Systole With a Bileaflet
Mechanical Valve.
PMID- 29794378
TI - Effect of Geranylgeranyl Pyrophosphate Synthase on Hypoxia/Reoxygenation-Induced
Injury in Heart-Derived H9c2 Cells.
AB - Recent studies have revealed that geranylgeranyl pyrophosphate synthase (GGPPS),
a key enzyme involved in protein prenylation, plays a critical role in postnatal
heart growth by regulating cardiomyocyte size. However, the role of GGPPS in
myocardial ischemia/reperfusion (MIR) injury is still not clear. The objective of
this work was to investigate the effect of GGPPS on MIR injury in H9c2 cells
subjected to hypoxia/reoxygenation (HR) to mimic MIR. Prior to HR, the cells were
transfected with GGPPS, shGGPPS, or shGFP. The results showed that cell viability
was reduced, and cell injury and cell apoptosis were increased as a result of
overexpression of GGPPS. Knockdown of GGPPS improved cell viability, and
decreased cell injury and cell apoptosis. Furthermore, overexpression of GGPPS
increased Rac1 activity and ROS generation, while GGPPS silencing decreased Rac1
activity and ROS generation. Based on these findings, we propose that the
alteration of GGPPS expression changed the Rac1 activity and ROS production, and
finally led to the different severity of HR-induced injury in H9c2 cells. These
findings indicate that GGPPS might be a potential target in preventing H9c2 cells
from HR-induced injury.
PMID- 29794377
TI - Clinical Characteristics and Mid-Term Outcomes of Non-Elderly Obese Patients with
Acute Decompensated Heart Failure in Japan.
AB - Obesity is a well-known risk factor for cardiovascular diseases including heart
failure (HF). However, some literatures suggested better clinical outcomes in
obese patients with HF. Since higher body mass index (BMI) levels of HF patients
were significantly associated with younger age, the impact of obesity on clinical
outcomes in non-elderly HF patients should be elucidated.Consecutive 155 non
elderly acute decompensated HF patients (< 60-year-old) who admitted to our
institution between 2009 and 2013 were included. Those patients were divided into
the two groups according to the BMI: the obesity group (BMI >= 25 kg/m2, n = 81)
and the non-obesity group (BMI < 25 kg/m2, n = 74). The primary composite outcome
of this study was defined as re-admission due to HF and all-cause death.The
primary composite outcome was less frequently observed in the obesity group as
compared with the non-obesity group (Hazard ratio [HR] 0.50, 95% confidence
interval [CI] 0.26-0.95, P = 0.03). Re-admission due to HF was significantly less
in the obesity group than in the non-obesity group (HR 0.44, 95% CI 0.23-0.86, P
= 0.02), whereas all-cause death was not significantly different between the
groups (P = 0.44).The mid-term outcomes in non-elderly HF patients with obesity
were better as compared with non-elderly HF patients without obesity, which
supports obesity paradox in this specific population.
PMID- 29794379
TI - Combined Evaluation of the Plasma Arginine Vasopressin and Noradrenaline Levels
May be a Useful Predictor of the Prognosis of Patients with Acute Decompensated
Heart Failure.
AB - Few data exist regarding the association of plasma arginine vasopressin (AVP) and
noradrenaline (NA) levels with subsequent cardiac events in acute decompensated
heart failure (ADHF) patients. We measured plasma AVP and NA levels in ADHF
patients on admission. In the follow-up (median: 487 days) of 291 patients, 41
cardiac events (cardiac death or re-hospitalization due to HF) were documented.
The plasma AVP (26.4 versus 15.5 pg/mL, P = 0.014) and plasma NA (2347 versus
1524 pg/mL, P = 0.007) levels in the cardiac events group were significantly
higher than those in the non-cardiac events group. The multivariable hazard
ratios (HR) (95% confidence intervals [CI]) in the first tertile (1T) versus the
third tertile (3T) of plasma AVP and NA levels were 2.97 (1.06-8.32) and 3.34
(1.21-9.26) for cardiac events, respectively. Group High (3T of combined AVP and
NA) had a significantly higher incidence of cardiac events than Group Low (1T of
combined groups) (HR: 3.50, 95% CI: 1.17-10.42, P = 0.017). Similarly, the
relative risk ratio of cardiac events according to this stratification was more
than that of plasma AVP or NA level alone (3.51, 2.65, and 2.95). Higher levels
of plasma AVP and NA measured on admission may be associated with the incidence
of cardiac events. Combined evaluation of these two parameters may be useful for
assessing the prognosis of ADHF survivors.
PMID- 29794380
TI - Successful Treatment of Protein-Losing Enteropathy and Plastic Bronchitis by
Biphasic Cuirass Ventilation in a Patient with Failing Fontan Circulation.
AB - We present a 16-year-old male patient with hypoplastic left heart syndrome who
developed protein-losing enteropathy (PLE) and plastic bronchitis (PB) after a
Fontan operation. He received medical therapies, including albumin infusion,
unfractionated heparin, and high-dose anti-aldosterone therapy but could not
obtain clinical relief. Biphasic cuirass ventilation (BCV) led to expectoration
of bronchial casts and prompt resolution of PB. Notably, clinical symptoms
related to PLE were dramatically improved after starting BCV. A brief period of
BCV increased stroke volume from 26+/-1.4 to 39+/-4.0 mL. This case suggests that
BCV could be an effective treatment for PLE in patients with failing Fontan
circulation.
PMID- 29794381
TI - Catecholamine-Induced Senescence of Endothelial Cells and Bone Marrow Cells
Promotes Cardiac Dysfunction in Mice.
AB - Previous studies have suggested that cellular senescence plays a central role in
the progression of pathologic changes in the failing heart. It is well known that
the sympathetic nervous system is activated in patients with heart failure, and
this change is associated with poor clinical outcomes. Sympathetic activation
increases the levels of various catecholamines, such as epinephrine and
norepinephrine, but the contribution of these catecholamines to cellular
senescence associated with heart failure remains to be determined. We found that
catecholamine infusion induced senescence of endothelial cells and bone marrow
cells, and promoted cardiac dysfunction in mice. In C57BL/6NCr mice, the
continuous infusion of isoproterenol-induced cardiac inflammation and cardiac
dysfunction. Expression of p53, a master regulator of cellular senescence, was
increased in the cardiac tissue and bone marrow cells of these mice. Suppression
of cellular senescence by genetic deletion of p53 in endothelial cells or bone
marrow cells led to improvement of isoproterenol-induced cardiac dysfunction. In
vitro studies showed that adrenergic signaling increased the expression of p53
and adhesion molecules by endothelial cells and macrophages. Our results indicate
that catecholamine-induced senescence of endothelial cells and bone marrow cells
plays a pivotal role in the progression of heart failure. Suppression of
catecholamine-p53 signaling is crucial for inhibition of remodeling in the
failing heart.
PMID- 29794382
TI - Cardiomyopathy Phenotypes and Pregnancy Outcomes with Left Ventricular
Noncompaction Cardiomyopathy.
AB - Little is known about pregnancies of left ventricular noncompaction
cardiomyopathy (LVNC), much less cases in which LVNC was definitively diagnosed
prepregnancy. We report the cases of three pregnant Japanese women definitively
diagnosed with LVNC prepregnancy. Case 1 presented LVNC with restrictive
phenotype. Her pregnancy was terminated due to exacerbated pulmonary hypertension
and low output status at 30 weeks' gestation. Case 2 presented isolated LVNC with
nonsustained ventricle tachycardia. A cesarean section was performed at 36 weeks'
gestation because of placenta previa. Case 3 presented dilated LVNC. Labor
induction was performed because of decreased left ventricular ejection fraction,
leading to a vaginal delivery at 37 weeks' gestation. In all cases, no
thromboembolic event was identified during pregnancy; two patients received
anticoagulants. We reviewed all English-literature cases of pregnant women
definitively diagnosed with LVNC prepregnancy to analyze causes of adverse
pregnancy outcomes and the necessity of anticoagulation. Four of the six
pregnancies identified were terminated due to exacerbated cardiomyopathy
phenotypes and not complications due to noncompaction itself, resulting in three
cases' preterm deliveries. No thromboembolic event was identified by maintenance
of the anticoagulation strategy determined prepregnancy. In pregnancies with
LVNC, the possibility of a severe cardiac event and the indications for
termination of the pregnancy can depend on the cardiomyopathy phenotypes, not
noncompaction itself. Anticoagulation only because of the pregnancy itself may be
redundant. In the management of LVNC during pregnancy, close monitoring of the
condition of different phenotypes and reassessment of the necessity of
anticoagulation can contribute to the pregnancy outcome.
PMID- 29794383
TI - Myocardial Injury Caused by Severe Blow: Importance of Carefulness in Accurate
Diagnosis.
AB - Blunt chest trauma may lead to cardiac involvement such as myocardial contusion,
coronary artery dissection, cardiac rupture, or myocardial infarction. Early
detection and treatment of complications such as these are essential. We describe
a case status post collision with an iron ball and discuss how to detect
myocardial infarction. We emphasize the importance of careful interview, physical
examination, and electrocardiogram even in seemingly healthy patients. A severe
blow, such as that described, can impair coronary artery flow and may potentially
cause myocardial infarction.
PMID- 29794384
TI - Coronary Artery Perforation During Percutaneous Coronary Intervention in a
Patient with a Prior Modified Bentall Procedure.
AB - The Bentall procedure is a surgical technique for an ascending aortic or aortic
aneurysm in combination with valve disease. A well-known uncommon complication of
after the Bentall procedure is coronary artery stenosis related to coronary
anastomosis of an interposed graft. We report on a 73-year-old woman who
presented with heart failure secondary to graft stenosis of the right coronary
artery 6 months after undergoing a modified Bentall procedure. Percutaneous
coronary intervention (PCI) was performed and type II coronary artery perforation
occurred during PCI of the right coronary artery. We used a perfusion balloon and
achieved hemostasis successfully. We report a case of coronary artery perforation
that was treated with perfusion balloon during PCI in a patient with a prior
modified Bentall procedure. In addition, we present a case series of PCI for
ostial coronary stenosis after the Bentall procedure.
PMID- 29794385
TI - A Case of Pulmonary Hypertension Associated with Idiopathic Hypereosinophilic
Syndrome.
AB - Hypereosinophilic syndrome (HES) is characterized by multi-organ damage that is
associated with tissue hypereosinophilia. A persistently elevated eosinophilic
count is also required for the diagnosis of HES. Although HES affects various
organs, damage to pulmonary artery is rarely reported. We present a case of a 39
year-old man who was diagnosed with pulmonary hypertension (PH) associated with
idiopathic HES. Although the pulmonary arterial hypertension specific drugs
including intravenous epoprostenol could not control his PH, corticosteroid was
effective for both hypereosinophilia and PH. Our case suggests the importance of
steroid therapy as well as specific drugs for pulmonary arterial hypertension in
the treatment of PH associated with HES.
PMID- 29794386
TI - What is the Optimal Strategy for Adaptive Servo-Ventilation Therapy?
AB - Clinical advantages in the adaptive servo-ventilation (ASV) therapy have been
reported in selected heart failure patients with/without sleep-disorder
breathing, whereas multicenter randomized control trials could not demonstrate
such advantages. Considering this discrepancy, optimal patient selection and
device setting may be a key for the successful ASV therapy. Hemodynamic and
echocardiographic parameters indicating pulmonary congestion such as elevated
pulmonary capillary wedge pressure were reported as predictors of good response
to ASV therapy. Recently, parameters indicating right ventricular dysfunction
also have been reported as good predictors. Optimal device setting with
appropriate pressure setting during appropriate time may also be a key. Large
scale prospective trial with optimal patient selection and optimal device setting
is warranted.
PMID- 29794387
TI - Occurrence of Potentially Lethal Arrhythmia due to Sudden Exposure of an Overt
Accessory Pathway 8 Years After Catheter Ablation of a Concealed Accessory
Pathway.
AB - Although the efficacy of catheter ablation of the accessory pathway (AP) has been
established, there are subgroups of APs with an intermittent conduction property,
which is sometimes difficult to diagnose accurately. A 57-year-old man with a
history of catheter ablation was referred to our clinic due to frequent
faintness. He had undergone concealed AP ablation 8 years previously and
bilateral circumferential pulmonary vein isolation (CPVI) 6 years previously.
During regular electrocardiogram monitoring, it was suggested that irregular wide
QRS tachycardia, which was considered to be atrial fibrillation with antegrade AP
conduction, was the cause of the present symptoms. In the present
electrophysiological study, we noticed a residual antegrade AP in the left
lateral wall that was not observed during the previous session. We achieved
abolition of overt accessory conduction, bilateral CPVI, and superior vena cava
isolation with several radiofrequency applications without any recurrence. We
also confirmed the absence of dormant conduction in the AP and the left atrium-PV
connection with 20 mg adenosine triphosphate. This case demonstrated the
possibility of sudden exposure of overt AP conduction late after catheter
ablation of the concealed AP and the importance of confirming the absence of
dormant conduction by means of adenosine triphosphate, which has the potential
benefit of revealing latent AP conduction.
PMID- 29794388
TI - Spontaneous Coronary Artery Dissection Accompanied with Antiphospholipid Syndrome
and Leukemia.
AB - We report a rare spontaneous coronary artery dissection (SCAD) case accompanied
by antiphospholipid syndrome (APS) and leukemia which was treated successfully
with drug-eluted stents (DES) implantation. This young SCAD patient was initially
diagnosed of acute myocardial infarction (AMI); however, except for 6 pack-years
of smoking, there were no risk factors or family history of coronary artery
disease. Subsequently, we screened other clinical status like autoimmune diseases
and finally found APS. In general, APS was associated with thromboembolism
events, not coronary artery dissection. Our case indicated that SCAD could be a
rare manifestation of APS which should draw our attention. In addition, our bail
out therapy acquired the expected effect.
PMID- 29794389
TI - Exacerbated Peri-Stent Contrast Staining on Serial Angiography and Optical
Coherence Tomography After Platinum-Chromium Everolimus-Eluting Stent
Implantation for Infrapopliteal Artery Lesions.
AB - Unlike coronary arteries, little is known about peri-stent contrast staining
(PSS) formation after drug-eluting stent (DES) implantation for infrapopliteal
arteries. Herein, we report exacerbated PSS assessed by serial angiography and
optical coherence tomography (OCT) after platinum-chromium everolimus-eluting
stent (PtCr-EES) implantation for infrapopliteal artery lesions. A 68-year-old
women with recurrent left critical limb ischemia was admitted to our hospital.
Standard endovascular techniques were performed for the popliteal artery (POP)
and tibioperoneal trunk (TPT), but residual stenosis occurred. Therefore, a 4.0 *
38-mm PtCr-EES was placed from the distal POP to TPT using OCT guidance. Ten
months later, the patient was referred to our hospital due to recurrent left leg
rest pain. Angiography showed severe stenosis from the distal POP to the proximal
site of the stent, and diffuse in-stent restenosis (ISR). At the ISR site, stent
fracture and compression were observed and vessel evaginations were newly
detected on OCT. At that time, good angiographic results were obtained by
conventional balloon angioplasty alone. At 6 months follow-up, recurrence of ISR
was suspected on duplex ultrasonography. Angiography showed no significant ISR,
but PSS had markedly exacerbated from focal type to segmental type. OCT showed
exacerbated vessel evagination and in-stent thrombus. The incidence and clinical
impact of PSS after DES implantation in infrapopliteal artery lesions remain
unclear; therefore, careful follow-up may be needed in such cases.
PMID- 29794390
TI - Change of Exhaled Acetone Concentration Levels in Patients with Acute
Decompensated Heart Failure.
AB - Exhaled acetone concentration is one of the expected compounds to be a breath
biomarker in heart failure. However, it has not been clarified how exhaled
acetone concentration changes in clinical course of heart failure.To investigate
whether exhaled acetone concentration changes after treatment in acute
decompensated heart failure (ADHF).This study included 19 patients with ADHF
(ADHF group) and eight patients with stable heart failure (control group).
Exhaled acetone was collected from these patients, and the concentration was
measured with gas chromatography.The ADHF group had higher heart rates (P =
0.046), higher New York Heart Association class (P < 0.001), higher levels of
brain natriuretic peptide (P = 0.026), blood total ketone bodies (P = 0.015), and
exhaled acetone concentration (P < 0.001), compared with the control group. In
ADHF group, exhaled acetone concentration significantly decreased after treatment
(median: 2.40 versus 0.92 ppm, P < 0.001). However, in the control group, exhaled
acetone concentration did not significantly change (median: 0.73 versus 0.49 ppm,
P = 0.141).In these preliminary findings, exhaled acetone concentration in
patients with ADHF drastically decreased by treatment. Serial exhaled acetone
measurement might be useful to evaluate the course of ADHF.
PMID- 29794391
TI - Importance of Early Diagnosis of Cardiac Sarcoidosis in Patients with Complete
Atrioventricular Block.
AB - Our aim is to clarify the factors for early diagnosis of cardiac sarcoidosis (CS)
in patients with complete atrioventricular block (CAVB) and its impact on cardiac
function after corticosteroid therapy.A total of 15 CS patients with CAVB who
underwent corticosteroid therapy were retrospectively analyzed. Patients were
divided into two groups according to the time from the first CAVB onset to the
diagnosis of CS. Clinical characteristics and outcomes were compared between the
early diagnosis group (within 1 year; group E, n = 10) and the late diagnosis
group (over 1 year; group L, n = 5).The history of extracardiac sarcoidosis (60
versus 0%, P = 0.0440) and abnormal findings on echocardiography (70 versus 0%, P
= 0.0256) at the CAVB onset were significantly more frequent in group E than in
group L. The change of left ventricular ejection fraction (LVEF) and brain
natriuretic peptide (BNP) levels was significantly better in group E than in
group L (0.8 +/- 2.8 versus -32.4 +/- 3.9%, P < 0.0001; -11.1 +/- 16.0 versus
161.8 +/- 35.8 pg/mL, P = 0.0013, respectively). After corticosteroid therapy,
the LVEF and BNP levels were also significantly better in group E than in group L
(53.3 +/- 10.7 versus 37.0 +/- 9.3%, P = 0.0128; 63.0 +/- 46.4 versus 458.8 +/-
352.0 pg/mL, P = 0.0027).The diagnosis may be delayed in CS patients with CAVB
without history of extracardiac sarcoidosis. Abnormal findings on
echocardiography contributed to the early diagnosis of CS. Therefore, the
diagnosis of CS may be missed or delayed in patients without them. Time delay
from the CAVB onset to the CS diagnosis may exacerbate the cardiac function.
PMID- 29794392
TI - Effectiveness of Nitroglycerin in Managing Subacute Lung Bleeding Induced by
Balloon Pulmonary Angioplasty.
AB - Lung bleeding (LB) and hemoptysis is a common but life-threating complication of
balloon pulmonary angioplasty (BPA) for chronic thromboembolic pulmonary
hypertension. LBs related to BPA mostly occur acutely during BPA session.
Therefore, it can usually be managed with occlusion balloon or other catheter
based approaches. While LB also develops subacutely after BPA session, the
pharmacological option to subacute LB is currently limited. Here, we present a
case of subacute LB which can be managed with intravenous administration of
nitroglycerin. Nitrate mediated venous dilation can be an effective therapeutic
option in managing LB and hemoptysis after BPA session.
PMID- 29794393
TI - Sequential Grafting of in Situ Skeletonized Left Internal Mammary Artery to the
Left Coronary System.
AB - Sequential grafting may be an effective way to maximize the benefits of the left
internal mammary artery (LIMA) conduit. Despite increasing clinical application,
the strategy of sequential LIMA grafting has not been proven its superiority.
This single-center retrospective study aimed to evaluate the in-hospital and mid
term outcomes of sequential grafting of in situ skeletonized LIMA to the left
coronary system.According to the use of sequential or separate LIMA grafting,
1505 eligible patients were assigned to a sequential group (n = 230) and a
control group (n = 1275). According to sequential LIMA graft configurations,
patients with sequential LIMA grafting were divided into a DOM subgroup (n = 113)
and a DLAD subgroup (n = 117). The clinical outcomes and LIMA graft patency were
investigated and compared.Sequential LIMA grafting compared with separate LIMA
grafting was not an independent predictor either of in-hospital adverse events or
follow-up survival free from repeat revascularization during the follow-up period
of 32.4 +/- 8.5 months. Sequential LIMA grafting had similar LIMA graft patency
with separate LIMA grafting (99.5% of 1st sequential sites and 97.7% of 2nd sites
versus 98.2% of LIMA-LAD grafts) at 32.3 +/- 8.5 months after coronary artery
bypass grafting (CABG) surgery. Additionally, the two subgroups received similar
mid-term clinical outcomes and graft patency of LIMA segments.Sequential grafting
of in situ skeletonized LIMA to the left coronary system resulted in excellent
clinical outcomes and graft patency. The two sequential LIMA graft configurations
received similar clinical outcomes and graft patency.
PMID- 29794395
TI - Neopterin as a Marker of In-Stent Restenosis: to Have or Have Not.
PMID- 29794394
TI - Endovascular Embolization of Coronary Artery-Pulmonary Artery Fistulas with
Double Coronary Aneurysms.
AB - A 75-year-old woman with chest discomfort and a continuous murmur was admitted to
our hospital. During noninvasive examination, computed tomography angiography
showed a coronary artery-pulmonary artery fistula with double giant coronary
aneurysms (one was 42 mm* 32 mm* 32 mm, and the other was 25 mm* 20 mm* 17 mm)
arising from the proximal part of the left anterior descending (LAD) artery.
Stress myocardial scintigraphy showed ischemia at the LAD area. Given her
frailty, the heart team, including cardiac surgeons, judged that surgical
treatment would be difficult. Thus, endovascular embolization for the abnormal
vessels was selected. After coronary angiography, two coronary aneurysms were
embolized by 53 coils, and the feeding artery was embolized by two coils and one
Amplatzer Vascular Plug 4TM. A small pulmonary artery fistula remained after the
procedures; thus, additional embolization was performed 3 months after the index
procedure. Thereafter, angiography showed no flow into the aneurysms, and her
symptoms improved.Endovascular embolization might be an effective treatment to
achieve aneurysm occlusion in patients at high risk for surgical treatment.
Although the present case had double coronary aneurysms with a large feeder
vessel, the combination procedure of coils and vascular plug was able to embolize
this abnormal vessel.
PMID- 29794396
TI - [The 39th Report on Survey of the Adverse Reaction to Radiopharmaceuticals (The
42nd Survey in 2016)].
AB - This survey was performed to investigate the incidence of adverse reactions to
radiopharmaceuticals in FY2016 in Japan. It was based on responses to
questionnaires sent to nuclear medicine institutions. The reply was obtained from
977 institutions among 1,235 to which the questionnaire had been sent. Nine cases
of adverse reactions were reported. A total of 1,052,650 radiopharmaceutical
administrations was reported. The incidence of adverse reactions per 100,000
cases was 0.9. No case of deficient products was reported.
PMID- 29794397
TI - Prefrontal cortex activity during swallowing in dysphagia patients.
AB - Prefrontal cortex activity is modulated by flavor and taste stimuli and changes
during swallowing. We hypothesized that changes in the modulation of prefrontal
cortex activity by flavor and taste were associated with swallowing movement and
evaluated brain activity during swallowing in patients with dysphagia. To
evaluate prefrontal cortex activity in dysphagia patients during swallowing,
change in oxidized hemoglobin (z-score) was measured with near-infrared
spectroscopy while dysphagia patients and healthy controls swallowed
sweetened/unsweetened and flavored/unflavored jelly. Total z-scores were positive
during swallowing of flavored/unsweetened jelly and negative during swallowing of
unflavored/sweetened jelly in controls but negative during swallowing of
sweetened/unsweetened and flavored/unflavored jelly in dysphagia patients. These
findings suggest that taste and flavor during food swallowing are associated with
positive and negative z-scores, respectively. Change in negative and positive z
scores may be useful in evaluating brain activity of dysphagia patients during
swallowing of sweetened and unsweetened food.
PMID- 29794398
TI - In vitro and clinical evaluation of optical coherence tomography for the
detection of subgingival calculus and root cementum.
AB - This study evaluated the effectiveness of swept-source optical coherence
tomography (ss-OCT) for detecting calculus and root cementum during periodontal
therapy. Optical coherence tomography (OCT) images were taken before and after
removal of subgingival calculus from extracted teeth and compared with non
decalcified histological sections. Porcine gingival sheets of various thicknesses
were applied to the root surfaces of extracted teeth with calculus and OCT images
were taken. OCT images were also taken before and after scaling and root planing
(SRP) in human patients. In vitro, calculus was clearly detected as a white-gray
amorphous structure on the root surface, which disappeared after removal.
Cementum was identified as a thin, dark-gray layer. The calculus could not be
clearly observed when soft tissues were present on the root surface. Clinically,
supragingival calculus and cementum could be detected clearly with OCT, and
subgingival calculus in the buccal cervical area of the anterior and premolar
teeth was identified, which disappeared after SRP. Digital processing of the
original OCT images was useful for clarifying the calculus. In conclusion, ss-OCT
showed potential as a periodontal diagnostic tool for detecting cementum and
subgingival calculus, although the practical applications of subgingival imaging
remain limited.
PMID- 29794399
TI - Effect of orthodontic forces on the osteogenic differentiation of human
periodontal ligament stem cells.
AB - The purpose of this study was to evaluate the effects of orthodontic forces (OF)
on the proliferation and differentiation of human periodontal ligament stem cells
(hPDLSCs). The experimental sample consisted of 6 premolars extracted from 2
patients. After application of OF for 1 month, the hPDLSCs were separated from
the primary cultured PDL cells using magnetic-activated cell sorting. The cell
proliferation rate was assessed using a 3-[45-dimethylthiazol-2-yl]-2,5-diphenyl
tetrazolium bromide assay. The hPDLSCs were cultured in osteogenic medium, and
the osteogenic differentiation was analyzed on day 7 and 14 using alkaline
phosphatase staining and reverse transcription polymerase chain reaction
analyses. The gene expression level of osteogenic markers and angiogenic markers
were measured and normalized. The results showed that the application of OF
increased the proliferation rates, the expression of osteogenic factors, and the
expression of angiogenic factors of hPDLSCs. These findings suggest that OF can
serve as a potent positive modulator of proliferation and osteogenic
differentiation of hPDLSCs.
PMID- 29794400
TI - Effect of Atrial Fibrillation on the Incidence and Outcome of Osteoporotic
Fracture - A Nationwide Population-Based Study.
AB - BACKGROUND: Both atrial fibrillation (AF) and osteoporosis are common in older
adults. The purpose of this study was to investigate whether comorbid AF in
patients with osteoporosis is associated with fracture incidence, or death after
fracture.Methods and Results:From the National Health Insurance Service database
of Korea, we selected 31,778 patients with osteoporosis. During a median follow
up of 48 months, the incidence of bone fractures was higher in AF patients than
in non-AF patients (3.20 vs. 2.18 per 100 person-years), respectively. In the
multivariate Cox regression analysis, AF was associated with fracture
independently of other risk factors with an adjusted hazard ratio (HR) of 1.21
(95% confidence interval [CI], 1.02-1.41; P=0.031). The mortality rate after
fracture was significantly higher in AF patients than it was in non-AF patients
(adjusted HR, 1.92; 95% CI, 1.35-3.27; P=0.016). After propensity score-matching,
AF was consistently associated with a higher risk of osteoporotic fracture and
subsequent death after fracture. In AF patients, older age, female sex, being
underweight (body mass index <18.5 kg/m2), decreased physical activity (exercise
<3 times/week), history of stroke or transient ischemic attack, thiazide use,
sedative use, and higher CHADS2(>=2 points) or CHA2DS2-VASc (>=2 points) scores
were associated with the incidence of fractures. CONCLUSIONS: Comorbid AF in
patients with osteoporosis was associated with an increased risk of bone fracture
and death after fracture.
PMID- 29794401
TI - Propensity Score Matched Analysis of Mechanical vs. Bioprosthetic Valve
Replacement in Patients With Previous Stroke.
AB - BACKGROUND: This study compared the long-term outcomes of prosthetic heart valve
replacement with mechanical or bioprosthetic valves in patients with prior
stroke.Methods and Results:In total, 1,984 patients with previous stroke who had
received valve replacement between 2000 and 2011 were identified using the Taiwan
National Health Insurance Research Database. Propensity score matching analysis
was used. Ultimately, 547 patients were extracted from each group and were
eligible for analysis. On survival analysis, the risks of all-cause mortality and
recurrence of stroke were similar. The incidence of major bleeding was greater in
the mechanical valve group than in the bioprosthetic valve group (P=0.040),
whereas no difference was observed in re-do valve surgery. On subgroup analysis,
the bioprosthetic valve was favored for older age (>=60 years) and previous
gastrointestinal (GI) bleeding patients. The mechanical valve, however, was
favored for younger patients (<60 years). CONCLUSIONS: In patients with previous
stroke, bioprosthetic valves had a lower incidence of complications connected to
major bleeding than did the mechanical valves. Survival and stroke recurrence
rates, however, did not differ between the 2 groups. We recommend bioprosthetic
valves for patients >60 years or who have a history of GI bleeding.
PMID- 29794402
TI - Effects of Carperitide on Degree of Pulmonary Congestion in Treatment of Acute
Heart Failure.
AB - BACKGROUND: Carperitide is used to treat acute heart failure (AHF) in Japan.
Whether the degree of pulmonary congestion is associated with the effects of
carperitide on AHF is unclear.Methods and Results:We retrospectively investigated
the in-hospital outcomes and prognoses of 742 patients hospitalized for AHF
between February 2015 and January 2017 and classified them into carperitide and
non-carperitide groups, stratified according to the degree of pulmonary
congestion. The median follow-up duration after admission was 231 days. In
patients with moderate-severe pulmonary congestion, the rate of remaining
congestion on chest X-ray at discharge was lower in the carperitide group than in
the non-carperitide group (1.5% vs. 9.0%, P=0.004). Also, the carperitide group
had significant reduction in a composite of all-cause death or rehospitalization
for HF (adjusted hazard ratio, 0.62; 95% CI: 0.41-0.93; P=0.02). In patients with
no-mild pulmonary congestion, carperitide was not associated with better clinical
outcome. CONCLUSIONS: In the treatment of AHF with moderate-severe pulmonary
congestion, carperitide is associated with more effective decongestion in the
short term and better prognosis in the long term.
PMID- 29794403
TI - BST106 Protects against Cartilage Damage by Inhibition of Apoptosis and
Enhancement of Autophagy in Osteoarthritic Rats.
AB - Chrysanthemum zawadskii var. latilobum (CZ) has been used as a traditional
medicine in Asian countries for the treatment of inflammatory diseases. Recently,
CZ extract was shown to inhibit differentiation of osteoclasts and provide
protection against rheumatoid arthritis. The aim of this study was to investigate
the molecular mechanisms of BST106, the ethanol extract of CZ, for cartilage
protection in monosodium iodoacetate (MIA)-induced osteoarthritis (OA),
particularly focusing on apoptosis and autophagy. BST106 (50, 100, and 200 mg/kg)
was orally administered once daily to MIA-induced OA rats. Swelling, limping,
roentgenography, and histomorphological changes were assessed 28 d after MIA
injection. Biochemical parameters for matrix metalloproteinase (MMP), apoptosis,
and autophagy were also assessed. BST106 ameliorated the severity of swelling and
limping after MIA injection. Roentgenographic and histomorphological examinations
revealed that BST106 reduced MIA-induced cartilage damage. BST106 decreased MIA
induced increases in MMP-2 and MMP-13 mRNA levels. Increased levels of serum
cartilage oligomeric matrix protein and glycosaminoglycan release were attenuated
by BST106. Furthermore, BST106 suppressed the protein expression of proapoptotic
molecules and increased the protein expression of autophagosome- and autolysosome
related molecules. These findings indicate that BST106 protects against OA
induced cartilage damage by inhibition of the apoptotic pathway and restoration
of impaired autophagic flux.
PMID- 29794404
TI - Mongolian Medicine echinops prevented postmenopausal osteoporosis and induced
ER/AKT/ERK pathway in BMSCs.
AB - Hormone replacement medicine such as traditional Chinese medicine has proven to
be effective in decreasing the risk of osteoporosis. Mongolian medicine echinops
prevents osteoporosis, but its mechanism remains unclear. In this study, we
explored the mechanism underlying echinops prevents and treats postmenopausal
osteoporosis. Osteoporosis model was established by ovariectomy in rats. Rats
were treated to Echinops (16.26, 32.5, or 65 mg/kg/day) by oral gavage for 3
months. Bone mineral density (BMD) was detected by micro-CT detection of left
proximal medial metaphyseal tibia. Hematoxylin and eosin (H&E) and toluidine blue
O staining were also performed. Serum levels of E2, ALP and testosterone were
examined. Bone marrow-derived bone marrow stem cells (BMSCs) were isolated and
treated with echinops-containing serum. Estrogen receptors (ER) including ERalpha
and ERbeta in bone specimens and BMSCs were detected by qRT-PCR. Cell viability
and colon formation of BMSCs were detected. Expressions of ERalpha, ERbeta, AKT,
p-AKT, ERK, and p-ERK in BMSCs were detected by western blot. Results showed that
echinops significantly increased trabecular interconnectivity, thickness of
trabeculae, and connection of trabecula. Echinops significantly increased BMD and
E2, but significantly reduced ALP and testosterone in dose-dependent manners.
Echinops induced ERalpha and ERbeta in both bone specimens and BMSCs. Echinops
enhanced cell viability and ability of colony formation of BMSCs, and increased
ERalpha, ERbeta, p-AKT, and p-ERK. Thus, Mongolian echinops reduced bone loss and
delayed the occurrence and development of osteoporosis, and increased ERalpha,
ERbeta, p-AKT, and P-ERK in BMSCs. These results provide experimental basis for
clinical prevention and treatment of postmenopausal osteoporosis by echniops.
PMID- 29794405
TI - Cinobufacini inhibits epithelial-mesenchymal transition of human hepatocellular
carcinoma cells through c-Met/ERK signaling pathway.
AB - Cinobufacini, an aqueous extract from the skins and parotid venom glands of the
toad Bufo bufo gargarizans Cantor, is a well known traditional Chinese medicine
widely used in clinical cancer therapy in China. Its therapeutic effect is
especially pronounced in liver cancer. However, the precise mechanisms induced by
cinobufacini in human hepatocellular carcinoma (HCC) cells are still not very
clear. Here, we investigated the effects and mechanisms of cinobufacini on
inhibiting HepG2 cells invasion and metastasis. Epithelial-mesenchymal transition
(EMT) is identified as an important initiation step for HCC metastasis. After the
HepG2 cells were treated with different concentrations of cinobufacini, the
expression of EMT related E-cadherin was increased while N-cadherin and Vimentin
were decreased, and the expression of EMT related transcription factors Snail and
Twist were decreased. Moreover, the phosphorylation of c-Met was inhibited by
cinobufacini, and the expression of MEK1/2 and ERK1/2, the downstream kinase of
the signal transduction pathway activated by c-Met, also decreased in a dose
dependent manner with cinobufacini. In addition, after the cells were treated
with different concentrations of cinobufacini, there was a significant decrease
in MMP-2 and MMP-9 expression in HepG2 cells. In conclusion, the current study
suggested cinobufacini could prevent HepG2 cells migration and invasion via
inhibiting EMT through c-Met/ERK signaling pathway, which might provide
experimental evidence for cinobufacini treatment of HCC.
PMID- 29794406
TI - Inter-individual Comparison of Gadobutrol and Gadoteridol Tissue Time-intensity
Profiles for Dynamic Susceptibility Contrast Perfusion MR Imaging.
AB - PURPOSE: Gadobutrol is a gadolinium-based contrast material (GBCM) with a high
concentration of gadolinium and high relaxivity. Our purpose was to evaluate the
signal intensity profiles in brain tissue for the bolus width and degree of
signal change after bolus injection using an echo planar dynamic susceptibility
contrast (DSC) sequence. We compared gadobutrol to gadoteridol using various
injection speeds and saline flush volumes. METHODS: We studied 97 patients who
underwent brain MRI. Datasets for perfusion studies were acquired using a 3T
scanner with an echo planar imaging (EPI) sequence. The injection protocols were
set up with combinations of injection speed and saline flush volume for both
gadobutrol and gadoteridol. The full width at half maximum (FWHM) and the maximum
signal change ratio (SCRmax) of the time intensity curves were measured. RESULTS:
The FWHM did not show a statistically significant difference according to
injection speed, flush volume, or type of GBCM. The SCRmax showed a greater
change with a faster injection speed, larger saline flush, and gadobutrol
administration. The difference between gadobutrol and gadoteridol became smaller
with a faster injection speed and a larger saline flush. CONCLUSION: The maximum
signal drop was larger with gadobutrol when the injection speed was slow and the
saline flush was small. Thus, gadobutrol may be useful to obtain a better profile
for DSC perfusion MRI in conditions requiring a slower injection speed and/or a
smaller volume of saline flush.
PMID- 29794407
TI - A Case of Uterine Tumor Resembling Ovarian Sex-cord Tumor (UTROSCT) Exhibiting
Similar Imaging Characteristics to Those of Ovarian Sex-cord Tumor.
PMID- 29794408
TI - Diffusion-weighting Caused by Spoiler Gradients in the Fast Imaging with Steady
state Precession Sequence May Lead to Inaccurate T2 Measurements in MR
Fingerprinting.
AB - Magnetic resonance fingerprinting (MRF) is a promising framework that allows the
quantification of multiple magnetic resonance parameters with a single scan. MRF
using fast imaging with steady-state precession (MRF-FISP) has robustness to off
resonance artifacts and has many applications in inhomogeneous fields. However,
the spoiler gradient used in MRF-FISP is sensitive to diffusion motion, and may
lead to quantification errors when the spoiler moment increases. In this study,
we examined the effect of the diffusion weighting in MRF-FISP caused by spoiler
gradients. The T2 relaxation times were greatly underestimated when large spoiler
moments were used. The T2 underestimation was prominent for tissues with large
values of T2 and diffusion coefficients. The T2 bias was almost independent of
the apparent diffusion coefficient (ADC) and T2 values when the ADC map was
measured and incorporated into the matching process. These results reveal that
the T2 underestimation resulted from the diffusion weighting caused by the
spoiler gradients.
PMID- 29794409
TI - The Effects of Long-Term Dietary Therapy on Patients with Hypertriglyceridemia.
AB - AIM: This study aimed to evaluate the effect of diet on serum lipids and to
assess the effectiveness of long-term dietary therapy for hypertriglyceridemia.
METHODS: Seventy-nine patients (34 males and 45 females) with
hypertriglyceridemia were enrolled and underwent dietary counseling for 12 months
based on the following three recommendations: (1) reduce carbohydrate intake, (2)
increase n-3 polyunsaturated fatty acid (PUFA) intake, and (3) limit alcohol
drinking. We examined the effect of dietary therapy for 6 months on serum
triglyceride (TG) levels and also compared the effectiveness of dietary and
combined drug therapies on preventing arteriosclerotic disease from 7 to 12
months. RESULTS: We observed that serum TG levels of the patients receiving
dietary counseling were decreased compared with baseline at 6 months. Body weight
and serum TG levels were decreased, and serum high-density lipoprotein levels
were increased in the dietary therapy alone group, whereas BW, body mass index,
and abdominal circumference were decreased in the combined drug treatment group
compared with baselines at 6 and 12 months. Furthermore, the dietary therapy
alone group demonstrated reductions in intake of total energy, carbohydrate, and
saturated fatty acids, as well as n-6/n-3 PUFA ratio compared with baselines, but
only n-6/n-3 PUFA ratio was decreased in the combined drug treatment group.
CONCLUSION: This study demonstrated a decrease in serum TG level after 12 months
of dietary therapy similar to drug therapy, which suggests that it is an
effective treatment for hypertriglyceridemia, and heightened awareness should be
made to encourage its use.The clinical trial registration number: UMIN000028860.
PMID- 29794411
TI - Association of N-Terminal Pro B-Type Natriuretic Peptide With Blood Pressure and
Pulse Pressure in Elderly People - A Cross-Sectional Population Study.
AB - BACKGROUND: N-Terminal pro B-type natriuretic peptide (NT-proBNP) is widely used
as a marker of ventricular dysfunction. However, data regarding the association
of NT-proBNP with blood pressure (BP) and pulse pressure (PP) in the elderly
population are limited.Methods and Results:The present cross-sectional study
involved 6,529 participants, aged >=70 years, without cardiovascular disease
(CVD), who underwent general health examinations. Serum NT-proBNP concentrations
were determined, with high NT-proBNP concentrations defined as those >=125 pg/mL.
Subjects were divided into five groups based on PP (<50, >=50 to <60, >=60 to
<70, >=70 to <80, and >=80 mmHg). NT-proBNP was positively associated with
systolic BP, whereas a U-shaped association was found between diastolic BP and NT
proBNP. The odds ratios for high NT-proBNP concentrations in the PP >=80 and >=70
to <80 mmHg groups (OR 1.83 [P<0.001] and 1.40 [P<0.005], respectively) were
significantly higher than in the PP <50 mmHg group. All data were adjusted for
age, sex, body mass index, hemoglobin concentration, serum creatinine, pulse
rate, smoking, alcohol intake, and antihypertensive medication intake, and the
presence of diabetes and dyslipidemia. CONCLUSIONS: The results suggest that NT
proBNP concentrations may be a marker of not only ventricular dysfunction, but
also arterial stiffness in the elderly population without CVD.
PMID- 29794410
TI - Relationship between CCL22 Expression by Vascular Smooth Muscle Cells and
Macrophage Histamine Receptors in Atherosclerosis.
AB - AIM: CCL22, mainly synthesized by monocyte-derived alternative (M2) macrophages,
belongs to the CC family of chemokines and is involved in monocyte migration and
recruitment. We have previously investigated CCL22 and histamine in
atherosclerosis. Here, we investigated the hypothesis that CCL22 is involved in
atherosclerosis, which is influenced by the differentiation of macrophage
phenotypes via histamine. METHODS: CCL22 expression was investigated in human
carotid arteries and coronary arteries with bare metal stents. Ligated carotid
arteries of wild-type (C57BL/6J) and apolipoprotein E-deficient mice were also
used as atherosclerotic models. The localization and expression of CCL22 and
classical (M1)-like and M2-like macrophages in various human and mouse
atherosclerotic lesions were investigated by immunohistochemical examination and
quantitative real-time polymerase chain reaction. Histamine is expressed in
atherosclerosis, and it induces inflammation and immunity. Human- and mice
derived monocytes and macrophages were used to examine the role of histamine in
macrophage differentiation and CCL22-expression. Macrophages derived from
histamine receptor 1 (H1R)- and 2 (H2R)-knockout (KO) mice were also examined.
RESULTS: Atherosclerotic lesions showed a distribution of heterogeneous
macrophage phenotypes with M1-like and M2-like macrophage dominant sites. CCL22
was distributed in sparse areas of vascular smooth muscle cells (VSMCs) and
associated with M2-like macrophages. Moreover, H2R stimulation was associated
with CCL22 expression via M2-like macrophage dominant differentiation.
CONCLUSION: The expression of M1- or M2-like macrophages in atherosclerosis were
observed to be dependent on the distribution of VSMCs owing to differences in
causal stimuli and the switching of histamine receptors via Th1 or Th2 cytokines.
These results suggest that CCL22 may control atherosclerosis.
PMID- 29794412
TI - Validity of a Novel Method for Estimating Low-Density Lipoprotein Cholesterol
Levels in Cardiovascular Disease Patients Treated with Statins.
AB - AIM: The Friedewald equation is the standard method for estimating low-density
lipoprotein cholesterol (LDL-C) levels [LDL-C(F)] and fixes the ratio of
triglyceride (TG) to very LDL-C at 5. However, this has been reported to
underestimate LDL-C, particularly in patients with LDL-C <70 mg/dL. A novel
method for LDL-C estimation [LDL-C(M)] using an adjustable factor instead of a
fixed value of 5 has recently been proposed. The purpose of this study was to
validate LDL-C(M) in Japanese patients with cardiovascular disease (CVD) treated
with statins. METHODS: In 385 consecutive CVD patients treated with statins, LDL
C(M) and LDL-C(F) levels were compared with directly measured LDL-C [LDL-C(D)].
RESULTS: Mean LDL-C(D), LDL-C(F), and LDL-C(M) were 81.7+/-25.5, 76.4+/-24.6, and
79.9+/-24.5 mg/dL, respectively. In all patients, both LDL-C(F) and LDL-C(M) were
significantly correlated with LDL-C(D) [LDL-C(F) vs. LDL-C(D): R=0.974, p<0.001;
LDL-C(M) vs. LDL-C(D): R=0.987, p<0.001]. In patients with LDL-C(D) <70 mg/dL,
LDL-C(M) showed a better correlation with LDLC(D) compared with LDL-C(F) [LDL
C(M) vs. LDL-C(D): R=0.935, p<0.001; LDL-C(F) vs. LDLC(D): R=0.868, p<0.001]. In
contrast, the correlation of LDL-C(D) with LDL-C(M) or LDL-C(F) was similar in
patients with LDL-C(D) >=70 mg/dL. CONCLUSIONS: In Japanese patients with CVD
treated with statins, LDL-C level estimated by this novel method might be more
accurate than those estimated using the Friedewald equation for LDL-C levels <70
mg/dL.
PMID- 29794414
TI - Fulminant type 1 diabetes mellitus in Japanese children and adolescents: multi
institutional joint research of the Japanese Study Group of Insulin Therapy for
Childhood and Adolescent Diabetes.
AB - Fulminant type 1 diabetes mellitus (FT1DM) is a subtype of type 1 diabetes
mellitus characterized by a remarkably abrupt onset. In Japan, FT1DM accounts for
approximately 20% of acute-onset adult type 1 diabetes mellitus cases; however,
reports of pediatric-onset FT1DM are rare. We aimed to determine the frequency
and clinical characteristics of FT1DM in Japanese children and adolescents by
conducting a 2-phase questionnaire survey among the members of the Japanese Study
Group of Insulin Therapy for Childhood and Adolescent Diabetes (JSGIT) regarding
their clinical experience with FT1DM. Responses were obtained from 54 of the 79
participating hospitals (68.4%). Of these, 8 hospitals managed a total of 15
pediatric patients with FT1DM (4 patients in each of 2 hospitals, 2 patients in 1
hospital, and 1 patient in each of 5 hospitals). The distribution of patient age
was biphasic, with peaks in children younger than 5 years and older than 8 years
of age. The clinical characteristics of FT1DM in this population (such as the
duration from onset of symptoms to diagnosis, severity of symptoms, preceding flu
like episodes, and abnormal laboratory data) did not differ from those of
patients with adult-onset FT1DM. The frequency of pediatric-onset FT1DM is low
compared with that of adult-onset FT1DM. The genetic background and
susceptibility patterns of pediatric patients with FT1DM may differ from those
typical of adults with FT1DM, but both age groups share similar clinical
characteristics.
PMID- 29794413
TI - Co-occurrence Network Reveals the Higher Fragmentation of the Bacterial Community
in Kaidu River Than Its Tributaries in Northwestern China.
AB - Rivers and their tributaries sculpt the earth's surface, and play an important
role in substance circulation and energy flow. Bacteria are involved in most
biogeochemical processes in the fluvial ecosystem; however, their pattern
distribution in a river and its tributaries has not yet been investigated in
detail. In the present study, high-throughput sequencing was employed to examine
bacterial communities and their co-occurrence networks between Kaidu River and
its nine tributaries in northwestern China. The results obtained demonstrated
that both bacterial communities shared a similar dominant sub-community, mainly
consisting of Actinobacteria, Bacteroidetes, and Proteobacteria, with
Limnohabitans and Variovorax as the dominant genera. In spite of these
commonalities, bacterial community structures still significantly differed
between these two habitats, which may be related to the distance-related
dispersal limitation. Their co-occurrence networks were generally both positively
structured. The structural analysis showed that OTUs from the same phyla were
more likely to co-occur. Although the keystone genera were taxonomically
different between Kaidu River and its tributaries, they both shared common
trophic properties in exploiting niches under oligotrophic conditions. We noted
that their relative abundances were less than 1%, indicating the over
proportional roles of rare genera in the bacterial community. In addition, the
inferred networks showed less nodes and edges, but higher modularity in Kaidu
River than its tributaries, suggesting the higher fragmentation of the bacterial
community in the mainstream.
PMID- 29794415
TI - Novel Therapeutic Strategies for Solid Tumor Based on Body's Intrinsic Antitumor
Immune System.
AB - The accumulation of mutated somatic cells due to the incompetency of body's
immune system may lead to tumor onset. Therefore, enhancing the ability of the
system to eliminate such cells should be the core of tumor therapy. The intrinsic
antitumor immunity is triggered by tumor-specific antigens (TSA) or TSA
sensitized dendritic cells (DC). Once initiated, specific anti-tumor antibodies
are produced and tumor-specific killer immune cells, including cytotoxic T
lymphocytes (CTL), NK cells, and macrophages, are raised or induced. Several
strategies may enhance antitumor action of immune system, such as supplying tumor
targeted antibody, activating T cells, enhancing the activity and tumor
recognition of NK cells, promoting tumor-targeted phagocytosis of macrophages,
and eliminating the immunosuppressive myeloid-derived suppressor cells (MDSCs)
and Treg cells. Apart from the immune system, the removal of tumor burden still
needs to be assisted by drugs, surgery or radiation. And the body's internal
environment and tumor microenvironment should be improved to recover immune cell
function and prevent tumor growth. Multiple microenvironment modulatory therapies
may be applied, including addressing hypoxia and oxidative stress, correcting
metabolic disorders, and controlling chronic inflammation. Finally, to cure tumor
and prevent tumor recurrence, repairing or supporting therapy that consist of
tissue repair and nutritional supplement should be applied properly.
PMID- 29794417
TI - Extended Applications for Cochlear Implantation.
AB - The indications for cochlear implantation (CI) have expanded over the last few
years. There is evidence that some adult patients with pre- or perilingual onset
of deafness may gain from implantation. Similarly, CI in patients with single
sided deafness may offer significant benefits in terms of quality of life and
social as well as academic development. In this setting, directional hearing may
be restored and speech comprehension, especially in noise, may be optimized. In
patients with intractable tinnitus and profound hearing loss, CI not only
improves speech perception, but also helps to reduce the tinnitus in the deaf
ear.
PMID- 29794416
TI - SKLB060 Reversibly Binds to Colchicine Site of Tubulin and Possesses Efficacy in
Multidrug-Resistant Cell Lines.
AB - BACKGROUND/AIMS: Many tubulin inhibitors are in clinical use as anti-cancer
drugs. In our previous study, a novel series of 4-substituted coumarins
derivatives were identified as novel tubulin inhibitors. Here, we report the anti
cancer activity and underlying mechanism of one of the 4-substituted coumarins
derivatives (SKLB060). METHODS: The anti-cancer activity of SKLB060 was tested on
13 different cancer cell lines and four xenograft cancer models.
Immunofluorescence staining, cell cycle analysis, and tubulin polymerization
assay were employed to study the inhibition of tubulin. N, N '
Ethylenebis(iodoacetamide) assay was used to measure binding to the colchicine
site. Wound-healing migration and tube formation assays were performed on human
umbilical vascular endothelial cells to study anti-vascular activity (the ability
to inhibit blood vessel growth). Mitotic block reversibility and structural
biology assays were used to investigate the SKLB060-tubulin bound model. RESULTS:
SKLB060 inhibited tubulin polymerization and subsequently induced G2/M cell cycle
arrest and apoptosis in cancer cells. SKLB060 bound to the colchicine site of
beta-tubulin and showed antivascular activity in vitro. Moreover, SKLB060 induced
reversible cell cycle arrest and reversible inhibition of tubulin polymerization.
A mitotic block reversibility assay showed that the effects of SKLB060 have
greater reversibility than those of colcemid (a reversible tubulin inhibitor),
indicating that SKLB060 binds to tubulin in a totally reversible manner. The
crystal structures of SKLB060-tubulin complexes confirmed that SKLB060 binds to
the colchicine site, and the natural coumarin ring in SKLB060 enables reversible
binding. CONCLUSIONS: These results reveal that SKLB060 is a powerful and
reversible microtubule inhibitor that binds to the colchicine site and is
effective in multidrug-resistant cell lines.
PMID- 29794419
TI - Stepwise Excavation.
AB - The most recent inspiration for stepwise carious tissue removal in 2 stages
originates from the knowhow on intralesion changes in deep carious lesions. The
environmental change that takes place during the first stage of carious tissue
removal is aiming for the arrest of the deep lesion, by placing a temporary
restoration on top of the soft carious dentine. The cavity is optimised during
the second stage for a final restoration, as potential shrinkage of the retained
dentine may occur during the period of carious dentine arrestment. However, basic
clinical limitations on the objective evaluation of pulp inflammation creates
dilemmas in treating the deep lesions. Also, a global consensus is lacking for
the definition of a so-called deep lesion. Finally, an optimal evidence goal for
choosing the best approach for deep lesion treatment in adults has still not been
fully defined. Taken together, it may not be a surprise that treatment variation
is reported amongst general dental practitioners on deep caries treatment. Here,
facts are presented supporting the treatment, including some drawbacks, as well
as updated guidelines for the procedure. Recent clinical high evidence data from
randomised clinical trials significantly favour the stepwise approach as a
predictable and reliable treatment for well-defined deep carious lesions located
in the pulpal quarter of the dentine in terms of avoiding pulp exposure, keeping
the tooth vital and without the development of apical pathosis.
PMID- 29794418
TI - Proteomics Analysis of Testis of Rats Fed a High-Fat Diet.
AB - BACKGROUND/AIMS: The adverse effects of obesity on male fertility have been
widely reported. In recent years, the relationship between the differential
expression of proteins and long non-coding RNAs with male reproductive disease
has been reported. However, the exact mechanism in underlying obesity-induced
decreased male fertility remains unclear. METHODS: We used isobaric tags for
relative and absolute quantification to identify differential protein expression
patterns in the testis of rats fed a high-fat diet and normal diet. A microarray
based gene expression analysis protocol was used to compare the differences in
long non-coding RNAs in high-fat diet-fed and normal diet-fed rats. Five
obviously upregulated or downregulated proteins were examined using western blot
to verify the accuracy of their expression. Then, we carried out functional
enrichment analysis of the differentially expressed proteins using gene ontology
and pathway analysis. Finally, the metabolic Gene Ontology terms and pathways
involved in the differential metabolites were analyzed using the MetaboAnalyst
2.0 software to explore the co-expression relationship between long non-coding
RNAs and proteins. RESULTS: We found 107 proteins and 263 long non-coding RNAs
differentially expressed between rats fed a high-fat diet and normal diet. The
Gene Ontology term enrichment analysis showed that the protein function most
highly enriched was related to negative regulation of reproductive processes. We
also found five Gene Ontology terms and two metabolic pathways upregulated or
downregulated for both proteins and long non-coding RNAs. CONCLUSION: The study
revealed different expression levels for both proteins and long non-coding RNAs
and showed that the function and metabolic pathways of differently expressed
proteins were related to reproductive processes. The Gene Ontology terms and
metabolic pathways upregulated or downregulated in both proteins and long non
coding RNAs may provide new candidates to explore the mechanisms of obesity
induced male infertility for both protein and epigenetic pathways.
PMID- 29794420
TI - Removing or Controlling? How Caries Management Impacts on the Lifetime of Teeth.
AB - Historically, traditional carious lesion management focused on the importance of
removal of all carious tissue, with little thought to the lesion origins. The
surgical removal of any sign of a carious lesion was prioritised with little, or
no, consideration to pulp vitality, loss of tooth structure, or caries disease
management. This symptomatic approach concentrating on lesions rather than on the
cause of the disease, focused on preventing secondary carious lesion development.
Early detection and improved understanding of the caries process - that lesion
progress can be arrested or slowed - has led to preventive measures and less
destructive management as a focus. The choice of lesion management depends on:
whether a primary or permanent tooth is involved; which tooth surface(s) is/are
involved; whether the lesion is confined to enamel or extends into dentine; the
lesion depth, and lesion cleansability. Use of preventive and minimally invasive
operative strategies is complicated by the lack of predictable ways of recording
lesions' status to allow early detection of failed strategies and early
intervention. Because re-restoration usually makes the cavity larger and,
consequently, the tooth weaker, the clinician should be certain about initiating
the repeat restoration cycle, delaying the first restoration as much as possible.
The 3 main principles that support preventing or slowing the repeat restoration
cycle are: (1) avoid restoration placement until there is no other option; (2)
place them for maximum longevity; (3) if re-restoration is necessary, repair or
refurbishment is preferable to replacement of a defective restoration.
PMID- 29794422
TI - Advances in the Field of Bone Conduction Hearing Implants.
AB - The number of marketed bone-conduction hearing implants (BCHIs) has been steadily
growing, with multiple percutaneous devices and transcutaneous devices now
available. However, studies assessing efficacy often have small sample sizes and
employ different assessment methodologies. Thus, there is a paucity of evidence
to guide clinicians to the most appropriate device for each patient. This paper
outlines audiological guidelines for the latest devices, as well as research from
the most up-to-date clinical trials. We also outline the evidence base for some
potentially contentious issues in the field of bone conduction, including
bilateral fitting of BCHIs in those with bilateral conductive hearing loss as
well as the use of BCHIs in single-sided deafness (SSD). Bilateral fitting of
BCHIs have been found to significantly increase the hearing thresholds in quiet
and improve sound localization, but to give limited benefits in background noise.
Studies conducted via multiple assessment questionnaires have found strong
evidence of subjective benefits for the use of BCHIs in SSD. However, there is
little objective evidence of benefit for SSD patients from sound localization and
speech in noise tests.
PMID- 29794421
TI - Efficacy of the MEK Inhibitor Cobimetinib and its Potential Application to
Colorectal Cancer Cells.
AB - BACKGROUND/AIMS: Mutations in the Ras/Raf/MEK/ERK pathway are detected in 50% of
colorectal cancer cases and play a crucial role in cancer development and
progression. Cobimetinib is a MEK inhibitor approved for the treatment of
advanced melanoma and inhibits the cell viability of other types of cancer cells.
METHODS: HCT116 colorectal cancer cells were treated with cobimetinib, and MTT
assay, colony formation assay, and flow cytometry were used to evaluate cell
viability, cell cycle, and apoptosis, respectively. The expression of genes
associated with the cell cycle and apoptosis were evaluated by quantitative real
time PCR and western blotting. To explore use of cobimetinib in colorectal cancer
treatment and further understand its mechanisms, RNA-seq technology was used to
identify differentially expressed genes (DEGs) between cobimetinib-treated and
untreated HCT116 cells. Furthermore, we compared these DEGs with Gene Expression
Omnibus data from colorectal cancer tissues and normal colonic epithelial
tissues. RESULTS: We found that cobimetinib not only inhibited cell proliferation
but also induced G1 phase arrest and apoptosis in HCT116 colorectal cancer cells,
suggesting that cobimetinib may useful in colorectal cancer therapy. After
cobimetinib treatment, 3,495 DEGs were obtained, including 2,089 upregulated
genes and 1,406 downregulated genes, and most of these DEGs were enriched in the
cell cycle, DNA replication, and DNA damage repair pathways. Our results revealed
that some genes with high expression in colorectal cancer tissues were
downregulated by cobimetinib in HCT116 cells, including CCND1, E2F1, CDC25C,
CCNE2, MYC, and PCNA. These genes have vital roles in DNA replication and the
cell cycle. Furthermore, genes with low expression in colorectal cancer tissues
were upregulated by cobimetinib, including PRKCA, PI3K, RTK, and PKC. Based on
our results, the PKC and PI3K pathways were activated after cobimetinib
treatment, and inhibition of these two pathways can increase the cytotoxicity of
cobimetinib in HCT116 cells. Notably, cobimetinib appeared to enhance the
efficacy of 5-fluorouracil (5-FU) by decreasing TYMS expression, high expression
of which is responsible for 5-FU resistance in colorectal cancer. CONCLUSIONS:
Our results suggest the potential use of cobimetinib in colorectal cancer
therapy.
PMID- 29794423
TI - Pathophysiology of Dental Caries.
AB - Carious lesion dynamics are dependent predominantly on the availability of
fermentable sugars, other environmental conditions, bacteria, and host factors.
Our current understanding of the microorganisms involved in the initiation and
progression of caries is still rather incomplete. The most relevant acidogenic
aciduric bacterial species known to date are Streptococcus mutans,
bifidobacteria, and lactobacilli. Whereas mutans streptococci are initiators,
bifidobacteria and lactobacilli are more enhancers for progression. Boosters for
microbial activity are specific environmental conditions, such as the presence of
fermentable dietary sugars and the absence of oxygen. Based on these conditions,
the necrotic and/or contaminated zone fulfils all criteria for disease
progression and has to be removed. For those deep lesions where the pulp vitality
is not affected, a selective removal of the contaminated leathery dentine should
take place as this approach lowers the risk of regrowth of the few embedded
microbial cells here. In repelling the microbial attack and repairing damage, the
host has developed several ingenious strategies. A major resistance to carious
lesion progression is mounted by the dentine-pulp tissues. The signalling
molecules and growth factors released upon dentine demineralisation upregulate
the odontoblast activity and act as sensor cells. After carious stimulation,
odontoblasts initiate an inflammatory reaction by producing chemokines and
synthesise a protective tertiary dentine. After the destruction of these cells,
the pulp still has a high capacity to synthesise this tertiary dentine thanks to
the presence of adult stem cells within the pulp. Also, in addition to the
systemic regulation, the pulp which is located within inextensible the confines
of the dentine walls has a well-developed local regulation of its inflammation,
regeneration, and vascularisation. This local regulation is due to the activity
of different pulp cell types, mainly the fibroblasts, which secrete soluble
molecules that regulate all these processes.
PMID- 29794426
TI - Hearing Rehabilitation in Congenital Middle Ear Malformation.
AB - Microtia and atresia cause significant conductive hearing loss of up to 60 dB HL.
The bilateral cases suffer from severely restricted communication abilities and
require immediate acoustic stimulation. There is also growing evidence that
unilateral cases benefit from an early and selective stimulation of the affected
side. Hearing restoration can be performed in selected cases of minor
malformation by classic middle ear reconstruction. However, the majority of
patients presumably benefit better from a hearing aid. There are 3 main types:
active middle ear implants, active bone conduction implants and passive bone
conduction implants. All implants improve speech perception, speech recognition,
the signal-to-noise ratio and directional hearing. The extent varies among
implants and requires further studies. Decision making on the implant type
depends on the extent of malformation and hence the preoperative imaging. New
scoring systems provide reliable risk stratification. Second it depends on the
age of the patient. The active middle ear implants provide a selective
stimulation of the affected side and are beneficial if implanted in the first
years of life during the maturation period of the auditory system. In conclusion,
hearing rehabilitation of congenital atresia should be performed as early as
possible. This includes not only the bilateral but also the unilateral affected
patients.
PMID- 29794427
TI - Brain Plasticity and Rehabilitation with a Cochlear Implant.
AB - The functional changes that occur in the brain due to deafness may affect the way
the auditory system processes sound after cochlear implantation. Brain plasticity
plays a crucial role in the success of cochlear implantation to facilitate or
develop spoken language in profoundly deaf individuals. The functional plasticity
that occurs in postlingually deaf adults during periods of deafness can both
support and hinder speech understanding with a cochlear implant, depending on the
nature and degree of functional changes. Evidence so far suggests that the
strategies people use to communicate while deaf may influence whether the
functional changes are adaptive or maladaptive. In the case of children with
congenital deafness, evidence is very strong for a sensitive period in which
auditory input must be restored if subsequent oral language is to be developed
successfully. Successful oral language use and speech understanding in
individuals implanted after 7 years of age depends strongly on the pre-implant
use of hearing aids and auditory-verbal communication. Future research should
focus on how to harness our growing knowledge of brain plasticity to optimize the
outcomes of cochlear implantation in each individual.
PMID- 29794428
TI - Gremlin2 Regulates the Differentiation and Function of Cardiac Progenitor Cells
via the Notch Signaling Pathway.
AB - BACKGROUND/AIMS: The transplantation of cardiac progenitor cells (CPCs) improves
neovascularization and left ventricular function after myocardial infarction
(MI). The bone morphogenetic protein antagonist Gremlin 2 (Grem2) is required for
early cardiac development and cardiomyocyte differentiation. The present study
examined the role of Grem2 in CPC differentiation and cardiac repair. METHODS: To
determine the role of Grem 2 during CPC differentiation, c-Kit+ CPCs were
cultured in differentiation medium for different times, and Grem2, Notch1 and
Jagged1 expression was determined by RT-PCR and western blotting. Short hairpin
RNA was used to silence Grem2 expression, and the expression of cardiomyocyte
surface markers was assessed by RT-PCR and immunofluorescence staining. In vivo
experiments were performed in a mouse model of left anterior descending coronary
artery ligation-induced MI. RESULTS: CPC differentiation upregulated Grem2
expression and activated the Notch1 pathway. Grem2 knockdown inhibited
cardiomyocyte differentiation, and this effect was similar to that of Notch1
pathway inhibition in vitro. Jagged1 overexpression rescued the effects of Grem2
silencing. In vivo, Grem2 silencing abolished the protective effects of CPC
injection on cardiac fibrosis and function. CONCLUSIONS: Grem2 regulates CPC
cardiac differentiation by modulating Notch1 signaling. Grem2 enhances the
protective effect of CPCs on heart function in a mouse model of MI, suggesting
its potential as the rapeutic protein for cardiac repair.
PMID- 29794429
TI - Serum Fas Ligand, Serum Myostatin and Urine TGF-beta1 Are Elevated in Autosomal
Dominant Polycystic Kidney Disease Patients with Impaired and Preserved Renal
Function.
AB - BACKGROUND/AIMS: The pathophysiology of renal disease progression in autosomal
dominant polycystic disease (ADPKD) is largely unknown. Recent evidence suggests
microvascular dysfunction leading to renal ischemia, as an additional pathway for
renal function decline. This study examined the levels of serum Fas ligand
(FasL), serum myostatin and urine transforming growth factor-beta 1 (TGF-beta1)
and their association with markers of endothelial dysfunction, in ADPKD patients
with preserved or impaired renal function. METHODS: Seventy-eight participants
were enrolled in the study, divided in three groups: Group A consisted of 26
ADPKD patients with impaired renal function (eGFR 45-70 ml/min/1.73m2), Group B
of 26 ADPKD patients with preserved renal function (eGFR > 70 ml/min/1.73m2), and
Group C of 26 age- and sex- matched controls with no history of renal disease.
Serum FasL, myostatin and urine levels of TGF-beta1 were measured as biomarkers
of vascular dysfunction, apoptosis and fibrosis with ELISA techniques. RESULTS:
Group A patients had significantly higher levels of FasL (13.12+/-1.69 ng/mL),
myostatin (4.62+/-0.59 ng/mL) and urine logTGF-beta1 (3.56+/-0.49 ng/24h)
compared to Group B (9.6+/-1.28 ng/mL, 3.06+/-0.35, and 2.09+/-0.37,
respectively, p< 0.001 for all comparisons) or controls (6.59+/-1.17 ng/mL,
2.18+/-0.45 ng/ml, and 1.58+/-0.21, respectively, p< 0.001 for all comparisons).
Patients in Group B had also higher levels of all markers compared to controls
(p< 0.001), despite having similar renal function. In ADKPD patients negative
associations of eGFR with FasL (r=-0.799, p< 0.001), myostatin (r=-0.856, p<
0.001) and TGF-beta1 (r=-0.476, p< 0.001) but positive correlations of these
markers with asymmetric dimethylarginine (ADMA) (r=0.825; r=0.749; and r=0.599,
respectively p< 0.001) were noted. Multivariate analysis demonstrated that FasL
was independently associated with high urine TGF-beta1 (OR 3.774, 95%CI 1.180
12.072, p=0.025). CONCLUSIONS: ADPKD patients with moderately preserved renal
function have higher levels of FasL, myostatin and urine TGF-beta1 than controls.
These results indicate that an interplay between endothelial dysfunction and
renal ischemia with mechanisms linked to apoptosis and fibrosis may be present
even in early stages of ADPKD.
PMID- 29794430
TI - Diagnosis of Vertigo and Dizziness Syndromes in a Neurological Outpatient Clinic.
AB - BACKGROUND: Dizziness and vertigo are frequent complaints of outpatients in the
neurological department. Our objective was to explore the epidemiological
category and clinical features of patients with dizziness or vertigo in the
neurological outpatient department of a tertiary hospital. METHODS: We
consecutively recruited all patients with dizziness and/or vertigo visiting the
neurological outpatient clinic of the First Affiliated Hospital of Chongqing
Medical University from January 2016 to June 2017. All patients were interviewed
by 4 neurologists and they completed self-administered questionnaires. General
physical and standardized neuro-otology bedside examinations were performed in
all participants. Instrumental examinations and other related examinations were
prescribed as needed. RESULTS: A total of 392 patients, 272 female and 120 male,
were enrolled and the ratio of males to females was 1: 2.27. The mean age was
52.39 +/- 13.87 years (range 11-90). Elderly patients (>=60 years) accounted for
about one-third of the patients. Peripheral vestibular disorders accounted for
54.6% of patients, central vestibular disorders (including vestibular migraine
[VM]) accounted for 22.4% of patients, psychogenic vertigo in 64 (16.3%), other
reasons in 9 (2.3%) and unknown in 17 (4.3%). Benign paroxysmal positional
vertigo (BPPV; 30.8%), psychiatric dizziness (20.5%), and VM (14.4%) were the 3
major vestibular diseases in patients under 60 years of age; however, BPPV
(27.9%), central vertigo (21.7%), and Meniere's disease (11.7%) were more common
in patients over 60 years of age. CONCLUSIONS: This study provided a
classification and clinical features of vestibular disorders in a neurological
outpatient department of a tertiary hospital in China. The spectrum of vertigo or
dizziness is different between different age groups and clinicians should pay
attention to this difference in clinical reasoning.
PMID- 29794431
TI - The Problem: Relevance, Quality, and Homogeneity of Trial Designs, Outcomes, and
Reporting.
AB - Clinical trials are the cornerstone of evidence-based medicine. By directly
comparing different interventions they produce evidence on their relative
efficacy and effectiveness This, in turn, can inform secondary research and
guideline development to facilitate evidence-based clinical decision making.
However, the quality of evidence stemming from clinical trials is frequently
poor. Here, the pathway of evidence from basic research to the generation of
implementable clinical guidelines will be described. Relevant factors related to
trial design and reporting, such as the choice of trial comparators, outcomes and
outcome measures, will be described and their influence on evidence synthesis
will be discussed. Finally, recommendations on how to improve trials in order to
increase their usefulness for evidence generation will be given.
PMID- 29794434
TI - Hearing Rehabilitation with Active Middle Ear Implants.
AB - Hearing implant technology is evolving at a rapid rate and more than ever
patients with hearing loss are benefiting from these emerging hearing devices.
Active middle ear implants are alternatives to hearing aids and bone conducting
devices, offering patients an expanded range in improving their hearing. This
chapter looks at the devices currently available, their indications and the
literature regarding their outcomes.
PMID- 29794433
TI - Optimizing Ossicular Prosthesis Design and Placement.
AB - Middle ear hearing reconstruction is unpredictable. Difficulties arise because of
host factors, such as ventilation or scarring, surgical technique factors, such
as prosthesis placement and stabilization, and design and mechanical factors
influencing the properties of the prosthesis. Often there is a balancing act
between choosing optimal stability, and maximizing the mechanical vibrations of
the prosthesis. We review our and other investigators' work, in design and ideal
placement of middle ear prostheses. Middle ear prostheses need to be rigid enough
to deliver acoustic forces without bending. Prosthesis mass has a modest effect
at higher frequencies. A key point is that rotational movements of the prosthesis
have to be constrained. Prosthesis head size and cartilage interposition, within
reason, have little effect on vibration transmission. Reconstruction to the
malleus may have some small mechanical advantage; however this is not clearly
proven. Similarly, there is no proven advantage in reconstructing to the stapes
head instead of the footplate. The most important factor for good long term
results is probably the stability of the prosthesis, both to acute inertial
forces such as trauma, and to slower term changes such as tympanic membrane
position and scarring contractures.
PMID- 29794435
TI - Restoring the Carious Lesion.
AB - Restoring carious lesions can be challenging, especially for deep lesions in
proximity to the pulp. A number of factors can influence restoration longevity.
This chapter will discuss aspects that should be considered when restoring
carious lesions. In the first part, factors that might have an effect on the pulp
such as preparation trauma, tooth hypersensitivity, and the use of liners will be
described. In the second part, the challenges and limitations of adhesive bonding
to (sound and carious) dentine will be discussed. Lastly, recommendations on the
suitability of different bonding techniques and restorative materials in
different situations will be given.
PMID- 29794432
TI - Endogenous Hydrogen Sulfide Ameliorates NOX4 Induced Oxidative Stress in LPS
Stimulated Macrophages and Mice.
AB - BACKGROUND/AIMS: Sepsis is a severe and complicated syndrome that is
characterized by dysregulation of host inflammatory responses and organ failure.
Cystathionine-gamma-lyase (CSE)/ hydrogen sulfide (H2S) has potential anti
inflammatory activities in a variety of inflammatory diseases. NADPH oxidase 4
(Nox4), a member of the NADPH oxidases, is the major source of reactive oxygen
species (ROS) and its expression is increased in sepsis, but its function in CSE
mediated anti-inflammatory activities remains unknown. METHODS: Macrophages were
either transfected with CSE, Nox4 siRNA or transduced with lentiviral vector
encoding CSE or Nox4, and then stimulated with lipopolysaccharide (LPS). The
expression of inflammatory mediators and signaling pathway activation were
measured by quantitative PCR (qPCR), ELISA, and immunoblotting. LPS-induced shock
severity in WT, Nox4 knockdown and CSE knockout (CSE-/-) mice was assessed.
RESULTS: Here we showed that CSE and Nox4 were upregulated in macrophage and
mouse in response to LPS. After LPS stimulation, the inflammatory responses were
significantly ameliorated by lentiviral Nox4 shRNA knockdown, but were
exacerbated by lentiviral overexpressing Nox4. Furthermore, Nox4 mediated
inflammation through PI3K/Akt and p-p38 mitogen-activated protein kinase signal
pathway. Notably, CSE knockout served to amplify the inflammatory cascade by
increasing Nox4-ROS signaling activation in septic mice and macrophage.
Similarly, the enhanced production of inflammatory mediators by macrophages was
reduced by CSE overexpression. CONCLUSION: Thus, we demonstrated that CSE/H2S
attenuated LPS-induced sepsis against oxidative stress and inflammation damage
probably largely through mediated Nox4 pathway.
PMID- 29794437
TI - MicroRNA-223 Suppresses Osteoblast Differentiation by Inhibiting DHRS3.
AB - BACKGROUND/AIMS: In this study, we aimed to use bioinformatics tools to identify
the specific miRNAs and mRNAs involved in osteogenic differentiation and to
further explore the way in which miRNA regulates osteogenic differentiation.
METHODS: The microarray GSE80614, which includes data from 3 human mesenchymal
stromal cells (hMSCs) and 3 hMSCs after 72 hours (hr) of osteogenic
differentiation, was used to screen for differentially expressed mRNAs. Gene
ontology (GO) and Kyoto Encyclopedia of Genes and Genomes (KEGG) pathway analyses
of these mRNAs were conducted using Gene Set Enrichment Analysis (GSEA). Then,
the miRanda website was employed to detect the binding sites of DHRS3. In vitro
experiments, including RT-PCR and western blotting, were used to detect miR-233
and DHRS3 expression levels 7 and 14 days (d) after the induction of osteogenic
differentiation using human bone marrow-derived mesenchymal stem cells (hBMSCs).
The target relationship between miR-223 and DHRS3 was confirmed by a dual
luciferase assay. ALP (alkaline phosphatase) staining, ARS (Alizarin Red S)
staining and western blotting (Runx2, OPN, OCN) were used to detect the level of
osteogenic differentiation after transfection with miR-223 mimics and DHRS3 cDNA.
RESULTS: In this study, 127 mRNAs differentially expressed during osteogenic
differentiation were identified in GSE80614. GO term and KEGG pathway enrichment
analyses found that the retinol metabolism pathway was activated during
osteogenic differentiation and that DHRS3, which is involved in the pathway, was
upregulated. During osteogenic differentiation in hBMSCs, miR-223 was gradually
downregulated, while DHRS3 was upregulated. After 14 days of osteogenic
differentiation, ALP and ARS staining assay results showed strong ALP activity
and extracellular matrix calcification with the inhibition of miR-223 or the
overexpression of DHRS3. Furthermore, the expression levels of Runx2, OPN, and
OCN were upregulated with the knockdown of miR-223 or the overexpression of
DHRS3, while the simultaneous transfection of a miR-223 agomir and DHRS3 cDNA
resulted in no significant difference from the negative control (NC) group.
CONCLUSION: The inhibition of miR-223 promotes the osteogenic differentiation of
hBMSCs via the upregulation of DHRS3.
PMID- 29794436
TI - By Activating Akt/eNOS Bilobalide B Inhibits Autophagy and Promotes Angiogenesis
Following Focal Cerebral Ischemia Reperfusion.
AB - BACKGROUND/AIMS: Ischemic stroke is a leading cause of long-term disability. To
date, there is no effective treatment for stroke. Previous studies have shown
that Ginkgo biloba extract has protective effects against neurodegenerative
disorders. In this present study, we sought to test the potential protective role
of an active component of Ginkgo biloba extract, bilobalide, in a rat model of
middle cerebral artery occlusion (MCAO). METHODS: A rat model of MCAO was used to
test the potential protective effects of Bilobalide B on stroke protection. TTC
staining was performed to evaluate infarct size of the brains. Neurological
deficit score was measured to reveal the effects of the treatments on animal
behavior and cognition. Immunohistochemical staining and transmission electronic
microscope analysis were performed to measure the cellular responses to drug
treatment. Western blotting and ELISA were performed. The expression of Cleaved-
Casepase 3, Beclin-1, p62 and LC3I/II were quantified, and the Phosphorylation of
eNOS and Akt were evaluated. The ratio of Bcl-2/ Bax was determined to reveal the
molecular pathways that are involved in the drug treatment. RESULTS: We found
that intraperitoneal delivery of various Bilobalide doses during ischemia can
protect against brain injury, as evidenced by reduced infarct size and improved
neurological scores after surgery. Histochemical analysis revealed that treatment
with bilobalide can significantly reduce apoptosis, autophagy, and promote
angiogeneis following ischemia/reperfusion injury to the brain. The performence
of increased phosphorylation of eNOS and Akt suggested that bilobalide can
activate Akt prosurvival and eNOS pathways to promote cell survival and
angiogenesis, respectively. CONCLUSIONS: Our results suggested that bilobalide
benefits stroke symptoms by reducing cell death pathways and promoting
angiogenesis. As such, bilobalide may be a potential agent for improving self
repair after ischemic stroke.
PMID- 29794438
TI - Acute Cholecystitis Reduces Interstitial Cells of Cajal in Porcine Gallbladder
Through Decreased mRNA Synthesis.
AB - BACKGROUND/AIMS: Acute cholecystitis is a common gastrointestinal disorder, often
characterized by acute cholecystitis with gallbladder motility disorder.
Interstitial cells of Cajal (ICCs) are the pacemaker cells of gut motility in the
gastrointestinal tract. Disruption of ICC function is related to motility
disorders. The aim of this study was to explore the cellular and molecular
mechanisms of ICCs in acute cholecystitis and after the resolution of acute
inflammation. MATERIALS AND METHODS: Fifty adult guinea pigs were randomly
divided into five groups: a sham-administered group (control group); two groups
that were intraperitoneally administered an anti-polyclonal neutrophil (PMN)
antibody 24 h before common bile duct ligation (CBDL); and two groups of guinea
pigs that were subjected to CBDL without receiving the PMN antibody. Guinea pigs
that underwent CBDL were held for 24 h or 48 h after surgery before being
subjected to laparotomy and cholecystectomy. Immunohistochemistry, TUNEL assays,
western blotting, and real-time PCR were performed to determine ICC morphology
and density, to detect ICC apoptosis, and to examine stem cell factor (SCF) and c
kit protein expression and SCF and c-kit mRNA levels, respectively. RESULTS: Both
hematoxylin-eosin staining and histological inflammation scores in the PMN groups
were lower than those in the control groups (P < 0.01). No differences were
observed in ICC morphology between groups. During acute cholecystitis, ICCs
numbers were reduced. Conversely, the density of ICCs increased after
inflammation was relieved (P < 0.01). In addition, SCF and c-kit protein and mRNA
expression levels decreased during acute cholecystitis (P < 0.05) and increased
after inflammation was relieved (P < 0.05). Furthermore, ICC apoptosis increased
during acute cholecystitis and decreased after resolution of acute cholecystitis
(P < 0.01). CONCLUSIONS: In acute cholecystitis, ICC injury may be related to
gallbladder motility disorder.
PMID- 29794439
TI - Clinical Recommendations on Carious Tissue Removal in Cavitated Lesions.
AB - Non-cleansable carious lesions where sealing is no longer an option should be
restored in the vast majority of cases. Prior to restoring the cavity, carious
tissue removal is performed, mainly to increase the longevity of the restoration.
Such removal, however, should not be conducted in a way that the vital pulp is
harmed. This means that in teeth with shallow or moderately deep lesions,
selective removal to firm dentine is recommended, while in deep lesions
(radiographically extending into the pulpal third or quarter of the dentine)
selective removal to soft dentine should be performed. In permanent teeth,
stepwise removal is a possible alternative, while in primary teeth the Hall
Technique can be considered too. To assess carious tissue removal, the hardness
of the dentine should be the primary criterion. Moisture, colour, and additional
parameters (like fluorescence of bacterial porphyrins, etc.) might be used, but
should be critically evaluated towards their validity and patients' benefit.
There is insufficient evidence to recommend a specific single carious tissue
removal method. However, hand or chemomechanical excavation seem useful, as they
reduce pain and discomfort during treatment. Current evidence also does not
support any specific restoration material or (bonding) strategy for restoring
cavities resulting from different carious tissue removal strategies. Prior to
restoring the cavity, cavity disinfection is not recommended any longer.
PMID- 29794442
TI - Sealing Carious Tissue Using Resin and Glass-Ionomer Cements.
AB - One of the most conservative ways to retain tooth structure, preserve pulpal
health, and avoid invasive treatment is to simply completely seal established
carious lesions. A tight seal to isolate the biofilm and arrest the lesion can be
successfully achieved using a sealant material such as resin or glass-ionomer for
non-cavitated lesions, a restorative material for cavitated lesions, or, for
primary teeth, preformed stainless-steel crowns. This chapter focuses on sealants
and restorative materials. Sealant materials are a viable treatment option for
controlling non-cavitated lesions in occlusal and proximal surfaces where there
is no significant breach in the surface integrity of the tooth, even if the
lesion extends into dentine. Resin infiltration can also be used to manage
proximal non-cavitated lesions. Even when the exact depth of lesion that can be
sealed has not been established, evidence supports sealing shallow and moderate
deep lesions into dentine. For cavitated lesions, sealing lesions without carious
tissue removal might be an option when removal of tooth tissue is not required to
provide a hard periphery around the lesion/cavity margin (to enhance bonding or
to increase cavity depth for enough restorative material to be placed to last).
There is little evidence for placing a restorative material over cavitated
carious lesions without tissue removal or preparation. However, where there is a
significant breach in the surface integrity of the tooth but there is still
enough sound tooth tissue to provide bonding for an adhesive restoration, sealing
in carious tissue might still be an option.
PMID- 29794440
TI - Artesunate Attenuates Pro-Inflammatory Cytokine Release from Macrophages by
Inhibiting TLR4-Mediated Autophagic Activation via the TRAF6-Beclin1-PI3KC3
Pathway.
AB - BACKGROUND/AIMS: Lipopolysaccharide (LPS) plays a critical role in excessive
inflammatory cytokine production during sepsis. Previously, artesunate (AS) was
reported to protect septic mice by reducing LPS-induced pro-inflammatory cytokine
release. In the present study, the possible mechanism of the anti-inflammatory
effect of AS was further investigated. METHODS: An enzyme-linked immunosorbent
assay was used to detect TNF-alpha and IL-6 release from macrophages. Specific
small interfering RNAs (siRNAs) were used to knockdown the mRNA expression of
target genes. Transmission electron microscopy and laser confocal microscopy were
used to observe changes in autophagy. Western blotting was performed to detect
the protein levels of tumor necrosis factor receptor-associated factor6 (TRAF6),
Beclin1, phosphatidylinositol 3-kinase class III (PI3KC3), autophagy-related
protein 5 (ATG5), and sequestosome 1. Immunoprecipitation (IP) and fluorescent co
localization were used to detect the interactions between TRAF6-Beclin1 and
Beclin1-PI3KC3, and the ubiquitination of Beclin1. RESULTS: AS inhibited TNF
alpha and IL-6 release from RAW264.7 cells, mouse bone marrow-derived monocytes
(BMDMs) and peritoneal macrophages (PMs) induced by LPS. However, the inhibition
by AS of LPS-induced cytokine release decreased when autophagy was inhibited
using 3-MA, bafilomycin A1, or a siRNA targeting the Atg5 gene. Notably, AS
showed an inhibition of LPS-induced autophagic activation not degradation.
Whereas, these effects of AS were lost in macrophages lacking TLR4 and decreased
in macrophages with down-regulated TRAF6, indicating that AS inhibited LPS
induced cytokine release and autophagic activation via TLR4-TRAF6 signaling.
Western blotting results showed AS could reduce the levels of TRAF6, Beclin1, and
PI3KC3. Importantly, the IP results showed AS only inhibited K63-linked
ubiquitylation not total ubiquitylation of Beclin1 by acting on TRAF6. This
interrupted the TRAF6-Beclin1 interaction and subsequent the formation of Beclin1
PI3KC3 core complex of the PI3K-III complex. CONCLUSION: AS inhibited LPS
induced cytokine release from macrophages by inhibiting autophagic activation.
This effect was tightly related to blockade of the TRAF6-Beclin1-PI3KC3 pathway
via decreasing K63-linked ubiquitination of Beclin1 and then interrupting the
formation of Beclin1-PI3KC3 core complex of the PI3K-III complex. Our findings
reveal the mechanism of AS's anti-inflammatory effect and is significant for
future targeted investigations of sepsis treatment.
PMID- 29794443
TI - New Imaging Modalities in Otology.
AB - Despite steady improvements in cross-sectional imaging of the ear, current
technologies still have limitations in terms of resolution, diagnosis, functional
assessment and safety. In this chapter, state-of-the-art imaging techniques in
current clinical practice are presented including cone-beam computerized
tomography, non-echo planar imaging magnetic resonance imaging, imaging for
labyrinthine hydrops and imaging of the central auditory pathways. Potential
future imaging modalities are also presented, including optical coherence
tomography (OCT) and high-frequency ultrasound (HFUS) of the ear. These
experimental modalities offer new opportunities for the assessment of ear
structure and function. For example, middle ear structures can be visualized
through the tympanic membrane, basilar membrane vibrations can be assessed
through the round window and the passage of cochlear implants can be assessed in
decalcified cochlear. Functional assessment of the middle ear using Doppler
techniques are also discussed, including measurement of tympanic membrane and
middle ear vibration amplitudes, visualization of dynamic changes, such as tensor
tympani movements and movement of the tympanic membrane with breathing. These new
modalities currently have limitations that preclude mainstream clinical use. For
example, OCT is limited by the optical scattering of the thickened tympanic
membrane and HFUS needs a coupling medium such as gel or fluid from the
transducer to the imaged structure although it can visualize through thicker
tissues. Nevertheless, further development of these novel techniques may provide
an enhanced ability to assess the ear in conjunction with current technologies.
PMID- 29794444
TI - Association of Five Snps in Cytotoxic T-Lymphocyte Antigen 4 and Cancer
Susceptibility: Evidence from 67 Studies.
AB - BACKGROUND/AIMS: CTLA-4 polymorphisms are associated with susceptibility to
various cancers, but the results are often conflicting. Hence, we performed a
comprehensive meta-analysis to quantitatively investigate the association between
CTLA-4 polymorphisms (rs231775, rs4553808,rs5742909, rs3087243 or rs733618) and
cancer risk. METHODS: Data were collected from PubMed and Web of Science. A total
of 67 case-control studies were selected for quantitative analysis. Stata
(Version 12) software was used to calculate the odds ratio (OR) and 95%
confidence interval (CI) to evaluate the strength of the associations. Subgroup
meta-analysis was conducted based on ethnicity and cancer type. Heterogeneity
tests, sensitivity analysis, and publication bias assessments were also
performed. RESULTS: rs231775, rs4553808 and rs5742909 but not rs3087243 and
rs733618 were significantly related to cancer risk. In analyses stratified by
ethnicity, both rs231775 and rs4553808 were significant susceptibility
polymorphisms in an Asian population but not in a Caucasian population. Moreover,
there were stronger associations between the rs231775 polymorphism and increased
risk of bone, breast, liver, head and neck and pancreatic cancers. Additionally,
rs4553808 was associated with significantly increased susceptibility to breast
cancer and head and neck cancer. CONCLUSION: rs231775, rs4553808 and rs5742909
may be used as predictive genetic biomarkers for cancer predisposition. Combined
detection of CTLA-4 SNPs could be a useful tool for prediction of cancer
susceptibility in clinical practice.
PMID- 29794445
TI - Removing Carious Tissue: Why and How?
AB - Caries is no longer seen as an infectious disease, and the aim of treating
carious lesions is to control their activity, not to remove the lesion itself.
Such control can be implemented by sealing off the lesion from the environment,
with sealed bacteria being deprived from carbohydrates and thus inactivated. For
cavitated lesions, controlling them usually involves the placement of
restorations to rebuild the cleansability of the surface. In this case, dental
practitioners have traditionally removed carious tissues prior to the
restoration. This has historically been for a number of reasons, while today the
main reason for restoring a cavity is to maximise restoration longevity. In
shallow lesions, dental practitioners should aim to remove as much carious tissue
as possible (to allow adequate depth for the restorative material) without
unnecessarily removing sound or remineralisable dentine. This means removal to
hard dentine around the periphery, to firm dentine centrally for optimising
restoration longevity and allowing a tight cavity seal. For deep lesions in teeth
with vital pulps (without irreversible pulpitis), maintaining pulp vitality is
critical. Dental practitioners should aim to avoid pulp exposure, leaving soft or
leathery dentine in pulpoproximal areas. Peripherally, hard tissue is left, again
to ensure a tight seal and sufficient mechanical support of the restoration. As
an alternative to the selective removal to soft dentine, stepwise removal can be
used. With this approach, the soft dentine is temporarily rather than permanently
sealed in, and removed in a second step after 6-12 months. Strategies where
carious tissue in cavitated lesions is not removed at all, but sealed or managed
non-restoratively, are currently restricted to primary teeth.
PMID- 29794446
TI - Effects of Angiotensin-Converting Enzyme Inhibitors and Angiotensin II Receptor
Blockers on All-Cause Mortality and Renal Outcomes in Patients with Diabetes and
Albuminuria: a Systematic Review and Meta-Analysis.
AB - BACKGROUND/AIMS: Whether angiotensin-converting enzyme (ACE) inhibitors or
angiotensin II receptor blockers (ARB) could benefit patients with diabetes and
albuminuria remains controversial. A systematic review and meta-analysis were
conducted to answer this question by comparing ACE inhibitors or ARB with placebo
among these patients. METHODS: In this meta-analysis, electronic data sources
(Medline, the Cochrane Collaboration, and EMBASE) were searched. Randomized
controlled trials (RCTs) comparing ACE inhibitors or ARB with placebo in subjects
with diabetes and albuminuria (defined as urinary albumin-to-creatinine ratio,
UACR>=30mg/g Cr) were included. Outcomes parameters were all-cause mortality, end
stage renal disease (ESRD), doubling of serum creatinine levels, and
cardiovascular events (CV). RESULTS: Twenty-six RCTs (including 20 for ACE
inhibitors and 6 for ARB) were included, comprising 10378 participants with
diabetes and albuminuria. Compared to placebo, treatment with ACE inhibitors or
ARBs did not reduce all-cause mortality or CV. For renal outcomes, ARBs
significantly reduced the risk of ESRD by 23% (odds ratio 0.77, 95%CI 0.65-0.92),
while ACE inhibitors were not associated with a decreased risk of ESRD (0.69,
0.43-1.10). Both ACE inhibitors and ARBs reduced the risk of doubling of the
serum creatinine level (0.60, 0.39-0.91 for ACE inhibitors; 0.75, 0.64-0.88 for
ARBs), and subgroup analyses for patients with macroalbuminuria or
microalbuminuria showed similar results. CONCLUSION: In patients with diabetes
and albuminuria, ARBs reduced risks of ESRD and doubling of the serum creatinine
level. ACE inhibitors and ARBs failed to reduce all-cause mortality and CV. Based
on the renoprotective effects, ARBs may be preferred for diabetic patients with
albuminuria.
PMID- 29794449
TI - Caries Epidemiology and Its Challenges.
AB - Despite their limitations, caries epidemiology continues to rely predominantly on
visual/tactile indices for detecting and assessing carious lesion-related
conditions. Over the last 4 to 5 decades, the prevalence and severity of dental
caries in primary and permanent dentitions have been reduced in a number of
countries based on the published studies. Despite this achievement, the
prevalence and severity of dental caries remains too high at a world level. Pits
and fissures in occlusal surfaces of first molars and pits in buccal surfaces of
lower first molars are most vulnerable for developing a carious lesion. Dental
caries is a preventable, behavioural/life-style disease that is age related and
life-long. Preventing dental caries should start at mother-and-child clinics in
conjunction with the available educational and health care programmes. Oral
health (caries) epidemiological surveys should be held periodically.
PMID- 29794447
TI - Anti-Inflammatory Effects of Vardenafil Against Cholestatic Liver Damage in Mice:
a Mechanistic Study.
AB - BACKGROUND/AIMS: Phosphodiesterase-5 inhibitors have beneficial effects in
multiple liver diseases possibly through the reduction of oxidative stress and
inflammatory response. However, these effects have not yet been examined in
cholestatic liver dysfunction. Hence, this study aimed to explore the ability of
vardenafil, a known phosphodiesterase-5 inhibitor, to repress lithocholic acid
(LCA)-induced cholestatic liver injury and investigate the possible molecular
pathways. METHODS: Male Swiss albino mice were treated with LCA (0.125 mg/g)
twice daily for 7 days to induce cholestatic liver damage. Vardenafil was
administered 3 days before and throughout the administration of LCA. Serum
markers of hepatotoxicity and hepatic nitro-oxidative stress along with
antioxidant parameters were measured, and the histopathology of liver tissues was
assessed. The expression of nuclear factor erythroid 2-related factor 2 (Nrf2)
and its target genes was examined using PCR. The activation of nuclear factor
kappa-B (NF-kappaB) and the levels of inflammatory cytokines were determined.
NLRP3 inflammasome and its components were studied by PCR and western blot.
RESULTS: LCA induced marked cholestatic liver damage as demonstrated by increased
serum transaminases, alkaline phosphatase (ALP), lactate dehydrogenase (LDH),
bilirubin, and bile acids. Examination of liver specimens confirmed the
biochemical results. Nitro-oxidative stress parameters were significantly
elevated along with reduced antioxidant capacity in hepatic tissue following LCA
administration. LCA suppressed Nrf2 and its target genes and decreased the mRNA
expression and binding capacity of Nrf2 as well as the mRNA expression of GCLm,
GCLc, Nqo1, and HO-1. Additionally, LCA enhanced the activation of NF-kappaB,
which was accompanied by elevations of inflammatory cytokines. Importantly, LCA
induced the activation of NLRP3 inflammasome. LCA increased the expression of
NLRP3, ASC, caspase-1, and IL-1beta genes and proteins in hepatic tissue. The
activities of IL-1beta and caspase-1 were increased in the LCA group.
Interestingly, vardenafil ameliorated LCA-induced hepatic injury and alleviated
all biochemical, histopathological, and inflammatory parameters. CONCLUSIONS:
These data elucidated the effects of Nrf2 inhibition and NLRP3 inflammasome
activation in LCA-induced liver injury. The hepatoprotective activity of
vardenafil in LCA-induced cholestatic damage may result from the drug's ability
to activate Nrf2 signaling and prevent the activation of NLRP3, which could
suppress the inflammatory responses in hepatic tissue. Thus, vardenafil can be
considered a novel anti-inflammatory remedy for cholestatic liver damage.
PMID- 29794448
TI - Icariin Protects against Glucocorticoid-Induced Osteonecrosis of the Femoral Head
in Rats.
AB - BACKGROUND/AIMS: Glucocorticoid (GC)-related osteonecrosis of the femoral head
(ONFH) is a common complication following administration of steroids to treat
many diseases. Our previous study demonstrated that icariin (ICA) might have a
beneficial effect on the bone marrow mesenchymal stem cells (BMSCs) of patients
with steroid-associated osteonecrosis. In this study, we investigated the
underlying mechanisms of ICA associated with the potential enhancement of
osteogenesis and anti-adipogenesis in GC-related ONFH. METHODS: In vitro cell
proliferation was evaluated by CCK-8 assay. Alizarin red S and alkaline
phosphatase (ALP) activity were used to measure osteogenic differentiation, while
adipogenic differentiation was revealed by oil red O staining and TG content
assay. The expression level of osteogenesis-associated genes and PPARgamma was
evaluated by RT-qPCR, western blotting and immunofluorescence. A total of 30
female SD rats were randomly separated into three groups: a control group, a
methylprednisolone (MPS) group and a MPS + ICA group. Serum ALP and TG
(triglyceride), micro-CT scanning, histological and immunohistochemical analyses
were performed in the animal model. RESULTS: In the in vitro study, ICA promoted
proliferation, improved osteogenic differentiation and suppressed adipogenic
differentiation of BMSCs treated with MPS. The group treated with MPS and 10-6 M
ICA expressed higher levels of Runx2, ALP, bone morphogenetic protein (BMP) 2,
and OC and lower expression of PPARgamma than the MPS group. In the in vivo
study, ICA prevented bone loss in a rat model of GC-related ONFH as shown by
micro-CT scanning, histological and immunohistochemical analyses. CONCLUSIONS:
ICA is an effective compound for promoting bone repair and preventing or delaying
the progression of GC-associated ONFH in rats. This effect can be explained by
its ability to improve the balance between adipogenesis and osteogenesis,
indicating that ICA is an effective candidate for management of GC-associated
ONFH.
PMID- 29794451
TI - Intraoperative Auditory System Monitoring.
AB - A new electrophysiological system for intraoperative, continuous, near-real time
monitoring of cochlear nerve function through acoustic stimulation in the ear
canal and recording of the evoked dorsal cochlear nucleus potentials (DNAPs) by a
specially designed DNAP electrode placed directly on the brainstem is described.
The system is denominated "(cerebellopontine angle) CPA Master" and is designed
for hearing preservation surgery in the cerebello-pontine angle, through the
retro-sigmoid or the retro-labyrinthine approach. As an additional novelty within
the field, the system allows intraoperative mapping and thus precise localization
of the cochlear nerve in its entire trajectory from the brainstem to the fundus
of the internal auditory canal, which is a major advance in relation to
atraumatic dissection of the nerve. The system can be used for surgery of all
types of CPA tumors, for example, vestibular schwannomas, meningiomas and
epidermoid cysts, but also for vestibular nerve section and vascular
decompression. The system also allows evaluation of the electrical functionality
of an anatomically intact cochlear nerve in translabyrinthine surgery, thus
predicting the benefit of optional cochlear implantation.
PMID- 29794452
TI - The Future of Cochlear Implant Design.
AB - This chapter discusses the multifaceted future of cochlear implant design.
Current research is focused on novel strategies relating to the electrode array,
aiming to improve the neuronal health and spatial selectivity, and reduce the
power consumption. Future design iterations will most likely improve the neuronal
health by reducing insertion trauma, minimizing the inflammatory pathway that
follows electrode insertion or through the use of neurotrophins or stem cells.
Improvements in spatial selectivity and in speech recognition in difficult
listening environments can be achieved through changes in the electrode/neural
interface. Designing an array that brings the electrodes closer to neural tissue,
or changing the method of stimulation with current steering or even optical or
piezoelectric stimulation are discussed. Increasing the MRI compatibility is an
important consideration, and devices allowing remote programming have a huge
impact on worldwide provision. Technology exists to realize the elusive goal of a
fully implantable cochlear implant, allowing continuous and invisible hearing.
Ultimately, future technologies will be integrated to allow tailoring of implant
design to the individual, thereby addressing the broad variability in user
performance. At the same time, there is an urgent requirement for a high quality,
low cost, mass-produced implant for the developing world.
PMID- 29794453
TI - Atraumatic Restorative Treatment: Restorative Component.
AB - Atraumatic restorative treatment (ART) is a method of managing dental caries
based on 2 pillars: sealants for preventing carious lesions in pits and fissures,
and restorations for cavitated dentine carious lesions. ART uses only hand
instruments for opening/enlarging the cavity and for removing carious tissue. The
amount of carious tissue that should be removed depends mainly on the cavity
depth. In cavities of shallow and medium depth, carious tissue is removed up to
firm dentine. In deep/very deep cavities, in which there is no sign of pulp
exposure, pulp inflammation and/or history of spontaneous pain, some soft dentine
can be left in the pulpal floor/wall with the aim of avoiding pulp exposure. The
ART restorative method is indicated for treating single-surface cavities in
primary and permanent teeth, and in multiple-surface cavities in primary teeth.
Insufficient information is available to conclude on its use for treating
multiple-surface cavities in permanent teeth.
PMID- 29794450
TI - Intravenous Anesthetics Enhance the Ability of Human Bone Marrow-Derived
Mesenchymal Stem Cells to Alleviate Hepatic Ischemia-Reperfusion Injury in a
Receptor-Dependent Manner.
AB - BACKGROUND/AIMS: The degree of hepatic ischemia-reperfusion injury (HIRI) is
highly relevant to the incidence of postoperative liver failure and mortality.
Bone marrow-derived mesenchymal stem cells (BM-MSCs) have been shown to migrate
to the liver and restore the damaged liver. Intravenous anesthetics are commonly
used in the perioperative period; however, it is not yet known whether they have
an influence on the functions of BM-MSCs and eventually affect the recovery of
HIRI. METHODS: A rat model of HIRI and a hypoxia-reoxygenation (H/R) model using
L02 cells were generated, and human BM-MSCs (hBM-MSCs) were injected through the
portal vein or co-cultured with L02 cells in a Transwell system, respectively.
Three intravenous anesthetics, namely, dexmedetomidine, midazolam, and propofol,
were given as pretreatments to hBM-MSCs. Quantitative real-time PCR for growth
factors (HGF, FGF, VEGF, and IGF) and a migration assay were used to detect the
paracrine and migration abilities of hBM-MSCs. NF-kappaB expression was detected
using an immunofluorescence method. Furthermore, three receptor inhibitors,
namely, yohimbine, PK11195, and bicuculline, were given to explore whether the
three anesthetics worked in a receptor-dependent manner. RESULTS: Preconditioning
with dexmedetomidine and midazolam, but not propofol, enhanced the efficacy of
hBM-MSCs in HIRI. Dexmedetomidine and midazolam, but not propofol, changed the
paracrine spectrum and NF-kappaB p65 nuclear translocation of hBM-MSCs co
cultured with L02 cells after H/R injury. All three anesthetics enhanced the
migration ability of hBM-MSCs when cultured in L02 H/R conditioned medium.
However, the addition of receptor antagonists resulted in an opposite tendency.
CONCLUSIONS: The intravenous anesthetics dexmedetomidine and midazolam enhanced
the liver protective effects of hBM-MSCs during HIRI more effectively than
propofol, by binding with their receptors and regulating the paracrine effect,
migration ability, and NF-kappaB p65 nuclear translocation of hBM-MSCs.
PMID- 29794454
TI - The Role of the Eustachian Tube in Middle Ear Disease.
AB - The Eustachian tube is a complex structure connecting the middle ear to the
nasopharynx that contributes to the normal function of the middle ear. Eustachian
tube dysfunction (ETD) is thought to contribute to the majority of middle ear
pathology, although the mechanisms and the degree to which it contributes to
middle ear disease is poorly understood. We describe the anatomy and physiology
of the Eustachian tube, define ETD, discuss the methods for measuring ETD and
describe recent advances in treatment.
PMID- 29794455
TI - Diagnosis and Treatment of Perilymphatic Fistula.
AB - Perilymphatic fistula (PLF) is defined as an abnormal communication between the
fluid (perilymph)-filled space of the inner ear and the air-filled space of the
middle ear and mastoid, or cranial spaces. PLF is located in the round or oval
window, fractured bony labyrinth, microfissures, anomalous footplate, and can
occur after head trauma or barotrauma, chronic inflammation, or in otic capsule
dehiscence. This clinical entity was initially proposed more than a century ago,
yet it has remained a topic of controversy for more than 50 years. The difficulty
of making a definitive diagnosis of PLF has caused a long-standing debate
regarding its prevalence, natural history, management and even its very
existence. In this present study, we will discuss the symptoms, physiological
tests (focusing on vestibular assessment) and imaging studies. Referring to a
previous criticism, we will share our classification of PLF into 4 categories.
Furthermore, we will summarize a nationwide survey using a novel and widely used
biomarker (Cochlin-tomoprotein [CTP]) for PLF diagnosis in Japan and present the
results of the new diagnostic criteria. PLF is surgically correctable by sealing
the fistula, and appropriate recognition and treatment of PLF can improve hearing
and balance, and in turn, improve the quality of life of afflicted patients.
Therefore, PLF is an especially important treatable disease for otologists.
PMID- 29794456
TI - Pharmacological Issues in Hearing Rehabilitation.
AB - Surgery aimed at hearing rehabilitation risks damaging residual inner ear
function, especially cochlear implant surgery. Pharmacological intervention to
reduce this risk has shown great promise in animal models. The challenge is to
deliver medication to the appropriate part of the inner ear in appropriate
concentrations for long enough to be effective. Barriers to achieving these goals
include: the blood/labyrinth barrier, limiting systemic drug delivery to the
inner ear, slow rates of diffusion from the base of the cochlea to the apex,
limiting intratympanic delivery from the middle ear to the cochlear apex, delayed
intracochlear fibrosis, requiring extended medication delivery postoperatively.
Intracochlear drug delivery via a drug-eluting cochlear implantation (CI)
electrode may solve many of these pharmacologic issues. It is likely that more
than one medication will be necessary to maximize inner ear protection and this
may include steroids and appropriate growth factors. Such protection may also be
helpful for otologic surgical procedures other than CI that have lower risks to
hearing.
PMID- 29794457
TI - Management of Cochlear Nerve Hypoplasia and Aplasia.
AB - Approximately 2% of congenital profound deafness cases are due to cochlear nerve
(CN) deficiency. MRI is essential to confirm if the nerve is deficient, but
because of limitations with resolution, especially when the internal auditory
canal is narrowed, it is often unable to distinguish between hypoplasia and
aplasia. A full audiometric test battery should always be performed, even if the
MRI suggests CN aplasia, as there will sometimes be evidence of audition.
Electrically evoked auditory brainstem response testing can be carried out
transtympanically via the round window or using an intracochlear test electrode
to help determine the status of the CN. If any test suggests the presence of a
CN, then cochlear implantation (CI) should be considered. Children should be
followed up closely with audiometric, electrophysiological and language
assessments to determine the benefits. They may initially show benefit but fail
to progress. CI results are variable and often result in poor outcomes with
Categories of Auditory Perception scores of <5. Auditory brainstem implantation
(ABI) can be considered when CI is contraindicated or fails to provide adequate
benefit. This may provide better outcomes, but this form of surgery has greater
risks and future device replacement (in case of device failure) may be
complicated. Careful patient selection is required when considering ABI as
significant learning difficulties make programming extremely challenging.
Patients should be given the option of CI first and then ABI. A small minority of
patients presenting late (around 2-3 years of age) may be candidates for
simultaneous CI and ABI.
PMID- 29794458
TI - No Removal and Inactivation of Carious Tissue: Non-Restorative Cavity Control.
AB - Non-restorative cavity control (NRCC) is a 3-part treatment option for dentine
cavities in the primary dentition, for root carious lesions and cavitated coronal
smooth surface lesions. The first part is that the patient's oral hygiene
procedure/habits improve and involve the exposed dentine. For this, it may be
necessary to expose the cavity (second part) so that it is accessible for the
toothbrush (the lesion exposure method). As long as the dentine carious lesion is
diagnosed as active or there is increased risk that carious lesion activity will
recur, it is advisable to support the treatment with a 38% silver diamine
fluoride (SDF) or a 5% sodium fluoride (NaF) varnish therapy (third part). NRCC
has advantages over traditional restorative therapy as it avoids the stress and
fear initiation that often results from invasive restorative therapy. It avoids a
futile or detrimental repeat restorative cycle when cavity outlines have to be
designed in caries active areas and it increases awareness of people's own
responsibility for oral health and the quality of the oral hygiene procedure.
NRCC has been advocated, particularly in primary dentitions, for patients or
parents/carers who are able to accept responsibility for the disease and commit
to remedial action including diet modification and regular, frequent
toothbrushing with a fluoride toothpaste. It should not be used when immediate
invasive action is required such as in the case of pain, infection, or sepsis, or
where there is no readiness to change behaviours that have led to the development
of the disease in the first place. Professionals who choose NRCC commit
themselves to being critical regarding their educational qualities and to
commence a cycle of quality improvement.
PMID- 29794459
TI - Hearing Rehabilitation in Neurofibromatosis Type 2.
AB - Bilateral vestibular schwannomas are almost pathognomonic of neurofibromatosis
type 2 (NF2). As a result of these tumors, hearing loss is the presenting symptom
in 60% of adults and 30% of children with NF2. It is often bilateral. The best
means of preserving hearing in patients with NF2 is conservative management. Even
so at least 28% of patients have progression of hearing loss following diagnosis.
The likelihood of progression of hearing loss is, at least in part, determined by
the type of mutation. Treatment of vestibular schwannomas often has a detrimental
effect on hearing. Only 41% of patients having stereotactic radiosurgery maintain
their hearing at 5 years. Treatment with bevacizumab maintains medium-term
hearing in 38% and improves it in 48%. Surgery to remove vestibular schwannomas
invariably leads to complete loss of ipsilateral hearing, although in a very
limited number of patients hearing preservation surgery may be possible. For
those that lose their hearing but have an intact cochlear nerve, for example,
conservative management, radiotherapy treatment or cochlear nerve preserving
surgery, cochlear implantation has been shown to be an effective option although
outcomes are not as good as traditional implant candidates (mean sentence testing
scores in quiet: stable untreated tumors 69%; radiotherapy treated tumors 49%;
cochlear nerve preserving surgery ~40%). For those that do not have a functional
cochlear nerve, auditory brainstem implantation (ABI) is an option. The non-user
rate in this group is 13%. The mean sentence score in users with ABI alone is
12%. ABI therefore acts, in most cases, as an aid to lip reading and rarely
provides open set speech discrimination.
PMID- 29794460
TI - Exendin-4 Plays a Protective Role in a Rat Model of Spinal Cord Injury Through
SERCA2.
AB - BACKGROUND/AIMS: Current therapies for spinal cord injury (SCI) have limited
efficacy, and identifying a therapeutic target is a pressing need.
Sarcoplasmic/endoplasmic reticulum Ca2+ ATPase 2 (SERCA2) plays an important role
in regulating calcium homeostasis, which has been shown to inhibit apoptosis.
Exendin-4 has been shown to inhibit the apoptosis of nerve cells in SCI, which
can also improve SERCA2 expression. In this study, we sought to determine whether
exendin-4 plays a protective role in a rat model of SCI via SERCA2. METHODS: To
investigate the effects of exendin-4 on SCI, a rat model of SCI was induced by a
modified version of Allen's method. Spinal cord tissue sections from rats and
western blot analysis were used to examine SERCA2 expression after treatment with
the long-acting glucagon-like peptide 1 receptor exendin-4 or the SERCA2
antagonist 5(6)-carboxyfluorescein diacetate N-succinimidyl ester (CE). Locomotor
function was evaluated using the Basso Beattie Bresnahan locomotor rating scale
and slanting board test. RESULTS: Cell apoptosis was increased with CE treatment
and decreased with exendin-4 treatment. Upregulation of SERCA2 in female rats
with SCI resulted in an improvement of motor function scores and histological
changes. CONCLUSION: These findings suggest that exendin-4 plays a protective
role in a rat model of SCI through SERCA2 via inhibition of apoptosis. Existing
drugs targeting SERCA2 may be an effective therapeutic strategy for the treatment
of SCI.
PMID- 29794461
TI - The CCL5/CCR5 Axis Promotes Vascular Smooth Muscle Cell Proliferation and
Atherogenic Phenotype Switching.
AB - BACKGROUND/AIMS: Hyperlipidemia induces dysfunction in the smooth muscle cells
(SMCs) of the blood vessels, and the vascular remodeling that ensues is a key
proatherogenic factor contributing to cardiovascular events. Chemokines and
chemokine receptors play crucial roles in vascular remodeling. Here, we examined
whether the hyperlipidemia-derived chemokine CCL5 and its receptor CCR5 influence
vascular SMC proliferation, phenotypic switching, and explored the underlying
mechanisms. METHODS: Thoracoabdominal aorta were isolated from wild-type, CCL5
and CCR5 double-knockout mice (CCL5-/-CCR5-/-) fed a high-fat diet (HFD) for 12
weeks. Expression of the contractile, synthetic, and proliferation markers were
assayed using immunohistochemical and western blotting. The effects of CCL5 and
palmitic acid on cultured SMC proliferation and phenotypic modulation were
evaluated using flow cytometry, bromodeoxyuridine (BrdU), and western blotting.
RESULTS: Wild-type mice fed an HFD showed markedly increased total cholesterol,
triglyceride, and CCL5 serum levels, as well as significantly increased CCL5 and
CCR5 expression in the thoracoabdominal aorta vs. normal-diet-fed controls. HFD
fed CCL5-/-CCR5-/- mice showed significantly decreased expression of the
synthetic phenotype marker osteopontin and the proliferation marker proliferating
cell nuclear antigen, and increased expression of the contractile phenotype
marker smooth muscle alpha-actin in the thoracoabdominal aorta vs. wild-type HFD
fed mice. Human aorta-derived SMCs stimulated with palmitic acid showed
significantly increased expression of CCL5, CCR5, and synthetic phenotype
markers, as well as increased proliferation. CCL5-treated SMCs showed increased
cell cycle regulatory protein expression, paralleling increased synthetic and
decreased contractile phenotype marker expression. Inhibition of CCR5 activity by
the specific antagonist maraviroc or its expression using small interfering RNA
significantly inhibited human aortic SMC proliferation and synthetic phenotype
formation. Therefore, CCL5 induces SMC proliferation and phenotypic switching
from a contractile to synthetic phenotype via CCR5. CCL5-mediated SMC stimulation
activated ERK1/2, Akt/p70S6K, p38 MAPK, and NF-kappaB signaling. NF-kappaB
inhibition significantly reduced CCR5 expression along with CCR5-induced SMC
proliferation and synthetic phenotype formation. CONCLUSIONS: Hyperlipidemia
induced CCL5/CCR5 axis activation serves as a pivotal mediator of vascular
remodeling, indicating that CCL5 and CCR5 are key chemokine-related factors in
atherogenesis. SMC proliferation and synthetic phenotype transformation
attenuation by CCR5 pharmacological inhibition may offer a new approach to
treatment or prevention of atherosclerotic diseases associated with
hyperlipidemia.
PMID- 29794462
TI - Long Non-Coding RNA Emergence During Renal Cell Carcinoma Tumorigenesis.
AB - Renal cell carcinoma (RCC) is the most common kidney cancer diagnosed across the
globe and has steadily increased in incidence in recent decades. Techniques for
diagnosing or treating RCC are limited, and confined mostly to later stages of
the disease. Almost all RCC pathological types are resistant to chemotherapeutics
and radiation therapy. To this effect, new markers for diagnosis and target
therapy are urgently needed. Advanced genome sequencing technologies have
revealed long non-coding RNAs (lncRNAs) as a novel marker, transcribed throughout
the human genome. The emergence of lncRNAs is an aberrant expression and is
involved in the tumorigenesis of RCC. LncRNAs drive cancer phenotypes through
their interaction with other cellular macromolecules including DNA, protein, and
RNA. Recent research on lncRNA molecular mechanisms has revealed new markers to
functionally annotate these cancers' associated transcripts, making them targets
for effective diagnosis and therapeutic intervention in the fight against cancer.
In this review, we first highlight the common mechanisms that underlie aberrant
lncRNA expression in RCC. We go on to discuss the potential translational
application of lncRNA research in the diagnosis, prognosis, and treatment of RCC.
PMID- 29794464
TI - Mucinous Adenocarcinomas Histotype Can Also be a High-Risk Factor for Stage II
Colorectal Cancer Patients.
AB - BACKGROUND/AIMS: Colorectal mucinous adenocarcinoma (MA) has been associated with
a worse prognosis than adenocarcinoma (AD) in advanced stages. Little is known
about the prognostic impact of a mucinous histotype on the early stages of
colorectal cancer with negative lymph node (LN) metastasis. In contrast to the
established prognostic factors such as T stage and grading, the histological
subtype is not thought to contribute to the therapeutic outcome, although
different subtypes can potentially represent different entities. In this study,
we aimed to define the prognostic value of mucinous histology in colorectal
cancer with negative LNs. METHODS: Between 2006 and 2017, a total of 4893
consecutive patients without LN metastasis underwent radical surgery for primary
colorectal cancer (MA and AD) in Fudan University Shanghai Cancer Center (FUSCC).
Clinical, histopathological, and survival data were analyzed. RESULTS: The
incidence of MA was 11% in 4893 colorectal cancer patients without LN metastasis.
The MA patients had a higher T category, a greater percentage of LN harvested,
larger tumor size and worse grading than the AD patients (p < 0.001 for each). We
found that MA histology was correlated with a poor prognosis in terms of relapse
in node-negative patients, and MA histology combined with TNM staging may be a
feasible method for predicting the relapse rate. Additionally, MA presented as a
high-risk factor in patients with negative perineural or vascular invasion and
well/moderate-differentiation and showed a more dismal prognosis for stage II
patients. Meanwhile, the disease-free survival was identical in MA and AD
patients after neo- and adjuvant chemotherapy. CONCLUSION: MA histology is an
independent predictor of poor prognosis due to relapse in LN-negative colorectal
cancer patients. Mucinous histology can suggest a possible high risk in early
stage colorectal carcinoma.
PMID- 29794463
TI - Long Noncoding RNA Profiling from Fasciola Gigantica Excretory/Secretory Product
Induced M2 to M1 Macrophage Polarization.
AB - BACKGROUND/AIMS: Long noncoding RNAs (lncRNAs) are well known regulators of gene
expression that play essential roles in macrophage activation and polarization.
However, the role of lncRNA in Fasciola gigantica excretory/secretory products
(ESP)-induced M2 polarization into M1 macrophages is unclear. Herein, we
performed lncRNA profiling of lncRNAs and mRNAs during the ESP-induced macrophage
polarization process. METHODS: F. gigantica ESP was used to induce peritoneal
cavity M2 macrophages in BALB/c mice (5-6 weeks old) in vivo, and these cells
were subsequently isolated and stimulated with IFN-gamma + LPS to induce M1 cells
in vitro. LncRNA and mRNA profiling was performed via microarray at the end of
both polarization stages. RESULTS: In total, 2,844 lncRNAs (1,579 upregulated and
1,265 downregulated) and 1,782 mRNAs (789 upregulated and 993 downregulated) were
differentially expressed in M2 macrophages compared to M1 macrophages, and six
lncRNAs were identified during polarization. We selected 34 differentially
expressed lncRNAs and mRNAs to validate the results of microarray analysis using
quantitative real-time PCR (qPCR). Pathway and Gene Ontology (GO) analyses
demonstrated that these altered transcripts were involved in multiple biological
processes, particularly peptidase activity and carbohydrate metabolism.
Furthermore, coding and non-coding gene (CNC) and mRNA-related ceRNA network
analyses were conducted to predict lncRNA expression trends and the potential
target genes of these lncRNAs and mRNAs. Moreover, we determined that four
lncRNAs and four mRNAs might participate in F. gigantica ESP-induced M2
polarization into M1 macrophages. CONCLUSIONS: This study illustrates the basic
profiling of lncRNAs and mRNAs during F. gigantica ESP-induced M2 polarization
into M1 macrophages and deepens our understanding of the mechanism underlying
this process.
PMID- 29794465
TI - Evolving Roles for Targeting CTLA-4 in Cancer Immunotherapy.
AB - Cytotoxic T lymphocyte-associated antigen-4 (CTLA-4) is a membrane glycoprotein
expressed by activated effector T cells (Teffs) and participates in the
repression of T cell proliferation, cell cycle progression and cytokine
production. Currently, antibodies targeting CTLA-4, ipilimumab and tremelimumab
are widely used as a therapeutic approach in a variety of human malignancies.
However, their detailed mechanism remains unclear. Therefore, in this review, we
focused specifically on recent findings concerning the role of CTLA-4 in immune
response and also discussed clinical studies of targeting CTLA-4, alone or in
combination with other therapies for the treatment of cancers. CTLA-4 blockade is
used as a therapeutic approach for the treatment of cancer through competing with
CD28-positive costimulation for binding to their shared B7 ligands or exhibiting
direct inhibitory effect on signaling molecules in the cytoplasmic tail. At
present, antibodies for targeting CTLA-4 or in combination with other therapies
significantly reinforced the anti-tumor effect and improved the prognosis of
malignant disease. In addition, severe adverse events of targeting CTLA-4 therapy
could be a challenge for the development of this therapeutic strategy. This
review may provide some new insights for clinical studies of targeting CTLA-4.
PMID- 29794467
TI - Caries Excavation: Evidence Gaps.
AB - Dental caries, the most common chronic disease in the world, affects over 3
billion people globally. Its management comprises a large proportion of dental
care providers' clinical responsibility, yet despite the extensive evidence base
for the management of cavitated carious lesions, gaps in the evidence persist.
This promotes uncertainty and debate among providers. This chapter reiterates the
3 key components of evidence-based practice (EBP): clinical expertise, an
awareness and appreciation of patient values, and use of best available evidence.
Secondly, we give a brief summary of current best evidence pertaining to some key
areas of caries excavation in the context of lesion management, and highlight
respective gaps in the evidence. Consideration is given to the state of the
evidence for: how carious-lesion excavation is best achieved, the extent to which
excavation should occur, contemporaneous practice, the timing of dental
intervention in relation to the extent of the disease, and some areas of
contention. Finally, there is discussion around how dental care providers might
proceed when high-quality evidence does not exist to inform that part of the EBP
collective.
PMID- 29794466
TI - Snail/FOXK1/Cyr61 Signaling Axis Regulates the Epithelial-Mesenchymal Transition
and Metastasis in Colorectal Cancer.
AB - BACKGROUND/AIMS: Metastasis is the primary cause of colorectal cancer (CRC)
related death. However, the molecular mechanisms underlying metastasis in CRC
remain unclear. METHODS: We evaluated mRNA and protein expression levels by
quantitative real-time reverse transcription PCR, western blotting,
immunofluorescence, tissue microarrays, and immunohistochemistry assays. We also
assessed the migration and invasion abilities of CRC cells in vitro by wound
healing assays, invasion and migration assays, western blot analysis, and
immunofluorescence. Tumor metastasis was evaluated in nude mice in vivo. RESULTS:
A positive correlation was observed between the expression patterns of Forkhead
box k1 (FOXK1) and Snail in CRC. Luciferase reporter and chromatin
immunoprecipitation assays demonstrated that Snail directly bound to and
activated the human FOXK1 gene promoter. Moreover, the Snail-FOXK1 axis promote
epithelial mesenchymal transition (EMT)-mediated CRC cell invasion and
metastasis. FOXK1 and Snail expression levels were correlated with tumor
progression and served as significant predictors of overall survival in patients
with CRC. Furthermore, overexpression of FOXK1 induced the EMT by upregulating
the expression of cysteine-rich angiogenic inducer 61 (Cyr61). Luciferase assays
showed that Cyr61 was a direct transcriptional target of FOXK1. Down regulation
of Cyr61 decreased FOXK1-enhanced "CRC cell" migration, invasion, and metastasis.
Additionally, FOXK1 expression was positively correlated with Cyr61 expression
and was associated with poor prognosis. CONCLUSIONS: The Snail/FOXK1/Cyr61
signaling axis regulates the EMT and metastasis of CRC.
PMID- 29794469
TI - Sealing Carious Tissue in Primary Teeth Using Crowns: The Hall Technique.
AB - In line with reducing the invasiveness of interventions in permanent teeth and
changes towards more biological approaches, there have also been moves away from
traditional restorative approaches to managing primary teeth where carious
dentine/lesions were completely excised and a restoration placed. The Hall
Technique is a method for managing carious primary molar teeth where a preformed
stainless-steel crown, also known as a preformed metal crown, is seated over a
tooth, sealing in, and not removing carious tissue. This chapter discusses the
rationale behind the Hall Technique, an outline of the clinical procedure to
carry it out, its indications and contraindications, together with the evidence
supporting its use. The Hall Technique has been found to be acceptable to
children and preferred to more invasive treatment options. Like all dental
treatment options, it requires careful case selection, precise carious lesion and
pulpal status diagnosis (clinically and radiographically), good patient
management, and excellent parental cooperation. The Hall Technique has been shown
to be a durable (being likely to last the lifespan of the primary molar) and
economical management option for primary molars with carious lesions, which in
addition offers the benefit of full coronal coverage, reducing the risk of future
carious lesion development. As part of our everyday armamentarium in paediatric
dentistry, the Hall Technique is an effective management option for controlling
carious lesions in primary molars.
PMID- 29794468
TI - Allicin Inhibits Proliferation and Invasion in Vitro and in Vivo via SHP-1
Mediated STAT3 Signaling in Cholangiocarcinoma.
AB - BACKGROUND/AIMS: Cholangiocarcinoma (CCA) is a malignant tumor that is resistant
to chemotherapy, so new therapeutic agents are needed. Allicin which is rapidly
converted from allin by allinase, is one of the most biologically active
compounds in freshly crushed garlic and has been shown to have strong anti-tumor
effects. Our aim was to explore the molecular mechanism by which allicin affects
the cell proliferation and invasion of CCA. METHODS: Cell viability and apoptosis
were measured using the CCK-8 assay, colony formation assay, and flow cytometry.
Cell migration and invasion were evaluated by wound healing and Transwell assays,
respectively. The expression of several proteins involved in cell apoptosis and
invasion were assessed by Western blot. The activation of STAT3 signaling was
detected by Western blot and immunofluorescence staining. The involvement of SHP
1 was determined using small interfering RNA (siRNA). Moreover, a nude mouse
model of human CCA was established to assess the anti-tumor effects of allicin in
vivo. RESULTS: Allicin significantly suppressed CCA cell proliferation by
activating the caspase cascade, inducing apoptosis, and reducing the expression
of proteins downstream of STAT3, such as B-cell lymphoma 2 (Bcl-2), while
upregulating Bcl-2-associated X (Bax) protein. In addition, allicin inhibited the
migration, invasion, and epithelial-mesenchymal transition (EMT) of CCA cells.
Moreover, the protein expression of MMP-2 and MMP-9 was significantly
downregulated in CCA cells treated with allicin compared with CCA cells treated
with control. Mechanistic investigations indicated that allicin upregulated SHP-1
expression in CCA, and pervanadate treatment reversed the allicin-induced
downregulation of STAT3. Moreover, suppression of SHP-1 by siRNA overturned the
effect of allicin on the induction of SHP-1 and inhibition of STAT3 activation.
Additionally, treatment with allicin attenuated tumor growth in the nude mouse
model of CCA. CONCLUSIONS: Our findings suggest that allicin suppresses cell
proliferation and invasion via STAT3 signaling and may be a potential therapeutic
agent for CCA.
PMID- 29794471
TI - Optical Coherence Tomography Angiography in Glaucoma: A Review.
AB - BACKGROUND: Glaucoma is the leading cause of irreversible blindness worldwide.
Several techniques exist for the diagnosis and follow-up of patients. Optical
coherence tomography (OCT) angiography (OCTA) is a recently developed technique
that provides a quantitative assessment of the microcirculation of the retina and
choroid in a fast, noninvasive way. Despite it being a novel technique, several
publications have already been done in the glaucoma field. However, a summary of
findings is currently lacking. AIMS: To perform a literature review to assess the
role of OCTA in glaucoma diagnosis and follow-up. METHODS: A database search was
carried out using MEDLINE, Embase, and Web of Science, including all original
works registered until July 23, 2017. RESULTS: OCTA (1) has a high repeatability
and reproducibility, (2) has good discriminatory power to differentiate normal
eyes from glaucoma eyes, (3) is more strongly correlated with visual function
than conventional OCT, (4) has good discriminatory power to differentiate early
glaucoma eyes from normal eyes (i.e., at least equal to that of OCT), (5) reaches
a floor effect at a more advanced disease stage than OCT, and (6) is able to
detect progression in glaucoma eyes. CONCLUSION: OCTA shows potential to become a
part of everyday glaucoma management.
PMID- 29794472
TI - An Agreed Terminology for Carious Tissue Removal.
AB - Understanding the carious process as a biofilm disease rather than an infectious
disease has changed lesion management focus towards less invasive options. This
has led to new and ongoing changes in recommendations for practitioners. However,
the lack of clarity over what to do, and when, is complicated by different
teaching, research, and policy documents containing different terms and
definitions for carious lesions and management strategies. Lack of clear messages
and communication over recommendations hampers moving evidence into practice. The
International Caries Consensus Collaboration (ICCC) recommendations on
terminology are one part of improving communication for discussing the diagnosis
and management of dental caries and dental carious lesions. The term dental
caries is the name of the disease, its use being limited to situations involving
control of the disease using preventive and noninvasive measures at the patient
level. Carious lesion management should be used where management is directly
related to disease symptoms at the tooth level. As terminology cannot be used to
directly relate the visual appearance of the carious lesion to the
histopathology, the terms have been based around the clinical consequences of the
disease: soft, leathery, firm and hard dentine. The 3 main carious tissue removal
options are described as: (1) selective removal of carious tissue (to both soft
and firm dentine), (2) stepwise removal, and (3) non-selective removal to hard
dentine (previously known as complete removal and no longer recommended). Use of
these terms across clinicians, researchers, dental educators, and even with
patients, will help improve understanding and communication.
PMID- 29794470
TI - Matrix Metalloproteinases-3 Baseline Serum Levels in Early Rheumatoid Arthritis
Patients without Initial Radiographic Changes: A Two-Year Ultrasonographic Study.
AB - OBJECTIVE: To investigate the association of high baseline serum levels of
metalloproteinases-3 (MMP-3) with structural damage to hand and feet joints,
assessed by ultrasonography (US), in patients with early, treatment-naive
rheumatoid arthritis (RA), without initial X-ray-visible erosions, during 24
months follow-up. METHODS: Sixty-three early RA (European League Against
Rheumatism/American College of Rheumatology 2010), disease-modifying anti
rheumatic drugs/glucocorticoid naive patients (mean age 53.4 +/- 14.1) with
symptom duration <=12 months, had baseline serum levels of MMP-3 tested. OMERACT
US group definition was used to detect the presence, as well as longitudinal
diameter of erosions by US at study entry and after 24 months, at the level of
wrists, metacarpophalangeal (MCP2/MCP5) joints of both hands, and fifth
metatarsophalangeal joints. RESULTS: Complete data were collected from 52 out of
63 patients. High baseline serum levels of MMP-3 (MMP-3-positive) were found in
46/63 patients. 122 bone erosions in total (1.9 bone erosions/patients) were
detected by US at baseline visit and 213 erosions (4.3/patients) after 24 months.
MMP-3 positive patients had significantly higher total number of erosions than
MMP-3-negative (p = 0.039) and higher increase in size of bone erosions in the
feet but not in the hand joints after follow-up (OR 4.82 [1.23-18.9], p = 0.024;
OR 1.17 [0.320-4.26], p = 0.816 respectively). CONCLUSION: After 2 years of
follow-up, US assessment showed a higher number of new bone erosions in MMP-3
positive compared to MMP-3-negative patients with early RA and no visible initial
radiographic changes. High baseline levels of MMP-3 predict significantly higher
structural damage progression at the level of feet, but not at the level of hand
joints.
PMID- 29794473
TI - MiR-27b Impairs Adipocyte Differentiation of Human Adipose Tissue-Derived
Mesenchymal Stem Cells by Targeting LPL.
AB - BACKGROUND/AIMS: In this study, the molecular mechanisms of miR-27b and
lipoprotein lipase (LPL) that regulate human adipose-derived mesenchymal stem
cells (hASCs) adipogenic differentiation were detected. METHODS: Microarray
analysis was applied to screen for differentially expressed miRNAs and mRNA
during hASCs adipocyte differentiation induction. MiR-27b and LPL were found to
have abnormal expression. Then, a dual luciferase reporter assay was employed to
validate the targeting relationship between miR-27b and LPL. We also utilized qRT
PCR, western blot, cellular immunofluorescence and an oil red O staining assay to
analyze the regulation of miR-27b and LPL during adipogenic differentiation.
RESULTS: The microarray analysis demonstrated that, during adipogenic
differentiation, miR-27b was down-regulated, while LPL was up-regulated but
tended to become stable 14 days after induction. A dual luciferase reporter assay
confirmed the negative targeting regulatory relationship between miR-27b and LPL.
After overexpressing and silencing miR-27b, LPL was found to be reversely
regulated by miR-27b according to qRT-PCR and western blot. The fat-formation
related biomarkers CCAAT-enhancer binding protein alpha (c/EBPalpha) and
peroxisome proliferator-activated receptors gamma (PPARgamma) had decreasing
levels after over-expressing miR-27b or knockdown of LPL followed by adipogenic
differentiation. Meanwhile, the oil red O staining assay revealed that the
accumulation of lipid droplets decreased. There was no change in the expression
of c/EBPalpha, PPARgamma, or lipid droplet accumulation when overexpressing miR
27b and LPL. CONCLUSION: During the adipogenic differentiation of hASCs, miR-27b
expression decreased, and LPL expression increased. The abnormal expression of
miR-27b and LPL effectively regulated the adipogenic differentiation of hASCs.
PMID- 29794475
TI - Selective Removal of Carious Tissue.
AB - Describing and quantifying how much carious tissue should be removed prior to
placing a restoration has been a long-debated issue stretching back as far as
G.V. Black's "complete caries removal," now known as non-selective carious tissue
removal. Originating in the 1960s and 1970s, from the differentiation between
different layers of carious dentine, an outer contaminated ("infected") layer and
an inner demineralised ("affected") layer, the former of which needed to be
removed during cavity preparation and the latter not, selective carious tissue
removal was born. Currently, it is termed selective removal to firm dentine. This
chapter describes different selective carious tissue removal techniques (to firm,
to leathery, to soft dentine) and how they can be achieved appropriately with
conventional and novel techniques. Selective removal to firm dentine is
recommended for shallow or moderately deep lesions, while for deep lesions
(extending close to the pulp) in teeth with vital pulps, selective removal to
soft dentine is recommended to avoid pulpal exposure and to preserve the health
of the pulp. Leaving soft carious dentine beneath a restoration does, however,
raise certain issues regarding how we truly assess pulpal health, what would
other dental practitioners think if the patient moved practice, and how do we
monitor such sealed residual caries in the future. These issues will all be
discussed in this chapter but should at present not preclude dental practitioners
from adopting such a minimally invasive evidence-based approach to carious tissue
removal.
PMID- 29794474
TI - Stabilization of Slug by NF-kappaB is Essential for TNF-alpha -Induced Migration
and Epithelial-Mesenchymal Transition in Head and Neck Squamous Cell Carcinoma
Cells.
AB - BACKGROUND/AIMS: Slug protein, a transcription factor for the induction of
epithelial-mesenchymal transition (EMT) and cancer cell invasion and metastasis,
is frequently upregulated in human epithelial cancers. However, mutation of this
gene in cancer is rare, and the mechanism of its dysregulation remains unknown,
especially in head and neck squamous cell carcinoma (HNSCC). METHODS: We examined
the role of TNF-alpha in the stabilization of Slug by immunoprecipitation
westernblot analysis. Migration of HNSCC cells with or without knockdown of Slug
gene expression was assayed by a wound healing assay. Immunohistochemical
staining analysis was used to measurement Slug levels in both normal and HNSCC
tumor tissues. RESULTS: The inflammatory cytokine TNF-alpha stabilized Slug
protein by inhibiting its ubiquitination through the NF-kappaB pathway.
Inhibition of NF-kappaB or knockdown of p65 abrogated the TNF-alpha-induced
stabilization of Slug. Knockdown of Slug expression inhibited cancer cell
migration and EMT characteristics induced by TNF-alpha. Moreover, increased
levels of Slug were found to correlate with lymph node metastasis and predict
poor prognosis in patients with HNSCC. CONCLUSIONS: NF-kappaB-mediated
stabilization of Slug underlies the inflammation-induced EMT and metastasis in
HNSCC, which may serve as a therapeutic target for metastatic HNSCC.
PMID- 29794477
TI - Evidence-Based Deep Carious Lesion Management: From Concept to Application in
Everyday Clinical Practice.
AB - This chapter aims to discuss the way dental practitioners manage deep carious
lesions (DCL) in routine practice and the barriers and incentives/facilitators to
changes in accepted practice. In concert with the philosophy of minimal
intervention dentistry, the concept of quaternary prevention (actions taken to
prevent overtreatment and reduce harm to the patient) emerges in dentistry. This
can be applied to carious tissue removal (CTR) in DCL given the risks associated
with this procedure (high risk of pulp exposure, pulpal complications, tooth
substance loss, and unsuccessful invasive, expensive outcomes). Recently
conducted questionnaire surveys show that a gap exists between research findings
and professional practices in relation to DCL management. It is, therefore,
important to identify the barriers to the implementation of evidence-based
dentistry into dental practices for the management of DCL in order to create
appropriate corrective interventions to encourage practitioners in the use of
selective CTR as a procedure.
PMID- 29794476
TI - Integrative Analysis of DNA Methylation and Gene Expression Identify a Three-Gene
Signature for Predicting Prognosis in Lower-Grade Gliomas.
AB - BACKGROUND/AIMS: In the current study, we performed an integrated analysis of
genome-wide methylation and gene expression data to find novel prognostic genes
for lower-grade gliomas (LGGs). METHODS: First, TCGA methylation data were used
to identify prognostic genes associated with promoter methylation. Second,
candidate genes that were stably regulated by promoter methylation were explored.
Third, Cox proportional hazards regression analysis was used to generate a
prognostic signature, and the signature genes were used to construct a survival
risk score system. RESULTS: Three genes (EMP3, GSX2 and EMILIN3) were selected as
signature genes. These three signature genes were used to construct a survival
risk score system. The high-risk group exhibited significantly worse overall
survival (OS) and relapse-free survival (RFS) as compared to the low-risk group
in the TCGA dataset. The association of the three-gene prognostic signature with
patient' survival was then validated using the CGGA dataset. Moreover, Kaplan
Meier plots showed that the three-gene prognostic signature risk remarkably
stratified grade II and grade III patients in terms of both OS and RFS in the
TCGA cohort. There was also a significant difference between the low- and high
risk groups in IDH wild-type glioma patients, indicating that the three-gene
signature may be able to help in predicting prognosis for patients with IDH wild
type gliomas. CONCLUSION: We identified and validated a three-gene (EMP3, GSX2
and EMILIN3) prognostic signature in LGGs by integrating multidimensional genomic
data from the TCGA and CGGA datasets, which may help in fine-tuning the current
histology-based tumors classification system and providing better stratification
for future clinical trials.
PMID- 29794478
TI - Carious Lesion Diagnosis: Methods, Problems, Thresholds.
AB - The difference between carious lesion diagnosis and carious lesion detection is
discussed here. Concerning carious lesions, 3 diagnostic requirements should be
fulfilled: to detect lesions, to assess surface integrity, and to assess lesion
activity to support clinical decision making. The first and most important
diagnostic method is meticulous visual-tactile inspection. This is the only
method that potentially contributes to all 3 diagnostic requirements. All other
methods that are presented in this chapter, i.e., bitewing radiography,
fluorescence-based technologies, and transillumination methods, are limited to
assessing lesion depth in surfaces that are not visible to the eye and thus
contribute to lesion detection only. At the end of the chapter, recent
developments in objective lesion activity assessment are presented.
PMID- 29794479
TI - Hearing Preservation Cochlear Implant Surgery.
AB - Cochlear implantation (CI), with attempted preservation of residual natural low
frequency hearing, is an increasingly accepted application of this technology,
with potential benefits to the patient when listening in noise and in music
appreciation. The full extent of the benefits of combining preserved natural
hearing and electrical stimulation remain under evaluation. Various factors
appear to influence the success of hearing preservation (HP) during CI, including
electrode design, surgical approach, insertional trauma, steroid usage and
patient factors. Currently, combining or contrasting outcomes of CI with
attempted HP is difficult due to the use of heterogeneous outcome measures. Until
we have a full understanding of the benefits of preserving residual low-frequency
hearing during CI, there is merit in attempting to preserve all residual hearing.
Likewise, preserving residual low-frequency hearing is a useful surrogate marker
for atraumatic electrode design and insertion.
PMID- 29794481
TI - Physiological Responses and Gene Expression in Ultrasound-Guided Supraclavicular
Brachial Plexus Block: a Comparative Study.
AB - BACKGROUND/AIMS: Ultrasound-guided supraclavicular brachial plexus block (BPB)
has come into wider use as a regional anesthetic during upper limb operations.
This study assessed the neurological and hemodynamic changes and gene expression
after co-administration of midazolam or neostigmine with bupivacaine during
supraclavicular BPB. METHODS: The study involved 90 adults divided into three
groups: control (bupivacaine), midazolam (bupivacaine plus midazolam), and
neostigmine (bupivacaine plus neostigmine). Blood samples were taken and
interleukin-6 (IL-6) and tumor necrosis factor-alpha (TNF-alpha) mRNA levels were
measured by real-time PCR, and oxidative stress markers were identified. In
addition to the hemodynamic variables, the onset and duration of sensory and
motor blockades, duration of analgesia, pain scores, time of first request for an
analgesic, and amounts of analgesics ingested were evaluated. RESULTS: Compared
with the control and neostigmine groups, the midazolam group experienced longer
sensory and motor blockades, prolonged analgesia, lower pain scores at 12 h and
24 h, and lower need for postoperative analgesics. Moreover, the midazolam group
exhibited lower oxidative stress markers with a higher fold change in IL-6 and
TNF-alpha mRNA levels. CONCLUSION: Midazolam co-administered with bupivacaine
provided better analgesic quality than did neostigmine with bupivacaine. This
might be due to its superior antioxidant and anti-inflammatory effects.
PMID- 29794483
TI - Dual-Positive CD4/CD8 Primary Cutaneous Peripheral T-Cell Lymphoma Previously
Classified as Mycosis Fungoides a Tumor D'Emblee.
AB - Cutaneous peripheral T-cell lymphoma, not otherwise specified represents a "waste
basket" of all cases that cannot be put into another of the categories of mature
cutaneous T-cell lymphoma. Previously, the sudden multifocal development of
cutaneous CD4 tumors without preceding a patch or plaque stage was classified as
d'emblee form of mycosis fungoides (MF). Currently, the term "MF" reserved only
for the classic Alibert-Bazin type characterized by the evolution of patches,
plaques, and tumors or for variants showing a similar clinical course. The
authors describe a 75-year-old white woman who presented with a solitary skin
tumor in the right supraclavicular region, with no lymph node or systemic
involvement. Local external beam radiation treatment resulted in a complete
response. The patient relapsed after 5 months with new tumors in the left neck
and left upper chest. Biopsy of the lesions showed a dermal infiltrate of
atypical small- to medium-sized T-lymphocytes, and immunohistochemical staining
showed coexpression of CD4/CD8 in a subset of these cells, which was confirmed
with flow cytometry of the tumor. Although the patient had no preceding patch or
plaque stage, the authors herein report this extremely rare case of CD4/CD8 dual
positive peripheral T-cell lymphoma, not otherwise specified presented as MF
d'emblee and discuss the seldom similar cases published previously.
PMID- 29794480
TI - Interaction of E3 Ubiquitin Ligase MARCH7 with Long Noncoding RNA MALAT1 and
Autophagy-Related Protein ATG7 Promotes Autophagy and Invasion in Ovarian Cancer.
AB - BACKGROUND/AIMS: Ubiquitin E3 ligase MARCH7 plays an important role in T cell
proliferation and neuronal development. But its role in ovarian cancer remains
unclear. This study aimed to investigate the role of Ubiquitin E3 ligase MARCH7
in ovarian cancer. METHODS: Real-time PCR, immunohistochemistry and western
blotting analysis were performed to determine the expression of MARCH7, MALAT1
and ATG7 in ovarian cancer cell lines and clinical specimens. The role of MARCH7
in maintaining ovarian cancer malignant phenotype was examined by Wound healing
assay, Matrigel invasion assays and Mouse orthotopic xenograft model. Luciferase
reporter assay, western blot analysis and ChIP assay were used to determine
whether MARCH7 activates TGF-beta-smad2/3 pathway by interacting with TGFbetaR2.
RESULTS: MARCH7 interacted with MALAT1 by miR-200a (microRNA-200a). MARCH7 may
function as a competing endogenous RNA (ceRNA) to regulate the expression of ATG7
by competing with miR-200a. MARCH7 regulated TGF-beta-smad2/3 pathway by
interacting with TGFbetaR2. Inhibition of TGF-beta-smad2/3 pathway downregulated
MARCH7, MALAT1 and ATG7. MiR-200a regulated TGF-beta induced autophagy, invasion
and metastasis of SKOV3 cells by targeting MARCH7. MARCH7 silencing inhibited
autophagy invasion and metastasis of SKOV3 cells both in vitro and in vivo. In
contrast, MARCH7 overexpression promoted TGF-beta induced autophagy, invasion and
metastasis of A2780 cells in vitro by depending on MALAT1 and ATG7. We also found
that TGF-beta-smad2/3 pathway regulated MARCH7 and ATG7 through MALAT1.
CONCLUSIONS: These findings suggested that TGFbetaR2-Smad2/3-MALAT1/MARCH7/ATG7
feedback loop mediated autophagy, migration and invasion in ovarian cancer.
PMID- 29794484
TI - Nevus Trichilemmocysticus: a Mild Case.
PMID- 29794485
TI - Alternatives for Peripheral Nerve Repair.
PMID- 29794482
TI - Add-On Effect of Angiotensin Receptor Blockade (Candesartan) on Clinical
Remission in Active IgA Nephropathy Patients Treated with Steroid Pulse Therapy
and Tonsillectomy: a Randomized, Parallel-Group Comparison Trial.
AB - BACKGROUND/AIMS: Angiotensin receptor blockers (ARBs) may be beneficial for
clinical remission during conventional therapy with tonsillectomy and steroid
pulse (TSP) for active IgA nephropathy. METHODS: Seventy-seven patients with
active IgA nephropathy were randomly assigned to the control arm with
conventional regimen (TSP followed by oral prednisolone) (n = 37) or the ARB arm
with conventional regimen plus ARB candesartan for the first 6 months (n = 40).
Patients not achieving proteinuria remission at 12 months in either arm were
administered candesartan, which was titrated until the 24-month follow-up. The
primary endpoints were remission of proteinuria (< 0.3 g/gCr) and hematuria at 12
months. RESULTS: Baseline proteinuria (g/g Cr) were comparable between the
control and ARB arm (1.02 vs. 0.97, P = 0.97). Similarly, cumulative remission
rates at 6, 12, and 24 months were comparable between the control and ARB arms
(37.8% vs. 35% [P = 0.80], 48.7% vs. 38.5% [P = 0.37], 71.4% vs. 51.3% [P =
0.08]). Proteinuria, which was slightly worse in the control arm than in the ARB
arm at 6 months, was comparable afterwards (0.20 vs. 0.23 g/g Cr at 12 months;
0.12 vs. 0.13 g/g Cr at 24 months). Significant reductions observed in urinary
angiotensinogen were almost comparable between the two treatment arms at both 6
and 12 months. CONCLUSION: Early candesartan treatment combined with TSP may not
benefit clinical remission regardless of the blood pressure. ARB titration later
during the treatment might provide benefit for patients with active IgA
nephropathy.
PMID- 29794486
TI - Isolated Iliac Crest Tuberculosis: A Case Report.
AB - CASE: Following an insidious onset of symptoms, a 29-year-old man who had no risk
factors for tuberculosis was diagnosed with tuberculosis of the iliac crest. The
red flag in this case was the patient's progressive deterioration despite a
variety of antibiotic regimens. Histopathologic demonstration of necrotic
granulomatous inflammation and a positive culture led to the diagnosis. A
combination of surgery and antitubercular-drug therapy resulted in clinical
recovery. CONCLUSION: Iliac crest tuberculosis represents <1% of all skeletal
tuberculosis cases. Even in the absence of classic symptoms and risk factors,
orthopaedic surgeons should maintain a low threshold for tuberculosis in the
differential diagnosis.
PMID- 29794487
TI - Use of a Total Shoulder Arthroplasty in a Patient with Minimally Painful Severe
Glenohumeral Arthritis and a Progressive Plexopathy: A Case Report.
AB - CASE: A 70-year-old woman presented with severe but minimally painful right
glenohumeral osteoarthritis (OA), with progressive weakness and numbness
radiating to the right hand. Imaging revealed a large fluid collection extending
from the joint to the subcoracoid space, with a mass effect on the brachial
plexus. A total shoulder arthroplasty (TSA) and decompression of a ganglion cyst
were performed. Follow-up with serial electromyography demonstrated resolution of
the brachial plexopathy and restoration of function. CONCLUSION: Ganglion cysts
may arise secondary to severe glenohumeral OA and may compress the surrounding
structures, producing a progressive plexopathy. Treatment of the underlying
pathology with a TSA may be effective.
PMID- 29794488
TI - Life-Threatening Hemorrhage from an Unrecognized Axillary Vein Injury During
Treatment of a Proximal Humeral Fracture-Dislocation with a Known Axillary Artery
Injury: A Case Report.
AB - CASE: A 57-year-old man presented with bilateral proximal humeral fracture
dislocation and an associated axillary artery injury. He was treated urgently
with reduction, arterial repair, fasciotomies, and skeletal stabilization. The
patient sustained a massive intraoperative hemorrhage from an unrecognized
axillary vein injury after the arterial repair. CONCLUSION: An unrecognized
axillary vein injury led to substantial blood loss that required a massive
transfusion protocol. Although an axillary arterial injury is readily identified
with computed tomography angiography, concomitant venous injuries may go
unrecognized. The surgical team, including the vascular and orthopaedic surgeons
and the anesthesiologists, should be prepared to manage a life-threatening
hemorrhage.
PMID- 29794490
TI - Erratum: Isolated Scapular Metastasis in a Patient with Malignant Struma Ovarii.
PMID- 29794489
TI - Tibial Plateau Fracture Following Anterior Cruciate Ligament Reconstruction with
a Bone-Patellar Tendon-Bone Allograft: A Case Report.
AB - CASE: A 36-year-old woman sustained a medial tibial plateau fracture involving a
tibial tunnel that had been used 4 years prior for an anterior cruciate ligament
(ACL) reconstruction with a bone-patellar tendon-bone (BPTB) allograft in the
same knee. At 26 months following open reduction and internal fixation of the
tibial plateau fracture (6 years following the index ACL reconstruction), the
patient returned to full activity. CONCLUSION: To our knowledge, this is the
first report of a tibial plateau fracture following ACL reconstruction with a
BPTB allograft, which adds to the paucity of literature discussing tibial plateau
fractures following ACL reconstruction and discusses the potential predisposing
factors to fracture such as ACL graft selection and surgical technique.
PMID- 29794491
TI - Adolescent age is an independent risk factor for abnormal spirometry among people
living with HIV in Kenya.
AB - OBJECTIVES: As life expectancy of people living with HIV (PLWH) improves in low
income and middle-income countries (LMICs), the spectrum of HIV-related pulmonary
complications may reflect a greater burden of chronic lung diseases as in high
income countries. We determined whether the risk of abnormal spirometry was
greater among adolescent compared with adult PLWH at the Coptic Hope Center for
Infectious Diseases in Nairobi, Kenya, and evaluated the role of other cofactors
for abnormal spirometry. DESIGN: We prospectively enrolled adolescent and adult
PLWH for this cross-sectional study. METHODS: Data collection included
standardized questionnaires, clinical assessment, and prebronchodilator and
postbronchodilator spirometry. Adolescents additionally underwent noncontrast
chest computed tomography. Multivariable logistic regression determined
associations of adolescent age with abnormal spirometry, adjusting for cofactors.
RESULTS: Of 427 PLWH, 21 (40%) adolescents and 64 (17%) adults had abnormal
spirometry. Among adolescents, 80% had abnormal chest CTs, and 79% had at least
one respiratory symptom. Adolescent age (adjusted odds ratio 3.22; 95% confidence
interval 1.48-6.98) was independently associated with abnormal spirometry,
adjusting for recent CD4, HIV clinical stage, low BMI, indoor kerosene use,
smoking pack-years, and prior pulmonary tuberculosis. Additional important
cofactors for abnormal spirometry included prior pulmonary tuberculosis (3.15;
1.70-5.58), kerosene use (1.77; 1.04-3.04) and smoking pack-years (1.05; 1.00
1.10). Adolescent age, prior pulmonary tuberculosis, and smoking pack-years were
significantly associated with airflow limitation. CONCLUSION: Adolescent age was
independently associated with increased risk of abnormal spirometry, particularly
airflow limitation. Studies to improve prevention, detection, and management of
chronic lung disease across the lifespan among PLWH are needed in LMICs.
PMID- 29794493
TI - Per-partner condom effectiveness against HIV for men who have sex with men.
AB - OBJECTIVE: Few studies have examined condom effectiveness for HIV prevention
among MSM. We estimated condom effectiveness per partner in four cohorts of MSM
during 1993-2003 (JumpStart, Vaccine Preparedness Study, VAX004 and Project
Explore). METHODS: We used logistic regression to estimate the increase in odds
of new HIV infection per HIV-positive partner for condom-protected receptive anal
intercourse (PRAI; partners with whom condoms were always used) and condomless
(unprotected) receptive anal intercourse (URAI; partners with whom condoms were
sometimes or never used). To estimate condom effectiveness for preventing HIV
transmission, we applied the concept of excess odds, the odds ratio minus 1. The
condom failure rate was estimated as the excess odds per PRAI partner divided by
the excess odds per URAI partner. Condom effectiveness was then 1 minus the
failure rate. RESULTS: The excess odds of HIV infection per HIV-positive partner
were 83% for URAI and 7% for PRAI. The resulting failure rate (9%) indicated per
partner condom effectiveness of 91% (95% confidence interval 69-101). CONCLUSION:
The increase in odds of new HIV infection per HIV-positive partner for receptive
anal intercourse was reduced by 91% for each partner with whom condoms were
always used.
PMID- 29794492
TI - Antiretroviral drug use and HIV drug resistance among MSM and transgender women
in sub-Saharan Africa.
AB - OBJECTIVE: To analyze antiretroviral drug use and HIV drug resistance among HIV
infected MSM and transgender women who were screened for participation in the HIV
Prevention Trials Network 075 study. METHODS: A qualitative assay was used to
detect 20 antiretroviral drugs in five drug classes; this assay is based on
liquid chromatography coupled with high-resolution accurate-mass mass
spectrometry. HIV viral load testing was performed using the RealTime HIV-1 Viral
Load Assay. HIV drug resistance testing was performed using the ViroSeq HIV-1
Genotyping System. Logistic regression was used to evaluate factors associated
with study outcomes. RESULTS: Antiretroviral drugs were detected in 63 (34.4%) of
183 participants who had confirmed HIV infection at screening; 11 (17.5%) of the
63 participants were not virally suppressed. Six (54.5%) of the 11 participants
had drug-resistant HIV, including four who had multiclass resistance. Seven
(63.6%) of the 11 were at risk of acquiring resistance to additional
antiretroviral drugs. In multivariate model, antiretroviral drugs were more
frequently detected in older participants, those recruited from Kisumu, Kenya,
and those who reported ever having been in HIV care or on antiretroviral therapy
(ART). CONCLUSION: Most of HIV-infected persons screened for participation in HIV
Prevention Trials Network 075 were not on ART, and many of those who were on ART
were not virally suppressed. Many of those participants had drug-resistant HIV.
These findings highlight the need for improved HIV care for African MSM and
transgender women.
PMID- 29794494
TI - Abdominal obesity, sarcopenia, and osteoporosis are associated with frailty in
men living with and without HIV.
AB - OBJECTIVE: The relationships between frailty and body composition in older adults
with HIV infection are poorly understood. We sought to describe associations
between frailty and measures of body composition among adult men with HIV and
without HIV. DESIGN/METHODS: Men with and without HIV (age 50-69 years) in the
Multicenter AIDS Cohort Study (MACS) Bone Strength Substudy were included if
evaluated for frailty (by Fried phenotype) and body composition [BMI, waist
circumference, abdominal visceral (VAT) and subcutaneous (SAT) adipose tissue,
sarcopenia, and osteopenia/osteoporosis]. All participants with HIV infection
were on antiretroviral therapy. Multivariate multinomial logistic regression
models were used to determine associations of frailty with body composition.
RESULTS: A total of 399 men, including 199 men with HIV and 200 men without HIV,
both with median age 60 years, constituted our study population. Frailty
prevalence was 16% (men with HIV) vs. 8% (men without HIV). HIV serostatus was
associated with a 2.43 times higher odds of frailty (P = 0.01). Higher waist
circumference, VAT, sarcopenia, and femoral neck osteoporosis were associated
with increased odds of frailty (aOR 4.18, 4.45, 4.15, and 13.6, respectively, and
all P < 0.05); BMI and SAT were not. None of these measures presented a
differential association with frailty by HIV serostatus (all P > 0.20).
CONCLUSION: Higher abdominal obesity and sarcopenia were associated with frailty
among men with and without HIV. Assessment of these body composition parameters
may help detect frailty in the clinical setting.
PMID- 29794496
TI - Neuromodulation in Pediatrics: Case Series.
AB - OBJECTIVES: Neuromodulation, particularly intrathecal drug delivery systems and
spinal cord stimulators (SCSs), can be a valuable tool when treating chronic pain
in adults. However, there is a paucity of literature with regard to its use in
pediatrics. MATERIALS AND METHODS: We present a series of 14 children and
adolescents with intractable pain who received a SCS or a pump for the
intrathecal delivery of medications between 2010 and 2016 at our institution.
RESULTS: During the study period, we placed 10 intrathecal pumps and 4 SCSs with
an average age of 17 years old. Pain scores significantly improved after the
implant (P<0.007) and function improved in 79% of patients. Opioid use was also
significantly reduced. Three patients eventually had their device removed due to
psychiatric comorbidities. Four patients had complications that were treated
without further sequelae. CONCLUSIONS: Neuromodulation can offer important
options in treating some pediatric chronic pain patients. In-depth knowledge of
primary disease and strict patient selection in the context of the patient's
social situation is vital to successful treatment.
PMID- 29794495
TI - Global population-level association between herpes simplex virus 2 prevalence and
HIV prevalence.
AB - BACKGROUND: Our objective was to assess the population-level association between
herpes simplex virus 2 (HSV-2) and HIV prevalence. METHODS: Reports of HSV-2 and
HIV prevalence were systematically reviewed and synthesized following PRISMA
guidelines. Spearman rank correlation ((Equation is included in full-text
article.)) was used to assess correlations. Risk ratios (RRHSV-2/HIV) and odds
ratios (ORHSV-2/HIV) were used to assess HSV-2/HIV epidemiologic overlap.
DerSimonian-Laird random-effects meta-analyses were conducted. RESULTS: In total,
939 matched HSV-2/HIV prevalence measures were identified from 77 countries. HSV
2 prevalence was consistently higher than HIV prevalence. Strong HSV-2/HIV
prevalence association was found for all data ((Equation is included in full-text
article.) = 0.6, P < 0.001), all data excluding people who inject drugs (PWID)
and children ((Equation is included in full-text article.) = 0.7, P < 0.001),
female sex workers ((Equation is included in full-text article.) = 0.5, P <
0.001), and MSM ((Equation is included in full-text article.) = 0.7, P < 0.001).
No association was found for PWID ((Equation is included in full-text article.) =
0.2, P = 0.222) and children ((Equation is included in full-text article.) = 0.3,
P = 0.082). A threshold effect was apparent where HIV prevalence was limited at
HSV-2 prevalence less than 20%, but grew steadily with HSV-2 prevalence for HSV-2
prevalence greater than 20%. The overall pooled mean RRHSV-2/HIV was 5.0 (95% CI
4.7-5.3) and ORHSV-2/HIV was 9.0 (95% CI 8.4-9.7). The RRHSV-2/HIV and ORHSV
2/HIV showed similar patterns that conveyed inferences about HSV-2 and HIV
epidemiology. CONCLUSION: HSV-2 and HIV prevalence are strongly associated. HSV-2
prevalence can be used as a proxy 'biomarker' of HIV epidemic potential, acting
as a 'temperature scale' of the intensity of sexual risk behavior that drive HIV
transmission. HSV-2 prevalence can be used to identify populations and/or sexual
networks at high-risk of future HIV expansion, and help prioritization,
optimization, and resource allocation of cost-effective prevention interventions.
PMID- 29794497
TI - SGLT inhibition: a possible adjunctive treatment for type 1 diabetes.
AB - PURPOSE OF REVIEW: To identify and evaluate the recent trials of sodium-glucose
cotransporter 1 and 2 (SGLT1 and SGLT2, respectively) inhibitor use in patients
with type 1 diabetes (T1D). SGLT-2 inhibitors have been approved by the Food and
Drug Administration (FDA) and are effectively used in the treatment of type 2
diabetes (T2D). However, many studies (phase I-III) have validated their effects
beyond improving glycemic control and have shown potential adjunctive use in
adult patients with T1D treated with insulin therapy alone. RECENT FINDINGS: A
review of the literature showed that there is a potential adjunctive role for the
SGLT inhibitors with insulin in T1D for improving glycemic control. The inTandem3
(A phase III study to evaluate the safety of sotagliflozin in patients with type
1 diabetes who have inadequate glycemic control with insulin therapy alone) and
the DEPICT-1 (Dapagliflozin evaluation in patients with inadequately controlled
type 1 diabetes) trials demonstrated significant benefits in adult patients with
T1D. The SGLT inhibitors may become the first oral medication to be approved for
adjunctive use in T1D. SUMMARY: The risk of diabetic ketoacidosis still remains a
concern, but considering additional benefits beyond glucose control, with proper
counseling and education, these medications may allow a larger number of patients
to achieve target glucose control without weight gain or increased risk of
hypoglycemia.
PMID- 29794498
TI - Bone health in type 1 diabetes.
AB - PURPOSE OF REVIEW: This article reviews recent publications on the effect of type
1 diabetes (T1D) on fracture risk, bone mineral density (BMD), bone structure,
and bone tissue quality. Possible fracture prevention strategies for patients
with T1D have also been reviewed. RECENT FINDINGS: T1D is associated with
substantially elevated fracture risk and modestly low BMD at the femoral neck.
However, BMD alone does not explain higher observed fracture risk in T1D. T1D
also affects bone macro- and microstructure, characterized by thinner cortices
and trabecular bone changes such as thinner and more widely spaced trabeculae.
Structural bone deficit is pronounced in the presence of microvascular
complications. Tissue-level changes, such as accumulation of advanced glycation
endproducts, detrimental alterations of the mineral phase because of low bone
turnover, and occlusion of vascular channels in bone by mineralized tissue, are
implicated in pathophysiology of bone fragility in T1D. There are no guidelines
on screening and prevention of osteoporotic fractures in T1D. SUMMARY: More
studies are needed to understand the influence of T1D on structural bone quality
and tissue material properties. There is a need for a prospective study to
evaluate better screening strategies for diagnosis and treatment of osteoporosis
in T1D.
PMID- 29794499
TI - Efficacy and Safety of Oral NEPA (Netupitant/Palonosetron), the First Fixed
Combination Antiemetic, in Patients With Gynecological Cancers Receiving Platinum
Based Chemotherapy.
AB - OBJECTIVE: Patients with gynecological cancers are at high risk for chemotherapy
induced nausea and vomiting (CINV) after platinum-based chemotherapy (CT). NEPA
(300-mg netupitant, 0.50-mg palonosetron) is the first oral fixed-combination
antiemetic. Pivotal trials demonstrated the superiority of oral NEPA over
intravenous palonosetron in preventing CINV after highly emetogenic
(anthracycline-cyclophosphamide-based [AC] and cisplatin-based [non-AC]) CT. This
post hoc subset analysis considered patients with gynecological cancer receiving
cisplatin- or carboplatin-based CT from 1 pivotal trial and from 1 multicycle
safety trial to evaluate the efficacy of oral NEPA in preventing CINV. METHODS:
Single-dose NEPA was given before CT in combination with dexamethasone. The
efficacy end points for the acute (0-24 hours), delayed (25-120 hours), and
overall (0-120 hours) CINV phases after CT included complete response (CR; no
emesis, no rescue medication) and no significant nausea (<25 mm on a 0- to 100-mm
visual analog scale). Safety was also assessed. RESULTS: For cisplatin-induced
CINV, NEPA achieved high CR rates (acute phase: >90%; delayed, overall phases:
>=85%). For carboplatin-induced CINV, NEPA was also highly effective, with high
acute, delayed, and overall CR rates (cycle 1: >75%; cycles 2-4: >95%). No
significant nausea rates were more than 90% and more than 80% in the acute and
delayed phases, respectively, for patients receiving cisplatin or carboplatin.
NEPA was well tolerated. CONCLUSIONS: Results suggest that oral NEPA is effective
and safe in preventing CINV in patients with gynecological cancers treated with
cisplatin- or carboplatin-based CT. Single fixed-combination NEPA is a convenient
option for CINV prevention in high-risk CINV patients.
PMID- 29794500
TI - Predictors of Locally Advanced Disease at Presentation and Clinical Outcomes
Among Cervical Cancer Patients Admitted at a Tertiary Hospital in Botswana.
AB - OBJECTIVES: The aim of this study was to determine predictors of locally advanced
disease at presentation and clinical outcomes among cervical cancer patients in
Botswana to inform interventional strategies. METHODS: Retrospective review of
149 medical records of new cervical cancer patients was conducted between August
2016 and February 2017 at the Princess Marina Hospital. Data collected included
sociodemographics, presenting symptoms, stage of disease, comorbidities,
interventions, and clinical outcomes. STATA 12 was used for data analysis.
Frequencies were used to describe patient demographics and clinical variables.
Bivariate and multivariate binary logistic regression analyses were used to
determine association between stage of disease at presentation and patient
characteristics. P <= 0.05 was considered significant. RESULTS: Mean age was 49.5
years. Nine (89.2%) in 10 patients had locally advanced cervical cancer (stage
IB1-IVB). Two thirds (65.1%) were human immunodeficiency virus positive. Previous
cervical cancer screening was low at 38.3%. Common symptoms were abnormal vaginal
bleeding, low abdominal pain, and malodorous vaginal discharge reported among
75.8%, 66.4%, and 39.6% of cases, respectively. Overall, 32 (21.5%) were declared
cured, 52 (34.9%) improved, and 11 (7.4%) opted for home-based care. Hospital
deaths were 41 (27.5%). Major causes of death were renal failure (48.7%) and
severe anemia (39%). Thirteen (8.7%) were lost to follow-up. Being unmarried
(odds ratio [OR], 3.9), lack of cervical cancer screening (OR, 6.68),
presentation with vaginal bleeding (OR, 7.69), and low abdominal pain (OR, 4.69)
were associated with advanced disease at presentation. CONCLUSIONS: Lack of
cervical cancer screening, vaginal bleeding, low abdominal pain, and unmarried
status were associated with advanced disease at presentation. We recommend scale
up of cervical cancer screening and its integration into routine human
immunodeficiency virus care. Capacity building in gynecologic oncology and
palliative care services is currently critical.
PMID- 29794502
TI - Commentary Regarding "A Cyst Compressing the Ulnar Nerve Motor Branch.
Ultrasonographic Diagnosis of a Tricking Neuropathy".
PMID- 29794501
TI - Three-dimensional Analysis of How Radiation Affects Deep Inferior Epigastric
Perforator (DIEP) Flap Volume, Projection, and Position in Breast Cancer
Reconstruction.
AB - BACKGROUND: The deep inferior epigastric perforator (DIEP) flap has gained
popularity for autologous free flap breast reconstruction. Historically, patients
receiving post mastectomy radiation therapy (PMRT) were not candidates for
immediate autologous reconstruction due to concerns for flap volume depletion,
fat necrosis, and flap failure. However, this literature is anecdotal and lacks
case controls. We objectively analyzed the effects radiation imparts on immediate
DIEP flap reconstruction using 3-dimensional software and inherent controls.
METHODS: We performed a cohort study on breast cancer patients who underwent
immediate bilateral DIEP flap reconstructions followed by PMRT between 2005 and
2014. Exclusion criteria included patients less than 6 months from PMRT
completion and bilateral PMRT. Three-dimensional photographs were analyzed using
Geomagic (Rock Hill, SC) software to compare flap position, projection, and
volume between the irradiated and nonirradiated reconstructed breasts. Breast Q
survey evaluated patients' satisfaction. RESULTS: Eleven patients met inclusion
criteria. Average time from PMRT completion to photo acquisition was 1.93 years.
There was no statistical difference in average volume or projection in the
irradiated versus nonirradiated side (P = 0.087 and P = 0.176, respectively).
However, position of the irradiated flaps was significantly higher on the chest
wall compared to controls (mean difference, 1.325 cm; P < 0.004). CONCLUSIONS:
Three-dimensional analysis exhibited no statistical differences in projection or
volume between irradiated DIEP flaps and nonirradiated controls. However,
irradiated DIEP flaps were positioned higher on the chest wall, similar to
observations in irradiated tissue expanders/implants. Patients were satisfied as
measured by Breast Q. Immediate bilateral DIEP flap reconstructions can safely be
performed with PMRT with satisfactory results.
PMID- 29794503
TI - A Comparative Clinical Study of Flap Thickness: Medial Sural Artery Perforator
Flap Versus Anterolateral Thigh Flap.
AB - INTRODUCTION: The purpose of this study is to measure flap thicknesses of
anterolateral thigh (ALT) and medial sural artery perforator (MSAP) flaps in
healthy subjects by Doppler ultrasonography and compare the results in relation
to sex and body mass index (BMI). METHOD: The perforators of ALT and MSAP flaps
were marked on 30 healthy subjects. The thickness of skin and subcutaneous tissue
was measured in millimeters at the site of the perforator using Doppler
ultrasonography. RESULTS: The mean +/- SD age of the participants was 36.4 +/-
10.5, the mean +/- SD BMI was 25.2 +/- 3.9 (19.4-32.5). The mean +/- SD flap
thickness was 11.55 +/- 4.38 mm for ALT and 8.31 +/- 3.6 mm mm for MSAP (P <
0.01). Anterolateral thigh flap was significantly thicker than MSAP in both males
(9.02 vs 6.11 mm) and females (14.07 vs 10.52 mm) (P < 0.05). The thickness of
both MSAP and ALT flap had a positive correlation with BMI. The relationship was
stronger for ALT in males (r = 0.66 for ALT, r = 0.59 for MSAP) and for MSAP in
females (r = 0.70 for ALT, r = 0.83 for MSAP). DISCUSSION: This study confirms
that MSAP flap is thinner than ALT and the results correlate with BMI. Therefore,
MSAP flap can be considered a good alternative to ALT, to avoid bulk, in
reconstruction of shallower soft tissue defects.
PMID- 29794504
TI - Chest Wall-Based Flap for Repairing Partial Mastectomy Defects: A Multipurpose
Flap.
AB - OBJECTIVE: The objective of this study is to introduce a single and reproducible
tissue-rearrangement oncoplastic technique that is applicable for all quadrants
partial-mastectomy defects in small- to medium-sized breasts, using grounds drawn
from cosmetic surgery. METHODS: Eleven small- to medium-sized breast cancer
patients with tumor involvement of more than a quarter of the breast were
operated on using the chest wall-based flap technique. The procedure is described
in detail, and the results are analyzed in terms of both cosmetic and oncological
results. RESULTS: The flap successfully reached all breast quadrants as
necessary, as well as the areola-nipple complex. The resection borders were found
to be free of tumor in all cases, and there were no perioperative complications.
Patients rated nearly all the cosmetic parameters as "somewhat satisfied" or
"very satisfied," and there was no evidence of fat necrosis in the postoperative
mammography examinations. CONCLUSIONS: Applying principles taken from the
cosmetic plastic surgery lies at the base of any high standard reconstructive
surgery in general and oncoplastic breast surgery in particular. The chest wall
based flap is suitable for the reconstruction of a wide range of breast
lumpectomy defects and is feasible for small- to medium-sized breasts, which are
usually not easily amenable to tissue rearrangement techniques. The learning
curve is short, with replicable results.
PMID- 29794505
TI - Use of a Vertical Muscle-Sparing Latissimus Dorsi Flap in Implant-Based Breast
Reconstruction Without Position Change.
AB - BACKGROUND: The use of various latissimus dorsi (LD) flap types in combination
with implants is a safe and reliable 1-stage breast reconstruction method.
However, 1 or more positional changes are generally required during the
procedure. We designed a vertical skin paddle that was centered along the
midaxillary line and harvested the required LD muscle amount based on the
thoracodorsal artery descending branch, thereby completing flap elevation, inset,
and donor-site closure in the supine position following skin-sparing mastectomy.
METHODS: Between July 2017 and September 2017, we enrolled patients who underwent
breast reconstruction using the vertical muscle-sparing LD (ms-LD) flap with an
implant. The vertical ms-LD flap was selected when the nipple-areolar complex
could not be spared or when adjuvant radiation therapy was anticipated. RESULTS:
Eleven patients were enrolled in the study. All patients underwent skin-sparing
mastectomy (with excision of the nipple-areolar complex for oncological reason).
The mean mastectomy specimen weight was 402.3 g. The average flap length and
width were 15.2 and 5.5 cm, respectively. The mean implant size was 290 mL. The
average operative time was 112 minutes. All surgical procedures were performed in
the supine position, and the flap reached the most medial part of the breast
without any tension in all cases. The mean follow-up length was 87 days, and no
complications such as infection, partial flap loss, or donor-site seroma were
observed. CONCLUSIONS: Vertical ms-LD flaps can be harvested and utilized in
direct-to-implant reconstructions when a skin paddle (or banking) is required, or
when acellular dermal matrix use is precluded, or when additional soft tissue
coverage is mandatory in high-risk patients not requiring intraoperative position
changes. This technique can shorten the operation time and may reduce donor-site
morbidity and associated complications.
PMID- 29794506
TI - Merkel Cell Carcinoma of the Wrist: A Case Report.
AB - PURPOSE: Merkel cell carcinomas are a rare type of neuroendocrine tumors of the
head, neck, and extremities commonly found in older patients. Merkel cell
carcinomas present as red-to-purple and nontender nodules with or without surface
ulceration, usually measuring 2 cm in diameter. CASE PRESENTATIONS: An 83-year
old woman with a skin-colored nodule on the dorsal aspect of her right wrist was
referred to our department for treatment. The regional lymph nodes were not
palpable, and the positron emission tomography/computed tomography scan did not
reveal any distant metastases. We conducted wide excision of the lesion and
sentinel lymph node biopsy. The defect caused by the excision and partial bone
resection was reconstructed using a radical forearm rotational flap and a split
thickness skin graft. Postoperatively, the patient received adjuvant radiation
therapy. We observed wound healing and an absence of complications such as
recurrence at 1-year follow-up. CONCLUSIONS: Merkel cell carcinomas are rare but
aggressive neuroendocrine skin cancers, which rarely occur in the upper
extremity. Our treatment of nonamputative wide excision and sentinel lymph node
dissection, followed by postoperative radiation therapy, showed no local or
distant recurrence. Here, we review the current literature on Merkel cell
carcinomas and describe our approach of treatment.
PMID- 29794507
TI - Medial Plantar Venous Flap: Classic Donor Site Modification for Hand Defects.
AB - BACKGROUND: Destruction of digits from trauma results in a much more significant
influence on patients' mental state and quality of life than do injuries to other
parts. The purpose of this study was to describe a novel modification of medial
plantar venous flap for soft tissue defects in the hands and digits. METHODS:
Nine patients received medial plantar venous flap to resurface soft tissue
defects in the hands or digits between January 2015 and February 2017. This flap
can be used either in a free-island pattern or in a flow-through pattern through
the medial branch of the great saphenous vein. All patient data including
preoperative statues and follow-up examinations (flap survival rates,
complication rates, total active motion, static 2-point discrimination, and Semme
Weinstein test score) were analyzed. RESULTS: We included 6 men and 3 women, with
a mean age of 34.2 years. The medial plantar venous flaps were used for
vascularization in 5 patients because of segmental defects of bilateral digit
arteries. Eight flaps survived uneventfully in this study. One flap partially
failed (20% of the flap area) because of venous congestion. The functional
outcomes and sensory restoration were satisfied for all 9 flaps. CONCLUSIONS:
Compared with the traditional medial plantar flap, the medial plantar venous flap
involves a simpler surgical procedure and allows for revascularization of distal
areas using the flow-through technique. Furthermore, the medial plantar area
presents a sensitive, glabrous skin with proper bulkiness and allows for movement
of the underlying structure.
PMID- 29794508
TI - Three-dimensional Photogrammetric Analysis of Facial Soft-to-Hard Tissue Ratios
After Bimaxillary Surgery in Facial Asymmetry Patients With and Without Sturge
Weber Syndrome.
AB - Sturge-Weber syndrome (SWS) is a rare neurocutaneous syndrome characterized by
facial port-wine stains. Most patients with facial asymmetry due to SWS have soft
and/or hard tissue hypertrophy and require both soft tissue correction and bone
surgery. In our experience, because SWS patients are more likely than non-SWS
patients to be dissatisfied after bone surgery because of limited soft tissue
change, we compared soft tissue changes after bimaxillary surgery between facial
asymmetry patients with and without SWS.All patients-5 with SWS and 5 without SWS
underwent bimaxillary surgery by a single surgeon. Soft-to-hard ratios were
determined using the preoperative and postoperative vertical lengths from the
intersection point between the external orbital contour laterally and the oblique
orbital line (LO) to the occlusion line (OL) and the LO to the mandible angle
(AG) on cephalography and the distances between the lateral canthus (LC) and oral
commissure (OC) and between the LC and soft tissue gonion (Go') on 3-dimensional
scanned images.The average change in the [LC-OC] to [LO-OL] ratio was 23.03% +/-
10.09% in SWS patients and 88.05% +/- 10.44% in non-SWS patients (P = 0.008). The
average change in the [LC-Go'] to [LO-AG] ratio was 35.54% +/- 15.47% in SWS
patients and 78.90% +/- 47.56% in non-SWS patients (P = 0.032).Soft-to-hard
tissue ratios after orthognathic surgery are significantly smaller in SWS
patients than in non-SWS patients. This information is important for preoperative
patient counseling, managing patient expectations, enhancing results, and
planning the second-stage soft tissue surgery.
PMID- 29794509
TI - Availability and Safety of Osteotomy in Esthetic Rhinoplasty of East Asian
Patients.
AB - OBJECTIVE: East Asians usually have short and flat noses and broad nasal bones.
Therefore, rhinoplasty with dorsal augmentation and nasal osteotomy is often
required. However, many surgeons are wary of performing nasal osteotomy in
conjunction with augmentation with silicone. The authors sought to evaluate the
availability and safety of osteotomy in esthetic rhinoplasty of East Asian
patients. METHODS: In a clinical study, a retrospective chart review was
performed for 227 patients who had undergone nasal osteotomy (paramedian oblique
osteotomy plus percutaneous lateral osteotomy) and dorsal augmentation with
silicone. Patient satisfaction after surgery was evaluated by the Rhinoplasty
Outcome Evaluation test. In addition, a cadaveric study was conducted in which 5
fresh cadavers received different osteotomies on each side of the nose (right
side: paramedian oblique and percutaneous lateral osteotomy; left side: medial
oblique and intranasal continuous lateral osteotomy). RESULTS: In the clinical
study, patients were satisfied with the outcome after esthetic rhinoplasty with
nasal osteotomy (paramedian oblique osteotomy plus percutaneous lateral
osteotomy) and augmentation with silicone. The results revealed a low
complication rate. The average Rhinoplasty Outcome Evaluation test score
significantly increased (P < 0.001), with 91.2% of patients scoring their
postoperative result better than "good." In the cadaveric study, stability was
greater when paramedian oblique and percutaneous lateral osteotomy was performed.
CONCLUSIONS: Paramedian oblique and percutaneous lateral osteotomy is effective
for reducing broad nasal bones, thus providing a stable framework and a reliable
method for achieving a good outcome when augmentation with silicone is performed
simultaneously.
PMID- 29794510
TI - An Evaluation of Reporting Guidelines and Clinical Trial Registry Requirements
Among Plastic Surgery Journals.
AB - BACKGROUND: Ensuring that published studies are of the highest methodological
quality is a critical step in plastic surgery's transition to a more evidence
based field. Reporting guidelines and reporting of clinical trial registration
may serve as promising avenues of increasing the methodological quality in
plastic surgery trials. OBJECTIVE: The objective of this study is to evaluate the
rate at which plastic surgery journals require reporting guidelines, as well as
the effect these policies have on adherence to reporting guidelines. METHODS:
Using journal's "Instructions for Authors," we conducted a cross-sectional survey
to evaluate journal policies regarding adherence to reporting guidelines and
trial registration. We also examined whether trials published in journals
referencing Consolidated Standards of Reporting of Trials (CONSORT) had higher
rates of compliance with publishing a CONSORT flow diagram and whether journals
with trial registration policies were more likely to contain registered trials
than journals without these requirements. RESULTS: Of the 20 plastic surgery
journals, 13 (65%) did not mention a single guideline within their instructions
to authors. Furthermore, 10 (50%) did not mention policies regarding clinical
trial registration. In addition, journals with policies regarding the CONSORT
statement were more likely to publish trials with a CONSORT flow diagram, and
journals with policies regarding clinical trial registry were more likely to
publish trials reporting registration. CONCLUSION AND RELEVANCE: Our study found
that few plastic surgery journals mention reporting guidelines or trial
registration in their instructions for authors. Our study also found that journal
policies regarding guideline adherence and trial registration seem to be
effective mechanisms toward improvement, and plastic surgery journals should
consider adopting such policies.
PMID- 29794511
TI - Value of Free-text Comments for Validating Cancer Cases Using Primary-care Data
in the United Kingdom.
PMID- 29794513
TI - Bone Lesions of Sarcoidosis.
PMID- 29794512
TI - Erratum for "Biases in Randomized Trials: A Conversation Between Trialists and
Epidemiologists."
PMID- 29794515
TI - Adult-Onset Still Disease Presenting With Insterstitial Lung Disease and
Dermatomyositis-Like Atypical Persistent Eruption Successfully Treated With
Mycophenolate Mofetil.
PMID- 29794514
TI - Coffee and Tea Consumption in Relation to Risk of Rheumatoid Arthritis in the
Women's Health Initiative Observational Cohort.
AB - OBJECTIVE: The aim of this study was to evaluate whether tea or coffee
consumption is associated with an increased risk of older-onset rheumatoid
arthritis (RA) using the Women's Health Initiative Observational Study. METHODS:
The Women's Health Initiative Observational Study is a longitudinal prospective
cohort study conducted from 1993 to 1998. There were 76,853 women who completed a
self-administered questionnaire about their daily consumption of tea and coffee.
One hundred eighty-five women self-reported and validated incident cases of RA
were observed after 3 years of observation. Multivariable Cox proportional
hazards models were performed to assess the relationship between consumption
habits and disease incidence. Trend tests were calculated using categorical
variables modeled as a continuous variable without collapsing. RESULTS: There was
no increase in the hazard ratio for incident RA in those participants who drank
coffee compared with those who did not. The amount of coffee consumed and the
method of preparation (caffeinated/decaffeinated; filtered/unfiltered) also did
not alter the risk of incident RA. There was a positive association of incident
RA and caffeinated tea consumption in the trend test (P = 0.03). When assessing
any caffeinated tea consumption versus no tea consumption, the hazard ratio for
incident RA was 1.40 (confidence interval, 1.01-1.93; P = 0.04). CONCLUSIONS: In
a large prospective cohort of older women, there was no association between
coffee consumption and incident RA. A small association between daily
caffeinated, nonherbal tea consumption and incident RA was found.
PMID- 29794516
TI - Atraumatic Incomplete Sternal Fracture Incidentally Detected in a Human
Immunodeficiency Virus Patient at Lung Cancer Screening With Low-Dose Thoracic
CT.
PMID- 29794517
TI - Measuring Mindsets and Achievement Goal Motivation: A Validation Study of Three
Instruments.
AB - PURPOSE: To evaluate the validity of scores from three instruments measuring
achievement goal motivation-related constructs: a shortened version of Dweck's
Implicit Theories of Intelligence Scale (ITIS-S), measuring incremental and
entity mindsets; Elliot's Achievement Goal Questionnaire-Revised (AGQ-R),
measuring mastery-approach, mastery-avoidance, performance-approach, and
performance-avoidance achievement goals; and Midgley's Patterns of Adaptive
Learning Scales (PALS), measuring mastery, performance-approach, and performance
avoidance achievement goals. METHOD: High school students participating in a
medical simulation training activity in May 2017 completed each instrument. The
authors evaluated internal structure using reliability and factor analysis and
relations with other variables using the multitrait-multimethod matrix. RESULTS:
There were 178 participants. Internal consistency reliability (Cronbach alpha)
was > 0.70 for all subscores. Confirmatory factor analysis of ITIS-S scores
demonstrated good model fit. Confirmatory factor analysis of AGQ-R scores
demonstrated borderline fit; exploratory factor analysis suggested a three-domain
model (approach, mastery-avoidance, performance-avoidance). Confirmatory factor
analysis of PALS scores also demonstrated borderline fit; exploratory factor
analyses suggested consistent distinction between mastery and performance goals
but inconsistent distinction between performance-approach and performance
avoidance goals. Correlations among AGQ-R and PALS scores were large for mastery
(r = 0.72) and moderate for performance (>= 0.45) domains; correlations among
incremental and mastery scores were moderate (>= 0.34). Contrary to expectations,
correlations between entity and performance scores were negligible. Correlations
between conceptually unrelated domains were small or negligible. CONCLUSIONS: All
instrument scores had good internal consistency and generally appropriate
relations with other variables, but empirically determined domain structures did
not consistently match theory.
PMID- 29794518
TI - Leveraging the Continuum: A Novel Approach to Meeting Quality Improvement and
Patient Safety Competency Requirements Across a Large Department of Medicine.
AB - PROBLEM: Quality improvement (QI) and patient safety (PS) are now core
competencies across the medical education continuum. A major challenge to
developing and implementing these new curricular requirements is the lack of
faculty expertise. APPROACH: In 2015, the authors developed a centralized,
vertically integrated, competency-based approach to meet QI/PS educational
requirements across the continuum of graduate medical education in the Department
of Medicine, Perelman School of Medicine, University of Pennsylvania. By
leveraging the QI/PS expertise of one individual, the authors identified and
trained core QI/PS faculty members and sequentially deployed QI/PS activities
that were tailored to the learner level and specialty. The curriculum includes PS
event reporting, systems thinking and root causes analysis skills, adverse event
disclosure, and a QI workshop series and project. OUTCOMES: PS event reporting,
an indication of engagement in PS culture, increased by 186% among interns, 384%
among postgraduate year 2 and 3 residents, and 613% among fellows between
academic years (AYs) 2013-2014 and 2016-2017. In AY 2017-2018, 9 faculty members
and 40 fellows from 9 fellowships participated in the QI workshop series, and 53
fellows from 7 fellowships participated in the adverse event disclosure
simulation activity. All educational activities were rated highly. NEXT STEPS:
The authors are expanding the adverse event disclosure activity to include
residents and the remaining fellowship programs, identifying fellowships to pilot
curricular efforts related to clinical quality metrics, developing introductory
activities in basic QI/PS concepts for medical students, and evaluating the
impact of efforts on participating faculty members.
PMID- 29794519
TI - Shifting and Sharing: Academic Physicians' Strategies for Navigating
Underperformance and Failure.
AB - PURPOSE: Medical practice is uncertain and complex. Consequently, even
outstanding performers will inevitably experience moments of underperformance and
failure. Coping relies on insight and resilience. However, how physicians develop
and use these skills to navigate struggle remains underexplored. A better
understanding may reveal strategies to support both struggling learners and
stressed practitioners. METHOD: In 2015, 28 academic physicians were interviewed
about their experiences with underperformance or failure. Constructivist grounded
theory informed data collection and analysis. RESULTS: Participants' experiences
with struggle ranged from patient errors and academic failures to frequent,
smaller moments of interpersonal conflict and work-life imbalance. To buffer
impact, participants sometimes shifted their focus to an aspect of their identity
where they felt successful. Additionally, although participants perceived that
insight develops by acknowledging and reflecting on error, they sometimes
deflected blame for performance gaps. More often, participants seemed to accept
personal responsibility while simultaneously sharing accountability for
underperformance or failure with external forces. Paradoxically, participants
perceived learners who used these strategies as lacking in insight. CONCLUSIONS:
Participants demonstrated the protective and functional value of distributing
responsibility for underperformance and failure. Shifting and sharing may be an
element of reflection and resilience; recognizing external factors may provide a
way to gain perspective and to preserve the self. However, this strategy
challenges educators' assumptions that learners who deflect are avoiding personal
responsibility. The authors' findings raise questions about what it means to be
resilient, and how assumptions about learners' responses to failure may affect
strategies to support underperforming learners.
PMID- 29794520
TI - Changes in Teaching Hospitals' Community Benefit Spending After Implementation of
the Affordable Care Act.
AB - PURPOSE: U.S. teaching hospitals that qualify as 501(c)(3) organizations (a not
for-profit designation) are required to demonstrate community benefit annually.
Increases in health insurance access driven by Affordable Care Act (ACA)
implementation, along with new regulations, research opportunities, and
educational expectations, may be changing hospitals' allocations of community
benefit dollars. This study aimed to describe changes in teaching hospitals'
community benefit spending between 2012 (pre-ACA implementation) and 2015 (post
ACA implementation), and to explore differences in spending changes between
hospitals in Medicaid expansion and nonexpansion states. METHOD: In 2017, for
each teaching hospital member of the Association of American Medical Colleges'
(AAMC's) Council of Teaching Hospitals and Health Systems required to submit Form
990s to the Internal Revenue Service, the authors sought community benefit
spending data for 2012 and 2015 as reported on Schedule H. RESULTS: The analysis
included 169 pairs of Form 990s representing 184 AAMC member teaching hospitals
(93% of 198 eligible hospitals). Compared with 2012, hospitals in 2015 spent $3.1
billion (20.14%) more on community benefit despite spending $804 million (16.17%)
less on charity care. Hospitals in Medicaid expansion states increased spending
on subsidized health services and Medicaid shortfalls at rates higher than
hospitals in nonexpansion states. The latter increased spending at higher rates
on community health improvement and cash/in-kind contributions. CONCLUSIONS:
After ACA implementation, teaching hospitals increased their overall community
benefit spending while their charity care spending declined. Changes in community
benefit spending differed according to states' Medicaid expansion status,
demonstrating hospitals' responsiveness to state and local realities.
PMID- 29794521
TI - Academic Health Centers and Medicaid: Advance or Retreat?
AB - The expansion of Medicaid under the Affordable Care Act has strained the capacity
of many academic health centers (AHCs) to deliver primary and specialty care to
this traditionally underserved population. The authors, longtime faculty members
in the University of California, Davis Health (UCDH) system, discuss the
challenges of UCDH's participation in Medi-Cal, the nation's largest Medicaid
program, and their institution's controversial decision in 2015 to withdraw from
its last Medi-Cal primary care contract, which has had untoward effects on UCDH's
social and educational missions. The authors call on AHCs to leverage their
considerable intellectual and human capital as well as their focus on education
and research to aggressively pursue innovative models of high-value primary care
for underserved populations in their local communities, highlighting several
recent successful examples of such programs. The UCDH experience has implications
for other AHCs grappling with the financial realities of an increasingly
competitive, value-based health care marketplace and the inherent difficulty in
balancing educational, research, patient care, and social or community service
missions.
PMID- 29794522
TI - Medicaid Innovations and the Role of Academic Health Centers.
AB - Although they represent less than 8% of all U.S. hospitals, academic health
centers (AHCs) deliver almost 40% of the inpatient care for Medicaid
beneficiaries. However, because of low Medicaid reimbursement rates, AHCs have
had to rely on supplemental funding sources, such as disproportionate share
hospital (DSH) payments and upper payment limit (UPL) payments. Recent
legislative efforts and changes to payment structures have made these sources
vulnerable to severe reductions. For instance, DSH payments are scheduled to be
cut by $8 billion by 2021, and UPL payments are a diminishing resource for many
states because the program is based on a fee-for-service model and most states
are moving to managed care.In this Invited Commentary, the authors argue that
cuts to supplemental funding sources would harm AHCs. They advocate instead for
restructuring traditional supplemental payments to accommodate novel
reimbursement models. They cite Medicaid's Delivery System Reform Incentive
Payment program as an example of work to leverage supplemental payments to
transform the delivery of care for Medicaid beneficiaries. AHCs should be at the
epicenter of such innovations in population health for Medicaid beneficiaries. To
that end, the authors encourage AHCs to build new partnerships with community
based primary care physicians and community health centers to balance the
specialty composition of their faculty providers to assume the risk for Medicaid
beneficiaries and other vulnerable populations.
PMID- 29794523
TI - Asking for Less and Getting More: The Impact of Broadening a Rater's Focus in
Formative Assessment.
AB - PURPOSE: There may be unintended consequences of broadening the competencies
across which health professions trainees are assessed. This study was conducted
to determine whether such broadening influences the formative guidance assessors
provide to trainees and to test whether sequential collection of competency
specific assessment can overcome setbacks of simultaneous collection. METHOD: A
randomized between-subjects experimental design, conducted in Toronto and
Halifax, Canada, in 2016-2017 with paramedic educators experienced in
observing/rating, in which observers' focus was manipulated. In the simultaneous
condition, participants rated four unscripted (i.e., spontaneously generated)
clinical performances using a six-dimension global rating scale and provided
feedback. In three sequential conditions, participants were asked to rate the
same performances and provide feedback but for only two of the six dimensions.
Participants from these conditions were randomly merged to create a "full score"
and set of feedback statements for each candidate. RESULTS: Eighty-seven raters
completed the study; 23 in the simultaneous condition and 21 or 22 for each pair
of dimensions in the sequential conditions. After randomly merging participants,
there were 21 "full scores" in the sequential condition. Compared with the
sequential condition, participants in the simultaneous condition demonstrated
reductions in the amount of unique feedback provided, increased likelihood of
ignoring some dimensions of performance, lessened variety of feedback, and
reduced reliability. CONCLUSIONS: Sequential or distributed assessment strategies
in which raters are asked to focus on less may provide more effective assessment
by overcoming the unintended consequences of asking raters to spread their
attention thinly over many dimensions of competence.
PMID- 29794524
TI - Faculty Development Revisited: A Systems-Based View of Stakeholder Development to
Meet the Demands of Entrustable Professional Activity Implementation.
AB - In 2015, the Association of American Medical Colleges implemented an
interinstitutional pilot of 13 core entrustable professional activities (EPAs)
for entering residency, activities that entering residents should be expected to
perform with indirect supervision. The pilot included a concept group on faculty
development; this group previously offered a shared mental model focused on the
development of faculty who devote their efforts to clinical teaching and
assessment for learning and entrustment decision making. In this article, the
authors draw from the literature of competency-based education to propose what is
needed in overall approaches to faculty development to prepare institutions for
undergraduate EPA implementation.Taking a systems-based view that defines the
necessary tasks of EPA implementation, the authors move beyond the variably used
term "faculty" and enumerate a comprehensive list of institutional stakeholders
who can meaningfully support and/or engage in the relationships and
organizational processes required for EPA learning and assessment. They consider
each group's responsibilities and development needs according to five domains
delineated by Steinert: teaching improvement, leadership and management, research
building capacity, academic career building, and organizational change.The
authors argue that the EPA framework addresses barriers posed with the use of a
competency-based framework. By facilitating the communication required for
organizational change, enabling valid assessment with comprehensive yet feasible
levels of faculty development, and incorporating all relevant data on student
professional behavior into summative assessment decisions, EPAs may offer a
clearer path toward the goal of competency-based education.
PMID- 29794525
TI - A Conceptual Model for Understanding Academic Physicians' Performances of
Identity: Findings From the University of Utah.
AB - PURPOSE: To explore how academic physicians perform social and professional
identities and how their personal experiences inform professional identity
formation. METHOD: Semistructured interviews and observations were conducted with
25 academic physicians of diverse gender and racial/ethnic backgrounds at the
University of Utah School of Medicine from 2015 to 2016. Interviews explored the
domains of social identity, professional identity, and relationships with
patients and colleagues. Patient interactions were observed. Interviews and
observations were audio-recorded, transcribed, and analyzed using grounded
theory. RESULTS: Three major themes emerged: Physicians' descriptions of identity
differed based on social identities, as women and racially/ethnically minoritized
participants linked their gender and racial/ethnic identities, respectively, to
their professional roles more than men and white, non-Latino/a participants;
physicians' descriptions of professional practice differed based on social
identities, as participants who associated professional practices with personal
experiences often drew from events connected to their minoritized identities; and
physicians' interactions with patients corresponded to their self-described
actions. CONCLUSIONS: Professional identity formation is an ongoing process, and
the negotiation of personal experiences is integral to this process. This
negotiation may be more complex for physicians with minoritized identities.
Implications for medical education include providing students, trainees, and
practicing physicians with intentional opportunities for reflection and
instruction on connecting personal experiences and professional practice.
PMID- 29794526
TI - Value-Added Activities in Medical Education: A Multisite Survey of First- and
Second-Year Medical Students' Perceptions and Factors Influencing Their Potential
Engagement.
AB - PURPOSE: To describe attitudes of first- and second-year U.S. medical students
toward value-added medical education, assess their self-reported desire to
participate in value-added activities, and identify potentially modifiable
factors influencing their engagement. METHOD: The authors conducted a cross
sectional survey of first- and second-year students at nine U.S. medical schools
in 2017. Survey items measured students' attitudes toward value-added medical
education (n = 7), desire to participate in value-added activities (n = 20), and
factors influencing potential engagement (n = 18). RESULTS: Of 2,670 students
invited to participate, 1,372 (51%) responded. Seventy-six percent (1,043/1,368)
moderately or strongly agreed they should make meaningful contributions to
patient care. Students' desire to participate was highest for patient care
activities approximating those traditionally performed by physicians, followed by
systems improvement activities and lowest for activities not typically performed
by physicians. Factors increasing desire to participate included opportunities to
interact with practicing physicians (1,182/1,244; 95%), patients (1,177/1,246;
95%), and residents or fellows (1,166/1,246; 94%). Factors decreasing desire to
participate included making changes to the health care system (365/1,227; 30%),
interacting with patients via phone or electronic communication (410/1,243; 33%),
and lack of curricular time (634/1,233; 51%). CONCLUSIONS: First- and second-year
medical students agree they should add value to patient care, but their desire to
participate in value-added activities varies depending on the nature of the
tasks. Medical schools may be able to increase students' desire to participate by
enabling face-to-face interactions with patients, embedding students in health
care teams, and providing dedicated curricular time.
PMID- 29794527
TI - "Being on Both Sides": Canadian Medical Students' Experiences With Disability,
the Hidden Curriculum, and Professional Identity Construction.
AB - PURPOSE: Medical students with disabilities hold firsthand knowledge as health
care recipients, yet face barriers to disclosure and support. Their experiences
provide a unique lens for understanding professional identity construction; this
study explored how disabled medical students experience training as both patients
and trainees. METHOD: The authors conducted qualitative interviews with 10
medical students at the University of Toronto Faculty of Medicine with self
identified disabilities. They performed textual analysis of documents concerning
medical student wellness from 13 Canadian universities, including policies,
student services, and student blogs (July 2016 to March 2017). Using principles
of critical discourse analysis, the authors coded the interviews and texts to
identify operating discourses and core themes, drawing from sociocultural
theories of professional identity construction and the hidden curriculum.
RESULTS: Two dominant discourses emerged from the interviews and texts, revealing
institutionalized notions of the perceived "good student" and "good patient."
These roles held contradictory demands, demonstrating how institutions often
implicitly and explicitly framed wellness as a means to optimal academic
performance. Two additional themes, "identity compartmentalization" and "identity
intersection," captured students' experiences navigating identities as patients
and trainees. Although students lacked explicit opportunities to express their
expertise as patients in the formal curriculum, their experiences in both roles
led to improved communication, advocacy, and compassion. CONCLUSIONS:
Institutional discourses around disability and academic performance hold material
implications for curricular content, clinical teaching, and availability of
supports in medical school. By repositioning students' experiences with
disability as sources of expertise, this study highlights opportunities for
teaching compassionate care.
PMID- 29794528
TI - Sexual Harassment in Medical Schools: The Challenge of Covert Retaliation as a
Barrier to Reporting.
AB - Although Title IX, the federal law prohibiting sexual harassment in educational
institutions, was enacted in 1972, sexual harassment continues to be
distressingly common in medical training. In addition, many women who experience
sexual harassment do not report their experiences to authorities within the
medical school.In this article, the authors review the literature on the
prevalence of sexual harassment in medical schools since Title IX was enacted and
on the cultural and legal changes that have occurred during that period that have
affected behaviors. These changes include decreased tolerance for harassing
behavior; increased legal responsibility assigned to institutions; and a
significant increase in the number of female medical students, residents, and
faculty. The authors then discuss persisting barriers to reporting sexual
harassment, including fears of reprisals and retaliation, especially covert
retaliation. They define covert retaliation as vindictive comments made by a
person accused of sexual harassment about his or her accuser in a confidential
setting, such as a grant review, award selection, or search committee.The authors
conclude by highlighting institutional and organizational approaches to
decreasing sexual harassment and overt retaliation, and they propose other
approaches to decreasing covert retaliation. These initiatives include
encouraging senior faculty members to intervene and file bystander complaints
when they witness inappropriate comments or behaviors as well as group reporting
when multiple women are harassed by the same person.
PMID- 29794529
TI - Functional Outcome of Elderly Hip Fracture Patients Is Not Affected By
Prefracture Dementia.
AB - OBJECTIVE: The aim of the study was to examine whether a diagnosis of prefracture
dementia (PFD) affects functional outcome at discharge from a geriatric
rehabilitation setting. DESIGN: A total of 211 consecutive elderly hip fracture
patients were evaluated retrospectively. We used the Functional Independence
Measure (FIM) and analyzed data by t test, chi test, and multiple linear
regression analysis. RESULTS: Patients with PFD were older (P = 0.001), presented
with lower Mini-Mental State Examination scores (P < 0.001) and lower prefracture
function (P < 0.001). Total-FIM and motor-FIM scores at admission and discharge,
as well as FIM gain scores at discharge, were lower among patients with PFD,
compared with nonprefracture dementia (NPFD) patients (P < 0.001). The FIM daily
gains (efficiency) (P < 0.001) and Montebello relative functional scores (P <
0.001) were also lower in PFD, compared with patients with NPFD. However, linear
regression analysis showed that PFD did not predict total, motor, or FIM gain at
discharge (beta = -0.11, P = 0.115; beta = -0.06, P = 0.412; beta = -0.099, P =
0.329, respectively). Upon discharge, patients with PFD achieved lower FIM scores
yet maintained similar motor-FIM gains compared with patients with NPFD.
CONCLUSIONS: Our study results supports the inclusion of patients with PFD in
postfracture rehabilitation programs.
PMID- 29794531
TI - Effectiveness of Physiotherapy Interventions on Spasticity in People With
Multiple Sclerosis: A Systematic Review and Meta-Analysis.
AB - OBJECTIVE: The aim of the study was to examine the effectiveness of physiotherapy
(PT) interventions on spasticity in people with multiple sclerosis. DESIGN: A
systematic search was performed using PRISMA guidance. Studies evaluate the
effect of PT interventions on spasticity were included. People with multiple
sclerosis, spasticity, disability and PT interventions characteristics were
extracted in included studies. Level of evidence was synthesized by the Grade of
Recommendation, Assessment, Development and Evaluation approach. Meta-analyses
were performed by calculating Hedges g at 95% confidence interval. RESULTS: A
total of 29 studies were included in the review, and 25 were included in the meta
analyses. The included PT interventions were exercise therapy, electrical
stimulation, radial shock wave therapy, vibration, and standing. The review and
meta-analyses showed different evidences of benefits and nonbenefits for PT
interventions on some spasticity outcomes. The best quality evidences were for
beneficial effects of exercise therapy especially robot gait training and
outpatient exercise programs on self-perceived spasticity and muscle tone
respectively. The review results were positive regarding the acute effects,
follow-up measurements, safety, progressive MS, and nonambulatory people with
multiple sclerosis. The included articles were heterogeneous and badly reported
in PT interventions and people with multiple sclerosis characteristics.
CONCLUSIONS: Physiotherapy interventions can be a safe and beneficial option for
spasticity in people with multiple sclerosis. No firm conclusion can be drawn on
overall spasticity. Further researches in different spasticity aspects are
needed.
PMID- 29794530
TI - Nerve Stimulation Enhances Task-Oriented Training for Moderate-to-Severe
Hemiparesis 3-12 Months After Stroke: A Randomized Trial.
AB - OBJECTIVE: The aim of the study was to determine whether somatosensory
stimulation affects outcomes of motor training for moderate-to-severe upper limb
hemiparesis less than 12 mos before stroke. DESIGN: Fifty-five adults
participated in 18 intervention sessions pairing 2 hours of active (n = 33) or
sham (n = 22) somatosensory stimulation with 4 hours of intensive task-oriented
motor training. Wolf Motor Function Test, Action Research Arm Test, Fugl-Meyer
Assessment, and Stroke Impact Scale were administered at baseline,
postintervention, and 1- and 4-mo follow-up. RESULTS: Statistically significant
between-groups differences favored the active condition on Wolf Motor Function
Test at post (P = 0.04) and Action Research Arm Test at post (P = 0.02), 1 mo (P
= 0.01), and 4 mos (P = 0.01) but favored the sham condition on Stroke Impact
Scale at 1 mo (P = 0.03). There were no significant between-groups differences on
Fugl-Meyer Assessment. CONCLUSIONS: Somatosensory stimulation can improve
objective outcomes of motor training for moderate-to-severe hemiparesis less than
12 mos after stroke, although it needs to be determined whether the magnitude of
between-groups differences in this study is clinically relevant. Future studies
should investigate the intervention's impact on disability and functional
recovery for this population as well as neurophysiological mechanisms underlying
intervention effects.
PMID- 29794532
TI - Equal Management of Equal Risks: What Should be Used as the Standard for Cervical
Cancer Prevention?
PMID- 29794533
TI - Retropharyngeal Steroid Use in Anterior Cervical Discectomy and Fusion.
PMID- 29794534
TI - Introduction by the Guest Editor: Mobilizing the Cancer Ecosystem: The Cancer
Moonshot.
PMID- 29794535
TI - Catalyzing Novel Approaches to Rapid, Accurate, and Affordable Early Cancer
Detection.
AB - Inspired by the Cancer Moonshot, a dedicated team of professionals worked with
leaders across the cancer ecosystem to look for an opportunity to radically
reduce cancer mortality globally by focusing on early cancer detection. After an
initial survey of cancer innovation, progress, and pitfalls, the team believed
that if new rapid, affordable, and accurate early detection solutions were
appropriately brought to market, it would be possible to intervene earlier when
cancer is most treatable.An extensive process began, informed by dozens of
experts in the cancer ecosystem. The Cancer XPRIZE team designed a prize
competition where "the winning team will develop a means to rapidly, accurately,
and affordably screen for early cancers where intervention can reduce human
suffering."The following outlines the Cancer XPRIZE's experience using a powerful
approach-the radical prize design-to catch more cancers in time to make a
difference saving lives, dollars, and suffering.
PMID- 29794537
TI - Progress Toward Cancer Data Ecosystems.
AB - One of the recommendations of the Cancer Moonshot Blue Ribbon Panel report from
2016 was the creation of a national cancer data ecosystem. We review some of the
approaches for building cancer data ecosystems and some of the progress that has
been made. A data commons is the colocation of data with cloud computing
infrastructure and commonly used software services, tools, and applications for
managing, integrating, analyzing, and sharing data to create an interoperable
resource for the research community. We discuss data commons and their potential
role in cancer data ecosystems and, in particular, how multiple data commons can
interoperate to form part of the foundation for a cancer data ecosystem.
PMID- 29794538
TI - The First Year of the Food and Drug Administration Oncology Center of Excellence:
Landmark Approvals in a Dynamic Regulatory Environment.
AB - The Food and Drug Administration formally established the Oncology Center of
Excellence (OCE) in January 2017, as authorized by the 21st Century Cures Act, to
expedite the development and review of certain drugs, biologics, and devices for
the treatment of cancer. In its first year, the OCE conducted the clinical
reviews for several products, including the first 2 chimeric antigen receptor T
cell therapies approved for the treatment of advanced hematologic malignancies
and an in vitro diagnostic next-generation sequencing panel, FoundationOne CDx.
The OCE also worked with professional societies and patient advocates on efforts
to modernize clinical trial eligibility criteria, resulting in recommendations
regarding minimal age, brain metastases, organ dysfunction, and human
immunodeficiency virus coinfection. Altogether in 2017, the Food and Drug
Administration approved 16 new drug and biologic applications, 30 supplemental
drug and biologic applications, and 2 biosimilar applications in oncology.
PMID- 29794536
TI - Moonshot Objectives: Catalyze New Scientific Breakthroughs-Proteogenomics.
AB - Breaking down the silos between disciplines to accelerate the pace of cancer
research is a key paradigm for the Cancer Moonshot. Molecular analyses of cancer
biology have tended to segregate between a focus on nucleic acids-DNA, RNA, and
their modifications-and a focus on proteins and protein function. Proteogenomics
represents a fusion of those two approaches, leveraging the strengths of each to
provide a more integrated vision of the flow of information from DNA to RNA to
protein and eventually function at the molecular level. Proteogenomic studies
have been incorporated into multiple activities associated with the Cancer
Moonshot, demonstrating substantial added value. Innovative study designs
integrating genomic, transcriptomic, and proteomic data, particularly those using
clinically relevant samples and involving clinical trials, are poised to provide
new insights regarding cancer risk, progression, and response to therapy.
PMID- 29794540
TI - Closing Knowledge Gaps to Optimize Patient Outcomes and Advance Precision
Medicine.
AB - Realizing the promise of precision medicine requires patient engagement at the
key decision points throughout the cancer journey. Previous research has shown
that patients who make the "right" decisions, such as being treated at a high
volume academic medical center, for example, have better outcomes. An online
survey was conducted to understand awareness of and barriers to these decision
points among patients with multiple myeloma and pancreatic, lung, prostate, and
metastatic breast cancers. Survey respondents were identified by 5 participating
foundations (multiple myeloma: n = 86, pancreatic: n = 108, lung: n = 56,
prostate: n = 50, metastatic breast: n = 86) and recruited by an e-mail or social
media invitation. Descriptive analyses were calculated, and the proportion of
patients from each of the 5 groups was compared for each response category for
each survey item. Consistent gaps in knowledge and actions were identified across
all cancers evaluated in terms of finding the right doctors/team at the right
center; getting the right diagnostic testing done before beginning treatment;
engaging in the right course of treatment, including clinical trials; and in
sharing data. Improving awareness of and changing behavior around these 4
decision points will allow patients to receive better care and contribute to the
advancement of precision medicine.
PMID- 29794541
TI - Can biomarkers improve the rational use of antibiotics?
AB - PURPOSE OF REVIEW: We aim to review recent literature about the use of biomarkers
to guide the initiation and duration of antibiotic treatments for suspected
bacterial infections. RECENT FINDINGS: Several good quality meta-analyses show
that procalcitonin can be safely used to guide antibiotic-related decisions,
especially for respiratory infections, thereby decreasing unnecessary antibiotic
exposure. Furthermore, the use of CRP-based algorithms to guide antibiotic
initiation in primary care patients with acute respiratory infections is
associated with a reduction in antibiotic use without an increase in adverse
events. Regarding neutrophil CD64 and serum amyloid A, more good-quality evidence
is needed to assess their utility as biomarkers to tailor antibiotic use.
Finally, transcriptomics, metabolomics and proteomics are promising tools for the
development of tests to differentiate specific host responses to viral, bacterial
and noninfectious stimuli, but such tests still need further validation. SUMMARY:
Evidence shows that the use of biomarkers, procalcitonin and CRP, can safely
reduce unnecessary antibiotic prescriptions in certain infectious syndromes. The
clinical utility of host-based strategies such as transcriptomics, metabolomics
and proteomics for the diagnosis of infectious diseases has yet to be evaluated,
as well as considerations such as costs, technical complexity and result
turnaround time.
PMID- 29794539
TI - Data-Powered Participatory Decision Making: Leveraging Systems Thinking and
Simulation to Guide Selection and Implementation of Evidence-Based Colorectal
Cancer Screening Interventions.
AB - A robust evidence base supports the effectiveness of timely colorectal cancer
(CRC) screening, follow-up of abnormal results, and referral to care in reducing
CRC morbidity and mortality. However, only two-thirds of the US population is
current with recommended screening, and rates are much lower for those who are
vulnerable because of their race/ethnicity, insurance status, or rural location.
Multiple, multilevel factors contribute to observed disparities, and these
factors vary across different populations and contexts. As highlighted by the
Cancer Moonshot Blue Ribbon Panel working groups focused on Prevention and Early
Detection and Implementation Science inadequate CRC screening and follow-up
represent an enormous missed opportunity in cancer prevention and control. To
measurably reduce CRC morbidity and mortality, the evidence base must be
strengthened to guide the identification of (1) multilevel factors that influence
screening across different populations and contexts, (2) multilevel interventions
and implementation strategies that will be most effective at targeting those
factors, and (3) combinations of strategies that interact synergistically to
improve outcomes. Systems thinking and simulation modeling (systems science)
provide a set of approaches and techniques to aid decision makers in using the
best available data and research evidence to guide implementation planning in the
context of such complexity. This commentary summarizes current challenges in CRC
prevention and control, discusses the status of the evidence base to guide the
selection and implementation of multilevel CRC screening interventions, and
describes a multi-institution project to showcase how systems science can be
leveraged to optimize selection and implementation of CRC screening interventions
in diverse populations and contexts.
PMID- 29794542
TI - Legionella: a reemerging pathogen.
AB - PURPOSE OF REVIEW: The present review summarizes new knowledge about Legionella
epidemiology, clinical characteristics, community-associated and hospital-based
outbreaks, molecular typing and molecular epidemiology, prevention, and detection
in environmental and clinical specimens. RECENT FINDINGS: The incidence of
Legionnaire's disease is rising and the mortality rate remains high, particularly
for immunocompromised patients. Extracorporeal membrane oxygenation may help
support patients with severe respiratory failure. Fluoroquinolones and macrolides
appear to be equally efficacious for treating Legionnaires' disease. Whole genome
sequencing is an important tool for determining the source for Legionella
infections and for understanding routes of transmission and mechanisms by which
new pathogenic clones emerge. Real-time quantitative polymerase chain reaction
testing of respiratory specimens may improve our ability to diagnose
Legionnaire's disease. The frequency of viable but nonculturable organisms is
quite high in some water systems but their role in causing clinical disease has
not been defined. SUMMARY: Legionellosis remains an important public health
threat. To prevent these infections, staff of municipalities and large buildings
must implement effective water system management programs that reduce Legionella
growth and transmission and all Medicare-certified healthcare facilities must
have water management policies. In addition, we need better methods for detecting
Legionella in water systems and in clinical specimens to improve prevention
strategies and clinical diagnosis.
PMID- 29794543
TI - Refractory Constipation: What is the Clinician to Do?
AB - Most clinicians will agree that chronic constipation is characterized by abnormal
bowel movement consistency and/or frequency plus or minus evacuation symptoms,
but patient perception of constipation varies widely and includes symptoms that
may or may not meet official defining criteria. Although intermittent
constipation is extremely common, only a small minority of patients seek care for
their symptoms. Among these patients, dissatisfaction with the currently
available laxative options is not uncommon, and many patients will require
specialized care for severe or refractory symptoms-especially those with
abdominal pain, irritable bowel syndrome overlap, bloating or distention, and
psychological comorbidities. This review outlines a physiological assessment of
the patient with refractory constipation, exploring treatment options among
patients with slow transit, rectal evacuation disorders, and normal transit. In
addition, we explore nonlaxative approaches to normal-transit patients bothered
by ongoing symptoms, with an emphasis on the biopsychosocial model of functional
gastrointestinal disease and treatment of visceral hypersensitivity using
neuromodulators. Finally, we propose a comprehensive evaluation algorithm for the
management of patients with refractory slow-transit constipation considering
surgery and examine surgical options including colectomy and cecostomy using an
antegrade continent enema.
PMID- 29794544
TI - Shear Wave Elastography to Assess Perineal Body Stiffness During Labor.
AB - OBJECTIVES: The objective of this study was to evaluate perineal body stiffness
intrapartum using shear wave elastography ultrasound and to study its association
with maternal and labor characteristics. METHODS: This was a prospective
observational study. Pregnant women with term pregnancy who had been admitted for
labor management were recruited into the study. Transperineal shear wave
elastography of perineal body was performed. Maternal and labor data were
retrieved from electronic medical charts. RESULTS: Thirty-two patients' data were
available for analysis. Mean (SD) melastography modulus was 15.33 (5.49). While
comparing the mean elastography modulus across maternal and labor
characteristics, the difference was statistically different between parity,
cervical dilation, and perineal laceration presence groups (P < 0.05). The mean
of elastography modulus of primiparous women with cervical dilation less than 3
cm was 21.47 kPa, whereas that of multiparous women was 13.17 kPa (P = 0.0511).
Perineal laceration was more prevalent in women with stiffer perineal body. The
risk of having perineal laceration compared with no perineal laceration was 29.1%
higher for each additional unit increase in perineal body elastography modulus
(odds ratio, 0.709; 95% confidence interval, 0.507-0.992). CONCLUSIONS: Shear
wave elastography can be used to quantify perineal body stiffness. Primiparous
women in early stages of labor have stiffer perineal body than multiparous women
in any stage of labor and primiparous women in late stage of labor.
PMID- 29794545
TI - Effect of telerehabilitation on mobility in people after hip surgery: a pilot
feasibility study.
AB - The goal of this study was to evaluate the effects of telerehabilitation on
mobility in people following hip surgery. This feasibility pilot randomized
controlled trial included a sample of 40 participants, with 22 male and 18 female
patients and mean age (SD) of 67.5 (7.8) years following a surgical intervention.
Participants were equally divided and randomly assigned to a telerehabilitation
or control intervention group (6 weeks, 3 sessions/week). Telerehabilitation was
based on video clips of common rehabilitation exercises focusing on the lower
limbs. The control group received an exercise booklet. Both groups participated
in physical therapy sessions, twice a week. Outcome measures included the Timed
Up and Go test, 2-min walk test, 10-m walk test, sit to stand test, walking
speed, and mean step length. Measurements were completed at baseline, at
termination of the intervention, and at a 4-week follow-up examination.
Improvements in both groups were demonstrated in all outcome measures in the
postintervention evaluation. Improvements in the telerehabilitation group were
greater in five of six tests compared with those achieved by the controls. The
telerehabilitation group showed greater improvements in the 2-min walking test
(86.1%) and walking speed (65.6%). During follow-up, the telerehabilitation group
continued to improve in all outcome measures in contrast to the control group,
who showed no changes in five of the six outcome measures. Telerehabilitation, a
complementary treatment to standard physical therapy, generates a positive effect
on mobility in people following hip surgery.
PMID- 29794546
TI - Early Interventions for the Prevention of Posttraumatic Stress Symptoms in
Survivors of Critical Illness: A Qualitative Systematic Review.
AB - OBJECTIVES: Posttraumatic stress disorder among survivors of critical illness is
of public health importance, as it is common and reduces patient quality of life.
The objective of this systematic review was to collate the world's literature on
interventions aimed at preventing posttraumatic stress disorder among survivors
of critical illness. DATA SOURCES: We performed a search of CENTRAL, MEDLINE,
EMBASE, CINAHL, and clinical trials registry platforms, with no restriction to
language using a comprehensive strategy. STUDY SELECTION: Study inclusion
criteria were as follows: 1) adult human subjects, 2) patients treated in an ICU
setting, 3) intervention arm aimed at reducing posttraumatic stress disorder
symptoms, 4) use of a control arm, and 5) an outcome measure assessing
development of acute stress or posttraumatic stress disorder symptoms. DATA
EXTRACTION: We performed a qualitative analysis to collate and summarize effects
of identified interventions according to the recommended methodology from the
Cochrane Handbook. DATA SYNTHESIS: Seventeen studies met all inclusion and no
exclusion criteria. There was heterogeneity in interventions and outcome measures
used. All studies had some concern for risk of bias as per the Cochrane tool for
assessing risk of bias. In eight of 12 studies (67%) testing early interventions
(i.e., initiated in the ICU course) and one of five studies (20%) testing delayed
interventions following ICU discharge, posttraumatic stress disorder symptoms
were decreased among the intervention group compared with controls. CONCLUSIONS:
Despite a paucity of high-quality clinical investigations, the preponderance of
evidence to date suggests that 1) posttraumatic stress disorder among survivors
of critical illness may be preventable and 2) early interventions may be the most
effective.
PMID- 29794548
TI - Motivational Profiles and Motivation for Lifelong Learning of Medical
Specialists.
AB - INTRODUCTION: Medical specialists face the challenge of maintaining their
knowledge and skills and continuing professional development, that is, lifelong
learning. Motivation may play an integral role in many of the challenges facing
the physician workforce today including maintenance of a high performance. The
aim of this study was to determine whether medical specialists show different
motivational profiles and if these profiles predict differences in motivation for
lifelong learning. METHODS: An online questionnaire was sent to every medical
specialist working in five hospitals in the Netherlands. The questionnaire
included the validated Multidimensional Work Motivation Scale and the Jefferson
Scale of Physician Lifelong Learning together with background questions like age,
gender, and type of hospital. Respondents were grouped into different
motivational profiles by using a two-step clustering approach. RESULTS: Four
motivational profiles were identified: (1) HAMC profile (for High Autonomous and
Moderate Controlled motivation), (2) MAMC profile (for Moderate Autonomous and
Moderate Controlled motivation), (3) MALC profile (for Moderate Autonomous and
Low Controlled motivation), and (4) HALC profile (for High Autonomous and Low
Controlled motivation). Most of the female specialists that work in an academic
hospital and specialists with a surgical specialty were represented in the HALC
profile. DISCUSSION: Four motivational profiles were found among medical
specialists, differing in gender, experience and type of specialization. The
profiles are based on the combination of autonomous motivation (AM) and
controlled motivation (CM) in the specialists. The profiles that have a high
score on autonomous motivation have a positive association with lifelong
learning.
PMID- 29794547
TI - Constipation in critical care patients: both timing and duration matter.
AB - OBJECTIVE: Most of the studies have defined constipation as a period without
stool after ICU admission. We aimed to test the impact of both duration and
timing of infrequent defecation in critical care patients. PATIENTS AND METHODS:
We performed a prospective, bi-center, observational study. Patients were divided
into three subgroups: 'not constipated', '3-5 days', and 'at least 6 days'
(longest period without stool passage, respectively, shorter than 3 days, 3-5
days, and >=6 days). Furthermore, 'early' constipated patients were defined as
those for whom the longest time to stool passage occurred just after ICU
admission, whereas for 'late' constipated patients the longest period without
stool occurred later during ICU stay. RESULTS: A total of 182 patients were
included: the mean age was 67.2 years (54.4-78.9 years), 80 were women, and
simplified acute physiology score II was 42 (34-52). In all, 42 (23.1%), 82
(45.1%), and 58 (31.8%) belonged to the nonconstipated, 3-5 days, or greater than
or equal to 6 days subgroup of patients, respectively. Time spent under
mechanical ventilation and ICU length of stay was longer in the greater than or
equal to 6 days subgroups as compared with both other subgroups. ICU stay was
longer in the 3-5 days subgroup as compared with the not constipated patients.
Furthermore, the late patients of the greater than or equal to 6 days subgroups
exhibited worse survival as compared with all other patients. CONCLUSION: Both
timing and duration of infrequent defecation seem to have an impact on critical
care patient's outcome, and should therefore be included in the diagnostic
criteria.
PMID- 29794549
TI - Tips on Collecting, Presenting, and Statistically Analyzing Data: Strategies for
Avoiding Reviewer Criticisms in Education and Practice Improvement Research.
PMID- 29794550
TI - Comforting Comes Full Circle.
AB - Nurses' personal grief and loss experiences can enhance their ability to comfort
family members of seriously ill and dying patients. Spiritual care in these
situations can include empathy, listening, and sharing of Scripture when
appropriate. Additionally, caring for emotional needs of patients in critical
care situations also may enable a nurse to resolve personal grief experiences.
PMID- 29794551
TI - Barriers to Preceptor Placement for Nurse Practitioner Students.
AB - Advanced practice registered nurses (APRNs) are positioned to fill healthcare
gaps in the looming primary care provider shortage, if clinical educational needs
can be met. Issues with preceptor placements for APRNs have been hotly debated in
nursing education but not well studied. This article presents a case study of a
quality improvement project, describing the experiences of a Clinical Preceptor
Placement Coordinator for APRN students for a small Midwestern university.
Barriers identified in finding preceptor placements for students are delineated,
and suggestions for solutions and further research are given to address this
growing problem.
PMID- 29794552
TI - Drug-resistant cytomegalovirus: clinical implications of specific mutations.
AB - PURPOSE OF REVIEW: Cytomegalovirus (CMV) infection can be refractory to antiviral
treatment. Although refractoriness can be due impaired host immunity, it can also
be due to viral mutations that confer antiviral drug resistance. This article
provides a succinct review of mutations in CMV genes that confer drug resistance,
and offer guidance on clinical management. RECENT FINDINGS: Recent advances in
medical and research technology have confirmed traditional mutations and
identified novel ones that confer resistance to current antiviral drugs.
Resistance to ganciclovir is commonly predicted by mutations in UL97, which
encode for viral kinase that catalyzes its phosphorylation. Mutations in UL54,
which encode for CMV DNA polymerase, confer resistance (or cross-resistance) to
ganciclovir, cidofovir and/or foscarnet. Resistance to letermovir, the new drug
approved for CMV prophylaxis in allogeneic hematopoietic stem cell transplant
recipients, has emerged and mapped most commonly to mutations in UL56 and less
commonly UL51 and UL89, the gene complex that encode for viral terminase.
SUMMARY: Mutations in CMV genes can be selected during antiviral drug exposure,
and manifests phenotypically as nonresponsive drug-resistant disease. Knowledge
of specific mutations informs clinicians in selecting appropriate antivirals for
managing transplant patients with CMV disease.
PMID- 29794553
TI - Early diagnosis of fungal infections in lung transplant recipients, colonization
versus invasive disease?
AB - PURPOSE OF REVIEW: The diagnosis of invasive aspergillosis remains challenging in
solid organ transplants in general, and in lung transplant recipients, in
particular, because of colonization. Lung transplant recipients may be over
treated with antifungal drugs because of the lack of appropriate diagnostic
tools. RECENT FINDINGS: A review of the new developments of diagnostic tools and
whether this help distinguishing colonization from invasive disease is presented.
SUMMARY: Efforts are being made to develop new tools that will allow us to
identify which patients will develop IPA, and those who will be able to control
the disease.
PMID- 29794555
TI - The Association Between Long Working Hours and High-Sensitivity C-Reactive
Protein in Older Aged Individuals: The Korea National Health and Nutrition
Examination Survey (KNHANES) 2015.
AB - OBJECTIVE: The objective of this study was to show the association of high
sensitivity C-reactive protein (hs-CRP) level with working hours in different age
groups. METHODS: We used data from Korean National Health and Nutrition Survey.
The odds ratios (ORs) and 95% confidence intervals (CIs) of variables for
elevated hs-CRP (>3.0 mg/L) were generated with logistic regression models.
Significant variables were verified with an adjusted multivariate logistic model
after stratification of age groups. RESULTS: Working for more than 55 hours per
week was associated with elevated hs-CRP level in the old-ages group (>= 60 years
old: OR 2.18, 95% CI 1.07 to 4.45). Working for 40 to 55 hours per week was
associated with decreased hs-CRP in the young-ages group (OR 0.58, 95% CI 0.37 to
0.93). CONCLUSION: Working hours appear to influence the levels of hs-CRP in
individuals aged older than 60 years.
PMID- 29794554
TI - Pertinence of Titration and Age-Based Dosing Methods for Electroconvulsive
Therapy: An International Retrospective Multicenter Study.
AB - BACKGROUND: Although the dosage of electroconvulsive therapy (ECT) stimulus has a
major impact on the efficacy and safety of this treatment, the method used to
determine an optimal dosage remains a matter of debate. OBJECTIVE: We
investigated factors influencing the seizure threshold (ST) in a large-sample
study and compared age-based and titration dosing methods in terms of charge.
METHODS: A retrospective study examined data from 503 patients across France and
Canada. The patients underwent right unilateral (RUL) or bitemporal (BT) ECT
during a titration session before undergoing ECT. Seizure threshold and charge
differences between age-based and titration-predicted methods were derived for
each RUL and BT patient and compared according to sex, age, and anesthetic
agents. RESULTS: Based on our results, ST is a function of electrode placement,
sex, age, and anesthetic agents. Titration and age-based methods led to
completely different patterns of charges for the same electrode placement,
especially in elderly and in women in the RUL group. Regression models showed
that differences between the age-based and titration methods varied with respect
to age, sex, and anesthetic agent. Specifically, significant effects of sex and
age were observed for RUL ECT and of sex and anesthetics for BT ECT. CONCLUSIONS:
This study revealed that several factors significantly influence the prediction
of ECT dose, depending on individuals and treatment modalities. Caution should be
exercised when using nonindividualized methods to calculate ST.
PMID- 29794556
TI - A review of recent literature on the impact of parental substance use disorders
on children and the provision of effective services.
AB - PURPOSE OF REVIEW: To provide an update of recent studies of the incidence and
impact of parental substance use disorders (SUDs) on children, and to identify
effective treatment programs to assist parents with SUDs and their children.
RECENT FINDINGS: Children of parents with alcohol and drug use disorders (COPADs)
suffer from physical, mental and behavioral problems at higher rates than other
children and are more likely to develop their own SUDs in adolescence. Parenting
styles and familial dysfunction contribute to the intergenerational transmission
of SUDs. Studies of the negative effects of parental SUDs on children identified
the effects of inconsistent, disengaged or harsh parenting practices on mother
child bonding. Exposure to violence and father's hostility contribute to
children's externalizing and internalizing behaviors. Family- based intervention
programs, as well as programs for mothers with SUDs and their young children,
have shown positive results. For high-risk families with multiple needs, the
ongoing support of multidisciplinary services is required. SUMMARY: Parental SUDs
have a profound impact on their children, including intergenerational
transmission of SUDs. A variety of interventive programs are being studied in
order to devise effective programs to assist these children.
PMID- 29794558
TI - Covariables and types of abnormal left ventricular geometry in nonelderly
ischemic stroke survivors: the Norwegian Stroke in the Young Study.
AB - BACKGROUND: Abnormal left ventricular (LV) geometry types, like LV hypertrophy
(LVH) and concentric remodelling, are independently associated with impaired
prognosis in hypertension. Little is known about the prevalence and covariables
of abnormal LV geometry types in younger ischemic stroke patients. METHODS: We
used clinical and echocardiographic data from 280 patients aged 15-60 years
included in the Norwegian Stroke in the Young Study. LVH was defined as LV mass
index greater than 46.7 g/m in women and greater than 49.2 g/m in men. Concentric
remodelling was considered present if posterior wall thickness/LV internal
diameter ratio at least 0.43 in the absence of LVH. Arterial damage was assessed
by mean common carotid intima-media thickness (IMT) and carotid-femoral pulse
wave velocity (PWV). RESULTS: Abnormal LV geometry was found in 37% of patients.
Concentric remodelling was the most prevalent abnormal LV geometry type, found in
21%, whereas LVH was found in 16%. In multivariable logistic regression analyses,
LVH was associated with increased PWV, higher BMI and creatinine, and presence of
diabetes and hypertension (all P < 0.05), whereas concentric remodelling was
associated with higher mean carotid IMT independent of age (both P < 0.05).
CONCLUSION: In ischemic stroke survivors less than 60 years of age, abnormal LV
geometry was common, found in 37%. Presence of abnormal LV geometry may
contribute to the known higher risk of recurrent cardiovascular events in
ischemic stroke survivors.
PMID- 29794557
TI - Does the Neuroimmune Modulator Ibudilast Alter Food Craving? Results in a Sample
With Alcohol Use Disorder.
AB - OBJECTIVE: Ibudilast (IBUD) is a neuroimmune modulator that inhibits
phosphodiesterase-4 and -10 and macrophage migration inhibitory factor. A
randomized, placebo-control, crossover human laboratory trial advanced IBUD
development for alcohol use disorder and found that IBUD reduced tonic levels of
alcohol craving. Given the importance of considering medication effects on other
appetitive behavior, the present study tested the effect of IBUD (50 mg bid) on
food craving. METHOD: The present study was a secondary data analysis of the
trial of IBUD in non-treatment seekers with alcohol use disorder (N = 19). High
fat/high-sugar food craving was measured daily. Moreover, because substantial
literatures show that small alcohol doses and psychological stress increase
eating of high-fat/high-sugar food, craving for high-fat/high-sugar food was
measured after alcohol infusion and stress reactivity. RESULTS: Results indicated
that IBUD did not alter tonic high-fat/high-sugar food craving. Alcohol infusion
did not generally increase high-fat/high-sugar food craving but psychological
stress did. Likewise, IBUD did not affect high-fat/high-sugar food craving after
alcohol infusion but IBUD did increase high-fat/high-sugar food craving after
psychological stress. Follow-up analyses revealed that, among individuals with
lower depressive symptomatology, IBUD compared to placebo heightened the effect
of psychological stress on high-fat/high-sugar food craving. CONCLUSIONS: These
results advance the development of IBUD for addiction indications by
demonstrating that IBUD compared to placebo does not suppress other appetitive
responses, namely craving for high-fat/high-sugar food among individuals with
alcohol use disorder.
PMID- 29794559
TI - Blood pressure response to maximal dynamic exercise testing in an athletic
population.
AB - OBJECTIVE: Exaggerated blood pressure (BP) response to exercise testing has been
linked to left ventricular hypertrophy and myocardial fibrosis in competitive
athletes. Due to frequent training, athletes are particularly exposed to high BP
levels, but data on the magnitude and distribution of BP response to exercise in
athletic populations is scarce. METHODS: Cycle ergometry was performed in 2419
healthy competitive adolescent, professional and master athletes (age 26 +/- 12
years, range 9-74, 27% women, 84 disciplines) for preparticipation screening.
Fulfilling both subjective and at least two out of three objective exhaustion
criteria (maximal heart rate >85% predicted, lactate >=7 mmol/l, rate of
perceived exertion >=17) was required. Echocardiography was also performed.
RESULTS: Maximal workload was 305 +/- 59 W (mean +/- SD) in men and 218 +/- 40 in
women. SBP increased significantly (men, Delta80 +/- 20; women, Delta67 +/- 16
mmHg; P < 0.001) to 204 +/- 22 (men) and 180 +/- 17 mmHg (women). DBP changed
minimally (men: Delta0 +/- 9, women: Delta2 +/- 8 mmHg). The upper normative
limit of SBP in men was 247 [95% CI 245-249; women: 214 (212-216) mmHg]. ESC
guidelines of upper limits of maximal SBP were exceeded in 43% in men (>210 mmHg)
and 28% in women (>190 mmHg). SBP response was more pronounced in endurance
athletes, whereas DBP was slightly higher in strength athletes. Among cardiac
dimensions, the strongest association for maximal SBP was found for left
ventricular mass (r = 0.489; P < 0.001). CONCLUSION: SBP response to exercise
testing is markedly exaggerated particularly in male endurance athletes. The
prognostic significance of these findings regarding long-term cardiovascular
alterations requires further clarification.
PMID- 29794560
TI - Genetic effects on bone health.
AB - PURPOSE OF REVIEW: In recent years, the lower costs of arrays and sequencing
technologies, and the better availability of data from genome-wide association
studies (GWASs) have led to more reports on genetic factors that are associated
with bone health. However, there remains the need for a summary of the newly
identified genetic targets that are associated with bone metabolism, and the
status of their functional characterization. RECENT FINDINGS: GWASs revealed
dozens of novel genetic loci that are associated with bone mineral density (BMD).
Some of these targets have been functionally characterized, although the vast
majority have not. Glypican 6, a membrane surface proteoglycan involved in
cellular growth control and differentiation, was identified as a novel
determinant of BMD and represents a possible drug target for treatment of
osteoporosis. Pathway analysis also showed that cell-growth pathways and the SMAD
proteins associated with low BMD. SUMMARY: Hits that were significantly
associated with BMD in different studies represent likely candidates (e.g. SOST,
WNT16, ESR1 and RANKL) for functional characterization and development of
osteoporosis treatments. Indeed, currently available treatment for osteoporosis
(antibody against RANKL) appeared a significant target in four recent GWAS
studies indicating their applicability and importance for future treatment
development.
PMID- 29794561
TI - No association of BRD1 and ZBED4 polymorphisms with schizophrenia in the Chinese
Han population.
AB - The distal long arm of chromosome 22 (22q13.3) may harbor genes implicated in
schizophrenia. This is evidenced by various genetic mapping studies. BRD1 and its
neighboring gene ZBED4, both located within this region, have repeatedly been
found to be associated with schizophrenia in the Caucasian population. In this
study, we chose seven SNPs (two BRD1 SNPs, five ZBED4 SNPs) to carry out an
association study between these two genes and schizophrenia in the Chinese
population. However, no significant result was obtained, which was consistent
with the Japanese population. Taken together, we could conclude that BRD1 and
ZBED4 might be population specific in schizophrenia and may not account for a
substantial proportion of genetic risk for schizophrenia in the Asian population.
PMID- 29794562
TI - Tumour-infiltrating lymphocytes, programmed death ligand 1 and cyclooxygenase-2
expression in skin melanoma of elderly patients: clinicopathological
correlations.
AB - Age is an important prognostic factor in melanoma; notably, elderly patients tend
to present with advanced stage skin melanoma (SM) and worse outcome. Moreover, SM
is an immunogenic cancer, and its interaction with the aging immune system could
have an effect on biologic behaviour of this disease. Tumour-infiltrating
lymphocytes (TILs) could represent the host response in SM; it has been shown
that higher grade of TILs is associated with better survival. Moreover,
programmed death ligand 1 (PD-L1) and cyclooxygenase-2 (COX-2) are potential
markers of host immune response and inflammation. We retrospectively reviewed 113
consecutive cases of early-stage SM that occurred in patients aged greater than
or equal to 65 years at the time of diagnosis, followed between January 2010 and
March 2014 at the University and General Hospital of Udine, Italy. The aim of
this study was to evaluate TILs grade, PD-L1 expression on TILs and tumour
expression of PD-L1 and COX-2 and their prognostic value in elderly patients with
early SM. A better disease-free survival as well as melanoma-specific survival
(MSS) was significantly associated with TILs [hazard ratios (HR): 0.41, 95%
confidence interval (CI): 0.20-0.84, P=0.02 and HR: 0.37, 95% CI: 0.17-0.82,
P=0.01, respectively]. PD-L1 positivity on TILs was associated with a better MSS
(HR: 0.41, 95% CI: 0.17-0.97, P=0.04). Moreover, among patients with TILs, those
showing COX-2 positivity on tumour cells and no PD-L1 expression on TILs had a
worse disease-free survival and MSS (HR: 5.18, 95% CI: 1.33-20.23, P=0.018; HR:
6.21, 95% CI: 1.20-32.24, P=0.03; respectively). Immune and inflammatory markers
deserve further investigation in aging patients with melanoma.
PMID- 29794563
TI - Ultrasound-guided single injection versus continuous sciatic nerve blockade on
pain management and mobilisation after total knee arthroplasty (CoSinUS trial): A
randomised, triple-blinded controlled trial.
AB - BACKGROUND: Combining continuous femoral nerve blockade with single injection
sciatic nerve blockade is standard peripheral nerve block practice for total knee
arthroplasty (TKA) during the first 24 postoperative hours. OBJECTIVES: To assess
the analgesic benefits and mobilisation capability of continuous sciatic blockade
in conjunction with continuous femoral nerve blockade for 72 h after
arthroplasty. DESIGN: Randomised, triple-blinded controlled trial. SETTING:
Single-Centre, German University Hospital. PATIENTS: In total, 50 patients
receiving continuous femoral nerve blockade (5 ml h ropivacaine 0.2%) for TKA
under general anaesthesia. INTERVENTIONS: Patients were randomised to receive a
sciatic nerve catheter with an initial dose of 10 ml ropivacaine 0.2% followed by
either continuous double-blinded application of 5 ml h ropivacaine 0.2% (CO) or 5
ml h saline infusion (SIN). MAIN OUTCOME: Measures primary endpoint: cumulative
morphine consumption until 48 h postoperatively. Further endpoints included
morphine consumption, pain scores, mobilisation, dynamometry until postoperative
day 3. RESULTS: Median [25th to 75th percentiles] cumulative morphine consumption
at postoperative day 2 differed significantly between groups (CO 15 mg [11 to 25]
versus SIN, 43 mg [27 to 67.5, P < 0.0001) in the 48 patients in the final
analysis. Overall pain scores were comparable at rest and during stress at each
time point. However, significantly higher pain scores of the popliteal fossa were
observed in the SIN group. Mobilisation was comparable between groups.
CONCLUSION: This trial demonstrates the superior analgesic effects of continuous
sciatic nerve block compared with a single injection in combination with
continuous femoral blockade during the first 72 h after TKA. However,
mobilisation capability was impaired in both groups. Improved pain control from
two catheters needs to be balanced against the potential risks of impaired
mobilisation and patient falls. TRIAL REGISTRATION: DRKS - German clinical trials
register (no: DRKS00010152).
PMID- 29794564
TI - Examining prescriber perceptions of statin therapy and the potential implications
these perceptions may have on guideline adherence.
AB - Not all psychiatric inpatients who are candidates for statin therapy are
prescribed statin medication. It is unclear whether this is because of prescriber
guideline uncertainty or purposeful avoidance because of other reasons. This
study aims to determine prescribers' perceptions of recent cholesterol treatment
guidelines, and whether their prescribing may be influenced by recent,
potentially oversimplified headlines in the media.
PMID- 29794565
TI - Heritability of Age-Related Hearing Loss in Middle-Aged and Elderly Chinese: A
Population-Based Twin Study.
AB - OBJECTIVES: The heritability of age-related hearing loss has been studied mostly
in developed countries. The authors aimed to estimate the heritability of better
ear hearing level (BEHL), defined as hearing level of the better ear at a given
frequency, and pure-tone averages at the middle (0.5, 1.0, and 2.0 kHz) and high
(4.0, 8.0, and 12.5 kHz) frequencies among middle-aged and elderly Chinese twins,
and to explore their genetic correlations. DESIGN: This population-based twin
study included 226 monozygotic and 132 dizygotic twin-pairs and 1 triplet (age
range, 33 to 80 years; mean age, 51.55 years). Pure-tone air-conducted hearing
thresholds in each ear were measured at the frequencies of 0.5, 1.0, 2.0, 4.0,
8.0, and 12.5 kHz with a diagnostic audiometer. Univariate and multivariate twin
models were fitted to evaluate heritability and genetic correlations. RESULTS:
Our data showed a reverse J-shaped pattern of BEHLs at six frequencies by age and
sex. Univariate analysis showed that the heritability of BEHLs at the frequencies
between 2.0 and 12.5 kHz ranged from 47.08 to 54.20%, but the heritability at the
frequencies of 0.5 and 1.0 kHz was 1.65% and 18.68%, respectively. The
heritability of pure-tone average at the middle and high frequencies was 34.77%
and 43.26%, respectively. Multivariate analysis showed significant genetic
correlations among BEHLs at all six frequencies, with the correlation
coefficients ranging from 0.48 to 0.83 at middle frequencies, and from 0.46 to
0.75 at high frequencies. CONCLUSIONS: This population-based twin study suggests
that genetic factors are associated with age-related hearing loss at middle and
high frequencies among middle-aged and elderly Chinese.
PMID- 29794566
TI - Effects of Amplification and Hearing Aid Experience on the Contribution of
Specific Frequency Bands to Loudness.
AB - OBJECTIVES: The primary aim of this study is to describe the effect of hearing
aid amplification on the contribution of specific frequency bands to overall
loudness in adult listeners with sensorineural hearing loss (SNHL). Results for
listeners with SNHL were compared with results for listeners with normal hearing
(NH) to evaluate whether amplification restores the normal perception of loudness
for broadband sound. A secondary aim of this study is to determine whether the
loudness perception of new hearing aid users becomes closer to normal over the
first few months of hearing aid use. It was hypothesized that amplification would
cause the high-frequency bands to contribute most to the perception of loudness
and that this effect might decrease as new hearing aid users adapt to
amplification. DESIGN: In experiment 1, 8 adult listeners with SNHL completed a
two-interval forced-choice loudness task in unaided and aided conditions. A
control group of 7 listeners with NH completed the task in the unaided condition
only. Stimuli were composed of seven summed noise bands whose levels were
independently adjusted between presentations. During a trial, two stimuli were
presented, and listeners determined the louder one. The correlation between the
difference in levels for a given noise band on every trial and the listener's
response was calculated. The resulting measure is termed the perceptual weight
because it provides an estimate of the relative contribution of a given frequency
region to overall loudness. In experiment 2, a separate group of 6 new hearing
aid users repeated identical procedures on 2 sessions separated by 12 weeks.
RESULTS: Results for listeners with SNHL were similar in experiments 1 and 2. In
the unaided condition, perceptual weights were greatest for the low-frequency
bands. In the aided condition, perceptual weights were greatest for the high
frequency bands. On average, the aided perceptual weights for listeners with SNHL
for high-frequency bands were greater than the unaided weights for listeners with
NH. In experiment 2, hearing aid experience did not have a significant effect on
perceptual weights. CONCLUSIONS: The high frequencies seem to dominate loudness
perception in listeners with SNHL using hearing aids as they do in listeners with
NH. However, the results suggest that amplification causes high frequencies to
have a larger contribution to overall loudness compared with listeners with NH.
The contribution of the high frequencies to loudness did not change after an
acclimatization period for the first-time hearing aid users.
PMID- 29794567
TI - Ketamine and electroconvulsive therapy: so happy together?
AB - PURPOSE OF REVIEW: Anesthetics, such as thiopental, methohexital, propofol and
ketamine have been used to induce unconsciousness for electroconvulsive therapy
(ECT), each with its advantages and disadvantages. Only until recently was it
discovered that ketamine may have inherent antidepressant effects. We reviewed
the side effect profile of ketamine and examined the literature for whether or
not ketamine augments the antidepressant effects of ECT. RECENT FINDINGS:
Systematic reviews and meta-analyses of randomized controlled trials of the
potential benefits of adding ketamine to ECT treatment have generated varied
conclusions. Currently there is a lack of clear evidence that ketamine with ECT
is more efficacious than ECT alone. SUMMARY: Large, multicenter randomized
controlled trials are needed to further investigate the potential advantages of
adding ketamine to ECT for patients with severe or refractory depression. The
addition of ketamine to ECT treatment may have some early beneficial effect in
patients with acute depressive disorders. Most likely, ECT itself is responsible
for lasting remission from severe depression. Ketamine's side effect profile may
be undesirable in certain patient populations, and so the risks and benefits of
the addition of this drug to ECT treatment must be weighed.
PMID- 29794568
TI - Healthcare Professionals' and Patients' Views of Discussing Sexual Well-being
Poststroke.
AB - PURPOSE: Stroke can cause physical and emotional problems affecting sexual well
being; healthcare professionals (HCPs) are often uncomfortable discussing this
topic with patients. We explored the perspectives of HCPs and stroke survivors
about barriers to discussing sexual well-being poststroke. DESIGN: A mixed
methodology was employed. METHODS: A postal survey of stroke survivors (n = 50),
a focus group with HCPs on a stroke unit (n = 6), and a focus group with
community-living stroke survivors (n = 6) were used in this study. Focus group
data were analyzed thematically. FINDINGS: No patient surveyed (60% response
rate) had discussed sexual well-being with an HCP. Focus groups revealed barriers
on multiple levels: structural, HCP, patient, and professional-patient interface.
CONCLUSIONS: Healthcare professionals were poorly trained, adopted a passive
role, and addressed sexual activity based on individual beliefs rather than
having an agreed team approach. CLINICAL RELEVANCE: Relatively simple steps like
inclusion in policy, training to empower HCPs, and the provision of written
information for patients could help to improve practice.
PMID- 29794569
TI - Risk Factors, Depression, and Drugs Influencing Sexual Activity in Individuals
With and Without Stroke.
AB - PURPOSE: The aim of the study was to analyze factors affecting sexual activity in
individuals with and without stroke, ages 40-59 years, in a national, cross
sectional, population-based sample derived from the National Health and Nutrition
Examination Survey (NHANES). DESIGN: Descriptive, cross-sectional survey.
METHODS: Data were obtained from the NHANES (2011-2012) data set from individuals
(N = 3,649) completing items related to cardiovascular risk factors, drugs, and
sexual activity. Data were analyzed using chi-square, t tests, and logistic
regression. FINDINGS: Overall, number of drugs, smoking, and depression
significantly predicted sexual activity. When comparing sexually active to not
sexually active, those with stroke had significantly less sexual activity (t =
2.822, p = .005) and reduced sexual activity per week or month (chi = 16.275, p =
.005, df = 4). Those taking angiotensin-converting enzyme inhibitors and statins
had reduced sexual activity. CONCLUSIONS/CLINICAL RELEVANCE: Findings illustrate
the importance of risk factor modification and nurses engaging in sexual
assessment, education, and counseling to support sexual quality of life in
younger individuals with stroke.
PMID- 29794570
TI - An Exploration of Sensation Seeking in Persons With Disabilities in
Rehabilitation.
AB - PURPOSE: This study reports the findings of a study about sensation-seeking or
high-risk/challenging sports in persons who have disabilities. DESIGN:
Exploratory, cross-sectional, and descriptive. METHODS: Two hundred and twenty
three recruitment e-mails were sent to potential participants. Data were
collected through Qualtrics. FINDINGS: Mean score for Contextual Sensation
Seeking Questionnaire for Skiing and Snowboarding (M = 30.21, SD = 8.18) was
significantly lower than a sample of able-bodied skiers and snowboarders, t(239)
= 2.75, p = .006. Mean for impulsive sensation seeking was lower than the same
sample of able-bodied athletes cited in a previous study, t(240) = 4.56, p =
.001. Means for the Zuckerman Kuhlman Personality Questionnaire subscales were
impulsivity (M = 1.98, SD = 4.05) and sensation seeking (M = 6.75, SD = 2.68).
CONCLUSIONS: This group scored lower in sensation seeking compared to able-bodied
high-risk/challenging sports activities participants. Sensation seeking is not a
motivating factor in this sample. CLINICAL RELEVANCE: Nurses could encourage
rehabilitation patients to engage in challenging activities for personal and
group mastery.
PMID- 29794571
TI - Perioperative Hyperchloremia and its Association With Postoperative Acute Kidney
Injury After Craniotomy for Primary Brain Tumor Resection: A Retrospective,
Observational Study.
AB - BACKGROUND: Hyperchloremia is known to influence postoperative outcomes and may
result in postoperative acute kidney injury (AKI). This study sought to
investigate whether hyperchloremia was associated with postoperative AKI in
patients who underwent surgery for primary brain tumor resection. MATERIALS AND
METHODS: This is a retrospective, observational study of patients who underwent
craniotomy for primary brain tumor resection at a single tertiary care hospital
between January 2005 and October 2017. Maximum levels of serum chloride (mmol/L)
measured on postoperative days (PODs) 0 to 3 and increase in serum chloride
(mmol/L), (maximum serum chloride-baseline serum chloride before surgery) were
measured. We examined whether perioperative hyperchloremia was associated with
postoperative AKI during PODs 0 to 3. Univariate and multivariate logistic
regression analyses were used in this study. RESULTS: A total of 726 patients
were included in the analysis; of these, 39 (5.4%) were diagnosed with
postoperative AKI during PODs 0 to 3. The risk of postoperative AKI was
associated with maximum chloride levels (odds ratio, 1.10; 95% confidence
interval, 1.02-1.19; P=0.015) and with an increase in serum chloride levels
during PODs 0 to 3 (odds ratio, 1.11; 95% confidence interval, 1.04-1.19;
P=0.004). CONCLUSIONS: Our study shows that perioperative hyperchloremia during
PODs 0 to 3 was associated with an increased risk of postoperative AKI during
this period after craniotomy for primary brain tumor resection.
PMID- 29794572
TI - Fast Ablation of Anogenital Warts of the Urinary Meatus by Low-dose Ingenol
Mebutate Gel.
AB - Therapeutic options of anogenital warts (AGW) at the urethral meatus are limited
and often require effortful and time-consuming procedures under general
anesthesia. Here, we present two cases of AGW at the urethral meatus, which we
have successfully treated with low-dose topical ingenol mebutate gel.
PMID- 29794573
TI - A Retrospective Analysis of the Safety Profile of Intravenous Immunoglobulin in
1176 Patients Receiving Home Infusion Therapy.
AB - OBJECTIVES: This analysis assessed the safety of intravenous immunoglobulin
(IVIg) in the treatment of patients with neuroimmunological and immunological
disorders in a home-based setting. METHODS: Adverse reactions (ARs) were assessed
in a retrospective review of 1176 patients receiving 28,677 home-based IVIg
infusions between 1996 and 2013. RESULTS: Of 1176 patients, 648 (55.1%)
experienced IVIg-related ARs; 536 (45.6%) were mild, 78 (6.6%) moderate, and 34
(2.9%) severe. Thirty-seven (3.1%) patients were hospitalized because of ARs; of
these, headache was most common (51.4%). Mean number of ARs per patient increased
from 1.4 (low dose) to 3.6 (high dose). Incidence of ARs increased from 41% in
the first 5-year moving average in 2003 to 65% in 2008. The number of ARs
correlated with the number of infusions (rho = 0.24; P < 0.001) and the average
IVIg dose (rho = 0.10; P < 0.001). CONCLUSIONS: Low- and high-dose IVIg were safe
and well tolerated with a few serious ARs in patients with neuroimmunological and
immunological disorders.
PMID- 29794574
TI - Lumbosacral Radiculoplexopathy as the Initial Presentation of Lymphoma: A Report
of 4 Cases.
AB - OBJECTIVES: To evaluate the clinical, laboratory, and radiological features of 4
cases of biopsy-proven lymphomatous lumbosacral radiculoplexopathy. METHODS:
Retrospective chart review. RESULTS: All patients suffered from diffuse large B
cell lymphoma. A mean diagnostic delay of 10 months was encountered. Presenting
symptoms in all 4 patients included back pain, radicular leg pain, and leg
weakness, similar to spondylotic radiculopathy. Electrodiagnostic study showed
axon loss radiculoplexopathy and magnetic resonance imaging of the lumbar spine
or pelvis demonstrated nerve or nerve root enhancement. Increased uptake by
lumbosacral roots/plexus on fluorodeoxyglucose-positron emission tomography aided
diagnosis in 3 cases. Cytology was positive in 1 of 10 cerebrospinal fluid
samples. Combined chemotherapy and radiation treatment led to clinicoradiological
improvement, with residual neurological symptoms in all patients. CONCLUSIONS:
Lymphomatous lumbosacral radiculoplexopathy should be considered in patients with
progressive lumbosacral radicular symptoms. Magnetic resonance imaging and
fluorodeoxyglucose-positron emission tomography, but not cerebrospinal fluid, are
helpful in achieving early diagnosis. Treatment responses seem favorable.
PMID- 29794575
TI - Distinct Clinical and Genetic Findings in Iranian Patients With Glycogen Storage
Disease Type 3.
AB - OBJECTIVES: Glycogen storage disease type 3 (GSD-III) is a rare inherited
metabolic disorder caused by glycogen debranching enzyme deficiency. Various
pathogenic mutations of the AGL gene lead to abnormal accumulation of glycogen in
liver, skeletal, and cardiac muscles. Here, we report distinct clinical and
genetic data of Iranian patients with GSD-III. METHODS: Clinical and laboratory
data of 5 patients with GSD-III were recorded. Genetic investigation was
performed to identify the causative mutations. RESULTS: Three patients had
typical liver involvement in childhood and one was diagnosed 2 years after liver
transplantation for cirrhosis of unknown etiology. Four patients had vacuolar
myopathy with glycogen excess in muscle biopsy. All patients had novel homozygous
mutations of the AGL gene namely c.378T>A, c.3295T>C, c.3777G>A, c.2002-2A>G, and
c.1183C>T. CONCLUSIONS: This is the first comprehensive report of patients with
GSD-III in Iran with 2 uncommon clinical presentations and 5 novel mutations in
the AGL gene.
PMID- 29794576
TI - Case of Anti-Single Recognition Particle-Mediated Necrotizing Myopathy After
Influenza Vaccination.
AB - Immune-mediated necrotizing myopathy is a very rare inflammatory disease
affecting skeletal muscles. Immune-mediated necrotizing myopathy may be
associated with myositis-specific autoantibodies including anti-single
recognition particle and anti-3-hydroxy-3- methylglutaryl-coenzyme A reductase,
infectious agents (HIV or hepatitis C), other connective tissue disorders (such
as scleroderma), and malignancy. We reported a 28-year-old healthy woman
presented with subacute onset ascending muscle weakness 2 weeks after an annual
influenza vaccination. Cerebral Spinal Fluid study showed normal cell counts with
elevated protein and nerve conduction study showed reduced diffuse compound
muscle action potential amplitudes suggesting a diagnosis of Guillain-Barre
syndrome. Despite treatment using intravenous immunoglobulin, her condition
continued to get worse with new bulbar and respiratory muscle weakness.
Eventually, the diagnosis of anti-single recognition particle-mediated
necrotizing myopathy was made based on elevated creatine kinase, thigh magnetic
resonance imaging, muscle biopsy, and positive antibody testing. Our patient
responded to the combination of intravenous immunoglobulin, prednisone, and anti
CD20 monoclonal antibody, rituximab.
PMID- 29794577
TI - What is in the Myopathy Literature?
AB - This update covers the results of a randomized, placebo-controlled study that
provides evidence that lamotrigine is effective in treating nondystrophic
myotonias. Next, an overview of adverse effects of immune checkpoint inhibitors
is provided, and the association of autoimmune myopathy and these monoclonal
antibody therapies is discussed in light of recent reports. Last, the utility of
electrodiagnostic testing in patients with intensive care unit weakness is
addressed with emphasis on the high sensitivity and specificity of prolonged
compound muscle action potential amplitudes in diagnosing critical illness
myopathy.
PMID- 29794578
TI - Fisher-Pharyngeal-Cervical-Brachial Overlap Syndrome With Novel Ganglioside
Antibodies.
AB - Several variants of Guillain-Barre syndrome have been described. The Fisher
syndrome (FS) presents with ataxia, areflexia, and ophthalmoparesis. The
pharyngeal-cervical-brachial (PCB) variant presents with bulbar weakness, along
with arm and neck weakness. The 2 variant syndromes can overlap. Both the
isolated and overlap syndromes respond to immunomodulatory treatment, thus are
important to recognize clinically. Ganglioside antibodies are detectable in the
variant syndromes and may aid in their diagnosis. The FS typically is associated
with anti-GQ1b antibodies, and PCB is typically associated with anti-GT1a
antibodies, whereas the overlap syndrome may have both ganglioside antibody
subtypes. We present a case of overlap FS-PCB syndrome with a novel ganglioside
antibody profile of GM1 and GD1b antibodies, which typically are associated with
other variant syndromes. This case suggests the need for all ganglioside
antibodies to be tested in suspected variant Guillain-Barre syndromes. The
antibodies may prove especially useful in cases in which the clinical diagnosis
is ambiguous.
PMID- 29794579
TI - Novel Mutation in Anoctamin 5 Gene Causing Limb-Girdle Muscular Dystrophy 2L.
AB - We report a 49-year-old man who presented with a history of asymmetric weakness.
His neurological examination and electromyogram testing suggested the presence of
a myopathy. A muscle biopsy confirmed the presence of a myopathy with several
lobulated, whorled and ring fibers, and it showed no evidence of inflammation.
Genetic testing of more than 50 genes known to cause myopathy was performed and
demonstrates the presence of the common founder mutation in ANO5 gene c.191dupA,
which he inherited from his unaffected father. In addition, he inherited a novel
mutation, c.1063C>T (p.L355F) in exon 11 of ANO5 gene from his unaffected mother.
The founder mutation is a known pathogenic variant and, based on our protein
modeling analysis, the novel c.1063C>T (p.L355F) variant is likely pathogenic.
This indicates that he is a compound heterozygote, providing strong support for
the diagnosis of limb-girdle muscular dystrophy 2L.
PMID- 29794580
TI - Novel TTN Mutation Causing Congenital Myopathy.
PMID- 29794582
TI - "Acquired" Type Castellvi-IIIa Lumbarization Transformed From Castellvi-IIa
Following Discectomy and Fusion at Lumbosacral Level: A Case Report.
AB - STUDY DESIGN: A retrospective case report. OBJECTIVE: To report a case that
transformed from type Castellvi-IIa sacralization to type Castellvi-IIIa after
decompression and fusion surgery at transitional disc (TD). SUMMARY OF BACKGROUND
DATA: Traditionally, lumbosacral transitional vertebra has been regarded as a
congenital anomaly. No literature has ever reported that transformation from one
type lumbosacral transitional vertebra to another would happen after birth.
METHODS: A 60-year-old man presented to our department with the complaint of low
back pain and left sciatic pain, and was diagnosed of lumbar disc herniation at
L4-5 and L5-S1 levels. Lumbar digital radiography revealed an anomalous
articulation formed between sacrum and enlarged right L5 transverse process,
exhibiting a typical Castellvi-IIa sacralization. Dynamic lateral radiographs
showed mobilization existed at L5-S1 disc which was TD. The patient received
posterior lumbar interbody fusion (PLIF) surgery at L4-5 and L5-S1 levels.
Postoperative computed tomography examinations were taken to identify the
progress of solid fusion at the operated segments. RESULTS: After surgery, the
patient's symptoms alleviated obviously. As solid fusion developed at intended
interbody regions with time, we found that bony bridge passed through the
patient's anomalous articulation region gradually, and finally, complete osseous
fusion of the right L5 transverse process to the sacrum developed 1 year after
surgery. CONCLUSION: Following PLIF surgery at TD segment on a Castellvi-IIa
Sacralization case, "acquired" transformation to Castellvi-IIIa might develop.
LEVEL OF EVIDENCE: 5.
PMID- 29794583
TI - Adjacent Segment Disease After Single Segment Posterior Lumbar Interbody Fusion
for Degenerative Spondylolisthesis: Minimum 10 Years Follow-up.
AB - STUDY DESIGN: A retrospective study. OBJECTIVE: The aim of this study was to
investigate the incidence of adjacent segment disease (ASD) at 2, 5, and 10 years
after primary posterior lumbar interbody fusion (PLIF), and clinical features of
ASD. SUMMARY OF BACKGROUND DATA: Few reports have examined ASD after PLIF with
more than 10 years of follow-up. Furthermore, no reports have examined limited
conditions of preoperative pathology, fusion segment, and fusion method with long
follow-up. METHODS: Data were reviewed for 128 patients who underwent single
segment PLIF for L4 degenerative spondylolisthesis and could be followed for at
least 10 years. Mean age at the time of surgery was 63 years, and mean follow-up
was 12.4 years. Follow-up rate was 62.4%. ASD was defined as radiological ASD (R
ASD), radiological degeneration adjacent to the fusion segment by plain X-rays
and magnetic resonance imaging (MRI); symptomatic ASD (S-ASD), a symptomatic
condition due to neurological deterioration at the adjacent segment degeneration;
and operative ASD (O-ASD), S-ASD requiring revision surgery. RESULTS: Incidences
of each ASD at 2, 5, and 10 years after primary PLIF were 19%, 49%, and 75% for R
ASD, 6%, 14%, and 31% for S-ASD, and 5%, 9%, and 15% for O-ASD, respectively. O
ASD incidence was 24% at final follow-up. O-ASD peak was bimodal, at 2 and 10
years after primary PLIF. O-ASD was mainly observed at the cranial segment (77%),
followed by the caudal segment (13%) and both cranial and caudal segments (10%).
With respect to O-ASD pathology, degenerative spondylolisthesis was observed in
52%, spinal stenosis in 39%, and disc herniation in 10%. CONCLUSION: Incidences
of R-ASD, S-ASD, and O-ASD at 10 years after primary PLIF were 75%, 31%, and 15%,
respectively. With respect to O-ASD pathology, degenerative spondylolisthesis at
the cranial segment was the most frequent. LEVEL OF EVIDENCE: 4.
PMID- 29794581
TI - Adjacent Disc Degeneration After Lumbar Total Disc Replacement or Nonoperative
Treatment: A Randomized Study with 8-year Follow-up.
AB - STUDY DESIGN: A randomized controlled multicenter trial with 8-year follow-up.
OBJECTIVE: The aim of this study was to assess the long-term development of
adjacent disc degeneration (ADD) after lumbar total disc replacement (TDR) or
nonoperative treatment, and to analyze the association between ADD development
and clinical outcome. SUMMARY OF BACKGROUND DATA: TDR was introduced as a motion
preserving alternative to spinal fusion, which has been reported to increase the
risk of ADD. However, ADD may develop naturally regardless of any surgery, and no
randomized study has assessed the long-term development of ADD after TDR versus
nonoperative treatment. METHODS: The study included 126 of the 173 patients with
chronic low back pain (LBP) originally included in a randomized study comparing
TDR with multidisciplinary rehabilitation. Magnetic resonance imaging (MRI) of
the lumbar spine was performed before treatment and at 8-year follow-up. ADD was
categorized as increased or not increased based on an evaluation of Modic
changes, disc height reduction, disc contour, herniation size, nucleus pulposus
signal, and posterior high intensity zones. We used a chi test or a Fisher exact
test to compare crude proportions, and multiple linear regressions to analyze the
association between increased ADD (yes/no) and change in Oswestry Disability
Index (ODI) from pre-treatment to follow-up. RESULTS: ADD increased (for at least
one ADD variable) in 23 of 57 patients (40%) treated nonoperatively, and 29 of 69
patients (42%) treated with TDR (P = 0.86). We found no significant associations
between ADD increase and the change in ODI. CONCLUSION: Increased ADD occurred
with similar frequency after TDR and after nonoperative treatment, and was not
related to the clinical outcome at 8-year follow-up. LEVEL OF EVIDENCE: 1.
PMID- 29794584
TI - Efficacy and Safety of Full-endoscopic Decompression via Interlaminar Approach
for Central or Lateral Recess Spinal Stenosis of the Lumbar Spine: A Meta
analysis.
AB - STUDY DESIGN: A meta-analysis of five retrospective cohort studies. OBJECTIVE:
The aim of the study was to delineate the efficacy and safety of full-endoscopic
decompression via the interlaminar approach for central or lateral recess spinal
stenosis of the lumbar spine using a meta-analysis. SUMMARY OF BACKGROUND DATA:
The paradigm of spinal endoscopy is shifting from treatment of soft disc
herniation to that of lumbar spinal stenosis. Technical advancements have enabled
full-endoscopic decompression in spinal stenosis surgery. There is few strong
evidence supporting this surgical technique, and clinicians usually rely on their
own opinions and experiences. METHODS: A systematic search of electronic
databases, including PubMed, EMBASE, Cochrane Library, Web of science, and
KoreaMed, up to August 2017 was performed to identify studies concerning full
endoscopic decompression via the interlaminar approach for lumbar spinal
stenosis. Changes in clinical outcomes [Oswestry Disability Index (ODI) and
Visual Analog Scales (VAS) for back and leg pain] were compared with the minimal
clinically important difference (MCID) for each item. We then calculated the mean
differences and 95% confidence intervals (95% CIs) using random-effects models.
RESULTS: We included data from five studies involving 156 patients at 6- and 12
month follow-ups. The majority of enrolled papers included patients with
neurogenic claudication due to central stenosis refractory to conservative
management and excluded patients with significant instability or previous
surgery. The overall mean difference of patients with ODI and VAS scores for leg
and back pain exceeded the criteria for MCID. ODI scores improved by 41.71 (95%
CI, 39.80-43.62) after the surgery, which was twice the MCID. The VAS leg and
back pain scores improved by 5.95 (95% CI, 5.70-6.21) and 4.22 (95% CI, 3.88
4.56), respectively, indicating statistically significant improvement over the
MCID. CONCLUSION: Successful clinical outcomes can be achieved with full
endoscopic decompression via the interlaminar approach for lumbar central spinal
stenosis in patients for the defined indications. LEVEL OF EVIDENCE: 2.
PMID- 29794585
TI - Imaging Comparison Between Chinese and Japanese Patients With Cervical
Ossification of the Posterior Longitudinal Ligament.
AB - STUDY DESIGN: A retrospective study. OBJECTIVE: To identify the radiographic
differences between Chinese and Japanese patients with cervical ossification of
the posterior longitudinal ligament (OPLL) using computed tomography (CT) and
magnetic resonance imaging (MRI), and to compare the characteristics of OPLL
between the two nationalities. SUMMARY OF BACKGROUND DATA: OPLL has a high
prevalence in East Asia. However, the differences between Chinese and Japanese
OPLL patients are poorly known. METHODS: This was a retrospective study of
Chinese (n = 300) and Japanese (n = 102) consecutive patients who received a
diagnosis of cervical OPLL between January 2010 and December 2014. All patients
underwent CT and MRI. The type and distribution of OPLL were determined. Spinal
compression was assessed by MRI. OPLL type, distribution, comorbidity, and
compression of the spinal cord were examined. The ossification index (OP-index)
was used to evaluate the OPLL. Factors contributing to the OP-index were examined
by multivariate analysis. RESULTS: The Chinese patients were younger than the
Japanese patients (P < 0.0001), and there were more women among the Chinese
patients (P = 0.0002). The OP-index was higher among the Japanese patients
(median, 5 vs. 4, P = 0.003). Among men, OPLL lesions were more frequent in the
under 55-year-old Japanese at C7 (P = 0.04), in the 55 to 64-year-old Japanese at
C4, C5, and C6 (all P < 0.05), and in the over 64-year-old Japanese at C3/4 (P =
0.01), compared with their Chinese counterparts. Among women, OPLL lesions were
more frequent in the 55 to 64-year-old Japanese at C6 (P = 0.04). The
multivariate analysis showed that only age was independently associated with the
OP-index (odds ratio [OR] = 1.023, 95% confidence interval [CI]: 1.002-1.045, P =
0.034). CONCLUSION: Japanese patients had a higher frequency of OPLL lesions at
C5, C6, and C7. Age was independently associated with OPLL. LEVEL OF EVIDENCE: 3.
PMID- 29794586
TI - Evidence-based Care Bundles for Preventing Surgical Site Infections in Spinal
Instrumentation Surgery.
AB - STUDY DESIGN: A retrospective study, using prospectively collected data.
OBJECTIVE: The aim of this study was to evaluate the impact of evidence-based
care bundles for preventing surgical site infections (SSIs) in spinal
instrumentation surgery. SUMMARY OF BACKGROUND DATA: About half of all SSIs are
preventable via evidence-based methods. For successful SSI prevention, the
bacterial load must be minimized, and methicillin-resistant Staphylococcus aureus
(MRSA) protection must be maximized. However, it is difficult to cover all of
these requirements by single preventative method. METHODS: We screened
consecutive patients scheduled for spinal instrumentation surgeries at a single
tertiary referral hospital for high surgical, SSI, and MRSA colonization risks.
Evidence-based care bundles were implemented for high-risk patients and included
1) additional vancomycin prophylaxis, 2) diluted povidone-iodine irrigation, and
3) nasal and body decontamination. Patient demographics, comorbidities, operative
features, and SSIs reported to the Japanese Nosocomial Infections Surveillance
system were prospectively obtained in the same method by the same assessor and
were used for the analyses. The results were compared before and after the
application of the bundle. RESULTS: There were 1042 spinal instrumentation
surgeries (741 before and 301 after care bundles) performed from November 2010 to
December 2015. Of 301 surgeries, 57 cases (18.9%) received care bundles. There
were no significant differences in patient backgrounds before and after the
intervention. The SSI rate decreased significantly from 3.8% to 0.7% (P < 0.01)
after the intervention, with an overall 82% relative risk reduction. A
significant protective effect was observed in the multivariate analysis (adjusted
odds ratio 0.18, 95% confidence interval: 0.04-0.77, P = 0.02). There were no
MRSA-related SSIs among those that received care bundles, even though MRSA was
the predominant pathogen in the study population. CONCLUSION: Evidence-based care
bundles, applied in selected high-risk spinal instrumentation cases, minimized
bacterial load, maximized MRSA protection, and significantly reduced SSI rates
without topical vancomycin powder. LEVEL OF EVIDENCE: 4.
PMID- 29794587
TI - Opioid Dependence and Prolonged Length of Stay in Lumbar Fusion: A Retrospective
Study Utilizing the National Inpatient Sample 2003-2014.
AB - STUDY DESIGN: Retrospective cohort utilizing the National Inpatient Sample (NIS)
2003 to 2014. OBJECTIVE: To investigate the association of opioid dependence with
prolonged length of stay (LOS), costs, and surgical complications in elective one
to-two level lumbar fusion. SUMMARY OF BACKGROUND DATA: Opioids are the most
commonly prescribed drug class to treat back pain. Few studies have examined the
impact of opioid dependence on spinal fusion outcomes. The data available show
inconsistent conclusions regarding the association between opioid dependence and
LOS. METHODS: Data from 1,826,868 adult elective one-to-two level lumbar fusion
discharges in the NIS from 2003 to 2014 were included. Discharges were
categorized into an opioid-dependent or unaffected cohort based on the presence
or absence of an International Classification of Disease, Ninth Revision-Clinical
Modification (ICD-9-CM) code for opioid dependence. Incidence of opioid
dependence was compared between 2003 and 2014 via adjusted Wald tests. Patient
and surgical characteristics, costs, and complications were compared between
cohorts via chi-square tests or adjusted Wald tests for categorical and
continuous variables, respectively. Patient and surgical factors were tested for
association with prolonged LOS via univariable logistic regressions, and
significant (P <= 0.01) factors were included in a multivariable logistic
regression. RESULTS: Seven thousand nine hundred sixty-four (0.44%) discharges
included a diagnosis of opioid dependence. The incidence of opioid dependence
increased from 2003 to 2014. Opioid dependence was associated with an adjusted
2.11 times higher odds of prolonged LOS. Opioid-dependent discharges accrued
higher costs and had higher frequencies of infection, device-related
complications, hematoma- or seroma-related complications, acute posthemorrhagic
anemia, and pulmonary insufficiency. CONCLUSION: This nationally-representative
study suggests that opioid dependence is associated with prolonged LOS in lumbar
fusion, as well as higher costs and higher frequencies of surgical complications.
Further investigations are needed to determine the optimal method to treat opioid
dependent patients who require lumbar fusion. LEVEL OF EVIDENCE: 3.
PMID- 29794588
TI - Clinical Impact and Economic Burden of Hospital-Acquired Conditions Following
Common Surgical Procedures.
AB - STUDY DESIGN: Retrospective review of prospectively collected data. OBJECTIVE: To
assess the clinical impact and economic burden of the three most common hospital
acquired conditions (HACs) that occur within 30-day postoperatively for all spine
surgeries and to compare these rates with other common surgical procedures.
SUMMARY OF BACKGROUND DATA: HACs are part of a non-payment policy by the Centers
for Medicare and Medicaid Services and thus prompt hospitals to improve patient
outcomes and safety. METHODS: Patients more than 18 years who underwent elective
spine surgery were identified in American College of Surgeons' National Surgical
Quality Improvement Program (ACS-NSQIP) database from 2005 to 2013. Primary
outcomes were cost associated with the occurrence of three most common HACs. Cost
associated with HAC occurrence derived from the PearlDiver database. RESULTS:
Ninety thousand five hundred fifty one elective spine surgery patients were
identified, where 3021 (3.3%) developed at least one HAC. Surgical site infection
(SSI) was the most common HAC (1.4%), then urinary tract infection (UTI) (1.3%)
and venous thromboembolism (VTE) (0.8%). Length of stay (LOS) was longer for
patients who experienced a HAC (5.1 vs. 3.2 d, P < 0.001). When adjusted for age,
sex, and Charlson Comorbidity Index, LOS was 1.48 +/- 0.04 days longer (P <
0.001) and payments were $8893 +/- $148 greater (P < 0.001) for patients with at
least one HAC. With the exception of craniotomy, patients undergoing common
procedures with HAC had increased LOS and higher payments (P < 0.001). Adjusted
additional LOS was 0.44 +/- 0.02 and 0.38 +/- 0.03 days for total knee
arthroplasty and total hip arthroplasty, and payments were $1974 and $1882
greater. HACs following hip fracture repair were associated with 1.30 +/- 0.11
days LOS and $4842 in payments (P < 0.001). Compared with elective spine surgery,
only bariatric and cardiothoracic surgery demonstrated greater adjusted
additional payments for patients with at least one HAC ($9975 and $10,868,
respectively). CONCLUSION: HACs in elective spine surgery are associated with a
substantial cost burden to the health care system. When adjusted for demographic
factors and comorbidities, average LOS is 1.48 days longer and episode payments
are $8893 greater for patients who experience at least one HAC compared with
those who do not. LEVEL OF EVIDENCE: 3.
PMID- 29794589
TI - Course and Contributors to Back Pain in Middle-aged Women Over 9 Years: Data From
the Australian Longitudinal Study on Women's Health.
AB - STUDY DESIGN: Community-based, cohort study. OBJECTIVE: Our aim was to determine
the course of back pain in middle-aged women over a 9-year period, and assess
whether obesity and physical inactivity are associated with more frequent back
pain. SUMMARY OF BACKGROUND DATA: Back pain is the leading cause of disability
worldwide. With minimal effective therapies and rising financial burden,
identifying modifiable risk factors remains a key priority. METHODS: The
Australian Longitudinal Study on Women's Health is a cohort study of community
based, middle-aged women who completed questionnaires every 3 years between 2004
and 2013. Approximately, 10,530 women completed the survey in 2004 (mean age 55.5
yrs), and 9020 completed follow-up 9 years later. Self-reported data on back pain
in the last 12 months and other sociodemographic factors were collected at all
four surveys. 'Frequent back pain' was defined as back pain reported at >= three
surveys. RESULTS: Back pain was common and persistent, with 48% having back pain
in >= three out of four surveys. Baseline obesity (relative risk [RR] 1.18, 95%
confidence interval [CI] 1.12-1.25), lack of vigorous physical activity (RR 1.17,
95% CI 1.10-1.25), depressive symptoms (RR 1.40, 95% CI 1.33-1.47), and low
education status (RR 1.17, 95% CI 1.12-1.24), were independently associated with
an increased risk of frequent back pain (all P < 0.001). Overall, 28% of the risk
of frequent back pain could be attributed to these factors, equating to one extra
case of frequent back pain for every five women with depressive symptoms, for
every 11 obese women, for every 12 women with low-education status, and for every
13 women who do not do vigorous physical activity, at baseline. CONCLUSION:
Obesity, depressive symptoms, low-education status, and lack of vigorous physical
activity are associated with higher risk of frequent back pain over the following
9 years among women in their mid-50 s. Targeting these risk factors may lessen
the burden of back pain. LEVEL OF EVIDENCE: 2.
PMID- 29794590
TI - Radiological Evaluation of Craniocervical Region in Patients with Basilar
Invagination.
AB - STUDY DESIGN: A retrospective analysis of collected data. OBJECTIVE: Our study
aims to present the morphology of cranial-cervical spinal canal in basilar
invagination (BI) patients. SUMMARY OF BACKGROUND DATA: BI is characterized by
protrusion of the odontoid process into the foramen magnum (C0), leading to
compression of the cervicomedullary junction. However, no study has ever
clarified the anatomical diameters of spinal canal in patients with BI. METHODS:
The study retrospectively examined computed tomography (CT)-based anatomical
characteristics in a cohort of 84 patients with and without BI. We measured the
anteroposterior diameter (APD) and transversal diameter (TVD) of spinal canal
from C0 to C4, together with the area of vertebral canal (Area). Independent
samples t test was used for statistical analysis. RESULTS: The APD in the BI
group was shorter than the control group from C0 to C2 (C0: 27.98 vs. 35.11 mm, P
< 0.001; C1: 11.87 vs. 16.91 mm, P < 0.001; C2: 12.91 vs. 14.84 mm, P < 0.001),
but it became longer from C3 to C4. The TVD of the BI group was significantly
wider from C0 to C3 (C0: 30.59 vs. 28.54 mm, P < 0.001; C1: 31.31 vs. 25.98 mm, P
< 0.001; C2: 21.56 vs. 20.40 mm, P = 0.01; C3: 22.45 vs. 21.23 mm, P = 0.013),
and it had no significance at C4. The Area showed no difference between the two
groups from C1 to C2, but it turned larger at C3 and C4 in BI patients.
CONCLUSION: BI patients may have shorter APD from C0 to C2, which could be the
leading cause of neurological compression, necessitating decompression on
sagittal plane. Below the pathological levels, BI patients have larger spinal
canal than general population. LEVEL OF EVIDENCE: 3.
PMID- 29794591
TI - Here Comes Price Transparency.
AB - The public reporting of clinical and operational performance measures is old news
for chief nurse executives (CNEs). Since the advent of value-based purchasing and
patient experience measures, CNEs have partnered with other executives to ensure
organizational readiness and success with the performance measures being publicly
shared. In 2018, healthcare organizations face a new wave of public reporting
expectations-price. Once again, executives will need to ensure organizational
readiness. Chief nurse executives must analyze the impact of this trend on the
nursing enterprise and carefully consider how to best prepare for healthcare
price transparency.
PMID- 29794592
TI - Advanced Resuscitation Guidelines Improve Mortality Rates Among Cardiovascular
Surgery Patients.
AB - In this month's Magnet(r) Perspectives column, Melanie Roberts, DNP, RN-BC, CNS,
CCNS, CCRN, critical care clinical nurse specialist at Medical Center of the
Rockies (MCR) and Poudre Valley Hospital, discusses her efforts to implement the
state-ofthe-art European Resuscitation Council (ERC) guideline for resuscitation
of cardiovascular (CV) surgery patients. Her evidence-based initiative has
improved quality of care and mortality rates among the CV surgery population at
MCR. Dr Roberts' pioneering work earned her the 2017 National Magnet Nurse of the
Year Award for Empirical Outcomes. She shares details of her innovative program
and examines the value of implementing practice enhancements in a Magnet
environment. She describes the importance of an interdisciplinary team effort to
achieve success.
PMID- 29794593
TI - Leadership, Longevity, and Leaning In: An Interview With Mary Jo (Joey) Bulfin.
AB - This column profiles Mary Jo Bulfin, MBA, RN, CENP, chief executive officer of
St. Mary's Medical Center, West Palm Beach, Florida. Ms Bulfin began her career
as a staff nurse in the organization where she is now the CEO and discusses her
career path and lessons learned.
PMID- 29794594
TI - A Hospital-Based Neuroscience Nursing Course Designed to Improve Competence and
Confidence.
AB - After experiencing growth in a neuroscience service line, nurse leaders
identified a need for increased competencies among clinical staff. This hospital
met the need by developing a unique multidisciplinary neuroscience nursing course
to improve the clinical competence, confidence, and professional development of
bedside nurses.
PMID- 29794595
TI - Assessing the Degree of Compassion Satisfaction and Compassion Fatigue Among
Critical Care, Oncology, and Charge Nurses.
AB - OBJECTIVES: The aim of this study was to assess the degree of compassion
satisfaction and compassion fatigue (CF) among critical care, oncology and charge
nurses. BACKGROUND: Cumulative grief resulting from caring for
critically/terminally ill patients may result in CF, leading to lower quality
care and higher nurse attrition. METHOD: Data were collected from 38 direct care
nurses and 10 charge nurses, using the Professional Quality of Life. RESULTS:
Charge nurses had higher secondary traumatic stress (STS) than direct care
nurses. Nurses with less than 10 years of experience had lower CS than
experienced nurses. Higher levels of burnout (BO) and STS were reported among
charge nurses, whereas less direct care nurses had average to high BO and STS
ratings. CONCLUSIONS: Previous studies focused on direct care nurses; our
findings suggest that CF is prevalent among charge nurses as well. Interventions
should be considered for clinical providers and charge nurses including
debriefing, stress reduction, peer support, and team building.
PMID- 29794596
TI - Keeping the Magnet(r) Flame Alive With Appreciative Inquiry.
AB - Sustaining a clinical excellence culture for Magnet(r) redesignation requires
continued engagement of frontline nurses, nurse leaders, and executives.
Appreciative Inquiry is a strength-based approach emanating from a positive core.
From this core, teams discover, dream, design, and create their preferred
destiny. This article describes how a Magnet-designated organization used
Appreciative Inquiry to revitalize its redesignation preparation and beyond.
PMID- 29794597
TI - Top-of-License Nursing Practice, Part 2: Differentiating BSN and ADN Perceptions
of Top-of-License Activities.
AB - OBJECTIVE: The aim of this study was to describe differences in associate degree
(ADN) and baccalaureate degree-prepared (BSN) nurses' perceptions of top-of
license (TOL) practice. BACKGROUND: To date, no empirical work has examined
whether ADN and BSN nurses approach TOL practice nursing activities differently.
METHODS: We conducted a qualitative pilot study with focus groups to explore the
perceptions of a group of ADN- and BSN-prepared nurses concerning nursing
activities and their relation to TOL practice. RESULTS: Subthemes emerged
differentiating how ADN and BSN nurses perceived their responsibilities related
to critical thinking, communication, and patient education. For professional
nursing care, 5 subthemes further emerged: (a) approaches to assessment, (b)
chart review, (c) psychosocial patient care, (d) documentation, and (e) handoff.
CONCLUSIONS: The differences identified in approaches to TOL practice activities
by educational preparation have implications for staffing patterns that can
optimize the contribution of ADN- and BSN-prepared nurses. Further research is
indicated.
PMID- 29794598
TI - Do Health Promotion Behaviors Affect Levels of Job Satisfaction and Job Stress
for Nurses in an Acute Care Hospital?
AB - OBJECTIVE: The aim of this study was to explore the relationships between nurse
reported health-promoting behaviors (HPBs), job stress, and job satisfaction in a
hospital setting. BACKGROUND: Job stress and satisfaction are key components of
the nursing work environment; however, evidence of the relationship between HPB
and job stress and satisfaction is lacking. METHODS: A cross-sectional, 144-item
survey was administered to nurses working in an acute care, community hospital in
the southeastern United States. RESULTS: Higher levels of HPB were associated
with lower job stress and higher job satisfaction. Total HPB was associated with
the competence subscale of job stress. Lower job stress was significantly
associated with HPB subscales: spiritual growth, interpersonal relations, and
stress management. CONCLUSIONS: Nursing organizations can implement interventions
that support HPB for nurses to reduce job stress and improve satisfaction.
PMID- 29794599
TI - Using Motivational Interviewing to Impact Readiness of RNs to Return to the
Classroom.
AB - Supporting nurses with associate degrees in nursing (ADNs) to return to school is
challenging for nurse executives. Strategies include tuition reimbursement,
scholarships, and flexible scheduling. Despite these measures, it is anticipated
that we will fall short of a goal of 80% bachelor's degree-prepared nurses by
2020. The aim of this project was to increase ADN-prepared RN readiness to return
to school through motivational interviewing.
PMID- 29794600
TI - HIV prevalence and sexual behavior among young male conscripts in the Brazilian
army, 2016.
AB - Since 1996, the Brazilian Department of Sexually Transmitted Infections (STI),
AIDS and Viral Hepatitis (Departamento de Vigilancia, Prevencao e Controle das
IST, do HIV, AIDS e Hepatites Virais, Secretaria de Vigilancia em Saude,
Ministerio da Saude) in collaboration with the Brazilian Ministry of Defense has
conducted periodic and anonymous probability sample surveys to determine the
human immunodeficiency virus (HIV) prevalence, the sexual and risk behaviors
among conscripts of the Brazilian army. This study aimed to estimate the HIV
seroprevalence of conscripts in Brazil by geographic region and to describe
behavior in relation to the risk of HIV transmission by analyzing data from the
Brazilian Conscripts Survey 8th edition performed in 2016.Conscripts were
selected with a 2-stage sampling method stratified by geographical region. The
study included a self-reported questionnaire and blood collection for HIV,
hepatitis, and syphilis testing. Data from 37,282 conscripts between 17 and 22
years of age were analyzed. Of these conscripts, 73.7% stated that they were
sexually active. The overall country-wide prevalence of HIV was 0.12%. The
geographic prevalence rates were as follows: north (0.24%), northeast (0.15%),
central-west (0.13%), southeast (0.07%), and south (0.10%). The proportion of
conscripts who reported having sex with other men was 4.4%, and the estimated HIV
prevalence in this group was 1.32%. Regarding prophylaxis use, 45.6% of the
participants reported consistent condom use with casual partners within the last
year, and 34.5% reported condom use with steady partners within the last year.
The independent factors associated with HIV infection were: MSM status (odds
ratio [OR] = 14.62; P = .000) and having more than 10 partners over their
lifetime (OR = 3.32; P = .028).Our data suggest that the HIV prevalence among
young men in Brazil remains stable except for the north region, and MSM continue
to be associated with a high risk for HIV infection at a rate that is
approximately 13-fold higher than the rate among men without a history of sex
with another man. Our findings confirm the need to scale up combination HIV
prevention for young men, including MSM, in Brazil.
PMID- 29794602
TI - Presenting national HIV/AIDS and sexually transmitted disease research in Brazil.
PMID- 29794601
TI - HIV, HCV, HBV, and syphilis among transgender women from Brazil: Assessing
different methods to adjust infection rates of a hard-to-reach, sparse
population.
AB - Different sampling strategies, analytic alternatives, and estimators have been
proposed to better assess the characteristics of different hard-to-reach
populations and their respective infection rates (as well as their
sociodemographic characteristics, associated harms, and needs) in the context of
studies based on respondent-driven sampling (RDS). Despite several methodological
advances and hundreds of empirical studies implemented worldwide, some inchoate
findings and methodological challenges remain. The in-depth assessment of the
local structure of networks and the performance of the available estimators are
particularly relevant when the target populations are sparse and highly
stigmatized. In such populations, bottlenecks as well as other sources of biases
(for instance, due to homophily and/or too sparse or fragmented groups of
individuals) may be frequent, affecting the estimates.In the present study, data
were derived from a cross-sectional, multicity RDS study, carried out in 12
Brazilian cities with transgender women (TGW). Overall, infection rates for HIV
and syphilis were very high, with some variation between different cities.
Notwithstanding, findings are of great concern, considering the fact that female
TGW are not only very hard-to-reach but also face deeply-entrenched prejudice and
have been out of the reach of most therapeutic and preventive programs and
projects.We cross-compared findings adjusted using 2 estimators (the classic
estimator usually known as estimator II, originally proposed by Volz and
Heckathorn) and a brand new strategy to adjust data generated by RDS, partially
based on Bayesian statistics, called for the sake of this paper, the RDS-B
estimator. Adjusted prevalence was cross-compared with estimates generated by non
weighted analyses, using what has been called by us a naive estimator or rough
estimates.
PMID- 29794603
TI - External quality assessment for CD4 + T-lymphocyte count test: Performance of the
Brazilian public health laboratories network.
AB - The National Network for CD4+ T-lymphocyte counting of Brazil comprises 93
laboratories. This study reports the laboratory performances achieved in external
quality assessment (EQA) rounds provides by Ministry of Health to evaluate the
quality of the kits used and the performance of test by the technicians.Ten EQA
rounds were analyzed according the EQA criteria aimed to evaluate individual
laboratory performance on the basis of the accuracy of their results compared to
the general mean obtained by all participating laboratories and the
reproducibility of the results obtained between 2 samples from the same donor.The
percentage of approved and failed laboratories in the EQAs tends to follow a
uniform pattern. Since 2011, approval has remained above 80% and the failure rate
has never exceeded 15%.EQA is very important to evaluate the performance of the
laboratories, to identify monitor, and to resolve errors as quickly as possible.
PMID- 29794606
TI - Reaching Out.
PMID- 29794605
TI - PrEP adopted by the brazilian national health system: What is the size of the
demand?
AB - BACKGROUND: Brazil's response to the HIV epidemic now includes free access to
preexposure prophylaxis (PrEP) to populations at substantial risk for HIV
infection including men who have sex with men (MSM). We used nationally
representative demographic, epidemiologic, and surveillance data to offer
estimates for the number of MSM at substantial risk for HIV infection who might
be eligible and willing to use PrEP in Brazil. METHODS: Starting from the age/sex
stratified population, we calculated the number of men aged 15 to 64 years, in 5
year age groups, and the proportion of those who report sex with other men during
their lifetime. We focused on 11 cities (representing all regions) that are
responsible for a significant fraction of the HIV burden of the country and used
city-specific HIV prevalence estimates to infer the fraction of MSM who are HIV
negative. We then derived the proportion of HIV-negative MSM under substantial
risk for HIV infection defined as having unprotected receptive anal intercourse
in the 6 months before study participation. Finally, PrEP uptake among the
eligible was inferred from the PrEP Brazil study. RESULTS: Our results show that
PrEP demand in these 11 cities is of 66,120 men aged 15 to 64 years. When we
consider the lower and upper bounds for the available parameters, we find that
PrEP demand in these 11 cities might vary from 33,378 to 97,962 men. If PrEP is
restricted to those aged 15 to 49 years, demand drops by 20%. PrEP demand varies
considerably by city, mostly because of the differences in population size and
city-specific HIV prevalence. DISCUSSION: We have shed light on the probable size
of PrEP demand in Brazil certain that the incorporation of PrEP as part of
Brazil's combination prevention for populations at substantial risk for HIV
infection is a necessary challenge. PrEP will not only prevent HIV infections, it
will also expand testing among the most vulnerable with the added benefit of
offering combination prevention for the uninfected and immediate treatment for
those already infected. As such, expected added benefits of PrEP will be earlier
linkage to care, prompt treatment initiation leading to health benefits and
decreased transmission.
PMID- 29794607
TI - Considerations for Incision and Flap Design With Implant Therapy in the Esthetic
Zone.
AB - The planning and design of any incision in the esthetic zone should be carried
out based on biologic principles and with careful consideration of the desired
esthetic outcome. Different incision and flap designs have been identified for
implant and implant-related surgeries in the esthetic zone. Those include;
flapless, vestibular incision, papilla sparing, envelope, triangular, and
trapezoidal. The specific aim of this article is to outline various important
factors that influence healing, to discuss the advantages/disadvantages of
several key incision/flap designs, and to help guide the clinician in treatment
planning tooth replacement in the esthetic zone.
PMID- 29794608
TI - Effects of Osteotomy Lengths on the Temperature Rise of the Crestal Bone During
Implant Site Preparation: Erratum.
PMID- 29794604
TI - HIV prevalence among men who have sex with men in Brazil: results of the 2nd
national survey using respondent-driven sampling.
AB - This paper reports human immuno-deficiency virus (HIV) prevalence in the 2nd
National Biological and Behavioral Surveillance Survey (BBSS) among men who have
sex with men (MSM) in 12 cities in Brazil using respondent-driven sampling
(RDS).Following formative research, RDS was applied in 12 cities in the 5
macroregions of Brazil between June and December 2016 to recruit MSM for BBSS.
The target sample size was 350 per city. Five to 6 seeds were initially selected
to initiate recruitment and coupons and interviews were managed online. On-site
rapid testing was used for HIV screening, and confirmed by a 2nd test.
Participants were weighted using Gile estimator. Data from all 12 cities were
merged and analyzed with Stata 14.0 complex survey data analysis tools in which
each city was treated as its own strata. Missing data for those who did not test
were imputed HIV+ if they reported testing positive before and were taking
antiretroviral therapy.A total of 4176 men were recruited in the 12 cities. The
average time to completion was 10.2 weeks. The longest chain length varied from 8
to 21 waves. The sample size was achieved in all but 2 cities.A total of 3958 of
the 4176 respondents agreed to test for HIV (90.2%). For results without
imputation, 17.5% (95%CI: 14.7-20.7) of our sample was HIV positive. With
imputation, 18.4% (95%CI: 15.4-21.7) were seropositive.HIV prevalence increased
beyond expectations from the results of the 2009 survey (12.1%; 95%CI: 10.0-14.5)
to 18.4%; CI95%: 15.4 to 21.7 in 2016. This increase accompanies Brazil's focus
on the treatment to prevention strategy, and a decrease in support for community
based organizations and community prevention programs.
PMID- 29794609
TI - Effects of internet-based pain coping skills training before home exercise for
individuals with hip osteoarthritis (HOPE trial): a randomised controlled trial.
AB - This assessor-, therapist-, and participant-blinded randomised controlled trial
evaluated the effects of an automated internet-based pain coping skills training
(PCST) program before home exercise for people with clinically diagnosed hip
osteoarthritis. One hundred forty-four people were randomised to either the PCST
group or the comparator group. In the first 8 weeks, the PCST group received
online education and PCST, whereas the comparison group received online education
only. From weeks 8 to 24, both groups visited a physiotherapist 5 times for home
exercise prescription. Assessments were performed at baseline, 8, 24, and 52
weeks. Primary outcomes were hip pain on walking (11-point numerical rating
scale) and physical function (Western Ontario and McMaster Universities
Osteoarthritis Index [WOMAC]) at 24 weeks. Secondary outcomes were other measures
of pain, quality-of-life, global change, self-efficacy, pain coping, pain
catastrophizing, depression, anxiety, stress, physical activity, and adverse
events. Primary outcomes were completed by 137 (95%), 131 (91%), and 127 (88%)
participants at 8, 24, and 52 weeks, respectively. There were no significant
between-group differences in primary outcomes at week 24 (change in: walking pain
[mean difference 0.5 units; 95% confidence interval, -0.3 to 1.3] and function [
0.9 units; 95% confidence interval, -4.8 to 2.9]), with both groups showing
clinically relevant improvements. At week 8, the PCST group had greater
improvements in function, pain coping, and global improvement than comparison.
Greater pain coping improvements persisted at 24 and 52 weeks. In summary, online
PCST immediately improved pain coping and function but did not confer additional
benefits to a subsequent exercise program, despite sustained pain coping
improvements.
PMID- 29794610
TI - Pain sensitization associated with nonresponse after physiotherapy in people with
knee osteoarthritis.
AB - In knee osteoarthritis (OA), pain sensitization has been linked to a more severe
symptomatology, but the prognostic implications of pain sensitivity in people
undergoing conservative treatment such as physiotherapy are not established. This
study aimed to prospectively investigate the association between features of pain
sensitization and clinical outcome (nonresponse) after guideline-based
physiotherapy in people with knee OA. Participants (n = 156) with moderate/severe
knee OA were recruited from secondary care. All participants completed self
administered questionnaires and underwent quantitative sensory testing at
baseline, thereby establishing subjective and objective measures of pain
sensitization. Participants (n = 134) were later classified after a physiotherapy
intervention, using treatment responder criteria (responder/nonresponder).
Quantitative sensory testing data were reduced to a core set of latent variables
using principal component analysis. A hierarchical logistic regression model was
constructed to investigate whether features related to pain sensitization
predicted nonresponse after controlling for other known predictors of poor
outcome in knee OA. Higher temporal summation (odds ratio 2.00, 95% confidence
interval 1.23-3.27) and lower pressure pain thresholds (odds ratio 0.48, 95%
confidence interval 0.29-0.81) emerged as robust predictors of nonresponse after
physiotherapy, along with a higher comorbidity score. The model demonstrated high
sensitivity (87.8%) but modest specificity (52.3%). The independent relationship
between pain sensitization and nonresponse may indicate an underlying explanatory
association between neuroplastic changes in nociceptive processing and the
maintenance of ongoing pain and disability in knee OA pain. These preliminary
results suggest that interventions targeting pain sensitization may warrant
future investigation in this population.
PMID- 29794612
TI - Delayed onset of persistent discogenic axial and radiating pain after a single
level lumbar intervertebral disc injury in mice.
AB - Low back pain (LBP) is associated with both axial discomfort and radiating leg
pain. Although intervertebral discs are suspected as the source of pain in some
individuals, the relationship between disc degeneration and back pain remains
controversial. The goals of this study were to investigate the long-term impact
of L4/L5 disc puncture on disc degeneration and the subsequent emergence,
persistence, and underlying mechanisms of axial and radiating LBP in mice. L4 to
L5 discs were punctured on the ventral aspect with a 30 gauge needle in 3-month
old female CD1 mice, and the development of behavioral signs of axial discomfort
(tail suspension and grip force), radiating hypersensitivity (von Frey and
acetone), and motor impairment (rotarod) were monitored. Disc degeneration was
assessed using X-ray, T2-magnetic resonance imaging, and histology, and persisted
for up to 1 year. Innervation was quantified by immunohistochemistry using the
pan-neuronal marker PGP9.5. Behavioural signs of axial discomfort peaked 3 to 9
months after injury. During the peak, local nerve density was increased. A
transient increase in hypersensitivity to cold, suggestive of radiating pain, was
observed 2 weeks after injury. Radiating pain then reemerged 9 to 12 months after
injury in half the animals and correlated with increased dorsal innervation and
reduced disc height at these late time points. In summary, a single-level disc
injury is sufficient to induce prolonged disc degeneration and delayed axial and
radiating pain. This model will be useful to investigate underlying mechanisms
and potential therapeutic strategies for discogenic LBP.
PMID- 29794611
TI - Racial differences in opioid prescribing for children in the United States.
AB - Racial differences exist in analgesic prescribing for children during emergency
department and ambulatory surgery visits in the United States; however, it is
unknown whether this is true in the outpatient setting. We examined racial and
ethnic differences in outpatient analgesic prescribing using nationally
representative data from 113,929 children from the Medical Expenditure Panel
Survey. We also examined whether patient-provider race and ethnic concordance was
associated with opioid prescription. White children were more commonly prescribed
opioids as compared to minorities (3.0% vs 0.9%-1.7%), except for Native American
children who had similar rates of opioid prescription (2.6%) as white children.
Minorities were more likely to receive nonopioid analgesics than white children
(2.0%-5.7% vs 1.3%). Although most white children had race-concordant providers
(93.5%), only 34.3% of black children and 42.7% of Hispanic children had race
concordant providers. Among black children, having a race concordant usual source
of care provider was associated with a decreased likelihood of receiving an
opioid prescription as compared to having a white usual source of care provider
(adjusted odds ratio [95% confidence interval] = 0.51 [0.30-0.87]). For all other
racial groups, patient-provider race-concordance was not associated with
likelihood of opioid prescription. Racial differences exist in analgesic
prescriptions to children at outpatient health care visits in the United States,
with white children more likely to receive opioids and minorities more likely to
receive nonopioid analgesics. Health care providers' race and ethnicity may play
a significant role in extant analgesic differences. Further work should focus on
understanding the role of provider race and ethnicity in analgesic differences to
children in the United States.
PMID- 29794613
TI - What do clinicians consider when assessing chronic low back pain? A content
analysis of multidisciplinary pain centre team assessments of functioning,
disability, and health.
AB - Beyond expert suggestions as to the appropriate subject matter for chronic pain
assessments, little is known about the actual content of multidisciplinary pain
centre (MPC) clinical assessments. The International Classification of
Functioning, Disability and Health Low Back Pain Core Set (ICF LBP-CS) provides a
universal language to support the consistent description of LBP-related
assessments across disciplines within multidisciplinary teams (MDTs). This study
sought to map the content of MPC clinical assessments to the ICF to: (1) identify
and compare the content of clinical MDT assessments using a cross-disciplinary
framework and (2) examine the content validity of the LBP-CS. A qualitative
examination of MPC team clinical assessments of chronic low back pain was
undertaken. Multidisciplinary team (pain medicine, psychiatry, nursing,
physiotherapy, occupational therapy, and psychology) assessments were audio
recorded and transcribed. Concepts were extracted from transcripts using a
meaning condensation procedure and then linked to the ICF. Across 7 MDT
assessments, comprised 42 discipline-specific assessments and 241,209 transcribed
words, 8596 concepts were extracted. Contextual factors (ie, the person and
environment), except for physiotherapy, accounted for almost half of each
discipline's assessments (range: 49%-58%). Concepts spanned 113 second-level ICF
categories, including 73/78 LBP-CS categories. Overall, the findings revealed
novel insights into the content of MPC clinical assessments that can be used to
improve health care delivery. International Classification of Functioning,
Disability and Health-based assessment profiles demonstrated unique contributions
from each discipline to chronic low back pain assessment. Finally, users of the
LBP-CS can be confident that the tool exhibits sound content validity from the
perceptive of MDT assessments of functioning, disability, and health.
PMID- 29794614
TI - Chronic neuropathic pain reduces opioid receptor availability with associated
anhedonia in rat.
AB - The opioid system plays a critical role in both the experience and management of
pain. Although acute activation of the opioid system can lead to pain relief, the
effects of chronic pain on the opioid system remain opaque. Cross-sectional
positron emission tomography (PET) studies show reduced availability of brain
opioid receptors in patients with chronic pain but are unable to (1) determine
whether these changes are due to the chronic pain itself or due to preexisting or
medication-induced differences in the endogenous opioid system, and (2) identify
the neurobiological substrate of reduced opioid receptor availability. We
investigated these possibilities using a well-controlled longitudinal study
design in rat. Using [F]-FDPN-PET in either sham rats (n = 17) or spared nerve
injury rats (n = 17), we confirmed reduced opioid receptor availability in the
insula, caudate-putamen, and motor cortex of nerve injured rats 3 months after
surgery, indicating that painful neuropathy altered the endogenous opioid system.
Immunohistochemistry showed reduced expression of the mu-opioid receptor, MOR1,
in the caudate-putamen and insula. Neither the opioid peptide enkephalin nor the
neuronal marker NeuN differed between groups. In nerve-injured animals, sucrose
preference, a measure of anhedonia/depression-like behavior, positively
correlated with PET opioid receptor availability and MOR1-immunoreactivity in the
caudate-putamen. These findings provide new evidence that the altered supraspinal
opioid receptor availability observed in human patients with chronic pain may be
a direct result of chronic pain. Moreover, reduced opioid receptor availability
seems to reflect decreased receptor expression, which may contribute to pain
induced depression.
PMID- 29794615
TI - Chronic pain and opioid receptor availability: disentangling the molecular
contributions and the "chicken or the egg" dilemma.
PMID- 29794617
TI - Editor's Note: Congratulations to the 2018 Author and Reviewer Award Winners.
PMID- 29794616
TI - Dynamic Preictal Discharges in Patients With Mesial Temporal Lobe Epilepsy.
AB - PURPOSE: It has been challenging to detect early changes preceding seizure onset
in patients with epilepsy. This study investigated the preictal discharges (PIDs)
by intracranial electroencephalogram of 11 seizures from 7 patients with mesial
temporal lobe epilepsy. METHODS: The EEG segments consisting of 30 seconds before
ictal onset and 5 seconds after ictal onset were selected for analysis. After PID
detection, the amplitude and interval were measured. According to the timing of
PID onset, the 30-second period preceding seizure onset was divided into two
stages: before PID stage and PID stage. The autocorrelation coefficients during
the two stages were calculated and compared. RESULTS: Preictal discharge
amplitude progressively increased, while PID interval gradually decreased toward
seizure onset. The autocorrelation coefficients of PID channels were
significantly higher during PID stage than before PID stage. There was an overlap
between channels with PIDs and seizure onset channels (80.77%). CONCLUSIONS:
Preictal discharges emerge prior to ictal event, with a dynamic change and a
spatial correlation with seizure onset zone. These findings deepen our
understanding of seizure generation and help early prediction and localization of
seizure onset zone.
PMID- 29794618
TI - A Flawed Design Produces Flawed Results.
PMID- 29794619
TI - Reply to Dr Peltz.
PMID- 29794620
TI - Cleveland Clinic Postural Stability Index Norms for the Balance Error Scoring
System.
AB - INTRODUCTION: Despite the widespread utilization of the Balance Error Scoring
System (BESS) in the evaluation of concussion, it has been criticized for its
error-based scoring that is susceptible to floor and ceiling effects and
substantial inter-rater variability. A biomechanical outcome, Cleveland Clinic
Postural Stability Index (CC-PSI), has been developed as an alternative to
subjective BESS scoring. The CC-PSI uses inertial sensor data within a mobile
device to provide an objective measure of postural sway during the BESS. This
project aimed to determine the effect of age and sex on the CC-PSI and report
normative values for healthy, active children, adolescents, and young adults.
METHODS: A cross-sectional sample of 6762 student-athletes completed BESS
testing. Participants were stratified according to three age groups for each sex.
The groups included the following: youth (age, 5-13 yr), males (n = 360), females
(n = 246); high school (age, 14-18 yr), males (n = 3743), females (n = 1673); and
college (age, 19-23 yr), males (n = 497), females (n = 243). Percentile rankings
were determined for each participant to characterize movement of COM in the
medial-lateral, anterior-posterior, and trunk rotation directions relative to the
entire cohort during the BESS stances. RESULTS: Overall, postural stability was
worse in youth compared with high school and collegiate athletes. Specifically,
the CC-PSI was significantly worse in youth male athletes compared with high
school and collegiate male athletes (P < 0.001). Females exhibited significantly
better scores compared with males in youth and high school cohorts (P < 0.01).
CONCLUSIONS: The CC-PSI provides a quantitative, objective measure of postural
stability, overcoming the limitations associated with conventional BESS scoring.
Optimal concussion management should use objective age- and sex-specific values
in the evaluation of postural stability. The normative values of the CC-PSI may
be used in the absence of a baseline BESS evaluation to aid clinical decision
making.
PMID- 29794622
TI - Deactivating ICDs at end of life.
PMID- 29794623
TI - Peruvian immersion course teaches cultural competence.
PMID- 29794625
TI - Damage control: Differentiating incontinence-associated dermatitis from pressure
injury.
PMID- 29794626
TI - Caring for a patient with a desmoid tumor.
PMID- 29794627
TI - It never goes away.
PMID- 29794628
TI - Workplace incivility: How do you address it?
PMID- 29794629
TI - Making the case for harm reduction programs for injection drug users.
PMID- 29794630
TI - Providing evidence-based practices for patients with hip fractures.
PMID- 29794631
TI - Homeless people: Nursing care with dignity.
PMID- 29794632
TI - A questionable case of meningitis.
PMID- 29794633
TI - Best practices for unclogging feeding tubes in adults.
PMID- 29794634
TI - Retail clinics: Preparing patients to travel abroad.
PMID- 29794635
TI - National Library of Medicine resources for patients.
PMID- 29794637
TI - Treatment of Facial Hypopigmented Scars by the Laser Hole Technique Using a
Nonfractional Carbon Dioxide Laser in Asians.
AB - BACKGROUND: Facial hypopigmented scars are usually characterized by white color
and a glossy surface. To correct the two problems simultaneously, the authors
attempted to induce persistent postinflammatory hyperpigmentation for color and
to build multiple pitted scars like facial skin pores for texture. This study
describes a laser hole method using a traditional nonfractional carbon dioxide
laser for treatment of facial hypopigmented scars and evaluates the clinical
results. METHODS: Forty-three facial hypopigmented scars in 27 patients were
treated, excluding protruding or thick scars. A carbon dioxide laser was set in
the superpulse mode by continuous delivery. Multiple small holes were made in the
scar at a distance of 1 to 3 mm. Each laser hole was produced by continuously
repeated shots at one point until the deep dermis was involved. All lesions were
exposed without protection. At 1 or 2 months after initial treatment, the
remaining hypopigmentation was treated by the same procedure. RESULTS: Treatment
sessions were performed once for nine scars, twice for 22 scars, and three times
for 12 scars. In most cases, postinflammatory hyperpigmentation was slightly
diminished but maintained until the last follow-up. The fine irregular surface
with multiple pitted scars was similar to that of normal skin. Scar improvement
evaluation showed marked or excellent in 39 scars (90.7 percent) and minimal or
partial in four deep or thick scars. CONCLUSION: The laser hole technique using a
nonfractional carbon dioxide laser may be an excellent option for simultaneously
treating the color and texture of superficial or thin hypopigmented facial scars
in Asians.
PMID- 29794638
TI - The Implications of Barbed Sutures on Scar Aesthetics: A Systematic Review.
AB - BACKGROUND: Barbed sutures have become increasingly popular in the field of
aesthetic plastic surgery, particularly in body contouring and breast operations,
in which the use of barbed sutures may offer both time and cost savings. Scar
aesthetics is an important outcome for both surgeons and patients in these
procedures; however, there is a paucity of studies assessing the aesthetic
outcome of barbed sutures with regard to scarring. METHODS: A systematic review
of the PubMed, EMBASE, and Cochrane databases was performed from the date of
their inception through July of 2017 using the search terms "barbed suture"
combined with "scar" or "wound." Studies were included if they were prospective,
evaluator-blind, randomized, controlled trials; closed the dermal layer of
incisions using barbed sutures; and included an evaluator-blind aesthetic
assessment of scarring. RESULTS: Six prospective, randomized, controlled trials
met inclusion criteria. The cosmetic result of scars in 926 patients was
evaluated after an average of 8.1 months. Five of the six controlled trials found
the aesthetic results of wounds closed with barbed sutures to be equivalent to
those closed with traditional sutures, and one study showed significantly
superior aesthetic results with barbed sutures. Use of barbed sutures resulted in
shorter operating times in four of the five studies that timed incision closure.
Similar complication rates were observed in all evaluated studies. CONCLUSION:
Based on this systematic review, the majority of studies concluded that there
were no differences in scarring aesthetics when dermal layers were closed using
barbed sutures compared with traditional suturing techniques.
PMID- 29794639
TI - Comparing Therapeutic versus Prophylactic Nipple-Sparing Mastectomy: Does
Indication Inform Oncologic and Reconstructive Outcomes?
AB - BACKGROUND: Initially performed only in prophylactic cases, indications for
nipple-sparing mastectomy have expanded. Trends and surgical outcomes stratified
by nipple-sparing mastectomy indication have not yet been fully examined.
METHODS: Demographics and outcomes for all nipple-sparing mastectomies performed
from 2006 to 2017 were compared by mastectomy indication. RESULTS: A total of
1212 nipple-sparing mastectomies were performed: 496 (40.9 percent) for
therapeutic and 716 (59.1 percent) for prophylactic indications. Follow-up time
was similar between both the therapeutic and prophylactic nipple-sparing
mastectomy groups (47.35 versus 46.83 months, respectively; p = 0.7942).
Therapeutic nipple-sparing mastectomies experienced significantly greater rates
of major (p = 0.0165) and minor (p = 0.0421) infection, implant loss (p =
0.0098), reconstructive failure (p = 0.0058), and seroma (p = 0.0043). Rates of
major (p = 0.4461) and minor (p = 0.2673) mastectomy flap necrosis and complete
(p = 0.3445) and partial (p = 0.7120) nipple necrosis were equivalent. The
overall rate of locoregional recurrence/occurrence per nipple-sparing mastectomy
was 0.9 percent: 2.0 percent in therapeutic nipple-sparing mastectomies and 0.1
percent in prophylactic nipple-sparing mastectomies (p < 0.0001). CONCLUSIONS:
Approximately 40 percent of nipple-sparing mastectomies are currently performed
for therapeutic indications. Therapeutic nipple-sparing mastectomies had higher
rates of infectious complications and reconstructive failure. Rates of
locoregional cancer recurrence/occurrence are low, but occur significantly more
often after therapeutic nipple-sparing mastectomy. CLINICAL QUESTION/LEVEL OF
EVIDENCE: Therapeutic, III.
PMID- 29794640
TI - Prospective Evaluation of Obese Patients Undergoing Autologous Abdominal Free
Flap Breast Reconstruction.
AB - BACKGROUND: Obesity has been viewed as a relative contraindication against
autologous free flap breast reconstruction because of increased risks of
complications, including flap loss. METHODS: The authors conducted a prospective
analysis of obese patients undergoing autologous breast reconstruction. RESULTS:
Overall, 72 patients (average age, 48.5 years; average body mass index, 35.7
kg/m) underwent abdominal free flap breast reconstruction. There were 43
bilateral reconstructions and the remainder were unilateral (n = 115 flaps).
There were 67 muscle-sparing transverse rectus abdominis musculocutaneous (TRAM)
flaps (58.3 percent), 44 deep inferior epigastric perforator (DIEP) flaps (38.2
percent), two free bipedicle DIEP flaps, one superficial inferior epigastric
perforator flap, and one free TRAM flap. Forty-two patients (58.3 percent) had
prior radiation, and 51 (70.8 percent) had prior chemotherapy. Forty-three
patients (59.7 percent) underwent delayed reconstruction and 21 (29.2 percent)
underwent immediate reconstruction. Eight patients (11.1 percent) had bilateral
reconstruction, with one breast reconstructed in an immediate and the other in a
delayed fashion. Half of the patients (n = 36) had mesh placed in an underlay
fashion to reinforce the donor site. Regarding breast complications, there were
11 wound dehiscences, one hematoma, one infection, and two patients with
mastectomy skin flap necrosis. Twelve patients had donor-site wound healing
complications, there were four infections, and three patients developed a
bulge/hernia. There were no flap losses. Comparison to historic controls
demonstrated no significant differences in overall flap loss rates (p = 0.061) or
donor-site bulge/hernia (p = 0.86). CONCLUSION: Autologous abdominal free flaps
can be performed safely in obese patients without increased risks for donor-site
bulge/hernia or flap loss compared to nonobese patients; however, patients should
be counseled carefully regarding the potential risks of complications. CLINICAL
QUESTION/LEVEL OF EVIDENCE: Therapeutic, IV.
PMID- 29794641
TI - Vertical Spacing of Perforators in Deep Inferior Epigastric Perforator Flap
Breast Reconstruction Can Affect the Outcomes.
AB - BACKGROUND: The present study aimed to evaluate the influence of vertical
location and spacing of perforators within flaps on the outcomes of deep inferior
epigastric perforator (DIEP) flap breast reconstruction. METHODS: Patients who
underwent unilateral breast reconstruction with unipedicle DIEP flaps were
identified. They were categorized into cohorts based on the entry of perforators
in the middle third (cohort 1), upper third (cohort 2), and multiple third parts
(cohort 3) of the flaps. Perfusion-related complications including fat necrosis
diagnosed with ultrasound and donor-site morbidity were compared between the
cohorts. RESULTS: A total of 287 patients were analyzed, including 51 in cohort
1, 75 in cohort 2, and 161 in cohort 3. The cohorts were well matched, except for
a greater number of perforators and more frequent harvest of both medial and
lateral row perforators in cohort 3. Rates of overall perfusion-related
complications and fat necrosis differed significantly between cohorts, with the
highest rates in cohort 2. Fat necrosis occurred predominantly in the caudal
portion of the flap in cohort 2, whereas it was relatively evenly distributed in
the cephalic and caudal portions in cohorts 1 and 3. Rates of donor-site
complications were similar across the cohorts. Multivariate analyses demonstrated
that vertical spacing of perforators had an independent influence on developing
perfusion-related complications and fat necrosis, showing that cohort 2 had
significantly higher odds compared with cohorts 1 and 3, respectively.
CONCLUSION: Vertical spacing of perforators might affect the risk of perfusion
related complications in DIEP flap breast reconstruction. CLINICAL QUESTION/LEVEL
OF EVIDENCE: Therapeutic, III.
PMID- 29794642
TI - Modified Nipple Flap with Free Areolar Graft for Component Nipple-Areola Complex
Construction: Outcomes with a Novel Technique for Chest Wall Reconstruction in
Transgender Men.
AB - BACKGROUND: A primary goal in chest wall reconstruction ("top surgery") for trans
men is achieving a symmetric, aesthetically pleasing position of the
reconstructed male nipple-areola complex. METHODS: The senior author's (A.H.)
technique for component nipple-areola complex creation in chest wall
reconstruction for trans men with a modified skate flap and free areolar graft,
in conjunction with double-incision mastectomy, is described. A retrospective
analysis of 50 consecutive patients who underwent primary, bilateral chest wall
reconstruction with this technique was undertaken for the period of March of 2015
to October of 2016. RESULTS: The average patient age was 30.64 years, and the
average body mass index was 28.54 kg/m. Eighty-two percent of the sample received
preoperative testosterone therapy, and average operative time was 2 hours 59
minutes. Average overall mastectomy specimen weight was 627.80 g, average length
of hospital stay was 0.96 days, and average follow-up duration was 19.02 months.
Complications occurred in five patients (10 percent), including seroma (4
percent), cellulitis (2 percent), hematoma (2 percent), and suture granuloma (2
percent). Only five patients (10 percent) underwent postoperative revision to
adjust nipple-areola complex size, projection, or symmetry. Twenty-eight patients
(56 percent) underwent secondary revisions, including scar revisions (56
percent), liposuction (12 percent), and fat grafting (2 percent). CONCLUSION: The
use of a modified nipple flap and free areola graft in transgender chest wall
reconstruction for trans men allows for flexible, component construction of the
male nipple-areola complex in a safe and effective manner. CLINICAL
QUESTION/LEVEL OF EVIDENCE: Therapeutic, IV.
PMID- 29794643
TI - Pediatric Gastrointestinal Posttransplant Lymphoproliferative Disorder:
Incidence, Clinical Characteristics, and Impact of Major Surgical Interventions
Upon Overall Survival.
AB - Posttransplant lymphoproliferative disorder (PTLD) is a severe complication of
solid organ transplantation. A common site for PTLD development is the
gastrointestinal (GI) tract. The purpose of this study was to evaluate the
incidence, clinical features, and overall survival of pediatric patients with GI
PTLD, and to assess whether major surgical interventions increased mortality.
Records of pediatric transplant patients who developed GI-PTLD between January
2000 and June 2015 were retrospectively reviewed at our institution. Of 814
patients who received solid organ transplants, 34 (4%) developed GI-PTLD. Lung
and multiorgan transplants had the highest incidence of GI-PTLD (both 11%).
Patients often had multisite GI involvement (47%). Within the first year of
transplantation, 38% of the 34 patients developed GI-PTLD. Of the patients with
Epstein-Barr Virus-positive disease, 12/22 (55%) presented in the first 12 months
of transplantation, compared with only 1/12 (8%) of the patients with Epstein
Barr Virus-negative disease (P=0.002). Major surgical interventions were required
in 41% of patients; overall survival rate for these surgical patients was 71%,
compared with 60% for patients not requiring major surgical interventions
(P=0.49). Despite multimodal treatments, overall survival remains poor for
patients with GI-PTLD; however, major surgical intervention did not significantly
impact overall survival in this cohort.
PMID- 29794644
TI - Increased Survival for Children With Acute Myeloid Leukemia Results From Improved
Postrelapse Treatment.
AB - BACKGROUND: The treatment for pediatric acute myeloid leukemia (AML) has not
changed significantly over the past 3 decades, yet outcomes have improved with
cure rates increasing from 30% to over 60% of all newly diagnosed children over
this period. This improvement in survival has been attributed to both treatment
intensification and improved supportive care over the decades, although the
precise impact of each remains unknown. PATIENTS AND METHODS: We retrospectively
analyzed a unique cohort of 276 patients with de novo AML diagnosed in childhood,
all treated with the same chemotherapy protocol over a 25-year period from 1986
to 2012. RESULTS: The contemporary cohort (2000-2012), compared with the
historical cohort (1986-1999) had significantly improved overall survival (75%
vs. 50%; hazard ratio, 2.17; 95% confidence interval, 1.15-2.93), lower disease
related mortality (38% vs. 19%, P=0.02) and were significantly more likely to
receive an allogeneic transplant after relapse (stem cell transplantation [SCT],
73% vs. 12%; P<0.0001). Allogeneic transplant postrelapse was associated with a
significantly improved survival across the entire cohort (overall survival 50%
for allogeneic SCT vs. 12% for autologous or none, P<0.0001). There was no
significant difference between the contemporary and historical cohorts in
treatment-related mortality (13% vs. 7%, P=0.42) or relapse rates after induction
(50% in older cohort vs. 40% in recent era, P=0.25), suggesting consistency of
induction treatment efficacy and toxicity across the 2 periods. CONCLUSIONS: This
data suggests improved survival in pediatric AML in the modern era has
predominantly resulted from changes in treatment after relapse, including
increased use of allogeneic SCT.
PMID- 29794645
TI - Evaluation of the Use of Fosaprepitant for the Prevention of Chemotherapy-induced
Nausea and Vomiting in Pediatric Patients.
AB - A neurokinin-1 (NK1) receptor antagonist is recommended with a 5-HT3 receptor
antagonist and dexamethasone for prevention of chemotherapy-induced nausea and
vomiting (CINV) in adult patients receiving highly emetogenic chemotherapy. Data
for fosaprepitant use in pediatric patients is lacking. A retrospective chart
review was conducted using an electronic medical record to characterize the use
of fosaprepitant in patients aged 10 months to 18 years at a single institution
from August 2015 to January 2017. Thirty-nine patients received fosaprepitant 4
mg/kg (maximum, 150 mg) for prevention of CINV, and 35 were included in the
analysis. Ten patients 5 years of age or older who received fosaprepitant after
October 2016 were eligible for a follow-up phone call to assess control of
delayed CINV. Complete control of emesis was observed in 89% of patients during
the acute phase, 63% during the delayed phase, and 60% overall. Overall incidence
of nausea as documented in the medical record was 43%. Among the 10 patients who
completed follow-up phone calls, 30% experienced emesis and 50% experienced
nausea after discharge. Fosaprepitant may be safe and effective in the prevention
of CINV in pediatric patients as young as 10 months of age.
PMID- 29794646
TI - Plasma Hepcidin Levels in Healthy Children: Review of Current Literature
Highlights Limited Studies.
AB - BACKGROUND: There are few studies that highlight pediatric hepcidin reference
ranges especially from Asian subcontinent. In current study, plasma from 131
children (72 boys and 59 girls; 1 to 12 y) was analyzed for hepcidin-25 by enzyme
linked immunosorbent assay. OBSERVATIONS: The median (interquartile range) plasma
hepcidin in boys was 21.89 ng/mL (16.50 to 51.70 ng/mL) and girls was 21.95 ng/mL
(19.20 to 47.70 ng/mL). No statistically significant difference (P=0.937) of
plasma hepcidin levels in sex was noted. However, multiple regression analysis
revealed a significant correlation between plasma hepcidin levels and ferritin
(P=0.000). CONCLUSIONS: Our study results highlight relatively lower median
hepcidin values in children aged 1 to 12 years as compared with western data.
This may be attributed to either lack of a harmonized and standard enzyme-linked
immunosorbent assay detection methodology or to presence of clinically
significant polymorphisms in hepcidin gene in our population.
PMID- 29794647
TI - Management of Herpes Simplex Virus Keratitis in the Pediatric Population.
AB - Herpes simplex virus (HSV) keratitis is a highly prevalent and visually disabling
disease in both the pediatric and adult population. While many studies have
investigated the treatment of HSV keratitis in adult patients, few have focused
on managing this condition in children. Children are at particularly high risk
for visual morbidity due to unique challenges in diagnosis and treatment, and the
often more aggressive disease course that results in corneal scarring, and
subsequently amblyopia. This review presents the pathogenesis and most current
recommendations for the medical and surgical management of HSV keratitis in the
pediatric population.
PMID- 29794649
TI - Decrease in the Prevalence of Methicillin-Resistant Staphylococcus aureus Nasal
Colonization of Children Admitted to Driscoll Children's Hospital.
AB - Compared with a similar 2005 study, this 2016 study showed a significant decrease
from 22% to 3% in the prevalence of methicillin-resistant Staphylococcus aureus
(MRSA) nasal colonization in children admitted to our facility. Of the sampled
360 children, 21% were colonized with Staphylococcus aureus and 14% of those
isolates were MRSA, whereas 61% of the isolates in 2005 were MRSA.
PMID- 29794648
TI - Inappropriate Antibiotic Prescribing for Acute Bronchitis in Children and Impact
on Subsequent Episodes of Care and Treatment.
AB - BACKGROUND: To examine whether inappropriate antibiotic treatment for an initial
bout of acute bronchitis in childhood affects patterns of future healthcare
utilization and antibiotic prescribing. METHODS: We conducted a retrospective
analysis of children with at least one acute bronchitis episode, defined as the
14-day period following an acute bronchitis visit, born in 2008 and followed
through 2015 in a nationally representative commercial claims database. We
predicted the likelihood of returning for a subsequent acute bronchitis episode,
and being prescribed an antibiotic as part of that episode, as a function of
whether or not the child was prescribed an antibiotic as part of the first acute
bronchitis episode controlling for patient, provider, and practice
characteristics. RESULTS: Children prescribed an antibiotic as part of their
initial acute bronchitis episode were more likely both to have a subsequent acute
bronchitis episode (HR=1.23, 95% CI 1.17-1.30) and to be prescribed an antibiotic
as part of that second episode (HR=2.13, 95% CI 1.99-2.28) compared to children
who were not prescribed as part of their first episode. Children diagnosed with
asthma were more likely to experience a second visit for acute bronchitis, but
less likely to receive an antibiotic as part of that second episode. CONCLUSIONS:
Inappropriate antibiotic prescribing for a child's initial acute bronchitis
episode of care predicted likelihood of subsequent acute bronchitis episodes and
antibiotic prescriptions. Providers should consider the downstream effect of
inappropriate antibiotic prescribing for acute bronchitis in childhood.
PMID- 29794650
TI - Spinal Tuberculosis in Young Human Immunodeficiency Virus-Exposed Infants: Two
Cases of Probable Congenital Transmission.
AB - The presentation of congenital tuberculosis (TB) is varied, with frequent
extrapulmonary disease, but congenital spinal TB has been rarely reported. We
present two cases of spinal TB (one confirmed, one clinical diagnosis) in HIV
exposed infants with likely congenital transmission. Increased vigilance for
congenital TB, including uncommon presentations, is needed, particularly in
countries with high HIV and TB prevalence.
PMID- 29794651
TI - Optimizing Gentamicin Dosing in Pediatrics Using Monte Carlo Simulations.
AB - Gentamicin is known to have concentration-dependent bactericidal activity and its
nephrotoxic effect is well described. We developed a population
pharmacokinetic/pharmacodynamic model to optimize gentamicin dosing in
pediatrics. Data were retrospectively collected for pediatric patients aged 1
month-12 years, admitted to general pediatric wards or ICUs and received
gentamicin for suspected or proven gram-negative infections. at King Saud
University Medical City, Riyadh, Saudi Arabia. A total of 306 gentamicin peak and
trough concentrations sets from 107 patients were analyzed with mean (+/- SD)
patient age and weight of 4.5 +/- 3.5 years and 16.7 +/- 10.8 kg, respectively.
Gentamicin pharmacokinetics were adequately described with a one compartment
system (R = 0.82, bias = 1.75% and precision = 88% for population predictions and
R = 0.94, bias = 5 % and precision = 29 % for individual predictions). The
gentamicin pharmacokinetic parameters were as follows: volume of distribution =
8.9 L, total body clearance = 2.8 L/hr for a 20 kg patient. Monte Carlo
simulations showed that doses of 5-6 mg/kg/dose once daily are adequate only to
treat infections with gram negative organisms having MIC less than 1 mcg/ml.
While, at MIC of 1 mcg/ml, higher doses (7-8 mg/kg/dose once daily) are needed to
maximize the efficacy of gentamicin. However, at MIC of 2 mcg/ml, even a 10 mg/kg
dose showed poor target attainment (52 %). The finding of this study highlights
the need to reevaluate the current breakpoints of gentamicin, and also to assess
the safety of higher doses of gentamicin in pediatrics.
PMID- 29794652
TI - Pneumocystis Infection in Children: National Trends and Characteristics in the
United States, 1997-2012.
AB - BACKGROUND: Although the epidemiology of immunocompromising condition in children
has evolved over time, updated epidemiology of pediatric pneumocystis infection
in the US is not available. METHODS: We performed a retrospective analysis using
the Kids' Inpatient Database, a nationally representative sample of US pediatric
hospital discharges collected in 1997, 2000, 2003, 2006, 2009, and 2012.
pneumocystis cases were identified using International Classification of
Diseases, Ninth Revision, Clinical Modification code 136.3 among children aged 0
18 years. Demographic data of cases with and without mortality were compared.
RESULTS: We identified 1,902 (standard error, SE: 95) pneumocystis cases during
the study period. The pneumocystis hospitalization rate decreased from 7.5 (SE:
0.91) to 2.7 (SE: 0.31) per a million US children from 1997 to 2012 (63.2%
decrease). Cases with human immunodeficiency virus (HIV) infection decreased from
285 (SE: 56) cases in 1997 to 29 (SE: 7) cases in 2012, whereas hematologic
malignancy and primary immunodeficiency became more prominent. Infants were the
most commonly affected (510 cases [SE: 40]). All-cause in-hospital mortality was
11.7% (SE: 1.3%) and was particularly high among cases with hematopoietic stem
cell transplant (HSCT) (32.4% [SE: 7.1%]) (p <0.001). CONCLUSIONS: Pneumocystis
infection in children showed a marked decrease from 1997 to 2012 in the US,
largely driven by the reduction in HIV-associated cases, and cases with non-HIV
illnesses became more prominent. HSCT-associated cases had particularly high
mortality. Clinicians should be aware of high risk groups that may benefit from
chemoprophylaxis, particularly in infancy.
PMID- 29794654
TI - Characterizing the Functional Decline of Older Women With Incident Urinary
Incontinence.
PMID- 29794653
TI - Viral Suppression in a Nationwide Sample of HIV-infected Children on
Antiretroviral Therapy in Rwanda.
AB - Rwanda has made significant progress in expanding pediatric antiretroviral
treatment(ART) coverage. This was a nationwide, cross-sectional study of
pediatric HIV suppression rates. Of 292 children on ART >=12 months, 68.8%
achieved viral suppression <40 copies/ml, respectively. Rwanda achieved good
pediatric viral suppression rates, comparable to those from other resource
limited settings(RLS), yet more efforts are needed to achieve the UNAIDS 90-90-90
target.
PMID- 29794655
TI - In Reply.
PMID- 29794656
TI - Term Elective Induction of Labor and Pregnancy Outcomes Among Obese Women and
Their Offspring.
PMID- 29794657
TI - In Reply.
PMID- 29794658
TI - ACOG Committee Opinion No. 729: Importance of Social Determinants of Health and
Cultural Awareness in the Delivery of Reproductive Health Care.
PMID- 29794659
TI - In Reply.
PMID- 29794660
TI - ACOG Practice Bulletin No. 188: Prelabor Rupture of Membranes.
PMID- 29794661
TI - In Reply.
PMID- 29794662
TI - Outcomes of Planned Compared With Urgent Deliveries Using a Multidisciplinary
Team Approach for Morbidly Adherent Placenta.
PMID- 29794663
TI - In Reply.
PMID- 29794664
TI - Clomiphene Stair-Step Protocol for Women With Polycystic Ovary Syndrome.
PMID- 29794665
TI - In Reply.
PMID- 29794666
TI - Characterizing the Functional Decline of Older Women With Incident Urinary
Incontinence: Correction.
PMID- 29794669
TI - ACOG Publications.
PMID- 29794670
TI - ACOG Practice Bulletin No. 194 Summary: Polycystic Ovary Syndrome.
AB - Polycystic ovary syndrome (PCOS) is a disorder characterized by hyperandrogenism,
ovulatory dysfunction, and polycystic ovaries. Its etiology remains unknown, and
treatment is largely symptom based and empirical. PCOS has the potential to cause
substantial metabolic sequelae, including an increased risk of diabetes and
cardiovascular disease, and these factors should be considered when determining
long-term treatment. The purpose of this document is to examine the best
available evidence for the diagnosis and clinical management of PCOS.
PMID- 29794671
TI - ACOG Practice Bulletin No. 195 Summary: Prevention of Infection After Gynecologic
Procedures.
AB - Surgical site infection remains the most common complication of gynecologic
procedures. Reducing surgical site infections has become a priority in the United
States as part of a strong national commitment to measuring processes and
improving outcomes of care for surgery. Implementing programs to reduce surgical
site infections requires a collaborative approach that involves clinicians,
nurses, and staff. The purpose of this document is to review the recommended
interventions, including antibiotic prophylaxis, used to prevent infection after
gynecologic procedures.
PMID- 29794672
TI - ACOG Committee Opinion No. 737 Summary: Expedited Partner Therapy.
AB - Sexually transmitted infections (STIs) disproportionately affect women and create
a preventable threat to their fertility. One factor that contributes to young
women's high rates of STIs is reinfection from an untreated sexual partner. One
way to address this problem is through expedited partner therapy, the practice of
treating the sexual partners of patients in whom STIs are diagnosed. Expedited
partner therapy enables the obstetrician-gynecologist or other provider to give
prescriptions or medications to patients to take to their partners without first
examining these partners. Despite the effectiveness of expedited partner therapy,
numerous legal, medical, practical, and administrative barriers hinder its
routine use by obstetrician-gynecologists. The American College of Obstetricians
and Gynecologists supports the use of expedited partner therapy as a method of
preventing gonorrhea and chlamydial reinfection when a patient's partners are
unable or unwilling to seek medical care. Expedited partner therapy should be
accompanied by patient counseling and written treatment instructions for the
patient's partner(s). Partners receiving expedited partner therapy should be
encouraged to seek additional medical evaluation as soon as possible to discuss
screening for other STIs, including human immunodeficiency virus (HIV) infection.
PMID- 29794673
TI - ACOG Committee Opinion No. 738 Summary: Aromatase Inhibitors in Gynecologic
Practice.
AB - Aromatase inhibitors have been used for the treatment of breast cancer, ovulation
induction, endometriosis, and other estrogen-modulated conditions. For women with
breast cancer, bone mineral density screening is recommended with long-term
aromatase inhibitor use because of the risk of osteoporosis due to estrogen
deficiency. Based on long-term adverse effects and complication safety data, when
compared with tamoxifen, aromatase inhibitors are associated with a reduced
incidence of thrombosis, endometrial cancer, and vaginal bleeding. For women with
polycystic ovary syndrome and a body mass index greater than 30, letrozole should
be considered first-line therapy for ovulation induction because of the increased
live birth rate compared with clomiphene citrate. Lifestyle changes that result
in weight loss should be strongly encouraged. Aromatase inhibitors are a
promising therapeutic option that may be helpful for the management of
endometriosis-associated pain in combination therapy with progestins.
PMID- 29794674
TI - ACOG Committee Opinion No. 739 Summary: The Late-Career Obstetrician
Gynecologist.
AB - The American Medical Association reported in 2015 that physicians 65 years and
older currently represent 23% of the physicians in the United States. Unlike
other professions such as commercial airline pilots, who by law must have regular
health screenings starting at 40 years and must retire at 65 years, few health
care institutions or systems have any policies regarding the late-career
physician. Although there is an increase in accumulated wisdom and verbal
knowledge with age, there is also an overall decline in recall memory, cognitive
processing efficiency, and executive reasoning. The goal of physicians and health
care institutions is to provide safe and competent care to their patients.
Therefore, when considering the performance of a physician, the quality of care
provided and safety of the patient are of the utmost importance. It is important
to establish systems-based competency assessments to monitor and address
physicians' health and the effect age has on performance and outcomes. Retention
strategies can support areas of cognitive or technical decline while capitalizing
on the aging doctor's strengths, and workplace adaptations should be adopted to
help obstetrician-gynecologists transition and age well in their practice and
throughout their careers.
PMID- 29794675
TI - ACOG Committee Opinion No. 740 Summary: Gynecologic Care for Adolescents and
Young Women With Eating Disorders.
AB - The Diagnostic and Statistical Manual of Mental Disorders, Fifth Edition, defines
eating disorders as a "persistent disturbance of eating or eating-related
behavior that results in the altered consumption or absorption of food and that
significantly impairs physical health or psychosocial functioning." The correct
diagnosis of and distinction between eating disorders are important because the
course, prognosis, and treatment may be vastly different. Although the age at
peak incidence can vary depending on the eating disorder, these disorders
commonly arise during adolescence. Adult and adolescent females with eating
disorders may present with gynecologic concerns or symptoms, including irregular
menses, amenorrhea, pelvic pain, atrophic vaginitis, and breast atrophy. Although
formal diagnosis and treatment of eating disorders in adolescents are complex and
outside the scope of practice for most general obstetrician-gynecologists, it is
important that health care providers be comfortable with recognizing and
screening at-risk patients. Recognizing risk factors for eating disorders can
help to identify patients who should be further evaluated. Simply asking the
patient how she feels about her weight, what she is eating, how much she is
eating, and how much she is exercising can help identify at-risk patients. A
physical examination and laboratory tests are valuable in the diagnosis of an
eating disorder. Because eating disorders are complex and affect psychologic and
physical health, a multidisciplinary approach is imperative. Although
obstetrician-gynecologists are not expected to treat eating disorders, they
should be familiar with the criteria that warrant immediate hospitalization for
medical stabilization.
PMID- 29794676
TI - ACOG Committee Opinion No. 741 Summary: Maternal Immunization.
AB - Immunization is an essential part of care for adults, including pregnant women.
Influenza vaccination for pregnant women is especially important because pregnant
women who contract influenza are at greater risk of maternal morbidity and
mortality in addition to fetal morbidity, including congenital anomalies,
spontaneous abortion, preterm birth, and low birth weight. Other vaccines provide
maternal protection from severe morbidity related to specific pathogens such as
pneumococcus, meningococcus, and hepatitis for at-risk pregnant women.
Obstetrician-gynecologists and other obstetric care providers should routinely
assess their pregnant patients' vaccination status. Based on this assessment they
should recommend and, when possible, administer needed vaccines to their pregnant
patients. There is no evidence of adverse fetal effects from vaccinating pregnant
women with inactivated virus, bacterial vaccines, or toxoids, and a growing body
of data demonstrate the safety of such use. Women who are or will be pregnant
during influenza season should receive an annual influenza vaccine. All pregnant
women should receive a tetanus toxoid, reduced diphtheria toxoid, and acellular
pertussis (Tdap) vaccine during each pregnancy, as early in the 27-36-weeks-of
gestation window as possible.
PMID- 29794677
TI - ACOG Practice Bulletin No. 194: Polycystic Ovary Syndrome.
AB - Polycystic ovary syndrome (PCOS) is a disorder characterized by hyperandrogenism,
ovulatory dysfunction, and polycystic ovaries. Its etiology remains unknown, and
treatment is largely symptom based and empirical. PCOS has the potential to cause
substantial metabolic sequelae, including an increased risk of diabetes and
cardiovascular disease, and these factors should be considered when determining
long-term treatment. The purpose of this document is to examine the best
available evidence for the diagnosis and clinical management of PCOS.
PMID- 29794678
TI - ACOG Practice Bulletin No. 195: Prevention of Infection After Gynecologic
Procedures.
AB - Surgical site infection remains the most common complication of gynecologic
procedures. Reducing surgical site infections has become a priority in the United
States as part of a strong national commitment to measuring processes and
improving outcomes of care for surgery. Implementing programs to reduce surgical
site infections requires a collaborative approach that involves clinicians,
nurses, and staff. The purpose of this document is to review the recommended
interventions, including antibiotic prophylaxis, used to prevent infection after
gynecologic procedures.
PMID- 29794679
TI - ACOG Committee Opinion No. 737: Expedited Partner Therapy.
AB - Sexually transmitted infections (STIs) disproportionately affect women and create
a preventable threat to their fertility. One factor that contributes to young
women's high rates of STIs is reinfection from an untreated sexual partner. One
way to address this problem is through expedited partner therapy, the practice of
treating the sexual partners of patients in whom STIs are diagnosed. Expedited
partner therapy enables the obstetrician-gynecologist or other provider to give
prescriptions or medications to patients to take to their partners without first
examining these partners. Despite the effectiveness of expedited partner therapy,
numerous legal, medical, practical, and administrative barriers hinder its
routine use by obstetrician-gynecologists. The American College of Obstetricians
and Gynecologists supports the use of expedited partner therapy as a method of
preventing gonorrhea and chlamydial reinfection when a patient's partners are
unable or unwilling to seek medical care. Expedited partner therapy should be
accompanied by patient counseling and written treatment instructions for the
patient's partner(s). Partners receiving expedited partner therapy should be
encouraged to seek additional medical evaluation as soon as possible to discuss
screening for other STIs, including human immunodeficiency virus (HIV) infection.
PMID- 29794680
TI - ACOG Committee Opinion No. 738: Aromatase Inhibitors in Gynecologic Practice.
AB - Aromatase inhibitors have been used for the treatment of breast cancer, ovulation
induction, endometriosis, and other estrogen-modulated conditions. For women with
breast cancer, bone mineral density screening is recommended with long-term
aromatase inhibitor use because of the risk of osteoporosis due to estrogen
deficiency. Based on long-term adverse effects and complication safety data, when
compared with tamoxifen, aromatase inhibitors are associated with a reduced
incidence of thrombosis, endometrial cancer, and vaginal bleeding. For women with
polycystic ovary syndrome and a body mass index greater than 30, letrozole should
be considered first-line therapy for ovulation induction because of the increased
live birth rate compared with clomiphene citrate. Lifestyle changes that result
in weight loss should be strongly encouraged. Aromatase inhibitors are a
promising therapeutic option that may be helpful for the management of
endometriosis-associated pain in combination therapy with progestins.
PMID- 29794681
TI - ACOG Committee Opinion No. 739: The Late-Career Obstetrician-Gynecologist.
AB - The American Medical Association reported in 2015 that physicians 65 years and
older currently represent 23% of the physicians in the United States. Unlike
other professions such as commercial airline pilots, who by law must have regular
health screenings starting at 40 years and must retire at 65 years, few health
care institutions or systems have any policies regarding the late-career
physician. Although there is an increase in accumulated wisdom and verbal
knowledge with age, there is also an overall decline in recall memory, cognitive
processing efficiency, and executive reasoning. The goal of physicians and health
care institutions is to provide safe and competent care to their patients.
Therefore, when considering the performance of a physician, the quality of care
provided and safety of the patient are of the utmost importance. It is important
to establish systems-based competency assessments to monitor and address
physicians' health and the effect age has on performance and outcomes. Retention
strategies can support areas of cognitive or technical decline while capitalizing
on the aging doctor's strengths, and workplace adaptations should be adopted to
help obstetrician-gynecologists transition and age well in their practice and
throughout their careers.
PMID- 29794682
TI - ACOG Committee Opinion No. 740: Gynecologic Care for Adolescents and Young Women
With Eating Disorders.
AB - The Diagnostic and Statistical Manual of Mental Disorders, Fifth Edition, defines
eating disorders as a "persistent disturbance of eating or eating-related
behavior that results in the altered consumption or absorption of food and that
significantly impairs physical health or psychosocial functioning." The correct
diagnosis of and distinction between eating disorders are important because the
course, prognosis, and treatment may be vastly different. Although the age at
peak incidence can vary depending on the eating disorder, these disorders
commonly arise during adolescence. Adult and adolescent females with eating
disorders may present with gynecologic concerns or symptoms, including irregular
menses, amenorrhea, pelvic pain, atrophic vaginitis, and breast atrophy. Although
formal diagnosis and treatment of eating disorders in adolescents are complex and
outside the scope of practice for most general obstetrician-gynecologists, it is
important that health care providers be comfortable with recognizing and
screening at-risk patients. Recognizing risk factors for eating disorders can
help to identify patients who should be further evaluated. Simply asking the
patient how she feels about her weight, what she is eating, how much she is
eating, and how much she is exercising can help identify at-risk patients. A
physical examination and laboratory tests are valuable in the diagnosis of an
eating disorder. Because eating disorders are complex and affect psychologic and
physical health, a multidisciplinary approach is imperative. Although
obstetrician-gynecologists are not expected to treat eating disorders, they
should be familiar with the criteria that warrant immediate hospitalization for
medical stabilization.
PMID- 29794683
TI - ACOG Committee Opinion No. 741: Maternal Immunization.
AB - Immunization is an essential part of care for adults, including pregnant women.
Influenza vaccination for pregnant women is especially important because pregnant
women who contract influenza are at greater risk of maternal morbidity and
mortality in addition to fetal morbidity, including congenital anomalies,
spontaneous abortion, preterm birth, and low birth weight. Other vaccines provide
maternal protection from severe morbidity related to specific pathogens such as
pneumococcus, meningococcus, and hepatitis for at-risk pregnant women.
Obstetrician-gynecologists and other obstetric care providers should routinely
assess their pregnant patients' vaccination status. Based on this assessment they
should recommend and, when possible, administer needed vaccines to their pregnant
patients. There is no evidence of adverse fetal effects from vaccinating pregnant
women with inactivated virus, bacterial vaccines, or toxoids, and a growing body
of data demonstrate the safety of such use. Women who are or will be pregnant
during influenza season should receive an annual influenza vaccine. All pregnant
women should receive a tetanus toxoid, reduced diphtheria toxoid, and acellular
pertussis (Tdap) vaccine during each pregnancy, as early in the 27-36-weeks-of
gestation window as possible.
PMID- 29794684
TI - Middle Ear Pressures in Wind Instrument Musicians.
AB - OBJECTIVES: This study aimed to assess if playing wind instruments leads to a
measurable increase in middle ear pressure during note generation and to provide
evidence to clinicians to advise musicians undergoing middle ear surgery. STUDY
DESIGN: An observational cohort study of 40 volunteers in 7 different wind
instrument categories underwent tympanometry at rest and during note production.
SETTING: Community. PATIENTS: Recreational musicians aged over 18 years recruited
from the student body attending Birmingham University, UK. INTERVENTION: None.
MAIN OUTCOME MEASURES: Tympanometry is used as a noninvasive measure of middle
ear pressure. The pressure at which peak compliance occurred was taken as an
indirect measure of middle ear pressure. The data produced at rest and during
note production was statistically analysed with paired t testing and significance
set at a p value less than 0.01. RESULTS: Overall a statistically significant
increase in middle ear pressure change of 0.63 mm Hg (p = 0.0001) during note
production was identified. Musicians playing the oboe and trumpet demonstrate the
largest increase in middle ear pressure of 1.46 mm Hg (p = 0.0053) and 0.78 mm Hg
(p = 0.0005) respectively. CONCLUSION: The data provided by this study gives
evidence for the first time that playing wind instruments does increase middle
ear pressure. Although the clinical significance of this is yet to be determined
the authors would advise that musicians who undergo otological procedures should
refrain from playing their instruments until full recovery has been achieved as
advised by their clinician following direct microscopic review.
PMID- 29794685
TI - Function, Applicability, and Properties of a Novel Flexible Total Ossicular
Replacement Prosthesis With a Silicone Coated Ball and Socket Joint.
AB - HYPOTHESIS: A total ossicular replacement prosthesis (TORP) with a silicone
coated ball and socket joint (BSJ) is able to compensate pressure changes and
therefore provide better sound transmission compared with rigid prostheses.
BACKGROUND: Dislocation and extrusion are known complications after TORP
reconstruction, leading to revisions and recurrent hearing loss. Poor aeration of
the middle ear, scar tension, and static pressure variations in conjunction with
rigid prosthesis design causes high tension at the implant coupling points.
METHODS: A novel TORP prototype with a silicone coated BSJ has been developed.
Experimental measurements were performed on nine fresh cadaveric human temporal
bones of which five were used for a comparison between rigid TORP and flexible
TORP tympanoplasty. The middle ear transfer function was measured at ambient
pressure and at 2.5 kPa, both positive and negative pressure, applied in the ear
canal. RESULTS: The flexible TORP design yields a better transmission of sound
after implantation and at negative pressure inside the tympanic cavity, compared
with rigid TORP. In average, it provides an equivalent sound transfer like the
intact middle ear. At positive pressure, the flexible TORP performs slightly
worse. Both performed worse than the intact middle ear, which is related to an
uplifting of the prostheses. CONCLUSION: The findings may be considered
preliminary as this experimental study was limited to just one of the many
different possible situations of tympanoplasty and it involved a small sample
size. Nevertheless, the results with the flexible TORP were promising and could
encourage further investigations on such prostheses.
PMID- 29794686
TI - Predicting Performance and Non-Use in Prelingually Deaf and Late-Implanted
Cochlear Implant Users.
AB - OBJECTIVE: To analyze postoperative hearing performance and independent
predictors of speech perception and to assess cochlear implant nonuse in adults
with prelingual deafness who received an implant during adulthood. DESIGN:
Retrospective cohort study including all prelingually deaf adults who received a
cochlear implant at the University Medical Center Utrecht between 2000 and 2013.
SETTING: Tertiary referral center. PATIENTS: Included were a total of 48
prelingually deaf patients diagnosed with severe to profound sensorineural
hearing loss before the age of 2 years who received their implants during
adulthood. MAIN OUTCOME MEASURES: Postoperative monosyllabic word and sentence
recognition scores. RESULTS: The average maximum postoperative monosyllabic word
score for all subjects was 25% correct. Preoperative speech perception and the
extent of preoperative residual hearing were both positive independent predictors
of postoperative speech recognition, explaining 47% of the variance. Almost half
of the population experienced only little (27%) or no benefit (21%) of their
implants in daily communication. These patients were more likely to have either
no or only low-frequency residual hearing. Eventually, all subjects without any
benefit became non-user. CONCLUSIONS: Postoperative performance of adult,
prelingually deaf cochlear implant candidates, with long-term deafness is
dependent on their preoperative speech perception and residual hearing.
Candidates who have negligible residual hearing are expected to have no or only
limited postoperative benefit of their implants and are at risk of becoming
nonusers.
PMID- 29794687
TI - The Human Cochlear Aqueduct and Accessory Canals: a Micro-CT Analysis Using a 3D
Reconstruction Paradigm.
AB - OBJECTIVE: We sought to study the anatomic variations of the cochlear aqueduct
and its accessory canals in human temporal bones using micro-CT and a 3D
reconstruction paradigm. More knowledge about the anatomic variations of these
structures, particularly at the basal turn of the cochlea and round window niche,
may be important to better preserve residual hearing as well as the neural supply
during cochlear implant surgery. METHODS: An archival collection of 30 human
temporal bones underwent micro-CT and 3D reconstruction. A surface enhancement
paradigm was applied. The application displays reconstructed slices as a 3D
object with realistic 3D visualization of scanned objects. Virtual sectioning or
"cropping" of the petrous bone presented subsequent areas. Thereby, the bony
canals could be followed from inside the basal turn of cochlea and middle ear to
the jugular foramen. RESULTS: The cochlear aqueduct was always paralleled by an
accessory canal containing the inferior cochlear vein. It ran from the basal turn
of the cochlea and exited laterally in the jugular foramen. In 70% of the cases,
a secondary accessory canal was observed and it derived mostly from a depression
or infundibulum located in the floor of the round window niche. This canal also
exited in the jugular foramen. The secondary accessory canal occasionally
anastomosed with the primary accessory canal suggesting that it contains a vein
that drains middle ear blood to the cranial sinus. CONCLUSION: Micro-CT with 3D
surface reconstruction paradigm offers new possibilities to study the topographic
anatomy of minor details in the human inner ear. The technique creates simulated
transparent "castings" of the labyrinth with a coinciding surface view through
enhancement of contrast between boundaries. Accessory canals that drain blood
from the cochlea, spiral ganglion, and middle ear could be characterized three
dimensionally.
PMID- 29794689
TI - The focused abdominal sonography for trauma examination can reliably identify
patients with significant intra-abdominal hemorrhage in life-threatening pelvic
fractures.
AB - BACKGROUND: The focused abdominal sonography for trauma (FAST) examination has
been reported to be unreliable in pelvic fracture patients. Additionally, given
the advent of new therapeutic interventions, such as resuscitative endovascular
balloon occlusion of the aorta (REBOA), rapid identification of intra-abdominal
hemorrhage compared with Zone III hemorrhage may guide different therapeutic
strategies. We hypothesized that FAST is reliable for detecting clinically
significant intra-abdominal hemorrhage in the face of complex pelvic fractures.
METHODS: Our pelvic fracture database of all hemodynamically unstable patients
requiring intervention from January 1, 2005, to July 1, 2015, was reviewed. The
FAST examination was compared with operative and computed tomography (CT) scan
findings. Confirmatory evaluation for FAST(-) patients was considered positive if
therapeutic intervention was required. RESULTS: During the study period, 81
patients in refractory shock with FAST imaging in our emergency department (ED)
underwent pelvic packing. Mean age was 45 +/- 2 years and Injury Severity Score
was 50 +/- 1.5. The FAST examination was negative in 53 patients; 52 patients did
not require operative intervention for abdominal bleeding while one patient
required splenectomy. The FAST examination was positive in 28 patients; 26 had
findings confirmed by CT or laparotomy while two patients did not have intra
abdominal hemorrhage on further evaluation. The sensitivity and specificity for
FAST in this population was 96% and 96%, respectively, positive predictive value
was 93%, and negative predictive value was 98%. The false-negative and -positive
rates for FAST were 2% and 7%. CONCLUSION: Focused abdominal sonography for
trauma examination reliably identifies clinically significant hemoperitoneum in
life-threatening, pelvic fracture related hemorrhage. The incidence of a false
negative FAST in this unstable pelvic fracture population was 2%. FAST results
may be used when determining the role of REBOA in these multisystem trauma
patients and requires further study. REBOA placement should be considered in
hemodynamically unstable pelvic fracture patients who are FAST(-), while
laparotomy should be used in FAST(+) patients. LEVEL OF EVIDENCE: Therapeutic,
level IV.
PMID- 29794688
TI - Giant Temporal Lobe Abscess in a Patient With Ectodermal Dysplasia.
AB - OBJECTIVE: To present the first reported case of a temporal lobe abscess in a
patient with ectodermal dysplasia (ED). To increase awareness among
neurotologists of the otological manifestations and complications of ED, we
describe a conservative approach in comparison with the surgical strategies to
repair bony defects of the tegmen tympani. STUDY DESIGN: Clinical capsule report.
PATIENT: A 44-year-old man with ED presented with retroauricular pain and high
grade fever after Eustachian tube catheterizations to treat an effusive otitis
media. Since many signs indicated meningitis, a computed tomography (CT) scan of
the brain was performed, and an extensive intraparenchymal mass was detected.
Magnetic Resonance Images (MRI) confirmed a brain abscess of the right temporal
lobe. INTERVENTIONS: Surgical drainage of the abscess through craniotomy,
antimicrobial therapy, and strict follow-up. MAIN OUTCOME MEASURES: Clinical
symptoms and radiological signs. RESULTS: After craniotomy, the antimicrobial
therapy was administered for 8 weeks. Postoperative high resolution TC of the
petrous bone showed the presence of material with a soft tissue signal in the
right middle ear and dehiscent anterior tegmen tympani. The location of the bony
defect in association with the good clinical outcome with medical therapy
mitigated against a first choice otosurgical approach to repair the dehiscence.
Serial MRI scans provided proof of complete remission and no relapse occurred
during a 2-year follow-up. CONCLUSIONS: Patients with ED can present with chronic
otitis media and tegmen tympani defects, predisposing them to serious
complications. Imaging is mandatory, and the optimum treatment requires
assessment of various factors.
PMID- 29794690
TI - Validation of the American Association for the Surgery of Trauma emergency
general surgery grade for skin and soft tissue infection.
AB - INTRODUCTION: Skin and soft tissue infections (SSTIs) present with variable
severity. The American Association for the Surgery of Trauma (AAST) developed an
emergency general surgery (EGS) grading system for several diseases. We aimed to
determine whether the AAST EGS grade corresponds with key clinical outcomes.
METHODS: Single-institution retrospective review of patients (>=18 years)
admitted with SSTI during 2012 to 2016 was performed. Patients with surgical site
infections or younger than 18 years were excluded. Laboratory Risk Indicator for
Necrotizing Fasciitis score and AAST EGS grade were assigned. The primary outcome
was association of AAST EGS grade with complication development, duration of
stay, and interventions. Secondary predictors of severity included tissue
cultures, cross-sectional imaging, and duration of inpatient antibiotic therapy.
Summary and univariate analyses were performed. RESULTS: A total of 223 patients
were included (mean +/- SD age of 55.1 +/- 17.0 years, 55% male). The majority of
patients received cross sectional imaging (169, 76%) or an operative procedure
(155, 70%). Skin and soft tissue infection tissue culture results included no
growth (51, 24.5%), monomicrobial (83, 39.9%), and polymicrobial (74, 35.6%).
Increased AAST EGS grade was associated with operative interventions, intensive
care unit utilization, complication severity (Clavien-Dindo index), duration of
hospital stay, inpatient antibiotic therapy, mortality, and hospital readmission.
CONCLUSION: The AAST EGS grade for SSTI demonstrates the ability to correspond
with several important outcomes. Prospective multi-institutional study is
required to determine its broad generalizability in several populations. LEVEL OF
EVIDENCE: Prognostic, level IV.
PMID- 29794691
TI - Featured Articles for CME Credit June 2018.
PMID- 29794693
TI - The Impact of Mastectomy Weight on Reconstructive Trends and Outcomes in Nipple
Sparing Mastectomy: Progressively Greater Complications with Larger Breast Size.
AB - BACKGROUND: Reconstructive trends and outcomes for nipple-sparing mastectomy
continue to be defined. The graduated impact of breast size and mastectomy weight
remains incompletely evaluated. METHODS: All patients undergoing nipple-sparing
mastectomy from 2006 to June of 2016 were identified. Demographics and outcomes
were analyzed and stratified by mastectomy weight of 800 g or higher (large
group), between 799 and 400 g (intermediate group), and less than 400 g (small
group). RESULTS: Of 809 nipple-sparing mastectomies, 66 (8.2 percent) had
mastectomy weights of 800 g or higher, 328 (40.5 percent) had mastectomy weights
between 799 and 400 g, and 415 nipple-sparing mastectomies (51.3 percent) had
mastectomy weights less than 400 g. Nipple-sparing mastectomies in the large
group were significantly more likely to be associated with major mastectomy flap
necrosis (p = 0.0005), complete nipple-areola complex necrosis (p < 0.0001),
explantation (p < 0.0001), cellulitis treated with oral (p = 0.0008) and
intravenous (p = 0.0126) antibiotics, abscess (p = 0.0254), and seroma (p =
0.0126) compared with those in the intermediate group. Compared with small nipple
sparing mastectomies, patients in the large group had greater major mastectomy
flap necrosis (p < 0.0001), complete (p < 0.0001) and partial (p = 0.0409) nipple
areola complex necrosis, explantation (p < 0.0001), cellulitis treated with oral
(p < 0.0001) and intravenous (p < 0.0001) antibiotics, abscess (p = 0.0119), and
seroma (p < 0.0001). Patients in the intermediate group were more likely to
experience major (p < 0.0001) and minor (p < 0.0001) mastectomy flap necrosis,
complete (p = 0.0015) and partial (p < 0.0001) nipple-areola complex necrosis,
cellulitis treated with oral antibiotics (p = 0.0062), and seroma (p = 0.0248)
compared with those undergoing small nipple-sparing mastectomies. Larger
mastectomy weights were significant predictors of complications on logistic
regression analysis. CONCLUSION: Reconstructive and ischemic complications in
nipple-sparing mastectomy are progressively greater as mastectomy weight and
breast size increase. CLINICAL QUESTION/LEVEL OF EVIDENCE: Risk, II.
PMID- 29794694
TI - Does Hormone Therapy Use Increase Perioperative Complications in Abdominally
Based Microsurgical Breast Reconstruction?
AB - BACKGROUND: The use of hormone therapy (tamoxifen and aromatase inhibitors) has
been shown to increase venous thromboembolism. As breast cancer patients
undergoing microsurgical breast reconstruction are often receiving hormone
therapy, it is unclear whether this increased thrombotic risk is associated with
increased flap loss. METHODS: A retrospective review was performed on patients
undergoing abdominally based microsurgical breast reconstruction at an academic
institution from 2004 to 2015. Patients were divided by use of hormone therapy at
the time of surgery. Complication rates, including complete or partial flap loss
and overall complications, were compared and analyzed using univariate and
logistic regression models. RESULTS: Among a total of 853 patients (1253 flaps),
193 patients (269 flaps) were receiving hormone therapy and 660 patients (984
flaps) were not. Patients on hormone therapy had higher rates of previous breast
surgery, advanced cancer stage, chemoradiation before reconstruction, and delayed
and unilateral reconstruction. There were no statistically significant
differences between hormone therapy patients and nontherapy patients in complete
flap loss (1.0 percent versus 1.1 percent) and partial flap loss (2.2 percent
versus 1.5 percent). Hypertension and previous breast surgery were the only
independent risk factors for minor complications (adjusted OR, 2.1; 95 percent
CI, 1.3 to 3.6; p = 0.005; and adjusted OR, 1.8; 95 percent CI, 1.2 to 2.7; p =
0.009, respectively) and overall complications (adjusted OR, 2.2; 95 percent CI,
1.3 to 3.7; p = 0.004; and adjusted OR, 1.9; 95 percent CI, 1.3 to 3.0; p =
0.003, respectively). CONCLUSIONS: Hormone therapy was not associated with a
higher incidence of complete or partial flap loss or overall complications. The
authors propose an individualized approach to the preoperative cessation of
tamoxifen or aromatase inhibitors. CLINICAL QUESTION/LEVEL OF EVIDENCE:
Therapeutic, III.
PMID- 29794695
TI - BRCA Mutations in the Young, High-Risk Female Population: Genetic Testing,
Management of Prophylactic Therapies, and Implications for Plastic Surgeons.
AB - Growing public awareness of hereditary breast cancers, notably BRCA1 and BRCA2,
and increasing popularity of personalized medicine have led to a greater number
of young adult patients presenting for risk-reduction mastectomies and breast
reconstruction. Plastic surgeons must be familiar with treatment guidelines,
necessary referral patterns, and particular needs of these patients to
appropriately manage their care. Genetic testing for BRCA1 and BRCA2 is most
often reserved for patients older than the age of consent, and can be performed
in the young adult population (aged 18 to 25 years) with the appropriate
preemptive genetic counseling. Subsequent risk-reduction procedures are usually
delayed until at least the latter end of the young adult age range, and must be
considered on an individualized basis with regard for a patient's level of
maturity and autonomy. Prophylactic mastectomies in young adults also can serve
to aid the unique psychosocial needs of this population, although the long-term
psychological and physical ramifications must be considered carefully. With the
development of nipple-sparing mastectomy and improvement in reconstructive
techniques, risk-reducing surgery has become more accepted in the younger
population. Immediate, implant-based reconstruction is a common reconstructive
technique in these patients but requires extensive discussion regarding
reconstructive goals, the risk of possible complications, and long-term
implications of these procedures. Comprehensive, continuous support with
multispecialty counseling is necessary throughout the spectrum of care for the
high-risk, young adult patient.
PMID- 29794696
TI - Motiva Ergonomix Round SilkSurface Silicone Breast Implants: Outcome Analysis of
100 Primary Breast Augmentations over 3 Years and Technical Considerations.
AB - BACKGROUND: Macrotextured anatomical implants are frequently used in aesthetic
breast surgery; however, several safety concerns linked to this implant type have
been raised recently. In an attempt to address these shortcomings, Motiva
Ergonomix implants have been introduced. Here, the authors describe the current
world's largest experience with these novel devices in aesthetic breast surgery
and evaluate the postoperative outcome of 100 primary breast augmentations.
METHODS: A retrospective assessment of 100 consecutive primary breast
augmentation patients over a period of 3 years was conducted. Patients were
followed for a minimum of 6 months postoperatively. Demographics, surgical data,
and complications were recorded. In addition, a survey regarding the breast
augmentation outcome was performed. RESULTS: The reasons for surgery were mainly
hypoplasia (52 percent) and ptosis (28 percent). All implants were placed by
means of an inframammary approach in a submuscular pocket, and the average
implant volume was 370 cc (range, 150 to 700 cc) with mostly full projection (65
percent). The revision rate was 7 percent. The authors observed four cases of
implant malpositioning, one implant rupture, one implant exchange for aesthetic
reasons, and one hematoma evacuation. Nevertheless, the authors achieved a 100
percent satisfaction rate with the postsurgical outcome among both patients and
surgeons. CONCLUSIONS: Motiva Ergonomix implants provide reliable and satisfying
results for both patients and surgeons. They can be used safely and effectively
for aesthetic breast surgery. However, like all breast prostheses, Motiva
Ergonomix implants are not completely free of complications and should be used
only with advanced technique to achieve optimal results. CLINICAL QUESTION/LEVEL
OF EVIDENCE: Therapeutic, IV.
PMID- 29794697
TI - Discussion: Motiva Ergonomix Round SilkSurface Silicone Breast Implants: Outcome
Analysis of 100 Primary Breast Augmentations over 3 Years and Technical
Considerations.
PMID- 29794698
TI - Secondary Gluteal Augmentation: Surgical Technique and Outcomes.
AB - BACKGROUND: As interest in posterior body contour has increased, there has been
an upward trend in the number of gluteal augmentations performed. However, there
has also been a proportional increase in postoperative complications and
subsequent revision operations. Nevertheless, there are currently few studies or
reports on secondary gluteal augmentation. The purpose of the present study was
to identify methods to treat and prevent complications resulting from gluteal
augmentation. METHODS: In this study, the authors review 18 cases of secondary
gluteal augmentation. New intramuscular pockets were dissected more deeply than
were previous pockets. Capsulotomy or capsulectomy was applied to the deep
capsules of the previous pockets to sufficiently expand the new pockets and soft
tissue to cover the implants. After triple-antibiotic irrigation, implants were
inserted using the "no-touch technique." Next, "hybrid gluteal augmentation" was
performed by grafting autologous fat into the subcutaneous fat layer. RESULTS:
Among 18 cases of secondary gluteal augmentation performed, nine cases were
performed by means of hybrid gluteal augmentation and seven cases were implant
only augmentations. Postoperative complications occurred in two cases. One case
involved the hybrid technique (remaining rippling), and the other case involved
implant-only augmentation (implant palpability). However, there was no need for
surgical correction. CONCLUSION: Secondary gluteal augmentation can be
successfully performed using the hybrid technique if the gluteus maximus is
accurately dissected, the capsules are appropriately managed, and infection is
rigidly controlled.
PMID- 29794699
TI - Three-Dimensional Printing in Rhinoplasty.
AB - Rhinoplasty is considered one of the most challenging procedures in plastic
surgery. The authors introduce a novel concept of translating three-dimensional
photographic images into three-dimensionally-printed, patient-specific, life-size
models that can be used in preoperative counseling or as an intraoperative
reference during rhinoplasty. This article describes the authors' experience with
this new application for three-dimensional printing, a technology that is overall
garnering more widespread use and has prospective clinical and research
applications in aesthetic surgery.
PMID- 29794701
TI - Discussion: Innovative Surgical Approach Using a Mesh Sling for the Aging Neck.
PMID- 29794700
TI - Innovative Surgical Approach Using a Mesh Sling for the Aging Neck.
AB - BACKGROUND: Rejuvenation of the neck is essential to achieve a young facade.
Different approaches for aging neck rejuvenation have been developed throughout
the years. Most of them focus on specific elements that contribute to the aging
neck. The main drawbacks of these techniques are recurrence of the platysma
bands, malposition, deformations, salivary problems, and defatting. These
disadvantages and limitations have led the author to create a novel surgical
approach to improve the aging neck that would be suitable for most patients.
Instead of dealing with each of the elements of the aging neck, the author's
approach involves use of a sling to elevate all the descending elements as a
whole to create a natural and longstanding result. METHODS: Since March of 2014,
10 patients have been operated on for neck lifting with this new approach. Four
different types of material have been used: absorbable synthetic braided (Vicryl)
in five patients, semiabsorbable synthetic braided and monofilament (Vypro) in
one patient, absorbable synthetic matrix (TIGR) in three patients, and biological
mesh-allograft material (AlloDerm) in one patient. Follow-up visits were made
after 10 days, 3 months, 6 months, and annually. RESULTS: In all cases, the
operations were uneventful. There were no major complications. Minor complication
occurred in one patient with the Vypro mesh. The mesh caused stiffness and was
replaced. Final aesthetic results were satisfactory in all cases. CONCLUSIONS:
Current approaches for improvement of the aging neck do not fit all aging neck
cases and are suitable for only a specific dominant element. The aging neck tends
to reappear faster than expected because of recurrence or dominance of another
element. The author's novel approach is more predictable and is suitable for most
cases regardless of the dominant part causing the aging. More experience and
longer follow-up are needed to choose the most appropriate material for the mesh.
CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, IV.
PMID- 29794702
TI - Supportive Use of Adipose-Derived Stem Cells in Cell-Assisted Lipotransfer for
Localized Scleroderma.
AB - BACKGROUND: The authors aimed to analyze factors related to lipotransfer for
localized scleroderma, and to explore the feasibility of cell-assisted
lipotransfer for localized scleroderma treatment. METHODS: Abdominal fat samples
were taken from six scleroderma patients without corticosteroid therapy, five
scleroderma patients with corticosteroid therapy, and 10 normal liposuction
patients. Their quantity, morphology, and proliferation ability were measured.
Blood flow was measured by laser speckle contrast imaging in localized
scleroderma lesions and normal contralateral regions for eight localized
scleroderma patients. Bleomycin-induced skin fibrosis nude mice were also used to
investigate differences between lipotransfer and cell-assisted lipotransfer. Fat
weight was measured, and expression of transforming growth factor (TGF)-beta1 and
type III collagen in the injected skin was determined by immunohistochemistry.
RESULTS: The number of stem cells from scleroderma patients with corticosteroid
treatment was significantly reduced. Mean blood perfusion in localized
scleroderma lesions was not significantly different than in the contralateral
normal regions. In normal nude mice, there were no significant changes in TGF
beta1 and type III collagen between the control, lipotransfer, and cell-assisted
lipotransfer groups, whereas in bleomycin-induced skin fibrosis nude mice,
lipotransfer and cell-assisted lipotransfer reduced TGF-beta1 and type III
collagen expression. CONCLUSIONS: For scleroderma patients, fewer adipose-derived
stem cells, because of a history of corticosteroid therapy and a local
inflammatory microenvironment, are more important factors, whereas blood supply
showed no significant change. Therefore, cell-assisted lipotransfer not only
improves the survival rate of transplanted fat but also improves skin texture in
bleomycin-induced skin fibrosis nude mice.
PMID- 29794703
TI - Disappearing Digits: Analysis of National Trends in Amputation and Replantation
in the United States.
AB - BACKGROUND: Significantly fewer replantations have been performed at the authors'
institution in recent years, with similar trends observed across the United
States. A study of three national databases was performed to evaluate this trend,
its possible cause, and national health care implications. METHODS: The National
Electronic Injury Surveillance System, Bureau of Labor Statistics, and National
Inpatient Sample databases were queried for cases with a diagnosis of finger
amputation over available years from 2000 to 2011. Data were weighted and
analyzed to give appropriate national estimates of amputations, replantations,
and related clinical variables. Trend analysis was performed using modified
Poisson regression. RESULTS: Although workplace finger amputation rates decreased
40 percent from 2000 to 2010 (p < 0.0001), the overall finger amputation
incidence did not change significantly (26,668 versus 24,215; p = 0.097).
Compared with 930 replantations in 2001, only 445 were performed in 2011, more
than a 50 percent decrease (p < 0.001). In all years, the majority of hospitals
performing replantation performed only one (49.3 to 64.1 percent) each year, with
a small minority (2.2 to 8.1 percent) performing more than 10 per year. In 2000,
120 hospitals (12.1 percent) performed at least one replantation, compared with
only 80 hospitals (7.6 percent) in 2010, a 4.6 percent annual decline (p =
0.002). CONCLUSIONS: There has been a striking decline in digital replantations
being performed, despite a relatively stable incidence of amputations. Apparently
independent of declining work-related injuries, evolving clinical decision-making
may be responsible for this trend. Decreasing replantation experience among hand
surgeons lends credence to the development of specialized regional centers
designed to treat these complex injuries.
PMID- 29794705
TI - Discussion: Using Black Bone Magnetic Resonance Imaging in Craniofacial Virtual
Surgical Planning: A Comparative Cadaver Study.
PMID- 29794704
TI - Hand Surgeons Performing More Open Carpal Tunnel Releases Do Not Show Better
Patient Outcomes.
AB - BACKGROUND: Although previous studies have shown that more experienced surgeons
have better patient outcomes following a variety of procedures, in hand surgery
and carpal tunnel release in particular, this relation remains unproven. The
authors assessed whether there is an association between surgeon volume and
patient outcomes following open carpal tunnel release. METHODS: Patients who
underwent carpal tunnel release between 2011 and 2015 at outpatient hand surgery
clinics in The Netherlands were included. Surgeon annual volume was defined as
the average number of carpal tunnel releases performed per year per participating
surgeon over the study period. Primary outcome measures were the Symptom Severity
Scale and Functional Status Scale of the Boston Carpal Tunnel Questionnaire 6
months postoperatively. Multilevel random intercept linear regression analyses
were performed to assess whether there was an association between surgeon annual
volume and outcome measures, with adjustment for patient characteristics,
concomitant procedures, and intake score on the Boston Carpal Tunnel
Questionnaire. RESULTS: A total of 1345 patients were included, operated on by 17
surgeons. Median annual surgeon volume was 75 (interquartile range, 50 to 149).
Only 0.5 to 0.6 percent of the total variance in patient outcome on the Boston
Carpal Tunnel Questionnaire could be explained by random differences between
surgeons. The authors did not find an association between annual surgeon volume
and outcome measures 6 months postoperatively (Symptom Severity Scale: beta =
0.000; 95 percent CI, -0.001 to 0.001; and Functional Status Scale: beta = 0.000;
95 percent CI, -0.001 to 0.001). CONCLUSION: In the authors' sample of highly
specialized hand surgeons operating in high-volume centers, they found no
differences in outcome between high- and low-volume surgeons.
PMID- 29794706
TI - External Port Tissue Expansion in the Pediatric Population: Confirming Its Safety
and Efficacy.
AB - BACKGROUND: External filling ports in tissue expander-based reconstruction have
the advantages of being associated with less pain and emotional distress.
However, among practicing surgeons using tissue expansion, a theoretical concern
remains regarding higher risk of infection. The authors' goal was to evaluate
external port safety in the pediatric population by looking at the complications
and overall success rate of reconstruction. METHODS: A retrospective review of
all patients undergoing tissue expansion using external ports at Children's
Hospital Los Angeles between January of 2008 and June of 2016 was conducted.
Patient demographic and perioperative data were collected and analyzed. RESULTS:
Two hundred forty-one expanders were placed in 100 pediatric patients, resulting
in 123 procedures for congenital and acquired conditions, with an average age at
the time of surgery of 7.1 years (range, 1 month to 19.9 years) and average
follow-up length of 2.5 years (range, 2.8 months to 8.8 years). The overall
complication rate was 29.9 percent, and the infection rate was 17 percent. The
majority of these cases were treated conservatively without additional need for
surgery. Of 123 cases, 25 required premature expander removal because of
complications. Despite early intervention, 21 of these cases underwent successful
completion of their reconstruction according to the preoperative plan, resulting
in an overall 96.7 percent success rate of tissue expander reconstruction.
CONCLUSIONS: In children, who are often less tolerant of the pain and distress
associated with internal port expansion, the authors encourage the use of
external ports. This study found a high success rate in terms of successful
reconstruction, with the majority of complications being treated conservatively.
CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, IV.
PMID- 29794707
TI - Discussion: External Port Tissue Expansion in the Pediatric Population:
Confirming Its Safety and Efficacy.
PMID- 29794708
TI - Differences in Common Orbital Blowout Fracture Sites by Age.
AB - BACKGROUND: The purpose of this study was to compare orbital blowout fracture
sites among different age groups. METHODS: This retrospective, observational,
comparative study included 671 sides of 663 patients with an orbital blowout
fracture. The authors classified patients into five groups based on age
(childhood, 0 to 9 years; adolescence, 10 to 18 years; early adulthood, 19 to 44
years; middle adulthood, 45 to 64 years; and late adulthood, 65 years or older);
causes of injury into five categories (i.e., sports, traffic accident, assault,
fall, and others); and orbital blowout fracture sites into four regions [i.e.,
the orbital floor lateral (lateral floor) or medial to the infraorbital
groove/canal (medial floor); the inferomedial orbital strut (strut); and the
medial orbital wall (medial wall)]. RESULTS: A single medial floor fracture was
observed in 70.3 percent of patients in the childhood age group. However, the
proportion of patients with a single medial wall fracture or multiple fracture
sites increased with age. A medial wall fracture was more common than a medial
floor fracture in late adulthood. The distribution of orbital blowout fracture
sites, the ratio of single or multiple fracture sites, and the causes of injury
were statistically different among the age groups (p = 0.005, p = 0.003, and p <
0.001, respectively, Pearson chi-square test). CONCLUSIONS: Common orbital
blowout fracture sites varied among age groups. These differences may be
attributed to multiple factors, including differences in the morphology of the
orbit and paranasal sinuses, composition of the facial bone, and causes of injury
among age groups.
PMID- 29794709
TI - Lymphovenous Anastomosis for the Treatment of Chylothorax in Infants: A Novel
Microsurgical Approach to a Devastating Problem.
AB - : With the expanding horizon of microsurgical techniques, novel treatment
strategies for lymphatic abnormalities are increasingly reported. Described in
this article is the first reported use of lymphovenous anastomosis surgery to
manage recalcitrant chylothoraces in infants. Chylothorax is an increasingly
common postoperative complication after pediatric cardiac surgery, with a
reported incidence of up to 9.2 percent in infants. Although conservative
nutritional therapy has a reported 70 percent success rate in this patient
population, failed conservative management leading to persistent chylothorax is
associated with a significant risk of multisystem complications and mortality.
Once conservative medical strategies are deemed unsuccessful, surgical or
radiologic interventions, such as percutaneous thoracic duct embolization or
ligation, are often attempted. However, these procedures lack high-level evidence
in the infant population and remain a challenge, given the small size of the
lymphatic vessels. As such, we report our experience with performing lymphovenous
anastomoses in two infants who had developed refractory chylothoraces secondary
to thoracic duct injury following cardiac surgery for congenital cardiac
anomalies. In addition, this article reviews the relevant pathophysiology of
chylothoraces, current treatment algorithm following failed conservative
management, and potential role of the microsurgeon in the multidisciplinary
management of this life-threatening problem. As part of the evolving microsurgery
frontier, physiologic operations, such as lymphovenous anastomosis, may have a
considerable role in the management of refractory pediatric chylothoraces. In our
experience, lymphovenous anastomosis can restore normal lymphatic circulation
within 1 to 2 weeks, liberate patients from mechanical ventilation, and enable
expeditious return to enteral feeding. CLINICAL QUESTION/LEVEL OF EVIDENCE:
Therapeutic, V.
PMID- 29794710
TI - Discussion: Acellular Human Dermal Allograft as a Graft for Nasal Septal
Perforation Reconstruction.
PMID- 29794711
TI - Predictors of Patient Satisfaction and Postoperative Complications in Penile
Inversion Vaginoplasty.
AB - BACKGROUND: Penile inversion vaginoplasty is the current gold standard procedure
for male-to-female transgender patients seeking gender-confirming genital
surgery. Although complication data have been reported extensively in the
literature, studies on patient-reported outcomes are sparse. This study aimed to
report both postoperative complications and patient-reported outcomes from the
largest cohort in the United States to date to undergo penile inversion
vaginoplasty. Ultimately, the authors hoped to identify the predictors of
postoperative complications and patient satisfaction. METHODS: A retrospective
chart review of a single surgeon's experience with penile inversion vaginoplasty
was performed from July of 2014 to June of 2016. Patient demographic data,
postoperative complications, and patient-reported outcome data were collected.
Data were correlated by binary logistic regression to determine predictors of
postoperative complications and patient satisfaction. RESULTS: A total of 117
patients underwent penile inversion vaginoplasty. The most common complications
were granulation tissue (26 percent), intravaginal scarring (20 percent), and
prolonged pain (20 percent). Overwhelmingly, patients reported "feeling
positively about their genitals" (94 percent) and "would do this operation again"
(94 percent). Seventy-one percent of patients reported resolution of their gender
dysphoria. The top predictors of patient dissatisfaction were intravaginal
scarring, prolonged pain, excessive external scarring, loss of sensation, and
hematoma/excessive bleeding. CONCLUSIONS: This is the largest study of penile
inversion vaginoplasty in the United States to report on both postoperative
complications and patient-reported outcomes. Despite moderate complication risk,
patient satisfaction remains very high after penile inversion vaginoplasty, with
the majority of patients reporting improvement of their gender dysphoria.
CLINICAL QUESTION/LEVEL OF EVIDENCE: Risk, III.
PMID- 29794712
TI - Discussion: Predictors of Patient Satisfaction and Postoperative Complications in
Penile Inversion Vaginoplasty.
PMID- 29794713
TI - Understanding Patient Expectations of Lymphedema Surgery.
AB - BACKGROUND: Patients with lymphedema refractory to medical treatment often have
unrealistic expectations for lymphedema surgery. The purpose of this study was to
assess patient expectations following lymphedema surgery. METHODS: Patients
presenting to the clinic for initial evaluation for lymphedema surgery were
offered to complete a survey designed to evaluate their expectations for limb
appearance, limb function, and overall well-being following lymphedema surgery.
The patients were instructed to score each item using a five-point scale for
improvement. A mean expectation score was calculated, which was then used to
estimate the effects of preoperative variables on patients expectations using
multiple regression analysis. RESULTS: A total of 21 women and two men with a
mean age of 60 years completed the survey. The upper limbs were affected in 10
patients and the lower limbs were affected in 13 patients. Physicians were the
initial source of information about lymphedema surgery as a potential treatment
option in 10 patients (43 percent), and a majority of the patients [n = 15 (65.2
percent)] thought that the physicians had fair or poor knowledge about lymphedema
surgery. Significant or complete improvement was expected by 43.4 to 73.9 percent
of patients. Patients' level of education, the disease duration, and the initial
source of information about lymphedema surgery had a statistically significant
impact on patients' expectations. CONCLUSIONS: Early data suggest that most
patients learn about lymphedema surgery from nonphysicians, with many expecting
complete or significant improvement of lymphedema-associated symptoms with
surgery. These findings emphasize the importance of addressing patient
expectations and providing appropriate counseling before surgery.
PMID- 29794714
TI - Current Concepts in Orthognathic Surgery.
AB - LEARNING OBJECTIVES: After studying this article, the participant should be able
to: 1. Identify skeletal differences that are treated with orthognathic surgery;
describe the goals of orthognathic surgery; and understand modern virtual
surgical planning of orthognathic movement of the mandible, maxilla, and chin. 2.
Appreciate the surgical principles of maxilla- versus mandible-first surgery, and
orthognathic surgery before orthodontic correction; and understand when an
osseous genioplasty may be beneficial, and the potency of this bony movement. 3.
Appreciate the utility of fat grafting as an adjunct to orthognathic bony
movements, and demonstrate understanding of the utility of orthognathic surgery
in the treatment of obstructive sleep apnea. 4. Be aware of associated
complications and be able to critically assess outcomes following orthognathic
surgery. SUMMARY: This CME article outlines the goals of orthognathic surgery,
highlighting advances in the field and current controversies. The principles of
the sequencing of osteotomies are discussed and literature is reviewed that may
assist in decision-making as to maxilla-first versus mandible-first surgery. The
emergence of "surgery first," in which surgery precedes orthodontics, is
discussed and important parameters for patient candidacy for such a procedure are
provided. The emerging standard of virtual surgical planning is described, and a
video is provided that walks the reader through a planning session. Soft-tissue
considerations are highlighted, especially in the context of osseous genioplasty
and fat grafting to the face. The utility of orthognathic surgery in the
treatment of obstructive sleep apnea is discussed. The reader is provided with
the most current data on complications following orthognathic surgery and advice
on avoiding such pitfalls. Finally, outcome assessment focusing on the most
current trend of patient-reported satisfaction and the psychological impact of
orthognathic surgery are discussed.
PMID- 29794716
TI - Discussion: Gender Inequality for Women in Plastic Surgery: A Systematic Scoping
Review.
PMID- 29794715
TI - Gender Inequality for Women in Plastic Surgery: A Systematic Scoping Review.
AB - BACKGROUND: Previous research has highlighted the gender-based disparities
present throughout the field of surgery. This study aims to evaluate the breadth
of the issues facing women in plastic surgery, worldwide. METHODS: A systematic
scoping review was undertaken from October of 2016 to January of 2017, with no
restrictions on date or language. A narrative synthesis of the literature
according to themed issues was developed, together with a summary of relevant
numeric data. RESULTS: From the 2247 articles identified, 55 articles were
included in the analysis. The majority of articles were published from the United
States. Eight themes were identified, as follows: (1) workforce figures; (2)
gender bias and discrimination; (3) leadership and academia; (4) mentorship and
role models; (5) pregnancy, parenting, and childcare; (6) relationships, work
life balance, and professional satisfaction; (7) patient/public preference; and
(8) retirement and financial planning. Despite improvement in numbers over time,
women plastic surgeons continue to be underrepresented in the United States,
Canada, and Europe, with prevalence ranging from 14 to 25.7 percent. Academic
plastic surgeons are less frequently female than male, and women academic plastic
surgeons score less favorably when outcomes of academic success are evaluated.
Finally, there has been a shift away from overt discrimination toward a more
ingrained, implicit bias, and most published cases of bias and discrimination are
in association with pregnancy. CONCLUSIONS: The first step toward addressing the
issues facing women plastic surgeons is recognition and articulation of the
issues. Further research may focus on analyzing geographic variation in the
issues and developing appropriate interventions.
PMID- 29794717
TI - Antifibrinolytic Agents in Plastic Surgery: Current Practices and Future
Directions.
AB - BACKGROUND: Prevention of blood loss is a chief consideration in plastic and
reconstructive surgery. The antifibrinolytic drugs tranexamic acid and epsilon
aminocaproic acid have emerged as promising agents to reduce both perioperative
blood loss and transfusion requirements. However, published reports in the
plastic surgery literature are lacking. The authors sought to summarize the
current knowledge of the use of antifibrinolytics in plastic surgery by reviewing
the existing literature for clinical outcomes and recommendations. METHODS: A
systematic review of the PubMed, Cochrane, and Google Scholar databases was
conducted for publications examining the use of antifibrinolytics in plastic
surgery. Studies were abstracted for procedure type, antifibrinolytic dose, time
and mode of administration, blood loss, transfusion requirements, and
complications. RESULTS: Thirty-three studies were deemed eligible for inclusion,
comprising a total of 1823 patients undergoing plastic surgical procedures with
tranexamic acid (n = 1328) and/or epsilon-aminocaproic acid (n = 495).
CONCLUSIONS: Tranexamic acid and epsilon-aminocaproic acid are widely used to
reduce blood loss and transfusion requirements in craniofacial and orthognathic
surgery, without an increased risk of adverse events. Intravenous administration
is most commonly used, although topical formulations show similar efficacy with a
reduced systemic distribution. Tranexamic acid has also emerged as a promising
agent in aesthetic surgery and burn care, due to its favorable safety profile and
role in reducing blood loss, achieving an improved surgical field, and reducing
edema and ecchymosis. Further investigation of these agents in the fields of burn
care, aesthetic surgery, and microsurgery is warranted to standardize protocols
for clinical use.
PMID- 29794719
TI - Discussion: The Accuracy of Conflict-of-Interest Disclosures Reported by Plastic
Surgeons and Industry.
PMID- 29794718
TI - The Accuracy of Conflict-of-Interest Disclosures Reported by Plastic Surgeons and
Industry.
AB - BACKGROUND: The purpose of this study was to analyze the frequency and nature of
self-reported conflict-of-interest disclosures in the plastic surgery literature
and to compare these findings to the Physician Payments Sunshine Act database.
METHODS: All articles published from August of 2013 through December of 2013 in
four major plastic surgery journals were analyzed. For every publication, the
conflict-of-interest disclosure statement for each investigator was reviewed.
These statements were then compared to transactions of value for each
investigator as reported by biomedical companies in the Sunshine Act database. An
analysis was performed to identify and characterize specific factors associated
with conflict-of-interest disclosures. RESULTS: A total of 1002 independent
investigators/authors were identified. Of these, 90 investigators (9 percent)
self-reported a conflict of interest. In contrast, a total of 428 authors (42.7
percent) were found to have received transactions of value from a biomedical
company according to the Sunshine Act database. Conversely, a total of 22 authors
(2.2 percent) self-reported a conflict of interest but were not found to have
received transactions of value in the Sunshine Act database. Our analysis found
that (1) academic investigators, (2) transactions of value in excess of $500, and
(3) publishing articles related to the sponsoring biomedical company were all
statistically associated with reporting conflicts of interest (p < 0.0001).
CONCLUSIONS: Discordance exists between investigator/authors self-reporting in
scientific journals and the government-mandated reporting of conflicts of
interest by industry. Factors associated with conflict-of-interest disclosure
include academic status, transaction amount, and article content related to the
sponsoring biomedical company.
PMID- 29794720
TI - Complacency, Conscience, and Complications.
PMID- 29794721
TI - Macrotextured Breast Implants with Defined Steps to Minimize Bacterial
Contamination around the Device: Experience in 42,000 Implants.
PMID- 29794722
TI - Reply: Macrotextured Breast Implants with Defined Steps to Minimize Bacterial
Contamination around the Device: Experience in 42,000 Implants.
PMID- 29794723
TI - Orthognathic Surgery Has a Significant Effect on Perceived Personality Traits and
Emotional Expressions.
PMID- 29794724
TI - Successful Noninvasive Treatment of Festoons.
PMID- 29794725
TI - Use of trastuzumab as an adjuvant/neoadjuvant therapy in patients with HER2
positive breast cancer in China: The Nvwa study.
AB - The aim of this study was to understand current trends in trastuzumab use in
China as a neoadjuvant/adjuvant therapy for human epidermal growth factor
receptor-2 positive (HER2+) breast cancer and identify factors influencing
trastuzumab use.This was a retrospective, multicenter, cross-sectional study of
patients diagnosed with HER2+ breast cancer (stage I-III), between July 2013 and
June 2014, at 155 hospitals in 29 provinces/cities in China. Demographic and
clinical data, including tumor characteristics and details of
adjuvant/neoadjuvant therapies used, were collected. Data analysis included
univariate analysis, multivariate logistic regression, and subgroup analyses.Of
4994 HER2+ patients (mean age 51.1 +/- 9.9 years) included, only 29.8% received
trastuzumab, with 30.5% in adjuvant therapy and 18.3% in neoadjuvant therapy. The
highest rates of adjuvant trastuzumab were in Beijing (59.3%), Jiangsu (57.1%),
and Ningxia (50.0%), while those of neoadjuvant trastuzumab were in Guangdong
(24.8%), Beijing (14.1%), and Zhejiang (10.7%). Multivariate regression results
revealed that factors associated with trastuzumab use were medical insurance
cover for trastuzumab, residing locally to the hospital, more lymph node
involvement, and more advanced tumor stage. Subgroup analysis revealed that
patients receiving neoadjuvant therapy were likely to be younger, premenopausal
and non-local, and had lymph node metastases, more advanced tumor, and
progesterone receptor positive tumor.Trastuzumab use in patients with HER2+
breast cancer is relatively low in China, especially for neoadjuvant therapy.
Insurance coverage seems to be the most correlated factor that influences the use
of trastuzumab in Chinese patients with HER2+ breast cancer.
PMID- 29794726
TI - Low expression of long noncoding RNA MT1JP is associated with poor overall
survival in gastric cancer patients: Protocol for meta-analysis.
AB - BACKGROUND: Although several researches have investigated the association between
development and metastasis of gastric cancer (GC) and the expression level of
MT1JP, there are no consensuses about whether its expression is associated with
overall survival (OS) and clinical feature for GC patients. METHODS: The
databases including PubMed, EMBase databases, and the Cochrane Library were
searched from inception to January 30, 2016, to identify the eligible studies.
The quality of included studies was assessed according to reporting
recommendations for tumor marker prognostic studies (REMARK). The association
between expression level of LncRNA HOTAIR with OS for GC patients was assessed by
calculating the pooled hazard ratio (HR) and 95% confidence interval (95% CI)
using STATA version 12.0. Heterogeneity among studies will be assessed using the
I statistic. RESULTS: Randomized controlled trials (RCTs), prospective cohort
studies, and case-control studies will be used for the qualitative and
quantitative synthesis of the meta-analysis to explore the association between
MT1JP expression levels with OS for gastric cancer patients. CONCLUSION: We aim
to draw an objective conclusion of the association between MT1JP expression
levels with OS for gastric cancer patients.
PMID- 29794727
TI - Therapeutic effects of various therapeutic strategies on non-exudative age
related macular degeneration: A PRISMA-compliant network meta-analysis of
randomized controlled trials.
AB - PURPOSE: Age-related macular degeneration (AMD) is a chronic progressive central
retinal disease. Geographic atrophy (GA) is a late stage of dry AMD (DAMD) and is
a slowly but inexorably progressive disease that causes irreversible blindness
over time. We aimed to assess various therapeutic strategies for DAMD and GA
treatment by network meta-analysis. METHODS: We searched PubMed, Embase, and the
Cochrane Library to identify randomized controlled trials (RCTs) of atrophic AMD
treatments published prior to December 16, 2017. Best-corrected visual acuity
(BCVA) and change in GA area were evaluated to reflect therapeutic effects. A
random-effects network meta-analysis, with a frequentist framework, was used to
assess the effectiveness of therapeutic strategies for DAMD treatment. RESULTS:
We included 22 articles that assessed 16 types of regimens and 2482 patients in
our meta-analysis. The network meta-analysis results showed that zinc
monocysteine (98.1%) was the most likely to improve BCVA (logMAR), followed by
alprostadil (84.0%), eculizumab (70.5%), and rheohemapheresis (67.3%). In BCVA
(letters) outcomes, rheohemapheresis (99.6%), lampalizumab (69.5%), and the
antioxidant complex (67.9%) showed marked benefits in visual function recovery.
Regarding the outcome of GA area change, isopropyl unoprostone (IU) (88.6%) might
have the best GA area reduction; however, there was no significant difference
between IU and the blank control. CONCLUSIONS: Zinc-monocysteine and
rheohemapheresis showed significantly better effects on BCVA (logMAR)
improvement, and compared with the blank control, rheohemapheresis and the
antioxidant complex showed better effects on BCVA (letters) improvement. Other
treatments have potential effects on DAMD, including alprostadil, eculizumab, and
lampalizumab. However, there is no effective treatment for GA area reduction.
PMID- 29794728
TI - Acute noncardiogenic pulmonary edema after neostigmine administration during the
recovery period of general anesthesia: A case report.
AB - RATIONALE: Acute non-cardiogenic pulmonary edema (ANPE) is a rare but challenging
complication which occurs during the perioperative period, mainly before and
after the extubation in the course of the recovery period of general anesthesia.
It is characterized by increased fluid in extravascular pulmonary spaces,
preventing gas exchange and further resulting in respiratory failure. PATIENT
CONCERNS: A 12-year-old boy who had undergone island skin flap in the right upper
limb and who developed a unilateral pulmonary edema after the administration of
neostigmine during the recovery period of general anesthesia. The neostigmine was
administered to reverse neuromuscular block. DIAGNOSES: Acute non-cardiogenic
pulmonary edema. INTERVENTIONS: The patient was transferred to intensive care
unit (ICU) and treated with mechanical ventilation (controlled mode ventilation
pattern, CMV) and other supportive treatment. OUTCOMES: The oxyhemoglobin
saturation of the patient returned to the normal level with symptoms and signs of
ANPE significantly alleviated. The mechanical ventilation was withdrawn by the
fourth day, and no sequela of vital organs was observed. LESSONS: Although
neostigmine is widely used for recovery from neuromuscular block and exhibits
satisfactory effect in most cases, there is a potential risk of ANPE in some
cases, which is rare but potentially fatal and could affect the patient outcomes.
Therefore, it is necessary for anesthetists to closely monitor the vital signs of
patients after administration of neostigmine in the perioperative period.
PMID- 29794729
TI - Limb-girdle muscular dystrophy type 2B misdiagnosed as polymyositis at the early
stage: Case report and literature review.
AB - RATIONALE: Dysferlin myopathy is an autosomal recessive hereditary muscular
dystrophy due to deficiency of dysferlin caused by alteration of the DYSF gene;
Limb-girdle muscular dystrophy type 2B (LGMD2B) is the most common in Its
clinical phenotypes. However, LGMD2B is rarely seen in clinical cases and may
initially present as weakness of proximalpelvis muscles and muscles in the
posterior compartments of thighs,which will then cause difficulty in running and
limping during walking. Laboratory tests at an early stage of the disease often
indicate an increased level of serum creatine kinase (CK). Moreover, polymyositis
(PM) is manifested as symmetrical proximal muscle weakness of the four limbs,
accompanied by an increased level of serum CK. Thus, both are very difficult to
identify in clinical practice. PATIENT CONCERNS: A 25-year-old woman was admitted
to our department as the limb weakness progressively worsened. She began to
experience proximal muscle weakness of both lower limbs without obvious
inducement, which markedly increased when she climbed the stairs or stood up
after squatting. Then her symptoms worsened, with difficulty in proximal and
distal lifting of the lower extremities. DIAGNOSES: Through combined
immunohistochemistry and Western-blot analysis, The patient was diagnosed with
LGMD2B. INTERVENTIONS: There were symptomatic treatments such as coenzyme Q10.
OUTCOMES: After symptomatic treatments, the patient's symptoms were obviously
relieved, and the CK level decreased. LESSONS: Through this case, we found that
combined application of immunohistochemistry and Western-blot analysis is helpful
in early diagnosis of LGMD2B, and a new site of frame-shift mutation in the
patient's DYSF gene was found.
PMID- 29794730
TI - Pituitary resistance to thyroid hormone caused by a novel mutation (H435A) in the
thyroid hormone receptor beta: A case report.
AB - RATIONALE: In patients with pituitary thyroid hormone resistance, the ability of
the pituitary gland to detect (and down-regulate) the increase of
triiodothyronine is selectively impaired, while the periphery remains sensitive
to triiodothyronine levels, producing symptoms of peripheral thyrotoxicity.
Subsequently, there is no feedback of pituitary production of thyroid-stimulating
hormone (TSH), which is responsible for this hyperthyroidism. PATIENT CONCERNS:
We report a case of a 46-year-old Chinese woman diagnosed with a thyroid nodule,
with normal thyroid function. She underwent conventional subtotal thyroidectomy,
and replacement therapy (levothyroxine) was used for as convention. However, it
was later proven that she had pituitary resistance to thyroid hormone, as supra
physiological doses of levothyroxine were required to normalize TSH levels, which
resulted in peripheral thyrotoxicity. DIAGNOSES: Based on the patient's symptoms,
laboratory tests results, imaging examinations, and genetic analysis (which noted
a gene mutation), a diagnosis of pituitary resistance to thyroid hormones was
confirmed. INTERVENTIONS: The dose of levothyroxine was adjusted periodically and
beta-adrenergic blocker was used as symptomatic treatment. OUTCOMES: The outcome
in the reported case has been satisfactory despite the persistence of non
suppressed TSH. LESSONS: An inappropriate level of TSH should always be
evaluated. We found a new mutation (H435A) of the thyroid hormone receptor beta
gene, which allowed for the establishment of a definitive diagnosis.
PMID- 29794731
TI - An innovative thread-lift technique for facial rejuvenation and complication
management: A case report.
AB - RATIONALE: Aging of face is an unavoidable process. Traditional procedures for
facial rejuvenation have multiple disadvantages. In this case report, we used an
innovative technique combining thread lift with small incision rhytidectomy for
facial rejuvenation. Management for complication was also reported. PATIENT
CONCERNS: We presented a 52-year-old male with facial ptosis and wrinkles.
DIAGNOSES: The patient was diagnosed as facial aging including skin laxity, mid
face and mandibular jowl ptosis, static crows-feet wrinkles, and deepening
nasolabial fold. INTERVENTIONS: We used an innovative technique combining thread
lift with small incision rhytidectomy to treat facial aging. OUTCOMES:
Improvements of the crow's feet, nasolabial fold, mid-face and lower face ptosis
were observed. Complication of subcutaneous nodule was corrected with cosmetic
effect of thread lift remained. LESSONS: The innovative technique combining
thread lift with small incision rhytidectomy is a good alternative for the
treatment of facial aging.
PMID- 29794732
TI - Elevated methane levels in small intestinal bacterial overgrowth suggests delayed
small bowel and colonic transit.
AB - Limited research exists regarding the relationship between small intestinal
bacterial overgrowth (SIBO), small bowel transit (SBT), and colonic transit (CT).
Furthermore, symptom analysis is limited between the subtypes of SIBO: hydrogen
producing (H-SIBO) and methane producing (M-SIBO). The primary aims of this study
are to: compare the SBT and CT in patients with a positive lactulose breath test
(LBT) to those with a normal study; compare the SBT and CT among patients with H
SIBO or M-SIBO; compare the severity of symptoms in patients with a positive LBT
to those with a normal study; compare the severity of symptoms among patients
with H-SIBO or M-SIBO.A retrospective review was performed for 89 patients who
underwent a LBT and whole gut transit scintigraphy (WGTS) between 2014 and 2016.
Seventy-eight patients were included. WGTS evaluated gastric emptying, SBT
(normal >=40% radiotracer bolus accumulated at the ileocecal valve at 6 hours),
and CT (normal geometric center of colonic activity = 1.6-7.0 at 24 hours, 4.0
7.0 at 48 hours, 6.2-7.0 at 72 hours; elevated geometric center indicates
increased transit). We also had patients complete a pretest symptom survey to
evaluate nausea, bloating, constipation, diarrhea, belching, and flatulence.A
total of 78 patients (69 females, 9 males, mean age of 48 years, mean BMI of
25.9) were evaluated. Forty-seven patients had a positive LBT (H-SIBO 66%, M-SIBO
34%). Comparison of SBT among patients with a positive LBT to normal LBT revealed
no significant difference (62.1% vs 58.6%, P = .63). The mean accumulated
radiotracer was higher for H-SIBO compared to M-SIBO (71.5% vs 44.1%; P < .05).
For CT, all SIBO patients had no significant difference in geometric centers of
colonic activity at 24, 48, and 72 hours when compared to the normal group. When
subtyping, H-SIBO had significantly higher geometric centers compared to the M
SIBO group at 24 hours (4.4 vs 3.1, P < .001), 48 hours (5.2 vs 3.8, P = .002),
and at 72 hours (5.6 vs 4.3, P = .006). The symptom severity scores did not
differ between the positive and normal LBT groups. A higher level of nausea was
present in the H-SIBO group when compared to the M-SIBO group.Overall, the
presence of SIBO does not affect SBT or CT at 24, 48, and 72 hours. However, when
analyzing the subtypes, M-SIBO has significantly more delayed SBT and CT when
compared to H-SIBO. These results suggest the presence of delayed motility in
patients with high methane levels on LBT.
PMID- 29794733
TI - Isolated interrupted of aortic arch diagnosed using CT angiography: A case report
and literature review.
AB - RATIONALE: Interrupted aortic arch (IAA) is defined as a complete luminal and
anatomical interruption between the ascending and descending aortas. The majority
of patients with IAA rarely reach adulthood. In most cases, IAA is associated
with an intracardiac malformation, such as ventricular septal defect,
bicuspidaortic valve, and patent ductus arteriosus. We reported a 70-year-old man
with isolated IAA diagnosed using computed tomography angiography (CTA) without
previous intervention. PATIENT CONCERNS: The patient presented with edema of the
lower extremities and productive cough. DIAGNOSES: CTA displayed an interruption
of the aortic arch distal to the left common carotid artery (type B) and
extensive collateralization. INTERVENTIONS: The patient refused surgery and
underwent conservative therapy with follow-up examinations because of the
extensive collateral vascularization. OUTCOMES: The patient is still currently
undergoing conservative therapy with follow-up examinations. LESSONS: IAA can
present in adulthood with different clinical symptoms. Careful physical
examination of the lower and upper peripheral pulses in adults with a chief
complaint of hypertension is of outmost importance. CTA is a useful noninvasive
imaging modality for the morphologic evaluation of aortic interruption and
collateral circulation.
PMID- 29794734
TI - Postoperative pulmonary complications and hospital stay after lung resection
surgery: A meta-analysis comparing nonintubated and intubated anesthesia.
AB - BACKGROUND: Since postoperative pulmonary complications are one of the main
causes of morbidity and mortality in patients undergoing lung resection surgery,
we performed a meta-analysis to compare the incidence of postoperative pulmonary
complications and hospital death, and the length of hospital stay in patients who
received nonintubated or intubated anesthesia during thoracoscopic surgery for
lung resection and further explore the tricks in nonintubated anesthesia.
METHODS: PubMed, Embase, and Cochrane Library were searched from inception to
September 2017. We included eligible research comparing nonintubated anesthesia
with intubated anesthesia in thoracoscopic surgery for lung resection. The
primary outcomes involved postoperative pulmonary complications, hospital death,
and hospital stay. The rates and causes of conversion from nonintubated
anesthesia to intubated anesthesia were also analyzed. RESULTS: After screening
through 754 potentially relevant articles, we included 3 randomized controlled
trials and 7 observational studies with 1138 patients. There was no perioperative
mortality in 2 groups. The nonintubated group revealed comparable postoperative
pulmonary complications (OR = 0.57; P = .07; P for heterogeneity = .49, I = 0%)
and shorter hospital stay (WMD = -1.10; P < .00001; P for heterogeneity = .84, I
= 0%) in overall findings with little heterogeneity. CONCLUSION: Nonintubated
anesthesia in thoracoscopic surgery for lung resection shortened the length of
hospital stay compared with intubated anesthesia. However, the incidence of
postoperative pulmonary complications was comparable between nonintubated and
intubated group. Given the potential perioperative emergencies, such as
persistent hypoxemia, carbon dioxide retention, or extensive pleural adhesions,
nonintubated anesthesia in lung resection surgery requires extra vigilance to
ensure the safety of the patients and the success of the surgery. Powerful
randomized controlled trials in the future are essential to provide more
certainty and address long-term effectiveness. Only when anesthesiologists and
surgeons make efforts together can better clinical outcomes in lung resection
surgery be achieved.
PMID- 29794735
TI - Diagnostic performance of magnetic resonance technology in detecting steatosis or
fibrosis in patients with nonalcoholic fatty liver disease: A meta-analysis.
AB - BACKGROUND: The aim of this study was to evaluate the diagnostic accuracy of
magnetic resonance (MR) imaging-based methods for detecting steatosis and
fibrosis in nonalcoholic fatty liver disease (NAFLD). METHODS: Data were
extracted from research articles obtained after a literature search from multiple
electronic databases. Random-effects meta-analyses were performed to obtain
overall effect size of the area of operator receiver curve (AUROC), sensitivity
and specificity of MR imaging, MR elastography, and MR spectroscopy in detecting
or grading steatosis/fibrosis. Meta-analysis of correlation coefficients was
performed to have an overall effect size of correlation between MR-based
diagnosis and histological diagnosis. RESULTS: Twenty-one studies (1658 subjects;
45.32 years [95% CI: 35.94, 54.71] of age, 53.67% [45.39, 61.95] males, and 29.98
kg/m [21.93, 38.04] BMI) were included in the meta-analysis. Pooled analyses of
the AUROC, specificity, and sensitivity values reported in the individual studies
revealed an overall effect sizes of 0.90 (0.88, 0.92), 82.27% (77.74, 86.80), and
86.94% (84.18, 95.28) in the use of any MR-based technique for the diagnosis of
NAFLD or its severity. The correlation coefficient between MR-based detection of
liver steatosis and histologically measured steatosis was 0.748 (0.706, 0.789) (P
< .00001). CONCLUSION: MRI-based diagnostic methods are valuable additions in
detecting NAFLD or determining the severity of the NAFLD.
PMID- 29794736
TI - Parotid gland metastasis from carcinoma of the breast detected by PET/CT: Case
report and review.
AB - RATIONALE: The parotid gland is an unusual site for metastatic disease from
breast cancer, and it usually has a poor prognosis. PATIENT CONCERNS: A 36-year
old woman presented to our department with a swelling in her left parotid gland.
The patient did not receive any other treatment after breast conserving surgery.
DIAGNOSES: Multifocal metastases in the bones, lymph nodes, left parotid and
contralateral mammary gland were proved by PET/CT. INTERVENTIONS: Eight cycles of
first-line rescue chemotherapy, combining with zoledronic acid in treatment of
bone metastasis, followed by the combination of endocrine therapy and ovarian
function suppression after chemotherapy. OUTCOMES: The patient obtained clinical
complete response in the metastasis tumor of parotid gland, lymph nodes, and
bones. LESSONS: It is necessary for patient to receive comprehensive treatment
after receiving surgery. Through this case, we present the appropriate management
of advanced breast cancer accompanying with the parotid gland metastasis. PET/CT
is a useful method to diagnose and evaluate the metastasis lesions.
PMID- 29794737
TI - Efficacy comparison between primary total laryngectomy and nonsurgical organ
preservation strategies in treatment of advanced stage laryngeal cancer: A meta
analysis.
AB - BACKGROUND: We aimed to provide a pooled analysis of controlled trials comparing
long-term survival after primary laryngectomy and primary organ preservation
methods in patients with T3-4 laryngeal cancer. METHODS: We performed random
effects meta-analyses on overall survival (OS), disease-free survival (DFS),
disease-specific survival (DSS), and locoregional control (LRC). RESULTS: Fifteen
studies met the selection criteria including 6288 patients (2696 patients who
underwent primary laryngectomy and 3592 patients who underwent primary
nonsurgical organ preservation therapy). There was a significant difference
between the groups with respect to OS (HR 0.71, 95% CI 0.57-0.89, P = .003).
However, a subgroup analysis found OS was not significantly worse for patients
with T3 laryngeal cancer who received primary organ preservation compared with
patients who underwent primary laryngectomy (HR 0.96, 95% CI 0.45-2.03, P = .91).
There was no significant difference for DFS (HR 0.63, 95% CI 0.39-1.04, P = .07)
in two groups. Patients with laryngeal cancer who underwent primary laryngectomy
had a better DSS (HR 0.47, 95% CI 0.25-0.88, P = .02) and LRC (HR 0.56, 95% CI
0.390.80, P = .001) than patients who underwent primary nonsurgical organ
preservation therapy. CONCLUSION: Our results support total laryngectomy for
patients with T4 laryngeal cancer and show that primary organ preservation for
laryngeal cancer has no advantage and also did not decrease the rate of OS in
patients with T3 laryngeal cancer when compared with primary total laryngectomy.
PMID- 29794738
TI - Exploring synergistic effects of aerobic exercise and mindfulness training on
cognitive function in older adults: Protocol for a pilot randomized controlled
trial.
AB - INTRODUCTION: Despite increasing evidence that aerobic exercise and cognitive
training improve cognitive function among patients with cognitive impairment and
dementia, few studies have focused on the effect of a combination of these
approaches. This study will explore whether combining aerobic training (AT) with
mindfulness training (MT), an intervention promoting the moment-to-moment
awareness of physical sensations, affective states, and thoughts, improves
cognitive function in individuals at risk of dementia. The primary objective is
to determine the feasibility and acceptability of the intervention(s). The
secondary objective is to obtain estimates of effect sizes on cognitive function
and on possible mediators. METHODS AND ANALYSIS: Forty participants with at least
2 risk factors for dementia will be randomized (2 * 2 factorial design) to either
AT (3 sessions/week for 12 weeks), MT (1 session/week for 8 weeks), both, or
usual care. Assessments of cognitive function (attention, executive function,
episodic, and working memory); physical activity (accelerometry), aerobic
capacity (6-minute walk test), waist-to-hip ratio, blood pressure, social support
(Multidimensional Scale of Perceived Social Support), depression (Hospital
Anxiety and Depression Scale), and mindfulness (Five Facets of Mindfulness) will
be conducted at baseline, end of treatment, and 6-months postbaseline. Rates of
retention, attendance, and program satisfaction will be calculated for each of
the 4 groups to determine the feasibility and acceptability of each intervention.
ETHICS AND DISSEMINATION: This study has full ethical approval by The Miriam
Hospital Institutional Review Board and adheres to the Standard Protocol Items:
Recommendations for Interventional Trials reporting recommendations. If results
from this exploratory, proof-of-concept study support our hypotheses, we will
conduct a large randomized controlled trial (RCT) to determine the efficacy of
combined MT and AT in improving cognitive function in individuals at risk of
dementia. Results from the study will be disseminated through peer-reviewed
journals and conference presentations. REGISTRATION DETAILS::
http://www.clinicalstrials.gov identifier NCT03289546.
PMID- 29794739
TI - Prevalence of chronic kidney disease-associated pruritus among adult dialysis
patients: A meta-analysis of cross-sectional studies.
AB - Chronic kidney disease (CKD)-associated pruritus is a common adverse symptom in
patients with end-stage renal disease treated by dialysis. Herein, a systematic
review and meta-analysis of the prevalence of CKD-associated pruritus among adult
dialysis patients was conducted.An electronic search of PubMed, Web of Science,
Elsevier, Wanfang, and Chinese National Knowledge Infrastructure databases was
conducted from inception to November 23, 2016, and all cross-sectional studies
that reported the prevalence of CKD-associated pruritus in dialysis were
collected. The pooled prevalence was estimated by random-effects model. Potential
publication bias was evaluated by the funnel plot as well as Begg and Egger
tests.After rigorous screening, a total of 42 studies conducted on 11,800
patients were included in this study. The overall prevalence of CKD-associated
pruritus among adult dialysis patients was 55% (95% confidence interval [CI], 49
61, I = 97.6%), the stratification of which was 55% (95% CI, 45-65, I = 94.7%) in
men and 55% (95% CI, 46-65, I = 93.3%) in women. In hemodialysis (HD) patients,
the prevalence of CKD-associated pruritus was 55% (95% CI, 49-62, I = 97.9%),
while in peritoneal dialysis (PD) patients, it was 56% (95% CI, 44-68, I =
89.9%). The prevalence of CKD-associated pruritus for mean dialysis duration <40
months was 56% (95% CI, 48-63, I = 75.1%), while that for mean dialysis duration
>=40 months was 50% (95% CI, 36-64, I = 99.1%).The prevalence of CKD-associated
pruritus is high in HD and PD. The prevalence among adult dialysis patients is
comparable between China and foreign countries as well as between females and
males. Studies with the similar disease definition and analysis of the effects of
risk factors on CKD-associated pruritus are needed.
PMID- 29794740
TI - Prevalence of CD30 immunostaining in neoplastic mast cells: A retrospective
immunohistochemical study.
AB - Mastocytosis is a rare disease characterized by clonal neoplastic proliferation
of mast cells (MCs). It ranges from skin lesions as cutaneous mastocytosis (CM)
which may spontaneously regress to highly aggressive neoplasms with multiorgan
involvement corresponding to some aggressive systemic mastocytosis (ASM), mast
cell leukemia (MCL), and/or mast cell sarcoma (MCS).There is increasing evidence
of CD30 expression in neoplastic MCs of the bone marrow. This expression has been
described almost exclusively in aggressive forms of systemic mastocytosis
(SM).The aim of the present study is to evaluate CD30 expression both in
cutaneous and systemic forms of mastocytosis. Forty-two mastocytosis cases were
reviewed, including cutaneous (n = 29) and systemic (n = 13) forms to assess the
prevalence of CD30 expression. Thirty-nine out of 42 (92.8%) cases were CD30
positive. In cases of CM, 28/29 (96.5%) cases were CD30 positive, 11/13 cases of
SM (84.6%) were positive for CD30. MCs in normal skin biopsies and in urticaria
lesions were CD30-negative. This study found that CD30 is also frequently
expressed in CM as well as in systemic forms. This finding is a major departure
from the prevailing concept that CD30 expression is often related to aggressive
systemic forms of mastocytosis.
PMID- 29794741
TI - Identification and analysis of key genes associated with ulcerative colitis based
on DNA microarray data.
AB - This study aimed to identify genes that may play a role in development of
ulcerative colitis (UC) and gain insight into its pathogenesis.Gene expression
profiling data, including samples collected from 13 early-stage UC (EUC), 8
advanced-stage UC (AUC), and 5 control subjects, were downloaded from the Gene
Expression Omnibus database under the accession number of GSE9452. Differentially
expressed genes (DEGs) were identified in EUC and AUC compared with controls.
DEGs for EUC and AUC, as well as AUC-specific DEGs were subjected to pathway
enrichment analysis. Random Walk with Restart (RWR) was used to identify DEGs
that are critical in UC based on a protein-to-protein interaction (PPI) network
and the inflammatory bowel disease (IBD) pathway downloaded from the Kyoto
Encyclopedia of Genes and Genomes (KEGG) database. IL17 and transforming growth
factor beta TGF-beta) expression levels in colonic tissue from patients with UC
and normal colonic mucosa from healthy adults were analyzed by
immunohistochemistry (IHC).A total of 3511 and 911 DEGs were identified in AUC
and EUC, respectively. The overlapping DEGs and the AUC-specific DEGs were both
enriched in pathways related to immunity, such as antigen processing and
presentation. AUC-specific DEGs were related to cell migration, such as ECM
receptor interaction. Following DEG prioritization, TLR4 and STAT1 were linked
with EUC, AUC, and CD. The upregulated gene TGFB increased the number of Th17
cells, as verified by IHC. Furthermore, PIK3R1, CREBBP, and STAT1 were part of
high-degree nodes in the PPI sub-network.The upregulated gene TGFB may regulate
IL17 expression in UC. PIK3R1 may participate in immunity and CREBBP may interact
with STAT1 in the development and progression of UC.
PMID- 29794743
TI - Parietal complication of the hydatid disease: Comprehensive literature review.
AB - BACKGROUND: The aim of the study was to provide an overview of the medical
literature on parietal complications of hydatid disease (HD). METHODS: A
literature search was conducted on PubMed, Medline, Google Scholar, and Google
databases in accordance with the Preferred Reporting Items for Systematic Reviews
and Meta-Analyses guidelines, using keywords to identify articles related to
parietal complications of HD in the thoracic and abdominal cavities. The
following keywords were used: HD, hydatid cyst, cystic echinococcosis, alveolar
echinococcosis, abdominal HD, thoracic HD, parietal complication, cutaneous
fistulization, cystocutaneous fistulization, cutaneous involvement, external
rupture, external fistulization, subcutaneous involvement, and subcutaneous
abscess. The language of publication, journal, or country was not included as
limitation criteria, and publications dated before August 1, 2016, were
considered. Articles or abstracts containing adequate information, such as age,
sex, cyst size, cyst location, clinical presentation, fistula opening location,
and management were included in the study, whereas articles with insufficient
clinical and demographic data were excluded. RESULTS: The literature review
included 52 articles involving 55 patients with parietal complications of HD.
Thirty-two articles were written in English, 15 in French, 2 in Spanish, 1 in
Italian, 1 in German and 1 in Russian. All 55 patients (women, 30; men, 23;
unknown, 2) involved in the study were aged 7 to 93 (mean +/- standard deviation,
54.5 +/- 20.2) years. A total of 24 patients had cysto-cutaneous fistula
(Echinococcus granulosus), 12 had subcutaneous rupture, 10 had cutaneous fistula
(E multilocularis), 3 had cystosubcutaneous abscess, 3 had cysto-cutaneo
bronchial fistula, 2 had cysto-cutaneo-bronchio-biliary fistula, and 1 had
cutaneo-bronchial fistula. E granulosus were detected in 43 and E multilocularis
in 12 patients through clinical, radiological, or histopathological examinations.
CONCLUSION: Parietal complications such as cysto-cutaneous fistulization are a
rare complication of HD. Complicated HD should be considered in the differential
diagnosis of patients presenting with cutaneous involvement, especially in HD
endemic regions.
PMID- 29794742
TI - Clinical impact of the alpha-galactosidase A gene single nucleotide polymorphism
10C>T: A single-center observational study.
AB - Single nucleotide polymorphisms (SNPs) in the alpha-galactosidase A gene region
(GLA) have been discussed as potential cause of symptoms and organ manifestations
similarly to those seen in Fabry disease (FD). However, due to scarce data,
clinical implications remain limited. The aim of the present study was to
investigate the clinical impact of -10C>T SNP in the GLA.Prospective single
center observational study to determine the natural history and outcome of
FD.Subjects initially referred to the Fabry Center for Interdisciplinary Therapy
Wurzburg (FAZIT) for management of suspected FD (11 women, 2 men, mean age 42 +/-
10 years) who were tested negative for coding GLA mutations but positive for the
noncoding -10C>T SNP underwent comprehensive characterization for therapy
recommendation.All subjects reported at least 1 neurological, but no cardiac or
renal symptoms. In 7 patients, pain of unknown etiology was reported and 3
patients had a history of cryptogenic stroke. In all patients, alpha-GAL activity
was at a lower limit, ranging between 0.27 and 0.45 nmol/min per mg protein
(reference: 0.4-1.0), while plasma Lyso-Gb3 levels remained normal (range 0.39 +/
0.33; reference: <=0.9 ng/mL). For both hemizygous subjects investigated, brain
magnetic resonance imaging revealed unspecific white matter lesions. One of these
subjects had suffered from severe early-onset stroke, the other showed mild
hypertrophic cardiomyopathy.Presence of isolated heterozygous -10C >T SNP is not
associated with clinically relevant symptoms or organ manifestations as seen in
FD. Respective polymorphisms might, however, play a role in modifying disease
severity in FD. Great care has to be taken in respective subjects suspected to
suffer from nonclassical FD in order to prevent unnecessary Fabry-specific
therapy.
PMID- 29794744
TI - Post-radiotherapy maintenance treatment with fluticasone propionate and
salmeterol for lung cancer patients with grade III radiation pneumonitis: A case
report.
AB - RATIONALE: This combination of fluticasone propionate (FP) and the long-acting
beta2-agonist salmeterol (Salm) can control the symptoms of asthma and COPD
better than FP or Salm on their own and better than the combination of inhaled
corticosteroids plus montelukast. FP/Salm has been shown to control symptoms of
asthma and COPD better than a double dose of inhaled steroids. The patient in our
report had a history of COPD, and suffered relapse of RP when given only
steroids. It is possible that COPD history helps explain this patient's more
difficult treatment course. Therefore, this combination may be more effective
than inhaled steroids for patients with a history of COPD. PATIENT CONCERS: This
patient suffered adverse reactions triggered by methylprednisolone: weight gain,
hyperglycaemia and sleep disturbance after more than two months of intravenous
and oral prednisolone. These reactions disappeared when we switched the patients
to FP/Salm maintenance therapy. DIAGNOSES: The patient underwent upper right
lobectomy in September 2011. Immunohistochemistry indicated low squamous cell
differentiation, and he was diagnosed with stage IIB disease (T2N1M0) according
to the Union for International Cancer Control (UICC) (7th edition).One month
after repeat radiotherapy, the patient experienced fever (37.6 degrees C), cough,
chest distress and shortness of breath. We performed serologic tests, laboratory
tests for procalcitonin and C-reactive protein, as well as sputum and blood
cultures to rule out bacterial infection. Chest CT showed consolidation with air
bronchogram in the hilum of the right lung and ground-glass densities in the
right lower lobe and left upper lobe. These radiographic signs are typical of RP.
Since the patient required oxygen, he was diagnosed with grade III RP.
INTERVENTIONS: After the patinet was diagnosed with grade III RP. The patient was
immediately prescribed oxygen, anti-infectives for prophylaxis, treatments to
facilitate expectoration and prevent asthma, and most importantly, intravenous
methylprednisone at an initial dose of 60 per day. And we cut the steroid dose
in half every one week when the patient's symptoms improved obviously, and the
patchy shadow on the chest radiograph sharply reduced. Then we give him FP (500
mg)/Salm (50 mg) twice daily for two months. Then the dose was halved for an
additional two months. OUTCOMES: The patient showed no signs of tumor or RP
relapse by the last follow-up in March 2018. LESSONS: This maintenance therapy of
FP/Salm for patient with grade III RP may help avoid relapse when steroid therapy
is tapered, particularly for patients with a history of COPD. It may also reduce
risk of steroid-associated adverse effects. Based on the results observed with
our patient, we intend to design a prospective trial to assess the efficacy of
FP/Salm when used as preventive treatment for patients at high risk of RP, and
when used as maintenance treatment for patients with grade III RP.
PMID- 29794745
TI - Study of the evolution and variability of nontraumatic orthopedic surgeries in
Brazil-9 years of follow-up: A database study.
AB - In Brazil, there are no epidemiological statistics that map nontraumatic
orthopedic injuries, their rate of variability, distribution by specialty,
fatality rate, and the economic impact that these lesions and their consequences
can bring to the country. The objective of this study was to evaluate the rates
of variability for skills, deaths, mortality, and the economic impact of
nontraumatic orthopedic surgeries in Brazil from 2008 to 2016.This is a
descriptive study conducted through the analysis of data relating to the
indicators of hospital production regarding orthopedic procedures of the
Department of Informatics of the Unified Health System (Departamento de
Informatica do Sistema Unico de saude-DATASUS) between 2008 and 2016. The level
of significance was 5%.There was a predominance of hospitalizations for surgery
of the lower limbs, which also resulted in the largest number of deaths. The
surgical mortality rate recorded for the hip also needs to be considered. In
general, there is a national increase in the number of orthopedic surgeries
performed, accompanied by a concomitant increase in the number of deaths and
mortality of the population exposed.We observed a growing demand for
hospitalization with a consequent increase in lethality and deaths. We can
conclude that between 2008 and 2016, the number of hospitalizations for elective
nontraumatic orthopedic surgical procedures increased significantly, driven
mainly by lower limb surgeries, along with the cost of the Unified Health System
(Sistema Unico de Saude-SUS) for these surgeries.
PMID- 29794746
TI - Interpreting the various associations of MiRNA polymorphisms with
susceptibilities of cardiovascular diseases: Current evidence based on a
systematic review and meta-analysis.
AB - BACKGROUND: To interpret the various associations between miRNA polymorphisms and
cardiovascular diseases (CVD). METHODS: Literature search has identified relevant
studies up to June 2016. A meta-analysis was performed followed the guidelines
from the Cochrane review group and the PRISMA statement. Studies were identified
by searching the Cochrane Library, EMBASE, PUBMED and WHO clinical trials
registry center. A meta-analysis has been done with a fixed/random-effect model
using STATA 14.0, which also has been used to estimate the publication bias and
meta-regression. RESULTS: The results from 11 case-control studies were included.
The miR-146a G/C makes a contribution to the causing of CVD as recessive genetic
model. And the miR-499 G/A raised the risks of cardiomyopathy, however it could
still accelerate the procedure of CVD combined with myocardial infraction. At
this point, we consider that it could deepen the adverse of outcomes from
coronary artery disease (CAD), but it's hard to draw an association between miR
499 G/A and CAD. At last the miR-196a2 T/C demonstrated a contrary role between
development problem and metabolic issues, which protects the development
procedure and impairs the metabolism to cause different disease phenotypes.
CONCLUSION: Despite inter-study variability, the polymorphisms from miR-146a, miR
499 and miR-196a2 have impacts on cardiovascular disease. Each type of miRNA has
individual role in either cardiac development or the origins of CVD.
PMID- 29794747
TI - Tranexamic acid use during total hip arthroplasty: A single center retrospective
analysis.
AB - BACKGROUND: Tranexamic acid (TXA) is an antifibrinolytic agent that has shown
promise in reducing blood loss during total hip arthroplasty (THA). Several
studies have reported side effects of high-dose TXA administration, including
myocardial infarction (MI), thromboembolic events, and seizures. These possible
side effects have prevented the widespread adoption of TXA in the surgical
community. METHODS: We conducted a retrospective chart review of 564 primary and
revision THAs performed at a single academic center. Surgical patients received
either no TXA or 1 g IV TXA at the beginning of surgery followed by a second
bolus just before the surgical wound closure, at the surgeon's discretion. We
analyzed differences in hemoglobin (Hb), hematocrit (Hct), estimated blood loss
(EBL), and adverse events in patients receiving TXA versus patients not receiving
TXA up to 2 days following surgery. RESULTS: Significantly higher Hb and Hct
values were found across all time points among patients undergoing primary
posterior or revision THA who had received TXA. In addition, transfusion rates
were significantly decreased in both primary posterior THAs and revision THAs
when TXA was administered. Patients who received TXA experienced significantly
fewer adverse events than those who did not for all surgery types. CONCLUSION:
Administration of low-dose intravenous (IV) and intra-articular (IA) TXA does not
appear to increase rates of adverse events and may be effective in minimizing
blood loss, as reflected by Hb and Hct values following THA.
PMID- 29794748
TI - Study on body composition and its correlation with obesity: A Cohort Study in
5121 Chinese Han participants.
AB - Rare reports can be found about sex- and age-specific body composition survey
among Chinese population. The aim of this study is to explore the change of body
composition with aging in Chinese males and females respectively.The present
cross-sectional study was carried out in Central South University Xiangya School
of Medicine Affiliate Haikou Hospital, on a random sample consisting of 5121
participants. Inbody720 body composition analyzer was used to detect the human
body composition. Data collection was based on the assessment of anthropometric
body composition measurements done with the help of bioelectric impedance. And
the data were analyzed with SPSS19.0.We selected 5121 participants, 3276 males
and 1845 females. A significant trend (P < 0.05) for all anthropometric indices
was observed with age for both genders. Body fat in men and women were 18.33 kg
and 19.82 kg, respectively. Body fat percentage in men and women were 25.74% and
34.01%, respectively. Visceral fat area in men and women were 91.98 cm and 77 cm,
respectively And, with the increase of age, body fat, body fat percentages and
visceral fat area also increased, both in men and in women. Meanwhile, with the
increase of BMI, the body fat, body fat percentages, and visceral fat area also
increased, both in men and in women.Significant trends were observed for body
fat, body fat percentages and visceral fat area for both genders with age and
both genders with BMI. Focusing on obesity-related lifestyle and prevent weight
gain.
PMID- 29794749
TI - The relationship of insulin resistance estimated by triglyceride glucose index
and coronary plaque characteristics.
AB - The triglyceride glucose (TyG) index is a useful surrogate marker for insulin
resistance, which is an important risk factor for coronary artery disease (CAD).
However, data on the relationship of the TyG index and coronary plaque
characteristics are limited.This study included 2840 participants with near
normal renal function who underwent coronary computed tomography angiography. CAD
was defined as the presence of any plaques, and obstructive CAD was defined as
the presence of plaques with >=50% stenosis. The relationship between the TyG
index and noncalcified plaque (NCP), calcified or mixed plaque (CMP), and
coronary artery calcium score (CACS) was evaluated.All participants were
stratified into 4 groups based on the quartiles of the TyG index. The prevalence
of CAD and obstructive CAD significantly increased with increasing quartiles. The
risk for NCP and obstructive NCP was not different among all groups. However,
compared with group I (lowest quartile), the risk for CMP was higher in groups
III (odds ratio [OR]: 1.438) and IV (highest quartile) (OR: 1.895) (P < .05), and
that for obstructive CMP was higher in groups II (OR: 1.469), III (OR: 1.595),
and IV (OR: 2.168) (P < .05). Multivariate regression analysis showed that the
TyG index was associated with an increased risk for CAD (OR: 1.700), obstructive
CAD (OR: 1.692), and CACS >400 (OR: 1.448) (P < .05).The TyG index was
independently associated with the presence and severity of CAD due to an
increased risk for CMP.
PMID- 29794750
TI - Management and outcomes of spinal epidural hematoma during vertebroplasty: Case
series.
AB - RATIONALE: Spinal cord injury (SCI) is one of the common complications of spinal
surgery. There is no definite treatment and time of decompression for spinal cord
induced by epidural hematoma during vertebroplasty. PATIENT CONCERNS: A total of
6 patients with SCI during vertebroplasty were included in our research. All of
them occurred sensory disturbance and motor dysfunction due to a lower or same
level operative vertebral body lesion in vertebroplasty. DIAGNOSES: Neurological
manifestations during vertebroplasty, postoperative magnetic resonance imaging
and computed tomography. INTERVENTIONS: Once SCI occurred in vertebroplasty, four
patients were underwent spinal cord decompression immediately, and two patients
were done after 14 and 22 hours, respectively. OUTCOMES: Before decompression
operation, one patient was Frankel A, three were Frankel B, and two were Frankel
C. One day after evacuation of the SEH, three patients recovered to normal
neurological function (Frankel E), one to Frankel C, and one to Frankel D, but
the other one did not recover. At the last follow-up, five patients had recovered
to Frankel E and one patient to Frankel D. LESSONS: According to our experience,
when SCI occurs during vertebroplasty, neurological deficits are always secondary
to acute SEH. Timely decompression, particularly transfer surgery, can shorten
recovery time.
PMID- 29794751
TI - Pulmonary adenocarcinoma associated with Guillain-Barre syndrome: A case report.
AB - RATIONALE: Guillain-Barre Syndrome (GBS) as a paraneoplastic manifestation of
small cell lung cancer has been published several times, while paraneoplastic GBS
accompanied by pulmonary adenocarcinoma is rare. PATIENT CONCERNS: An 80-year-old
male was hospitalized with a 2-week history of fever and 10-day history of
progressive ascending muscle weakness in the legs and arms. The patient felt
weakness in legs at first when he was still able to move around, but the symptoms
gradually progressed to the arms. At the time of office visit, he could no longer
walk or hold up objects, and had absent deep-tendon reflexes as well as weakened
left lung breath sounds. DIAGNOSES: Confirmed by the Cerebrospinal fluid (CSF)
and electromyography examination, the patient was originally admitted into our
hospital for GBS. However, radiology and histological examination revealed
pulmonary adenocarcinoma. He was relatively old and confirmed to have pulmonary
adenocarcinoma with simultaneously detected GBS, so was considered to be a
paraneoplastic syndrome, rather than pure GBS. INTERVENTIONS: The patient was
treated with methylprednisolone at 80 mg Qd for 10 consecutive days, which
resulted in improvement in arms, then tapering to lower doses for 3 months.
OUTCOMES: The patient showed temporary relief until relapse 6 months later, when
the patient gave up treatment. LESSONS: To our knowledge, this is the first case
of pulmonary adenocarcinoma that was diagnosed based on Guillain-Barre-like
syndrome, which is very difficult to diagnose and treat. We suggest that elderly
patients with GBS should not be considered as simple GBS and should be thoroughly
examined to exclude systemic diseases, especially paraneoplastic neurological
syndromes. In addition, the elderly should be screened regularly for tumor
markers.
PMID- 29794752
TI - Effects of abnormal 75 g oral glucose tolerance test at different time points on
neonatal complications and neurobehavioral development in the pregnant women with
gestational diabetes mellitus (a STROBE-compliant article).
AB - With the improvement of living standard, gestational diabetes mellitus (GDM)
incidence is increasing every year. We observed the effects of abnormal 75 g oral
glucose tolerance test (OGTT) at different time points on neonatal complications
and neurobehavioral development in GDM.A total of 144 newborns whose mothers were
diagnosed with GDM and received prenatal examination and childbirth in our
hospital from October 2015 to April 2016, were observed in this study. Pregnant
women underwent 75 g OGTT and the blood glucose level was recorded on an empty
stomach, as well as postprandial 1 and 2 hours, respectively. Based on the
frequency of 75 g OGTT-abnormal time points, the pregnant women were divided into
group 1 (OGTT abnormality at 1 time point), group 2 (OGTT abnormality at 2 time
points), and group 3 (OGTT abnormality at 3 time points). Neonatal behavioral
neurological assessment (NBNA) was performed on the 3 groups, respectively.In the
total score of NBNA, there was a significant difference among the 3 groups (F =
17.120, P = .000), and there were significant differences between the 3 groups
(all P < .05). The incidence of neonatal hypoglycemia was significantly lower in
groups 1 and 2 than in group 3, and the incidence of macrosomia was significantly
lower in groups 1 than in groups 2 and 3 (all P < .05). In the 144 newborns, NBNA
scoring was significantly lower in the newborns with hypoglycemia than in the
newborns with normal blood glucose level, and in macrosomia than in the newborns
with normal body weight (all P < .01).With the increase of OGTT-abnormal time
points in the pregnant women with GDM, the incidences of neonatal hypoglycemia
and macrosomia rise and neonatal NBNA score decreases. Therefore, reasonable
measures should be adopted as early as possible to prevent poor prognosis in the
pregnant women with GDM.
PMID- 29794753
TI - The predictive value and the correlation of peripheral absolute monocyte count,
tumor-associated macrophage and microvessel density in patients with colon
cancer.
AB - The tumor microenvironment plays a pivotal role in cancer progression. The
purpose of the present study was designed to evaluate the predictive value of
peripheral absolute monocyte count, tumor-associated macrophage, microvessel
density, and to clarify the correlation between them in patients with colon
cancer.A series of 216 patients with colon cancer were enrolled in this study.
The peripheral absolute monocyte count was obtained from preoperative routine
blood test. Tumor-associated macrophage and microvessel density were assessed on
tissue microarray by immunohistochemistry.The one, three, five-year overall
survival rate for the low absolute monocyte count group was 98.4%, 91.1%, 87.1%,
respectively; and for the high absolute monocyte count group was 94.6%, 83.7%,
77.2%, respectively (P = .046). The one, three, five-year progression-free
survival rate for the low absolute monocyte count group was 94.4%, 87.1%, 85.5%,
respectively; and for the high absolute monocyte count group was 90.2%, 75.0%,
73.9%, respectively (P = .024). Univariate and multivariate analysis showed that
there was a strong association between peripheral monocyte count and clinical
outcome. The correlation between peripheral absolute monocyte count, tumor
associated macrophage, and microvessel density were not observed.The peripheral
absolute monocyte count was an independent prognostic factor for overall survival
and progression-free survival in colon cancer. The high absolute monocyte count
was significantly associated with poor outcome.
PMID- 29794754
TI - A potentially new phase of the cardiac cycle: Pre-isovolumic contraction
recognized by echocardiography.
AB - Clinically the isovolumic contraction time (IVCT) can be measured by 3
echocardiographic methods of M-mode, pulse-wave Doppler (PWD), and tissue Doppler
imaging (TDI). But IVCT can be clinically different by the 3 methods. This study
is to investigate whether there is a potentially unidentified phase causing the
discrepancies by analyzing electric mechanical delay time (EMD), IVCT, and pre
ejection period (PEP).A total of 30 healthy subjects were recruited for the
study. EMD, IVCT, and PEP were obtained by the 3 methods, respectively. MCT (the
interval from the onset of the QRS wave to the closure point of the mitral valve
measured by TDI) and ICMC (the interval from the onset of IVC wave S1 to the
closure point of the mitral valve measured by TDI) were both measured by color
TDI.IVCTt (IVCT measured by TDI) was significantly longer than IVCTm or IVCTd
(IVCT measured by M-mode or PWD) (both P < .0001), while EMDt (EMD measured by
TDI) was significantly shorter than EMDm or EMDd (EMD measured by M-mode or PWD)
(both P < .0001). But MCT was not significantly different from EMDm or EMDd (P >
.05) and ICMC did not differ significantly from EMDm or EMDd minus EMDt or IVCTt
minus IVCTm or IVCTd (P > .05), in other words, ICMC almost equaled to (EMDm or
EMDd minus EMDt) or (IVCTt minus IVCTm or IVCTd).There may be an unidentified
phase between the end of atrial contraction and the closure of mitral valve
causing the discrepancies in IVCT, which is named as the pre-isovolumic
contraction phase. It is a non-isovolumic phase and is included in the
traditional isovolumic contraction phase.
PMID- 29794755
TI - Contrast-enhanced ultrasound findings of gallbladder adenocarcinoma with
sarcomatoid carcinoma accompanied by intrahepatic metastasis: A case report and
literature review.
AB - RATIONALE: Gallbladder adenocarcinoma is the most common subtype of malignant
tumor in gallbladder carcinoma; its incidence is quite low. Preoperative
diagnosis of gallbladder adenocarcinoma appears to be very difficult because they
are normally asymptomatic and are often found incidentally. Adenocarcinoma with
sarcomatoid carcinoma of gallbladder is exceedingly rare with no case reported in
the literature to date. PATIENT CONCERNS: A 69-year-old male was referred to our
hospital due to right upper quadrant pain. To further diagnosis, he received
laboratory tests, gray-scale US, and contrast-enhanced ultrasound (CEUS). In this
present study, ethical approval was not necessary, as this article is a case
report, which is based on the clinical information of the patient. The patient
gave his permission for publication of the case. DIAGNOSES: Gray-scale abdominal
ultrasound image demonstrated a hypoechoic intraluminal mass of gallbladder and a
heterogeneous mass at the inferior segment of the right anterior liver lobe. In
CEUS, the lesion of gallbladder was hyper-enhanced in the arterial phase, and
hypo-enhanced in the later arterial phase. On liver, the hepatic lesion appeared
heterogenous mild enhancement in the arterial phase. In portal and parenchymal
phase, the hepatic lesion displayed hypo-enhancement, which conformed to the
hepatic metastasis. INTERVENTIONS: The patient received cholecystectomy, right
hepatectomy, and lymphadenectomy. OUTCOMES: He had an uneventful recovery from
the procedure. LESSONS: We presented a case report with gallbladder
adenocarcinoma with sarcomatoid carcinoma accompanied by intrahepatic metastasis,
focusing on the ultrasound imaging features of this tumor, especially its
enhancement pattern on CEUS. CEUS provided helpful information for diagnosis.
Herein, we report the case; and the associated literature is further reviewed.
PMID- 29794756
TI - Medial gastrocnemius structure and gait kinetics in spastic cerebral palsy and
typically developing children: A cross-sectional study.
AB - To compare medial gastrocnemius muscle-tendon structure, gait propulsive forces,
and ankle joint gait kinetics between typically developing children and those
with spastic cerebral palsy, and to describe significant associations between
structure and function in children with spastic cerebral palsy.A sample of
typically developing children (n = 9 /16 limbs) and a sample of children with
spastic cerebral palsy (n = 29 /43 limbs) were recruited. Ultrasound and 3
dimensional motion capture were used to assess muscle-tendon structure, and
propulsive forces and ankle joint kinetics during gait, respectively.Children
with spastic cerebral palsy had shorter fascicles and muscles, and longer
Achilles tendons than typically developing children. Furthermore, total negative
power and peak negative power at the ankle were greater, while total positive
power, peak positive power, net power, total vertical ground reaction force, and
peak vertical and anterior ground reaction forces were smaller compared to
typically developing children. Correlation analyses revealed that smaller resting
ankle joint angles and greater maximum dorsiflexion in children with spastic
cerebral palsy accounted for a significant decrease in peak negative power.
Furthermore, short fascicles, small fascicle to belly ratios, and large tendon to
fascicle ratios accounted for a decrease in propulsive force
generation.Alterations observed in the medial gastrocnemius muscle-tendon
structure of children with spastic cerebral palsy may impair propulsive
mechanisms during gait. Therefore, conventional treatments should be revised on
the basis of muscle-tendon adaptations.
PMID- 29794757
TI - Correlation between oral health and quality of life among the elderly in
Southwest China from 2013 to 2015.
AB - The aim of this study was to evaluate the oral health among the elderly in
Southwest China and to analyze the correlation between common oral diseases and
quality of life (QOL) in the same population, thus providing recommendations to
improve their oral health and life quality.Elderly people (>60 years' old) were
randomly recruited into our study, and we performed oral health examinations and
diagnoses, using the Community Periodontal Index (CPI) to assess the periodontal
condition, and Oral Health Impact Profile-14 (OHIP-14) to score life quality
associated with oral health. Then we analyzed correlations between oral health
and QOL as well as body mass index (BMI).A total of 687 subjects participated in
our study and 212 (30.9%) were diagnosed with gingivitis or subgingival calculus,
291 (42.4%) with moderate chronic periodontitis, 136 (19.8%) with severe chronic
periodontitis, 514 (74.8%) with dental caries, and 648 (94.3%) with dentition
defects. A total of 653 (95.1%) qualified OHIP-14 scores were collected, with a
median score of 13. The scores of the severe and moderate periodontitis were
similar to the dentition defects, but higher than the scores for gingivitis and
subgingival calculus. Considering the most common side effect, 11% of the
subjects with severe chronic periodontitis were reported to be "unsatisfied with
eating," and 48.4% of the participants with dentition defects complained about
"troubles with pronunciation." A logistic regression analysis revealed that
underweight (BMI <20) correlated with dental caries (odds ratio [OR]: 0.167, P =
.040) and dentition defects (OR: 0.119, P = .016).The general oral health
condition was poor among the elderly in Southwest China. Periodontitis and
dentition defects have considerable negative effects on the QOL among this
population.
PMID- 29794758
TI - Comparison of standard-dose 3-weekly cisplatin and low-dose weekly cisplatin for
concurrent chemoradiation of patients with locally advanced head and neck
squamous cell cancer: A multicenter retrospective analysis.
AB - Standard treatment for locally advanced (stage III-IV) head and neck squamous
cell cancer (LA-HNSCC) is concurrent chemoradiation therapy (CCRT) with cisplatin
100 mg/m every 3 weeks. For medically unfit patients susceptible to treatment
related adverse events, low-dose weekly cisplatin (30-40 mg/m) can be used as an
alternative. In this study, we retrospectively compared the therapeutic outcomes
of low-dose weekly cisplatin regimen and standard regimen in CCRT for LA
HNSCC.The medical records of histologically confirmed LA-HNSCC patients were
retrospectively reviewed from January 1, 2007 to December 31, 2012. Patients who
were treated with CCRT as initial treatment were included.Among 220 patients
eligible, 65 (29.5%) were treated with cisplatin dosing schedule of 100 mg/m
every 3 weeks and 155 (70.5%) with 30 to 40 mg/m weekly. The overall response
rate in 3-weekly group was 92.3% and did not differ from that in weekly group
(91.0%). The median progression-free survival of the weekly group was not
attained but was not significantly different from that of 3-weekly group (50.7
months, 95% confidence interval [CI] 42.2-59.1 months) (P = .81). Also, the
median overcall survival did not differ significantly between 2 groups (P =
.34).In the present study, low-dose weekly cisplatin showed therapeutic outcomes
comparable to standard-dose cisplatin in CCRT for LA-HNSCC. Prospective
comparison of standard-dose three-weekly and low-dose weekly cisplatin is
warranted.
PMID- 29794759
TI - Comparison of the effectiveness in pain reduction and pulmonary function between
a rib splint constructed in the ER and a manufactured rib splint.
AB - BACKGROUND: In the treatment of patients with rib fractures (RFs), pain reduction
is the most important consideration. Various studies have examined the
effectiveness of treatments administered to RF patients, such as lidocaine
patches, IV drugs, nerve blockers, and surgery. In this study, we evaluated the
difference in the effectiveness in pain reduction between 2 groups of RF
patients: 1 group who received a rib splint constructed in the ER (ER splint) and
another group who received a Chrisofix Chest Orthosis (CCO) manufactured rib
splint. METHODS: A pilot study for a prospective randomized clinical trial was
conducted to compare subjects using the CCO (Group A) with those using the ER
splint (Group B) before and after the intervention. The primary outcome was
difference in the level of pain based on the visual analogue scale (VAS) and the
pulmonary function (PF) variables between before and after intervention in each
group during forceful and resting respiration. RESULTS: A total of 24 subjects
were enrolled in this study. The VAS results showed that the intervention was
significantly effective in each group (before vs after: Group A resting: 8.50 +/-
1.05 vs 4.17 +/- 1.33, P < .001; Group A forceful: 9.83 +/- 0.41 vs 7.17 +/-
0.75, P < .001; Group B resting: 8.83 +/- 1.60 vs 4.50 +/- 1.38, P < .001; and
Group B forceful: 9.67 +/- 0.82 vs 7.33 +/- 1.51, P = .003). The PF variables
showed that the intervention was significantly effective in each group (before vs
after: Group A, FVC: 2.74 +/- 0.92 vs 3.35 +/- 0.99, P < .001; FEV1: 2.16 +/-
0.74 vs 2.57 +/- 0.78, P = .001; PEF: 235.30 +/- 43.06 vs 319.00 +/- 51.58, P =
.004; and Group B, FVC: 2.02 +/- 0.49 vs 2.72 +/- 0.62, P < .001; FEV1: 1.27 +/-
0.25 vs 1.91 +/- 0.37, P < .001; PEF: 216.67 +/- 67.49 vs 300.33 +/- 87.79, P =
.003). CONCLUSION: Applying either the CCO or the ER splint to RF patients
effectively reduced pain, and no significant differences in pain level were
observed between these 2 techniques.
PMID- 29794760
TI - A five year CT surveillance of ciliated retroperitoneal foregut cyst resembling a
cystic pancreatic lesion: A case report.
AB - INTRODUCTION: Ciliated retroperitoneal foregut cysts are rare, and to obtain a
preoperative definitive diagnosis of this condition is relatively difficult. In
addition, the exact mechanism and formation of ciliated retroperitoneal foregut
cysts remains unknown. CASE PRESENTATION: Here, we report a case of a 47-year-old
woman who presented with an unusual shaped cystic lesion associated with a patch
of solid components between the pancreas and the left kidney, initially
misdiagnosed as a cystic pancreatic lesion 5 years previously to presentation at
our clinic. During the past years, reports relating to the surveillance of these
lesions described that their shapes progressively change while its volumes remain
invariably unchanged. We did not observe this phenomenon in any literatures to
our knowledge. The patient was diagnosed with ciliated retroperitoneal foregut
cyst with remote hemorrhage, after the laparoscopic surgery. CONCLUSIONS:
Ciliated retroperitoneal foregut cysts have characteristic manifestations. From
this case, we summarized that cysts in the retroperitoneum, associated with a
changing shape of the lesion, highly suggest the diagnosis of foregut cysts,
which are safe under long-term surveillance.
PMID- 29794763
TI - Enteritis as initial manifestation of systemic lupus erythematosus in early
pregnancy: A case report: Erratum.
PMID- 29794761
TI - Efficacy and safety of thread embedding acupuncture combined with conventional
acupuncture for chronic low back pain: A study protocol for a randomized,
controlled, assessor-blinded, multicenter clinical trial.
AB - BACKGROUND: Back pain is one of the most common diseases, and many patients with
recurrent pain seek alternative treatment strategies. Thread embedding
acupuncture involves thread insertion at the acupuncture point for continuous
physical and chemical stimulation. Although thread embedding is widely used in
clinical practice, there is no sound evidence of its efficacy for chronic back
pain. We describe the protocol for a randomized controlled trial for
investigation of the efficacy and safety of thread embedding acupuncture combined
with conventional acupuncture for chronic low back pain. METHODS: This
randomized, controlled, assessor-blinded, 2-armed, parallel, multicenter clinical
trial will include 38 outpatients with chronic low back pain recruited from 4
traditional Korean Medicine hospitals. The patients will be randomly allocated to
a treatment group (conventional acupuncture + thread embedding acupuncture) and a
control group (only conventional acupuncture) in a 1:1 ratio. The treatment group
patients will receive thread embedding acupuncture treatment at 10 acupuncture
points (multifidus muscle, 4 points; spinal erector muscles, four points; lumbar
quadrate muscle, 2 points) once a week for 8 weeks (8 sessions). In addition, all
patients will receive conventional acupuncture treatment at 14 acupuncture points
(GV3, EX-B5, and bilateral BL23, BL24, BL25, BL26, BL40, and BL60) twice a week
for 8 weeks (16 sessions). The primary outcome will be the change in the visual
analog scale score from visit 1 to visit 16, analyzed by independent t tests, in
both groups. The groups will also be compared with regard to the clinical
relevance (minimal clinically important difference), quality of life (3-level
version of Euroqol-5D), disability level (Roland and Morris Disability
Questionnaire), global assessment (patient global impression of change), and
safety. Cost data for cost-benefit and cost-effectiveness analyses will be
collected. DISCUSSION: Our study results will provide evidence of the efficacy
and safety of thread embedding acupuncture combined with conventional acupuncture
for the management of chronic low back pain. Even though the assessors will be
blinded, the patients will not be blinded to treatment because of the lack of a
sham embedding acupuncture group; this is a limitation of our study. TRIAL
REGISTRATION: Clinical Research Information Service: KCT0002666.
PMID- 29794762
TI - Successful treatment of multisite hemorrhage by several methods in brain
metastasis of choriocarcinoma: A case report.
AB - RATIONALE: Brain metastasis of choriocarcinoma is a highly malignant gestational
trophoblastic neoplasia (GTN) and has a notoriously poor prognosis. Hemorrhagic
choriocarcinoma lesions may lead to life-threatening conditions also. Treatment
of brain metastases of choriocarcinoma with hemorrhage in multiple sites is very
difficult in clinical practices. A patient has been successfully treated in our
hospital, which provides as clinical references for this difficulty in treating
brain metastases of choriocarcinoma with hemorrhage in multiple sites. PATIENT
CONCERNS: A 28-year-old patient with gravida 2, para 0 was admitted in our
hospital for amenorrhea, vaginal bleeding, and lower abdominal pain. DIAGNOSES:
The patient was diagnosed as choriocarcinoma FIGO stage IV and the score of the
Prognostic Scoring Index modified by the WHO was 15. INTERVENTIONS: The patient
received multiagent chemotherapy (EMACO regimen) soon after the diagnosis of
choriocarcinoma with brain metastasis. During the therapy, the patient was given
3 different methods of treatment for metastatic site hemorrhage. An emergency
surgery was performed to control massive bleeding from the metastatic lesions of
broad ligament. Blood transfusions were given to treat acute left pulmonary
hemorrhage. Uterine artery embolization (UAE) was performed to treat increased
uterine bleeding. OUTCOMES: The patient achieved remission after 9 cycles of
chemotherapy. She has been followed up for 14 months with no signs of tumor
recurrence. LESSONS: The diagnosis of choriocarcinoma may be difficult,
especially in the setting with the limit of medical resources. The application of
various diagnostic techniques such as x-ray, computed tomography, and magnetic
resonance imaging is helpful for evaluating the patient's condition.
PMID- 29794764
TI - Gender difference in long-term use of opioids among Taiwan officially registered
patients with chronic noncancer pain.
AB - Research regarding sex or gender difference in chronic pain proliferated in this
decade. This study was to analyze gender difference in Taiwan patients receiving
long-term opioids for chronic noncancer pain.An observational cross-sectional
survey was conducted among the registered outpatients by the Taiwan Food and Drug
Administration. Participants completed a self-report questionnaire, including the
Taiwanese version of Brief Pain Inventory and enquiry regarding sexual
activities, depressive symptoms, and misuse behaviors.In total, 68 female and 142
male patients were analyzed. Both pain intensity and daily function interference
reduced comparably (around 50%) between women and men after taking opioids in the
past 1 week. The opioid-related adverse effects, including constipation,
decreased sexual desire and satisfaction, and misuse behaviors were not
significantly different. Women were exceedingly diagnosed with depression (67.7%
vs 49.3%, P = .012) and had a higher mean depressive symptom score in the past 1
month, especially among those age <40 years (23.3 vs 11.9, P = .009), as compared
with men. In addition, women had a lower mean self-rated health score (37.9 vs
44.3, P = .047). The mean morphine equivalent dose was significantly lower in
women (131.6 vs 198.2 mg/day, P = .008), which was not correlated with their
depressive scores.Gender differences in the effectiveness and adverse effects of
long-term opioids were not found among Taiwan registered outpatients with chronic
noncancer pain. However, more female patients inclined to have a coexisting
depression diagnosis, depressive symptoms, and a lower perceived health score,
needing regular screening and closer monitoring.
PMID- 29794766
TI - Multiple intracranial lesions as the unusual imaging features of Hashimoto's
encephalopathy: A case report.
AB - RATIONALE: Hashimoto's encephalopathy (HE) is associated with autoimmune thyroid
disease and is complex, diverse, and easily misdiagnosed. However, if HE is
diagnosed and treated in a timely manner, an optimal prognosis may be achieved.
PATIENT CONCERNS: We presented a case of a 63-year-old female patient with
paroxysmal dizziness, unsteady gait, emotion apathy, progressive cognitive
impairment, and unusual magnetic resonance imaging (MRI) findings. DIAGNOSES:
After suffering for almost 8 years, the patient was diagnosed with HE based on
clinical manifestation, abnormal electroencephalogram, unusual MRI findings,
sensitivity to cortisol treatment, and characteristic high antithyroid peroxidase
antibody (TpoAb) titer. INTERVENTIONS: The patient continued regular
glucocorticoids therapy after intravenous methylprednisolone pulse therapy,
neurotrophic drugs, traditional Chinese medicine and rehabilitation to relieve
hypermyotonia and cognitive impairment. OUTCOMES: After combined treatment, the
patient's symptoms, electroencephalogram (EEG), MRI, and the TpoAb titer
gradually improved. However, the patient had to stop glucocorticoids treatment
because of severe osteoporosis, fractures and other adverse reactions. Her
symptoms fluctuated, and her TpoAb titer increased again. LESSONS: HE may cause
highly heterogeneous clinical features, particularly MRI findings. Withdrawal of
the systematic glucocorticoids treatment can lead to varied outcomes in these
patients.
PMID- 29794765
TI - Efficacy and safety of Kangfuxin liquid combined with aminosalicylic acid for the
treatment of ulcerative colitis: A systematic review and meta-analysis.
AB - BACKGROUND: To systematically evaluate the clinical efficacy and safety of
Kangfuxin liquid (KFXL) combined with aminosalicylic acid (ASA) in treating
ulcerative colitis (UC). METHODS: The PubMed, Cochrane Library, Embase, CBM, Wan
fang, the Chinese Scientific Journal Database (VIP), and Chinese National
Knowledge Infrastructure (CNKI) databases were systematically searched for
randomized controlled trials of KFXL combined with ASA for UC from the inception
dates to March 3, 2017. Two researchers independently screened the literature,
extracted data, and evaluated the methodological quality according to the
inclusion criteria. The meta-analysis was performed using Review Manager software
(RevMan, Version 5.3, Copenhagen: The Nordic Cochrane Centre, The Cochrane
Collaboration, 2014), and the risk of bias was assessed using the Cochrane
Collaboration Tool. RESULTS: A total of 39 randomized controlled trials (RCTs)
involving 3204 patients fulfilled the inclusion criteria. Compared with ASA
alone, KFXL combined with ASA significantly improved the clinical effectiveness
rate [RR = 1.19, 95% CI: (1.16, 1.23), P < .00001], reduced the relapse rate [RR
= 0.26, 95% CI: (0.18, 0.38), P < .00001], reduced the inflammation factor levels
of TNF-a, IL-1, IL-6, IL-8, and C-reactive protein, reduced the coagulation index
of fibrinogen, increased the coagulation index of prothrombin time, and mean
platelet volume, and reduced the clinical symptoms of abdominal pain, diarrhoea,
pus and bloody stool, and tenesmus. However, KFXL combined with ASA did not
increase the adverse event incidence [RR = 0.74, 95% CI (0.42, 1.32), P = .31],
and no severe adverse events were reported. CONCLUSION: KFXL combined with ASA
has good therapeutic effect for UC and might be a safe approach in managing UC.
More high-quality, multicenter randomized, double-blind trials with a large
sample size are required to generate a high level of clinical evidence.
PMID- 29794767
TI - Thyroid follicular carcinoma-like renal tumor: A case report and literature
review.
AB - RATIONALE: Thyroid follicular carcinoma-like renal tumor (TFCLRT) is a rare
primary renal epithelial tumor that was first reported in 2006. We report a case
diagnosed of TFCLRT by us to observe the pathological feature and analyze
comparatively the clinical and pathologic characteristics with all cases of
reviewed literatures. PATIENT CONCERNS: A 54-year-old female patient had the
urinary frequency with the symptom of right flank pain with a history of more
than half a year of hypertension and received uterine fibroid resection 12 years
ago. B-mode ultrasound examination and renal magnetic resonance showed a right
renal sinus nodule. DIAGNOSES: Histopathology revealed thyroid follicle-like
structures of different sizes, containing a colloid-like substance, while the
periodic acid-Schiff (PAS) and diastase-resistant PAS staining confirmed that it
was mucus protein. Immunohistochemical staining showed that it expresses the
transcription factor PAX-8 but does not express the thyroid-specific antibodies
TG and TTF-1. INTERVENTIONS: The patient underwent a tumor enucleation of right
kidney. No other treatment was conducted after surgery. OUTCOMES: No metastases
to lymph nodes and other organs were found, and 9-months of follow-up did not
reveal any tumor progression. LESSONS: We should differentially diagnose the
renal metastasis of thyroid follicular carcinoma or papillary carcinoma. Some
related literatures reported that the tumour cells had significant
heteromorphism, several of which metastasized to lymph nodes or distal organs.
Its biological behavior need to be studied intensively by further expanding the
number of cases.
PMID- 29794768
TI - Clinical characteristics and functional status of children with different
subtypes of dyskinetic cerebral palsy.
AB - Dyskinetic cerebral palsy (CP) is the second major subtype of CP. Dyskinetic CP
can be classified into different subtypes, but the exact clinical characteristics
of these subtypes have been poorly studied. To investigate the clinical
characteristics and functional classification of dyskinetic CP from the
perspective of neurologic subtypes in a hospital-based follow-up study.This was
an observational study of consecutive children with dyskinetic CP treated at The
Affiliated Women & Children Hospital of Qingdao University (China) from October
2005 to February 2015. The children were stratified according to their neurologic
subtype and assessed with the Gross Motor Function Classification System (GMFCS),
Manual Ability Classification System (MACS), and Communication Function
Classification System (CFCS). MRI scanning was conducted at 1 year of age for
most children.Twenty-six participants (28.0%) had dystonic CP, 26 (28.0%) had
choreoathetotic CP, and 41 (44.1%) had mixed CP. Auditory impairment and basal
ganglion lesions occurred more frequently in the dystonia group (n = 8, 31%; and
n = 16, 67%), while seizures, microcephaly, white matter lesions, and mixed
lesions were more frequent in the mixed type (n = 14, 34%; n = 10, 24%; n = 15,
41%; n = 12, 32%). Functional classification levels were distributed unequally
among the 3 subgroups (P < .01). No significant difference between GMFCS and MACS
was found among the 3 subgroups (P > .05).Different subtypes of dyskinetic CP
have specific comorbidities, radiological characteristics, and functional
attributes according to their etiological factors and brain lesions. Children
with dystonic CP have more limited functional status than children with
choreoathetotic CP.
PMID- 29794769
TI - Nonoperative manipulative reduction with Chinese herbs for the treatment of a
displaced olecranon fracture: A case report.
AB - RATIONALE: Displaced olecranon fracture is a common injury following a fall or
direct trauma to the elbow. There have been no reports of patients with a
displaced olecranon fracture who have only received nonoperative manipulative
reduction with Chinese herbs. PATIENT CONCERNS: The patient was a 64-year-old
woman with a complex elbow injury that occurred in a traffic accident. The
patient complained of severe, painful limitation of motion on straightening or
bending. DIAGNOSES: The patient was diagnosed with a displaced fracture of the
left olecranon (type IIA olecranon fracture according to the Mayo classification
system). INTERVENTIONS: The patient underwent nonoperative manipulation with
Chinese herbs. OUTCOMES: The fracture was successfully reduced. After 3 to 4
months of follow-up, severe pain and disability in the elbow were improved
following reduction of the left olecranon fracture in which there was no longer a
displacement. LESSONS: Nonoperative manipulative reduction performed by a well
trained physician with Chinese herbs may be a treatment option for displaced
olecranon fractures.
PMID- 29794770
TI - Traumatic fractures resulting from collisions in children and adolescents: A
retrospective observational study.
AB - To investigate the incidence and pattern of child and adolescent (<=18 years old)
traumatic fractures (TFs) as a result of collisions.We retrospectively reviewed
270 child and adolescent patients (228 males and 42 females aged 12.8 +/- 5.1
years old) with TFs as a result of collisions admitted to our university
affiliated hospitals from 2001 to 2010. The incidence and patterns were
summarized with respect to different age groups, sex, etiology, and whether the
patient presented with nerve injury.The most common etiologies were struck by
object (105, 38.9%) and wounded by person (74, 27.4%). The most common fracture
sites were upper limb fractures (126, 46.7%) and craniofacial fractures (82,
30.4%). A total of 65 (24.1%) patients suffered a nerve injury. The frequency of
early and late complications/associated injuries was 35.6% (n = 96) and 8.5% (n =
23), respectively. The mean age (P = .001) and frequency of wounded by person (P
= .038) was significantly larger in male than in female patients. The frequency
of earthquake injury (P < .001) and lower limb fractures (P = .002) was
significantly larger in females than in male patients. The frequency of upper
limb fracture was significantly higher in the wounded by machine group (83.3%)
than in the other groups (all P < .05). The frequency of lower limb fractures was
significantly higher in the earthquake injury group (64.7%) than in the other
groups (all P < .05). The frequency of craniofacial fracture was significantly
higher in the wounded by person group (54.1%) than in the other groups (all P <
.05). The emergency admission rate (P = .047), frequency of wounded by person (P
< .001), craniofacial fracture (P < .001), and early complications/associated
injuries (P < .001) were significantly larger in patients with nerve injury than
in other patients.Struck by object and upper limb fractures were the most common
etiology and site, respectively. Wounded by person and craniofacial fractures
were risk factors for nerve injury. Therefore, we should pay more attention to
patients wounded by person, presenting with craniofacial fracture, to find
whether there is nerve injury.
PMID- 29794771
TI - Function scores of different surgeries in the treatment of knee osteoarthritis: A
PRISMA-compliant systematic review and network-meta analysis.
AB - BACKGROUND: Osteoarthritis (OA) is the third most common diagnosis made by
general practitioners in older patients. The aim of this study was to compare the
function scores of different surgeries in the treatment of knee osteoarthritis
(KOA). METHODS: Cohort studies about different surgical treatments for KOA were
included with a comprehensive search in PubMed, Cochrane Library, and Embase. The
standard mean difference (SMD) value was evaluated and the surface under the
cumulative ranking (SUCRA) curve was drawn with a combination of direct and
indirect evidence. A total of 265 eligible patients were enrolled and served as
the nonoperative treatment group, osteotomy group, unicompartmental knee
arthroplasty (UKA) group, total knee arthroplasty (TKA) group, and arthroscopic
surgery group. Before surgery, 6 months after surgery, 1 year after surgery and 5
years after surgery, the hospital for special surgery (HSS) knee score, Lysholm
score, Western Ontario and McMaster Universities Osteoarthritis Index (WOMAC)
score, and American knee society score (KSS) were recorded. RESULTS: A total of 9
cohort studies including 954 patients with KOA were finally enrolled into the
study. The network-meta analysis revealed that osteotomy and UKA treatments
showed a better efficacy on improving the function score. Our cohort study
further confirmed that, a higher HSS knee score after 1 year and higher Lysholm
score after 6 months and 1 year were observed in the osteotomy and UKA groups,
while better HSS knee score and KSS after 6 months and 1 year were showed in the
osteotomy and TKA groups. In the TKA group, Lysholm score and KSS were higher and
WOMAC score was lower after 5 years than other groups. WOMAC score was lowest in
the UKA group after 6 months, 1 year and 5 years of surgery. CONCLUSION: These
results provide evidence that function scores of patients with KOA were improved
by osteotomy, UKA, TKA, and arthroscopic surgery. And osteotomy and UKA showed
better short-term efficacy, while TKA appeared better long-term efficacy.
PMID- 29794772
TI - A case report of apical aneurysms and myocardial perfusion deficit with
myocardial necrosis due to hypertrophic cardiomyopathy.
AB - RATIONALE: Hypertrophic cardiomyopathy (HCM) is a disease that is characterized
by inappropriate left ventricular and/or right ventricular hypertrophy and
hypercontractility that is often asymmetrical and associated with microscopic
evidence of myocardial fiber disarray. The aim of this study was to present a
previously under-recognized subset of HCM patients with left ventricular (LV)
apical aneurysms. PATIENTS CONCERNS: A 33-year-old man who presented with chest
discomfort for 10 days. He had an emerging apical aneurysm in the LV without
midventricular obstruction. He had been diagnosed with apical HCM via abnormal
electrocardiograms (ECG) and single-photon emission computed tomography (SPECT)
for 10 years. This time, a new significant change in ECG and SPECT was
identified. Late gadolinium enhancement (LGE) was observed by cardiac magnetic
resonance imaging (MRI), and SPECT showed myocardial fibrosis or necrosis
involving the apical aneurysm and proximal portion of the heart, which was
confirmed by left ventriculography. DIAGNOSES: We present a relatively rare case
of HCM patients with apical aneurysms, accompaning by myocardial necrosis markers
increased due to ventricular muscle stress increases, rather than obstructive
coronary artery disease. INTERVENTIONS: The patient was prescribed aspirin,
metoprolol tartrate, perindopril, and atorvastatin and was strongly advised to
quit cigarettes and reduce weight. OUTCOMES: Follow-up at half a year turned out
well. LESSONS: LGE with a notable progression by ECG and SPECT along with an
increase in myocardial necrosis markers in HCM patients with apical aneurysms, as
was noted in the present case, is a relatively rare occurrence. Our present case
may provide unique insights into the adverse remodelling process and the
formation of apical aneurysms in HCM patients.
PMID- 29794773
TI - Low preoperative fibrinogen level is risk factor for neurological complications
in acute aortic dissection.
AB - Aortic arch surgery in patients with acute aortic dissection is frequently
complicated by neurological complications and coagulopathy. However, the
relationship between the coagulation system and neurological complications in
patients with acute aortic dissection has not been clarified. Thus, the aim of
this study was to investigate the relationship between the coagulation system and
neurological complications in patients with acute aortic dissection.From
September 2014 to January 2016, a total of 126 patients with acute type A aortic
dissection were enrolled. Perioperative characteristics and standard laboratory
tests upon admission were analyzed using univariate and multivariate logistic
regression analysis in this study. The primary outcome was the correlation
between the coagulation system and neurological complications.Univariate logistic
regression analysis showed that the neurological complications (+) group
underwent more serious and complicated postoperative outcomes. Multivariable
logistic regression analysis revealed serum creatinine level (OR, 1.049; 95% CI,
1.011-1.089; P = .01), white blood cell counts (OR, 1.581; 95% CI, 1.216-2.057; P
= .001) and fibrinogen concentration upon admission (OR, 0.189; 95% CI, 0.060
0.596; P = .004) as predictors of neurological complications. However, we found
that there was no association between the coagulation system and in-hospital
mortality.Low preoperative fibrinogen level is the preferred marker for
predicting clinical neurological complications in patients with acute type A
aortic dissection treated with surgical repair.
PMID- 29794774
TI - Transarterial chemoembolization for unresectable hepatocellular carcinoma: A
comparison of the efficacy and safety of 2 embolic agents.
AB - The aim of this study was to compare the efficacy and safety of 2 different
embolic agents, namely gelatin sponge particle (GSP) and Lipiodol, for
transarterial chemoembolization (TACE) of unresectable hepatocellular carcinoma
(HCC).We retrospectively reviewed 87 consecutive patients with unresectable HCC
who underwent Lipiodol TACE with lobaplatin and 87 consecutive patients with
unresectable HCC who underwent GSP TACE with lobaplatin between January 2013 and
June 2017 in our institution as the initial treatment. Both groups were compared
considering the clinical and laboratory outcomes and imaging findings before and
after TACE. Tumor response and adverse events were also evaluated.There was
significant difference in the rate of complete and overall response between the
groups (P = .029 and .001, respectively), specifically when the tumor size was >5
cm (P = .001). The disease control rate was significantly better in the GSP group
than in the Lipiodol group (94.3% vs. 86.4%, P = .011). The response differences
in higher stages were significant between the 2 groups (P = .035 and .007,
respectively). The grades of adverse events were also significantly different
between the groups (P = .000).GSP-as an embolic agent in TACE for HCC-could
significantly increase the rate of tumor response 1 month after treatment,
especially in large tumors, without any significant increase in severe adverse
events, when compared to Lipiodol.
PMID- 29794775
TI - Predicting epidermal growth factor receptor gene amplification status in
glioblastoma multiforme by quantitative enhancement and necrosis features
deriving from conventional magnetic resonance imaging.
AB - To study whether some of the quantitative enhancement and necrosis features in
preoperative conventional MRI (cMRI) had a predictive value for epidermal growth
factor receptor (EGFR) gene amplification status in glioblastoma multiforme
(GBM).Fifty-five patients with pathologically determined GBMs who underwent cMRI
were retrospectively reviewed. The following cMRI features were quantitatively
measured and recorded: long and short diameters of the enhanced portion (LDE and
SDE), maximum and minimum thickness of the enhanced portion (MaxTE and MinTE),
and long and short diameters of the necrotic portion (LDN and SDN). Univariate
analysis of each feature and a decision tree model fed with all the features were
performed. Area under the receiver operating characteristic (ROC) curve (AUC) was
used to assess the performance of features, and predictive accuracy was used to
assess the performance of the model.For single feature, MinTE showed the best
performance in differentiating EGFR gene amplification negative (wild-type)
(nEGFR) GBM from EGFR gene amplification positive (pEGFR) GBM, and it got an AUC
of 0.68 with a cut-off value of 2.6 mm. The decision tree model included 2
features MinTE and SDN, and got an accuracy of 0.83 in validation dataset.Our
results suggest that quantitative measurement of the features MinTE and SDN in
preoperative cMRI had a high accuracy for predicting EGFR gene amplification
status in GBM.
PMID- 29794776
TI - Effects of genetic variants on serum parathyroid hormone in hyperparathyroidism
and end-stage renal disease patients: A systematic review and meta-analysis.
AB - BACKGROUND: Parathyroid hormone (PTH) is one of the principal regulators of
calcium homeostasis, crucial for normal functioning of the kidneys, bones, heart,
and nervous system. Different pathologic conditions can affect serum PTH level
resulting in hyperparathyroidism or hypoparathyroidism. Our study assessed the
association of previously reported polymorphisms with the level of PTH (expressed
in pg/mL) among individuals with different pathologic conditions affecting PTH
level. METHODS: We searched Web of Science, MEDLINE, and Scopus to identify
relevant articles published up to July 2017. The search yielded 6967 publications
of which 44 fulfilled the inclusion criteria. We conducted meta-analyses for
calcium-sensing receptor gene (CaSR) rs1801725 polymorphism in patients with
primary hyperparathyroidism and vitamin D receptor gene (VDR) rs1544410
polymorphism in patients with end-stage renal disease (ESRD). RESULTS: None of
the polymorphisms were significantly associated with PTH levels in the overall
population. In subgroup analysis by ethnicity for VDR rs1544410 gene
polymorphism, we found significant differences under dominant model (SMD: -0.18 [
0.32, -0.05], P < .01) and AA versus GG comparison (SMD: -0.29 [-0.52, -0.06], P
< .01) in Asian patients with ESRD, while nominally significant results (P < .05)
were observed for AG versus GG and AA versus GG comparisons in European
individuals with ESRD. CONCLUSION: Scientific evidence of genetic association of
serum PTH level among individuals with different pathologic conditions remains
deficient and published results provide weak evidence. Further well-conducted
studies on larger sample sets designed according to evidence-based principles are
warranted to assure clinically applicable findings.
PMID- 29794777
TI - A novel mutation in the ABCD1 gene of a Chinese patient with X-linked
adrenoleukodystrophy: Case report.
AB - RATIONALE: X-linked adrenoleukodystrophy (X-ALD) is the most common peroxisomal
disorder, which is inherited as an X-linked recessive trait. ATP binding cassette
subfamily D member 1 (ABCD1) localized to Xq28 is the only gene associated with
ALD. PATIENT CONCERNS: We report a case of Chinese boy with childhood cerebral
ALD, who began experiencing symptoms at the age of 5 years and 2 months. Very
long chain fatty acids analysis revealed high levels of C24/C22 ratio and C26/C22
ratio in the plasma. Magnetic resonance imaging (MRI) showed abnormal bilateral
white matter lesions in brainstem, temporal, occipital, and parietal lobes.
DIAGNOSES: Direct sequencing of the ABCD1 gene identified a novel c.1502del
mutation on exon 6, which causes a substitution of the 501st amino acid from
methionine to serine and finally the 557th codon is changed to stop codon.
INTERVENTIONS: Special education and rehabilitation therapy. OUTCOMES: The
disease progressed rapidly and resulted in death at the age of 8 years. LESSONS:
Early detection of mutations in the ABCD1 gene may facilitate diagnosis, genetic
counseling and potentially aid prenatal diagnosis of the disease.
PMID- 29794778
TI - Preoperative ANemiA among the elderly undergoing major abdominal surgery (PANAMA)
study: Protocol for a single-center observational cohort study of preoperative
anemia management and the impact on healthcare outcomes.
AB - INTRODUCTION: Preoperative anemia and old age are independent risk factors for
perioperative morbidity and mortality. However, despite the high prevalence of
anemia in elderly surgical patients, there is limited understanding of the impact
of anemia on postoperative complications and postdischarge quality of life in the
elderly. This study aims to investigate how anemia impacts elderly patients
undergoing major abdominal surgery in terms of perioperative morbidity, mortality
and quality of life for 6 months postoperatively. METHODS AND ANALYSIS: We will
conduct a prospective observational study over 12 months of 382 consecutive
patients above 65 years old, who are undergoing elective major abdominal surgery
in Singapore General Hospital (SGH), a tertiary public hospital. Baseline
clinical assessment including full blood count and iron studies will be done
within 1 month before surgery. Our primary outcome is presence of morbidity at
fifth postoperative day (POD) as defined by the postoperative morbidity survey
(POMS). Secondary outcomes will include 30-day trend of POMS complications,
morbidity defined by Clavien Dindo Classification system (CDC) and Comprehensive
Complication Index (CCI), 6-month mortality, blood transfusion requirements, days
alive out of hospital (DaOH), length of index hospital stay, 6-month readmission
rates and Health Related Quality of Life (HRQoL). HRQoL will be assessed using
EuroQol five-dimensional instrument (EQ-5D) scores at preoperative consult and at
1, 3, and 6 months. ETHICS AND DISSEMINATION: The SingHealth Centralised
Institutional Review Board (CIRB Ref: 2017/2640) approved this study and consent
will be obtained from all participants. This study is funded by the National
Medical Research Council, Singapore (HNIG16Dec003) and the findings will be
published in peer-reviewed journals and presented at academic conferences.
Deidentified data will be made available from Dryad Repository upon publication
of the results.
PMID- 29794779
TI - Efficacy and safety of oral zinc sulfate in the prevention of chemotherapy
induced oral mucositis: Protocol for a meta-analysis of randomized controlled
trials.
AB - BACKGROUND: Oral mucositis has been an extremely serious complication resulted
from cytotoxic effects of the chemotherapy among cancer patients. Several
randomized controlled trials investigated the efficacy of zinc sulfate in
prevention of this morbid condition among cancer patients undergoing
chemotherapy, however conclusive findings has not yet been generated. This
systematic review will assess the efficacy and safety of oral zinc sulfate for
chemotherapy-induced oral mucositis. METHODS: We will electronically search all
potential citations in PubMed, EMBASE, and Cochrane Central Register of
Controlled Trials (CENTRAL) from their inception to April 2018. The randomized
controlled trials, which investigated the efficacy of oral zinc sulfate for
chemotherapy-induced oral mucositis will be considered. We will assign 2
independent investigators to perform search, screen citations, extract data, and
appraise risk of bias. And then, the primary investigator will adopt RevMan 5.3
software to complete all statistical analyses. ETHICS AND DISSEMINATION: The
findings from this systematic review and meta-analysis will be submitted to a
peer-reviewed journal for publication. Moreover, we will disseminate all results
in any topic-related conference. REGISTRATION NUMBER: This protocol has been
registered with a number of CRD42018093605.
PMID- 29794780
TI - Sequential development of multifocal recurrent non-Hodgkin's lymphoma of mucosa
associated lymphoid tissue and diffuse large B-Cell lymphoma in a single patient:
A case report.
AB - RATIONALE: Diffuse large B-cell lymphoma (DLBCL) and extranodal marginal zone B
cell lymphoma of mucosa-associated lymphoid tissue (MALT) belong to Non-Hodgkin's
lymphoma (NHL). DLBCL rarely involves the orbit. MALT lymphomas, which account
for 8.0% of NHLs, rarely involve parotid gland, trachea and bronchus. PATIENT
CONCERNS: We present a rare case of a long-surviving patient (>=10 years) with
sequential development of multifocal recurrent non-Hodgkin's lymphoma of mucosa
associated lymphoid tissue (MALT) and diffuse large B-Cell lymphoma (DLBCL). In
August 2007, a 41-year-old man developed MALT lymphoma in the parotid gland and
local irradiation was administered. In July 2008, he exhibited systemic
multifocal lymphadenopathy and was diagnosed with DLBCL. He received standard
combination chemotherapy and autologous hematopoietic stem cell transplantation.
He was well until February 2013 when he developed MALT lymphoma of the bronchus.
Subsequently, he received standard combination chemotherapy. In November 2013,
the patient had a relapse of the MALT lymphoma by tracheal biopsy and received
local radiation. He was well until March 2015 when he developed a MALT lymphoma
of the left thigh. He underwent surgery, local irradiation and rituximab
monotherapy. In September 2015, surgical resection of the left orbital masses was
performed, and the biopsy revealed the presence of DLBCL. One month later,
lymphadenopathy was palpated in the neck, the lower left region of the umbilicus,
and the left calf. Then he received chemotherapy with rituximab and lenalidomide.
In March 2016, the patient underwent surgical resection for a right popliteal
mass, and the resection biopsy revealed DLBCL. To date, the patient is still
alive. DIAGNOSES: The patient was diagnosed as multifocal recurrent MALT and
DLBCL. INTERVENTIONS: Repeated positron emission tomography-computed tomography
(CT) and biopsy were performed. OUTCOMES: CT and biopsy revealed sequential
development of multifocal recurrent NHLs of MALT lymphoma and DLBCL. The
correlation between MALT and DLBCL may represent a Richter transformation.
Standard treatments, such as combination chemotherapy, autologous hematopoietic
stem cell transplantation, and irradiation, may be driving factors for phenotypic
changes in neoplastic cells. LESSONS: Physicians should pay particular attention
to the long-term development of other types of NHL after achieving complete
remission of one type of NHL.
PMID- 29794781
TI - Adiponectin is not associated with renal function decline in community-dwelling
elderly adults.
AB - Adiponectin secreted by adipocytes plays an important role in the regulation of
glucose and fatty acid metabolism. Contrary to findings in patients with chronic
kidney disease (CKD), no prospective data about the association of serum
adiponectin with renal function decline in the general population have yet
appeared. Our objective was to analyze the relationship of total and high
molecular weight (HMW) adiponectin with renal function decline as measured by
cystatin C in community-dwelling elderly adults without moderate or severe CKD.In
a prospective observational analysis, a total of 216 healthy elderly volunteers
with eGFRcys >=60 mL/min/1.73 m underwent anthropometric and laboratory tests at
baseline and at follow-up visits. A subgroup with serum samples collected 5 years
apart was further analyzed.There were no differences in either total or HMW
adiponectin level between subjects subsequently undergoing rapid renal function
decline and subjects with normal physiologic renal function decline (P = .71, P =
.81). On univariate linear regression, neither total nor HMW adiponectin were
associated with annual renal function decline (beta = -0.23; P = .71, beta =
0.057; P = .90). Multivariate analysis did not show a significant contribution of
either total or HMW adiponectin to annual renal function decline (beta = -0.50; P
= .46, beta = 0.01; P = .98). In the logistic regression analysis, we did not
observe any statistically significant association of serum adiponectin levels
with rapid renal function decline or incidence of CKD.Contrary to findings in
populations with CKD, neither total nor HMW adiponectin had a substantial
association with renal function decline in an elderly population with eGFRcys
>=60 mL/min/1.73 m. Our results and conclusions should not be extrapolated to
subjects with other characteristics.
PMID- 29794782
TI - Effect of intra-coronary administration of tirofiban through aspiration catheter
on patients over 60 years with ST-segment elevation myocardial infarction
undergoing percutaneous coronary intervention.
AB - The aim of this study was to compare the efficacy and safety of 2 approaches for
intra-coronary administration of tirofiban (aspiration catheter versus guiding
catheter) in patients over 60 years of age undergoing percutaneous coronary
intervention (PCI) for ST-segment elevation myocardial infarction (STEMI). It has
been suggested that the administration of tirofiban by intra-coronary injection
could promote drug absorption in the diseased region and enhance the inhibition
of platelet aggregation, decreasing bleeding rates, but little is known about the
comparative efficiency and safety of using guiding catheter versus aspiration
catheter for delivery.Eighty-nine patients over 60 years of age with STEMI
undergoing PCI were randomly divided into 2 groups according to the injection
route for intracoronary administration of tirofiban [guiding catheter (n = 41)
and aspiration catheter (n = 48)]. Baseline features, epicardial and myocardial
perfusion, major adverse cardiac and cerebrovascular events (MACCEs), and
bleeding rate were compared.No differences in age, gender, and history of
hypertension, hypercholesterolemia, diabetes, and so on were observed (P > .05).
The patients in the aspiration catheter group generally had a higher incidence of
cerebral vascular disease. Compared with those in the guiding catheter group,
patients in the aspiration catheter group obtained more favorable myocardial
perfusion (P < .05). In-hospital and at 3-month and 6-month follow-ups, the MACCE
rate and frequency of bleeding events were similar between the 2 groups (P >
.05).Intra-coronary delivery of tirofiban through aspiration catheter led to
better myocardial perfusion in STEMI patients over 60 years of age undergoing PCI
compared with intra-coronary injection of tirofiban through guiding catheter. The
2 delivery routes were associated with similar rates of MACCEs and bleeding
events.
PMID- 29794783
TI - Neuropathic pain after sarcoma surgery: Prevalence and predisposing factors.
AB - Surgery for sarcoma frequently causes nerve damage as the dissection often
violates the internervous plane. Nerve damage may cause neuropathic pain (NP),
which can result in persistent pain after surgery. This is the first study to
investigate the prevalence and associated factors of postoperative NP in patients
who underwent surgery for sarcoma of the extremities or pelvis.Patients (n = 144)
who underwent curative surgery at least 6 months prior to the visit for
histologically confirmed sarcoma were enrolled. The presence of NP was assessed
by administering PainDetect, a widely used questionnaire for detecting NP.
Patients with PainDetect scores >=13 were considered to have NP. The possible
factors that might be associated with the development of NP were investigated:
patient characteristics, tumor characteristics, extent of surgery, and adjuvant
therapy.Out of 144 patients, 36 patients (25%) had NP. Patients with NP had
significantly worse visual analog scale score (P < .001), Toronto Extremity
Salvage Score (P < .001), and Musculoskeletal Tumor Society Rating Scale score (P
< .001) than patients without NP. Among the possible factors associated with NP,
patients with NP were more likely to have undergone pelvic surgery (P = .002) and
multiple surgeries (P = .014) than patients without NP. In logistic regression
analysis, pelvic surgery (odds ratio = 5.05, P = .005) and multiple surgeries
(odds ratio = 2.33, P = .038) were independent factors associated with NP after
sarcoma surgery.This study suggests that the prevalence of NP after surgery for
sarcoma is considerable. Surgery of the pelvis and multiple surgeries are
predictive of postoperative persistent NP.
PMID- 29794784
TI - Cortical thickness contributes to cognitive heterogeneity in patients with type 2
diabetes mellitus.
AB - The aim of this study was to investigate cerebral cortical thickness alterations
in patients with type 2 diabetes mellitus (T2DM) and their association with mild
cognitive impairment (MCI).Thirty T2DM patients without MCI, 30 T2DM patients
with MCI, and 30 healthy controls were recruited. All subjects underwent high
resolution sagittal T1-weighted structural imaging using a 3-dimensional
magnetization prepared rapid acquisition gradient echo (MPRAGE) sequence. The
cortical thicknesses of the whole brain of the 3 groups were analyzed and
compared using analysis of variance (ANOVA) test. Partial correlations between
the cortical thicknesses of each brain region and standard laboratory testing
data were analyzed for the T2DM without MCI group. The associations between
cortical thicknesses and neuropsychological scale scores were also analyzed in
the T2DM with MCI group.Compared with the healthy controls, the T2DM without MCI
group showed statistically significant reduction in the cortical thickness of the
left posterior cingulate gyrus, right isthmus cingulate gyrus, middle temporal
gyrus, paracentral lobule, and transverse temporal gyrus. No significant
correlation was found between the standard laboratory testing data and the
cortical thicknesses of these cerebral regions. Compared with the T2DM without
MCI group, the cortical thickness alterations in the T2DM with MCI group were
bidirectional. Increased cortical thickness was found in the left parahippocampal
gyrus and the right isthmus cingulate gyrus. Decreased cortical thickness was
observed in the left pars triangularis and the right pars opercularis.
Significant correlations were found between the cortical thickness of the right
pars opercularis and the Complex Figure Test-delayed recall scores (r = 0.464,
rho = 0.015), Trail Making Test A consuming time (r = -0.454, rho = 0.017), and
Montreal Cognitive Assessment scores (r = 0.51, rho = 0.007).T2DM could influence
the gray matter of several brain regions. The cortical thickness reduction of the
right pars opercularis may be a biomarker of cognitive impairment and play an
important role in its pathophysiological mechanism.
PMID- 29794785
TI - Association of various glycemic variability indices and vascular outcomes in type
2 diabetes patients: A retrospective study.
AB - Both blood glucose (BG) level and glycemic variability (GV) significantly
associate with diabetes-related complications. However, the criterion standard in
GV assessment is absent. We aimed to compare different GV indices in association
of vascular outcomes.Ten commonly used GV indices based on self-monitored BG data
were calculated, and their associations of vascular outcomes including coronary
artery disease (CAD), stroke, and chronic kidney disease (CKD) were compared.In
total, 288 type 2 diabetes patients (66.5 +/- 11.1 years old) were included in
present analysis. Spearman correlation analysis showed that only mean amplitude
of glycemic excursions (MAGE) significantly correlated with both estimated
glomerular filtration rate and urinary albumin creatinine ratio (P <= .03). In
Cochran-Armitage trend test, vascular outcomes were significantly associated with
the increment of BG risk index and MAGE (P <= .03). After adjustment for
potential confounders, multiple logistic regression results suggested that BG
risk index and MAGE still significantly associated with these three vascular
outcomes (P <= .01), whereas the other GV indices did not. Receiver operating
characteristic curve analysis showed that the abilities of BG risk index and MAGE
were similar in identifying CAD, stroke, or CKD.BG risk index and MAGE were
better associated with vascular outcomes than other GV indices in type 2 diabetes
patients.
PMID- 29794786
TI - Identification of differentially expressed genes in childhood asthma.
AB - Asthma has been the most common chronic disease in children that places a major
burden for affected people and their families.An integrated analysis of
microarrays studies was performed to identify differentially expressed genes
(DEGs) in childhood asthma compared with normal control. We also obtained the
differentially methylated genes (DMGs) in childhood asthma according to GEO. The
genes that were both differentially expressed and differentially methylated were
identified. Functional annotation and protein-protein interaction network
construction were performed to interpret biological functions of DEGs. We
performed q-RT-PCR to verify the expression of selected DEGs.One DNA methylation
and 3 gene expression datasets were obtained. Four hundred forty-one DEGs and
1209 DMGs in childhood asthma were identified. Among which, 16 genes were both
differentially expressed and differentially methylated in childhood asthma.
Natural killer cell mediated cytotoxicity pathway, Jak-STAT signaling pathway,
and Wnt signaling pathway were 3 significantly enriched pathways in childhood
asthma according to our KEGG enrichment analysis. The PPI network of top 20 up-
and downregulated DEGs consisted of 822 nodes and 904 edges and 2 hub proteins
(UBQLN4 and MID2) were identified. The expression of 8 DEGs (GZMB, FGFBP2, CLC,
TBX21, ALOX15, IL12RB2, UBQLN4) was verified by qRT-PCR and only the expression
of GZMB and FGFBP2 was inconsistent with our integrated analysis.Our finding was
helpful to elucidate the underlying mechanism of childhood asthma and develop new
potential diagnostic biomarker and provide clues for drug design.
PMID- 29794787
TI - The evaluation of acute physiology and chronic health evaluation II score,
poisoning severity score, sequential organ failure assessment score combine with
lactate to assess the prognosis of the patients with acute organophosphate
pesticide poisoning.
AB - The aim of this study was to assess the ability of acute physiology and chronic
health evaluation II (APACHE II) score, poisoning severity score (PSS) as well as
sequential organ failure assessment (SOFA) score combining with lactate (Lac) to
predict mortality in the Emergency Department (ED) patients who were poisoned
with organophosphate.A retrospective review of 59 stands-compliant patients was
carried out. Receiver operating characteristic (ROC) curves were constructed
based on the APACHE II score, PSS, SOFA score with or without Lac, respectively,
and the areas under the ROC curve (AUCs) were determined to assess predictive
value. According to SOFA-Lac (a combination of SOFA and Lac) classification
standard, acute organophosphate pesticide poisoning (AOPP) patients were divided
into low-risk and high-risk groups. Then mortality rates were compared between
risk levels.Between survivors and non-survivors, there were significant
differences in the APACHE II score, PSS, SOFA score, and Lac (all P < .05). The
AUCs of the APACHE II score, PSS, and SOFA score were 0.876, 0.811, and 0.837,
respectively. However, after combining with Lac, the AUCs were 0.922, 0.878, and
0.956, respectively. According to SOFA-Lac, the mortality of high-risk group was
significantly higher than low-risk group (P < .05) and the patients of the non
survival group were all at high risk.These data suggest the APACHE II score, PSS,
SOFA score can all predict the prognosis of AOPP patients. For its simplicity and
objectivity, the SOFA score is a superior predictor. Lac significantly improved
the predictive abilities of the 3 scoring systems, especially for the SOFA score.
The SOFA-Lac system effectively distinguished the high-risk group from the low
risk group. Therefore, the SOFA-Lac system is significantly better at predicting
mortality in AOPP patients.
PMID- 29794788
TI - Efficacy and safety of photodynamic therapy for cervical intraepithelial
neoplasia and human papilloma virus infection: A systematic review and meta
analysis of randomized clinical trials.
AB - BACKGROUND: We sought to conduct a systemic review and meta-analysis of
randomized clinical trials to assess the efficacy and safety of photodynamic
therapy (PDT) in cervical intraepithelial neoplasia (CIN) and cervical human
papilloma virus (HPV) infection. METHODS: The Medline, EMBASE, and Cochrane
Central Register databases were searched using relevant keywords for entries up
to May 1, 2017, irrespective of year of publication. The language was restricted
to English. Randomized clinical trials and qualitative studies comparing PDT and
placebo for CIN or HPV-positive patients were included. We assessed the evidence
quality using a risk of bias graph in RevMan V5.3 and the Grading of
Recommendations Assessment, Development, and Evaluation scoring system. RESULTS:
Of the 168 studies identified, only 4 RCTs met the inclusion criteria for meta
analysis. In all, 292 and 141 patients received PDT or placebo, respectively. PDT
significantly increased the complete remission rate (CRR) among those with CIN
(odds ratio [OR]: 2.51 [1.23-5.12]; P = .01) and HPV infection (OR: 3.82 [1.91
7.65]; P = .0002). The adverse events rate (AER) for PDT was greater than that
for placebo (OR: 13.32 [4.44, 40.02]; P < .00001). The overall evidence quality
was very low. Similarly, in a systematic review including 21 qualitative records,
the CRRs for CIN patients with PDT and cervical HPV infection patients with PDT
were 82.0% and 77.5%, respectively. The AER for PDT was 31.6%, which was lower
than that observed in our meta-analysis (74.6%). CONCLUSIONS: PDT that targets
CIN or cervical HPV infection improves the CRR, but slightly compromises safety.
Further studies are necessary to identify the most effective and least toxic
photosensitizer.
PMID- 29794789
TI - The influence of thyroid diseases, diabetes mellitus, primary
hyperparathyroidism, vitamin B12 deficiency and other comorbid autoimmune
diseases on treatment outcome in patients with rheumatoid arthritis: An
exploratory cohort study.
AB - To investigate the impact of comorbid diseases on rheumatoid arthritis (RA)
outcome.All patients diagnosed with RA since 2006, who were registered in our
local Danbio registry, were included in this cohort study. Patients'
demographics, serology results, and Disease Activity Score in 28 joints-C
reactive protein (DAS28-CRP) at the time of diagnosis and after 4 months of
treatment initiation were collected. Patients' electronic hospital records were
evaluated for a positive history of thyroid diseases, diabetes mellitus, primary
hyperparathyroidism, vitamin B12 deficiency, and the presence of other diagnosed
autoimmune diseases.1035 RA patients were included. The observed prevalence of
thyroid diseases was 11.8%, DM 10.4%, primary hyperparathyroidism 2.8%, vitamin
B12 deficiency 5.8%, and other diagnosed autoimmune diseases 1.6%. There were
significant associations between presence of thyroid diseases and female gender
(P < .001); DM and greater age (P < .001); primary hyperparathyroidism and longer
disease duration (P = .002); other diagnosed autoimmune diseases and antinuclear
antibody positivity (P < .001). RA patients with thyroid diseases (P = .001) and
other comorbid autoimmune diseases (P < .001) had significantly poorer initial
response to the RA treatment compared to patients with isolated RA.Univariate
analyses revealed that age, the presence of thyroid diseases, the presence of
other diagnosed autoimmune diseases and DAS28-CRP at the time of diagnosis were
significantly associated with DeltaDAS28-CRP. Additionally, multivariate analysis
demonstrated that DeltaDAS28-CRP deterioration was significantly correlated to
the presence of thyroid diseases (unstandardized regression coefficient (standard
error); -0.188 (0.088), P = .030) and the presence of other diagnosed autoimmune
diseases (-0.537 (0.208), P = .010).RA patients are at increased risk of specific
comorbidities with possible impact on the treatment outcome. To improve this
situation, periodic assessment of comorbidities should be considered.
PMID- 29794790
TI - Left lung hypoplasia with a right tuberculous pleural effusion after childbirth:
A case report.
AB - RATIONALE: Unilateral hypoplasia of the lung is a rare congenital condition, the
mechanism of which is poorly understood. Primary pulmonary hypoplasia occurring
in an adult is extremely rare and we present what is probably the first case of a
link to a tuberculous pleural effusion in a young woman after childbirth. PATIENT
CONCERNS: Herein, we describe a 31-year-old woman with left lung hypoplasia, and
she not only survived to adulthood without problems, but was able to deliver a
baby in natural labor. DIAGNOSES: Left lung hypoplasia, right tuberculous pleural
effusion. INTERVENTIONS: We initiated an anti-tuberculosis treatment for this
patient with dose adjustments to her weight of isoniazid (0.3 g/day), rifampicin
(0.45 g/day), pyrazinamide (1.5 g/day), and ethambutol (0.75 g/day) for 2 months
then isoniazid and rifampicin for another 4 months. OUTCOMES: Ten days later
after beginning therapy, she became afebrile and the pleural effusion resolved.
No recurrence was observed during a 6-month follow-up period. LESSONS: In
clinical practice, if one sees a chest x-ray revealing complete or incomplete
opacification of a hemithorax with volume loss and history of repeated
respiratory infections, one should consider the possibility of unilateral
pulmonary hypoplasia. In such cases, regular close follow-up is important to
minimize infections and to prevent development of cor pulmonale or respiratory
failure.
PMID- 29794791
TI - Oncogenomic analysis identifies novel biomarkers for tumor stage mycosis
fungoides.
AB - Patients with mycosis fungoides (MF) developing tumors or extracutaneous lesions
usually have a poor prognosis with no cure has so far been available. To identify
potential novel biomarkers for MF at the tumor stage, a genomic mapping of 41
cutaneous lymphoma biopsies was used to explore for significant genes.The gene
expression profiling datasets of MF were obtained from Gene Expression Omnibus
database (GEO). Gene modules were simulated using Weighted Gene Co-expression
Network Analysis (WGCNA) and the top soft-connected genes (hub genes) were
filtrated with a threshold (0.5). Subsequently, module eigengenes were calculated
and significant biological pathways were enriched based on the KEGG database.Four
genetic modules were simulated with 3263 genes collected from the whole genomic
profile based on cutoff values. Significant diseases genetic terminologies
associated with tumor stage MF were found in black module. Subsequently, 13 hub
genes including CFLAR, GCNT2, IFNG, IL17A, IL22, MIP, PLCG1, PTH, PTPN6, REG1A,
SNAP25, SUPT7L, and TP63 were shown to be related to cutaneous T-cell lymphoma
(CTCL) and adult T-cell lymphoma/leukemia (ATLL).In summary, in addition to the
reported genes (IL17F, PLCG1, IFNG, and PTH) in CTCL/ATLL, the other high
instable genes may serve as novel biomarkers for the regulation of the biological
processes and molecular mechanisms of CTLT (MF/SS).
PMID- 29794792
TI - Simultaneous involvement of 11q23 translocation resulting in chimeric MLL-AFF1
and a second translocation [t (9;21) (p13; p11.2)] in an infant acute
lymphoblastic leukemia patient at relapse: A case report.
AB - RATIONALE: Three-way translocations occasionally occur in MLL-AFF1 fusion and
other fusion gene. However, the complex chromosomal rearrangements in the study
were the first report. PATIENT CONCERNS: We present novel cryptic and complex
chromosomal rearrangements [der (21) t (9; 21) (p13; p11.2)] in an infant patient
with relapsed acute lymphoblastic leukemia (ALL). DIAGNOSES: The diagnosis was
based on morphologic, cytochemical, and immunophenotypic criteria proposed by the
French-American-British Committee, and karyotype, fluorescence in situ
hybridization, array comparative genomic hybridization. INTERVENTIONS: The
patient was given chemotherapy with standard protocol for ALL. OUTCOMES: The
patient had unfavorable prognostic outcome based on the cytogenetic and molecular
cytogenetic markers. After short remission, the patient relapsed. LESSONS: MLL
AFF1, resulting from t(4;11)(q21;q23), is regarded as the hallmark of infant
t(4;11) pre-B/mixed B-ALL. It is associated with a dismal prognosis and the
multiple-way translocation involving chromosomes 4, 11 and 11 may function as an
enhancer.
PMID- 29794793
TI - Effect of acupuncture and its influence on visceral hypersensitivity in IBS-D
patients: Study protocol for a randomized controlled trial.
AB - BACKGROUND: Irritable bowel syndrome (IBS) is a common functional
gastrointestinal disorder associated with visceral hypersensitivity. Increased
expression of colonic TRPV1 and decreased expression of microRNA-199 are
implicated in the pathogenesis of visceral hypersensitivity in IBS-D patients.
Acupuncture is one of the frequently used complementary and alternative therapies
for the treatment of IBS. The existing clinical studies mostly use IBS-SSS or
other subjective scales, so there is a lack of objective biochemical evidence
regarding the effect of acupuncture on IBS. Therefore, we designed this study to
investigate whether acupuncture alleviate visceral hypersensitivity by
influencing the expression of TRPV1 and microRNA-199. METHOD: This study is a
randomized, sham-controlled trial involving 40 patients and 10 healthy
volunteers. A total of 40 eligible patients with IBS-D will be randomly assigned
to a traditional acupuncture group or sham acupuncture group in a 1:1 ratio.
Patients will receive 3 acupuncture treatment sessions per week for 12
consecutive weeks, for a total of 36 sessions during the study. The primary
outcome measure is the IBS-Symptom Severity Score (IBS-SSS). Secondary outcomes
are Visceral Pain Scale and levels of TRPV1 and microRNA-199 in colonic tissues.
Healthy volunteers will not receive any clinical intervention. The safety of
interventions will be assessed at every visit. DISCUSSION: The purpose of this
trial is to evaluate the efficacy of acupuncture for IBS-D through IBS-SSS and
Visceral Pain Scale. Furthermore, we want to explore the intervention mechanism
of acupuncture in improving visceral hypersensitivity by analyzing the colonic
TRPV1 and microRNA-199. TRIAL REGISTRATION: This trial is registered with Chinese
Clinical Trials Register, ChiCTR-IOR-
17010860(http://www.chictr.org.cn/showproj.aspx?proj=18445).
PMID- 29794795
TI - Expression profile of circular RNAs in infantile hemangioma detected by RNA-Seq.
AB - BACKGROUND: Circular RNAs (circRNAs) have emerged as a novel class of widespread
non-coding RNAs, and they play crucial roles in various biological processes.
However, the characterization and function of circRNAs in infantile hemangioma
(IH) remain elusive. METHODS: In this study, we used RNA-Seq and circRNA
prediction to study and characterize the circRNAs in IH tissue and a matched
normal skin control. Specific circRNAs were verified using real-time polymerase
chain reaction. RESULTS AND CONCLUSION: We found that of the 9811 identified
circRNAs, 249 candidates were differentially expressed, including 124 upregulated
and 125 downregulated circRNAs in the IH group compared with the matched normal
skin control group. A set of differentially expressed circRNAs (in particular,
hsa_circRNA001885 and hsa_circRNA006612 expression) were confirmed using qRT-PCR.
Gene ontology and pathway analysis revealed that compared to matched normal skin
tissues, many processes that were over-represented in IH group were related to
the binding, protein binding, gap junction, and focal adhesion. Specific circRNAs
were associated with several micro-RNAs (miRNAs) predicted using miRanda.
Altogether, our findings highlight the potential importance of circRNAs in the
biology of IH and its response to treatment.
PMID- 29794794
TI - Clear cell carcinoma of the ovary: Clinicopathologic features and outcomes in a
Chinese cohort.
AB - This retrospective analysis aimed to clarify the clinical and pathologic features
of ovarian clear cell carcinoma (OCCC), and to determine the factors predictive
of survival.Data waereextracted from OCCC patients who underwent primary surgery
followed by adjuvant chemotherapy in Obstetrics & Gynecology Hospital of Fudan
University between January2007 and December 2014. Kaplan-Meier survival estimates
and Cox proportional hazards model were used for survival analyses.Of 130
patients (mean age = 56.2 years), 66.2% had stage I disease when the 5-year
overall survival and 5-year disease-free survival were 89.2% and 88.1%,
respectively. Patients frequently presented with large pelvic mass (>10 cm) and
mild-to-moderate elevation of serological CA125 (<=200U/ mL). 60.7% of the cases
at stage III/IV exhibited resistance to platinum-based chemotherapy; 37.69% of
the tumors arose from endometriosis. On multivariate analysis, stage and
chemoresistance were independent prognostic factors predictive for poorer
survival. Survival at stage IC1 (surgical rupture) was comparable to that at
stage IA (capsule intact), whereas survival at stage IC2/IC3 (rupture before
surgery) was significantly worse than that at stage IA.OCCC shows distinct
features compared to other epithelial ovarian cancers. FIGO stage and response to
chemotherapy affect prognosis independently. Arising from endometriosis is not
associated with better survival. Preoperative rupture rather than intraoperative
rupture confers an adverse prognosis in otherwise stage IA disease.
PMID- 29794797
TI - Evaluation of the physical activity level, nutrition quality, and depression in
patients with metabolic syndrome: Comparative study: Erratum.
PMID- 29794796
TI - High preoperative serum leptin level is an independent risk factor for deep vein
thrombosis after total knee arthroplasty in osteoarthritis patients: A
prospective and cross-sectional study.
AB - It suggests that a high leptin level may increase the risk of venous
thromboembolism (VTE) in animal studies. However, clinical studies in this field
are still largely unexplored. Our objective was to evaluate the relationship
between the preoperative serum leptin levels and postoperative VTE incidence in
osteoarthritis (OA) patients who underwent total knee arthroplasty (TKA) at our
institute.We conducted a prospective and cross-sectional study in these OA
patients from March 2014 to March 2016. Preoperative leptin levels were analyzed
by Luminex assays. VTE was assessed preoperatively and on postoperative day 5 and
7. The potential risk factors for VTE were also documented.We enrolled 203 OA
patients. No PE was detected and DVT was diagnosed in 34 patients
postoperatively. There were significant differences between the median leptin
levels in DVT group and non-DVT group [25.13 ng/mL (interquartile range, 14.51
44.31) vs 18.71 ng/mL (8.26-28.99), P = .007]. The relative risk of DVT
significantly increased with natural logarithm (ln) leptin (per SD increase) (OR
2.37, 95% confidence interval (95% CI), 1.29-4.33, P = .005). Multivariate
analyses adjusted for potential confounders showed ln leptin (per SD increase)
was significantly associated with the relative risk of DVT (OR 2.17, 95% CI, 1.01
4.64, P = .046). When patients were subdivided into tertiles according to their
leptin values, the OR for DVT increased with increasing tertiles of serum leptin
(OR 1.03, 95% CI, 1.01-1.06, P for trend = .023).In the present study, our
results indicate that a high preoperative leptin level may be an independent risk
factor for postoperative DVT.
PMID- 29794800
TI - Real role of beta-blockers in regression of left ventricular mass in hypertension
patients: Bayesian network meta-analysis: Erratum.
PMID- 29794799
TI - Malignant external otitis following radiotherapy for oral cancer: A case report.
AB - RATIONALE: Although an ototoxicity is well-known as adverse event of the
radiotherapy, it is not widely known that immunosuppressed patients who underwent
radiotherapy in head and neck region have risk of malignant external otitis.
PATIENT CONCERNS: A 68-year-old man with diabetes, who had been diagnosed as
intraosseous squamous cell carcinoma of the right mandible, underwent surgical
resection. He received a total of 60 Gy/30Fr postoperative radiation. Four months
after the course of radiation, he suffered from right aural fullness, otalgia and
otorrhea. DIAGNOSES: Clinical examination revealed granulation and existence of
Pseudomonas aeruginosa in the external auditory canal. Computed tomography showed
expansive inflammation and erosion in the temporal bone. The patient is elderly
and diabetes. These findings led to the diagnosis of malignant external otitis.
INTERVENTIONS: The ear irrigation and administration of quinolones were started.
Afterwards, fistula was formed in the oral cavity, and connected to the right
external auditory canal. Therefore, irrigations were performed not only from ear
but also from the oral fistula. OUTCOMES: Eight weeks after starting treatment,
the malignant external otitis was completely healed. LESSONS: Physicians should
raise awareness of malignant external otitis in immunosuppressed patients with
oral cancer after radiotherapy.
PMID- 29794801
TI - Combined Superficial and Deep Serratus Plane Block With Bupivacaine,
Dexamethasone, and Clonidine in the Treatment of a Patient With Postmastectomy
Pain Syndrome: A Case Report.
AB - Postmastectomy pain syndrome poses a significant treatment challenge. We present
the case of a 42-year-old woman who presented to our pain clinic with a 16-month
history of postmastectomy pain. We performed a combined superficial and deep
serratus plane block using bupivacaine, dexamethasone, and clonidine. At 1-month
follow-up, the patient had 100% pain relief. At 2-month follow-up, her pain was
5/10. The block was repeated with the same drugs at 3 months with similar pain
relief. This case illustrates the utility of a combined superficial and deep
serratus plane block in postmastectomy pain syndrome with a possible benefit from
added dexamethasone and clonidine.
PMID- 29794798
TI - Colonic metastasis from breast carcinoma detection by CESM and PET/CT: A case
report.
AB - INTRODUCTION: Metastatic spread in invasive lobular carcinoma (ILC) of breast
mainly occurs in bones, gynecological organs, peritoneum, retroperitoneum, and
gastrointestinal (GI) tract. Metastases to the GI tract may arise many years
after initial diagnosis and can affect the tract from the tongue to the anus,
stomach being the most commonly involved site. Clinical presentations are
predominantly nonspecific, and rarely asymptomatic. CEA, CA 15-3, and CA 19-9 may
be informative for symptomatic patients who have had a previous history of breast
cancer. CASE PRESENTATION: We introduce the case of asymptomatic colonic
metastasis from breast carcinoma in a 67-year-old woman followed-up for Luminal A
ILC. Diagnosis was performed through positron emission tomography/computed
tomography (PET/CT) scan and contrast-enhancement spectral mammography (CESM),
steering endoscopist to spot the involved intestinal tract and in ruling out
further dissemination in the breast parenchyma. CONCLUSION: In colonic
metastases, tumor markers might not be totally reliable. In asymptomatic cases,
clinical conditions might be underappreciated, missing local or distant
recurrence. CT and PET/CT scan might be useful in diagnosing small volume
diseases, and steering endoscopist toward GI metastasis originating from the
breast. CESM represents a tolerable and feasible tool that rules out
multicentricity and multifocality of breast localization. Moreover, particular
patients could tolerate it better than magnetic resonance imaging (MRI).
PMID- 29794802
TI - Continuous Erector Spinae Plane Catheter for Analgesia After Infant Thoracotomy:
A Case Report.
AB - The erector spinae plane block is an emerging technique for the provision of
thoracolumbar analgesia with reported pediatric applications. We describe the
placement of a continuous erector spinae plane catheter at the T5-T6 level in a 7
month-old infant who was undergoing thoracotomy for left upper lobectomy due to
congenital pulmonary airway malformation. This technique resulted in outstanding
analgesia without the need for opioid rescue analgesia. This block has a low
degree of technical difficulty and is placed in area devoid of nearby critical
structures and could be used in a number of potentially painful interventions.
PMID- 29794803
TI - Anesthetic Action and "Quantum Consciousness": A Match Made in Olive Oil.
PMID- 29794804
TI - A New Reference Source for Postmortem Body Measurements and Organ Weights in
Neonates and Infants: A Statistical Analysis Based on Sudden Death Classification
(Part 2).
AB - Reference charts for body and organ measurements of neonates and infants were
derived from data on 900 investigations done by the Office of the Chief Coroner
for Ontario. The statistical analyses in this new reference source addressed
deficiencies in sources currently available to pathologists.The present study
also considered whether organ weights differed based on the classification of
infant deaths using the original definition of either sudden infant death
syndrome (SIDS) or sudden unexplained death (SUDS) which considers cases
occurring in an unsafe sleeping environment or under adverse socioeconomic
conditions. Cases of SUDS for both sexes peaked in 5 to 16 weeks of age. The
thymus in the SUDS/SIDS age groups less than 25 weeks weighed more than the
control group. Adrenal weights in SUDS cases between 9 and 16 weeks weighed less
than SIDS cases. This could mean that deaths in unsafe sleep environments are
truly sudden in infants who may have a limited adrenal response to acute hypoxia
but have been unaffected by preceding chronic stressors.
PMID- 29794806
TI - The potential role of pharmacogenomics and biotransformation in hypersensitivity
reactions to paracetamol.
AB - PURPOSE OF REVIEW: The aim of the present review is to discuss recent advances
supporting a role of paracetamol metabolism in hypersensitivity reactions to this
drug. RECENT FINDINGS: Recent developments in the identification of novel
paracetamol metabolites, as well as in allele frequencies and functional effects
of genetic variation leading to the bioavailablity of reactive paracetamol
metabolites, have led to the identification of potential pharmacogenomic and
metabolomic targets in studies seeking mechanisms involved in hypersensitivity
reactions caused by this drug. Particularly relevant are identification of
araquidonate metabolites, identification of specific-binding sequences for
reactive paracetamol metabolite-protein adducts, and studies on the frequencies
and the functional impact of duplication or multiduplication of genes involved in
the formation of reactive metabolites, as well as complete gene deletion or
deleterious mutations in genes involved in the detoxification of paracetamol
reactive metabolites. In addition, recent evidence points to sex, ethnic origin
and age as relevant factors in the production of reactive paracetamol
metabolites. SUMMARY: High inter-individual variability in the production of
reactive paracetamol metabolites exists, and factors leading to increased
bioavailability of reactive paracetamol metabolites are being uncovered.
Additional research is required to link these factors to paracetamol-induced
hypersensitivity reactions.
PMID- 29794805
TI - Usability of Immunohistochemistry in Forensic Samples With Varying Decomposition.
AB - Immunohistochemistry (IHC) is an important diagnostic tool in anatomic and
surgical pathology but is used less frequently in forensic pathology. Degradation
of tissue because of postmortem decomposition is believed to be a major limiting
factor, although it is unclear what impact such degradation actually has on IHC
staining validity. This study included 120 forensic autopsy samples of liver,
lung, and brain tissues obtained for diagnostic purposes. The time from death to
autopsy ranged between 1 and more than 14 days. Samples were prepared using the
tissue microarray technique. The antibodies chosen for the study included KL1
(for staining bile duct epithelium), S100 (for staining glial cells and myelin),
vimentin (for endothelial cells in cerebral blood vessels), and CD45 (for
pulmonary lymphocytes). Slides were evaluated by light microscopy.
Immunohistochemistry reactions were scored according to a system based on the
extent and intensity of the positive stain. An overall correlation between the
postmortem interval and the IHC score for all tissue samples was found. Samples
from decedents with a postmortem interval of 1 to 3 days showed positive staining
with all antibodies, whereas samples from decedents with a longer postmortem
interval showed decreased staining rates. Our results suggest that IHC analysis
can be successfully used for postmortem diagnosis in a range of autopsy samples
showing lesser degrees of decomposition.
PMID- 29794807
TI - Left Main Disease: What Is the Correct Approach to Revascularization?
AB - Percutaneous coronary intervention of the left main coronary artery has evolved
through registries and meta analyses, supported by results from the EXCEL
[Everolimus-Eluting Stents (EES) or Bypass Surgery for Left Main Coronary Artery
Disease] and NOBLE (Percutaneous Coronary Angioplasty versus Coronary Artery
Bypass Grafting in Treatment of Unprotected Left Main Stenosis) trials as an
acceptable alternative to coronary artery bypass grafting in patients with low
and intermediate Syntax scores. Advances in stenting strategies and the
availability of larger diameter drug-eluting stents improve patient safety and
optimize procedural and patient outcomes.
PMID- 29794809
TI - Photobiomodulation or low-level laser therapy in the management of cancer therapy
induced mucositis, dermatitis and lymphedema.
AB - PURPOSE OR REVIEW: There is a large body of evidence supporting the efficacy of
low-level laser therapy (LLLT) also known as PhotoBioModulation (PBM) when used
for the prevention and/or treatment of oral mucositis in patients undergoing
radiotherapy for head and neck cancer, or high-dose chemotherapy regimens. This
review aims at giving the state of the art of this technique in this indication.
RECENT FINDINGS: Recent advances in LLLT/PBM technology, together with a better
understanding of mechanisms involved and dosimetric parameters may lead to the
management of a broader range of complications associated with cancer treatment.
This could enhance patient adherence to cancer therapy, and improve quality of
life and treatment outcomes. SUMMARY: The article discusses LLLT/PBM mechanisms
of action, dosimetry, and safety, and aims to identify some cancer treatment side
effects for which LLLT/PBM may prove to be effective (oral mucositis, radiation
dermatitis, lymphedema). In addition, LLLT/PBM parameters for each of these
complications are suggested and future research directions are discussed.
PMID- 29794808
TI - Acromegalic Cardiomyopathy: An Overview of Risk Factors, Clinical Manifestations,
and Therapeutic Options.
AB - Acromegaly is a rare endocrine disorder that carries a significant burden of
cardiovascular morbidity and mortality. Abnormalities of the growth
hormone/insulin-like growth factor-1 axis in acromegaly lead to the
characteristic cardiovascular manifestations of this disease. One hallmark
feature of the disease is acromegalic cardiomyopathy, a syndrome of progressive
cardiac dysfunction characterized by left ventricular hypertrophy, diastolic
dysfunction, and combined systolic and diastolic dysfunction in the very advanced
stage. In this article, we review the current concepts regarding the
pathophysiology of acromegalic cardiomyopathy and discuss the various risk
factors for its development. We also explore the question of whether the
alterations in cardiac function are reversible with successful biochemical
control of growth hormone excess either medically or surgically.
PMID- 29794810
TI - Supportive care in cancer: quo vadis.
PMID- 29794811
TI - The unbearable lightness of therapeutic algorithms in colorectal liver
metastasis.
PMID- 29794813
TI - Improving Geriatric Care Processes on Two Medical-Surgical Acute Care Units: A
Pilot Study.
AB - The Acute Care for Elders (ACE) Unit model improves cognitive and functional
outcomes for hospitalized elders but reaches a small proportion of patients. To
disseminate ACE Unit principles, we piloted the "Virtual ACE Intervention" that
standardizes care processes for cognition and function without daily geriatrician
oversight on two non-ACE units. The Virtual ACE Intervention includes staff
training on geriatric assessments for cognition and function and on nurse-driven
care algorithms. Completion of the geriatric assessments by nursing staff in
patients aged 65 years and older and measures of patient mobility and prevalence
of an abnormal delirium screening score were compared preintervention and
postintervention. Postintervention, the completion of the assessments for current
functional status and delirium improved (62.5% vs. 88.5%, p < .001) and (4.2% vs.
96.5%, p < .001). In a subsample analysis, in the postintervention period, more
patients were up to the chair in the past day (36.4% vs. 63.5%, p = .04) and the
prevalence of an abnormal delirium screening score was lower (13.6% vs. 4.8%, p =
.16). The Virtual ACE Intervention is a feasible model for disseminating ACE Unit
principles to non-ACE Units and may lead to increased adherence to care processes
and improved clinical outcomes.
PMID- 29794812
TI - Genetic causes of resistance to vitamin K antagonists in Polish patients: a novel
p.Ile123Met mutation in VKORC1 gene.
AB - : Mutations in the genes encoding vitamin K epoxide reductase complex subunit 1
(VKORC1) and cytochrome P450 2C9 (CYP2C9) largely contribute to the inter
individual variations in vitamin K antagonists (VKAs) dose requirements. Up to
50% of the dosage variability can be explained by genetic polymorphisms in these
genes. We sought to identify the mutations responsible for VKA resistance in a
series of Polish patients. Of the 607 patients treated with VKA, 35 (6%)
individuals with the VKA resistance defined as a daily dose of acenocoumarol more
than 8 mg (n = 15, 43%) or warfarin more than 10 mg (n = 20, 57%) were selected
for further mutational analysis using Sanger sequencing (VKORC1) or real-time PCR
genotyping (CYP2C9). The indications for anticoagulant treatment were venous
thromboembolism (n = 28, 80%), atrial fibrillation (n = 6, 17%), or artificial
heart valve (n = 1, 3%). Patients taking medication interfering with VKA were
ineligible. Almost all of VKA-resistant patients (n = 34, 97%) possessed at least
one VKORC1*3 (n = 29, 83%) or VKORC1*4 (n = 15, 43%) haplotypes. In a 70-year-old
man atrial fibrillation patient on the daily acenocoumarol dose of 16 mg, a novel
p.Ile123Met (c.369C>G) VKORC1 mutation was found. In-silico analysis showed that
the p.Ile123Met can functionally underlie the acenocoumarol resistance,
presumably by altering VKA binding. To our knowledge this is the first cohort of
Polish patients resistant to VKA evaluated for the causal genetic background. We
found one new detrimental mutation underlying VKA resistance. Our study
highlights a key role of unidentified environmental factors in VKA resistance in
daily clinical practice.
PMID- 29794814
TI - Comparison of acoustic radiation force impulse elastography and transient
elastography for prediction of hepatocellular carcinoma recurrence after
radiofrequency ablation.
AB - BACKGROUND: To compare the clinical value of acoustic radiation force impulse
(ARFI) elastography and transient elastography (TE) for hepatocellular carcinoma
(HCC) recurrence prediction after radiofrequency ablation (RFA) and to
investigate other predictors of HCC recurrence. PATIENTS AND METHODS: Between
2011 and 2016, 130 patients with HCC who underwent ARFI elastography and TE
within 6 months before curative RFA were prospectively enrolled. Independent
predictors of HCC recurrence were analyzed separately using ARFI elastography and
TE. ARFI elastography and TE accuracy to predict HCC recurrence was determined by
receiver operating characteristic curve analysis. RESULTS: Of all included
patients (91 men; mean age, 63.5 years; range: 43-84 years), 51 (42.5%)
experienced HCC recurrence during the follow-up period (median, 21.9 months). In
multivariable analysis using ARFI velocity, serum albumin and ARFI velocity
[hazard ratios: 2.873; 95% confidence interval (CI): 1.806-4.571; P<0.001] were
independent predictors of recurrence, and in multivariable analysis using TE
value, serum albumin and TE value (hazard ratios: 1.028; 95% CI: 1.013-1.043;
P<0.001) were independent predictors of recurrence. The area under the receiver
operating characteristic curve of ARFI elastography (0.821; 95% CI: 0.747-0.895)
was not statistically different from that of TE (0.793; 95% CI: 0.712-0.874) for
predicting HCC recurrence (P=0.827). The optimal ARFI velocity and TE cutoff
values were 1.6 m/s and 14 kPa, respectively. CONCLUSION: ARFI elastography and
TE yield comparable predictors of HCC recurrence after RFA.
PMID- 29794816
TI - Antiretroviral implants for treatment and prevention of HIV infection.
AB - PURPOSE OF REVIEW: Poor adherence to oral antiretroviral formulations remains the
major barrier to the success of long-term treatment and prevention strategies.
Although a number of approaches have been developed for long-acting parenteral
delivery of antiretroviral drugs, subcutaneous implants are a particularly
promising technology as they may be able to provide protective drugs
concentrations for a year or longer following a single implant. This review
addresses the current status of preclinical and clinical development of
antiretroviral implants. RECENT FINDINGS: Subcutaneous implants have been widely
used for hormonal contraception and the treatment of hormonally driven
malignancies for more than 3 decades. These implants are economical to
manufacture and deliver, but require special procedures for insertion and
removal. They are generally well tolerated and can remain in place for as long as
5 years. A small number of investigational implants are under development for the
delivery of antiretroviral drugs. The most advanced of these, containing the
investigational antiretroviral MK-8591 (4'-ethynyl-2-fluoro-2'-deoxyadenosine), a
potent nucleoside analog reverse transcriptase translocation inhibitor that
demonstrates particular promise for subcutaneous implantation, is closest to
testing in human subjects. Investigational implants containing tenofovir
alafenamide and nevirapine have also been developed and tested in animal models.
SUMMARY: Long-acting antiretroviral implants are a promising new technology, but
are in very early stages of development. Potential advantages of these systems
include more consistent and predictable drug release than intramuscular
injections, and the fact that implants can be removed in the case of adverse
events or the desire to stop treatment.
PMID- 29794817
TI - Doravirine: a review.
AB - PURPOSE OF REVIEW: The current review addresses the role of doravirine (DOR), a
novel once-daily nonnucleoside reverse transcriptase inhibitor (NNRTI) in first
line therapy at a time in which multiple options are available, and issues of
antiviral efficacy, safety, simplicity and cost are critical to make informed
decisions. RECENT FINDINGS: DOR combination regimens have been tested in two
large randomized double-blinded clinical trials in treatment-naive patients,
showing noninferiority to ritonavir-boosted darunavir-based and efavirenz (EFV)
based regimens. The main features of DOR are reviewed in this report including
its antiviral activity, genetic barrier to resistance, safety, once-daily dosing
and coformulation in a single tablet with tenofovir disoproxil fumarate and
lamivudine. DOR pharmacokinetics and drug-drug interactions are also reviewed as
DOR can be given without food restriction and has no interaction with proton pump
inhibitors. DOR has shown a superior safety profile than EFV regarding
neuropsychiatric and cutaneous adverse events. DOR is currently being
investigated in treatment-experienced patients and in those with transmitted
NNRTI drug resistance. SUMMARY: DOR is a promising new NNRTI that could become
the preferred drug in its class for treatment initiation. DOR has shown excellent
antiviral activity in treatment-naive patients, a better safety profile than EFV
and a low potential for drug-drug interactions.
PMID- 29794815
TI - Increasing SBP variability is associated with an increased risk of developing
incident diabetic foot ulcers.
AB - OBJECTIVE: SBP variability may be a target for mitigating end-organ damage
associated with vascular disease. We evaluated the relationship between increased
SBP variability and risk of incident diabetic foot ulceration. METHODS: Using a
nested case-control design, we followed patients diagnosed with diabetes and
treated within the US Department of Veterans Affairs Healthcare system for
development of a diabetic foot ulcer (event) between 2006 and 2010. Each case was
randomly matched to up to five controls based on age, sex, race/ethnicity, and
calendar time. SBP variability was computed using at least three blood pressure
measurements from the year preceding the event. The association between SBP
variability and foot ulceration was examined using conditional logistic
regression. Potential protective effects of calcium channel blockers, which blunt
SBP variability, were also explored. RESULTS: The study sample included 51 111
cases and 129 247 controls. Compared with those in quartile 1 (lowest
variability), patients in quartiles 2-4 had higher adjusted odds ratios for
diabetic foot ulcer development: 1.11 (95% CI 1.07-1.16), 1.20 (95% CI 1.15
1.25), 1.29 (95% CI 1.24-1.34) (P for trend <0.001). Calcium channel blockers
were associated with reduced risks of ulceration for those without peripheral
vascular disease (OR = 0.87, 95% CI 0.84-0.90, P < 0.001) or neuropathy (OR =
0.85, 95% CI 0.82-0.89, P < 0.001) in adjusted subgroup analyses. CONCLUSION:
This study describes a graded relationship between SBP variability and risk of
diabetic foot ulceration, providing a potential new and modifiable target to
reduce this common complication.
PMID- 29794818
TI - Rilpivirine long-acting for the prevention and treatment of HIV infection.
AB - PURPOSE OF REVIEW: Rilpivirine is a non-nucleoside reverse transcriptase
inhibitor approved for the treatment of HIV in combination with other
antiretrovirals (ARV). The development of the long-acting formulation of
rilpivirine (RPV-LA) and its use in clinical settings is the subject of this
review. RECENT FINDINGS: In 2017, the results of the phase IIb study LATTE2 have
been published. This study demonstrated that the combination of RPV-LA with a
second long-acting agent (cabotegravir) administered intramuscularly every 4--8
weeks was similar in virologic suppression rates to standard of care ARV
treatment. SUMMARY: RPV-LA is an injectable nanoparticle suspension for
intramuscular use. Phase I studies in healthy volunteers demonstrated that RPV
LA, administered at doses between 600 and 1200 mg, was well tolerated and
effective in maintaining satisfactory drug concentrations in plasma, vaginal
secretions and rectal tissue compartments for at least 4 weeks. RPV-LA efficacy
was also shown in a phase II clinical trial in HIV infected patients and phase
III studies are currently ongoing. Most study participants stated that they would
use or continue using injectables, both in HIV treatment and prevention settings.
Long-acting injectable ARVs have the potential to improve the convenience of HIV
drug regimens.
PMID- 29794820
TI - Respiratory Synkinesis Seen in the Biceps Brachii Muscle Resulting From
Meningitis 20 Years Ago.
PMID- 29794821
TI - In Defense of a Simplified, Practical Colposcopic Terminology.
PMID- 29794819
TI - Psychiatric Emergencies in Pregnancy and Postpartum.
AB - The perinatal period is a vulnerable time for the acute onset and recurrence of
psychiatric illness. Primary care providers are opportunely positioned to
intervene for women who present with mood decompensation, excessive anxiety, or
psychosis during the perinatal period. Owing to increased screening efforts in
obstetrical clinics and amount of contact during the perinatal period,
obstetricians may be able to identify patients who need treatment before their
symptoms become severe. In this article, we address imminent and emergent
psychiatric symptoms in the perinatal period including management and risk
reduction to help obstetrician/gynecologists treat and/or refer patients as
clinically appropriate.
PMID- 29794822
TI - Zidovudine-Based Treatments Inhibit the Glycosylation of ADAM17 and Reduce CD163
Shedding From Monocytes.
AB - BACKGROUND: sCD163, a biomarker of monocyte-macrophage activation, has been
identified as a predictor of all-cause mortality in treated HIV-infected
individuals. Nevertheless, little is known about whether different antiretroviral
drugs differentially regulate sCD163 levels and monocyte activation. METHODS: A
total of 123 patients receiving zidovudine (ZDV)-based (n = 55) or tenofovir
disoproxil fumarate (TDF)-based (n = 68) antiretroviral regimens were enrolled,
and their viral loads, CD4 counts, as well as plasma sCD163 and sCD14 levels were
quantified. Twenty-eight (14 in each group) patients donated additional blood
samples for flow cytometry and gene expression analyses using purified monocytes.
THP-1 cultures were also used to investigate the effect of ZDV on ADAM17, which
is responsible for CD163 shedding. RESULTS: As compared to the TDF-treated group,
the ZDV-treated group had lower plasma sCD163 levels and higher CD163 expression
on CD14++CD16 monocytes. Five metabolic-inflammatory genes exhibited
significantly different expression levels between purified monocytes of the ZDV
and TDF groups (IL-6, 2.90-fold lower in ZDV group, P < 0.001; iNOS, 1.81-fold
higher; CX3CR1, 1.72-fold lower; MIP-1beta, 1.10-fold lower; and PPARgamma-1,
1.36-fold higher, P < 0.05). Moreover, we show that ZDV treatment increases the
surface expression of CD163 in cultured THP-1 cells, accompanied by the
inhibition of glycosylation and surface expression of ADAM17. CONCLUSIONS:
Compared with TDF treatment, ZDV treatment causes lower plasma sCD163 levels,
probably by inhibiting the glycosylation of ADAM17 and CD163 shedding. Our
results show that ZDV functions as an ADAM17 inhibitor in vivo and extend our
understanding of its immune-modulatory effects and adverse effects.
PMID- 29794823
TI - Brief Report: Circulating Markers of Immunologic Activity Reflect Adiposity in
Persons With HIV on Antiretroviral Therapy.
AB - BACKGROUND: Obesity alters adipose tissue immunology, and these changes may be
reflected in circulating soluble inflammatory biomarker and T-cell subset
profiles measured in HIV research studies. METHODS: We recruited 70 adults with
HIV (50% obese) on efavirenz, tenofovir, and emtricitabine, virologic suppression
for >2 years, and no rheumatologic or other known inflammatory conditions. We
measured fasting plasma levels of several markers of innate immunity and major
CD4 and CD8 T-cell subsets. We assessed relationships between measurements of
total adiposity [body mass index (BMI), dual-energy X-ray absorptiometry
quantified fat mass index (FMI), and plasma leptin] and the immunologic
parameters using covariate-adjusted Spearman's rank correlations. RESULTS: The
cohort was 43% women, 54% nonwhite, and median age was 45 years. Higher BMI, FMI,
and plasma leptin were consistently associated with higher C-reactive protein,
serum amyloid A, and interleukin-6 (P < 0.01 for all), but lower interleukin-10
(P <= 0.02 for all). BMI and FMI were positively associated with soluble tumor
necrosis factor-alpha receptor 1 levels (P <= 0.02 for both), and a positive
correlation approached significance for all 3 body composition measurements with
soluble CD163 (P <= 0.09 for all). Higher BMI and FMI were associated with lower
CD38 expression on CD4 T cells (P <= 0.04 for both), but higher CD69 expression
(P <= 0.01 for BMI and FMI, P = 0.07 for leptin). CONCLUSIONS: Greater adiposity
is associated with alterations in a limited set of circulating immune markers,
potentially reflecting changes known to occur in adipose tissue with treated HIV
infection. Measuring total fat mass radiographically did not yield substantively
different results compared with BMI.
PMID- 29794825
TI - Isolated Conjunctival Inflammation as a Manifestation of IgG4-Related Disease.
AB - PURPOSE: To report a unique case of isolated conjunctival inflammation from IgG4
related disease (IgG4-RD) confirmed by pathology. METHODS: A single
interventional case of conjunctival IgG4-RD. RESULTS: A 63-year-old woman
presented with a chronic, solitary, vascularized, tan-colored, and raised
conjunctival lesion measuring 7.5 * 8.0 * 1.2 mm located at the temporal bulbar
conjunctiva. An excisional biopsy was diagnostic of IgG4-RD based on the classic
fibrosis pattern, 120 IgG4-positive plasma cells per high-power field, and an
overwhelming majority of IgG4-positive cells among IgG plasma cells. No orbital
or systemic involvement was found on clinical examination, imaging, and
laboratory workup. The serum IgG4 level was normal (87.1 mg/dL). The patient was
free of recurrence at 6-month follow-up. CONCLUSIONS: Isolated conjunctival
inflammation without orbital involvement can be a presentation of IgG4-RD.
PMID- 29794824
TI - Limbal Stem Cell Deficiency Secondary to Diffuse Non-necrotizing Anterior
Scleritis: A Clinicopathological Report.
AB - PURPOSE: To report a case of limbal stem cell deficiency (LSCD) secondary to
diffuse non-necrotizing anterior scleritis (DNNAS). METHOD: Interventional case
report with clinicopathologic correlation. A 69-year-old white woman with known
Crohn disease presented with DNNAS. The acute inflammatory phase was treated with
topical and systemic steroids. After DNNAS, she developed secondary LSCD with
loss of limbal palisades of Vogt and conjunctivalization of the corneal surface
and corneal haze. She underwent superficial keratectomy combined with autologous
limbal stem cell grafting from the fellow eye. The keratectomy specimen was sent
for pathological examination. RESULTS: There were no intraoperative or post
operative complications. Histopathology and immunohistochemistry showed a
cytokeratin 19-positive and cytokeratin 3- and cytokeratin 12 negative epithelium
in keeping with a conjunctival phenotype on the corneal surface. CONCLUSIONS:
LSCD can be a rare complication of DNNAS. After control of ocular surface
inflammation, autologous limbal stem cell grafting and amniotic membrane
transplantation can be effective in normalizing the ocular surface.
PMID- 29794826
TI - Clinical and Histological Characterization of Toxic Keratopathy From
Depatuxizumab Mafodotin (ABT-414), an Antibody-Drug Conjugate: [RETRACTED].
AB - PURPOSE: To report the first histological characterization of microcyst-like
epithelial keratopathy (MEK) associated with depatuxizumab mafodotin (ABT-414).
METHODS: Case report. RESULTS: A 35-year-old man with glioblastoma multiforme
participating in a phase III trial of the antibody-drug conjugate ABT-414
developed a large corneal abrasion from complications of MEK. Confocal imaging
showed multiple large, round, hyperreflective lesions. Epithelial debridement was
performed. Immunohistochemistry of the diseased epithelium showed IgG-positive
granular cytoplasmic inclusions and increased cell apoptosis. With
discontinuation of topical steroids, frequent lubrication, bandage contact
lenses, and reduction in dose to maintenance therapy, the patient experienced
symptomatic improvement. However, the MEK lesions recurred after debridement.
CONCLUSIONS: ABT-414 accumulates in the basal corneal epithelium resulting in MEK
due to increased apoptosis. Frequent lubrication and bandage contact lenses can
provide symptom relief.
PMID- 29794828
TI - Coformulated bictegravir, emtricitabine, tenofovir alafenamide after initial
treatment with bictegravir or dolutegravir and emtricitabine/tenofovir
alafenamide.
AB - : A phase 2, randomized, active-controlled study of initial antiretroviral
therapy with bictegravir or dolutegravir in combination with emtricitabine and
tenofovir alafenamide showed excellent efficacy. After 60 weeks of blinded
treatment, participants switched to a single-tablet regimen of bictegravir,
emtricitabine, and tenofovir alafenamide. Switching maintained viral suppression
in all participants who remained on the study through 12 weeks in the open-label
phase, and was safe and well tolerated.
PMID- 29794827
TI - Characteristics, mortality and outcomes at transition for adolescents with
perinatal HIV infection in Asia.
AB - OBJECTIVES: The aim of this study was to describe characteristics of perinatally
HIV-infected adolescents (PHIVAs), factors associated with mortality, and
outcomes at transition. DESIGN: Ongoing observational database collating clinical
data on HIV-infected children and adolescents in Asia. METHODS: Data from 2001 to
2016 relating to adolescents (10-19 years) with perinatal HIV infection were
analysed to describe characteristics at adolescent entry and transition and
combination antiretroviral therapy (cART) regimens across adolescence. A
competing risk regression analysis was used to determine characteristics at
adolescent entry associated with mortality. Outcomes at transition were compared
on the basis of age at cART initiation. RESULTS: Of 3448 PHIVA, 644 had reached
transition. Median age at HIV diagnosis was 5.5 years, cART initiation 7.2 years
and transition 17.9 years. At adolescent entry, 35.0% had CD4 cell count less
than 500 cells/MUl and 51.1% had experienced a WHO stage III/IV clinical event.
At transition, 38.9% had CD4 cell count less than 500 copies/ml, and 53.4% had
experienced a WHO stage III/IV clinical event. Mortality rate was 0.71 per 100
person-years, with HIV RNA >=1000 copies/ml, CD4 cell count less than 500
cells/MUl, height-for-age or weight-for-age z-score less than -2, history of a
WHO stage III/IV clinical event or hospitalization and at least second cART
associated with mortality. For transitioning PHIVA, those who commenced cART age
less than 5 years had better virologic and immunologic outcomes, though were more
likely to be on at least second cART. CONCLUSION: Delayed HIV diagnosis and cART
initiation resulted in considerable morbidity and poor immune status by
adolescent entry. Durable first-line cART regimens to optimize disease control
are key to minimizing mortality. Early cART initiation provides the best
virologic and immunologic outcomes at transition.
PMID- 29794829
TI - HIV disease and diabetes interact to affect brain white matter hyperintensities
and cognition.
AB - BACKGROUND: Since the onset of combination antiretroviral therapy use, the
incidence of HIV-associated dementia and of HIV encephalitis has fallen
dramatically. The present study investigates the extent of white matter
hyperintensities (WMHs) among individuals with HIV disease, and factors that
predict their presence and their impact on psychomotor speed. METHODS: A total of
322 men participating in the Multicenter AIDS Cohort Study (185 HIV-infected,
age: 57.5 +/- 6.0) underwent MRI scans of the brain. T1-weighted magnetization
prepared rapid gradient-echo (MP-RAGE) and T2-weighted Fluid Attenuated Inversion
Recovery (FLAIR) images were obtained and processed using an automated method for
identifying and measuring WMHs. WMH burden was expressed as the log10 transformed
percentage of total white matter. RESULTS: There were no significant associations
between WMHs and HIV disease. However, the extent of WMHs was predicted by age
more than 60 (beta = 0.17), non-white race (beta = 0.14), glomerular filtration
rate (beta = -0.11), and the presence of diabetes (beta = 0.12). There were no
interactions between HIV status and age (beta = -0.03) or between age and
diabetes (beta = 0.07). However, the interaction between HIV infection and
diabetes was significant (beta = 0.26). The extent of WMHs was significantly
associated with performance on measures of psychomotor speed (beta = 0.15).
CONCLUSION: In today's therapeutic environment, in HIV-infected and HIV
seronegative individuals, those factors which affect the cerebrovasculature are
the best predictors of WMHs. Diabetes has a specific impact among HIV-infected,
but not uninfected, men, suggesting the need for more aggressive treatment even
in the prediabetes state, especially as WMHs affect cognitive functions.
PMID- 29794831
TI - Neurodevelopment of breastfed HIV-exposed uninfected and HIV-unexposed children
in South Africa.
AB - OBJECTIVES: To assess neurodevelopment of breastfed HIV-exposed uninfected (HEU)
and breastfed HIV-unexposed children in the context of universal maternal
antiretroviral therapy (ART). DESIGN: Prospective study with antenatal enrolment
and follow-up of breastfeeding HEU and HIV-unexposed mother-infant pairs through
12-18 months postpartum. SETTING: Peri-urban community, Cape Town, South Africa.
PARTICIPANTS: HEU (n = 215) and HIV-unexposed (n = 306) children. MAIN OUTCOME
MEASURES: Cognitive, motor and language development at median 13 (interquartile
range 12-14) months of age: continuous and dichotomous Bayley Scales of Infant
and Toddler Development Third Edition (delay defined as composite score <85).
RESULTS: Incidence of preterm delivery (<37 weeks) was similar among HEU and HIV
unexposed children (11 vs. 9%, P = 0.31; median gestation 39 weeks); 48% were
boys. Median breastfeeding duration was shorter among HEU vs. HIV-unexposed
children (6 vs. 10 months). All HIV-infected mothers initiated lifelong ART
(tenofovir-emtricitabine-efavirenz) antenatally. HEU (vs. HIV-unexposed) children
had higher odds of cognitive delay [odds ratio (OR) 2.28 (95% confidence interval
(CI) 1.13-4.60)] and motor delay [OR 2.10 (95% CI 1.03-4.28)], but not language
delay, in crude and adjusted analysis. Preterm delivery modified this
relationship for motor development: compared with term HIV-unexposed children,
term HEU children had similar odds of delay, preterm HIV-unexposed children had
five-fold increased odds of delay (adjusted OR 4.73, 95% CI 1.32; 16.91) and
preterm HEU children, 16-fold increased odds of delay (adjusted OR 16.35, 95% CI
5.19; 51.54). CONCLUSION: Young HEU children may be at increased risk for
cognitive and motor delay despite universal maternal ART and breastfeeding; those
born preterm may be particularly vulnerable.
PMID- 29794830
TI - Abdominal fat depots, insulin resistance, and incident diabetes mellitus in women
with and without HIV infection.
AB - OBJECTIVE: The aim of this study was to determine the associations between
visceral adipose tissue (VAT) and abdominal subcutaneous adipose tissue (SAT)
mass with homeostatic model assessment-insulin resistance (HOMA-IR) and incidence
of diabetes mellitus in women with and without HIV infection. DESIGN: Cross
sectional design for associations between abdominal fat and HOMA-IR; longitudinal
design for associations between abdominal fat and incident diabetes. METHODS: We
assessed associations between dual X-ray absorptiometry scan-derived VAT and SAT
with HOMA-IR in a subsample from the Women's Interagency HIV Study (n = 226 with
and n = 100 without HIV) using linear regression. We evaluated associations of
VAT, SAT and HOMA-IR with incident diabetes mellitus using Cox proportional
hazards models. RESULTS: VAT mass was positively associated with log HOMA-IR in
fully adjusted linear regression models stratified by HIV serostatus, including
adjustment for SAT. During median follow-up of 10.6 years, incidence of diabetes
was 1.63 [95% confidence interval (95% CI) 1.15-2.31] and 1.32 [95% CI 0.77-2.28]
cases per 100 person-years in women with and without HIV (P = 0.52). In a fully
adjusted model, baseline VAT (hazard ratio 2.64 per kg; 95% CI 1.14-6.12; P =
0.023) and SAT (hazard ratio 1.34 per kg; 95% CI 0.73-2.45; P = 0.35) were
associated with incident diabetes, but the latter was not statistically
significant. CONCLUSION: VAT mass was independently associated with HOMA-IR in
women with and without HIV and was independently associated with future
development of diabetes.
PMID- 29794832
TI - Preference for injectable over oral HIV pre-exposure prophylaxis in public-sector
primary-care clinics in Swaziland.
AB - : Long-acting injectable (LAI) HIV pre-exposure prophylaxis (PrEP) may mitigate
adherence challenges reported by young women in Southern Africa. We assessed
preferences for PrEP in public-sector, primary care clinics in Swaziland. Among
surveyed respondents, 75% (95% confidence interval = 65-83%) stated preference
for LAI over oral PrEP; with no differences by sex, age, or sexual behavior (all
P > 0.05). Notably, over 70% (95% confidence interval 58-82%) with current use of
oral PrEP also stated preference for LAI PrEP.
PMID- 29794833
TI - HIV solid organ transplantation: looking beyond HOPE.
PMID- 29794834
TI - Lessons from the early HIV/AIDS epidemic.
PMID- 29794835
TI - Workarounds as the Catalyst to Drive a Culture of Innovation in Neonatal Care.
PMID- 29794836
TI - March 2018 Noteworthy News.
PMID- 29794837
TI - Deepen Your Connection With NANN.
PMID- 29794838
TI - Staff Nurse Perceptions of Open-Pod and Single Family Room NICU Designs on Work
Environment and Patient Care.
AB - BACKGROUND: Neonatal intensive care units have historically been constructed as
open units or multiple-bed bays, but since the 1990s, the trend has been toward
single family room (SFR) units. The SFR design has been found to promote family
centered care and to improve patient outcomes and safety. The impact of the SFR
design NICU on staff, however, has been mixed. PURPOSE: The purposes of this
study were to compare staff nurse perceptions of their work environments in an
open-pod versus an SFR NICU and to compare staff nurse perceptions of the impact
of 2 NICU designs on the care they provide for patients/families. METHODS/SEARCH
STRATEGY: A prospective cohort study was conducted. Questionnaires were completed
at 6 months premove and again at 3, 9, and 15 months postmove. A series of 1-way
analyses of variance were conducted to compare each group in each of the 8
domains. Open-ended questions were evaluated using thematic analysis.
FINDINGS/RESULTS: The SFR design is favorable in relation to environmental
quality and control of primary workspace, privacy and interruption, unit features
supporting individual work, and unit features supporting teamwork; the open-pod
design is preferable in relation to walking. IMPLICATIONS FOR PRACTICE:
Incorporating design features that decrease staff isolation and walking and
ensuring both patient and staff safety and security are important considerations.
IMPLICATIONS FOR RESEARCH: Further study is needed on unit design at a microlevel
including headwall design and human milk mixing areas, as well as on workflow
processes.
PMID- 29794839
TI - Clinical Outcomes in Preterm Infants Following Institution of a Delayed Umbilical
Cord Clamping Practice Change.
AB - BACKGROUND: Evidence supports a significant reduction in the incidence of
intraventricular hemorrhage (IVH) in preterm infants receiving delayed umbilical
cord clamping (DCC). PURPOSE: This study evaluated clinical feasibility,
efficacy, and safety outcomes in preterm infants (<36 weeks' gestational age) who
received DCC following a practice change implementation intended to reduce the
incidence of IVH. METHODS: Infants receiving DCC (45-60 seconds) were compared
with a sample of infants receiving immediate umbilical cord clamping (<15
seconds) in a retrospective chart review (N = 354). The primary outcome measure
was the prevalence of IVH. Secondary safety outcome measures of 1- and 5-minute
Apgar scores, axillary temperature on neonatal intensive care unit admission, and
initial 24-hour bilirubin level were also evaluated. Gestational age was examined
for its effect on outcomes. RESULTS: Although the small number of infants with
IVH precluded the ability to detect statistical significance, our raw data
suggest DCC is efficacious in reducing the risk for IVH. For infants 29 or less
weeks' gestational age, admission axillary temperature was significantly higher
in those who received DCC. No differences were found in 1- and 5-minute Apgar
scores, 24-hour bilirubin level, or hematocrit level between the two groups.
Infants more than 29 weeks' gestational age who received DCC had significantly
higher 1-minute Apgar scores, temperature, and 24-hour bilirubin level.
IMPLICATIONS FOR PRACTICE: Clinicians should advocate for the implementation of
DCC as part of the resuscitative process for preterm neonates. IMPLICATIONS FOR
RESEARCH: Future studies are needed to evaluate the effect of DCC on other
clinical outcomes and to investigate umbilical cord milking as an alternative
approach to DCC.
PMID- 29794840
TI - Feeding Intervals in Premature Infants <=1750 g: An Integrative Review.
PMID- 29794841
TI - A Succinct Critical Appraisal of Indications to Transanal TME.
PMID- 29794842
TI - Factors Associated With Long Wait Times for Bariatric Surgery.
AB - BACKGROUND: Despite its proven safety and efficacy, bariatric surgery is an
underutilized therapy for severe obesity. Wait times for surgery are largely
unexplored in the United States and may impact access to care. OBJECTIVE: To
determine the amount of time between initial bariatric surgery clinic visit and
operative date and identify factors associated with longer wait times. METHODS: A
statewide clinical data registry was queried from 2006 to 2016 and 60,791
patients undergoing primary bariatric surgery were identified. Demographics,
comorbidities, 30-day complications, and 1-year patient-reported outcomes were
compared between shortest and longest wait time quartiles. Analyses were
performed using Chi-square, t-test, and logistic regression. RESULTS: Median wait
times for bariatric surgery increased from 86 to 159 days during the study
period. Median wait times were <=67 days for the shortest wait time quartile and
>=204 days for the longest wait time quartile. Factors independently associated
with longer wait times included Medicaid insurance [odds ratio (OR) 3.02; 95%
confidence interval (CI): 2.58-3.53], sleep apnea (OR 1.49; 95% CI: 1.41-1.58),
psychological disorder (OR 1.25; 95% CI: 1.18-1.32), hyperlipidemia (OR 1.21; 95%
CI: 1.14-1.28), smoking history (OR 1.11; 95% CI: 1.05-1.17), and white race (OR
0.665; 95% CI: 0.614-0.720). Preoperative weight loss, risk adjusted complication
rates, postoperative self-reported weight loss, and comorbidity remission were
similar between groups. CONCLUSIONS: Over the past decade, eligible patients are
experiencing longer wait times when pursuing bariatric surgery. Complex patients
with Medicaid insurance are experiencing the longest delay despite similar
outcomes and preoperative weight loss. Policies that delay surgery should be re
examined.
PMID- 29794843
TI - Evaluation of Simplified Lymphatic Microsurgical Preventing Healing Approach (S
LYMPHA) for the Prevention of Breast Cancer-Related Clinical Lymphedema After
Axillary Lymph Node Dissection.
AB - OBJECTIVE: To assess the efficiency of Simplified Lymphatic Microsurgical
Preventing Healing Approach (S-LYMPHA) in preventing lymphedema (LE) in a
prospective cohort of patients. BACKGROUND: LE is a serious complication of
axillary lymph node dissection (ALND) with an incidence rate of 25%. LYMPHA has
been proposed as an effective adjunct to ALND for the prevention of LE. This
procedure, however, requires microsurgical techniques and significant
coordination between services. METHODS: All patients, undergoing ALND with or
without S-LYMPHA between January 2014 and December 2016 were included in the
study. During follow-up visits, tape-measuring limb circumference method was used
to detect LE. The incidence of LE was compared between ALND with and without S
LYMPHA. RESULTS: A total of 380 patients were included in the analysis. Median
follow-up time was 15 (1-32) months. Patients, who underwent S-LYMPHA, had a
significantly lower rate of LE both in univariate and multivariate analysis [3%
vs 19%; P = 0.001; odds ratio 0.12 (0.03-0.5)]. Excising more than 22 lymph nodes
and a co-diagnosis of diabetes mellitus were also correlated with higher clinical
LE rates on univariate analysis, but only excising more than 22 lymph nodes
remained to be significant on multivariate analysis. CONCLUSIONS: S-LYMPHA is a
simple method, which decreases incidence of LE dramatically. It should be
considered as an adjunct procedure to ALND for all patients during initial
surgery.
PMID- 29794845
TI - Overlapping Surgery in Pediatric Surgical Care: Is It a Safe and Cost-effective
Practice?
PMID- 29794844
TI - The Impact of an ICU "Bed Ahead" Policy on ED Length of Stay and Patient
Outcomes.
AB - OBJECTIVE: To assess the impact of preassigning a single bed in the surgical
intensive care unit (SICU) for the next trauma admission. BACKGROUND: Prolonged
emergency department (ED) dwell time before admission to a critical care unit has
an adverse effect on patient outcomes and is often due to the lack of an
available bed in the intensive care unit (ICU). METHODS: A "Bed Ahead" policy was
instituted at an urban level 1 Trauma Public Safety Net Teaching Hospital to
preassign 1 SICU bed for the next trauma patient who warrants a critical care
admission. A retrospective review of all trauma patients admitted to the SICU
before and after implementation of this policy was performed to assess the impact
on ED dwell time, ICU and hospital lengths of stay, complications, and in
hospital mortality. RESULTS: ED length of stay (ED-LOS); ICU length of stay (ICU
LOS); hospital length of stay (HLOS); complications; and in-hospital mortality
were compared before (PRE) and after (POST)implementation of the Bed Ahead
policy. Statistically significant improvements were seen in the POST period for
ED-LOS, HLOS, complications, and in-hospital mortality. CONCLUSIONS: Preassigning
1 ICU for the yet to arrive next injured patient decreases ED dwell times,
complications, HLOS, and in-hospital mortality.
PMID- 29794846
TI - Prognostic Value of Lymph Node Yield on Overall Survival in Esophageal Cancer
Patients: A Systematic Review and Meta-analysis.
AB - OBJECTIVE: This meta-analysis determines whether increased lymph node yield
improves survival in patients with esophageal cancer undergoing esophagectomy
with or without neoadjuvant therapy. BACKGROUND: Esophagectomy involves resection
of the esophagus and surrounding lymph nodes, which are commonly the first
stations of cancer spread. The extent of lymphadenectomy during esophagectomy
remains controversial, with several studies publishing conflicting results,
especially in the era of neoadjuvant therapy. METHODS: An electronic literature
search was undertaken using Embase, Medline, and the Cochrane library databases
(2000 to 2017). Articles with esophageal cancer patients undergoing esophagectomy
with lymphadenectomy and investigating the effects of low and high lymph node
yield on overall survival and disease-free survival were included. Meta-analysis
of data was conducted using a random effects model. If the study divided the
cohort into multiple groups based on lymph node yield, survival was compared
between the lowest and highest lymph node yield groups. In addition to analysis
of the entire cohort, subset analysis of only those patients receiving
neoadjuvant therapy was also performed. RESULTS: A total of 26 studies were
included in this meta-analysis with a follow-up ranging from 15 to 94 months. For
the analysis of overall survival, 23 studies were included. A meta-analysis
showed that overall survival significantly improved in the high lymph node yield
group [hazard ratio (HR) = 0.81; 95% confidence interval (95% CI) = 0.74-0.87; P
< 0.01]. In the 10 studies describing disease-free survival, this was
significantly improved in the high lymph node yield group (HR = 0.72; 95% CI =
0.62-0.84; P < 0.01). Subset analysis of neoadjuvant-treated patients
demonstrated a survival benefit of high lymph node yield on overall survival (HR
= 0.82; 95% CI = 0.73-0.92; P < 0.01). CONCLUSION: This meta-analysis
demonstrates the benefit of an increased lymph node yield from esophagectomy on
overall and disease-free survival. In addition, a survival benefit of a high
lymph node yield was demonstrated in patients receiving neoadjuvant therapy
followed by esophagectomy.
PMID- 29794848
TI - Clinical and Prognostic Features of Patients With Esophageal Cancer and Multiple
Primary Cancers.
PMID- 29794847
TI - Data-driven Development of ROTEM and TEG Algorithms for the Management of Trauma
Hemorrhage: A Prospective Observational Multicenter Study.
AB - OBJECTIVE: Developing pragmatic data-driven algorithms for management of trauma
induced coagulopathy (TIC) during trauma hemorrhage for viscoelastic hemostatic
assays (VHAs). BACKGROUND: Admission data from conventional coagulation tests
(CCT), rotational thrombelastometry (ROTEM) and thrombelastography (TEG) were
collected prospectively at 6 European trauma centers during 2008 to 2013.
METHODS: To identify significant VHA parameters capable of detecting TIC (defined
as INR > 1.2), hypofibrinogenemia (< 2.0 g/L), and thrombocytopenia (< 100
x10/L), univariate regression models were constructed. Area under the curve (AUC)
was calculated, and threshold values for TEG and ROTEM parameters with 70%
sensitivity were included in the algorithms. RESULTS: A total of, 2287 adult
trauma patients (ROTEM: 2019 and TEG: 968) were enrolled. FIBTEM clot amplitude
at 5 minutes (CA5) had the largest AUC and 10 mm detected hypofibrinogenemia with
70% sensitivity. The corresponding value for functional fibrinogen (FF) TEG
maximum amplitude (MA) was 19 mm. Thrombocytopenia was similarly detected using
the calculated threshold EXTEM-FIBTEM CA5 30 mm. The corresponding rTEG-FF TEG MA
was 46 mm. TIC was identified by EXTEM CA5 41 mm, rTEG MA 64 mm (80%
sensitivity). For hyperfibrinolysis, we examined the relationship between
viscoelastic lysis parameters and clinical outcomes, with resulting threshold
values of 85% for EXTEM Li30 and 10% for rTEG Ly30.Based on these analyses, we
constructed algorithms for ROTEM, TEG, and CCTs to be used in addition to ratio
driven transfusion and tranexamic acid. CONCLUSIONS: We describe a systematic
approach to define threshold parameters for ROTEM and TEG. These parameters were
incorporated into algorithms to support data-driven adjustments of resuscitation
with therapeutics, to optimize damage control resuscitation practice in trauma.
PMID- 29794849
TI - Alignment of Personality Is Associated With Increased Intraoperative Entrustment.
AB - OBJECTIVE: To determine the association between intraoperative entrustment and
personality alignment. SUMMARY BACKGROUND DATA: For surgical residents, achieving
operative autonomy has become increasingly difficult. The impact of faculty
resident operative interactions in accomplishing this goal is not well
understood. We hypothesized that if operative dyads (faculty and resident) had
personality alignment or congruency, then resident entrustment in the operating
room would increase. METHODS: We completed a retrospective analysis of 63
operations performed from September 2015 to August 2016. Operations were scored
using OpTrust, a validated tool that assesses progressive entrustment of
responsibility to surgical residents in the operating room. All dyads were
classified as having congruent or incongruent personality alignment as measured
by promotion or prevention orientation using the regulatory focus questionnaire.
The association between personality congruence and OpTrust scores was identified
using multivariable linear regression. RESULTS: A total of 35 congruent dyads and
28 incongruent dyads were identified. Congruent dyads had a higher percentage of
"very difficult" cases (33.3 vs. 7.4%, P = 0.017), female residents (37.1 vs.
14.3%, P = 0.042) and faculty with fewer years of experience (10.4 vs. 14.8%, P =
0.028) than incongruent dyads. In addition to post-graduate year level, dyad
congruency was independently associated with a 0.88 increase (95% CI [0.27-1.49],
P = 0.006) in OpTrust scores (overall range 2-8), after adjusting for case
difficulty, faculty experience, and post-graduate year. CONCLUSIONS: Congruent
operative dyads are associated with increased operative entrustment as
demonstrated by increased OpTrust scores. Developing awareness and strategies for
addressing incongruence in personality in the operative dyad is needed.
PMID- 29794850
TI - Surgeon Age in Relation to Prognosis After Esophageal Cancer Resection.
PMID- 29794851
TI - Added Value of Body Fat Distribution in Predicting Clinically Significant
Pancreatic Fistula in the a-FRS Following Pancreatoduodenectomy Currently
Unclear.
PMID- 29794852
TI - Advances in pharmacokinetic modeling: target controlled infusions in the obese.
AB - PURPOSE OF REVIEW: The use of conventional pharmacokinetic parameters sets
'models' derived from nonobese patients has proven inadequate to administer
intravenous anesthetics in the obese population and is commonly associated with
higher than anticipated plasma propofol concentrations when used with target
(plasma or effect site) controlled infusion pumps. In this review we will
describe recent modeling strategies to characterize the disposition of
intravenous anesthetics in the obese patient and will show clinically relevant
aspects of new model's performance in the obese population. RECENT FINDINGS:
Because clearance of a drug increases in a nonlinear manner with weight,
nonlinear relationships better scale infusion rates between lean and obese
individuals. Allometric concepts have been successfully used to describe size
related nonlinear changes in clearances. Other nonlinear scaling options include
the use of descriptors such as body surface area, lean body weight, fat-free
mass, and normal fat mass. Newer pharmacokinetic models, determined from obese
patient data, have been developed for propofol and remifentanil using allometric
concepts and comprehensive size descriptors. SUMMARY: Pharmacokinetic models to
perform target-controlled infusion in the obese population should incorporate
descriptors that reflect with greater precision the influence of body composition
in volumes and clearances of each drug. It is our hope that commercially
available pumps will soon incorporate these new models to improve the performance
of this technique in the obese population.
PMID- 29794853
TI - Endovascular thrombectomy in acute ischemic stroke: new treatment guide.
AB - PURPOSE OF REVIEW: Recent randomized clinical trials (RCTs) have demonstrated
strong efficacy of endovascular thrombectomy (EVT) for acute ischemic stroke
(AIS) from large vessel occlusions (LVO). SIESTA, AnSTROKE, GOLIATH showed no
deleterious effects of general anesthesia on patient outcome after EVT compared
with conscious sedation. DAWN and DEFUSE 3 are extending the time window for EVT
up to 24 h in carefully selected patients. This review discusses the current
literature on the rapidly expanding subject of endovascular stroke therapy and
optimal anesthetic management. RECENT FINDINGS: Recent retrospective studies of
RCT data sets show that general anesthesia is associated with negative clinical
outcome in AIS patients undergoing EVT when compared with sedation. Two of the
possible mechanisms of this finding are systolic hypotension and hypocapnia.
SIESTA, AnSTROKE, GOLIATH showed no difference in short-term clinical outcome
between EVT patients treated with general anesthesia versus conscious sedation.
DAWN and DEFUSE 3 demonstrated improved functional outcomes after EVT in those
treated up to 24 h after selection with perfusion imaging, increasing the number
of patients eligible for EVT. SUMMARY: Effective reperfusion with stent retriever
technology, careful patient selection using perfusion imaging, and careful use of
anesthetic technique affect outcome.
PMID- 29794854
TI - Emerging evidence for antidepressant actions of anesthetic agents.
AB - PURPOSE OF REVIEW: After decades without substantial advances, multiple novel
antidepressants show promise against treatment-resistant depression.
Interestingly, many of these are anesthetics. The purpose of this review is to
discuss the evidence for the antidepressant effects of ketamine, nitrous oxide,
isoflurane and propofol and to consider potential clinical, administrative and
research implications for anesthesiologists. RECENT FINDINGS: Ketamine has acute,
transient antidepressant and antisuicidal effects. Nitrous oxide has also shown
antidepressant efficacy. There are converging preclinical and clinical data that
isoflurane (and perhaps propofol), dosed to burst suppression, has relatively
rapid, robust and durable antidepressant effects and lacks the adverse effects
associated with electroconvulsive therapy (ECT). SUMMARY: Several anesthetics
show promise as novel antidepressants. Ketamine is the most well studied.
Anesthetic-induced burst-suppression may provide an alternative to ECT that lacks
adverse cognitive effects. Further study is necessary to better understand how
these drugs work and how they might be used as effective antidepressant therapy.
PMID- 29794856
TI - New horizons in anesthetic clinical pharmacology, patient outcomes and
perioperative neuromonitoring.
PMID- 29794857
TI - Revisiting the Anteroinferior Iliac Spine: Is the Subspine Pathologic? A Clinical
and Radiographic Evaluation.
AB - BACKGROUND: Subspine impingement is a recognized source of extraarticular hip
impingement. Although CT-based classification systems have been described, to our
knowledge, no study has evaluated the morphology of the anteroinferior iliac
spine (AIIS) with plain radiographs nor to our knowledge has any study compared
its appearance between plain radiographs and CT scan and correlated AIIS
morphology with physical findings. Previous work has suggested a correlation of
AIIS morphology and hip ROM but this has not been clinically validated.
Furthermore, if plain radiographs can be found to adequately screen for AIIS
morphology, CT could be selectively used, limiting radiation exposure.
QUESTIONS/PURPOSES: The purposes of this study were (1) to determine the
prevalence of AIIS subtypes in a cohort of patients with symptomatic
femoroacetabular impingement; (2) to compare AP pelvis and false profile
radiographs with three-dimensional (3-D) CT classification; and (3) to correlate
the preoperative hip physical examination with AIIS subtypes. METHODS: A
retrospective study of patients undergoing primary hip arthroscopy for
femoroacetabular impingement syndrome was performed. Between February 2013 and
November 2016, 601 patients underwent hip arthroscopy. To be included here, each
patient had to have undergone a primary hip arthroscopy for the diagnosis of
femoroacetabular impingement syndrome. Each patient needed to have an
interpretable set of plain radiographs consisting of weightbearing AP pelvis and
false profile radiographs as well as full documentation of physical findings in
the medical record. Patients who additionally had a CT scan with 3-D
reconstructions were included as well. During the period in question, it was the
preference of the treating surgeon whether a preoperative CT scan was obtained. A
total of 145 of 601 (24%) patients were included in the analysis; of this cohort,
54% (78 of 145) had a CT scan and 63% (92 of 145) were women with a mean age of
31 +/- 10 years. The AIIS was classified first on patients in whom the 3-D CT
scan was available based on a previously published 3-D CT classification. The
AIIS was then classified by two orthopaedic surgeons (TGM, MRK) on AP and false
profile radiographs based on the position of its inferior margin to a line at the
lateral aspect of the acetabular sourcil normal to vertical. Type I was above,
Type II at the level, and Type III below this line. There was fair interrater
agreement for AP pelvis (kappa = 0.382; 95% confidence interval [CI], 0.239
0.525), false profile (kappa = 0.372; 95% CI, 0.229-0.515), and 3-D CT (kappa =
0.325; 95% CI, 0.156-0.494). There was moderate to almost perfect intraobserver
repeatability for AP pelvis (kappa = 0.516; 95% CI, 0.284-0.748), false profile
(kappa = 0.915; 95% CI, 0.766-1.000), and 3-D CT (kappa = 0.915; 95% CI, 0.766
1.000). The plane radiographs were then compared with the 3-D CT scan
classification and accuracy, defined as the proportion of correct classification
out of total classifications. Preoperative hip flexion, internal rotation,
external rotation, flexion adduction, internal rotation, subspine, and
Stinchfield physical examination tests were compared with classification of the
AIIS on 3-D CT. Finally, preoperative hip flexion, internal rotation, and
external rotation were compared with preoperative lateral center-edge angle and
alpha angle. RESULTS: The prevalence of AIIS was 56% (44 of 78) Type I, 39% (30
of 78) Type II, and 5% (four of 78) Type III determined from the 3-D CT
classification. For the plain radiographic classification, the distribution of
AIIS morphology was 64% (93 of 145) Type I, 32% (46 of 145) Type II, and 4% (six
of 145) Type III on AP pelvis and 49% (71 of 145) Type I, 48% (70 of 145) Type
II, and 3% (four of 145) Type III on false profile radiographs. False profile
radiographs were more accurate than AP pelvis radiographs for classification when
compared against the gold standard of 3-D CT at 98% (95% CI, 96-100) versus 80%
(95% CI, 75-85). The false profile radiograph had better sensitivity for Type II
(97% versus 47%, p < 0.001) and specificity for Types I and II AIIS (97% versus
53%, p < 0.001; 98% versus 90%, p = 0.046) morphology compared with AP pelvis
radiographs. There was no correlation between AIIS type as determined by 3-D CT
scan and hip flexion (rs = -0.115, p = 0.377), internal rotation (rs = 0.070, p =
0.548), flexion adduction internal rotation (U = 72.00, p = 0.270), Stinchfield
(U = 290.50, p = 0.755), or subspine tests (U = 319.00, p = 0.519). External
rotation was weakly correlated (rs = 0.253, p = 0.028) with AIIS subtype. Alpha
angle was negatively correlated with hip flexion (r = -0.387, p = 0.002) and
external rotation (r = -0.238, p = 0.043) and not correlated with internal
rotation (r = -0.068, p = 0.568). CONCLUSIONS: The findings in this study suggest
the false profile radiograph is superior to an AP radiograph of the pelvis in
evaluating AIIS morphology. Neither preoperative hip internal rotation nor
impingement tests correlate with AIIS type as previously suggested questioning
the utility of the AIIS classification system in identifying pathologic AIIS
anatomy. LEVEL OF EVIDENCE: Level III, diagnostic study.
PMID- 29794858
TI - Does Orthopaedic Outpatient Care Reduce Emergency Department Utilization After
Total Joint Arthroplasty?
AB - BACKGROUND: Emergency department (ED) visits after elective surgical procedures
are a potential target for interventions to reduce healthcare costs. More than 1
million total joint arthroplasties (TJAs) are performed each year with
postsurgical ED utilization estimated in the range of 10%. QUESTIONS/PURPOSES: We
asked whether (1) outpatient orthopaedic care was associated with reduced ED
utilization and (2) whether there were identifiable factors associated with ED
utilization within the first 30 and 90 days after TJA. METHODS: An analysis of
adult TRICARE beneficiaries who underwent TJA (2006-2014) was performed. TRICARE
is the insurance program of the Department of Defense, covering > 9 million
beneficiaries. ED use within 90 days of surgery was the primary outcome and
postoperative outpatient orthopaedic care the primary explanatory variable.
Patient demographics (age, sex, race, beneficiary category), clinical
characteristics (length of hospital stay, prior comorbidities, complications),
and environment of care were used as covariates. Logistic regression adjusted for
all covariates was performed to determine factors associated with ED use.
RESULTS: We found that orthopaedic outpatient care (odds ratio [OR], 0.73; 95%
confidence interval [CI], 0.68-0.77) was associated with lower odds of ED use
within 90 days. We also found that index hospital length of stay (OR, 1.07; 95%
CI, 1.04-1.10), medical comorbidities (OR, 1.16; 95% CI, 1.08-1.24), and
complications (OR, 2.47; 95% CI, 2.24-2.72) were associated with higher odds of
ED use. CONCLUSIONS: When considering that at 90 days, only 3928 patients
sustained a complication, a substantial number of ED visits (11,486 of 15,414
[75%]) after TJA may be avoidable. Enhancing access to appropriate outpatient
care with improved discharge planning may reduce ED use after TJA. Further
research should be directed toward unpacking the situations, outside of
complications, that drive patients to access the ED and devise interventions that
could mitigate such behavior. LEVEL OF EVIDENCE: Level III, therapeutic study.
PMID- 29794859
TI - Residency Diary: Every Resident Needs a Lighthouse.
PMID- 29794860
TI - CORR Insights(r): Is Cemented or Cementless Femoral Stem Fixation More Durable in
Patients Older Than 75 Years of Age? A Comparison of the Best-performing Stems.
PMID- 29794855
TI - An overview of the cannabinoid type 2 receptor system and its therapeutic
potential.
AB - PURPOSE OF REVIEW: This narrative review summarizes recent insights into the role
of the cannabinoid type 2 (CB2) receptor as potential therapeutic target in
neuropathic pain and neurodegenerative conditions. RECENT FINDINGS: The
cannabinoid system continues to receive attention as a therapeutic target. The
CB2 receptor is primarily expressed on glial cells only when there is active
inflammation and appears to be devoid of undesired psychotropic effects or
addiction liability. The CB2 receptor has been shown to have potential as a
therapeutic target in models of diseases with limited or no currently approved
therapies, such as neuropathic pain and neurodegenerative conditions such as
Alzheimer's disease. SUMMARY: The functional involvement of CB2 receptor in
neuropathic pain and other neuroinflammatory diseases highlights the potential
therapeutic role of drugs acting at the CB2 receptor.
PMID- 29794861
TI - CORR Insights(r): What is the Normal Trajectory of Interleukin-6 and C-reactive
Protein in the Hours and Days Immediately After TKA?
PMID- 29794862
TI - Editor's Spotlight/Take 5: Resident Participation is Not Associated With Worse
Outcomes After TKA.
PMID- 29794863
TI - CORR Insights(r): Is There an Association Between Borderline-to-mild Dysplasia
and Hip Osteoarthritis? Analysis of CT Osteoabsorptiometry.
PMID- 29794864
TI - CORR Insights(r): Soft Tissue Sarcoma of the Extremities: What Is the Value of
Treating at High-volume Centers?
PMID- 29794865
TI - CORR Insights(r): Intratibial Injection Causes Direct Pulmonary Seeding of
Osteosarcoma Cells and Is Not a Spontaneous Model Metastasis: A Mouse
Osteosarcoma Model.
PMID- 29794866
TI - CORR Insights(r): Revisiting the Anteroinferior Iliac Spine: Is the Subspine
Pathologic? A Clinical and Radiographic Evaluation.
PMID- 29794867
TI - CORR Insights(r): Does the Watson-Jones or Modified Smith-Petersen Approach
Provide Superior Exposure for Femoral Neck Fracture Fixation?
PMID- 29794868
TI - Editorial: Can Surgeons Delegate Informed Consent? As Goes Pennsylvania, So Goes
the Nation (or Not).
PMID- 29794869
TI - The Clinical Significance of a Small Component of Choriocarcinoma in Testicular
Mixed Germ Cell Tumor (MGCT).
AB - The clinical significance of limited choriocarcinoma in a malignant mixed germ
cell tumor (MGCT) is unknown. Men with a MGCT with <=5% choriocarcinoma at
radical orchiectomy (RO) between 2000 and 2016 from our consult service were
studied. Of 50 men in our cohort, we had clinical information for 30 men. Median
follow-up was 41 months (1 to 168 mo). Median tumor size was 4.5 cm (1.1 to 8.0
cm). In total, 22/30 (73%) cases were pT1, 6/30(20%) cases were pT2, and 2/30
(7%) cases were pT3. In total, 4/30(13%) cases had lymph node metastases and 2/30
(7%) cases had distant metastases at the time of RO. In 30 cases with RO we had
information on immediate postorchiectomy treatment: 14/30 (46.7%) active
surveillance, 4/30 (13.3%) retroperitoneal lymph node dissection, 10/30 (33.3%)
chemotherapy (chemotherapy), 1/30 (3.3%) retroperitoneal lymph node dissection
followed by chemotherapy, and 1/30 (3.3%) resection of a distant metastasis.
Preoperative serum human chorionic gonadotropin (hCG) levels ranged between 0.1
and 60,715 mIU/mL (mean, 4796; median, 485). One patient had an hCG level of 6367
mIU/mL and another 60,715 mIU/mL with the remaining cases <5000 mIU/mL. In total,
4/30 (13%) patients had elevated serum markers after surgery, 3 of them
normalized following chemotherapy while the fourth one continued to have elevated
serum alpha fetoprotein levels after chemotherapy. All patients were alive at
last follow-up. In total, 7/30 (23.3%) patients subsequently developed metastatic
disease to lymph nodes or distal organs, the histology of the metastasis
consisted mainly of teratoma and yolk sac tumor. Embryonal carcinoma was present
in 2 metastatic sites. One lung metastasis was suggestive for choriocarcinoma.
Definitive choriocarcinoma was not present in any of the metastasis. A small
component of choriocarcinoma in a MGCT is typically associated with relatively
low-level elevations of serum hCG levels, and is not associated with aggressive
disease. The presence of limited choriocarcinoma (<=5%) does not add to the
prognostic information provided by standard TNM staging, which uses levels of
serum markers (hCG, alpha fetoprotein, lactate dehydrogenase) as surrogates for
extent of disease.
PMID- 29794870
TI - Concordance of PD-L1 Expression Between Core Biopsy and Resection Specimens of
Non-Small Cell Lung Cancer.
AB - The heterogeneity of programmed death ligand 1 (PD-L1) expression in non-small
cell lung carcinomas (NSCLCs) is well studied; however, the method of tissue
sampling needed to obtain adequate diagnostic material has not been established.
This study aimed to determine whether core needle biopsy provides sufficient
tissue for accurate PD-L1 evaluation despite tumor heterogeneity. A retrospective
analysis comparing tumoral expression of PD-L1 in 51 lung core biopsies to
subsequent resection specimens was performed. Scoring of membranous staining was
categorized as 0%, 1% to 49%, and >=50% of tumor cells. Staining >=50% tumoral PD
L1 expression was detected in 8/51 (15.7%) of core biopsies and 8/51 (15.7%) of
resection specimens. Core biopsy and resection results were concordant in 92.2%
of cases (kappa, 0.70; 95% confidence interval, 0.43-0.98). Therefore, despite
tumor heterogeneity, detection of tumoral PD-L1 expression in NSCLC appears to be
largely concordant between core biopsies and resection specimens, with the caveat
that it may be helpful to reassess resection specimens for low-level staining.
These findings suggest that core biopsy may be adequate for determining PD-L1
expression in NSCLC.
PMID- 29794871
TI - ALK-rearranged Tumors are Highly Enriched in the STUMP Subcategory of Uterine
Tumors.
AB - Smooth muscle tumor of uncertain malignant potential (STUMP) is a rare diagnosis
rendered when there is uncertainty concerning the biological potential of a
smooth muscle tumor. The initial differential diagnosis is often broad, as tumors
in this subgroup are morphologically heterogenous. Recent data suggest uterine
inflammatory myofibroblastic tumors (IMTs) with anaplastic lymphoma kinase (ALK)
rearrangement may be misclassified as STUMPs, but the extent to which this occurs
has not been examined. We identified 60 female patients with tumors previously
diagnosed as STUMP (48 cases) or prospectively considered for the diagnosis of
STUMP (12 cases). Each case underwent histologic review, ALK immunohistochemistry
(IHC) and confirmatory break-apart fluorescence in situ hybridization (FISH) for
ALK if immunoreactive. Six of the 43 (14%) uterine and cervical tumors were ALK
IHC positive, whereas tumors at all other sites were ALK IHC negative. Myxoid
features, although limited in some cases, were present in all 6 ALK IHC positive
tumors, representing 35% (6/17) of tumors displaying myxoid features at uterine
and cervical sites. All ALK immunoreactive tumors were confirmed to have ALK
rearrangements by FISH with 1 tumor showing numerous (3 to 8) 3' ALK signals, an
unusual FISH pattern not previously described in uterine IMTs. Two patients
developed recurrent disease and were treated with ALK-targeted therapy with
initial response. Our data demonstrate that a significant proportion of uterine
and cervical tumors considered to be STUMPs are ALK-positive by IHC and FISH.
Future screening of all uterine and cervical mesenchymal tumors under
consideration for the diagnosis of STUMP, particularly those with myxoid
features, is recommended to identify ALK-rearranged IMTs that could potentially
be treated with targeted therapy using tyrosine kinase inhibitors.
PMID- 29794872
TI - A 3-Protein Expression Signature of Neuroblastoma for Outcome Prediction.
AB - Neuroblastoma (NB) is the most common extracranial solid tumor in children with
contrasting outcomes. Precise risk assessment contributes to prognosis
prediction, which is critical for treatment strategy decisions. In this study, we
developed a 3-protein predictor model, including the neural stem cell marker
Msi1, neural differentiation marker ID1, and proliferation marker proliferating
cell nuclear antigen (PCNA), to improve clinical risk assessment of patients with
NB. Kaplan-Meier analysis in the microarray data (GSE16476) revealed that low
expression of ID1 and high expression of Msi1 and PCNA were associated with poor
prognosis in NB patients. Combined application of these 3 markers to constitute a
signature further stratified NB patients into different risk subgroups can help
obtain more accurate prediction performance. Survival prognostic power of age and
Msi1_ID1_PCNA signature by receiver operating characteristics analysis showed
that this signature predicted more effectively and sensitively compared with
classic risk stratification system, compensating for the deficiency of the
prediction function of the age. Furthermore, we validated the expressions of
these 3 proteins in neuroblastic tumor spectrum tissues by immunohistochemistry
revealed that Msi1 and PCNA exhibited increased expression in NB compared with
intermedial ganglioneuroblastoma and benign ganglioneuroma, whereas ID1 levels
were reduced in NB. In conclusion, we established a robust risk assessment
predictor model based on simple immunohistochemistry for therapeutic decisions of
NB patients.
PMID- 29794873
TI - Genomic Fusions in Pigmented Spindle Cell Nevus of Reed.
AB - Recent molecular studies of spitzoid neoplasms have identified mutually exclusive
kinase fusions involving ROS1, ALK, RET, BRAF, NTRK1, MET, and NTRK3 as early
initiating genomic events. Pigmented spindle cell nevus (PSCN) of Reed is a
morphologic variant of Spitz and may be very diagnostically challenging, having
histologic features concerning for melanoma. Their occurrence in younger
patients, lack of association to sun exposure, and rapid early growth phase
similar to Spitz nevi suggest fusions may also play a significant role in these
lesions. However, to date, there is little data in the literature focused on the
molecular characterization of PSCN of Reed with next-generation sequencing. We
analyzed a total of 129 melanocytic neoplasms with RNA sequencing including 67
spitzoid neoplasms (10 Spitz nevi, 44 atypical Spitz tumors, 13 spitzoid
melanomas) and 23 PSCN of Reed. Although only 2 of 67 (3.0%) of spitzoid lesions
had NTRK3 fusions, 13 of 23 (57%) of PSCN of Reed harbored NTRK3 fusions with 5'
partners ETV6 (12p13) in 2 cases and MYO5A (15q21) in 11 cases. NTRK3 fusions
were confirmed with a fluorescent in situ hybridization break-apart probe. The
presence of a NTRK3 fusion correlated with younger age (P=0.021) and adnexal
extension (P=0.001). Other minor fusions identified in PSCN of Reed included
MYO5A-MERTK (2), MYO5A-ROS1, MYO5A-RET, and ETV6-PITX3 leading to a total of 78%
with fusions. Our study suggests that the majority of PSCN of Reed are the result
of genomic fusions, and the most frequent and characteristic genomic aberration
is an NTRK3 fusion.
PMID- 29794874
TI - Tofacitinib 5 mg Twice Daily in Patients with Rheumatoid Arthritis and Inadequate
Response to Disease-Modifying Antirheumatic Drugs: A Comprehensive Review of
Phase 3 Efficacy and Safety.
AB - BACKGROUND: Tofacitinib is an oral Janus kinase inhibitor for the treatment of
rheumatoid arthritis (RA). We performed a comprehensive review of phase 3 studies
of tofacitinib 5 mg twice daily (BID) (approved dose in many countries) in
patients with moderate to severe RA and inadequate response to prior disease
modifying antirheumatic drugs. METHODS: A search of PubMed and ClinicalTrials.gov
identified 5 studies: ORAL Solo (NCT00814307), ORAL Sync (NCT00856544), ORAL
Standard (included adalimumab 40 mg once every 2 weeks; NCT00853385), ORAL Scan
(NCT00847613), and ORAL Step (NCT00960440). Efficacy and safety data for
tofacitinib 5 mg BID, placebo, and adalimumab were analyzed. RESULTS: Across the
5 studies, 1216 patients received tofacitinib 5 mg BID, 681 received placebo, and
204 received adalimumab. At month 3, tofacitinib demonstrated significantly
higher 20%, 50%, and 70% improvement in American College of Rheumatology response
criteria (ACR20, ACR50, and ACR70, respectively) response rates, greater
improvement in Health Assessment Questionnaire-Disability Index, and a higher
proportion of Disease Activity Score-defined remission than placebo. Frequencies
of adverse events (AEs), serious AEs, and discontinuations due to AEs were
similar for tofacitinib and placebo at month 3; serious infection events were
more frequent for tofacitinib. In ORAL Standard, although not powered for formal
comparisons, tofacitinib and adalimumab had numerically similar efficacy and AEs;
serious AEs and serious infection events were more frequent with tofacitinib.
CONCLUSIONS: Tofacitinib 5 mg BID reduced RA signs and symptoms and improved
physical function versus placebo in patients with inadequate response to prior
disease-modifying antirheumatic drugs. Tofacitinib 5 mg BID had a consistent,
manageable safety profile across studies, with no new safety signals
identified.This is an open-access article distributed under the terms of the
Creative Commons Attribution-Non Commercial-No Derivatives License 4.0 (CCBY-NC
ND), where it is permissible to download and share the work provided it is
properly cited. The work cannot be changed in any way or used commercially
without permission from the journal.
PMID- 29794875
TI - Erosive Gouty Arthropathy Resulting in a Telescoping Digit.
PMID- 29794876
TI - Prevalence and Associations of Avascular Necrosis of the Hip in a Large Well
characterized Cohort of Patients With Inflammatory Bowel Disease.
AB - OBJECTIVES: Avascular necrosis (AVN) is associated with significant morbidity
potentially causing severe pain and debility; patients with inflammatory bowel
disease (IBD) have a higher prevalence of AVN compared with non-IBD populations.
The purpose of our study was to determine the prevalence of AVN in our IBD
population and to evaluate these subjects for the presence of clinical
characteristics associated with AVN on computed tomography (CT) imaging. METHODS:
In 1313 IBD patients with abdomen/pelvis CT scans, we identified 27 patients
(2.1%) with CT findings consistent with AVN. Through historical chart review, we
confirmed that most patients had prior exposure to steroids, although 2 patients
had no documented steroid exposure at all. RESULTS: We found that 59% of the
concurrent radiology reports did not comment on the presence of AVN, suggesting
that incidental CT findings of AVN among IBD patients are likely underreported.
Notably, we found that 63% of these cases had documented complaints of low-back
and/or hip pain. Using logistic regression, we found an association between anti
neutrophil cytoplasmic antibody-positive status across IBD (P = 0.007) and a
smoking history in Crohn disease (P = 0.03) with the presence of AVN.
CONCLUSIONS: We found that a significant proportion of IBD patients with AVN are
reported in their records as not having hip or low-back pain, and review of CT
imaging under dedicated bone windows may identify AVN among this population. Our
findings also suggest that additional etiological factors, beyond
corticosteroids, contribute to the development of AVN in IBD. Further
investigation is warranted regarding the mechanisms associated with AVN in IBD.
PMID- 29794877
TI - Tofacitinib for the Treatment of Refractory Polymyositis.
PMID- 29794878
TI - Trigeminal ganglion transcriptome analysis in 2 rat models of medication-overuse
headache reveals coherent and widespread induction of pronociceptive gene
expression patterns.
AB - We attempted to gather information on the pathogenesis of medication-overuse
headache, as well as on the neurochemical mechanisms through which symptomatic
medication overuse concurs to headache chronification. Transcriptional profiles
were therefore evaluated as an index of the homeostasis of the trigeminovascular
system in the trigeminal ganglion of female rats exposed for 1 month to daily
oral doses of eletriptan or indomethacin. We report that both drug treatments
change trigeminal ganglion gene expression to a similar extend. Of note,
qualitative transcriptomic analysis shows that eletriptan and indomethacin prompt
nearly identical, increased expression of genes coding for proteins involved in
migraine pathogenesis and central pain sensitization such as neuropeptides, their
cognate receptors, prostanoid, and nitric oxide-synthesizing enzymes, as well as
TRP channels. These genes, however, were not affected in thoracic dorsal root
ganglia. Of note, lowering of orofacial nociceptive thresholds, as well as
forepaw hyperalgesia occurred in both indomethacin- and eletriptan-treated rats.
Our study reveals that chronic rat exposure to 2 acute headache medications with
completely different mechanisms of action prompts pain sensitization with highly
similar induction of pronociceptive genes selectively within the trigeminal
ganglion. Data further our understanding of medication-overuse headache
pathogenesis and provide hints for specific mechanism-based treatment options.
PMID- 29794879
TI - Should thoracic paravertebral blocks be used to prevent chronic postsurgical pain
after breast cancer surgery? A systematic analysis of evidence in light of
IMMPACT recommendations.
AB - The role of thoracic paravertebral block (PVB) in preventing chronic postsurgical
pain (CPSP) after breast cancer surgery (BCS) has gained interest, but existing
evidence is conflicting, and its methodological quality is unclear. This meta
analysis evaluates efficacy of PVB, compared with Control group, in preventing
CPSP after BCS, in light of the Initiative on Methods, Measurement, and Pain
Assessment in Clinical Trials (IMMPACT) recommendations. Electronic databases
were searched for randomized trials comparing PVB with Control group for CPSP
prevention after BCS. Eligible trials were assessed for adherence to IMMPACT
recommendations. The primary outcomes were CPSP at 3 and 6 months, whereas
secondary outcomes were PVB-related complications. Data were pooled and analyzed
using random-effects modelling. Trial sequential analysis was used to evaluate
evidence conclusiveness. Data from 9 studies (604 patients) were analyzed. The
median (range) of IMMPACT recommendations met in these trials was 9 (5, 15) of
21. Paravertebral block was not different from Control group in preventing CPSP
at 3 months, but was protective at 6 months, with relative risk reduction (95%
confidence interval) of 54% (0.24-0.88) (P = 0.02). Meta-regression suggested
that the relative risk of CPSP was lower when single-injection (R = 1.00, P <
0.001) and multilevel (R = 0.71, P = 0.01) PVB were used. Trial sequential
analysis revealed that 6-month analysis was underpowered by at least 312
patients. Evidence quality was moderate according to the GRADE system. Evidence
suggests that multilevel single-injection PVB may be protective against CPSP at 6
months after BCS, but methodological limitations are present. Larger trials
observing IMMPACT recommendations are needed to confirm this treatment effect and
its magnitude.
PMID- 29794880
TI - Improving accuracy for intraocular lens selection in cataract surgery.
AB - PURPOSE OF REVIEW: To perform a literature review of articles published between
2016 and 2017 to assess recent advances in intraocular lens (IOL) selection.
RECENT FINDINGS: A literature review revealed five areas of recent study
including advances in biometric technology, formula selection for challenging
eyes, accurate astigmatic correction, adjustment of IOL calculations for
postrefractive eyes with and without prerefractive surgery data, and use of
intraoperative IOL calculations. SUMMARY: As cataract surgery continues to be one
of the most commonly performed surgeries in the United States, it is important to
ensure that the most accurate technology, IOL formula, and surgical planning is
utilized.
PMID- 29794882
TI - Clinical Immersion: An Approach for Fostering Cross-disciplinary Communication
and Innovation in Nursing and Engineering Students.
AB - A faculty team from nursing and chemical engineering developed a course that
brought together students from each discipline for cross-disciplinary, team-based
clinical immersion and collaboration. Health care processes and devices are
rapidly changing, and nurses are uniquely positioned to be bedside innovators to
improve patient care delivery. During each clinical immersion, the student teams
rotated through various hospital units where they identified problems and worked
together in the university's makerspace (iMaker Space) to design and build
prototypes to improve health outcomes. Data from the Critical thinking Assessment
Test provided evidence of gains in critical-thinking and problem-solving skills,
while the problems identified in the clinical setting and prototypes developed
demonstrated the impact of bringing nursing and engineering students together to
design innovations. When challenged to identify authentic problems during their
clinical immersion, the teams of nursing and engineering students proposed
creative solutions and developed commercially viable prototypes.
PMID- 29794881
TI - Herpes zoster ophthalmicus: acute keratitis.
AB - PURPOSE OF REVIEW: Herpes zoster is a common condition, and involvement of the
trigeminal nerve results in herpes zoster ophthalmicus (HZO). Acute keratitis is
one of the most common of these ocular complications associated with HZO. The
findings associated with and the management of acute zoster keratitis will be
reviewed. RECENT FINDINGS: The incidence rate of herpes zoster has been on the
rise over the past several decades. At the same time, the average patient age at
presentation is declining with similar trends also seen in HZO. The cause of
these changes has yet to be determined. Our understanding of corneal involvement
in HZO continues to evolve with new imaging demonstrating viral particles within
keratocytes in a case of zoster stromal keratitis. New medications such as
topical ganciclovir are also helping to better manage acute zoster keratitis that
is unresponsive to oral antiviral therapy. SUMMARY: Acute zoster keratitis can
lead to permanent vision loss. Early diagnosis and management may help reduce
these potentially devastating complications. Oral and topical antiviral
medications can play a role in managing the acute disease, and herpes zoster
vaccinations are important for prevention of disease. Further research must be
done to establish standards for treatment of anterior segment complications from
herpes zoster.
PMID- 29794883
TI - Educational Preparation for Clinical Practice: Reflections of Newly Graduated
RNs.
AB - Preparedness of newly graduated RNs to provide safe, competent patient care is a
significant concern because of increasing work demands and complexities in health
care systems. This qualitative study explored the perceptions of newly graduated
RNs about how their educational experiences as prelicensure students prepared
them to enter the nursing workforce. Face-to-face, semi structured interviews
were conducted with 10 RNs who held baccalaureate degrees, were employed in acute
care settings, and practiced for 1 to 2 years. Analysis of the interview data
revealed that nursing education programs did not adequately prepare graduates for
their multifaceted roles and responsibilities as RNs. The participants offered
recommendations for faculty use in prelicensure nursing programs to better
prepare students for successful transition into clinical practice. Nursing
faculty should implement practice-oriented educational experiences to facilitate
students to assume professional roles and responsibilities when they enter the
nursing workforce.
PMID- 29794884
TI - Nurse Preceptor Perceptions of Nursing Student Progress Toward Readiness for
Practice.
AB - New nurses are often perceived as not meeting expectations for safe nursing
practice. Nurse educators need to identify student learning and professional
development needs as students progress toward practice. The purpose of the study
was to determine the strengths and weaknesses of senior-level nursing students
related to readiness for practice before graduation. Using a descriptive,
exploratory design, nurse preceptors evaluated the degree to which their assigned
senior nursing student was meeting expectations for readiness for nursing
practice. Survey items were organized into affective, cognitive, and psychomotor
domains and general readiness. This evaluation occurred at the beginning of the
final practicum to assess student progress toward readiness for practice.
Students scored highest in professional attributes but lowest in time management,
prioritization, management of multiple patients, and pharmacology knowledge.
Results can be used to inform teaching strategies, strengthen academic-clinical
partnerships, and promote readiness for entry-level practice.
PMID- 29794885
TI - Normative Values of Knee Extensor Isokinetic Strength for Older Women and
Implications on Physical Function.
AB - BACKGROUND AND PURPOSE: Lower-limb strength is required for everyday activities
and thus its evaluation has been especially emphasized in older adults.
Isokinetic testing is a criterion standard method to assess muscle strength;
however, lack of reference values limits its usefulness in geriatric evaluation
and rehabilitation. The aim of this study was to develop reference values of knee
extensor isokinetic strength for older women. As a secondary aim, functional
tests were performed for clinical significance validation. METHODS: A total of
453 older women aged 60 to 84 years participated in this study. Knee extensor
isokinetic strength was measured using the Biodex System dynamometer at 60
degrees per second. The Timed Up and Go test and the 5 times Sit-to-Stand test
were used for functional performance evaluation. Participants were categorized
into age groups of 5 years range. The 20th, 40th, 60th, and 80th percentiles were
used for stratification purposes. RESULTS AND DISCUSSION: As expected, mean
strength values significantly decreased with advancing age groups. Isokinetic
percentile groups are presented according to age. Individuals in the lower
percentile strata showed significantly reduced performance in both the Timed Up
and Go and 5 times Sit-to-Stand tests (P < .05). CONCLUSION: This study provides
normative values of isokinetic knee extensor strength in older women. The
association between lower isokinetic knee extensor strength strata and reduced
functional capacity supports the potential application of these reference values
in clinical and research settings. Future studies should ascertain these findings
in different female populations.
PMID- 29794886
TI - Relationship Between Body Mass Index and Static and Dynamic Balance in Active and
Inactive Older Adults.
AB - BACKGROUND AND PURPOSE: Although the association between higher body mass index
and poorer balance has been observed in older adults, the role of physical
activity in this relationship is not well established. This study aimed to
provide scientific evidence about the relationship between body mass index and
balance, taking into account the amount of physical activity performed as a
confounding variable. METHODS: We collated cross-sectional data from 160
community-dwelling older adults whom we divided into 3 body mass index
categories: normal weight (>=18.50-24.99 kg/m), overweight (25.00-29.99 kg/m),
and obese (>=30.00-34.99 kg/m). We classified the participants as inactive or
active by means of the Yale Physical Activity Questionnaire. We carried out
static and dynamic balance measurements by means of a force platform and through
the performance of the Timed Up and Go test, respectively. RESULTS: We found
statistically significant correlations between static balance, dynamic balance,
and body mass index in inactive normal (r = 0.280; P = .035; r = 0.300; P = .031)
and inactive overweight (r = 0.395; P = .025; r = 0.339; P = .023) people. We
observed moderately strong and fair significant correlations between
static/dynamic balance and BMI in inactive (r = .603; P = .028; and r = 0.720; P
= .020) and active (r = 0.406; P = .037; and r = 0.378; P = .037) obese people,
respectively. CONCLUSION: We conclude that the amount of physical activity
performed is a potential contributing factor affecting the association between
body mass index and balance in older persons. These findings could be of
importance when identifying the main factors that influence postural control
among older adults with obesity.
PMID- 29794887
TI - Development and Evaluation of an Educational Initiative to Improve Hospital
Personnel Preparedness to Care for Children with Autism Spectrum Disorder.
AB - OBJECTIVE: To evaluate the effectiveness of a multimodal educational curriculum
on increasing hospital personnel's awareness of successful strategies and comfort
in caring for children with autism spectrum disorder (ASD). METHODS: We developed
a 3-part training for front-line staff (i.e., front desk, clinical assistants,
and phlebotomists) in 8 outpatient hospital departments frequented by patients
with ASD. Following a needs assessment, participants completed an online
educational module and then attended an in-person seminar tailored to each
department. To evaluate training effectiveness, we administered pre-, immediate
post-, and 1 month post-training surveys assessing personnel attitudes, comfort,
perceived knowledge, and behaviors around caring for patients with ASD. RESULTS:
We trained 168 staff members from 8 departments. On the needs assessment,
participants (N = 129) reported a mean 2.5 behavioral incidents involving
patients with ASD over the previous 3 months; 92% believed that the training
would be helpful for their work. Across pre-, immediate- and 1-month post
training surveys, scores improved on all questions related to personnel attitudes
about the importance of ASD-friendly care, comfort interacting with patients with
ASD, perceived knowledge about ASD, and self-reported frequency of behaviors
intended to help children with ASD adjust to the hospital setting (p < 0.05).
There was no difference in baseline scores or change in scores between clinical
and nonclinical personnel. On a program evaluation (N = 57), 81% rated the
training as "very good" or "excellent," and 87% reported that they would be able
to apply training material immediately to their role. CONCLUSION: This training
initiative led to improvement in attitudes, comfort level, perceived knowledge,
and self-reported behaviors of hospital personnel working with patients with ASD,
which was maintained over 1 month.
PMID- 29794888
TI - Risk and Protective Factors for Externalizing Behavior at 3 Years: Results from
the All Our Families Pregnancy Cohort.
AB - OBJECTIVE: This study examines risk and protective factors for externalizing
behavior in children aged 3 years to inform early interventions and enhance
school readiness. METHOD: A total of 1314 mothers participating in a longitudinal
study completed questionnaires when their children turned 2 years and again at
the age of 3 years. Externalizing behavior was assessed using a short version of
the Child Behavior Checklist. Risk and protective factors included the child's
characteristics, maternal mental health and disposition, socioeconomic status,
and community engagement and child care. Logistic regression models produced
crude and adjusted odds ratios (AORs). RESULTS: Poor maternal mental health and
high levels of maternal neuroticism were associated with an increased risk for
externalizing problems at 3 years (AOR, 1.66; 95% confidence interval [CI], 1.16
2.40 and AOR, 2.28; 95% CI, 1.58-3.30). Care by their mother, relative, or a
nanny (compared with being in child care) also conferred an increased risk (AOR,
1.38; 95% CI, 1.01-1.90). Mothers' community engagement modified the risk for
boys, such that boys whose mothers did not participate in community activities
were 4 times more likely to have externalizing problems than did boys whose
mothers engaged in community activities. CONCLUSION: Families in which mothers
experience mental health challenges or have dispositional traits that increase
the risk of externalizing behaviors can be identified early. Identification
provides the opportunity to promote engagement with parenting supports to improve
the outcomes of the child and family. Providing opportunities for children to
practice their self-regulation skills through participation in child care and
community activities promotes development and mitigates the risk of externalizing
behavior.
PMID- 29794889
TI - Supporting Development During Military Deployment and After April 2018.
AB - CASE: Ryan is a 6-year-old child new to your primary care practice after
relocating from out of state with his father and younger sister. Ryan's
grandmother recently expressed concerns about Ryan's social skills and behavior.
He was subsequently diagnosed by a developmental and behavioral pediatrician with
autism spectrum disorder, global developmental delay, and attention deficit
hyperactivity disorder. At your first visit with Ryan, his father provides the
following history: When Ryan was 3 years old, he was living with his mother and
infant sister while his father was serving his fourth tour of duty with the
marines in Afghanistan. One night, while Ryan was sleeping in bed with his
mother, she died suddenly from a pulmonary embolism. Ryan's father was then
called home from Afghanistan to take care of the children.Ryan's father explains
that this was his first time serving as a primary caregiver for any prolonged
period. He felt overwhelmed and unprepared, both cognitively and emotionally, to
return from a combat zone and assume full-time, single-parent responsibilities.
Ryan's father admitted knowing little about child development and had not had
sustained interactions with his own children because of frequent deployments. He
did not appreciate the delays and atypicalities in Ryan's development until he
moved back home with his own mother and she expressed concerns. Ryan's father had
his own psychological, emotional, and physical challenges from participating in
active combat, including chronic pain in his shoulder from multiple gunshot
wounds. Despite moving back home to be close to his family, Ryan's father admits
feeling isolated and reports that "no one knows what it feels like."How would you
provide unique support to Ryan and his family? What treatment modalities are
particularly important to emphasize?
PMID- 29794890
TI - Influence of a Vented Mouthguard on Physiological Responses in Handball.
AB - Schulze, A, Laessing, J, Kwast, S, and Busse, M. Influence of a vented mouthguard
on physiological responses in handball. J Strength Cond Res XX(X): 000-000, 2018
Mouthguards (MGs) improve sports safety. However, airway obstruction and a
resulting decrease in performance are theoretical disadvantages regarding their
use. The study aim was to assess possible limitations of a "vented" MG on aerobic
performance in handball. The physiological effects were investigated in 14 male
professional players in a newly developed handball-specific course. The measured
values were oxygen uptake, ventilation, heart rate, and lactate. Similar oxygen
uptake (V[Combining Dot Above]O2) values were observed with and without MG use
(51.9 +/- 6.4 L.min.kg vs. 52.1 +/- 10.9 L.min.kg). During maximum load,
ventilation was markedly lower with the vented MG (153.1 +/- 25 L.min vs. 166.3
+/- 20.8 L.min). The endexpiratory concentrations of O2 (17.2 +/- 0.5% vs. 17.6
+/- 0.8%) and CO2 (4.0 +/- 0.5% vs. 3.7 +/- 0.6%) were significantly lower and
higher, respectively, when using the MG. The inspiration and expiration times
with and without the MG were 0.6 +/- 0.1 seconds vs. 0.6 +/- 0.1 seconds and 0.7
+/- 0.2 seconds vs. 0.6 +/- 0.2 seconds (all not significant), respectively,
indicating that there was no relevant airflow restriction. The maximum load was
not significantly affected by the MG. The lower ventilation for given V[Combining
Dot Above]O2 values associated with MG use may be an effect of improved
biomechanics and lower respiratory drive of the peripheral musculature.
PMID- 29794891
TI - Global Training Effects of Trained and Untrained Muscles With Youth Can be
Maintained During 4 Weeks of Detraining.
AB - Chaouachi, A, Ben Othman, A, Makhlouf, I, Young, JD, Granacher, U, and Behm, DG.
Global training effects of trained and untrained muscles with youth can be
maintained during 4 weeks of detraining. J Strength Cond Res XX(X): 000-000, 2018
Global (whole-body) effects of resistance training (i.e., cross-education) may be
pervasive with children. Detraining induces less substantial deficits with
children than adults. It was the objective of this study to investigate the
global responses to 4 weeks of detraining after 8 weeks of unilateral leg press
(LP) training in 10-13-year-old, pre-peak-height-velocity stage boys. Subjects
were randomly separated into 2 unilateral resistance training groups (high
load/low repetitions [HL-LR] and low load/high repetitions [LL-HR], and control
group). Assessments at pre-training, post-training, and detraining included
dominant and nondominant limbs, unilateral, 1 repetition maximum (1RM) and 60%
1RM LP, knee extension, knee flexion, elbow flexion, and handgrip maximal
voluntary isometric contraction (MVIC), and countermovement jump (CMJ). All
measures significantly increased from pre-test to detraining for both training
programs, except for elbow flexion MVIC with increases only with HL-LR. All
measures except CMJ and handgrip MVIC significantly decreased from post-test to
detraining, except for elbow flexion MVIC with decreases only with HL-LR. The
dominant trained limb experienced significantly greater LP improvements (pre- to
detraining) and decrements (post- to detraining) with LP 1RM and 60% 1RM LP. In
conclusion, youth HL-LR and LL-HR global training effects of trained and
untrained limbs demonstrate similar benefits (pre- to detraining) and decrements
(post- to detraining) with detraining. The findings emphasize that training any
muscle group in a child can have positive global implications for improved
strength and power that can persist over baseline measures for at least a month.
PMID- 29794893
TI - Hamstring-to-Quadriceps Torque Ratios of Professional Male Soccer Players: A
Systematic Review.
AB - Baroni, BM, Ruas, CV, Ribeiro-Alvares, JB, and Pinto, RS. Hamstring-to-quadriceps
torque ratios of professional male soccer players: A systematic review. J
Strength Cond Res XX(X): 000-000, 2018-The goal of this review was to determine
the isokinetic hamstring-to-quadriceps (H/Q) torque ratios of professional male
soccer players. Systematic searches were independently carried out by 2
researchers in 7 electronic databases. Only studies with teams from the first or
second national leagues were included. From these studies, we extracted the
players' H/Q conventional (concentric/concentric) and/or functional
(eccentric/concentric) ratios. The initial search resulted in 2,128 articles that
were filtered to 30 articles (1,727 players) meeting the inclusion criteria. The
H/Q conventional ratio was assessed in 27 studies (1,274 players), whereas the
H/Q functional ratio was assessed in 15 studies (1,082 players). The H/Q
conventional ratio mean scores of professional male soccer players were close to
60% when tested at low to intermediate angular velocities (12 degrees .s = 52 +/-
7%; 30 degrees .s = 52 +/- 8%; 60 degrees .s = 65 +/- 12%; 90 degrees .s = 57 +/-
6%; 120 degrees .s = 65 +/- 16%; 180 degrees .s = 67 +/- 17%) and around 70-80%
at fast angular velocities (240 degrees .s = 80 +/- 40%; 300 degrees .s = 70 +/-
15%; 360 degrees .s = 80 +/- 13%). The H/Q functional ratio mean scores of
professional male soccer players were close to 80% at 60 degrees .s (79 +/- 19%),
around 100-130% at intermediate to fast angular velocities (120 degrees .s = 127
+/- 42%; 180 degrees .s = 96 +/- 19%; 240 degrees .s = 109 +/- 22%; 300 degrees
.s = 123 +/- 18%), and near or above 130% when angular testing velocities were
mixed (eccentric hamstring < concentric quadriceps; 30/240 degrees .s = 132 +/-
26%; 60/180 degrees .s = 129 +/- 20%; 60/240 degrees .s = 153 +/- 30%). In
conclusion, considering the tested isokinetic angular velocity, professional male
soccer players do not meet the traditional reference landmarks used to assess the
strength balance between quadriceps and hamstring muscles (i.e., 60 and 100% for
H/Q conventional and functional ratios, respectively), which supports a need for
specific reference values according to the angular velocity selected for testing
H/Q torque ratios.
PMID- 29794894
TI - Agreement Between Bioelectrical Impedance and Dual-Energy X-Ray Absorptiometry to
Track Changes in Fat-Free Mass After Resistance Training in Older Women.
AB - Nascimento, MA, Silva, DRP, Ribeiro, AS, Pina, FLC, Gerage, AM, Gobbo, LA,
Mayhew, JL, and Cyrino, ES. Agreement between bioelectrical impedance and dual
energy x-ray absorptiometry to track changes in fat-free mass after resistance
training in older women. J Strength Cond Res XX(X): 000-000, 2018-The aim of our
study was to compare the agreement between bioelectrical impedance (BIA) and dual
energy X-ray absorptiometry (DXA) to track changes on fat-free mass (FFM) after a
resistance training (RT) program in older women. Forty-three older women (65.2 +/
4.6 years, 59.5 +/- 9.2 kg, 156.4 +/- 6.0 cm, 24.3 +/- 3.3 kg.m) participated in
a RT intervention (12 weeks, 8 exercises, 2 sets, 10-15 repetitions, 3
nonconsecutive days per week). Fat-free mass changes were determined by a single
frequency BIA device (EQ1), 6 BIA prediction equations for older women (EQ2, EQ3,
EQ4, EQ5, EQ6, and EQ7), and DXA. At pretraining, 3 equations overpredicted, and
3 underpredicted DXA FFM (F = 244.63, p < 0.001), although all equations had high
correlations with DXA (r = 0.78-0.83). After training, 4 equations overpredicted
and one underpredicted DXA FFM (F = 176.25, p < 0.001). Dual-energy X-ray
absorptiometry detected significant gains in FFM (0.65 +/- 0.82 kg; p < 0.05), as
did EQ3 (0.55 +/- 1.69 kg; p < 0.05), and EQ4 (0.61 +/- 1.88 kg; p < 0.05),
whereas the remaining equations did not indicate significant changes in FFM. Low
correlations between FFM and equation change values suggest that single-frequency
BIA-derived equations may not provide sufficient accuracy to track changes in FFM
after 12 weeks of RT in older women.
PMID- 29794896
TI - Not So Smart: Cell Phone Use Hurts Our Patients and Profession.
AB - The clinical setting is no place for divided attention.
PMID- 29794892
TI - Normative Quadriceps and Hamstring Muscle Strength Values for Female, Healthy,
Elite Handball and Football Players.
AB - Risberg, MA, Steffen, K, Nilstad, A, Myklebust, G, Kristianslund, E, Moltubakk,
MM, and Krosshaug, T. Normative quadriceps and hamstring muscle strength values
for female, healthy, elite handball and football players. J Strength Cond Res
32(8): 2314-2323, 2018-This study presents normative values for isokinetic knee
extension and flexion muscle strength tests in 350 elite, female, handball (n =
150) and football (n = 200) players. Isokinetic concentric muscle strength tests
at 60 degrees .sec were recorded bilaterally using a dynamometer. Peak torque (in
Newton meter [N.m]), body mass normalized peak torque (N.m.kg), and hamstring to
quadriceps ratio (H:Q ratio) for dominant and nondominant legs were recorded. The
female elite players were 20.9 +/- 4.0 years, started playing at the elite level
at the age of 18.2 +/- 2.7 years, with a mean of 9.7 +/- 2.2 hours of weekly in
season training. Handball players demonstrated greater quadriceps muscle strength
compared with football players (11.0%) (p < 0.001), also when normalized to body
mass (4.1%) (p = 0.012), but not for weight-adjusted hamstring muscle strength.
The H:Q ratio was higher on the dominant compared with the nondominant leg for
handball players only (p = 0.012).The H:Q ratio was significantly lower for
handball players (0.58) compared with football players (0.60) (p < 0.02). These
normative values for isokinetic knee extension and flexion torques of healthy,
elite, female handball and football players can be used to set rehabilitation
goals for muscle strength after injury and enable comparison with uninjured legs.
Significantly greater quadriceps muscle strength was found for handball players
compared with football players, also when normalized to body mass.
PMID- 29794895
TI - Nurses Wanted-Almost Everywhere.
AB - It seems the nursing shortage has arrived.
PMID- 29794897
TI - Nutrition and Wound Healing.
PMID- 29794898
TI - Ostomy Care.
PMID- 29794899
TI - Simulation Training.
PMID- 29794900
TI - Recognizing Delirium.
PMID- 29794901
TI - Relaxing Food Restrictions on Women in Labor.
PMID- 29794902
TI - Colorado 'Alternative to Opioids' Pilot Project Exceeds Goals.
AB - The ED pain management program reduced opioid use in populations at high risk for
misuse or abuse.
PMID- 29794904
TI - NewsCAP: Only 50% of teens with depression are diagnosed before they reach
adulthood.
PMID- 29794903
TI - How Media Influences Perceptions of Suicide.
AB - A panel separates myths from facts.
PMID- 29794905
TI - The Safety and Quality of Abortions in the United States.
AB - A review documents few complications, but location matters.
PMID- 29794906
TI - NewsCAP: All schools need a full-time nurse, preferably with a baccalaureate,
says the AAN.
PMID- 29794907
TI - NewsCAP: E-Cigarettes pose more harm than good as a tool to reduce smoking.
PMID- 29794908
TI - Unsafe Firearm Storage in Homes with Children.
AB - Only about one-third follow AAP safety guidelines.
PMID- 29794909
TI - NewsCAP: Study finds increased infant mortality from unintentional suffocation.
PMID- 29794910
TI - NewsCAP: APHA unlocks free public access to articles on firearm issues and
research.
PMID- 29794911
TI - Making Hospitals Less Threatening to Patients with Dementia.
AB - Measures have been proposed, but how realistic are they?
PMID- 29794912
TI - Trump Administration Opens Division of Conscience and Religious Freedom.
AB - In controversial move, federal health department signals shift in civil rights
focus.
PMID- 29794915
TI - Cardiotocography vs. Intermittent Auscultation in Assessing Fetal Well-Being.
AB - Editor's note: This is a summary of a nursing care-related systematic review from
the Cochrane Library. For more information, see http://nursingcare.cochrane.org.
PMID- 29794917
TI - FDA to Minimize Abuse Potential of OTC Antidiarrheal.
PMID- 29794916
TI - Antibiotic May Increase CV Risk in those with Heart Disease.
PMID- 29794918
TI - FDA Approves First Treatment for Nonmetastatic, Castration-Resistant Prostate
Cancer.
PMID- 29794919
TI - Bladder Cancer Drug Approved to Treat Stage III Non-Small Cell Lung Cancer.
PMID- 29794920
TI - Cavitation.
PMID- 29794921
TI - 1 CE Test Hour: Original Research: Understanding the Hospital Experience of Older
Adults with Hearing Impairment.
PMID- 29794922
TI - 1.5 CE Test Hours: Understanding the Nurse's Role in Managing Gaucher Disease.
PMID- 29794923
TI - The Benefits of Implementing an Early Mobility Protocol in Postoperative
Neurosurgical Spine Patients.
AB - : : Background: Despite the known benefits of early postsurgical mobility, there
are no clear recommendations on early mobility among uncomplicated postoperative
neurosurgical spine patients. PURPOSE: The purpose of this quality improvement
initiative was to establish an NP-led early mobility protocol to reduce
uncomplicated postsurgical spine patients' length of stay (LOS) in the hospital
and eliminate the variability of postsurgical care. A secondary objective was to
educate and empower nursing staff to initiate the early mobility protocol
independently and incorporate it in their practice to improve patient care.
METHODS: Two neurosurgery NPs led an interprofessional team to develop the early
mobility protocol. Team members provided preadmission preoperative education to
communicate the necessity for early mobility and provide information about the
protocol. New nursing guidelines called for patient mobility on the day of
surgery, within six hours of arrival on the medical-surgical unit. Nurses were
empowered to get patients out of bed independently, without a physical therapy
consultation; they also removed urinary catheters and discontinued IV opioids
when patients' status permitted. RESULTS: Over a one-year period, implementation
of the protocol resulted in a nine-hour reduction in LOS per hospitalization in
neurosurgical spine patients who underwent lumbar laminectomies. The protocol
also allowed nurses more autonomy in patient care and was a catalyst for patient
involvement in their postoperative mobility. Given the success of the protocol,
it is being replicated by other surgical services throughout the organization.
CONCLUSIONS: This low-cost, high-reward initiative aligns with the strategic plan
of the organization and ensures that high-quality, patient-centered care remains
the priority. NPs in other institutions can modify this protocol to promote
postoperative mobility in their organizations.
PMID- 29794924
TI - The Hard of Hearing Patient.
AB - Editor's note: From its first issue in 1900 through to the present day, AJN has
unparalleled archives detailing nurses' work and lives over more than a century.
These articles not only chronicle nursing's growth as a profession within the
context of the events of the day, but they also reveal prevailing societal
attitudes about women, health care, and human rights. Today's nursing school
curricula rarely include nursing's history, but it's a history worth knowing. To
this end, From the AJN Archives highlights articles selected to fit today's
topics and times.This February 1944 article is by Louise Neuschutz, who wrote
extensively in AJN and elsewhere about the hard of hearing, deafness, and
lipreading. Here she discusses the ways in which nurses can help "war-deafened
men... returning steadily from the various fronts." Her guidance is critically
relevant more than 70 years later, and we would do well today to follow her
practical tips for communicating with the hearing impaired.A hearing deficit can
magnify the stresses of hospitalization and even affect patient outcomes. In this
issue, Amy Funk and colleagues explore the potential hearing-related problems of
hospitalized patients in their qualitative study, "Understanding the Hospital
Experience of Older Adults with Hearing Impairment."
PMID- 29794925
TI - Getting and Giving Report.
AB - : This column is designed to help new nurses in their first year at the bedside-a
time of insecurity, growth, and constant challenges-and to offer advice as they
learn what it means to be a nurse. This article offers strategies new nurses can
use and specific steps they can take to help them succeed in both giving and
receiving report.
PMID- 29794926
TI - When Disaster Strikes.
AB - Apps, websites, and volunteer organizations can provide assistance.
PMID- 29794927
TI - Caring with Intention: Hospice Care and the Human Family.
AB - : Updated several times a week with posts by a wide variety of authors, AJN's
blog Off the Charts allows us to provide more timely-and often more personal
perspectives on professional, policy, and clinical issues. Best of the Blog will
be a regular column to draw the attention of AJN readers to posts we think
deserve a wider audience. To read more, please visit: www.ajnoffthecharts.com.
PMID- 29794928
TI - Legal Issues in Dismissing Unvaccinated Patients.
AB - : Even small proportions of parents refusing vaccines have important
consequences.
PMID- 29794929
TI - An Unflinching Exploration of Trauma and Obesity.
AB - : Roxane Gay's Hunger and why it matters for nurses.
PMID- 29794930
TI - Aromatherapy Relieves Nausea in ED Patients.
PMID- 29794931
TI - Effect of Body Positioning in Critically Ill Patients.
PMID- 29794932
TI - Determining Differences Between Physician And Nursing Care.
PMID- 29794933
TI - Patient Coaching Saves Lives And Money.
PMID- 29794934
TI - Probiotics in the Clinical Management of Lower GI Symptoms.
PMID- 29794935
TI - The Thin Flat Line Between Life and Death.
AB - In nursing, there's often a delicate balance between worlds.
PMID- 29794936
TI - Predictive Performance of Postoperative Neutrophil Gelatinase-Associated
Lipocalin for Development of Chronic Kidney Disease After Liver Transplantation.
PMID- 29794937
TI - Local Injections of Tacrolimus-loaded Hydrogel Reduce Systemic Immunosuppression
related Toxicity in Vascularized Composite Allotransplantation.
AB - BACKGROUND: Routine application of vascularized composite allotransplantation is
hampered by immunosuppression-related health comorbidities. To mitigate these, we
developed an inflammation-responsive hydrogel for local immunosuppression. Here,
we report on its long-term effect on graft survival, immunological, and
toxicological impact. METHODS: Brown Norway-to-Lewis rat hindlimb
transplantations were treated either systemically with daily injections of 1
mg/kg tacrolimus (TAC) or with subcutaneous intragraft injections of hydrogel
containing 7 mg TAC, every 70 days. Animals were monitored for rejection or other
pathology for 280 days. Systemic and graft TAC levels, regulatory T cells, and
donor cell chimerism were measured periodically. At endpoint, markers for kidney,
liver, and metabolic state were compared to naive age-matched rats. RESULTS: Both
daily systemic TAC and subcutaneous intragraft TAC hydrogel at 70-day intervals
were able to sustain graft survival longer than 280 days in 5 of 6 recipients. In
the hydrogel group, 1 graft progressed to grade 3 rejection at postoperative day
149. In systemic TAC group, 1 animal was euthanized due to lymphoma on
postoperative day 275. Hydrogel treatment provided stable graft and reduced
systemic TAC levels, and a 4 times smaller total TAC dose compared with systemic
immunosuppression. Hydrogel-treated animals showed preserved kidney function,
absence of malignancies or opportunistic infections and increased hematopoietic
chimerism compared with systemic immunosuppression. CONCLUSIONS: Our findings
demonstrate that localized immunosuppression with TAC hydrogel is a long-term
safe and reliable treatment. It may reduce the burden of systemic
immunosuppression in vascularized composite allotransplantation, potentially
boosting the clinical application of this surgical intervention.
PMID- 29794938
TI - Engineering Confined and Prevascularized Sites for Islet Transplantation.
PMID- 29794939
TI - The role of nursing with the journal Menopause and NAMS over the last 25 years:
contributions and collaboration.
PMID- 29794940
TI - The North American Menopause Society (NAMS) Continuing Medical Education
Activity.
PMID- 29794941
TI - NAMS CME activity self-assessment examination.
PMID- 29794942
TI - Major Laparoscopic Intraperitoneal Surgery Performed With Combined Bilateral
Subcostal Transversus Abdominal Plane Block and Celiac Plexus Block, Intravenous
Sedation Without Tracheal Intubation: A Feasibility Study of 3 Cases.
AB - OBJECTIVES: Major abdominal surgery usually requires general anesthesia with
tracheal intubation and may be supplemented with neuraxial anesthesia to provide
intraoperative and postoperative pain relief. Attempts at using only neuraxial
anesthesia for major abdominal surgery have often been shown to be poorly
effective. This report demonstrates that laparoscopic colonic surgical procedures
can be performed with ultrasound-guided blocks (bilateral transversus abdominal
plane block and celiac plexus block) and intravenous sedation, while avoiding
general or neuraxial anesthesia. CASE REPORT: We report our preliminary
experience in 3 patients (all American Society of Anesthesiologists physical
status III) who underwent laparoscopic colonic surgery without general
anesthesia. Intraoperative visceral analgesia was provided by single-injection
ultrasound anterior celiac plexus block to which was added a bilateral subcostal
transversus abdominal plane block to obtain parietal analgesia. Light intravenous
sedation was added. Surgical exposure was satisfactory, and no patient complained
of any symptom during the procedure. No adverse effect was recorded.
Postoperative pain was minimal, and recovery was enhanced with mobilization and
walking within hours after surgery. Patient satisfaction was excellent.
CONCLUSIONS: To date, celiac plexus block has been used almost exclusively to
relieve pancreatic cancer pain. This is the first report in which it is shown
that major intra-abdominal surgery can be performed almost exclusively with
regional anesthesia while avoiding adverse effects and problems associated with
either general or neuraxial anesthesia. In addition, prolonged postoperative pain
relief facilitated early recovery.
PMID- 29794943
TI - Erector Spinae Plane Block Versus Retrolaminar Block: A Magnetic Resonance
Imaging and Anatomical Study.
AB - BACKGROUND AND OBJECTIVES: The erector spinae plane (ESP) and retrolaminar blocks
are ultrasound-guided techniques for thoracoabdominal wall analgesia involving
injection into the musculofascial plane between the paraspinal back muscles and
underlying thoracic vertebrae. The ESP block targets the tips of the transverse
processes, whereas the retrolaminar block targets the laminae. We investigated if
there were differences in injectate spread between the 2 techniques that would
have implications for their clinical effect. METHODS: The blocks were performed
in 3 fresh cadavers. The ESP and retrolaminar blocks were performed on opposite
sides of each cadaver at the T5 vertebral level. Twenty milliliters of a
radiocontrast dye mixture was injected in each block, and injectate spread was
assessed by magnetic resonance imaging and anatomical dissection. RESULTS: Both
blocks exhibited spread to the epidural and neural foraminal spaces over 2 to 5
levels. The ESP block produced additional spread to intercostal spaces over 5 to
9 levels and was associated with a greater extent of craniocaudal spread along
the paraspinal muscles. CONCLUSIONS: The clinical effect of ESP and retrolaminar
blocks can be explained by epidural and neural foraminal spread of local
anesthetic. The ESP block produces additional intercostal spread, which may
contribute to more extensive analgesia. The implications of these cadaveric
observations require confirmation in clinical studies.
PMID- 29794944
TI - Use of Serratus Plane Block for Repair of Coarctation of Aorta: A Report of 3
Cases.
AB - OBJECTIVES: The practice of regional anesthesia techniques (thoracic, epidural,
paravertebral) in pediatric cardiac surgery enhances perioperative outcomes such
as improved perioperative analgesia, decreased stress response, early extubation,
and shortened hospital stay. However, these blocks can be technically challenging
and can be associated with unacceptable failure rate and complications in
infants. For these reasons, regional anesthesia is sometimes avoided in pediatric
cardiac surgery. We describe the simple and effective serratus plane block for
thoracotomy analgesia in 2 neonates and a child. CASE REPORT: We present 3
pediatric patients, each of whom was having coarctation repair and received an
ultrasound-guided serratus plane block for thoracotomy analgesia. The patients
were 3 days, 14 days, and 4 years old, weighing from 1.9 to 16 kg. The serratus
plane block was performed prior to surgical incision. The block was technically
simple compared with thoracic epidural or paravertebral block. All patients were
extubated immediately after completion of surgery. Apart from the induction dose
of fentanyl (2 MUg/kg), no further opioids were required intraoperatively.
Postoperative opioid requirements as well as duration of intensive care and
hospital stay were lower than recent averages (for the same demographic and
procedure) in our hospital. CONCLUSIONS: We propose that the serratus plane block
is a simple procedure that provides good perioperative analgesia for infant
thoracotomy, potentially facilitating early extubation and a shorter hospital
stay.
PMID- 29794946
TI - Semen Quality in Chinese College Students: Associations With Depression and
Physical Activity in a Cross-Sectional Study.
AB - OBJECTIVE: Behavioral and psychosocial factors have been associated with a
decline of the quality of semen. However, the relationship of depression and
physical activity (PA) with semen quality remains unclear. METHODS: Data were
obtained from 587 young male Chinese college students in June 2013. Participants
completed a questionnaire assessing life-style factors, the Zung self-rated
depression scale, and three items related to PA. They underwent a physical
examination and provided a semen sample and a blood sample for reproductive
hormones (testosterone, estrogen, progesterone, follicle-stimulating hormone,
luteinizing hormone, and prolactin). RESULTS: Men with high depression scores (n
= 63, 10.7%) had lower sperm concentration (M (SD) = 66.9 (74.5) versus 72.6
(56.9) [10/ml], p = .043) and total sperm count (M (SD) = 241.6 (299.7) versus
257.0 (204.0) [10], p = .024) than nondepressed men. Participants with low PA
levels (n = 99, 16.9%) had lower total sperm count (M (SD) = 204.4 (153.7) versus
265.8 (225.8) [10/ml], p = .017) than participants with higher activity levels.
After adjusting for potential confounders, depressed men had 18.90% (95%
confidence interval [CI] = 1.14%-33.47%) lower sperm concentration and 21.84%
(95% CI = 3.39%-36.90%) lower total sperm count than nondepressed men. Men with
low PA levels had 23.03% (95% CI = 2.80%-46.89%) lower total sperm count than
physically active participants. An interaction effect between depression and PA
on sperm concentration was detected (p = .033). There were no significant
associations of depression and PA with reproductive hormones (p > .05).
CONCLUSIONS: Depression and low levels of PA are associated with lower levels of
semen quality, which may have implications for reproductive health.
PMID- 29794947
TI - Myocardial stunning-induced left ventricular dyssynchrony on gated single-photon
emission computed tomography myocardial perfusion imaging.
AB - OBJECTIVES: Myocardial stunning provides additional nonperfusion markers of
coronary artery disease (CAD), especially for severe multivessel CAD. The purpose
of this study is to assess the influence of myocardial stunning to the changes of
left ventricular mechanical dyssynchrony (LVMD) parameters between stress and
rest gated single-photon emission computed tomography (SPECT) myocardial
perfusion imaging (MPI). PATIENTS AND METHODS: A total of 113 consecutive
patients (88 males and 25 females) who had undergone both stress and rest Tc
sestamibi gated SPECT MPI were retrospectively enrolled. Suspected or known
patients with CAD were included if they had exercise stress MPI and moderate to
severe myocardial ischemia. Segmental scores were summed for the three main
coronary arteries according to standard myocardial perfusion territories, and
then regional perfusion, wall motion, and wall thickening scores were measured.
Myocardial stunning was defined as both ischemia and wall dysfunction within the
same coronary artery territory. Patients were divided into the stunning group
(n=58) and nonstunning group (n=55). RESULTS: There was no significant difference
of LVMD parameters between stress and rest in the nonstunning group. In the
stunning group, phase SD and phase histogram bandwidth of contraction were
significantly larger during stress than during rest (15.05+/-10.70 vs. 13.23+/
9.01 and 46.07+/-34.29 vs. 41.02+/-32.16, P<0.05). Phase SD and phase histogram
bandwidth of relaxation were also significantly larger during stress than during
rest (21.21+/-13.91 vs. 17.46+/-10.52 and 59.03+/-37.82 vs. 52.38+/-36.89,
P<0.05). CONCLUSION: Both systolic and diastolic LVMD parameters deteriorate with
myocardial stunning. This kind of change may have incremental values to diagnose
CAD.
PMID- 29794948
TI - Improved Peritoneal Cavity and Abdominal Organ Imaging Using a Biphasic Contrast
Agent Protocol and Spectral Photon Counting Computed Tomography K-Edge Imaging.
AB - OBJECTIVES: To validate in vitro the capability of a high-spatial-resolution
prototype spectral photon-counting computed tomography (SPCCT) scanner to
differentiate between 2 contrast agents and to assess in vivo the image quality
and the feasibility to image the peritoneal cavity in rats using the 2 contrast
agents simultaneously within the vascular and peritoneal compartments. MATERIALS
AND METHODS: The authors performed SPCCT imaging (100 mAs, 120 kVp) with energy
bin thresholds set to 30, 51, 64, 72, and 85 keV in vitro on a custom-made
polyoxymethylene cylindrical phantom consisting of tubes with dilutions of both
contrast agents and in vivo on 2 groups of adult rats using 2 injection
protocols. Approval from the institutional animal ethics committee was obtained.
One group received macrocylic gadolinium chelate intraperitoneal (IP) and iodine
intravenous (IV) injections (protocol A, n = 3), whereas the second group
received iodine IP and gadolinium IV (protocol B, n = 3). Helical scans were
performed 35 minutes after IP injection and 20 seconds after IV injection. The
SPCCT and contrast material images, that is, iodine and gadolinium maps, were
reconstructed with a field of view of 160 mm, an isotropic voxel size of 250 MUm,
and a matrix size of 640 * 640 pixels using a soft reconstruction kernel. The
SPCCT images were reconstructed with 2 different spatial resolutions to compare
the image quality (sharpness, diagnostic quality, and organ visualization) of
SPCCT (250 MUm) with single-energy computed tomography (CT) (600 MUm). Two
radiologists evaluated the peritoneal opacification index in 13 regions (score =
0-3 per region) on each type of image. Concentrations of contrast agents were
measured in the organs of interest. RESULTS: In vitro, the concentration
measurements correlated well with the expected concentrations. The linear
regressions both had R values of 0.99, slopes of 0.84 and 0.87, and offsets at
0.52 and -0.38 mg/mL for iodine and gadolinium, respectively. In vivo, the SPCCT
images were of better diagnostic quality, with increased sharpness compared with
the CT-like images (P < 0.0001). Intraperitoneal diffusion was excellent, with
similar peritoneal opacification index on SPCCT images and overlay of contrast
material maps (P = 1) without a significant difference between protocol A (37.0
+/- 1.7) and protocol B (35.3 +/- 1.5) (P = 0.34). Only the contrast material
maps demonstrated clear visual separation of the contrast agents, allowing
specific quantification of the physiological enhancement in the liver, spleen,
and kidney and the urinary clearance in the renal pelvis and bladder. Renal
excretion of the contrast agents injected IP was observed and was consistent with
blood diffusion. CONCLUSIONS: Spectral photon-counting CT can be used to perform
a complete peritoneal dual-contrast protocol, enabling a good assessment of the
peritoneal cavity and abdominal organs in rats.
PMID- 29794945
TI - Brain Correlates of Mental Stress-Induced Myocardial Ischemia.
AB - OBJECTIVE: Coronary artery disease (CAD) is a major cause of morbidity and
mortality, and despite important advances in our understanding of this disorder,
the underlying mechanisms remain under investigation. Recently, increased
attention has been placed on the role of behavioral factors such as emotional
stress on CAD risk. Brain areas involved in memory and the stress response,
including medial prefrontal cortex, insula, and parietal cortex, also have
outputs to the peripheral cardiovascular system. The purpose of this study was to
assess the effects of mental stress on brain and cardiac function in patients
with CAD. METHODS: CAD patients (N = 170) underwent cardiac imaging with [Tc-99m]
sestamibi single-photon emission tomography at rest and during a public speaking
mental stress task. On another day, they underwent imaging of the brain with [O
15] water positron emission tomography (PET) during mental stress (arithmetic and
public speaking) and control conditions. RESULTS: Patients with mental stress
induced myocardial ischemia showed increased activation with stress in anterior
cingulate, inferior frontal gyrus, and parietal cortex (p < .005). This was seen
with both arithmetic stress and public speaking stress. Arithmetic stress was
additionally associated with left insula activation, and public speaking with
right pre/postcentral gyrus and middle temporal gyrus activation (p < .005).
CONCLUSIONS: These findings suggest that mental stress-induced myocardial
ischemia is associated with activation in brain areas involved in the stress
response and autonomic regulation of the cardiovascular system. Altered brain
reactivity to stress could possibly represent a mechanism through which stress
leads to increased risk of CAD-related morbidity and mortality.
PMID- 29794949
TI - Photon Counting Computed Tomography With Dedicated Sharp Convolution Kernels:
Tapping the Potential of a New Technology for Stent Imaging.
AB - OBJECTIVES: The aims of this study were to assess the value of a dedicated sharp
convolution kernel for photon counting detector (PCD) computed tomography (CT)
for coronary stent imaging and to evaluate to which extent iterative
reconstructions can compensate for potential increases in image noise. MATERIALS
AND METHODS: For this in vitro study, a phantom simulating coronary artery
stenting was prepared. Eighteen different coronary stents were expanded in
plastic tubes of 3 mm diameter. Tubes were filled with diluted contrast agent,
sealed, and immersed in oil calibrated to an attenuation of -100 HU simulating
epicardial fat. The phantom was scanned in a modified second generation 128-slice
dual-source CT scanner (SOMATOM Definition Flash, Siemens Healthcare, Erlangen,
Germany) equipped with both a conventional energy integrating detector and PCD.
Image data were acquired using the PCD part of the scanner with 48 * 0.25 mm
slices, a tube voltage of 100 kVp, and tube current-time product of 100 mAs.
Images were reconstructed using a conventional convolution kernel for stent
imaging with filtered back-projection (B46) and with sinogram-affirmed iterative
reconstruction (SAFIRE) at level 3 (I463). For comparison, a dedicated sharp
convolution kernel with filtered back-projection (D70) and SAFIRE level 3 (Q703)
and level 5 (Q705) was used. The D70 and Q70 kernels were specifically designed
for coronary stent imaging with PCD CT by optimizing the image modulation
transfer function and the separation of contrast edges. Two independent, blinded
readers evaluated subjective image quality (Likert scale 0-3, where 3 =
excellent), in-stent diameter difference, in-stent attenuation difference,
mathematically defined image sharpness, and noise of each reconstruction.
Interreader reliability was calculated using Goodman and Kruskal's gamma and
intraclass correlation coefficients (ICCs). Differences in image quality were
evaluated using a Wilcoxon signed-rank test. Differences in in-stent diameter
difference, in-stent attenuation difference, image sharpness, and image noise
were tested using a paired-sample t test corrected for multiple comparisons.
RESULTS: Interreader and intrareader reliability were excellent (gamma = 0.953,
ICCs = 0.891-0.999, and gamma = 0.996, ICCs = 0.918-0.999, respectively).
Reconstructions using the dedicated sharp convolution kernel yielded
significantly better results regarding image quality (B46: 0.4 +/- 0.5 vs D70:
2.9 +/- 0.3; P < 0.001), in-stent diameter difference (1.5 +/- 0.3 vs 1.0 +/- 0.3
mm; P < 0.001), and image sharpness (728 +/- 246 vs 2069 +/- 411 CT
numbers/voxel; P < 0.001). Regarding in-stent attenuation difference, no
significant difference was observed between the 2 kernels (151 +/- 76 vs 158 +/-
92 CT numbers; P = 0.627). Noise was significantly higher in all sharp
convolution kernel images but was reduced by 41% and 59% by applying SAFIRE
levels 3 and 5, respectively (B46: 16 +/- 1, D70: 111 +/- 3, Q703: 65 +/- 2,
Q705: 46 +/- 2 CT numbers; P < 0.001 for all comparisons). CONCLUSIONS: A
dedicated sharp convolution kernel for PCD CT imaging of coronary stents yields
superior qualitative and quantitative image characteristics compared with
conventional reconstruction kernels. Resulting higher noise levels in sharp
kernel PCD imaging can be partially compensated with iterative image
reconstruction techniques.
PMID- 29794951
TI - Efficacy of Veinlite PEDI in Pediatric Peripheral Intravenous Access: A
Randomized Controlled Trial.
AB - : A previous study by Katsogridakis et al (Pediatr Emerg Care. 2008;24:83-88)
evaluated the use of the white light Veinite transillumination device to improve
vein access in children. Since then, advanced light emitting diode color lighting
has been developed to improve the visualization of veins. To evaluate the
efficacy of the new technology, we carried out a study in our pediatric emergency
departments using the light emitting diode-based Veinlite PEDI (TransLite, Sugar
Land, Tex). METHODS: A total of 112 pediatric patients were enrolled in the
study. Children who presented to the emergency department aged 1 to 10 years old
were randomly assigned to the Veinlite PEDI (Veinlite) group or standard of care
(SoC) group. The primary outcome measure was first attempt success. Secondary
outcome measures were number of intravenous (IV) attempts and time to peripheral
intravenous catheter (PIC) placement. RESULTS: A total of 110 patients completed
the study: 58 boys and 52 girls. The first attempt success rate was significantly
higher in the Veinlite group compared with the SoC group (92.9% vs 72.2%, P <
0.004). In addition, the Veinlite group had a fewer number of attempts compared
with the SoC group (1.07 +/- 0.54 vs 1.31 +/- 0.25, P = 0.04). The Veinlite group
resulted in a shorter total time of attempts per patient compared with the SoC
group (49.98 +/- 18.4 vs 59.68 +/- 22.5 P = 0.01). CONCLUSIONS: The use of new
technology in the Veinlite PEDI (TransLite, Sugar Land, Tex), to assist with
peripheral IV access in children, improves the first time success rate for IV
access. Improved visualization of veins also reduced the number of attempts and
the time required for PIC placement. These results suggest that the new
technology of the Veinlite results in better PIC access than Veinlite
transilluminaton device with white light.
PMID- 29794950
TI - Adolescent Seizure in the Emergency Department Due to Concomitant Brugada
Syndrome.
AB - Brugada syndrome is an increasingly discussed entity in the emergency medicine
and cardiology literature. However, there are few cases reported in the pediatric
population. Seizure is a presentation common to pediatric emergency departments.
This case report describes the clinical course, workup, and differential
diagnosis of a 15-year-old male adolescent with first-time seizure and Brugada
pattern on electrocardiogram. A brief review of the literature follows the case
presentation.
PMID- 29794952
TI - Puss Caterpillar Envenomation: Erucism Mimicking Appendicitis in a Young Child.
AB - A 4-year-old female presented to the emergency department with 2 days of
abdominal pain, nausea, and vomiting. She was tachycardic and had abdominal
tenderness. Laboratory studies revealed a leukocytosis, hypokalemia, and
metabolic acidosis. Her Pediatric Appendicitis Score (MDCalc) was calculated as
6, indicating that appendicitis could not be excluded. She was transferred to our
pediatric hospital for further evaluation. Her ultrasound at our facility was
normal. Upon reexamination, the patient was noted to have a red mark on her left
index finger, and the family reported that the patient may have been stung by a
puss caterpillar before the onset of her symptoms. The patient was treated with
ondansetron, morphine, and intravenous fluids, and her symptoms resolved. Erucism
frequently develops after stings from the puss caterpillar, which can be found
through the southern United States. The most consistent clinical feature is pain.
Numbness, muscle cramping, paresthesias, nausea, vomiting, and chest pain have
also been described. Severe abdominal pain is reported rarely and may be mistaken
for peritonitis. Analgesia is the mainstay of treatment. Topical icepacks have
demonstrated inconsistent benefit in relieving pain. Oral medications, including
acetaminophen, nonsteroidal anti-inflammatory drugs, and, rarely, opioids,
typically suffice. Severe envenomations may require parenteral narcotics.
PMID- 29794953
TI - Initial Characteristics and Clinical Severity of Hemophagocytic
Lymphohistiocytosis in Pediatric Patients Admitted in the Emergency Department.
AB - OBJECTIVES: The diagnosis and management of children with hemophagocytic
lymphohistiocytosis (HLH) admitted in the emergency department (ED) are
challenging. The present study aimed at describing the initial characteristics of
pediatric patients with HLH upon admission in the ED. Moreover, the clinical
severity of the condition was assessed. METHODS: We performed a retrospective
study of patients who visited the pediatric ED and were newly diagnosed with HLH
during hospitalization between February 2012 and January 2017. The patients were
classified in the clinically unstable group if at least 1 of the following
conditions was observed upon admission in the ED: hypoxia requiring oxygen
supplementation, hypotension requiring inotropic support, coagulopathy with
prothrombin time (international normalized ratio, >=1.5), and seizures or altered
consciousness. RESULTS: We enrolled 31 pediatric patients with HLH, with a median
age of 6.53 years (interquartile range, 1.35-13.24 years). Abdominal discomfort
along with fever (74.2%) was the most common presenting symptom in patients
admitted in the ED. Based on the HLH-2004 diagnostic criteria, fever (96.8%),
hyperferritinemia (96.8%), splenomegaly (74.2%), hypertriglyceridemia and/or
hypofibrinogenemia (67.7%), and bicytopenia (41.9%) were observed in the
patients. However, only 8 patients (25.8%) met the criteria. Nineteen patients
(61.3%) were included in the clinically unstable group. This group had lower
albumin (2.3 vs 3.3 g/dL, P = 0.002) and fibrinogen levels and higher ferritin
level and neutrophil count than the clinically stable group. Meanwhile, the
number of clinical findings that met the diagnostic criteria was not different
between the 2 groups. Lower albumin level was a significant risk factor in the
clinically unstable group (odds ratio, 0.040; P = 0.004). CONCLUSIONS: Pediatric
patients with HLH often have clinically unstable conditions upon admission in the
ED. However, only few patients meet the HLH-2004 diagnostic criteria. Lower
albumin level may be useful in assessing clinically unstable patients and
preparing for possible deterioration.
PMID- 29794954
TI - Adolescent With Spontaneous Splenic Rupture as a Cause of Hemoperitoneum in the
Emergency Department: Case Report and Literature Review.
AB - Spontaneous rupture of the spleen is a rare clinical condition that usually
presents as a complication of a background pathology and can become a life
threatening condition if it is not diagnosed in time. We present the case of a 15
year-old girl with abdominal pain and clinical data of hypovolemic shock. The
simple tomographic study revealed deformation of the splenic architecture and
hemoperitoneum. Surgery demonstrated splenic rupture with ptosis spleen and
intraperitoneal free blood. The anatomopathological examination showed the
presence of splenomegaly and findings suggestive of peliosis. It also highlights
the known causes related to spontaneous splenic rupture.
PMID- 29794955
TI - Early Functional Treatment of Proximal Phalanx Fractures in Children: A Case
Series Study.
AB - OBJECTIVES: The objective of this study was to assess proper indications a
nonsurgical treatment regime for pediatric fractures of the proximal phalanx
based on principles of early functional treatment. METHODS: A case series
(evidence level 4) of 30 pediatric patients with fractures of the proximal
phalanx were treated nonsurgically using protective dynamic splinting techniques
and fiberglass casting material. Assessments were performed clinically and by x
ray within 4 to 8 weeks of commencement of treatment. Outcome measures included
Disabilities of the Arm, Shoulder, and Hand score questionnaire as well as
fingertip palm distance (cm) and dynamic pain interval assessments. RESULTS: All
fractures healed without any clinically apparent bony deformities. Disabilities
of the Arm, Shoulder, and Hand scores were of 25.17 +/- 5.29 (mean +/- SD), which
indicated good functional results usually within 2 weeks of removal of dynamic
splints. Fingertip palm distance measurements at endpoints were of 0.17 +/- 0.27
cm (mean +/- SD), which indicated an almost free range of finger motion. Absence
of pain perception under active finger motion (dynamic pain interval) was noted
at 14.10 +/- 6.79 days (mean +/- SD). CONCLUSIONS: Well-established criteria for
surgical treatment of phalangeal fractures exist. However, in our experience, a
majority of pediatric fractures of the proximal phalanx can be safely treated
nonsurgically with dynamic splinting along with shorter intervals of
immobilization of the affected fingers and faster restoration of overall hand
function compared to surgical treatment.
PMID- 29794956
TI - Fitz-Hugh-Curtis Syndrome in Adolescent Females: A Diagnostic Dilemma.
AB - Fitz-Hugh-Curtis syndrome is an extrapelvic manifestation of sexually transmitted
infections. Partly because of the lack of specific clinical and laboratory
features, this diagnosis is often missed or delayed. We describe a series of
cases of patients with Fitz-Hugh-Curtis syndrome, where the diagnosis was
initially not recognized and patients underwent extensive evaluations for their
symptoms. Based on our experience, we also describe shared historical and
physical features that may be useful in enhancing the recognition of patients
with this disease.
PMID- 29794957
TI - Quantification of Pain and Distress Associated With Intranasal Midazolam
Administration in Children and Evaluation of Validity of Four Observational
Measures.
AB - OBJECTIVES: The aims of this study were to quantify the pain and distress
associated with the administration of intranasal (IN) midazolam in young children
using 4 observational measures and to evaluate the degree of validity of these
measures. METHODS: We conducted a prospective observational pilot study. Children
aged 1 to 7 years requiring IN midazolam were enrolled. Children were videotaped,
and scores were assigned to baseline and administration phases using the
Observational Scale of Behavioral Distress-Revised (OSBD-R), Children's Hospital
of Eastern Ontario Pain Scale (CHEOPS), and the Faces-Legs-Activity-Cry
Consolability (FLACC) scale. The cry duration following administration was
assessed. Interrater reliability and convergent validity were determined for all
4 measures. Internal consistency and responsivity for the OSBD-R, CHEOPS, and
FLACC scales were determined. RESULTS: We enrolled 20 children. The mean OSBD-R,
CHEOPS, and FLACC scores associated with administration of IN midazolam were 27.1
(SD, 13.5), 11.5 (SD, 1.2), and 8.9 (SD, 2.7), respectively. The mean cry
duration was 105.5 (SD, 68.8) seconds. The intraclass correlation coefficients
for all measures ranged from 0.82 to 0.99. The Cronbach alpha's for the OSBD-R,
CHEOPS, and FLACC were between 0.71 and 0.97. Pearson correlation coefficients
for comparisons between OSBD-R, CHEOPS, and FLACC were between 0.82 and 0.96 but
were between 0.32 and 0.51 for comparisons involving cry duration. CONCLUSIONS:
We have identified estimates of pain and distress associated with administration
of IN midazolam in young children that can be used to determine desired effect
sizes for trials that study interventions to treat this pain and distress. The
OSBD-R, CHEOPS, and FLACC scales are suitable choices for outcome measures.
PMID- 29794958
TI - Herpes Simplex Virus Pneumonia in an Immunocompetent Child on Corticosteroids for
Acute Wheezing.
AB - Herpes simplex virus (HSV) is rarely the cause of pneumonia in immunocompetent
patients. We describe a previously healthy child, with no evidence of an
immunodeficiency, who presented to the emergency department with severe
pneumonia, wheezing, and pleural effusions with a history of orolabial HSV
infection. On admission, he was started on antibiotics and systemic
corticosteroids but continued to deteriorate. Oral lesions, blood, and pleural
fluid tested positive for HSV, and improvement was achieved only after the
addition of acyclovir and discontinuation of steroids. We suggest that steroids
should be used with caution in patients presenting with lower respiratory tract
symptoms and herpetic oral lesions.
PMID- 29794959
TI - Resident Performance of the Rapid Cardiopulmonary Assessment in the Emergency
Department.
AB - OBJECTIVES: The rapid cardiopulmonary assessment (RCPA) is an essential first
step in effective resuscitation of critically ill children. Pediatric residents
may not be achieving competency with resuscitative skills, including RCPA. Our
objective was to determine how often pediatric residents complete the RCPA for
actual patients. METHODS: This was an observational, cross-sectional study of
senior residents (>=postgraduate year 2) performing the RCPA in the resuscitation
area of a high-volume pediatric emergency department (PED), where pediatric
residents are expected to perform the bedside examination and assessment for all
medical (nontrauma) patients. Data were collected primarily by video review on a
standard form. The primary outcome was completion of the RCPA, defined as both
examination and verbalized assessment of the airway, breathing, and circulation.
We explored the association between RCPA completion and both residency year and
number of previous PED rotations. RESULTS: Complete data were collected from one
randomly selected patient for 71 (95%) of 75 of eligible senior residents who
rotated in the PED between January and June 2013. Two residents (3%) performed a
complete RCPA. Verbalized assessment of circulation was especially rare (7/71;
10%). There was no association between RCPA completion and year of training or
previous PED experience (P > 0.05). CONCLUSIONS: Senior pediatric resident
performance of the RCPA in the resuscitation area of a high-volume PED was poor.
There was no association between RCPA completion and greater resident experience,
including in the PED. These findings add to a growing body of literature
suggesting that pediatric residents are not achieving competency with the RCPA
and resuscitation skills.
PMID- 29794960
TI - An Unusual Pediatric Case of Seronegative Systemic Lupus Erythematosus Presented
With Acute Abdominal Pain and Gross Hematuria.
AB - A child with acute abdomen with gross hematuria occasionally visits the emergency
department (ED). Usually, such a condition is subject to differential diagnosis
for stones, injuries, or sometimes malignancies in the urinary tract. Here we
introduce an unusual case of a 9-year-old girl who presented to ED with acute
lower abdominal pain and gross hematuria. She had no medical history. An urgent
computed tomographic image revealed a renal vein thrombosis. Laboratory tests for
autoimmune diseases and coagulaopathies were performed, and the results were
within normal ranges. At the time, she did not fulfil the criteria for systemic
lupus erythematosus or antiphospholipid syndrome. Later at follow-up, however,
she had a recurrent episode of renal vein thrombosis. A kidney biopsy was
performed to reveal histology of membranous lupus nephropathy. The case
emphasizes the importance for both ED physicians and pediatricians to have a
clinical suspicion of autoimmune diseases in cases with major vessel thrombosis,
even when the patient is seronegative.
PMID- 29794961
TI - Combined Hepatocellular Carcinoma and Neuroendocrine Carcinoma with Ectopic
Secretion of Parathyroid Hormone: A Case Report and Review of the Literature.
AB - Primary combined hepatocellular carcinoma (HCC) and neuroendocrine carcinoma is a
rare entity, and so is hypercalcemia due to ectopic parathyroid hormone (PTH)
secretion by tumor. A 44-year old man with hepatitis B virus associated chronic
liver disease presented with a hepatic mass. Hemihepatectomy discovered the mass
as combined HCC and poorly differentiated cholangiocarcinoma. During adjuvant
chemoradiation therapy, he presented with nausea, and multiple systemic
metastases were found. Laboratory tests revealed hypercalcemia with markedly
elevated PTH and neuron specific enolase. Parathyroid scan showed normal uptake
in parathyroid glands, suggestive of ectopic PTH secretion. Subsequently,
immunohistochemistry of neuroendocrine marker was performed on the primary
lesion, and confirmed the neuroendocrine differentiation in non-HCC component.
The patient died 71 days after surgery. This report may suggest the possibility
of ectopic PTH secretion by neuroendocrine carcinoma of hepatic origin causing
hypercalcemia. Caution for neuroendocrine differentiation should be exercised
when diagnosing poorly differentiated HCC.
PMID- 29794964
TI - Internal shoulder impingement in overhead athletes: an ultrasound imaging
proposal.
PMID- 29794963
TI - Musculoskeletal ultrasound: athletic injuries of the lower extremity.
AB - Athletic injuries of the lower extremities are commonly encountered in clinical
practice. While some pathology can be diagnosed on physical exam, others are a
clinical dilemma with nonspecific symptomatology. In these situations, ultrasound
imaging can be utilized as an exceptional diagnostic tool, offering unique
advantages over other imaging modalities. This article will review the imaging
characteristics of commonly encountered athletic injuries of the lower extremity.
PMID- 29794962
TI - Validation of Neurotensin Receptor 1 as a Therapeutic Target for Gastric Cancer.
AB - Gastric cancer is the fifth most common type of malignancy worldwide, and the
survival rate of patients with advanced-stage gastric cancer is low, even after
receiving chemotherapy. Here, we validated neurotensin receptor 1 (NTSR1) as a
potential therapeutic target in gastric cancer. We compared NTSR1 expression
levels in sixty different gastric cancer-tissue samples and cells, as well as in
other cancer cells (lung, breast, pancreatic, and colon), by assessing NTSR1
expression via semi-quantitative real-time reverse transcription polymerase chain
reaction, immunocytochemistry and western blot. Following neurotensin (NT)
treatment, we analyzed the expression and activity of matrix metalloproteinase-9
(MMP-9) and further determined the effects on cell migration and invasion via
wound-healing and transwell assays. Our results revealed that NTSR1 mRNA levels
were higher in gastric cancer tissues than non-cancerous tissues. Both of NTSR1
mRNA levels and expression were higher in gastric cancer cell lines relative to
levels observed in other cancer-cell lines. Moreover, NT treatment induced MMP-9
expression and activity in all cancer cell lines, which was significantly
decreased following treatment with the NTSR1 antagonist SR48692 or small
interfering RNA targeting NTSR1. Furthermore, NT-mediated metastases was
confirmed by observing epithelial-mesenchymal transition markers SNAIL and E
cadherin in gastric cancer cells. NT-mediated invasion and migration of gastric
cancer cells were reduced by NTSR1 depletion through the Erk signaling. These
findings strongly suggested that NTR1 constitutes a potential therapeutic target
for the inhibition of gastric cancer invasion and metastasis.
PMID- 29794965
TI - Aflatoxin B1 and M1: Biological Properties and Their Involvement in Cancer
Development.
AB - Aflatoxins are fungal metabolites found in feeds and foods. When the ruminants
eat feedstuffs containing Aflatoxin B1 (AFB1), this toxin is metabolized and
Aflatoxin M1 (AFM1) is excreted in milk. International Agency for Research on
Cancer (IARC) classified AFB1 and AFM1 as human carcinogens belonging to Group 1
and Group 2B, respectively, with the formation of DNA adducts. In the last years,
some epidemiological studies were conducted on cancer patients aimed to evaluate
the effects of AFB1 and AFM1 exposure on cancer cells in order to verify the
correlation between toxin exposure and cancer cell proliferation and invasion. In
this review, we summarize the activation pathways of AFB1 and AFM1 and the data
already reported in literature about their correlation with cancer development
and progression. Moreover, considering that few data are still reported about
what genes/proteins/miRNAs can be used as damage markers due to AFB1 and AFM1
exposure, we performed a bioinformatic analysis based on interaction network and
miRNA predictions to identify a panel of genes/proteins/miRNAs that can be used
as targets in further studies for evaluating the effects of the damages induced
by AFB1 and AFM1 and their capacity to induce cancer initiation.
PMID- 29794966
TI - Dietary Cholesterol Intake Is Not Associated with Risk of Type 2 Diabetes in the
Framingham Offspring Study.
AB - Identification of diet and lifestyle risk factors for prevention of type 2
diabetes mellitus (T2DM) is of great importance. The specific role of dietary
cholesterol (DC) in T2DM risk is unclear. This study uses data from 2192
Framingham Offspring Study subjects to estimate the effects of DC alone and in
combination with markers of a healthy diet and other lifestyle factors on fasting
glucose and risk of T2DM or impaired fasting glucose (IFG) over 20 years of
follow-up. Dietary data were derived from two sets of three-day food records.
Statistical methods included mixed linear regression and Cox proportional
hazard's modeling to adjust for confounding. There were no statistically
significant differences in glucose levels over 20 years of follow-up across DC
intake categories (.
PMID- 29794967
TI - Carbon Nanohorn Suprastructures on a Paper Support as a Sorptive Phase.
AB - This article describes a method for the modification of paper with single-wall
carbon nanohorns (SWCNHs) to form stable suprastructures. The SWCNHs form stable
dahlia-like aggregates in solution that are then self-assembled into superior
structures if the solvent is evaporated. Dipping paper sections into a dispersion
of SWCNHs leads to the formation of a thin film that can be used for
microextraction purposes. The coated paper can be easily handled with a simple
pipette tip, paving the way for disposable extraction units. As a proof of
concept, the extraction of antidepressants from urine and their determination by
direct infusion mass spectrometry is studied. Limits of detection (LODs) were 10
ng/L for desipramine, amitriptyline, and mianserin, while the precision,
expressed as a relative standard deviation, was 7.2%, 7.3%, and 9.8%,
respectively.
PMID- 29794969
TI - Oral and Dental Health Status among Adolescents with Limited Access to Dental
Care Services in Jeddah.
AB - The purpose of this study was to assess the prevalence and associated factors of
dental caries and periodontal diseases among 14-19-year-old schoolchildren with
limited access to dental care services. A cross sectional study design was
conducted during field visits to seven governmental schools in Al-Khomrah
district, South Jeddah, over the period from September 2015 to May 2016. Clinical
examinations and administered questionnaires were carried out in mobile dental
clinics. The dentists carried out oral examinations using the dental caries index
(DMFT), the simplified oral hygiene index (OHI-S), and the community periodontal
index for treatment needs (CPITN). Statistical analyses were performed using SPSS
20. A total of 734 schoolchildren were examined. The prevalence of decayed teeth
was 79.7% and was significantly higher among boys (88.9%) than girls (69.0%).
About 11% of students had missing teeth, with a significantly higher figure among
females than males (15.9% versus 7.3%); 19.8% of students had filled teeth.
Moreover, a DMFT of seven or more was significantly more prevalent among males
(43.3%) than females (26.8%), while the percentage of females with sound teeth
was significantly higher than for males (20.4% and 9.6% respectively). The CPITN
revealed 0, 1 and 2 scores among 14.6%, 78.2%, and 41.6% respectively. Males had
a significantly higher percentage of healthy periodontal condition (23.8%) than
females (3.8%). Dental caries prevalence was moderate to high, calculus and
gingival bleeding were widespread among schoolchildren, and were more prevalent
among students with low socioeconomic status.
PMID- 29794968
TI - Nanoparticle-Mediated Therapeutic Agent Delivery for Treating Metastatic Breast
Cancer-Challenges and Opportunities.
AB - Breast cancer (BC) is the second leading cause of cancer-related death in
American women and more than 90% of BC-related death is caused by metastatic BC
(MBC). This review stresses the limited success of traditional therapies as well
as the use of nanomedicine for treating MBC. Understanding the biological
barriers of MBC that nanoparticle in vivo trafficking must overcome could provide
valuable new insights for translating nanomedicine from the bench side to the
bedside. A view about nanomedicine applied in BC therapy has been summarized with
their present status, which is gaining attention in the clinically-applied
landscape. The progressions of drug/gene delivery systems, especially the status
of their preclinical or clinical trials, are also discussed. Here we highlight
that the treatment of metastasis, in addition to the extensively described
inhibition of primary tumor growth, is an indispensable requirement for
nanomedicine. Along with more innovations in material chemistry and more
progressions in biology, nanomedicine will constantly supply more exciting new
approaches for targeted drug/gene delivery against MBC.
PMID- 29794971
TI - Fabrication of alpha-Fe/Fe3C/Woodceramic Nanocomposite with Its Improved
Microwave Absorption and Mechanical Properties.
AB - Furan resin and fir powder pretreated by FeCl3 and aqueous ammonia solution were
used to fabricate alpha-Fe/Fe3C/woodceramic nanocomposite. The bands of the
pretreated wood powder were characterized by Fourier transform infrared
spectroscopy (FTIR). The structural characterization of the nanocomposites was
performed by scanning electron microscopy (SEM) and X-ray diffraction (XRD). The
microwave absorption of the nanocomposites was measured by a vector network
analyzer in the range of 2-18 GHz. The mechanical properties of the composites
were also investigated. XRD and SEM results show that the alpha-Fe and Fe3C
nanoparticles are in-situ generated and disperse in the matrix of the
woodceramic. The diameters of these nanoparticles increase with the increasing of
concentration of FeCl3 solution. The experimental results show that both the
complex permittivity and the complex permeability of alpha-Fe/Fe3C/woodceramic
nanocomposites increase as the concentration of FeCl3 solution increases. The
composites pretreated with 0.60 mol.L-1 FeCl3 have the best absorption
properties. The maximum value of reflection loss (RL) at 3 mm thickness reaches
25.60 dB at 10.16 GHz and the bandwidth below -10 dB is about 2.5 GHz. Compared
to woodceramic, the bending strength and compressive strength of alpha
Fe/Fe3C/woodceramic nanocomposites increase by 22.5% and 18.7% at most,
respectively.
PMID- 29794970
TI - Application of CRISPR/Cas9 Gene Editing System on MDV-1 Genome for the Study of
Gene Function.
AB - Marek's disease virus (MDV) is a member of alphaherpesviruses associated with
Marek's disease, a highly contagious neoplastic disease in chickens. Complete
sequencing of the viral genome and recombineering techniques using infectious
bacterial artificial chromosome (BAC) clones of Marek's disease virus genome have
identified major genes that are associated with pathogenicity. Recent advances in
CRISPR/Cas9-based gene editing have given opportunities for precise editing of
the viral genome for identifying pathogenic determinants. Here we describe the
application of CRISPR/Cas9 gene editing approaches to delete the Meq and pp38
genes from the CVI988 vaccine strain of MDV. This powerful technology will speed
up the MDV gene function studies significantly, leading to a better understanding
of the molecular mechanisms of MDV pathogenesis.
PMID- 29794972
TI - DsSWEET17, a Tonoplast-Localized Sugar Transporter from Dianthus spiculifolius,
Affects Sugar Metabolism and Confers Multiple Stress Tolerance in Arabidopsis.
AB - Plant SWEETs (Sugars Will Eventually be Exported Transporters) affect the growth
of plants by regulating the transport of sugar from source to sink and its
intracellular transport between different organelles. In this study, DsSWEET17
from Dianthus spiculifolius was identified and characterized. Real-time
quantitative PCR analysis revealed that the expression of DsSWEET17 was affected
by exogenous application of fructose and glucose as well as under salt, osmotic,
and oxidation stress. Colocalization experiments showed that the DsSWEET17-GFP
(green fluorescent protein) fusion protein was localized to the FM4-64-labeled
tonoplasts in Arabidopsis. Compared to the wild type, the transgenic Arabidopsis
seedlings overexpressing DsSWEET17 had longer roots, greater fresh weight, and a
faster root growth upon exogenous application of fructose. Furthermore,
transgenic Arabidopsis seedlings had significantly higher fructose accumulation
than was observed for the wild-type seedlings. The analysis of root length
revealed that transgenic Arabidopsis had higher tolerance to salt, osmotic, and
oxidative stresses. Taken together, our results suggest that DsSWEET17 may be a
tonoplast sugar transporter, and its overexpression affects sugar metabolism and
confers multiple stress tolerance in Arabidopsis.
PMID- 29794974
TI - Vehicle Classification Using an Imbalanced Dataset Based on a Single Magnetic
Sensor.
AB - This paper aims to improve the accuracy of automatic vehicle classifiers for
imbalanced datasets. Classification is made through utilizing a single
anisotropic magnetoresistive sensor, with the models of vehicles involved being
classified into hatchbacks, sedans, buses, and multi-purpose vehicles (MPVs).
Using time domain and frequency domain features in combination with three common
classification algorithms in pattern recognition, we develop a novel feature
extraction method for vehicle classification. These three common classification
algorithms are the k-nearest neighbor, the support vector machine, and the back
propagation neural network. Nevertheless, a problem remains with the original
vehicle magnetic dataset collected being imbalanced, and may lead to inaccurate
classification results. With this in mind, we propose an approach called SMOTE,
which can further boost the performance of classifiers. Experimental results show
that the k-nearest neighbor (KNN) classifier with the SMOTE algorithm can reach a
classification accuracy of 95.46%, thus minimizing the effect of the imbalance.
PMID- 29794973
TI - Anti-Aging Effect of Chitosan Oligosaccharide on d-Galactose-Induced Subacute
Aging in Mice.
AB - Chitosan oligosaccharide (COS), a natural polysaccharide with good antioxidant
and anti-inflammatory properties, is the depolymerized product of chitosan
possessing various biological activities. The present study was designed to
investigate the possible anti-aging effect of COS on the aging model mouse
induced by d-galactose (d-gal) and explore the underlying mechanism. In the
experiment, 48 male Kunming mice (KM mice) were randomly divided into the normal
group, model group, positive group, and low-medium-high dose polysaccharide
groups (300, 600, 1200 mg/kg/day). The results showed that COS, by intragastric
gavage after subcutaneous injection of d-gal (250 mg/kg/day) into the neck of
mice consecutively for eight weeks, gradually recovered the body weight, the
activity of daily living, and organ indices of mice, as well as effectively
ameliorated the histological deterioration of the liver and kidney in mice
triggered by d-gal. To be specific, COS obviously improved the activities of
antioxidant enzymes in liver and kidney of KM mice, including catalase (CAT),
glutathione peroxidase (GSH-Px), and superoxide dismutase (SOD), as well as
decreased malondialdehyde (MDA) levels when compared with those in model group
mice. Furthermore, COS not only elevated the diminished levels of serum
immunoglobulin G (IgG) and IgM induced by d-gal, but also significantly inhibited
the d-gal-caused upregulation of serum alanine aminotransferase (ALT), aspartate
transaminase (AST), alkaline phosphatase (ALP), uric acid (UA) and creatinine
(CREA) levels as compared with those of mice in the model group. These results
demonstrate that COS has an obvious anti-aging activity in d-gal-induced subacute
aging mice, the mechanism of which, to some extent, is associated with enhancing
the antioxidant defenses, reducing oxidative stress, and improving the immune
function of aging model mice.
PMID- 29794975
TI - Optimization of Production Conditions for Protoplasts and Polyethylene Glycol
Mediated Transformation of Gaeumannomyces tritici.
AB - Take-all, caused by Gaeumannomyces tritici, is one of the most important wheat
root diseases worldwide, as it results in serious yield losses. In this study, G.
tritici was transformed to express the hygromycin B phosphotransferase using a
combined protoplast and polyethylene glycol (PEG)-mediated transformation
technique. Based on a series of single-factor experimental results, three major
factors-temperature, enzyme lysis time, and concentration of the lysing enzyme
were selected as the independent variables, which were optimized using the
response surface methodology. A higher protoplast yield of 9.83 * 107
protoplasts/mL was observed, and the protoplast vitality was also high, reaching
96.27% after optimization. Protoplasts were isolated under the optimal
conditions, with the highest transformation frequency (46-54 transformants/MUg
DNA). Polymerase chain reaction and Southern blotting detection indicated that
the genes of hygromycin phosphotransferase were successfully inserted into the
genome of G. tritici. An optimised PEG-mediated protoplast transformation system
for G. tritici was established. The techniques and procedures described will lay
the foundation for establishing a good mutation library of G. tritici and could
be used to transform other fungi.
PMID- 29794977
TI - Vitamin K Antagonists and Cognitive Decline in Older Adults: A 24-Month Follow
Up.
AB - Vitamin K participates in brain physiology. This study aimed to determine whether
using vitamin K antagonists (VKAs), which interfere with the vitamin K cycle,
were (i) cross-sectionally associated with altered cognitive performance, and
(ii) independent predictors of cognitive changes in older adults over 24 months.
Information was collected on the use of VKAs (i.e., warfarin, acenocoumarol, and
fluindione) among 378 geriatric outpatients (mean, 82.3 +/- 5.6 years; 60.1%
female). Global cognitive performance and executive functions were assessed with
Mini-Mental State Examination (MMSE) and Frontal Assessment Battery (FAB) scores,
respectively, at baseline and after 12 and 24 months of follow-up. Age, gender,
body mass index, mean arterial pressure, disability, gait speed, comorbidities,
atrial fibrillation, stroke, carotid artery stenosis, leukoaraiosis grade on
computed tomography (CT) scan, psychoactive drugs, antidementia drugs, blood
thinning drugs (i.e., anticoagulants other than VKAs, antiplatelet medications),
serum creatinine levels, and vitamin B12 concentrations were considered as
potential confounders. Using VKAs was associated with lower (i.e., worse) FAB
score at baseline (adjusted beta = -2.1, p = 0.026), and with a decrease in FAB
score after 24 months (adjusted beta = -203.6%, p = 0.010), but not after 12
months (p = 0.659). Using VKAs was not associated with any change in MMSE score
at baseline (p = 0.655), after 12 months (p = 0.603), or after 24 months (p =
0.201). In conclusion, we found more severe executive dysfunction at baseline and
incident executive decline over 24 months among geriatric patients using VKAs,
when compared with their counterparts.
PMID- 29794976
TI - Recent Advances in Laser-Ablative Synthesis of Bare Au and Si Nanoparticles and
Assessment of Their Prospects for Tissue Engineering Applications.
AB - Driven by surface cleanness and unique physical, optical and chemical properties,
bare (ligand-free) laser-synthesized nanoparticles (NPs) are now in the focus of
interest as promising materials for the development of advanced biomedical
platforms related to biosensing, bioimaging and therapeutic drug delivery. We
recently achieved significant progress in the synthesis of bare gold (Au) and
silicon (Si) NPs and their testing in biomedical tasks, including cancer imaging
and therapy, biofuel cells, etc. We also showed that these nanomaterials can be
excellent candidates for tissue engineering applications. This review is aimed at
the description of our recent progress in laser synthesis of bare Si and Au NPs
and their testing as functional modules (additives) in innovative scaffold
platforms intended for tissue engineering tasks.
PMID- 29794979
TI - A Service-Oriented Middleware for Integrated Management of Crowdsourced and
Sensor Data Streams in Disaster Management.
AB - The increasing number of sensors used in diverse applications has provided a
massive number of continuous, unbounded, rapid data and requires the management
of distinct protocols, interfaces and intermittent connections. As traditional
sensor networks are error-prone and difficult to maintain, the study highlights
the emerging role of "citizens as sensors" as a complementary data source to
increase public awareness. To this end, an interoperable, reusable middleware for
managing spatial, temporal, and thematic data using Sensor Web Enablement
initiative services and a processing engine was designed, implemented, and
deployed. The study found that its approach provided effective sensor data-stream
access, publication, and filtering in dynamic scenarios such as disaster
management, as well as it enables batch and stream management integration. Also,
an interoperability analytics testing of a flood citizen observatory highlighted
even variable data such as those provided by the crowd can be integrated with
sensor data stream. Our approach, thus, offers a mean to improve near-real-time
applications.
PMID- 29794978
TI - Synthesis, Design, and Structure-Activity Relationship of the Pyrimidone
Derivatives as Novel Selective Inhibitors of Plasmodium falciparum Dihydroorotate
Dehydrogenase.
AB - The inhibition of Plasmodium falciparum dihydroorotate dehydrogenase (PfDHODH)
potentially represents a new treatment option for malaria, as P. falciparum
relies entirely on a de novo pyrimidine biosynthetic pathway for survival.
Herein, we report a series of pyrimidone derivatives as novel inhibitors of
PfDHODH. The most potent compound, 26, showed high inhibition activity against
PfDHODH (IC50 = 23 nM), with >400-fold species selectivity over human
dihydroorotate dehydrogenase (hDHODH). The brand-new inhibitor scaffold targeting
PfDHODH reported in this work may lead to the discovery of new antimalarial
agents.
PMID- 29794980
TI - Do Physical Activity Friendly Neighborhoods Affect Community Members Equally? A
Cross-Sectional Study.
AB - An activity-friendly environment may increase physical activity (PA) levels and
decrease sedentary behavior (SB). This study investigated associations between
socio-demographic characteristics, health-related quality of life (HRQoL),
perceived environment and objectively measured PA outcomes. Socio-demographic
characteristics were assessed using a questionnaire and HRQoL was measured using
the EQ-5D. The Neighborhood Environment Walkability Scale (NEWS-A) was used to
assess the perceived environment. SB, light PA (LPA) and moderate-to-vigorous PA
(MVPA) were measured using the Actigraph GT3X+. Data from 622 Dutch adults were
used in multivariate linear regression analyses to investigate associations
between NEWS-A and PA outcomes. Analyses were controlled for socio-demographic
characteristics and HRQoL. The presence of attractive buildings was associated
with less SB (beta = -0.086, p < 0.01) and more MVPA (beta = 0.118, p < 0.01).
Presence of destinations within walking distance was also positively associated
with MVPA (beta = 0.106, p < 0.01). Less crime was associated with less MVPA
(beta = 0.092, p < 0.05). Interactions between personal and environmental
characteristics showed that the absence of PA-hindering characteristics (e.g.,
heavy traffic) was associated with less SB and more MVPA, but only for residents
with problems regarding pain and usual activities. The presence of PA
facilitating characteristics (e.g., aesthetics and destinations) was associated
with less SB, more LPA and more MVPA but only for the more advantaged people in
society. Results suggest that to reduce health inequalities, it would be more
helpful to remove barriers rather than introduce PA facilitating characteristics.
PMID- 29794981
TI - Reorganization of the Y Chromosomes Enhances Divergence in Israeli Mole Rats
Nannospalax ehrenbergi (Spalacidae, Rodentia): Comparative Analysis of Meiotic
and Mitotic Chromosomes.
AB - The Y chromosome in mammals is variable, even in closely related species. Middle
East blind mole rats Nannospalax ehrenbergi demonstrate autosomal variability,
which probably leads to speciation. Here, we compare the mitotic and meiotic
chromosomes of mole rats. For the first time, we studied the behavior of their
sex chromosomes in the meiotic prophase I using electron microscopy and
immunocytochemical analysis. Unexpectedly, the sex chromosomes of the 52- and 60
chromosome forms of mole rats showed different synaptic and recombination
patterns due to distinct locations of the centromeres on the Y chromosomes. The
absence of recombination in the 60-chromosome form, the asymmetric synapsis, and
the short-term disturbance in the synaptic co-orientation of the telomeric
regions of the X and Y chromosomes were revealed as specific features of mole rat
sex bivalents. We suggest several scenarios of Y chromosome alteration in
connection with species differentiation in mole rats.
PMID- 29794982
TI - Performance Comparisons of Polymer Semiconductors Synthesized by Direct
(Hetero)Arylation Polymerization (DHAP) and Conventional Methods for Organic Thin
Film Transistors and Organic Photovoltaics.
AB - C-C bond forming reactions are central to the construction of pi-conjugated
polymers. Classical C-C bond forming reactions such as the Stille and Suzuki
coupling reactions have been widely used in the past for this purpose. More
recently, direct (hetero)arylation polymerization (DHAP) has earned a place in
the spotlight with an increasing number of pi-conjugated polymers being produced
using this atom-economic and more sustainable chemistry. As semiconductors in
organic electronics, the device performances of the polymers made by DHAP are of
great interest and importance. This review compares the device performances of
some representative pi-conjugated polymers made using the DHAP method with those
made using the conventional C-C bond forming reactions when they are used as
semiconductors in organic thin film transistors (OTFTs) and organic photovoltaics
(OPVs).
PMID- 29794983
TI - Clinical Advances in Viral-Vectored Influenza Vaccines.
AB - Influenza-virus-mediated disease can be associated with high levels of morbidity
and mortality, particularly in younger children and older adults. Vaccination is
the primary intervention used to curb influenza virus infection, and the WHO
recommends immunization for at-risk individuals to mitigate disease.
Unfortunately, influenza vaccine composition needs to be updated annually due to
antigenic shift and drift in the viral immunogen hemagglutinin (HA). There are a
number of alternate vaccination strategies in current development which may
circumvent the need for annual re-vaccination, including new platform
technologies such as viral-vectored vaccines. We discuss the different vectored
vaccines that have been or are currently in clinical trials, with a forward
looking focus on immunogens that may be protective against seasonal and pandemic
influenza infection, in the context of viral-vectored vaccines. We also discuss
future perspectives and limitations in the field that will need to be addressed
before new vaccines can significantly impact disease levels.
PMID- 29794985
TI - A Rare De Novo RAI1 Gene Mutation Affecting BDNF-Enhancer-Driven Transcription
Activity Associated with Autism and Atypical Smith-Magenis Syndrome Presentation.
AB - Deletions and mutations involving the Retinoic Acid Induced 1 (RAI1) gene at
17p11.2 cause Smith-Magenis syndrome (SMS). Here we report a patient with autism
as the main clinical presentation, with some SMS-like features and a rare de novo
RAI1 gene mutation, c.3440G > A (p.R1147Q). We functionally characterized the
RAI1 p.R1147Q mutant protein. The mutation, located near the nuclear localization
signal, had no effect on the subcellular localization of the mutant protein.
However, similar to previously reported RAI1 missense mutations in SMS patients,
the RAI1 p.R1147Q mutant protein showed a significant deficiency in activating in
vivo transcription of a reporter gene driven by a BDNF (brain-derived
neurotrophic factor) intronic enhancer. In addition, expression of other genes
associated with neurobehavioral abnormalities and/or neurodevelopmental disorders
were found to be altered in this patient. These results suggest a likely
contribution of RAI1, either alone or in combination of other factors, to social
behavior and reinforce the RAI1 gene as a candidate gene in patients with
autistic manifestations or social behavioral abnormalities.
PMID- 29794986
TI - Understanding Eating Behavior during the Transition from Adolescence to Young
Adulthood: A Literature Review and Perspective on Future Research Directions.
AB - INTRODUCTION: Eating behavior often becomes unhealthier during the transition
from adolescence to young adulthood, but not much is known about the factors that
drive this change. We assess the available evidence on this topic through a
literature review and pay special attention to the research designs employed in
the studies available as well as the modifiability of the factors investigated in
previous research. METHOD: We systematically conducted a scoping review by
searching literature published in or after 2000 in three databases that described
one or more factors associated with eating behavior or changes in eating behavior
during the transition from adolescence to adulthood in the general population.
Our search identified eighteen articles meeting these inclusion criteria. The
socio-ecological DONE (Determinants of Nutrition and Eating) framework, a
recently developed dynamic framework of factors shaping dietary behavior, was
used to structure and categorize the factors identified. RESULTS: Most factors
identified in the literature were individual-level factors (67%) such as food
beliefs, time constraints, and taste preferences; on the other hand,
interpersonal-level factors (e.g., social support), environmental-level factors
(e.g., product characteristics) and policy-level factors (e.g., market
regulations) have been reported on less extensively. Furthermore, most factors
discussed in the literature have been classified in the DONE framework as not
easily modifiable. Moreover, previous studies largely used static research
designs and focused primarily on one specific population (US freshmen).
DISCUSSION: This systematic scoping review identified several gaps in the
available literature that hinder insight into the drivers of eating behavior
(change) during the transition from adolescence to young adulthood. There is an
urgent need for research on broader populations, employing dynamic repeated
measures designs, and taking modifiability of factors into account.
PMID- 29794984
TI - Attenuation of Metabolic Syndrome by EPA/DHA Ethyl Esters in Testosterone
Deficient Obese Rats.
AB - Inducing testosterone deficiency, as the standard treatment of prostate cancer,
may cause metabolic disorders including insulin resistance, dyslipidemia, central
obesity, cardiovascular diseases, and type 2 diabetes. This study measured
responses to testosterone deficiency in high-carbohydrate, high-fat (H) diet-fed
rats. We then tested whether eicosapentaenoic acid (EPA)/docosahexaenoic acid
(DHA) ethyl esters (Omacor) reversed these metabolic changes. Male Wistar rats (8
9 weeks old) were divided into eight groups with four groups fed corn starch and
four groups fed H diet. For each diet, one group received diet only; one group
was orchidectomized; one group was given leuprolide (gonadotrophin-releasing
hormone agonist, 2 mg/kg every 4th week); and the last group was treated with
leuprolide and their diet was supplemented with 3% Omacor for the last eight
weeks. The protocol was for 16 weeks. Leuprolide worsened metabolic syndrome
symptoms and cardiovascular function, and orchidectomy produced greater
responses. In H fed leuprolide-treated rats, Omacor decreased systolic blood
pressure and left ventricular diastolic stiffness, reduced infiltration of
inflammatory cells and collagen deposition in the heart, and reduced lipid
accumulation and inflammatory cell infiltration without improving liver damage.
These results suggest that Omacor has potential to attenuate metabolic
complications in prostate cancer patients with induced testosterone deprivation.
PMID- 29794987
TI - Multiple, Independent T Cell Lymphomas Arising in an Experimentally FIV-Infected
Cat during the Terminal Stage of Infection.
AB - Our laboratory has serially reported on the virologic and immunopathologic
features of a cohort of experimental feline immunodeficiency virus (FIV)-infected
cats for more than eight years. At 8.09 years post infection (PI), one of these
animals entered the terminal stage of infection, characterized by undulating
hyperthermia, progressive anorexia, weight loss, and pancytopenia; the animal was
not responsive to therapeutic interventions, necessitating euthanasia six weeks
later (8.20 years PI). Subsequent analyses indicated that neoplastic lymphocytes
infiltrated multiple cervical lymph nodes and a band-like region of the mucosal
lamina propria within a segment of the intestine. Immunohistochemistry and T cell
clonality testing determined that the nodal and intestinal lesions were
independently arising from CD3 T cell lymphomas. In-situ RNA hybridization
studies indicated that diffuse neoplastic lymphocytes from the cervical lymph
node contained abundant viral nucleic acid, while viral nucleic acid was not
detectable in lymphocytes from the intestinal lymphoma lesion. The proviral long
terminal repeat (LTR) was amplified and sequenced from multiple anatomic sites,
and a common clone containing a single nucleotide polymorphism was determined to
be defective in response to phorbol myristate acetate (PMA)-mediated promoter
activation in a reporter gene assay. This assay revealed a previously
unidentified PMA response element within the FIV U3 region 3' to the TATA box.
The possible implications of these results on FIV-lymphoma pathogenesis are
discussed.
PMID- 29794989
TI - Parallel Processing Transport Model MT3DMS by Using OpenMP.
AB - Solute transport modeling resolves advection, dispersion, and chemical reactions
in groundwater systems with its accuracy depending on the resolution of domain at
all scales, thus the computational efficiency of a simulator becomes a bottleneck
for the wide application of numerical simulations. However, the traditional
serial numerical simulators have reached their limits for the prohibitive
computational time and memory requirement in solving large-scale problems. These
limitations have greatly hindered the wide application of groundwater solute
transport modeling. Thus, the development of an efficient method for handling
large-scale groundwater solute transport simulation is urgently required. In this
study, we developed and assessed a parallelized MT3DMS (Modular Three-Dimensional
Multispecies Transport Model) by using OpenMP (Open specifications for Multi
Processing) to accelerate the solute transport simulation process. The
parallelization was achieved by adding OpenMP compile directives (i.e., defining
various types of parallel regions) into the most time-consuming packages,
including the Advection package (ADV), Dispersion package (DSP), and Generalized
Conjugate Gradient Solver package (GCG). This allows parallel processing on
shared-memory multiprocessors, i.e., both the memory requirement and computing
efforts are automatically distributed among all processors. Moreover, we
discussed two different parallelization strategies for handling numerical models
with either many layers or few layers. The performance of parallelized MT3DMS was
assessed by two benchmark numerical models with different model domain sizes via
a workstation with two quad-core processors. Results showed that the running time
of parallelized MT3DMS can be 4.15 times faster than that using sequential
MT3DMS. The effects of using different preconditioners (procedures that transform
a given problem into a form that is more suitable for numerical solving methods)
in the GCG package were additionally evaluated. The modified strategy for
handling numerical models with few layers also achieved satisfactory results with
running time two times faster than that via sequential simulation. Thus, the
proposed parallelization allows high-resolution groundwater transport simulation
with higher efficiency for large-scale or multimillion-cell simulation problems.
PMID- 29794988
TI - Multigene Family of Pore-Forming Toxins from Sea Anemone Heteractis crispa.
AB - Sea anemones produce pore-forming toxins, actinoporins, which are interesting as
tools for cytoplasmic membranes study, as well as being potential therapeutic
agents for cancer therapy. This investigation is devoted to structural and
functional study of the Heteractis crispa actinoporins diversity. Here, we
described a multigene family consisting of 47 representatives expressed in the
sea anemone tentacles as prepropeptide-coding transcripts. The phylogenetic
analysis revealed that actinoporin clustering is consistent with the division of
sea anemones into superfamilies and families. The transcriptomes of both H.
crispa and Heteractis magnifica appear to contain a large repertoire of similar
genes representing a rapid expansion of the actinoporin family due to gene
duplication and sequence divergence. The presence of the most abundant specific
group of actinoporins in H. crispa is the major difference between these species.
The functional analysis of six recombinant actinoporins revealed that H. crispa
actinoporin grouping was consistent with the different hemolytic activity of
their representatives. According to molecular modeling data, we assume that the
direction of the N-terminal dipole moment tightly reflects the actinoporins'
ability to possess hemolytic activity.
PMID- 29794991
TI - Experimental Investigation of Principal Residual Stress and Fatigue Performance
for Turned Nickel-Based Superalloy Inconel 718.
AB - Residual stresses of turned Inconel 718 surface along its axial and
circumferential directions affect the fatigue performance of machined components.
However, it has not been clear that the axial and circumferential directions are
the principle residual stress direction. The direction of the maximum principal
residual stress is crucial for the machined component service life. The present
work aims to focuses on determining the direction and magnitude of principal
residual stress and investigating its influence on fatigue performance of turned
Inconel 718. The turning experimental results show that the principal residual
stress magnitude is much higher than surface residual stress. In addition, both
the principal residual stress and surface residual stress increase significantly
as the feed rate increases. The fatigue test results show that the direction of
the maximum principal residual stress increased by 7.4%, while the fatigue life
decreased by 39.4%. The maximum principal residual stress magnitude diminished by
17.9%, whereas the fatigue life increased by 83.6%. The maximum principal
residual stress has a preponderant influence on fatigue performance as compared
to the surface residual stress. The maximum principal residual stress can be
considered as a prime indicator for evaluation of the residual stress influence
on fatigue performance of turned Inconel 718.
PMID- 29794993
TI - Comparing the Recombinant Protein Production Potential of Planktonic and Biofilm
Cells.
AB - Recombinant protein production in bacterial cells is commonly performed using
planktonic cultures. However, the natural state for many bacteria is living in
communities attached to surfaces forming biofilms. In this work, a flow cell
system was used to compare the production of a model recombinant protein
(enhanced green fluorescent protein, eGFP) between planktonic and biofilm cells.
The fluorometric analysis revealed that when the system was in steady state, the
average specific eGFP production from Escherichia coli biofilm cells was 10-fold
higher than in planktonic cells. Additionally, epifluorescence microscopy was
used to determine the percentage of eGFP-expressing cells in both planktonic and
biofilm populations. In steady state, the percentage of planktonic-expressing
cells oscillated around 5%, whereas for biofilms eGFP-expressing cells
represented on average 21% of the total cell population. Therefore, the
combination of fluorometric and microscopy data allowed us to conclude that E.
coli biofilm cells can have a higher recombinant protein production capacity when
compared to their planktonic counterparts.
PMID- 29794992
TI - Management of Dyslipidemia in Type 2 Diabetes: Recent Advances in Nonstatin
Treatment.
AB - Dyslipidemia is a major risk factor for cardiovascular disease (CVD), which is
the leading cause of morbidity and mortality in type 2 diabetes (T2DM). Statins
have played a crucial role in its management, but residual risk remains since
many patients cannot achieve their desired low-density lipoprotein cholesterol
(LDL-C) level and up to 20% of patients are statin-intolerant, experiencing
adverse events perceived to be caused by statins, most commonly muscle symptoms.
Recently, great advances have been made in nonstatin treatment with ezetimibe, a
cholesterol absorption inhibitor, and proprotein convertase subtilisin/kexin type
9 (PCSK9) monoclonal antibodies (mAbs), all showing a proven benefit with an
excellent safety profile in cardiovascular outcome trials. This review summarizes
the key aspects and the evolving role of these agents in the management of
dyslipidemia in patients with T2DM, along with a brief introduction of novel
drugs currently in development.
PMID- 29794990
TI - Anti-Metastatic Effects of Antrodan with and without Cisplatin on Lewis Lung
Carcinomas in a Mouse Xenograft Model.
AB - Antrodan, a unique protein-bound polysaccharide derived from the fungal mycelia
of Antrodia cinnamomea, has been reported to exhibit antitumor and anti
metastatic effects on Lewis lung carcinoma (LLC) cells through direct action and
immunomodulation in vitro. In this study, we investigated the combined treatment
of antrodan with an anti-cancer drug-cisplatin-and its underlying molecular
mechanisms of action in a mouse xenograft tumor model. C57BL/6 mice were
implanted (s.c.) with LLCs for nine days, before administration with only
antrodan (20 mg/kg and 40 mg/kg; p.o.) daily, only cisplatin (1 mg/kg; i.p.)
twice per week, or a combination of both for an additional 28 days. As expected,
antrodan on its own significantly inhibited metastasis of lung and liver tissues,
while treatment with cisplatin only merely inhibited metastasis of the liver.
Antrodan exhibited efficient adjuvant therapy in combination with cisplatin, by
inhibiting the activities of the plasma urokinase plasminogen activator (uPA) and
the liver matrix metalloproteinase 9 (MMP-9), as well as by inhibiting the
phosphorylation of p38 and extracellular signal-regulated kinase 2 (ERK2) in lung
and liver tissues. In addition, antrodan effectively ameliorated cisplatin
induced kidney dysfunction when treated combinatorially, as evidenced by a
decrease in cisplatin-induced blood urea nitrogen (BUN) levels in plasma and in
the level of p38 phosphorylation in the kidney. Mechanistically, the actions of
antrodan on its own involved (i) reducing the activities of uPA and MMP-2 and -9
in plasma; (ii) reducing protein expression of MMP-2/9, and the phosphorylation
of signal transducer and activator of transcription 3 (STAT3) and mitogen
activated protein kinases (MAPKs), including extracellular signal-regulated
kinases (ERKs), c-Jun N-terminal kinases (JNKs), and p38 in lung and liver
tissues; and (iii) enhancing immune system functions resulting in the promotion
of an anti-metastatic response through immunomodulation, by increasing interferon
gamma (IFN-gamma) levels and decreasing interleukin-6 (IL-6) levels in plasma.
These results demonstrated that antrodan provides a novel, complementary
therapeutic strategy against cancer metastasis, by attenuating the activities of
MMP-2 and -9 through the modulation of STAT3/MAPK/ERK/JNK signaling pathways, and
of the host's immune system.
PMID- 29794994
TI - A Statistical Approach to Detect Jamming Attacks in Wireless Sensor Networks.
AB - Wireless Sensor Networks (WSNs), in recent times, have become one of the most
promising network solutions with a wide variety of applications in the areas of
agriculture, environment, healthcare and the military. Notwithstanding these
promising applications, sensor nodes in WSNs are vulnerable to different security
attacks due to their deployment in hostile and unattended areas and their
resource constraints. One of such attacks is the DoS jamming attack that
interferes and disrupts the normal functions of sensor nodes in a WSN by emitting
radio frequency signals to jam legitimate signals to cause a denial of service.
In this work we propose a step-wise approach using a statistical process control
technique to detect these attacks. We deploy an exponentially weighted moving
average (EWMA) to detect anomalous changes in the intensity of a jamming attack
event by using the packet inter-arrival feature of the received packets from the
sensor nodes. Results obtained from a trace-driven simulation show that the
proposed solution can efficiently and accurately detect jamming attacks in WSNs
with little or no overhead.
PMID- 29794995
TI - Effect of Ethanol on Ag@Mesoporous Silica Formation by In Situ Modified Stober
Method.
AB - Tunable core-shell Ag@Mesoporous SiO2 spheres were synthesized via an in situ
modified Stober approach by varying the amount of ethanol (EtOH) expanding their
potentials in many applications. Mesoporous silica was generated by adding
tetraethyl orthosilicate (TEOS) to the mixture of colloidal Ag particles prepared
by reducing silver nitrate (AgNO3) with L-ascorbic acid and using
hexadecyltrimethylammonium bromide (CTAB) as a template at the presence of
ethanol and sodium hydroxide (NaOH) at pH 10 as a catalyst. The average sizes of
the Ag cores at the three increasing volumes of ethanol were ~47 +/- 6, 36 +/- 4,
and 11 +/- 5 nm, while the silica particle size and the thickness of the silica
shells increased, resulting in a blueshift of localized surface plasmon
resonances (LSPR) of the Ag NPs. The corresponding specific surface areas of
silica particles were 356 +/- 10, 419 +/- 20 and 490 +/- 25 m2 g-1, and average
pore diameters varied from 5.7, 5.0 to 3.3 nm according to BET and BJH analyses.
TEM studies confirmed the core-shell structure, pore sizes and shapes of
mesoporous shells. The dissolution tests demonstrated that the release of Ag from
the powder samples is pH-sensitive and time-dependent.
PMID- 29794996
TI - Accumulation of Heavy Metals in Roadside Soil in Urban Area and the Related
Impacting Factors.
AB - Heavy metal contamination in roadside soil due to traffic emission has been
recognized for a long time. However, seldom has been reported regarding
identification of critical factors influencing the accumulation of heavy metals
in urban roadside soils due to the frequent disturbances such as the repair of
damaged roads and green belt maintanance. Heavy metals in the roadside soils of
45 roads in Xihu district, Hangzhou city were investigated. Results suggested the
accumulation of Cu, Pb, Cd, Cr, and Zn in roadside soil was affected by human
activity. However, only two sites had Pb and Zn excessing the standards for
residential areas, respectively, according to Chinese Environmental Quality
Standards for soils. The concentrations of Cu, Pb, Cd, and Zn were significantly
and positively correlated to soil pH and organic matter. An insignificant
correlation between the age of the roads or vegetation cover types and the
concentration of heavy metals was found although they were reported closely
relating to the accumulation of heavy metals in roadside soils of highways. The
highest Pb, Cd, and Cr taking place in sites with heavy traffic and significant
differences in the concentrations of Cu, Pb, Cd, and Zn among the different
categories of roads suggested the contribution of traffic intensity. However, it
was difficult to establish a quantitative relationship between traffic intensity
and the concentrations of heavy metals in the roadside soil. It could be
concluded that impaction of traffic emission on the accumulation of heavy metals
in roadside soils in urban area was slight and soil properties such as pH and
organic matters were critical factors influencing the retention of heavy metals
in soils.
PMID- 29794997
TI - Enhanced Tribological and Bacterial Resistance of Carbon Nanotube with Ceria- and
Silver-Incorporated Hydroxyapatite Biocoating.
AB - Pertaining to real-life applications (by scaling up) of hydroxyapatite (HA)-based
materials, herein is a study illustrating the role of carbon nanotube (CNT)
reinforcement with ceria (CeO2) and silver (Ag) in HA on titanium alloy (TiAl6V4)
substrate, utilizing the plasma-spraying processing technique, is presented. When
compared with pure HA coating enhanced hardness (from 2.5 to 5.8 GPa), elastic
modulus (from 110 to 171 GPa), and fracture toughness (from 0.7 to 2.2 MPa.m1/2)
elicited a reduced wear rate from 55.3 * 10-5 mm3.N-1.m-1 to 2.1 * 10-5 mm3.N-1.m
1 in HA-CNT-CeO2-Ag. Besides, an order of magnitude lower Archard's wear constant
and a 41% decreased shear stress by for HA-CNT-CeO2-Ag coating depicted the
effect of higher hardness and modulus of a material to control its wear
phenomenon. Antibacterial property of 46% (bactericidal) is ascribed to Ag in
addition to CNT-CeO2 in HA. Nonetheless, the composite coating also portrayed
exaggerated L929 fibroblast cell growth (4.8 times more than HA), which was
visualized as flat and elongated cells with multiple filopodial protrusions.
Hence, synthesis of a material with enhanced mechanical integrity resulting in
tribological resistance and cytocompatible efficacy was achieved, thereupon
making HA-CNT-CeO2-Ag a scalable potent material for real-life load-bearing
implantable bio-coating.
PMID- 29794998
TI - Dynamical Properties of Postural Control in Obese Community-Dwelling Older Adults
?.
AB - Postural control is a key aspect in preventing falls. The aim of this study was
to determine if obesity affected balance in community-dwelling older adults and
serve as an indicator of fall risk. The participants were randomly assigned to
receive a comprehensive geriatric assessment followed by a longitudinal
assessment of their fall history. The standing postural balance was measured for
98 participants with a Body Mass Index (BMI) ranging from 18 to 63 kg/m2, using a
force plate and an inertial measurement unit affixed at the sternum.
Participants' fall history was recorded over 2 years and participants with at
least one fall in the prior year were classified as fallers. The results suggest
that body weight/BMI is an additional risk factor for falling in elderly persons
and may be an important marker for fall risk. The linear variables of postural
analysis suggest that the obese fallers have significantly higher sway area and
sway ranges, along with higher root mean square and standard deviation of time
series. Additionally, it was found that obese fallers have lower complexity of
anterior-posterior center of pressure time series. Future studies should examine
more closely the combined effect of aging and obesity on dynamic balance.
PMID- 29794999
TI - Targeted Tumor Therapy Remixed-An Update on the Use of Small-Molecule Drugs in
Combination Therapies.
AB - Over the last decade, the treatment of tumor patients has been revolutionized by
the highly successful introduction of novel targeted therapies, in particular
small-molecule kinase inhibitors and monoclonal antibodies, as well as by
immunotherapies. Depending on the mutational status, BRAF and MEK inhibitor
combinations or immune checkpoint inhibitors are current first-line treatments
for metastatic melanoma. However, despite great improvements of survival rates
limitations due to tumor heterogeneity, primary and acquired therapy resistance,
immune evasion, and economical considerations will need to be overcome.
Accordingly, ongoing clinical trials explore the individualized use of small
molecule drugs in new targeted therapy combinations based on patient parameters
and tumor biopsies. With focus on melanoma therapy this review aims at providing
a comprehensive overview of such novel alternative and combinational therapy
strategies currently emerging from basic research. The molecular principles and
drug classes that may hold promise for improved tumor therapy combination
regimens including kinase inhibition, induction of apoptosis, DNA-damage response
inhibition, epigenetic reprogramming, telomerase inhibition, redox modulation,
metabolic reprogramming, proteasome inhibition, cancer stem cell
transdifferentiation, immune cell signaling modulation, and others, are explained
in brief. In addition, relevant targeted therapy combinations in current clinical
trials and individualized treatment strategies are highlighted.
PMID- 29795001
TI - Polymerizable Microsphere-Induced High Mechanical Strength of Hydrogel Composed
of Acrylamide.
AB - Polymerizable microspheres are introduced into acrylamide to prepare the high
mechanical strength hydrogels with a novel three-dimensional pore structure.
Rheological properties, compressive stress-strain, tensile property, and
compression strength of three different types of hydrogels were investigated.
Moreover, a scanning electron microscope (SEM) was adopted to observe the three
dimension network structure of three different types of hydrogels. The test
results illustrated that viscous moduli (G") and elastic moduli (G') of a
hydrogel containing polymerizable microspheres (P) reached maximum values,
compared to the normal hydrogel (N) and the composite hydrogel containing
ordinary microspheres (O). When the hydrogels were squeezed, the N was easily
fractured under high strain (99%), whereas the P was not broken, and quickly
recovered its initial morphology after the release of load. The P showed
excellent tensile properties, with an elongation at break up to 90% and a tensile
strength greater than 220 g. The compression strength of the N was 100.44 kPa.m
1, while the resulting strength of P was enhanced to be 248.00 kPa.m-1.
Therefore, the various performances of N were improved by adding polymerizable
microspheres. In addition, the SEM images indicated that N has a general three
dimensional network structure; the conventional network structure did not exist
in the P, which has a novel three-dimensional pore structure in the spherical
projection and very dense channels, which led to the compaction of the space
between the three-dimensional pore network layers and reduced the flowing of free
water wrapped in the network. Therefore, the mechanical strength of hydrogel was
enhanced.
PMID- 29795002
TI - Microstructure and Thermal Reliability of Microcapsules Containing Phase Change
Material with Self-Assembled Graphene/Organic Nano-Hybrid Shells.
AB - In recent decades, microcapsules containing phase change materials (microPCMs)
have been the center of much attention in the field of latent thermal energy
storage. The aim of this work was to prepare and investigate the microstructure
and thermal conductivity of microPCMs containing self-assembled graphene/organic
hybrid shells. Paraffin was used as a phase change material, which was
successfully microencapsulated by graphene and polymer forming hybrid composite
shells. The physicochemical characters of microPCM samples were investigated
including mean size, shell thickness, and chemical structure. Scanning electron
microscope (SEM) results showed that the microPCMs were spherical particles and
graphene enhanced the degree of smoothness of the shell surface. The existence of
graphene in the shells was proved by using the methods of X-ray photoelectron
spectroscopy (XPS), transmission electron microscopy (TEM), and atomic force
microscopy (AFM). It was found that graphene hybrid shells were constructed by
forces of electric charge absorption and long-molecular entanglement. MicroPCMs
with graphene had a higher degradation temperature of 300 degrees C. Graphene
greatly enhanced the thermal stability of microPCMs. The thermal conductivity
tests indicated that the phase change temperature of microPCMs was regulated by
the graphene additive because of enhancement of the thermal barrier of the hybrid
shells. Differential scanning calorimetry (DSC) tests proved that the latent
thermal energy capability of microPCMs had been improved with a higher heat
conduction rate. In addition, infrared thermograph observations implied that the
microPCMs had a sensitivity response to heat during the phase change cycling
process because of the excellent thermal conductivity of graphene.
PMID- 29795000
TI - In-Vivo NMR Spectroscopy: A Powerful and Complimentary Tool for Understanding
Environmental Toxicity.
AB - Part review, part perspective, this article examines the applications and
potential of in-vivo Nuclear Magnetic Resonance (NMR) for understanding
environmental toxicity. In-vivo NMR can be applied in high field NMR
spectrometers using either magic angle spinning based approaches, or flow
systems. Solution-state NMR in combination with a flow system provides a low
stress approach to monitor dissolved metabolites, while magic angle spinning NMR
allows the detection of all components (solutions, gels and solids), albeit with
additional stress caused by the rapid sample spinning. With in-vivo NMR it is
possible to use the same organisms for control and exposure studies (controls are
the same organisms prior to exposure inside the NMR). As such individual
variability can be reduced while continual data collection over time provides the
temporal resolution required to discern complex interconnected response pathways.
When multidimensional NMR is combined with isotopic labelling, a wide range of
metabolites can be identified in-vivo providing a unique window into the living
metabolome that is highly complementary to more traditional metabolomics studies
employing extracts, tissues, or biofluids.
PMID- 29795003
TI - Yeast Derived LysA2 Can Control Bacterial Contamination in Ethanol Fermentation.
AB - Contamination of fuel-ethanol fermentations continues to be a significant problem
for the corn and sugarcane-based ethanol industries. In particular, members of
the Lactobacillaceae family are the primary bacteria of concern. Currently,
antibiotics and acid washing are two major means of controlling contaminants.
However, antibiotic use could lead to increased antibiotic resistance, and the
acid wash step stresses the fermenting yeast and has limited effectiveness.
Bacteriophage endolysins such as LysA2 are lytic enzymes with the potential to
contribute as antimicrobials to the fuel ethanol industries. Our goal was to
evaluate the potential of yeast-derived LysA2 as a means of controlling
Lactobacillaceae contamination. LysA2 intracellularly produced by Pichia pastoris
showed activity comparable to Escherichia coli produced LysA2. Lactic Acid
Bacteria (LAB) with the A4alpha peptidoglycan chemotype (L-Lys-D-Asp
crosslinkage) were the most sensitive to LysA2, though a few from that chemotype
were insensitive. Pichia-expressed LysA2, both secreted and intracellularly
produced, successfully improved ethanol productivity and yields in glucose
(YPD60) and sucrose-based (sugarcane juice) ethanol fermentations in the presence
of a LysA2 susceptible LAB contaminant. LysA2 secreting Sacharomyces cerevisiae
did not notably improve production in sugarcane juice, but it did control
bacterial contamination during fermentation in YPD60. Secretion of LysA2 by the
fermenting yeast, or adding it in purified form, are promising alternative tools
to control LAB contamination during ethanol fermentation. Endolysins with much
broader lytic spectrums than LysA2 could supplement or replace the currently used
antibiotics or the acidic wash.
PMID- 29795006
TI - Comment on Thigpen D. et al. The Role of Ultrasound in Screening Dense Breasts-A
Review of the Literature and Practical Solutions for Implementation. Diagnostics
2018, 8, 20.
AB - We read with interest the article by Thigpen et al. [1]. With 34 states now
having some form of density inform legislation[...].
PMID- 29795005
TI - High-Fructose Corn-Syrup-Sweetened Beverage Intake Increases 5-Hour Breast Milk
Fructose Concentrations in Lactating Women.
AB - This study determined the effects of consuming a high-fructose corn syrup (HFCS)
sweetened beverage on breast milk fructose, glucose, and lactose concentrations
in lactating women. At six weeks postpartum, lactating mothers (n = 41) were
randomized to a crossover study to consume a commercially available HFCS
sweetened beverage or artificially sweetened control beverage. At each session,
mothers pumped a complete breast milk expression every hour for six consecutive
hours. The baseline fasting concentrations of breast milk fructose, glucose, and
lactose were 5.0 +/- 1.3 ug/mL, 0.6 +/- 0.3 mg/mL, and 6.8 +/- 1.6 g/dL,
respectively. The changes over time in breast milk sugars were significant only
for fructose (treatment * time, p < 0.01). Post hoc comparisons showed the HFCS
sweetened beverage vs. control beverage increased breast milk fructose at 120 min
(8.8 +/- 2.1 vs. 5.3 +/- 1.9 ug/mL), 180 min (9.4 +/- 1.9 vs. 5.2 +/- 2.2 ug/mL),
240 min (7.8 +/- 1.7 vs. 5.1 +/- 1.9 ug/mL), and 300 min (6.9 +/- 1.4 vs. 4.9 +/-
1.9 ug/mL) (all p < 0.05). The mean incremental area under the curve for breast
milk fructose was also different between treatments (14.7 +/- 1.2 vs. -2.60 +/-
1.2 ug/mL * 360 min, p < 0.01). There was no treatment * time interaction for
breast milk glucose or lactose. Our data suggest that the consumption of an HFCS
sweetened beverage increased breast milk fructose concentrations, which remained
elevated up to five hours post-consumption.
PMID- 29795007
TI - Acquiring Respiration Rate from Photoplethysmographic Signal by Recursive
Bayesian Tracking of Intrinsic Modes in Time-Frequency Spectra.
AB - Respiration rate (RR) provides useful information for assessing the status of a
patient. We propose RR estimation based on photoplethysmography (PPG) because the
blood perfusion dynamics are known to carry information on breathing, as
respiration-induced modulations in the PPG signal. We studied the use of
amplitude variability of transmittance mode finger PPG signal in RR estimation by
comparing four time-frequency (TF) representation methods of the signal cascaded
with a particle filter. The TF methods compared were short-time Fourier transform
(STFT) and three types of synchrosqueezing methods. The public VORTAL database
was used in this study. The results indicate that the advanced frequency
reallocation methods based on synchrosqueezing approach may present improvement
over linear methods, such as STFT. The best results were achieved using wavelet
synchrosqueezing transform, having a mean absolute error and median error of 2.33
and 1.15 breaths per minute, respectively. Synchrosqueezing methods were
generally more accurate than STFT on most of the subjects when particle filtering
was applied. While TF analysis combined with particle filtering is a promising
alternative for real-time estimation of RR, artefacts and non-respiration-related
frequency components remain problematic and impose requirements for further
studies in the areas of signal processing algorithms an PPG instrumentation.
PMID- 29795004
TI - The Eye, Oxidative Damage and Polyunsaturated Fatty Acids.
AB - Polyunsaturated fatty acids (PUFA) are known to have numerous beneficial effects,
owing to their anti-inflammatory and antioxidant properties. From a metabolic
standpoint, the mitochondria play a fundamental role in cellular homeostasis, and
oxidative stress can affect their functioning. Indeed, the mitochondria are the
main source of ROS, and an imbalance between ROS and antioxidant defenses leads
to oxidative stress. In addition, aging, the decline of cellular functions, and
continual exposure to light underlie many diseases, particularly those of the
eye. Long-term exposure to insults, such as UV light, visible light, ionizing
radiation, chemotherapeutics, and environmental toxins, contribute to oxidative
damage in ocular tissues and expose the aging eye to considerable risk of
pathological consequences of oxidative stress. Ample antioxidant defenses
responsible for scavenging free radicals are essential for redox homeostasis in
the eye, indeed, eye tissues, starting from the tear film, which normally are
exposed to high oxygen levels, have strong antioxidant defenses that are
efficient for protecting against ROS-related injuries. On the contrary, instead,
the trabecular meshwork is not directly exposed to light and its endothelial
cells are poorly equipped with antioxidant defenses. All this makes the eye a
target organ of oxidative damage. This review focuses on the role of the
polyunsaturated fatty acids in the human eye, particularly in such pathologies as
dry eye, glaucoma, and macular degeneration, in which dietary PUFA
supplementation can be a valid therapeutic aid.
PMID- 29795008
TI - Rapid Production of Mn3O4/rGO as an Efficient Electrode Material for
Supercapacitor by Flame Plasma.
AB - Benefiting from good ion accessibility and high electrical conductivity, graphene
based material as electrodes show promising electrochemical performance in energy
storage systems. In this study, a novel strategy is devised to prepare binder
free Mn3O4-reduced graphene oxide (Mn3O4/rGO) electrodes. Well-dispersed and
homogeneous Mn3O4 nanosheets are grown on graphene layers through a facile
chemical co-precipitation process and subsequent flame procedure. This obtained
Mn3O4/rGO nanostructures exhibit excellent gravimetric specific capacitance of
342.5 F g-1 at current density of 1 A g-1 and remarkable cycling stability of
85.47% capacitance retention under 10,000 extreme charge/discharge cycles at
large current density. Furthermore, an asymmetric supercapacitor assembled using
Mn3O4/rGO and activated graphene (AG) delivers a high energy density of 27.41 Wh
kg-1 and a maximum power density of 8 kW kg-1. The material synthesis strategy
presented in this study is facile, rapid and simple, which would give an insight
into potential strategies for large-scale applications of metal oxide/graphene
and hold tremendous promise for power storage applications.
PMID- 29795009
TI - Genome-Wide Identification and Expression Profiling Analysis of the Xyloglucan
Endotransglucosylase/Hydrolase Gene Family in Tobacco (Nicotiana tabacum L.).
AB - Xyloglucan endotransglucosylase/hydrolase genes (XTHs) encode enzymes required
for the reconstruction and modification of xyloglucan backbones, which will
result in changes of cell wall extensibility during growth. A total of 56 NtXTH
genes were identified from common tobacco, and 50 cDNA fragments were verified by
PCR amplification. The 56 NtXTH genes could be classified into two subfamilies:
Group I/II and Group III according to their phylogenetic relationships. The gene
structure, chromosomal localization, conserved protein domains prediction, sub
cellular localization of NtXTH proteins and evolutionary relationships among
Nicotiana tabacum, Nicotiana sylvestrisis, Nicotiana tomentosiformis,
Arabidopsis, and rice were also analyzed. The NtXTHs expression profiles analyzed
by the TobEA database and qRT-PCR revealed that NtXTHs display different
expression patterns in different tissues. Notably, the expression patterns of 12
NtXTHs responding to environment stresses, including salinity, alkali, heat,
chilling, and plant hormones, including IAA and brassinolide, were characterized.
All the results would be useful for the function study of NtXTHs during different
growth cycles and stresses.
PMID- 29795010
TI - Alternaria and Fusarium Fungi: Differences in Distribution and Spore Deposition
in a Topographically Heterogeneous Wheat Field.
AB - Fusarium spp. and Alternaria spp., two genera of filamentous fungi, are common
colonizers of the wheat phyllosphere. Both can be pathogenic and produce
mycotoxins that are harmful to consumers. Their in-field infection dynamics have
been a focus for the development of new control strategies. We analysed the
abundance on plant ears and spore deposition patterns of Fusarium spp. and
Alternaria spp. in a topographically heterogeneous field. Abundances were
assessed genetically, using qPCR-based techniques, and passive spore traps were
installed for quantifying the spore deposition at different plant heights. Data
loggers were placed to measure the differences in microclimate across the field.
Results indicate different distribution and spore deposition patterns for the two
fungi. Fusarium spp. spore and genetic abundances were higher in spots with a
more humid and colder under-canopy microclimate. Alternaria spp. showed the
opposite trend for genetic abundance, while its spore deposition was not
correlated to any of the microclimatic conditions and was more uniform across the
field. Our study extends the knowledge on the dispersal and in-field infection
dynamics of Fusarium spp. and Alternaria spp., important for a better
understanding of the epidemiology of these wheat pathogens. It also illustrates
that topographically heterogeneous fields are a suitable environment for studying
the ecology of phyllosphere-colonizing fungi.
PMID- 29795013
TI - Mental Health Status and Associated Contributing Factors among Gay Men in China.
AB - Chinese gay men are preferentially vulnerable to mental health problems because
of deep-rooted, traditional social influence that overemphasizes heterosexual
marriage, fertility, and filial piety. A cross-sectional survey was conducted
from November to December 2017 using the Chinese version of the Symptom Checklist
90-R (SCL-90-R) to assess the status of, and factors associated with the mental
health of Chinese gay men. Unadjusted associations between demographic factors
and the total score of SCL-90-R were examined using t/F tests or person
correlation analysis. The main factors that were most predictive of the aggregate
score of SCL-90-R were identified by multiple linear regressions. A total of 367
gay men participated in this survey with an average score of SCL-90-R of 180.78
+/- 79.58. The scores of seven dimensions (OCS, INTS, DEPR, ANX, HOS, PHOA, PARI)
for Chinese gay men were found to be significantly higher than the national norm
(all p < 0.001). Age (B = -1.088, SE = 0.478, p = 0.023), educational level (B =
14.053, SE = 5.270, p = 0.008), and degree of coming out publicly (B = -23.750,
SE = 4.690, p < 0.001) were protective factors for participants' mental health
status. A gay man who is the only child in his family was more likely to obtain a
higher total score of SCL-90-R in China (B = 59.321, SE = 7.798, p < 0.001). Our
study reveals the worrying mental health status of Chinese gay men. Shifts in
familial, governmental, and societal normas are suggested to improve the current
social acceptance towards sexual minority men, as well as to reduce detrimental
health effects.
PMID- 29795011
TI - Cutaneous Melanoma-A Long Road from Experimental Models to Clinical Outcome: A
Review.
AB - Cutaneous melanoma is a complex disorder characterized by an elevated degree of
heterogeneity, features that place it among the most aggressive types of cancer.
Although significant progress was recorded in both the understanding of melanoma
biology and genetics, and in therapeutic approaches, this malignancy still
represents a major problem worldwide due to its high incidence and the lack of a
curative treatment for advanced stages. This review offers a survey of the most
recent information available regarding the melanoma epidemiology, etiology, and
genetic profile. Also discussed was the topic of cutaneous melanoma murine models
outlining the role of these models in understanding the molecular pathways
involved in melanoma initiation, progression, and metastasis.
PMID- 29795012
TI - Magnetic Nanoparticles Conjugated with Peptides Derived from Monocyte
Chemoattractant Protein-1 as a Tool for Targeting Atherosclerosis.
AB - Atherosclerosis is a multifactorial inflammatory disease that may progress
silently for long period, and it is also widely accepted as the main cause of
cardiovascular diseases. To prevent atherosclerotic plaques from generating,
imaging early molecular markers and quantifying the extent of disease progression
are desired. During inflammation, circulating monocytes leave the bloodstream and
migrate into incipient lipid accumulation in the artery wall, following
conditioning by local growth factors and proinflammatory cytokines; therefore,
monocyte accumulation in the arterial wall can be observed in fatty streaks,
rupture-prone plaques, and experimental atherosclerosis. In this work, we
synthesized monocyte-targeting iron oxide magnetic nanoparticles (MNPs), which
were incorporated with the peptides derived from the chemokine receptor C-C
chemokine receptor type 2 (CCR2)-binding motif of monocytes chemoattractant
protein-1 (MCP-1) as a diagnostic tool for potential atherosclerosis. MCP-1-motif
MNPs co-localized with monocytes in in vitro fluorescence imaging. In addition,
with MNPs injection in ApoE knockout mice (ApoE KO mice), the well-characterized
animal model of atherosclerosis, MNPs were found in specific organs or regions
which had monocytes accumulation, especially the aorta of atherosclerosis model
mice, through in vivo imaging system (IVIS) imaging and magnetic resonance
imaging (MRI). We also performed Oil Red O staining and Prussian Blue staining to
confirm the co-localization of MCP-1-motif MNPs and atherosclerosis. The results
showed the promising potential of MCP-1-motif MNPs as a diagnostic agent of
atherosclerosis.
PMID- 29795014
TI - Prenatal Perfluorooctyl Sulfonate Exposure and Alu DNA Hypomethylation in Cord
Blood.
AB - BACKGROUND: Perfluoroalkyl substances (PFASs) are stable and persistent in the
environment, animals, and humans. PFASs can penetrate placenta and affect fetal
growth. We investigated associations between prenatal exposure to
perfluorooctanoic acid (PFOA), perfluorooctyl sulfonate (PFOS), perfluorononanoic
acid (PFNA), and perfluoroundecanoic acid (PFUA) and global methylation levels.
Specific Aims and Methods: The study used the subjects from Taiwan Birth Panel
birth cohort study, including all pregnant women who gave birth between July 2004
and June 2005 in four hospitals in Taipei city and New Taipei City. A total of
363 mother-infant pairs were included in the final analyses. PFOA, PFOS, PFNA,
and PFUA were measured by UPLC-MS/MS in cord blood. LINE-1 and Alu repeated
elements from cord blood was used to represent global DNA methylation levels.
Multivariable regression models were used to adjust potential confounders.
RESULTS: After controlling for potential confounders, each unit increase in the
natural log-transformed PFOS exposure was associated with an adjusted OR of 1.72
(95% CI: 1.03, 2.88) for low Alu methylation level when dichotomized methylation
level by medium. No significant effects between PFOA, PFNA, PFUA and methylation
levels in the multivariable regression models were observed. CONCLUSIONS: Our
findings suggest that prenatal PFOS exposure may be associated with low Alu
methylation level.
PMID- 29795015
TI - Multidisciplinary Approach for Bone Metastasis: A Review.
AB - Progress in cancer treatment has improved the survival of patients with advanced
stage cancers. Consequently, the clinical courses of patients are prolonged and
often accompanied by morbidity due to bone metastases. Skeletal-related events
(SREs), such as pathological fractures and spinal paralysis, cause impairment in
activities of daily life and quality of life (QOL). To avoid serious SREs causing
impairment in QOL and survival, early diagnosis and a prophylactic approach are
required. It is necessary to initiate a bone management program concurrently with
the initiation of cancer treatment to prevent complications of bone metastasis.
In addition, the requirement of a multidisciplinary approach through a cancer
board focusing on the management of bone metastases and involving a team of
specialists in oncology, palliative care, radiotherapy, orthopedics, nuclear
medicine, radiology, and physiatrists has been emphasized. In the cancer board, a
strong focus is placed on the prevention of complications due to bone metastases
and on reductions in the high morbidity, hospitalization rate, and overall costs
associated with advanced-stage cancers. Recent reports suggest the usefulness of
such approaches. The multidisciplinary approach through a cancer board would
improve QOL and prognosis of patients, leading to new or continued systemic
therapy for primary cancers.
PMID- 29795016
TI - Luteolin-Mediated Inhibition of Hepatic Stellate Cell Activation via Suppression
of the STAT3 Pathway.
AB - Hepatic stellate cell (HSC) activation is responsible for hepatic fibrogenesis
and is associated with an overexpression of transcription 3 (STAT3). Luteolin, a
common dietary flavonoid with potent anti-inflammatory properties, has previously
demonstrated antifibrogenic properties in HSCs but the mechanism has not been
fully elucidated. Activated human and rat hepatic stellate cell lines LX-2 and
HSC-T6 were used to study the effects of luteolin on HSCs. Cellular proteins were
determined by western blot and immunofluorescence. Cell proliferation was
assessed with Alamar Blue assay. Luteolin significantly decreased LX-2 and HSC-T6
cell viability in a time-and-dose-dependent manner, as well as decreased HSC end
products alpha-smooth muscle actin (alpha-SMA), collagen I, and fibronectin.
Luteolin decreased levels of total and phosphorylated STAT3, suppressed STAT3
nuclear translocation and transcriptional activity, and attenuated expression of
STAT3-regulated proteins c-myc and cyclin D1. STAT3 specific inhibitors stattic
and SH-4-54 demonstrated similar effects on HSC viability and alpha-SMA
production. In LX-2 and HSC-T6 cells, luteolin demonstrates a potent ability to
inhibit hepatic fibrogenesis via suppression of the STAT3 pathway. These results
further elucidate the mechanism of luteolin as well as the effect of the STAT3
pathway on HSC activation.
PMID- 29795017
TI - Detection of Intracellular Gold Nanoparticles: An Overview.
AB - Photothermal therapy (PTT) takes advantage of unique properties of gold
nanoparticles (AuNPs) (nanospheres, nanoshells (AuNSs), nanorods (AuNRs)) to
destroy cancer cells or tumor tissues. This is made possible thanks principally
to both to the so-called near-infrared biological transparency window,
characterized by wavelengths falling in the range 700-1100 nm, where light has
its maximum depth of penetration in tissue, and to the efficiency of cellular
uptake mechanisms of AuNPs. Consequently, the possible identification of
intracellular AuNPs plays a key role for estimating the effectiveness of PTT
treatments. Here, we review the recognized detection techniques of such
intracellular probes with a special emphasis to the exploitation of near-infrared
biological transparency window.
PMID- 29795018
TI - Piezoelectric Actuator with Frequency Characteristics for a Middle-Ear Implant.
AB - The design and implementation of a novel piezoelectric-based actuator for an
implantable middle-ear hearing aid is described in this paper. The proposed
actuator has excellent low-frequency output characteristics, and can generate
high output in a specific frequency band by adjusting the mechanical resonance.
The actuator consists of a piezoelectric element, a miniature bellows, a
cantilever membrane, a metal ring support, a ceramic tip, and titanium housing.
The optimal structure of the cantilever-membrane design, which determines the
frequency characteristics of the piezoelectric actuator, was derived through
finite element analysis. Based on the results, the piezoelectric actuator was
implemented, and its performance was verified through a cadaveric experiment. It
was confirmed that the proposed actuator provides better performance than
currently used actuators, in terms of frequency characteristics.
PMID- 29795020
TI - Group Sparse Representation Based on Nonlocal Spatial and Local Spectral
Similarity for Hyperspectral Imagery Classification.
AB - Spectral-spatial classification has been widely applied for remote sensing
applications, especially for hyperspectral imagery. Traditional methods mainly
focus on local spatial similarity and neglect nonlocal spatial similarity.
Recently, nonlocal self-similarity (NLSS) has gradually gained support since it
can be used to support spatial coherence tasks. However, these methods are biased
towards the direct use of spatial information as a whole, while discriminative
spectral information is not well exploited. In this paper, we propose a novel
method to couple both nonlocal spatial and local spectral similarity together in
a single framework. In particular, the proposed approach exploits nonlocal
spatial similarities by searching non-overlapped patches, whereas spectral
similarity is analyzed locally within the locally discovered patches. By fusion
of nonlocal and local information, we then apply group sparse representation
(GSR) for classification based on a group structured prior. Experimental results
on three real hyperspectral data sets demonstrate the efficiency of the proposed
approach, and the improvements are significant over the methods that consider
either nonlocal or local similarity.
PMID- 29795019
TI - Actinomycetes, an Inexhaustible Source of Naturally Occurring Antibiotics.
AB - Global public health faces a desperate situation, due to the lack of effective
antibiotics. Coordinated steps need to be taken, worldwide, to rectify this
situation and protect the advances in modern medicine made over the last 100
years. Work at Japan's Kitasato Institute has been in the vanguard of many such
advances, and work is being proactively tailored to promote the discovery of
urgently needed antimicrobials. Efforts are being concentrated on actinomycetes,
the proven source of most modern antibiotics. We devised a novel physicochemical
screening mechanism, whereby simple physico-chemical properties, in conjunction
with related detection methods, such as LC/MS, LC/UV, and polarity, could be used
to identify or predict new compounds in a culture broth, simply by comparing
results with existing databases. New compounds are isolated, purified, and their
structure determined before being tested for any bioactivity. We used lyophilized
actinomycete strains from the Kitasato Microbial Library, most more than 35 years
old, and found 330 strains were producers of useful bioactive substances. We also
tested organisms found in fresh samples collected in the complex environments
from around plant roots, as well as from sediments of mangrove forests and
oceans, resulting in the discovery of 36 novel compounds from 11 actinomycete
strains. A compound, designated iminimycin, containing an iminium ion in the
structure was discovered from the culture broth of Streptomyces griseus OS-3601,
which had been stored for a long time as a streptomycin-producing strain. This
represented the first iminium ion discovery in actinomycetes. Compounds with a
cyclopentadecane skeleton containing 5,6-dihydro-4-hydroxyl-2-pyrone ring and
tetrahydrofuran ring, designated mangromicins, were isolated from the culture
broth of Lechevalieria aerocolonigenes K10-0216 obtained from sediment in a
mangrove forest. These structures are extremely unique among natural compounds.
From the same culture broth, new steroid compounds, named K10-0216 KA and KB, and
other new compounds having a thiazole and a pyridine ring, named pyrizomicin A
and B, were discovered. New substances can be found from actinomycetes that have
been exhaustively studied. Novel compounds with different skeletons can be found
from a single broth of one strain. The sought after new antibiotics will arise
from continued exploitation of the actinomycetes, especially rare actinomycetes.
Work on new organisms and samples should be augmented by re-examination of known
actinomycetes already in storage. New research should also be carried out on the
manipulation of culture media, thereby stimulating actinomycete strains to
produce novel chemicals. The establishment of wide-ranging international research
collaborations will facilitate and expedite the efficient and timely discovery
and provision of bioactive compounds to help maintain and promote advances in
global public health.
PMID- 29795021
TI - Investigating the Trimethylaluminium/Water ALD Process on Mesoporous Silica by In
Situ Gravimetric Monitoring.
AB - A low amount of AlOx was successfully deposited on an unordered, mesoporous SiO2
powder using 1-3 ALD (Atomic Layer Deposition) cycles of trimethylaluminium and
water. The process was realized in a self-built ALD setup featuring a
microbalanceand a fixed particle bed. The reactor temperature was varied between
75, 120, and 200 degrees C. The self-limiting nature of the deposition was
verified by in situ gravimetric monitoring for all temperatures. The coated
material was further analyzed by nitrogen sorption, inductively coupled plasma
optical emission spectroscopy, powder X-ray diffraction, high-resolution
transmission electron microscopy, attenuated total reflection Fourier transformed
infrared spectroscopy, and elemental analysis. The obtained mass gains correspond
to average growth between 0.81-1.10 A/cycle depending on substrate temperature.
In addition, the different mass gains during the half-cycles in combination with
the analyzed aluminum content after one, two, and three cycles indicate a change
in the preferred surface reaction of the trimethylaluminium molecule from a
predominately two-ligand exchange with hydroxyl groups to more single-ligand
exchange with increasing cycle number. Nitrogen sorption isotherms demonstrate
(1) homogeneously coated mesopores, (2) a decrease in surface area, and (3) a
reduction of the pore size. The experiment is successfully repeated in a scale-up
using a ten times higher substrate batch size.
PMID- 29795023
TI - Molecular Evolution in a Peptide-Vesicle System.
AB - Based on a new model of a possible origin of life, we propose an efficient and
stable system undergoing structural reproduction, self-optimization, and
molecular evolution. This system is being formed under realistic conditions by
the interaction of two cyclic processes, one of which offers vesicles as the
structural environment, with the other supplying peptides from a variety of amino
acids as versatile building blocks. We demonstrate that structures growing in a
combination of both cycles have the potential to support their own existence, to
undergo chemical and structural evolution, and to develop unpredicted functional
properties. The key mechanism is the mutual stabilization of the peptides by the
vesicles and of the vesicles by the peptides together with a constant production
and selection of both. The development of the proposed system over time would not
only represent one of the principles of life, but could also be a model for the
formation of self-evolving structures ultimately leading to the first living
cell. The experiment yields clear evidence for a vesicle-induced accumulation of
membrane-interacting peptide which could be identified by liquid chromatography
combined with high-resolution mass spectroscopy. We found that the selected
peptide has an immediate effect on the vesicles, leading to (i) reduced vesicle
size, (ii) increased vesicle membrane permeability, and (iii) improved thermal
vesicle stability.
PMID- 29795024
TI - Early Childhood Caries-Related Knowledge, Attitude, and Practice: Discordance
between Pediatricians and Dentists toward Medical Office-Based Prevention in
Taiwan.
AB - The aim of this study was to assess the knowledge, attitude, and practice
regarding early childhood caries (ECC) prevention and implementation of medical
setting-based caries prevention among pediatricians and dentists in Taiwan. Data
were collected from currently practicing pediatricians and general and pediatric
dentists using self-administered questionnaires. A total of 301 questionnaires
were completed by the pediatricians (n = 105), general dentists (n = 117), and
pediatric dentists (n = 79). The pediatric dentists obtained significantly higher
knowledge and practice scores than the general dentists and pediatricians (p <
0.0001). The pediatricians' attitude score related to engaging physicians in
medical office-based caries prevention was significantly higher than the attitude
scores of the general and pediatric dentists (p < 0.05). A Spearman rank
correlation analysis indicated a significant positive correlation between
knowledge and practice among the general dentists (rs = 0.271, p < 0.01) and
pediatricians (rs = 0.262, p < 0.01). The correlation between knowledge and
attitude among the pediatricians was significantly positive (rs = 0.242, p <
0.05). Attitude and practice among the pediatricians were significantly
positively correlated (rs = 0.271, p < 0.01). Pediatricians lacked ECC-related
knowledge; however, they had a more positive attitude toward medical office-based
prevention when they had a higher level of knowledge. Oral health-related
education for pediatricians is necessary if such medical office-based caries
prevention programs are to be implemented in Taiwan.
PMID- 29795022
TI - Signaling Crosstalk of TGF-beta/ALK5 and PAR2/PAR1: A Complex Regulatory Network
Controlling Fibrosis and Cancer.
AB - Both signaling by transforming growth factor-beta (TGF-beta) and agonists of the
G Protein-coupled receptors proteinase-activated receptor-1 (PAR1) and -2 (PAR2)
have been linked to tissue fibrosis and cancer. Intriguingly, TGF-beta and PAR
signaling either converge on the regulation of certain matrix genes overexpressed
in these pathologies or display mutual regulation of their signaling components,
which is mediated in part through sphingosine kinases and sphingosine-1-phosphate
and indicative of an intimate signaling crosstalk between the two pathways. In
the first part of this review, we summarize the various regulatory interactions
that have been discovered so far according to the organ/tissue in which they were
described. In the second part, we highlight the types of signaling crosstalk
between TGF-beta on the one hand and PAR2/PAR1 on the other hand. Both ligand
receptor systems interact at various levels and by several mechanisms including
mutual regulation of ligand-ligand, ligand-receptor, and receptor-receptor at the
transcriptional, post-transcriptional, and receptor transactivation levels. These
mutual interactions between PAR2/PAR1 and TGF-beta signaling components
eventually result in feed-forward loops/vicious cycles of matrix deposition and
malignant traits that exacerbate fibrosis and oncogenesis, respectively. Given
the crucial role of PAR2 and PAR1 in controlling TGF-beta receptor activation,
signaling, TGF-beta synthesis and bioactivation, combining PAR inhibitors with
TGF-beta blocking agents may turn out to be more efficient than targeting TGF
beta alone in alleviating unwanted TGF-beta-dependent responses but retaining the
beneficial ones.
PMID- 29795027
TI - Maglev Train Signal Processing Architecture Based on Nonlinear Discrete Tracking
Differentiator.
AB - In a maglev train levitation system, signal processing plays an important role
for the reason that some sensor signals are prone to be corrupted by noise due to
the harsh installation and operation environment of sensors and some signals
cannot be acquired directly via sensors. Based on these concerns, an architecture
based on a new type of nonlinear second-order discrete tracking differentiator is
proposed. The function of this signal processing architecture includes filtering
signal noise and acquiring needed signals for levitation purposes. The proposed
tracking differentiator possesses the advantages of quick convergence, no
fluttering, and simple calculation. Tracking differentiator's frequency
characteristics at different parameter values are studied in this paper. The
performance of this new type of tracking differentiator is tested in a MATLAB
simulation and this tracking-differentiator is implemented in Very-High-Speed
Integrated Circuit Hardware Description Language (VHDL). In the end, experiments
are conducted separately on a test board and a maglev train model. Simulation and
experiment results show that the performance of this novel signal processing
architecture can fulfill the real system requirement.
PMID- 29795026
TI - Accurate Traffic Flow Prediction in Heterogeneous Vehicular Networks in an
Intelligent Transport System Using a Supervised Non-Parametric Classifier.
AB - Heterogeneous vehicular networks (HETVNETs) evolve from vehicular ad hoc networks
(VANETs), which allow vehicles to always be connected so as to obtain safety
services within intelligent transportation systems (ITSs). The services and data
provided by HETVNETs should be neither interrupted nor delayed. Therefore,
Quality of Service (QoS) improvement of HETVNETs is one of the topics attracting
the attention of researchers and the manufacturing community. Several
methodologies and frameworks have been devised by researchers to address QoS
prediction service issues. In this paper, to improve QoS, we evaluate various
traffic characteristics of HETVNETs and propose a new supervised learning model
to capture knowledge on all possible traffic patterns. This model is a refinement
of support vector machine (SVM) kernels with a radial basis function (RBF). The
proposed model produces better results than SVMs, and outperforms other
prediction methods used in a traffic context, as it has lower computational
complexity and higher prediction accuracy.
PMID- 29795025
TI - Enhanced Anti-Mycobacterium tuberculosis Immunity over Time with Combined Drug
and Immunotherapy Treatment.
AB - It is estimated that one third of the world's population is infected with
Mycobacterium tuberculosis (Mtb). This astounding statistic, in combination with
costly and lengthy treatment regimens make the development of therapeutic
vaccines paramount for controlling the global burden of tuberculosis. Unlike
prophylactic vaccination, therapeutic immunization relies on the natural
pulmonary infection with Mtb as the mucosal prime that directs boost responses
back to the lung. The purpose of this work was to determine the protection and
safety profile over time following therapeutic administration of our lead Mtb
vaccine candidate, ID93 with a synthetic TLR4 agonist (glucopyranosyl lipid
adjuvant in a stable emulsion (GLA-SE)), in combination with rifampicin,
isoniazid, and pyrazinamide (RHZ) drug treatment. We assessed the host
inflammatory immune responses and lung pathology 7-22 weeks post infection, and
determined the therapeutic efficacy of combined treatment by enumeration of the
bacterial load and survival in the SWR/J mouse model. We show that drug treatment
alone, or with immunotherapy, tempered the inflammatory responses measured in
brochoalveolar lavage fluid and plasma compared to untreated cohorts. RHZ
combined with therapeutic immunizations significantly enhanced TH1-type cytokine
responses in the lung over time, corresponding to decreased pulmonary pathology
evidenced by a significant decrease in the percentage of lung lesions and
destructive lung inflammation. These data suggest that bacterial burden
assessment alone may miss important correlates of lung architecture that directly
contribute to therapeutic vaccine efficacy in the preclinical mouse model. We
also confirmed our previous finding that in combination with antibiotics
therapeutic immunizations provide an additive survival advantage. Moreover,
therapeutic immunizations with ID93/GLA-SE induced differential T cell immune
responses over the course of infection that correlated with periods of enhanced
bacterial control over that of drug treatment alone. Here we advance the
immunotherapy model and investigate reliable correlates of protection and Mtb
control.
PMID- 29795029
TI - High Temperature Flow Behavior of Ultra-Strong Nanoporous Au assessed by
Spherical Nanoindentation.
AB - Nanoporous metals have attracted attention in various research fields in the past
years since their unique microstructures make them favorable for catalytic,
sensory or microelectronic applications. Moreover, the refinement of the
ligaments down to the nanoscale leads to an exceptionally high strength. To
guarantee a smooth implementation of nanoporous metals into modern devices their
thermo-mechanical behavior must be properly understood. Within this study the
mechanical flow properties of nanoporous Au were investigated at elevated
temperatures up to 300 degrees C. In contrast to the conventional synthesis by
dealloying of AuAg precursors, the present foam was fabricated via severe plastic
deformation of an AuFe nanocomposite and subsequent selective etching of iron,
resulting in Au ligaments consisting of nanocrystalline grains, while remaining
Fe impurities excessively stabilize the microstructure. A recently developed
spherical nanoindentation protocol was used to extract the stress-strain curves
of nanoporous Au. A tremendous increase of yield strength due to ligament and
grain refinement was observed, which is largely maintained at high temperatures.
Reviewing literature will evidence that the combined nanocrystalline and
nanoporous structure leads to remarkable mechanical properties. Furthermore,
comparison to a previous Berkovich nanoindentation study outlines the conformity
of different indentation techniques.
PMID- 29795028
TI - Direct Self-Injurious Behavior (D-SIB) and Life Events among Vocational School
and High School Students.
AB - Although several studies have recently assessed direct self-injurious behavior (D
SIB) among adolescents, it is still understudied in adolescents attending
vocational schools: an educational setting generally associated with lower
socioeconomic status. After extending the "Saving and Empowering Young Lives in
Europe" (SEYLE) project to a vocational school population, we examined their D
SIB and life event characteristics compared to the high school population.
SEYLE's Hungarian randomly selected high school sample (N = 995) was completed
with a randomly selected vocational school sample (N = 140) in Budapest, Hungary.
Participants aged 14-17 years completed the SEYLE project's self-administered
questionnaires. D-SIB lifetime prevalence was significantly higher (29.4%) in the
vocational school group compared to the high school group (17.2%) (Chi2(1) =
12.231, p< 0.001). D-SIB was associated with suicidal ideation in the vocational
school group. Different life events were more frequent in the high school than in
the vocational school group, and associations between D-SIB and life events
differed in the vocational school group compared to the high school group. In
conclusion, vocational school students are a vulnerable population with a higher
prevalence of D-SIB compared to high school students. Life events and their
association with D-SIB also differ in vocational school students compared to high
school students. Taking all these into account might contribute to
prevention/intervention designed for this population.
PMID- 29795030
TI - Digital Self-Interference Cancellation for Asynchronous In-Band Full-Duplex
Underwater Acoustic Communication.
AB - To improve the throughput of underwater acoustic (UWA) networking, the In-band
full-duplex (IBFD) communication is one of the most vital pieces of research. The
major drawback of IBFD-UWA communication is Self-Interference (SI). This paper
presents a digital SI cancellation algorithm for asynchronous IBFD-UWA
communication system. We focus on two issues: one is asynchronous communication
dissimilar to IBFD radio communication, the other is nonlinear distortion caused
by power amplifier (PA). First, we discuss asynchronous IBFD-UWA signal model
with the nonlinear distortion of PA. Then, we design a scheme for asynchronous
IBFD-UWA communication utilizing the non-overlapping region between SI and
intended signal to estimate the nonlinear SI channel. To cancel the nonlinear
distortion caused by PA, we propose an Over-Parameterization based Recursive
Least Squares (RLS) algorithm (OPRLS) to estimate the nonlinear SI channel.
Furthermore, we present the OPRLS with a sparse constraint to estimate the SI
channel, which reduces the requirement of the length of the non-overlapping
region. Finally, we verify our concept through simulation and the pool
experiment. Results demonstrate that the proposed digital SI cancellation scheme
can cancel SI efficiently.
PMID- 29795031
TI - Towards an Online Seizure Advisory System-An Adaptive Seizure Prediction
Framework Using Active Learning Heuristics.
AB - In the last decade, seizure prediction systems have gained a lot of attention
because of their enormous potential to largely improve the quality-of-life of the
epileptic patients. The accuracy of the prediction algorithms to detect seizure
in real-world applications is largely limited because the brain signals are
inherently uncertain and affected by various factors, such as environment, age,
drug intake, etc., in addition to the internal artefacts that occur during the
process of recording the brain signals. To deal with such ambiguity, researchers
transitionally use active learning, which selects the ambiguous data to be
annotated by an expert and updates the classification model dynamically. However,
selecting the particular data from a pool of large ambiguous datasets to be
labelled by an expert is still a challenging problem. In this paper, we propose
an active learning-based prediction framework that aims to improve the accuracy
of the prediction with a minimum number of labelled data. The core technique of
our framework is employing the Bernoulli-Gaussian Mixture model (BGMM) to
determine the feature samples that have the most ambiguity to be annotated by an
expert. By doing so, our approach facilitates expert intervention as well as
increasing medical reliability. We evaluate seven different classifiers in terms
of the classification time and memory required. An active learning framework
built on top of the best performing classifier is evaluated in terms of required
annotation effort to achieve a high level of prediction accuracy. The results
show that our approach can achieve the same accuracy as a Support Vector Machine
(SVM) classifier using only 20 % of the labelled data and also improve the
prediction accuracy even under the noisy condition.
PMID- 29795032
TI - Influence of Different Coupling Modes on the Robustness of Smart Grid under
Targeted Attack.
AB - Many previous works only focused on the cascading failure of global coupling of
one-to-one structures in interdependent networks, but the local coupling of dual
coupling structures has rarely been studied due to its complex structure. This
will result in a serious consequence that many conclusions of the one-to-one
structure may be incorrect in the dual coupling network and do not apply to the
smart grid. Therefore, it is very necessary to subdivide the dual coupling link
into a top-down coupling link and a bottom-up coupling link in order to study
their influence on network robustness by combining with different coupling modes.
Additionally, the power flow of the power grid can cause the load of a failed
node to be allocated to its neighboring nodes and trigger a new round of load
distribution when the load of these nodes exceeds their capacity. This means that
the robustness of smart grids may be affected by four factors, i.e., load
redistribution, local coupling, dual coupling link and coupling mode; however,
the research on the influence of those factors on the network robustness is
missing. In this paper, firstly, we construct the smart grid as a two-layer
network with a dual coupling link and divide the power grid and communication
network into many subnets based on the geographical location of their nodes.
Secondly, we define node importance ( N I ) as an evaluation index to access the
impact of nodes on the cyber or physical network and propose three types of
coupling modes based on N I of nodes in the cyber and physical subnets, i.e.,
Assortative Coupling in Subnets (ACIS), Disassortative Coupling in Subnets
(DCIS), and Random Coupling in Subnets (RCIS). Thirdly, a cascading failure model
is proposed for studying the effect of local coupling of dual coupling link in
combination with ACIS, DCIS, and RCIS on the robustness of the smart grid against
a targeted attack, and the survival rate of functional nodes is used to assess
the robustness of the smart grid. Finally, we use the IEEE 118-Bus System and the
Italian High-Voltage Electrical Transmission Network to verify our model and
obtain the same conclusions: (I) DCIS applied to the top-down coupling link is
better able to enhance the robustness of the smart grid against a targeted attack
than RCIS or ACIS, (II) ACIS applied to a bottom-up coupling link is better able
to enhance the robustness of the smart grid against a targeted attack than RCIS
or DCIS, and (III) the robustness of the smart grid can be improved by increasing
the tolerance alpha . This paper provides some guidelines for slowing down the
speed of the cascading failures in the design of architecture and optimization of
interdependent networks, such as a top-down link with DCIS, a bottom-up link with
ACIS, and an increased tolerance alpha .
PMID- 29795034
TI - Geometric Positioning Accuracy Improvement of ZY-3 Satellite Imagery Based on
Statistical Learning Theory.
AB - With the increasing demand for high-resolution remote sensing images for mapping
and monitoring the Earth's environment, geometric positioning accuracy
improvement plays a significant role in the image preprocessing step. Based on
the statistical learning theory, we propose a new method to improve the geometric
positioning accuracy without ground control points (GCPs). Multi-temporal images
from the ZY-3 satellite are tested and the bias-compensated rational function
model (RFM) is applied as the block adjustment model in our experiment. An easy
and stable weight strategy and the fast iterative shrinkage-thresholding (FIST)
algorithm which is widely used in the field of compressive sensing are improved
and utilized to define the normal equation matrix and solve it. Then, the
residual errors after traditional block adjustment are acquired and tested with
the newly proposed inherent error compensation model based on statistical
learning theory. The final results indicate that the geometric positioning
accuracy of ZY-3 satellite imagery can be improved greatly with our proposed
method.
PMID- 29795033
TI - K15 Protein of Kaposi's Sarcoma Herpesviruses Increases Endothelial Cell
Proliferation and Migration through Store-Operated Calcium Entry.
AB - Kaposi's sarcoma (KS) is a tumor of the vascular endothelium that is caused by
Kaposi's sarcoma-associated herpesvirus (KSHV). K15 of KSHV is a specific gene
encoding a transmembrane protein. Two highly different forms of K15, the
predominant (K15P) and minor (K15M) have been identified in different KSHV
strains. In genomic locations and protein topology, two K15 alleles resemble the
latent membrane protein (LMP) 1 and LMP2A of Epstein-Barr virus. Both K15
proteins have motifs similar to those found in LMP1 and LMP2A. K15 therefore
seems to be a hybrid of a distant evolutionary relative of LMP1 and LMP2A. Ca2+
is a second messenger and participates in numerous activities in cells, like
proliferation, migration and metastasis. It has been found previously that LMP1
increased Ca2+ influx through store-operated calcium channels and blockade of
LMP1 reduced store-operated Ca2+ entry (SOCE). LMP2A has similar activity. So we
sought to determine whether K15 had similar activity. We showed that K15P induced
Ca2+ influx and enhanced expression of Orail1, which is a vital protein in SOCE,
and overexpression of K15P improved cell motility. Mutant K15P did not show these
activities in HEK-293T and EA.hy 926 cells. Our results showed that K15P
increased cell proliferation and migration though SOCE and established a novel
mechanism for the development of KS and KSHV-associated diseases.
PMID- 29795035
TI - Outlier-Detection Methodology for Structural Identification Using Sparse Static
Measurements.
AB - The aim of structural identification is to provide accurate knowledge of the
behaviour of existing structures. In most situations, finite-element models are
updated using behaviour measurements and field observations. Error-domain model
falsification (EDMF) is a multi-model approach that compares finite-element model
predictions with sensor measurements while taking into account epistemic and
stochastic uncertainties-including the systematic bias that is inherent in the
assumptions behind structural models. Compared with alternative model-updating
strategies such as residual minimization and traditional Bayesian methodologies,
EDMF is easy-to-use for practising engineers and does not require precise
knowledge of values for uncertainty correlations. However, wrong parameter
identification and flawed extrapolation may result when undetected outliers occur
in the dataset. Moreover, when datasets consist of a limited number of static
measurements rather than continuous monitoring data, the existing signal
processing and statistics-based algorithms provide little support for outlier
detection. This paper introduces a new model-population methodology for outlier
detection that is based on the expected performance of the as-designed sensor
network. Thus, suspicious measurements are identified even when few measurements,
collected with a range of sensors, are available. The structural identification
of a full-scale bridge in Exeter (UK) is used to demonstrate the applicability of
the proposed methodology and to compare its performance with existing algorithms.
The results show that outliers, capable of compromising EDMF accuracy, are
detected. Moreover, a metric that separates the impact of powerful sensors from
the effects of measurement outliers have been included in the framework. Finally,
the impact of outlier occurrence on parameter identification and model
extrapolation (for example, reserve capacity assessment) is evaluated.
PMID- 29795036
TI - Experimental Methods for Studying Cellular Heme Signaling.
AB - The study of heme is important to our understanding of cellular bioenergetics,
especially in cancer cells. The function of heme as a prosthetic group in
proteins such as cytochromes is now well-documented. Less is known, however,
about its role as a regulator of metabolic and energetic pathways. This is due in
part to some inherent difficulties in studying heme. Due to its slightly
amphiphilic nature, heme is a "sticky" molecule which can easily bind non
specifically to proteins. In addition, heme tends to dimerize, oxidize, and
aggregate in purely aqueous solutions; therefore, there are constraints on buffer
composition and concentrations. Despite these difficulties, our knowledge of
heme's regulatory role continues to grow. This review sums up the latest methods
used to study reversible heme binding. Heme-regulated proteins will also be
reviewed, as well as a system for imaging the cellular localization of heme.
PMID- 29795037
TI - Oxidation Resistance and Wetting Behavior of MgO-C Refractories: Effect of Carbon
Content.
AB - Abstract: Various carbon contents in the MgO-C refractory were studied with
respect to the oxidation resistance and the wetting behavior with slag. The bulk
density, apparent porosity, cold crushing strength, oxidation rate, and mass loss
rate of the fired MgO-C refractories with various carbon contents were measured
and compared. The wetting and penetration behavior of the cured MgO-C refractory
with the molten slag were observed in-situ. The contact angle and the shape
parameters of molten slag, including the apparent radius, height, and volume were
compared. The results showed that the regenerated MgO effectively restrained the
carbon oxidation in the MgO-C refractory, which was more evident at the low
carbon content refractory. The contact angle between the MgO-C refractory and the
molten slag increased as the carbon content increased. The increased contact
angle decreased the penetration of the molten slag.
PMID- 29795038
TI - LightDenseYOLO: A Fast and Accurate Marker Tracker for Autonomous UAV Landing by
Visible Light Camera Sensor on Drone.
AB - Autonomous landing of an unmanned aerial vehicle or a drone is a challenging
problem for the robotics research community. Previous researchers have attempted
to solve this problem by combining multiple sensors such as global positioning
system (GPS) receivers, inertial measurement unit, and multiple camera systems.
Although these approaches successfully estimate an unmanned aerial vehicle
location during landing, many calibration processes are required to achieve good
detection accuracy. In addition, cases where drones operate in heterogeneous
areas with no GPS signal should be considered. To overcome these problems, we
determined how to safely land a drone in a GPS-denied environment using our
remote-marker-based tracking algorithm based on a single visible-light-camera
sensor. Instead of using hand-crafted features, our algorithm includes a
convolutional neural network named lightDenseYOLO to extract trained features
from an input image to predict a marker's location by visible light camera sensor
on drone. Experimental results show that our method significantly outperforms
state-of-the-art object trackers both using and not using convolutional neural
network in terms of both accuracy and processing time.
PMID- 29795039
TI - Amino Acids as Building Blocks for Carbonic Anhydrase Inhibitors.
AB - Carbonic anhydrases (CAs) are a superfamily of metalloenzymes widespread in all
life, classified into seven genetically different families (alpha-theta). These
enzymes catalyse the reversible hydration of carbonic anhydride (CO2), generating
bicarbonate (HCO3-) and protons (H+). Fifteen isoforms of human CA (hCA I-XV)
have been isolated, their presence being fundamental for the regulation of many
physiological processes. In addition, overexpression of some isoforms has been
associated with the outbreak or progression of several diseases. For this reason,
for a long time CA inhibitors (CAIs) have been used in the control of glaucoma
and as diuretics. Furthermore, the search for new potential CAIs for other
pharmacological applications is a very active field. Amino acids constitute the
smallest fundamental monomers of protein and, due to their useful bivalent
chemical properties, are widely used in organic chemistry. Both proteinogenic and
non-proteinogenic amino acids have been extensively used to synthesize CAIs. This
article provides an overview of the different strategies that have been used to
design new CAIs containing amino acids, and how these bivalent molecules
influence the properties of the inhibitors.
PMID- 29795040
TI - Recent Insights into Mucinous Ovarian Carcinoma.
AB - Ovarian mucinous tumors represent a group of rare neoplasms with a still
undefined cell of origin but with an apparent progression from benign to
borderline to carcinoma. Even though these tumors are different from the other
histological subtypes of epithelial ovarian neoplasms, they are still treated
with a similar chemotherapeutic approach. Here, we review its pathogenesis,
molecular alterations, (differential) diagnosis, clinical presentation and
current treatment, and how recent molecular and biological information on this
tumor might lead to better and more specific clinical management of patients with
mucinous ovarian carcinoma.
PMID- 29795042
TI - Electrical Resistance Tomography for Visualization of Moving Objects Using a
Spatiotemporal Total Variation Regularization Algorithm.
AB - Electrical resistance tomography (ERT) has been considered as a data collection
and image reconstruction method in many multi-phase flow application areas due to
its advantages of high speed, low cost and being non-invasive. In order to
improve the quality of the reconstructed images, the Total Variation algorithm
attracts abundant attention due to its ability to solve large piecewise and
discontinuous conductivity distributions. In industrial processing tomography
(IPT), techniques such as ERT have been used to extract important flow
measurement information. For a moving object inside a pipe, a velocity profile
can be calculated from the cross correlation between signals generated from ERT
sensors. Many previous studies have used two sets of 2D ERT measurements based on
pixel-pixel cross correlation, which requires two ERT systems. In this paper, a
method for carrying out flow velocity measurement using a single ERT system is
proposed. A novel spatiotemporal total variation regularization approach is
utilised to exploit sparsity both in space and time in 4D, and a voxel-voxel
cross correlation method is adopted for measurement of flow profile. Result shows
that the velocity profile can be calculated with a single ERT system and that the
volume fraction and movement can be monitored using the proposed method. Both
semi-dynamic experimental and static simulation studies verify the suitability of
the proposed method. For in plane velocity profile, a 3D image based on temporal
2D images produces velocity profile with accuracy of less than 1% error and a 4D
image for 3D velocity profiling shows an error of 4%.
PMID- 29795041
TI - Reversal of Resistance in Targeted Therapy of Metastatic Melanoma: Lessons
Learned from Vemurafenib (BRAFV600E-Specific Inhibitor).
AB - Malignant melanoma is the most aggressive form of skin cancer and has a very low
survival rate. Over 50% of melanomas harbor various BRAF mutations with the most
common being the V600E. BRAFV600E mutation that causes constitutive activation of
the MAPK pathway leading to drug-, immune-resistance, apoptosis evasion,
proliferation, survival, and metastasis of melanomas. The ATP competitive
BRAFV600E selective inhibitor, vemurafenib, has shown dramatic success in
clinical trials; promoting tumor regression and an increase in overall survival
of patients with metastatic melanoma. Regrettably, vemurafenib-resistance
develops over an average of six months, which renders melanomas resistant to
other therapeutic strategies. Elucidation of the underlying mechanism(s) of
acquisition of vemurafenib-resistance and design of novel approaches to override
resistance is the subject of intense clinical and basic research. In this review,
we summarize recent developments in therapeutic approaches and clinical
investigations on melanomas with BRAFV600E mutation to establish a new platform
for the treatment of melanoma.
PMID- 29795043
TI - Na+-Dependent High-Affinity Nitrate, Phosphate and Amino Acids Transport in Leaf
Cells of the Seagrass Posidonia oceanica (L.) Delile.
AB - Posidonia oceanica (L.) Delile is a seagrass, the only group of vascular plants
to colonize the marine environment. Seawater is an extreme yet stable environment
characterized by high salinity, alkaline pH and low availability of essential
nutrients, such as nitrate and phosphate. Classical depletion experiments,
membrane potential and cytosolic sodium measurements were used to characterize
the high-affinity NO3-, Pi and amino acids uptake mechanisms in this species. Net
uptake rates of both NO3- and Pi were reduced by more than 70% in the absence of
Na+. Micromolar concentrations of NO3- depolarized mesophyll leaf cells plasma
membrane. Depolarizations showed saturation kinetics (Km = 8.7 +/- 1 MUM NO3-),
which were not observed in the absence of Na+. NO3- induced depolarizations at
increasing Na+ also showed saturation kinetics (Km = 7.2 +/- 2 mM Na+). Cytosolic
Na+ measured in P. oceanica leaf cells (17 +/- 2 mM Na+) increased by 0.4 +/- 0.2
mM Na+ upon the addition of 100 MUM NO3-. Na+-dependence was also observed for
high-affinity l-ala and l-cys uptake and high-affinity Pi transport. All
together, these results strongly suggest that NO3-, amino acids and Pi uptake in
P. oceanica leaf cells are mediated by high-affinity Na+-dependent transport
systems. This mechanism seems to be a key step in the process of adaptation of
seagrasses to the marine environment.
PMID- 29795045
TI - The Crystal Structure of a hCA VII Variant Provides Insights into the Molecular
Determinants Responsible for Its Catalytic Behavior.
AB - Although important progress has been achieved in understanding the catalytic
mechanism of Carbonic Anhydrases, a detailed picture of all factors influencing
the catalytic efficiency of the various human isoforms is still missing. In this
paper we report a detailed structural study and theoretical pKa calculations on a
hCA VII variant. The obtained data were compared with those already known for
another thoroughly investigated cytosolic isoform, hCA II. Our structural studies
show that in hCA VII the network of ordered water molecules, which connects the
zinc bound solvent molecule to the proton shuttle His64, is altered compared to
hCA II, causing a reduction of the catalytic efficiency. Theoretical calculations
suggest that changes in solvent network are related to the difference in pKa of
the proton shuttle in the two enzymes. The residue that plays a major role in
determining the diverse pKa values of the proton shuttle is the one in position
four, namely His for hCA II and Gly for hCA VII. This residue is located on the
protein surface, outside of the active site cavity. These findings are in
agreement with our previous studies that highlighted the importance of histidines
on the protein surface of hCA II (among which His4) as crucial residues for the
high catalytic efficiency of this isoform.
PMID- 29795046
TI - Spectral Kurtosis Entropy and Weighted SaE-ELM for Bogie Fault Diagnosis under
Variable Conditions.
AB - Bogies are crucial for the safe operation of rail transit systems and usually
work under uncertain and variable operating conditions. However, the diagnosis of
bogie faults under variable conditions has barely been discussed until now. Thus,
it is valuable to develop effective methods to deal with variable conditions.
Besides, considering that the normal data for training are much more than the
faulty data in practice, there is another problem in that only a small amount of
data is available that includes faults. Concerning these issues, this paper
proposes two new algorithms: (1) A novel feature parameter named spectral
kurtosis entropy (SKE) is proposed based on the protrugram. The SKE not only
avoids the manual post-processing of the protrugram but also has strong
robustness to the operating conditions and parameter configurations, which have
been validated by a simulation experiment in this paper. In this paper, the SKE,
in conjunction with variational mode decomposition (VMD), is employed for feature
extraction under variable conditions. (2) A new learning algorithm named weighted
self-adaptive evolutionary extreme learning machine (WSaE-ELM) is proposed. WSaE
ELM gives each sample an extra sample weight to rebalance the training data and
optimizes these weights along with the parameters of hidden neurons by means of
the self-adaptive differential evolution algorithm. Finally, the hybrid method
based on VMD, SKE, and WSaE-ELM is verified by using the vibration signals
gathered from real bogies with speed variations. It is demonstrated that the
proposed method of bogie fault diagnosis outperforms the conventional methods by
up to 4.42% and 6.22%, respectively, in percentages of accuracy under variable
conditions.
PMID- 29795044
TI - A Gelatin Hydrogel-Containing Nano-Organic PEI-Ppy with a Photothermal Responsive
Effect for Tissue Engineering Applications.
AB - The introduction and designing of functional thermoresponsive hydrogels have been
recommended as recent potential therapeutic approaches for biomedical
applications. The development of bioactive materials such as thermosensitive
gelatin-incorporated nano-organic materials with a porous structure and
photothermally triggerable and cell adhesion properties may potentially achieve
this goal. This novel class of photothermal hydrogels can provide an advantage of
hyperthermia together with a reversibly transformable hydrogel for tissue
engineering. Polypyrrole (Ppy) is a bioorganic conducting polymeric substance and
has long been used in biomedical applications owing to its brilliant stability,
electrically conductive features, and excellent absorbance around the near
infrared (NIR) region. In this study, a cationic photothermal
triggerable/guidable gelatin hydrogel containing a polyethylenimine (PEI)-Ppy
nanocomplex with a porous microstructure was established, and its physicochemical
characteristics were studied through dynamic light scattering, scanning
electronic microscopy, transmission electron microscopy, an FTIR; and cellular
interaction behaviors towards fibroblasts incubated with a test sample were
examined via MTT assay and fluorescence microscopy. Photothermal performance was
evaluated. Furthermore, the in vivo study was performed on male Wistar rat full
thickness excisions model for checking the safety and efficacy of the designed
gelatin-PEI-Ppy nanohydrogel system in wound healing and for other biomedical
uses in future. This photothermally sensitive hydrogel system has an NIR
triggerable property that provides local hyperthermic temperature by PEI-Ppy
nanoparticles for tissue engineering applications. Features of the designed
hydrogel may fill other niches, such as being an antibacterial agent, generation
of free radicals to further improve wound healing, and remodeling of the
promising photothermal therapy for future tissue engineering applications.
PMID- 29795048
TI - The Conversion of 5,5'-Bi(1,2,3-dithiazolylidenes) into Isothiazolo[5,4
d]isothiazoles.
AB - Thermolysis of 4,4'-dichloro-, 4,4'-diaryl-, and 4,4'-di(thien-2-yl)-5,5'
bi(1,2,3-dithiazol-ylidenes) affords the respective 3,6-dichloro-, 3,6-diaryl-
and 3,6-di(thien-2-yl)isothiazolo[5,4-d]-isothiazoles in low to high yields. The
transformation of the 4,4'-diaryl- and 4,4'-di(thien-2-yl)-5,5'-bi(1,2,3
dithiazolylidenes) occurs at lower temperatures in the presence of the thiophiles
triphenylphosphine or tetraethylammonium iodide. Optimized reaction conditions
and a mechanistic rationale for the thiophile-mediated ring transformation are
presented.
PMID- 29795047
TI - Saracatinib Inhibits Middle East Respiratory Syndrome-Coronavirus Replication In
Vitro.
AB - The Middle East respiratory syndrome-coronavirus (MERS-CoV), first identified in
Saudi Arabia, is an emerging zoonotic pathogen that causes severe acute
respiratory illness in humans with a high fatality rate. Since its emergence,
MERS-CoV continues to spread to countries outside of the Arabian Peninsula and
gives rise to sporadic human infections following the entry of infected
individuals to other countries, which can precipitate outbreaks similar to the
one that occurred in South Korea in 2015. Current therapeutics against MERS-CoV
infection have primarily been adapted from previous drugs used for the treatment
of severe acute respiratory syndrome. In search of new potential drug candidates,
we screened a library composed of 2334 clinically approved drugs and
pharmacologically active compounds. The drug saracatinib, a potent inhibitor of
Src-family of tyrosine kinases (SFK), was identified as an inhibitor of MERS-CoV
replication in vitro. Our results suggest that saracatinib potently inhibits MERS
CoV at the early stages of the viral life cycle in Huh-7 cells, possibly through
the suppression of SFK signaling pathways. Furthermore, saracatinib exhibited a
synergistic effect with gemcitabine, an anticancer drug with antiviral activity
against several RNA viruses. These data indicate that saracatinib alone or in
combination with gemcitabine can provide a new therapeutic option for the
treatment of MERS-CoV infection.
PMID- 29795049
TI - Parental Migration and Left-Behind Children's Depressive Symptoms: Estimation
Based on a Nationally-Representative Panel Dataset.
AB - China's rapid urbanization in the past several decades have been accompanied by
rural labor migration. An important question that has emerged is whether rural
labor migration has a positive or negative impact on the depressive symptoms of
children left behind in the countryside by their migrating parents. This paper
uses a nationally representative panel dataset to investigate whether parental
migration impacts the prevalence of depressive symptoms among left-behind
children in China. Using DID and PSM-DID methods, our results show that parental
migration significantly increases the depression scores of 10 and 11-year-old
children by 2 points using the CES-D depression scale. Furthermore, we also find
that the negative effect of decreased parental care is stronger than the positive
effect of increased income in terms of determining the depressive symptoms status
of children in rural China.
PMID- 29795051
TI - Beyond the Edge of Hypomethylating Agents: Novel Combination Strategies for Older
Adults with Advanced MDS and AML.
AB - Higher-risk myelodysplastic syndrome (MDS) and acute myeloid leukemia (AML) of
the elderly exhibit several commonalities, including first line treatment with
hypomethylating agents (HMA) like azacitidine (AZA) or decitabine (DAC). Until
today, response to treatment occurs in less than 50 percent of patients, and is
often short-lived. Moreover, patients failing HMA have a dismal prognosis.
Current developments include combinations of HMA with novel drugs targeting
epigenetic or immunomodulatory pathways. Other efforts focus on the prevention of
resistance to HMA using checkpoint inhibitors to enhance immune attack. This
review focuses on recent advances in the field of HMA-based front-line therapies
in elderly patients with myeloid diseases.
PMID- 29795050
TI - Transitions from Single- to Multi-Locus Processes during Speciation with Gene
Flow.
AB - During speciation-with-gene-flow, a transition from single-locus to multi-locus
processes can occur, as strong coupling of multiple loci creates a barrier to
gene flow. Testing predictions about such transitions with empirical data
requires building upon past theoretical work and the continued development of
quantitative approaches. We simulated genomes under several evolutionary
scenarios of gene flow and divergent selection, extending previous work with the
additions of neutral sites and coupling statistics. We used these simulations to
investigate, in a preliminary way, if and how selected and neutral sites differ
in the conditions they require for transitions during speciation. For the
parameter combinations we explored, as the per-locus strength of selection grew
and/or migration decreased, it became easier for selected sites to show
divergence-and thus to rise in linkage disequilibrium (LD) with each other as a
statistical consequence-farther in advance of the conditions under which neutral
sites could diverge. Indeed, even very low rates of effective gene flow were
sufficient to prevent differentiation at neutral sites. However, once strong
enough, coupling among selected sites eventually reduced gene flow at neutral
sites as well. To explore whether similar transitions might be detectable in
empirical data, we used published genome resequencing data from three taxa of
Heliconius butterflies. We found that fixation index ( F S T ) outliers and
allele-frequency outliers exhibited stronger patterns of within-deme LD than the
genomic background, as expected. The statistical characteristics of within-deme
LD-likely indicative of the strength of coupling of barrier loci-varied between
chromosomes and taxonomic comparisons. Qualitatively, the patterns we observed in
the empirical data and in our simulations suggest that selection drives rapid
genome-wide transitions to multi-locus coupling, illustrating how divergence and
gene flow interact along the speciation continuum.
PMID- 29795052
TI - Association between Dietary Mineral Intake and Chronic Kidney Disease: The Health
Examinees (HEXA) Study.
AB - Few studies have explored the association between mineral intake and chronic
kidney disease (CKD). A cross-sectional analysis investigated the association
between mineral intake (calcium, phosphorus, sodium, potassium, iron, and zinc)
and CKD using the Health Examinee (HEXA) cohort of the Korean Genome and
Epidemiologic Study (KoGES). For 159,711 participants, mineral intake was
assessed by a food frequency questionnaire. CKD was defined as an estimated
glomerular filtration rate (eGFR) of less than 60 mL/min/1.73 m2. Dietary intake
of each mineral was divided into quartiles and the quartile including recommended
dietary allowance (RDA) or adequate intake (AI) of each mineral was used as a
reference. We assessed the association between the quartile of mineral intakes
and CKD using polytomous logistic regression models. The lowest quartiles of
phosphorus (<=663.68 mg/day, odds ratio [OR] = 1.64, 95% confidence interval
[CI]: 1.25-2.15), potassium (<=1567.53 mg/day, OR = 1.87, 95% CI: 1.27-2.75),
iron (<=6.93 mg/day, OR = 1.53, 95% CI: 1.17-2.01), and zinc (<=5.86 mg/day, OR =
1.52, 95% CI: 1.02-2.26) were associated with higher odds for advanced CKD
compared with the references. The present study suggests that an inadequate
intake of some minerals may be associated with CKD occurrence in the general
population. Due to the reverse causation issue in this cross-sectional study
design, further longitudinal prospective studies are needed in order to prove the
results.
PMID- 29795054
TI - Marital Status and Survival of Patients with Hormone Receptor-Positive Male
Breast Cancer: A Surveillance, Epidemiology, and End Results (SEER) Population
Based Study.
AB - BACKGROUND Although marital status has been reported as a prognostic factor in
different cancer types, its prognostic effect on hormone receptor (HR) positive
male breast cancer (MBC) is unclear. The objective of the present analysis was to
assess the effects of marital status on survival in patients with HR positive
MBC. MATERIAL AND METHODS Patients diagnosed with HR positive MBC from 1990 to
2014 in the Surveillance, Epidemiology, and End Results (SEER) database were
included. Kaplan-Meier survival analysis and Cox proportional hazard regression
were used to identify the effects of marital status on cancer-specific survival
(CSS) and overall survival (OS). RESULTS A total of 3612 cases were identified in
this study. Married patients had better 5-year CSS and 5-year OS than unmarried
men. In multivariate Cox regression models, unmarried patients also showed higher
mortality risk for both CSS and OS, independent of age, race, grade, stage, PR
status, HER2 status, and surgery. Subgroup survival analysis according to
different ER/PR status showed that married patients had beneficial CSS results
only in ER+/PR+ subtype, and CSS in the married and unmarried groups did not
significantly differ by TNM stage. The results were further confirmed in the 1: 1
matched group. CONCLUSIONS Marital status was an important prognostic factor for
survival in patients with HR positive MBC. Unmarried patients are at greater risk
of death compared with married groups. The survival benefit for married patients
remained even after adjustment, which indicates the importance of spousal support
in MBC.
PMID- 29795055
TI - Role of Immuno-Polymerase Chain Reaction (I-PCR) in Resolving Diagnostic Dilemma
Between Tuberculoma and Neurocysticercosis: A Case Report.
AB - BACKGROUND Tuberculoma and neurocysticercosis (NCC) often show similar clinical
and neuroimaging features. Differential diagnosis of these 2 diseases is
imperative, as tuberculoma is an active infection that requires immediate anti
tubercular therapy (ATT). CASE REPORT We present the case of a 17-year-old Indian
girl with fever, severe headache, and right 6th cranial nerve palsy. Brain
magnetic resonance imaging (MRI) showed multiple tiny ring-enhancing lesions in
bilateral cerebral parenchyma with mild perilesional edema, which were initially
thought to be NCC, but subsequently were diagnosed as brain tuberculomas. Based
on clinical findings, mildly increased choline/creatine ratio (1.35) with slight
prominent lipid lactate peak and absence of alanine, succinate peak by magnetic
resonance spectroscopy (MRS), and the detection of Mycobacterium tuberculosis
(Mtb)-specific early-secreted antigenic target-6 (ESAT-6, Rv3875) protein from
the cerebrospinal fluid (CSF) by indirect ELISA, as well as indirect immuno-PCR
(I-PCR) assay, diagnosis of brain tuberculomas associated with tuberculous
meningitis (TBM) was confirmed, which was followed by ATT. The patient responded
well and the symptoms resolved. CONCLUSIONS In this case, multiple ring-enhancing
lesions of the brain by MRI were diagnosed as tuberculomas associated with TBM by
MRS and indirect ELISA/I-PCR method, thus resolving the diagnostic dilemma.
PMID- 29795056
TI - Identification of HLA-DQA1 as a Susceptibility Gene for Spinal Tuberculosis by
Exome Sequencing.
AB - BACKGROUND Spinal tuberculosis (STB) is the main cause of bone and joint
tuberculosis. This study aimed to screen and analyze the susceptibility genes for
STB using whole-exome sequencing (WES). MATERIAL AND METHODS All exon regions of
peripheral blood DNA from 6 STB patients were captured and sequenced using WES
and the sequencing data were analyzed by modern bioinformatics methods to
identify disease-causing mutations. Sanger sequencing was then used to validate
the mutation sites in normal controls (207) and STB patients (193). The mRNA
expression of the mutant gene and the serum levels of IL-6 and TNF-alpha were
detected using qPCR or ELISA assay, respectively. RESULTS A nonsynonymous single
nucleotide polymorphism (SNP) in the gene HLA-DQA1 (rs796778515, c.592delCinsG,
CAG to GAG, p.Q198E) was identified and further validated by Sanger sequencing.
The percentage of the 3 genotypes C/C, C/G and G/G in STB patients and normal
controls were 37.3%, 32.1%, and 30.6% and 47.8%, 33.8%, and 18.4%, respectively.
Furthermore, the C>G mutation was significantly associated with the occurrence of
STB. In addition, the levels of HLA-DQA1 mRNA were significantly lower in blood
cells from STB patients compared with normal controls, while the serum levels of
IL-6 and TNF-alpha were significantly higher. CONCLUSIONS The C>G mutation in the
HLA-DQA1 gene was associated with the occurrence of STB. This variation may
result in the decreased level of HLA-DQA1 mRNA and increased serum levels of IL-6
and TNF-alpha, which finally led the STB susceptibility.
PMID- 29795058
TI - Delayed Serotonin Syndrome in the Setting of a Mixed Fluoxetine and Serotonin
Antagonist Overdose.
AB - BACKGROUND Serotonin syndrome is a condition characterized predominantly by
neuromuscular symptoms and altered thermoregulation in response to serotonergic
overtone. Treatment is focused on withdrawal of serotonergic agents, which leads
to resolution in the majority of cases. In the setting of serotonergic overdose,
the onset of serotonin syndrome is usually within 4 to 13 h. Here, we report a
case of delayed-onset serotonin syndrome in a patient who ingested a mixture of
longer-acting serotonin agonists with serotonin antagonists. CASE REPORT A 24
year-old male was transferred to our medical intensive care unit with hypotension
and altered mental status after an overdose of fluoxetine, cyproheptadine,
trazodone, olanzapine, risperidone, and bupropion. After approximately 72 h, the
patient developed symptoms of fever, lower leg clonus, hyperreflexia, and
agitation. He was diagnosed with delayed-onset serotonin syndrome, which
responded well to re-administration of cyproheptadine, leading to resolution of
symptoms by day 5 of his stay. CONCLUSIONS In this present case, our patient
presented with the longest reported delay in the onset of serotonin syndrome
after intentional ingestion. This was likely secondary to co-ingestion of long
acting serotonin agonists with protective shorter-acting serotonin antagonists
(cyproheptadine and olanzapine). Clinicians should consider delayed-onset
serotonin syndrome when patients ingest longer-acting serotonergic agents with
serotonin antagonists.
PMID- 29795061
TI - Endoscopic resection: a pathologist's point of view! What affects specimen
quality?
AB - Various methods, indications and technical devices for endoscopic resections are
available. Degrees of artificial changes that may hamper the histopathological
diagnosis vary from method to method and from gastroenterologist to
gastroenterologist. Indications for endoscopic resections are nowadays seen wider
as compared to ten years ago. This leads to a situation that more institutions
and gastroenterologists offer these new methods for their patients. Indirectly,
pathology is involved also since most of these specimens will not be sent to one
dedicated experienced pathologist anymore but to many local pathologists that may
not see enough specimens to properly train their skills in a routine setting. The
same is true for the gastroenterologists outside the larger centers that are
already applying these methods for a longer time. The quality of the specimen is
very central for a correct diagnosis and it already starts in the endoscopy suite
that the quality can be hampered. The following article provides hints on how to
keep the quality of such a specimen as high as possible for gastroenterologists
and pathologists and gives some diagnostic advice for pathologists as well.
PMID- 29795057
TI - Identification of Biological Targets of Therapeutic Intervention for
Hepatocellular Carcinoma by Integrated Bioinformatical Analysis.
AB - BACKGROUND We screened the potential molecular targets and investigated the
molecular mechanisms of hepatocellular carcinoma (HCC). MATERIAL AND METHODS
Microarray data of GSE47786, including the 40 MUM berberine-treated HepG2 human
hepatoma cell line and 0.08% DMSO-treated as control cells samples, was
downloaded from the GEO database. Gene ontology (GO) and Kyoto Encyclopedia of
Genes and Genomes pathway (KEGG) enrichment analyses were performed; the protein
protein interaction (PPI) networks were constructed using STRING database and
Cytoscape; the genetic alteration, neighboring genes networks, and survival
analysis of hub genes were explored by cBio portal; and the expression of mRNA
level of hub genes was obtained from the Oncomine databases. RESULTS A total of
56 upregulated and 8 downregulated DEGs were identified. The GO analysis results
were significantly enriched in cell-cycle arrest, regulation of transcription,
DNA-dependent, protein amino acid phosphorylation, cell cycle, and apoptosis. The
KEGG pathway analysis showed that DEGs were enriched in MAPK signaling pathway,
ErbB signaling pathway, and p53 signaling pathway. JUN, EGR1, MYC, and CDKN1A
were identified as hub genes in PPI networks. The genetic alteration of hub genes
was mainly concentrated in amplification. TP53, NDRG1, and MAPK15 were found in
neighboring genes networks. Altered genes had worse overall survival and disease
free survival than unaltered genes. The expressions of EGR1, MYC, and CDKN1A were
significantly increased, but expression of JUN was not, in the Roessler Liver
datasets. CONCLUSIONS We found that JUN, EGR1, MYC, and CDKN1A might be used as
diagnostic and therapeutic molecular biomarkers and broaden our understanding of
the molecular mechanisms of HCC.
PMID- 29795060
TI - Robot-assisted liver surgery in a general surgery unit with a "Referral Centre
Hub&Spoke Learning Program". Early outcomes after our first 70 consecutive
patients.
AB - BACKGROUND: The aim of this study was to evaluate safety, feasibility and short
term outcomes of our first 70 consecutive patients treated by robotic-assisted
liver resection after a reversal proctoring between a high HPB volume centre and
our well-trained center in minimally invasive General Surgery. Six surgeons were
involved in this Hub&Spoke learning program. METHODS: From September 2012 to
December 2016, 70 patients underwent robotic-assisted liver resections (RALR). We
treated 18 patients affected by colorectal and gastric cancer with synchronous
liver lesions suspected for metastases in a one-stage robotic-assisted procedure.
For the first 20 procedures we had a tutor in the operatory room, who was present
also in the next most difficult procedures. RESULTS: The 30- and 90-day mortality
rate was zero with an overall morbidity rate of 10.1%. Associated surgical
procedures were performed in about 65,7% of patients. The observed conversion
rate was 10%. The results of the first 20 cases were similar to the next 50
showing a shortned learning curve. CONCLUSIONS: Minimally invasive robot-assisted
liver resection is a safe technique; it allows overcoming many limits of
conventional laparoscopy. This innovative, time-enduring Hub&Spoke may allow
patients to undergo a proper standard of care also for complex surgical
procedures, without the need of reaching referral centres.
PMID- 29795062
TI - Laparoscopic treatment of rectal cancer and lateral pelvic lymph node dissection:
are they obsolete?
AB - Laparoscopic surgery for rectal cancer offers favorable short-term results
without compromising long term oncological outcomes so far, according to the data
from major trials. For this reason, it is currently considered as a standard
option for rectal cancer surgery. The learning curve of laparoscopic rectal
cancer surgery is generally longer compared to colon cancer. Appropriate
standardization and training of laparoscopic rectal cancer surgery is required.
Several RCTs suggested the potential negative effect on quality of resected
specimen, which can increase local recurrence. The long-term outcomes -
especially local recurrence rate - of these RCTs are awaited. Lateral pelvic
lymph node dissection (LPLND) has a certain effect of reducing local recurrence
of rectal cancer even after neoadjuvant radiotherapy. Since LPLND is associated
with postoperative morbidity, we should carefully select the candidate to
maximize the effect of LPLND and minimize the morbidity caused by LPLND. Recent
advancements in imaging study such as CT and MRI enable us to find the suitable
candidates for LPLND. The morbidity caused by LPLND could be reduced by minimally
invasive surgeries such as laparoscopic surgery and robotic surgery. We have to
improve oncological outcomes and reduce morbidity by the multidisciplinary
strategy for rectal cancer including total mesorectal excision, neoadjuvant
chemoradiotherapy and LPLND together with laparoscopic surgery.
PMID- 29795059
TI - Prevention of recurrent venous thrombosis and post-thrombotic syndrome.
AB - BACKGROUND: This retrospective registry study evaluated different managements on
the development of post-thrombotic syndrome (PTS) and recurrent deep venous
thrombosis (R-DVT). The effects of aspirin (100 mg/day), added to the "standard
management" (SM) (IUA consensus), were observed in patients after a proximal DVT.
METHODS: The study started after the anticoagulant period. Comparable groups used
the mild-antithrombotic agent Pycnogenol(r) (200 mg/day), ticlopidine (250
mg/day) or sulodexide (500 ULS/day). RESULTS: The groups were comparable for sex
and age distribution and clinical pictures. In the SM group, 222 patients
completed the follow-up (72 months). With SM, the percentage of patients with R
DVT (requiring anticoagulants) was 17.2%; 19.8% of SM patients had a PTS. In the
aspirin group (202 subjects), R-DVT was observed in 14.8% of patients; 17.32% had
a PTS. The reduction in R-DVT and PTS with aspirin was significant (P<0.05) vs.
the SM. There was no tolerability problem in subjects using Pycnogenol(r) (137
patients); they had a much lower incidence of R-DVT (5.8%) and PTS (6.5%) vs. SM
and aspirin (P<0.05). Ticlopidine (121 patients) reduced the incidence of R-DVT
(12.4%) and PTS (19.8% of patients) (P<0.05 vs. SM). With sulodexide the
incidence of R-DVT was 6.7% (P<0.05 vs. SM); the incidence of PTS was 16.6%
(P<0.05 vs. SM). The combined R-DVT+PT syndrome was observed in 14.9% of subjects
using SM and in 12.9% of subjects using aspirin (P<0.05 vs. SM), in 3.6% of
subjects managed with Pycnogenol(r) (<0.05% vs. aspirin and all other
managements). The incidence was 10.74% with ticlopidine and 6.7% with sulodexide
(both significantly lower than SM). CONCLUSIONS: Interaction between PTS and R
DVT are complex; recurrences cause more PTSs, and a post-thrombotic limb is prone
to R-DVT. Aspirin, for patients that can tolerate it, reduces the occurrence of
PTS and R-DVT. In addition, ticlopidine and sulodexide are effective.
Pycnogenol(r) is the most effective and safe for R-DVT and particularly PTS. Its
full range of anti-thrombotic activity is now under evaluation.
PMID- 29795063
TI - Modified Paul-Mikulicz jejunostomy in frail geriatric patients undergoing
emergency small bowel resection.
AB - BACKGROUND: Proximal or extended bowel resections are sometimes necessary during
emergency surgery of the small bowel and call for creating a high small bowel
stomy as a part of damage control surgery. Secondary restoration of intestinal
continuity in the frail geriatric patient, further weakened by subsequent severe
malabsorption may be prohibitive. METHODS: Six patients underwent emergency small
bowel resection for proximal jejunal disease (83.3% highgrade adhesive SBO and
16.7% jejunal diverticulitis complicated with perforation (16.7%). With the
intention to avoid end jejunostomy and the need for repeat laparotomy for bowel
continuity restoration we modified the classic PaulMikulicz jejunostomy. RESULTS:
The postoperative course was uneventful in four patients whose general condition
improved considerably. At sixmonth followup, neither patients required parenteral
nutrition. CONCLUSIONS: This modified stoma can be having the advantage of
allowing a partial passage of the enteric contents, reducing the degree of
malabsorption, and rendering jejunostomy reversal easy to perform later.
PMID- 29795064
TI - Gallstone ileus in elderly patients.
PMID- 29795065
TI - Early surgical management of acute cholecystitis in ultra octogenarian patients:
our 5 years experience.
AB - BACKGROUND: Acute calculous cholecystitis is a leading cause for hospital
admission especially in developed countries. As older age population increases,
medical research should consider the efficacy of all therapeutic options,
including early surgical procedure in an emergency context, for the treatment of
acute cholecystitis in elderly high risk patients. METHODS: From 01/01/2012 to
31/12/2016, 245 patients were admitted to our Institution with diagnosis of acute
cholecystitis and managed with cholecistectomy within the same hospitalization.
The study population was divided into 2 subgroups: group A (patients aged more
than 80 years) and group B (patients within the limit of 80 years of age); the
objective of the study was to evaluate and compare the surgical outcomes of the 2
groups in terms of conversion rate, mortality rate, overall morbidity and
procedure - related complication rates. RESULTS: Statistical analysis did not
show significant differences between ultra octogenarian and younger patients in
terms of conversion to open procedure, iatrogenic bile duct lesions,
postoperative peritoneal bleeding, bile leakage and peritoneal collection; no
differences in terms of hospital stay have been demonstrated. Mortality and
overall morbidity rates, even if similar to what observed in Literature and
within acceptable values, were significantly higher in elderly patients, due to
the presence of severe comorbidities leading to potentially fatal postoperative
events. CONCLUSIONS: Minimally invasive approach in an emergency setting for
acute cholecystitis seems to be a feasible and adequate therapeutic approach for
extremely aged high risk patients.
PMID- 29795067
TI - Not all diverticulitis are colonic: small bowel diverticulitis, a systematic
review.
AB - INTRODUCTION: Small bowel non Meckelian diverticulitis (SBNMD) is not so uncommon
cause of admission in departments of emergency surgery. Our aim is to highlight
signs and symptoms for early diagnosis and report proper surgical treatments.
EVIDENCE ACQUISITION: The systematic review protocol was guided by the Preferred
Reporting Items for Systematic Reviews and Meta-analyses Protocol (PRISMA-P).
EVIDENCE SYNTHESIS: Twelve studies met our inclusion criteria. Five hundred
twenty seven patients diagnosed with SBNMD were analyzed: there were 159 (30%)
cases of diverticular bleeding, 125(23%) cases of perforated SBNMD , 91 (17.26%)
cases of intestinal obstruction, 79(14.9%) cases of non complicated
diverticulitis, and 36(6.8%) cases of ileal diverticulosis. Among bleeding
patients, endoscopy procedures were performed in 51(32%) cases. Surgery was
necessary in 77/159 (48.4%) cases. Medical treatment was sufficient in 15/159
(9.4%) cases. In case of perforation, 93/125 (74.4%) patients were submitted to
surgery, with open technique in 78/93 (83.8%) patients, by laparoscopy in 2/93
(2.1%) with conversion rate of 1.07%. Eight/125 (6.4%) cases received medical
treatment.In case of obstruction, non operative management was effective in 3/91
(3.2%) cases. Surgery was performed in 74/91 (78%) cases, with open technique in
64/91 (86.4%) cases, by laparoscopy in 3/74 (4%), with one patient converted in
laparotomy. CONCLUSIONS: Diagnosis of SBNMD is often made at emergency surgical
exploration with high morbidity and mortality rate. SBNMD must be considered in
elderly patients presenting with abdominal pain. Multidisciplinary approach to
patient (radiologist, surgeon, gastroenterologist) is necessary to make early
diagnosis. In case of complicated SBNMD, emergency surgeon has to choose the
right surgical treatment, if necessary.
PMID- 29795068
TI - Prevention of esophageal strictures after circumferential endoscopic submucosal
dissection.
AB - Endoscopic submucosal dissection or widespread endoscopic resection allow the
radical removal of circumferential or near-circumferential neoplastic esophageal
lesions. The advantage of these endoscopic methods is mini-invasivity and low
risk of major adverse events compared to traditional esophagectomy. The major
drawback of these extensive resections is the development of stricture - the risk
is 70-80% if more than 75% of the circumference is removed and almost 100% if the
whole circumference is removed. Thus, an effective method to prevent post-ER/ESD
esophageal stricture would be of major benefit, because treatment of strictures
requires multiple sessions of endoscopic dilatation and may carry a risk of
perforation. Moreover, not all strictures are easy to treat and some patients may
develop refractory strictures. There are several techniques and methods, which
have been tested in both experimental and/or clinical studies but no one has
received general acceptance based on results of high-quality evidence. The
studies are usually small with a limited number of patients, there is a lack of
randomized controlled trials and some techniques have been described only in
experimental studies. Thus, prevention of post-ESD strictures remains an
unresolved issue. On the other hand, because of the high risk of stricture and
partially proven effectiveness of some preventive techniques, a preventive
strategy should be considered in patients undergoing extensive ER/ESD in the
esophagus. There is, however, no evidence about the superiority or inferiority of
a particular preventive strategy compared to other techniques, moreover, there is
paucity of data assessing the effectiveness of the combination of different
preventive methods. The best preventive strategies known so far include 1) oral
or local administration of corticosteroids; and 2) preventive stenting. Other
strategies (preventive sessions of endoscopic dilatation or tissue engineering
methods) have unproven efficacy or are too demanding for practical use.
Nevertheless, the use of (any) preventive strategy after extensive ER/ESD of the
esophagus probably reduces the risk of stricture and the number of endoscopic
dilatations, therefore, it should be considered in these patients. However, there
is a need for high quality evidence as well as for new ideas and approaches to
resolve this important clinical problem.
PMID- 29795069
TI - Can the depth of invasion of early esophageal cancer be predicted based on
endoscopic evidence?
AB - INTRODUCTION: Esophageal cancer is one of the leading causes of cancer-related
death worldwide. Its poor prognosis is related to an often late diagnosis. An
earlier diagnosis and treatment however, is related to a better outcome. Early
stage esophageal cancer can be diagnosed and treated endoscopically with
minimally invasive techniques, which is associated with lower mortality and
morbidity than surgery. Whether esophageal carcinoma can be treated
endoscopically depends mainly on the risk of lymph node metastasis, which itself
correlates to the invasion depth of the tumor. The question is whether endoscopy
can accurately determine the invasion depth and thus the treatment modality.
EVIDENCE ACQUISITION: Articles used for this review were identified by searches
of PubMed and references of relevant articles. EVIDENCE SYNTHESIS: Lesion
morphology has some predictive value for the depth of invasion for squamous cell
carcinoma (SCC) and esophageal adenocarcinoma (EAC). An intramucosal cancer
generally has a flat appearance (Paris 0-IIa, 0-IIb,). By contrast, a
submucosally invasive cancer often has an excavated (0-IIc, 0-III) and sometimes
a polypoid morphology (0-I). In SCC, classification of surface vessels and
intrapapillary capillary loops (IPCLs) allows accurate assessment of invasion
depth. Generally, mucosal lesions are an indication for endoscopic treatment.
However recent studies have shown that tumors with submucosal infiltration and
low risk profile for metastasis can also be treated safely by endoscopic
resection. CONCLUSIONS: Endoscopic assessment allows a rather accurate estimation
of invasion depth of early esophageal cancer. To determine the final treatment
modality however the final histological staging obtained by endoscopic mucosal
resection (EMR) or endoscopic submucosal dissection (ESD) is crucial.
PMID- 29795070
TI - Endoscopic treatment of early esophageal adenocarcinoma.
AB - The incidence of esophageal adenocarcinoma is on the rise. With advances in
endoscopic techniques and imaging technology, early neoplastic lesions are being
increasingly detected and treated. Managing early esophageal adenocarcinoma with
endoscopic techniques is now considered the cornerstone of therapy, offering an
alternative to surgery. The available endoscopic techniques can be broadly
categorized into resection and ablation techniques that may be combined to
increase the effectiveness of therapy. However, endoscopic treatments are highly
specialized and patients with early adenocarcinoma should be referred to centers
with expertise in advanced endoscopy of the esophagus. We review the proper
method for conducting a thorough evaluation of early neoplastic lesions, staging
details, the available endoscopic resection and ablation techniques, and the
efficacy and safety of different endoscopic treatments.
PMID- 29795071
TI - Autism spectrum disorders in children affected by Duchenne muscular dystrophy.
AB - BACKGROUND: Duchenne muscular dystrophy (DMD) is the most frequent and severe
form of the dystrophinopathies. The literature shows that about 30-40% of DMD
subjects have intellectual disability. In males with Duchenne muscular dystrophy,
neuropsychiatric disorders have also been observed: attention deficit disorder
and hyperactivity, autism spectrum disorders, and obsessive-compulsive disorder.
Duchenne muscular dystrophy is not just a muscle disorder, but also a disease
that affects the brain. The aim of the present study was to describe a case
series of children with Duchenne muscular dystrophy that have also the presence
of autism spectrum disorders (ASDs). They have been assessed by means of
standardized autism scales and the most appropriate psycho-educational treatment
is herein discussed. METHODS: In order to evaluate and identify the presence and
intensity of autistic symptoms have been used the Childhood Autism Rating Scale
and Autism Diagnostic Observation Schedule tools. Moreover, in order to assess
the intelligence of subjects and their lower limb function, Wisch-R intelligence
scale and Vignos function scale were used, respectively. RESULTS: Atypical
behaviors included a preference for being alone, and selective interest in
privileged objects used in a stereotyped manner, motor fretting, and attention
instability were present in all children. By the administration of these scales
was confirmed the presence of an autism spectrum disorders in all subjects.
CONCLUSIONS: It is important for clinical practice to consider this association
increased.
PMID- 29795073
TI - New trends in pediatric surgery: future perspectives.
PMID- 29795066
TI - Non-operative management of rectal cancer: understanding tumor biology.
AB - The management of locally-advanced rectal cancer involves a combination of
chemotherapy, chemoradiation, and surgical resection to provide excellent local
tumor control and overall survival. However, aspects of this multimodality
approach are associated with significant morbidity and long-term sequelae. In
addition, there is growing evidence that patients with a clinical complete
response to chemotherapy and chemoradiation treatments may be safely offered
initial non-operative management in a rigorous surveillance program. Weighed
against the morbidity and significant sequelae of rectal resection, recognizing
how to best optimize non-operative strategies without compromising oncologic
outcomes is critical to our understanding and treatment of this disease.
PMID- 29795074
TI - Management of posterior urethral valves in fetuses and neonates: a critical point
of view.
PMID- 29795072
TI - Palivizumab prophylaxis, respiratory syncytial virus and subsequent development
of asthma.
AB - BACKGROUND: Respiratory syncytial virus (RSV) infection is a disease commonly
encountered during childhood and it may relapse. An experience in the early
childhood would induce asthma development in the future. Palivizumab has a proven
efficacy for the RSV prophylaxis so it may prevent asthma. Our aim was to
evaluate the possible protective effect of palivizumab on the development of
asthma by using the modified Asthma Predictive Index (mAPI). METHODS: This
study's data consist of 339 children between 2 to 5 years of age followed up in
healthy children unit from 2008 to 2011. Cases were evaluated in terms of
wheezing frequency and characteristic features. Evaluations were performed among
three groups; palivizumab-treated children born preterm (group 1), palivizumab
untreated children born preterm (group 2) and term newborn children (group 3)
with equal number of patients in each group (N.=113). RESULTS: Frequency of the
answers about children's experienced wheezing times was significant between
groups (P=0.003). A significant difference was found between the groups in terms
of the clinician who diagnosed asthma (P=0.045). The groups were compared in
terms of the mAPI positivity and a significant difference was found among the
groups (P=0.001). Group 1 had lowest and group 3 had highest positivity. Group 1
and group 3 were different (P=0.000), group 2 was found higher than group 1, but
was similar to group 3 (P=0.628). CONCLUSIONS: This study supports the benefit of
administration of palivizumab to premature children to reduce the risk of asthma
development.
PMID- 29795075
TI - Notes from the Field: Vaccine Administration Errors Involving Recombinant Zoster
Vaccine - United States, 2017-2018.
PMID- 29795076
TI - Use of Outpatient Rehabilitation Among Adult Stroke Survivors - 20 States and the
District of Columbia, 2013, and Four States, 2015.
AB - Stroke is a leading cause of mortality and disability in the United States (1,2).
Approximately 800,000 American adults experience a stroke each year (2,3).
Currently, approximately 6 million stroke survivors live in the United States
(2). Participation in stroke rehabilitation (rehab), which occurs in diverse
settings (i.e., in-hospital, postacute care, and outpatient settings), has been
determined to reduce stroke recurrence and improve functional outcomes and
quality of life (3,4). Despite longstanding national guidelines recommending
stroke rehab, it remains underutilized, especially in the outpatient setting.
Professional associations and evidence-based guidelines support the increasing
stroke rehab use in health systems and are promoted by the public health
community (3-6). An analysis of 2005 Behavioral Risk Factor Surveillance System
(BRFSS) data revealed that 30.7% of stroke survivors reported participation in
outpatient rehab for stroke after hospital discharge in 21 states and the
District of Columbia (DC) (7). To update these estimates, 2013 and 2015 BRFSS
data were analyzed to assess outpatient rehab use among adult stroke survivors.
Overall, outpatient rehab use was 31.2% (20 states and DC) in 2013 and 35.5%
(four states) in 2015. Disparities were evident by sex, race, Hispanic origin,
and level of education. Focused attention on system-level interventions that
ensure participation is needed, especially among disparate populations with lower
levels of participation.
PMID- 29795078
TI - QuickStats: Percentage of Adults Aged 18-64 Years with Current Asthma,* by State
National Health Interview Survey,? 2014-2016.
PMID- 29795079
TI - Erratum: Vol. 67, No. 16.
PMID- 29795077
TI - Vaccination Coverage Among Children Aged 2 Years - U.S. Affiliated Pacific
Islands, April-October, 2016.
AB - Vaccine-preventable diseases (VPDs) cause substantial morbidity and mortality in
the United States Affiliated Pacific Islands (USAPI).* CDC collaborates with
USAPI immunization programs to monitor vaccination coverage. In 2016, ? USAPI
immunization programs and CDC piloted a method for estimating up-to-date status
among children aged 2 years using medical record abstraction to ascertain
regional vaccination coverage. This was the first concurrent assessment of
childhood vaccination coverage across five USAPI jurisdictions (American Samoa;
Chuuk State, Federated States of Micronesia [FSM]; Commonwealth of the Northern
Mariana Islands [CNMI]; Republic of the Marshall Islands [RMI]; and Republic of
Palau).S Differences in vaccination coverage between main and outer islands were
assessed for two jurisdictions where data were adequate.** Series coverage in
this report includes the following doses of vaccines: >=4 doses of diphtheria and
tetanus toxoids and acellular pertussis vaccine (DTaP); >=3 doses of inactivated
poliovirus vaccine (IPV); >=1 dose of measles, mumps, and rubella vaccine (MMR);
>=3 doses of Haemophilus influenzae type B (Hib) vaccine; >=3 doses of hepatitis
B (HepB) vaccine; and >=4 doses of pneumococcal conjugate vaccine (PCV); i.e.,
4:3:1:3:3:4. Coverage with >=3 doses of rotavirus vaccine was also assessed.
Completion of the recommended series of each of these vaccines?? was <90% in all
jurisdictions except Palau. Coverage with the full recommended six-vaccine series
(4:3:1:3:3:4) ranged from 19.5% (Chuuk) to 69.1% (Palau). In RMI and Chuuk,
coverage was lower in the outer islands than in the main islands for most
vaccines, with differences ranging from 0.9 to 66.8 percentage points. Medical
record abstraction enabled rapid vaccination coverage assessment and timely
dissemination of results to guide programmatic decision-making. Effectively
monitoring vaccination coverage, coupled with implementation of data-driven
interventions, is essential to maintain protection from VPD outbreaks in the
region and the mainland United States.
PMID- 29795080
TI - HIV Preexposure Prophylaxis in the U.S. Military Services - 2014-2016.
AB - Human immunodeficiency virus (HIV) infection is a substantial health concern for
the U.S. Department of Defense (DoD) and for service members stationed throughout
the world. Each year, approximately 350 new HIV infections are diagnosed in
members of the U.S. military services, with most infections acquired within the
United States (1). The DoD populations most affected by HIV mirror those in the
U.S. civilian population; the highest rates of new military diagnoses are in men
and blacks or African Americans (blacks) (1). Blacks are disproportionally
affected, and most new diagnoses occur among men who have sex with men (MSM). HIV
preexposure prophylaxis (PrEP) is approximately 90% effective in preventing HIV
infection when used properly (2), and an increasing number of active duty
personnel have used HIV prevention services and PrEP in the military health
system since the repeal of "Don't Ask, Don't Tell"* in 2011 (3). Military health
system and service records were reviewed to describe HIV PrEP use among military
personnel, and military health care providers were surveyed to assess HIV PrEP
knowledge and attitudes. Among 769 service members prescribed PrEP during
February 1, 2014-June 10, 2016, 60% received prescriptions from an infectious
disease provider, 19% were black men, and 42% were aged >28 years. Half of
surveyed military health care providers self-rated their PrEP knowledge as poor.
DoD is developing new policy to address access to care challenges by defining
requirements and establishing pathways for universal patient access to PrEP.
PMID- 29795081
TI - Notes from the Field: Acute Poisonings from a Synthetic Cannabinoid Sold as
Cannabidiol - Utah, 2017-2018.
PMID- 29795082
TI - [Assessment of life quality and job satisfaction of neurosurgeons in the Russian
Federation (a sociological study)].
AB - PURPOSE: The study purpose was to investigate and evaluate factors of career
satisfaction among neurosurgeons in the Russian Federation. MATERIAL AND METHODS:
During the pilot study, 217 neurosurgeons in the Russian Federation were surveyed
in 2016. The survey was conducted through an anonymous voluntary questionnaire.
The questionnaire consisted of four sections: 1) demographic data; 2) evaluation
of professional stress factors; 3) characteristics of job satisfaction; 4)
quality of life indicators. Responses in ordinal scales were evaluated using one
dimensional frequency analysis; factors related to career satisfaction were
assessed using correlation and regression analyses. RESULTS: More than 80% of the
respondents were males under the age of 40, ordinary doctors, without a
scientific degree, with less than 15 years of work experience, performing less
than 150 operations a year, and receiving wages less than 60 thousand rubles per
month. The most common negative job-related factors of occupational stress
included a large amount of work with medical records and insufficient wages. Only
51% of neurosurgeons were in general satisfied with their career. The greatest
dissatisfaction in more than 55% of respondents was associated with the lack of
time for personal development. More than 83% of respondents considered their
legal protection insufficient. A correlation analysis revealed the greatest
correlation (about 0.4) between career satisfaction and opportunities for
professional growth as well as between mutual understanding with colleagues and
confidence in the future. In addition, a regression analysis revealed the
following factors: time for doing science, confidence in a stable salary, work on
weekends, knowledge of English, and insufficient supply of consumables.
CONCLUSION: Career satisfaction of the neurosurgeon depends mainly on working
conditions and confidence in career prospects.
PMID- 29795083
TI - [Intraoperative fluorescence diagnostics in surgery of intracranial meningiomas:
analysis of 101 cases].
AB - : Fluorescence diagnostics has been extensively applied in surgery of malignant
brain gliomas. However, the use of this technique in surgery of intracranial
meningiomas has remained controversial. OBJECTIVE: The study objective was to
assess the sensitivity of 5-aminolevulinic acid-based (5-ALA) fluorescence
diagnostics in surgery of brain meningiomas and to clarify the clinical and
biological factors that may influence the fluorescent effect. MATERIAL AND
METHODS: The study consistently included 101 patients with intracranial
meningiomas of various locations who were operated on using 5-ALA. There were 28
(27.72%) males and 73 (72.27%) females (median age, 54 years). In all patients,
surgery was performed using an operating microscope equipped with a fluorescent
module; in 24 of these, laser spectroscopy was used. For comparison of chances to
observe the fluorescent effect of 5-ALA in patients having meningiomas with
different WHO histological grades (Grade I vs Grade II-III), we performed a meta
analysis that included 10 studies (the largest series) on outcomes of surgical
treatment of meningiomas using intraoperative fluorescence diagnostics. RESULTS:
Of 101 patients included in this series, observable fluorescence was detected in
95 (94.1%) patients: weak fluorescence in 12 (11.9%), moderate fluorescence in 23
(22.8%) cases, and strong fluorescence in 60 (59.4%) patients. There was no
statistically significant relationship (p>0.05) between the rate and intensity of
observable fluorescence and the tumor growth pattern (primary/continued),
location, WHO grade of malignancy, and histological subtype. In the absence of
intraoperative bleeding, tumor fluorescence was statistically significantly
brighter (p=0.02). Of 26 patients with hyperostosis, bone fluorescence was
observed in 11 (42.3%) cases. There was no statistically significant relationship
between administration of dexamethasone, its dose, administration of
anticonvulsants, gastrointestinal tract diseases, as well as diabetes mellitus
and the fluorescence intensity. There was also no significant relationship
between the extent of tumor resection (Simpson scale) and the presence of
fluorescence as well as its intensity. Comparison of the observable fluorescence
intensity and the laser spectroscopy indicators revealed a significant
correlation (r=0.75; p=0.005). CONCLUSION: Meningioma is a well fluorescent
tumor, with the technique sensitivity being 94.1%. In some cases, the use of
fluorescence diagnostics in surgery of meningiomas improves identification of
residual tumor fragments and enables correction of a surgical approach. To assess
the effect of fluorescence diagnostics on the recurrence rate and disease-free
duration, further research is required.
PMID- 29795084
TI - [Methods to assess competence of indirect cerebral revascularization in
children].
AB - : The use of catheterization cerebral angiography (CCA) to assess collateral
blood flow through an indirect anastomosis is traumatic for children, uses a high
radiation dose, and requires anesthetic care in most cases. AIM: we aimed to
compare the capabilities of triplex ultrasound (TU) of vessels, magnetic
resonance angiography (MRA), computed tomography angiography (CTA), and CCA in
assessing the competence of indirect cerebral revascularization (ICR) in
children. MATERIAL AND METHODS: ICR was performed in 18 children in 24
hemispheres (24 operations). The results were evaluated by Matsushima
classification-based comparison of the data of preoperative and postoperative
clinical examinations, TU of the superficial temporal artery, magnetic resonance
imaging (MRI) and computed tomography (CT) of vessels, and selective cerebral
angiography. RESULTS: After surgery, improvements in the neurological and
neuropsychological status were assessed. Matsushima grade A collaterals were
found in 12 (50%) cases, grade B collaterals were present in 3 (13%) cases, grade
C collaterals were present in 7 (29%) cases, and grade D collaterals were
detected in 1 (4%) case; in 1 (4%) case, the superficial temporal artery was not
enhanced. MR angiography visualized 18 (75%) indirect anastomoses, CT angiography
revealed 4 indirect anastomoses, and TU visualized 4 indirect anastomoses.
Comparison of preoperative and postoperative TU data for the superficial temporal
artery revealed significant changes in blood flow in the form of increased rate
indices and a decreased resistance index; mean values of indices for each
angiographic class of revascularization and significant differences in pre- and
postoperative observations were calculated. CONCLUSION: Examination of ICR
competence using CCA is necessary in the presence of persistent clinical signs of
chronic cerebral circulatory insufficiency, absence of increased blood flow and
decreased peripheral resistance in the superficial temporal artery, and lack of
anastomosis according to MRA. The most optimal techniques for postoperative
examination are MRA with perfusion and diffusion maps and TU.
PMID- 29795085
TI - [Spinal cord epidermoid cysts].
AB - : The article describes in detail a large modern clinical series of patients
operated on for spinal cord epidermoid cysts. AIM: the study aim was to
comprehensively investigate the epidemiological and clinical peculiarities of
spinal cord epidermoid cysts and evaluate immediate and long-term results of
their surgical treatment. MATERIAL AND METHODS: We describe and analyze surgical
outcomes of 20 patients with spinal cord epidermoid cysts. This sample was
selected from 554 patients with intramedullary tumors and 365 patients with
spinal dysraphism (aged from 2 months to 72 years) who were operated on by the
first author in 2002-2017. RESULTS: Our findings confirm a high efficacy and low
risk of surgical treatment of patients diagnosed with spinal epidermoid cysts.
Severe complications of disease progression serve as indications for 'preventive'
surgery even in asymptomatic cases. Complete resection of the tumor capsule
without deterioration of the functional surgical result is the main goal of
surgical treatment. Incomplete resection is associated with a high risk of
recurrence. The most thorough follow-up with annual MRI examination is necessary
for the first 6 years after surgery.
PMID- 29795086
TI - [Transpalpebral craniotomy in skull base surgery].
AB - : The concept of minimally invasive neurosurgery has significantly evolved in
recent years, which is associated with improvements in diagnostics,
microneurosurgical techniques, anesthesiology, and intraoperative imaging.
MATERIAL AND METHODS: We present the preliminary results of using transpalpebral
craniotomy in surgery of supratentorial aneurysms and anterior cranial fossa
tumors. In the period between 2015 and 2107, we used this approach in surgical
treatment of 30 aneurysms (10 aneurysms in the 'cold' period of hemorrhage and 20
unruptured aneurysms) and 10 anterior cranial fossa base tumors. The approach
included a superior eyelid incision and a fronto-orbital craniotomy. We
retrospectively evaluated outcomes, postoperative complications, and cosmetic
results after these operations. The mean follow-up period was 6 months. RESULTS:
There were no deaths, disabilities, or serious permanent approach-associated
complications. All patients had expected periorbital edema that was not
considered as a complication. CONCLUSION: Transpalpebral craniotomy is a safe and
effective approach to anterior cranial fossa neoplasms and anterior circle of
Willis aneurysms. This approach avoids injury to the frontal and temporal muscles
as well as to the facial and trigeminal nerve branches. Patients assessed the
postoperative cosmetic result as excellent.
PMID- 29795087
TI - [A technique of manufacturing anatomical preparations of the human brain based on
injecting vessels with colored silicone (a technical note)].
AB - OBJECTIVE: The objective was to produce anatomical preparations by injecting
vessels with colored silicone to study the brain and skull base anatomy. MATERIAL
AND METHODS: Fresh, undissected, and unfixed cadavers were used. The internal
carotid arteries and internal jugular veins were identified on both sides of the
neck. The vessels were washed with running water. Then, a complex solution
consisting of white silicone rubber, silicone oil (solvent), and a coloring
pigment (red and blue pigments) at a ratio of 1:(0.9-1.1):(0.04-0.06),
respectively, was prepared. About 30-60 s before injecting the complex solution
into the vessels, a catalyst-hardener was added to the solution at a ratio of
1:(0.05-0.07). The complex solution was first introduced into the internal
carotid artery until the solution came out from the contralateral internal
carotid artery; then, the solution was injected into the internal jugular vein
until the solution emerged from the contralateral internal jugular vein. RESULTS:
The technique enables quick and high-quality visualization of both large and very
small vessels of the brain and skull base. CONCLUSION: The proposed simple and
inexpensive technique of manufacturing anatomical preparations improves the
quality of training and mastering of microsurgical skills in residents and
practicing neurosurgeons.
PMID- 29795088
TI - [Resection and correction of the common carotid artery to enable an endovascular
approach for treatment of a giant ICA aneurysm (a case report and literature
review)].
AB - The article reports a clinical case of endovascular treatment of a female patient
with a giant aneurysm of the cavernous internal carotid artery complicated by
gross ipsilateral bending of the proximal third of the common carotid artery. To
ensure an adequate endovascular approach to the aneurysm, open repair of the
common carotid artery and elimination of its bending were performed at the first
stage. This enabled successful placement of a flow-diverting stent into the
internal carotid artery at the aneurysm level at the second stage, resulting in
good technical and clinical outcomes. We discuss the technical aspects of
performed interventions and the opportunity of using this approach in patients
who need endovascular interventions that are complicated by anatomical
morphological changes in the brachiocephalic arteries.
PMID- 29795089
TI - [Treatment of patients with ossifying arachnoiditis and progressive syringomyelia
(analysis of three cases and a literature review)].
AB - : To date, only 12 cases of ossifying arachnoiditis with concomitant
syringomyelia have been reported in the world literature. In this article, we
presented our experience of treating three patients with syringomyelia associated
with ossifying arachnoiditis. OBJECTIVE: based on the literature data and our own
experience, we tried to define the most optimal treatment approach for this
disease. MATERIAL AND METHODS: We analyzed the data of 15 patients (12 cases
reported in the literature and 3 our own cases). The diagnosis was established on
the basis of complaints, medical history, patient examination, MRI and CT of the
spinal cord, and intraoperative data. Progression of syringomyelia was evaluated
by comparison of the neurological status and calculation of the Vaquero index
before and after surgery; the Domenicucci classification was used to evaluate the
severity of ossifying arachnoiditis. Surgical treatment included several stages:
decompressive laminectomy, elimination of spinal cord fixation, excision of
ossifying arachnoiditis, shunting of the syrinx, and repair of the dura mater.
RESULTS: An analysis of the patient data reported in the literature (12 cases)
and those in our series (3) revealed that in the early postoperative period, 7
(46.7%) of these 15 patients had improvements, 5 (33.3%) patients had no changes,
and 3 (20%) patients experienced a worsening. At present, there is no clear
algorithm for diagnosing and treating this disease. CONCLUSION: Further
investigation of the problem may facilitate an earlier diagnosis of the disease
and provide more effective medical care.
PMID- 29795090
TI - [Segmental agenesis of the cervical internal carotid artery with collateral blood
supply from the ascending pharyngeal artery and intercavernous anastomosis: a
clinical case and literature review].
AB - The article presents a clinical case of segmental agenesis of the cervical ICA
with collateral blood supply to the ICA territory through the intercavernous
anastomosis and ascending pharyngeal artery with the branching primitive
stapedial artery - the aberrant ICA variant. A feature of the case is a unique
combination of the intercavernous anastomosis and the aberrant ICA, which provide
collateral blood flow in cervical ICA agenesis. In the world literature, there
are no reports of similar combinations. The discussion provides information on
the stages of circle of Willis formation and presents a literature review of
cases of intercavernous anastomoses associated with ICA agenesis.
PMID- 29795091
TI - [Treatment of postoperative vasospasm after insular tumor removal by intra
arterial administration of verapamil (analysis of two clinical cases and a
literature review)].
AB - PURPOSE: We describe our experience of using intra-arterial administration of
Verapamil to resolve vasospasm in two patients who underwent surgery for insular
glial tumors. MATERIAL AND METHODS: Severe vasospasm (an increased systolic LBFV
in the M1 MCA, more than 250 cm/s, and a Lindegaard index of 4.1) was observed in
2 (3.2%) of 62 patients in the early postoperative period after removal of
intracerebral insular tumors. In both cases, vasospasm was confirmed by
angiography, was clinically significant, and manifested by the development of
pyramidal hemisyndrome. RESULTS: Intra-arterial administration of Verapamil led
to relief of angiospasm, which was confirmed by angiographic data, and complete
regression of neurological symptoms. CONCLUSION: Vasospasm symptoms in patients
after removal of insular tumors largely resemble those after aneurysm hemorrhage.
An increase in the LBFV in the MCA and related neurological symptoms develop
lately and persists for up to 2 weeks after surgery. LBFV values are similar to
those in patients after SAH and reach 250-300 cm/s. Among the causes of focal
symptoms developed after removal of insular tumors, injury to the inner capsule
structures, injury to arteries of the MCA territory (especially perforators), and
angiospasm should be differentiated.
PMID- 29795092
TI - [Capabilities of 18F-FET PET/CT in a patient with brain glioma (a case report and
literature review)].
AB - Positron emission tomography combined with computed tomography (PET/CT) enables
assessment of not only anatomical and structural but also metabolic changes in
tumor mass. 18F-fluoroethyl tyrosine (18F-FET) PET/CT is based on evaluation of
transport of 18F-labeled tyrosine in tissues. We present a clinical case of a
patient with a newly diagnosed brain tumor, demonstrating the capabilities of 18F
FET PET/CT in assessing the reliable volume and degree of tumor anaplasia, which
is important when choosing the treatment approach for a patient.
PMID- 29795093
TI - [Nasal schwannoma with skull base destruction in a child (a case report and
literature review)].
AB - Schwannomas (neurinomas) are benign, encapsulated, and slow-growing neoplasms
developing from any peripheral, cranial, or vegetative nerve that has the Schwann
sheath. Schwannomas of the nasal cavity and paranasal sinuses in adults occur
rarely, less than 4% of cases. The world literature lacks similar data for the
pediatric age group because these tumors in children are unique and extremely
rare. The most common clinical symptoms of sinonasal schwannoma are nasal
breathing disorders, hyposmia or anosmia, and snoring at night. Other symptoms
are associated with intranasal schwannoma invasion of neighboring organs and
tissues and include exophthalmos, epiphora (lacrimation), headaches, and
anesthesia in the facial area. In this article, we describe a rare case of
diagnosis and successful surgical treatment of a child with intranasal schwannoma
destructing the skull base.
PMID- 29795094
TI - [Chronic sacral nerve electrostimulation in treatment of neurogenic pelvic organ
dysfunction in children].
AB - : Myelodysplasia is the most common cause of congenital pelvic abnormalities in
children. The causes of acquired neurogenic pelvic dysfunctions in children
include spinal cord injury, myelitis, and neurodegenerative diseases. Urination
impairments in children with neurological disorders are a serious clinical
problem. In most cases, the capabilities of conservative treatment of pelvic
organ dysfunctions are limited. One of the most promising directions in treatment
of neurogenic urination disorders is modeling of a lost urination mechanism using
direct or mediated electrostimulation of the nerve fibers of the sacral plexus -
neuromodulation. AIM: the review aim is to describe the technique and results of
chronic sacral neurostimulation in treatment of pelvic organ disorders in
children, which have been reported in the international literature. An obligatory
condition for application of chronic sacral neurostimulation (CSNS) is a positive
clinical response to test electrostimulation of the S3 nerve root. The test
period duration is 1-3 weeks. In the case of a positive effect, a permanent
system is implanted for neurostimulation of the S3 nerve root. On treatment with
CSNS, children with severe urinary incontinence had a significant decrease in the
rate of incontinence episodes, and patients with urinary retention had no or
reduced need for periodic catheterization. Therefore, the accumulated experience
of using CSNS in children with spinal cord diseases indicates the need in further
study of the technique capabilities for correction of pelvic organ dysfunctions.
PMID- 29795095
TI - [The use of activated recombinant factor VII in neurosurgery].
PMID- 29795096
TI - [Legislative regulatory framework for medical care quality and safety control].
AB - The paper presents the analysis of legislative and regulatory framework for the
control of the quality and safety of medical activities. Legislative and
regulatory framework requires mandatory internal control of the quality and
safety of medical activities and dictates its structure. In the development of
the internal control of the quality and safety of medical activities there is,
however, a need to consider medical and social, legal, organizational, ethical
and economic issues.
PMID- 29795097
TI - [Criteria for quality assessment and labor payment for dental care
professionals].
AB - Internal regulatory documents of the medical organization reflecting the
calculation of incentive payments and a personalized assessment of the quality of
dental care were analyzed. It is found that until now the new system of wage
payment has not solved the problem of stimulating dental care professionals
depending on the results of their work and needs to be changed. The applied
criteria of quality assessment and the intensity of labor must have measurable
parameters that exclude subjective appraisal; the integrative coefficient of
labor quality must be created. A transparent mechanism of wage payment is also
needed in order to motivate staff highly to achieve the qualitative and
quantitative indicators of labor that are incorporated in it by the medical
organization authority and are related with their work goals. Every criterion
outlined in the 'Regulation on Inner Control of the Quality and Safety of Dental
Care' should reflect the intensity and quality of dental services and correspond
with the criteria of the incentive premiums calculation, that have been
prescribed in the 'Wage payment regulations'.
PMID- 29795098
TI - [Quality assessment and organization of dental care in the regions of Russian
Federation].
AB - On the basis of a survey of 732 patients of dental clinics as one of the most
informative methods of assessing the quality of medical care, it was concluded
that the satisfaction of the population in the conditions and quality of dental
care is at a high level.
PMID- 29795099
TI - [The role of Wnt/beta-catenin signaling pathway in ameloblastoma formation].
AB - : The aim of the study was to assess the role of the canonical Wnt/beta-catenin
signaling pathway in the formation and clinical course of various histological
variants of ameloblastoma. MATERIAL AND METHODS: 76 cases of ameloblastoma were
investigated, the average age of the patients was 46 years. There were 49 (64.5%)
cases of recurrence of the disease. Tissue antigens were determined using
monoclonal rabbit antibodies to Ki-67 and beta-catenin. RESULTS: it was found
correlation between the proliferative activity of ameloblastoma cells and the
intranuclear localization of beta-catenin, indicating the activation of the
Wnt/beta-catenin-signaling pathway. It was shown that the nuclear localization of
beta-catenin is positively correlated with the recurrence. CONCLUSION: The
Wnt/beta-catenin signaling pathway is involved in a formation and progression of
ameloblastoma.
PMID- 29795100
TI - [In vitro evaluation of two methods for fractured screw retrieval from dental
implants].
AB - The aim of the study was to compare estimated efficiency of fractured dental
implant screw removal methods. Twenty screws in implant internal connections were
fractured in animal model to test fragment retrieval comparing two methods: using
ultrasonic tools (group 1, 10 implants) and special reverse force kit (group 2,
10 implants). Removing screws with ultra-sonic tools proved to be slightly more
effective, than using specialized kit. Mean procedure time in group 1 was 4 min
more than in group 2. The possibility of screw retrieval correlates with fracture
level, as deeper fractured screws were the easiest ones to remove.
PMID- 29795101
TI - [Age related molecular-genetic preconditions for dental caries in pregnant
women].
AB - The aim of the study was to evaluate the allelic polymorphisms kallikrein-4 (KLK
4) gene at the mutant points: G2664153A and G2142A in pregnant women under and
over 30 of age. In pregnant women with KLK-4 gene polymorphisms A/A and G/A
genotypes the rate of tooth decay growth increases in spite of applying the
ternary calcium-phosphate-fluoride-containing gel. This genotype is also
associates with unfavorable alteration of such oral fluid indicators as pH,
concentrations of inorganic phosphorus, the active concentrations of calcium and
potassium, as well as the ratio of total calcium and phosphorus concentrations,
the active concentrations of electrolytes, and demineralizing activity of oral
fluid.
PMID- 29795102
TI - [Differential diagnosis of dental enamel focal demineralization and fluorosis by
means of spectrophotometry].
AB - The article presents the results of spectrophotometric tooth enamel scanning for
differential diagnosis of focal enamel demineralization and fluorosis. Research
was conducted in vivo on teeth affected by these diseases. VITA EasyShade
spectrophotometer measurements were made on the affected area and on the visually
healthy part of enamel. The lightness appeared as the only one differential
significant optical characteristics of tooth enamel. Lightness metrics were
higher in the case of initial caries than on the healthy part of enamel when
these metrics were lower in the case of fluorosis than on the healthy part of
enamel.
PMID- 29795103
TI - [Rationale for caries prevention in young adults based on caries resistance
rates].
AB - The aim of the study was to evaluate the effectiveness of caries prevention in
young adults with different caries resistance rates. Sixty-seven patients aged 18
20 with low (n=32) and high (n=35) dmft were enrolled in the study. Caries
prevention efficacy was assessed by laboratory tests: enamel resistance test
(ERT), clinical enamel remineralization speed test (CERST), estimation of calcium
and inorganic phosphorus in oral fluid. Patients with high caries activity in
addition to conventional prevention program received prophylaxis procedure with
Clinpro White Varnish (3M ESPE). ERT and CERST were significantly higher in high
dmft (>13) subgroup. Statistically significant difference in ERT and CERST was
observed between subgroups at 3 and 6 months evaluation after prevention program.
PMID- 29795104
TI - [General anesthesia and intensive care for patients surgically treated for deep
neck odontogenic infections].
AB - The study objectives were to determine the significance of deep neck odontogenic
infections severity to predict the postoperative morbidity. Observational study
was conducted in 2014-2015. A continuous sample of 38 patients who were urgently
hospitalized in the Novosibirsk Regional Clinical Hospital with deep neck
odontogenic infections and operated on the day of hospitalization was analyzed.
SAPS scale rates correlated positively with the duration of the artificial lungs
ventilation (ALV). The positive balance of the introduced and withdrawn liquid in
the first day of the postoperative period is associated with the ALV duration,
which requires accurate dosing of the infusion volumes. The described protocol of
perioperative care significantly decreases morbidity in patients with deep neck
odontogenic infections.
PMID- 29795105
TI - [Anatomic rationale for clinical efficacy of intraosseous mental nerve
anesthesia].
AB - The aim of the study was to prove the anatomical and clinical effectiveness of
the modified anesthesia of mental nerve. The effectiveness of conductive
anesthesia near the mental foramen was objectively evaluated using the electric
pulp test (EPT) in 100 volunteers of both sexes, aged 35-43 years. Wet anterior
mandible preparations obtained from 350 cadavers aged 18-74 years were also
studied. EPT value after local mental anesthesia conducted according to Malamed
C. using 4% articain solution of local anesthetic with vasoconstrictor
concentration of 1:200.000 after 2 minutes was 93+/-0.82 mA, after 4 minutes -
188+/-1.26 mA. Yield variability indicators of intraosseous mental nerve
anesthesia was slightly higher varying from 94.11 mA to 96.61 mA after 2 minutes
and from 197.4 to 199.92 mA after 4 minutes survey. The study showed the
efficiency and predictability of intraosseous anesthesia of the mental nerve.
PMID- 29795106
TI - [Osteointegration of dental implants in augmented bone after bone flap rotation].
AB - The article presents clinical case of a patient with partial secondary absence of
teeth and maxillary defect because of vertical alveolar bone atrophy. Vertical
alveolar ridge augmentation by osseous flap rotation and xenogenous bone block
with 10% collagen was performed. Eight months later 4 dental implants with
modified surface were placed in the augmentation area. After 3 months 3 implants
showed no signs of osteointegration and were removed. Three week later the
patient was admitted to ENT department because of maxillary sinusitis symptoms.
PMID- 29795107
TI - [Long-term clinical results of surgical treatment in patients with salivary stone
disease and ducts deformation of the parotid gland].
AB - The authors with the goal of postoperative dynamic control over the results of
surgical treatment of patients previously operated for salivary stone disease and
various ducts deformations of the parotid salivary glands examined 16 patients
with an observation period up to 8 years. It was found that recurrent acute
parotitis or recurrence of stone formation was observed in 100% of cases. The
authors developed methods of surgical treatment leads to long-term clinical
success with no recurrence of the disease.
PMID- 29795108
TI - [Correlation of TMJ structures and craniocervical area position in partial dental
loss].
AB - The purpose of the study was to determine the correlation features in position of
the TMJ and the atlantoaxial compound in presence of dentition defects. The study
included 20 patients (10 males and 10 females) aged 37 to 59 years with mandible
terminal dentition defects. The comparison group included 20 patients of men and
women equally, aged from 18 to 29 years with intact dentition. All patients were
diagnosed with CT scan of the maxillofacial area, including the craniovertebral
zone. In the analysis of the TMJ, the ratio of bone structures were determined by
studying the CT scan in sagittal plane. The position of the elements of the
atlantoaxial compound were evaluated on CT scan in frontal and transversal plane
along the distance from the lateral masses of the CI vertebrae to the dens CII
process. In persons with one-sided terminal dentition defect any changes of the
position of the TMJ elements were not determined except for the right L1
parameter (8.91+/-0.45 mm and 6.8+/-0.77 mm, respectively, p=0.020). At the same
time, the L1 distance on the left significantly changed to 6.86+/-0.40 mm
relative to the comparison group (8.21+/-0.77 mm, p=0.030). In contrast to the
ratio of the bony structures of the TMJ on the analysis of the craniocervical
zone we determined the differences in the relationships of all elements of the
atlantoaxial compound. The asymmetry index of CI-CII in the study group was
1.12+/-0.15 mm, in the comparison group 0.1+/-0.02 mm, p=0.001. The correlation
analysis of the investigated structures showed different variants of the relation
(direct and inverse) between the L1, L5 parameters of the TMJ and the distance
from the lateral masses CI to dens CII. The structures of the TMJ in the presence
of dentition defects remain practically unchanged and are more stable, it can be
assumed that the primary link which undergo the changes considering the
relationship between bone structures is the atlantoaxial junction.
PMID- 29795109
TI - [Natural amelogenesis and rationale for enamel regeneration by means of robotic
bioprinting of tissues in situ].
PMID- 29795110
TI - [Clinical manifestations of syphilis in maxillofacial area. Part I].
PMID- 29795111
TI - Carnitine palmitoyltransferase gene upregulation by linoleic acid induces CD4+ T
cell apoptosis promoting HCC development.
AB - Hepatocellular carcinoma (HCC) is a common cause of cancer-related death
worldwide. As obesity and diabetes become more prevalent, the contribution of non
alcoholic fatty liver disease (NAFLD) to HCC is rising. Recently, we reported
intrahepatic CD4+ T cells are critical for anti-tumor surveillance in NAFLD.
Lipid accumulation in the liver is the hallmark of NAFLD, which may perturb T
cell function. We sought to investigate how the lipid-rich liver environment
influences CD4+ T cells by focusing on carnitine palmitoyltransferase (CPT)
family members, which control the mitochondrial beta-oxidation of fatty acids and
act as key molecules in lipid catabolism. Linoleic acid (C18:2) co-localized
within the mitochondria along with a corresponding increase in CPT gene
upregulation. This CPT upregulation can be recapitulated by feeding mice with a
high-C18:2 diet or the NAFLD promoting methionine-choline-deficient (MCD) diet.
Using an agonist and antagonist, the induction of CPT genes was found to be
mediated by peroxisome proliferator-activated receptor alpha (PPAR-alpha). CPT
gene upregulation increased mitochondrial reactive oxygen species (ROS) and led
to cell apoptosis. In vivo, using liver-specific inducible MYC transgenic mice
fed MCD diet, blocking CPT with the pharmacological inhibitor perhexiline
decreased apoptosis of intrahepatic CD4+ T cells and inhibited HCC tumor
formation. These results provide useful information for potentially targeting the
CPT family to rescue intrahepatic CD4+ T cells and to aid immunotherapy for NAFLD
promoted HCC.
PMID- 29795112
TI - Reduced levels of miRNAs 449 and 34 in sperm of mice and men exposed to early
life stress.
AB - Exposure of male mice to early life stress alters the levels of specific sperm
miRNAs that promote stress-associated behaviors in their offspring. To begin to
evaluate whether similar phenomena occur in men, we searched for sperm miRNA
changes that occur in both mice and men exposed to early life stressors that have
long-lasting effects. For men, we used the Adverse Childhood Experience (ACE)
questionnaire. It reveals the degree of abusive and/or dysfunctional family
experiences when young, which increases risks of developing future psychological
and physical disorders. For male mice, we used adolescent chronic social
instability (CSI) stress, which not only enhances sociability defects for >1
year, but also anxiety and defective sociability in female offspring for multiple
generations through the male lineage. Here we found a statistically significant
inverse correlation between levels of multiple miRNAs of the miR-449/34 family
and ACE scores of Caucasian males. Remarkably, we found members of the same sperm
miRNA family are also reduced in mice exposed to CSI stress. Thus, future studies
should be designed to directly test whether reduced levels of these miRNAs could
be used as unbiased indicators of current and/or early life exposure to severe
stress. Moreover, after mating stressed male mice, these sperm miRNA reductions
persist in both early embryos through at least the morula stage and in sperm of
males derived from them, suggesting these miRNA changes contribute to
transmission of stress phenotypes across generations. Since offspring of men
exposed to early life trauma have elevated risks for psychological disorders,
these findings raise the possibility that a portion of this risk may be derived
from epigenetic regulation of these sperm miRNAs.
PMID- 29795113
TI - Inhibition of LCMR1 and ATG12 by demethylation-activated miR-570-3p is involved
in the anti-metastasis effects of metformin on human osteosarcoma.
AB - Epidemiological studies have demonstrated that metformin could mitigate the
progression of several tumors. Although it has been proved that metformin could
cause demethylation of DNA and lead to up-regulation of some encoding genes and
non-coding RNAs, there is little data about the effects of metformin on
metastasis, and the interaction between metastasis and autophagy in human
osteosarcoma cells. Here, we found miR-570-3p was significantly down-regulated in
human metastatic osteosarcoma tissues but not in non-metastatic osteosarcoma
tissues. Metformin attenuates the metastasis and autophagy in osteosarcoma.
Interestingly, this autophagy favors osteosarcoma cells invasion. Moreover,
reduction of metformin-induced inhibition of autophagy could reverse the invasion
suppression in osteosarcoma. Mechanistically, metformin increases miR-570-3p by
the demethylation of DNA, and the upregulation of miR-570-3p repressed the
translation of its target, LCMR1 and ATG12. Our results, for the first time,
presents evidence that the miR-570-3p-mediated suppression of LCMR1 and ATG12 is
involved in the metformin-induced inhibition of metastasis in osteosarcoma cells.
PMID- 29795115
TI - Separation of current density and electric field domains caused by nonlinear
electronic instabilities.
AB - In 1963 Ridley postulated that under certain bias conditions circuit elements
exhibiting a current- or voltage-controlled negative differential resistance will
separate into coexisting domains with different current densities or electric
fields, respectively, in a process similar to spinodal decomposition of a
homogeneous liquid or disproportionation of a metastable chemical compound. The
ensuing debate, however, failed to agree on the existence or causes of such
electronic decomposition. Using thermal and chemical spectro-microscopy, we
directly imaged signatures of current-density and electric-field domains in
several metal oxides. The concept of local activity successfully predicts
initiation and occurrence of spontaneous electronic decomposition, accompanied by
a reduction in internal energy, despite unchanged power input and heat output.
This reveals a thermodynamic constraint required to properly model nonlinear
circuit elements. Our results explain the electroforming process that initiates
information storage via resistance switching in metal oxides and has significant
implications for improving neuromorphic computing based on nonlinear dynamical
devices.
PMID- 29795114
TI - Probing the pathways of free charge generation in organic bulk heterojunction
solar cells.
AB - The fact that organic solar cells perform efficiently despite the low dielectric
constant of most photoactive blends initiated a long-standing debate regarding
the dominant pathways of free charge formation. Here, we address this issue
through the accurate measurement of the activation energy for free charge
photogeneration over a wide range of photon energy, using the method of time
delayed collection field. For our prototypical low bandgap polymer:fullerene
blends, we find that neither the temperature nor the field dependence of free
charge generation depend on the excitation energy, ruling out an appreciable
contribution to free charge generation though hot carrier pathways. On the other
hand, activation energies are on the order of the room temperature thermal energy
for all studied blends. We conclude that charge generation in such devices
proceeds through thermalized charge transfer states, and that thermal energy is
sufficient to separate most of these states into free charges.
PMID- 29795116
TI - Trait paranoia shapes inter-subject synchrony in brain activity during an
ambiguous social narrative.
AB - Individuals often interpret the same event in different ways. How do personality
traits modulate brain activity evoked by a complex stimulus? Here we report
results from a naturalistic paradigm designed to draw out both neural and
behavioral variation along a specific dimension of interest, namely paranoia.
Participants listen to a narrative during functional MRI describing an ambiguous
social scenario, written such that some individuals would find it highly
suspicious, while others less so. Using inter-subject correlation analysis, we
identify several brain areas that are differentially synchronized during
listening between participants with high and low trait-level paranoia, including
theory-of-mind regions. Follow-up analyses indicate that these regions are more
active to mentalizing events in high-paranoia individuals. Analyzing
participants' speech as they freely recall the narrative reveals semantic and
syntactic features that also scale with paranoia. Results indicate that a
personality trait can act as an intrinsic "prime," yielding different neural and
behavioral responses to the same stimulus across individuals.
PMID- 29795118
TI - Profiling dendritic cell subsets in head and neck squamous cell tonsillar cancer
and benign tonsils.
AB - Dendritic cells (DCs) have a key role in orchestrating immune responses and are
considered important targets for immunotherapy against cancer. In order to
develop effective cancer vaccines, detailed knowledge of the micromilieu in
cancer lesions is warranted. In this study, flow cytometry and human
transcriptome arrays were used to characterize subsets of DCs in head and neck
squamous cell tonsillar cancer and compare them to their counterparts in benign
tonsils to evaluate subset-selective biomarkers associated with tonsillar cancer.
We describe, for the first time, four subsets of DCs in tonsillar cancer: CD123+
plasmacytoid DCs (pDC), CD1c+, CD141+, and CD1c-CD141- myeloid DCs (mDC). An
increased frequency of DCs and an elevated mDC/pDC ratio were shown in malignant
compared to benign tonsillar tissue. The microarray data demonstrates
characteristics specific for tonsil cancer DC subsets, including expression of
immunosuppressive molecules and lower expression levels of genes involved in
development of effector immune responses in DCs in malignant tonsillar tissue,
compared to their counterparts in benign tonsillar tissue. Finally, we present
target candidates selectively expressed by different DC subsets in malignant
tonsils and confirm expression of CD206/MRC1 and CD207/Langerin on CD1c+ DCs at
protein level. This study descibes DC characteristics in the context of head and
neck cancer and add valuable steps towards future DC-based therapies against
tonsillar cancer.
PMID- 29795119
TI - Asymmetric hemisphere activation in tenderness: evidence from EEG signals.
AB - Emotions are processed asymmetrically by the human brain. Frontal alpha asymmetry
(FAA) as measured by electroencephalographic (EEG) power in the alpha band (8-13
Hz), is a sensitive indicator of asymmetric brain activity in the frontal cortex.
The current study aimed to analyze the frontal EEG asymmetries in terms of
valence and motivational direction. We presented 37 participants with three film
excerpts that were selected from the standard emotional film database to elicit
three target emotions: tenderness, anger, and neutrality. Participants' self
reports on their induced emotional responses and EEG signals were recorded and
analyzed. The results showed that individuals displayed lower alpha power in the
left hemisphere than the right hemisphere when they were watching a tender film,
indicating that tenderness was positive and related to approach motivation. In
contrast, when watching an angry movie, participants showed higher alpha power in
the left hemisphere than the right hemisphere, suggesting that anger was negative
and associated with withdrawal motivation. These findings help to link positive
and approach-motivated tenderness with greater left hemispheric activation and
state-anger with greater right hemispheric activation through the analysis of
FAA.
PMID- 29795117
TI - C151 in KEAP1 is the main cysteine sensor for the cyanoenone class of NRF2
activators, irrespective of molecular size or shape.
AB - Numerous small molecules (termed inducers), many of which are electrophiles,
upregulate cytoprotective responses and inhibit pro-inflammatory pathways by
activating nuclear factor-erythroid 2 p45-related factor 2 (NRF2). Key to NRF2
activation is the ability to chemically modifying critical sensor cysteines in
the main negative regulator of NRF2, Kelch-like ECH-associated protein 1 (KEAP1),
of which C151, C273 and C288 are best characterized. This study aimed to
establish the requirement for these cysteine sensor(s) for the biological
activities of the most potent NRF2 activators known to date, the cyclic
cyanoenones, some of which are in clinical trials. It was found that C151 in
KEAP1 is the main cysteine sensor for this class of inducers, irrespective of
molecular size or shape. Furthermore, in primary macrophage cells expressing
C151S mutant KEAP1, at low concentrations, the tricyclic cyanoenone TBE-31 is
inactive as an activator of NRF2 as well as an inhibitor of lipopolysaccharide
stimulated gene expression of the pro-inflammatory cytokines IL6 and IL1beta.
However, at high inducer concentrations, NRF2 activation proceeds in the absence
of C151, albeit at a lower magnitude. Our findings highlight the intrinsic
flexibility of KEAP1 and emphasize the critical importance of establishing the
precise dose of NRF2 activators for maintaining on-target selectivity.
PMID- 29795120
TI - Antimicrobial and anti-biofilm activity of hexadentated macrocyclic complex of
copper (II) derived from thiosemicarbazide against Staphylococcus aureus.
AB - Multidrug-resistant pathogens causing nosocomial and community acquired
infections delineate a significant threat to public health. It had urged to
identify new antimicrobials and thus, generated interest in studying macrocyclic
metal complex, which has been studied in the past for their antimicrobial
activity. Hence, in the present study, we have evaluated the antimicrobial
activity of the hexadentated macrocyclic complex of copper (II) (Cu Complex)
derived from thiosemicarbazide against Gram-positive and Gram-negative bacteria.
We observed increased susceptibility against standard isolates of Staphylococcus
aureus with a minimum inhibitory concentration (MIC) range of 6.25 to 12.5
MUg/mL. Similar activity was also observed towards methicillin resistant and
sensitive clinical isolates of S. aureus from human (n = 20) and animal (n = 20)
infections. The compound has rapid bactericidal activity, and we did not observe
any resistant mutant of S. aureus. The compound also exhibited antibiofilm
activity and was able to disrupt pre-formed biofilms. Cu complex showed increased
susceptibility towards intracellular S. aureus and was able to reduce more than
95% of the bacterial load at 10 MUg/mL. Overall, our results suggest that Cu
complex with its potent anti-microbial and anti-biofilm activity can be used to
treat MRSA infections and evaluated further clinically.
PMID- 29795121
TI - Extraction and quantification of biofilm bacteria: Method optimized for urinary
catheters.
AB - Bacterial biofilms are responsible for the failure of many medical devices such
as urinary catheters and are associated with many infectious and non-infectious
complications. Preclinical and clinical evaluation of novel catheter coatings to
prevent these infections needs to accurately quantify the bacterial load in the
biofilm in vitro and ex vivo. There is currently no uniform gold standard for
biofilm quantification for different surfaces and established biofilms. We have
tried to establish a simple, accurate and reproducible method for extraction and
measurement of biofilm bacteria on indwelling catheters, using a combination of
vortexing and sonication. We demonstrate the usefulness of this method for
catheters of different sizes - 3 Fr to 14 Fr - in vitro, in murine and porcine
models, and indwelling in human clinical subjects. We also demonstrate consistent
results with complex and polymicrobial biofilms. We believe that this
standardized reproducible method will assist the assessment of biofilms in
general and urological devices in particular in efforts to harness novel
technologies to prevent healthcare associated infections.
PMID- 29795122
TI - Biochar Impacts on Soil Silicon Dissolution Kinetics and their Interaction
Mechanisms.
AB - Effects of biochars on soil silicon dissolution kinetics remain unaddressed. Si
rich rice husk (RH) and rice straw (RS), and Si-deficient wood sawdust (WB) and
orange peel (OP) were applied to prepare biochars at 300-700 degrees C. The
silicon dissolution of Si-rich biochars was relatively high in comparison with Si
deficient biochars, and increased with the pyrolysis temperature. The mechanism
of silicon release is suggested to be controlled by a protective carbon-silicon
interaction, as accompanied by carbon release. After mixing with soil, the
addition of Si-rich biochar leads up to 72.7-121% improvement in silicon
dissolution in a high-silicon soil (HSS) compared to 147-243% improvement in a
low-silicon soil (LSS). The total cumulative amount of silicon dissolved
decreased compared to the theoretical value due to the adsorption of silicic acid
by the biochar. The addition of WB700 or OP700 as Si-deficient biochars leads to
a cumulative Si dissolution decrease of 15.7 and 12.1%, respectively. The
adsorption of silicic acid in the biochar and the protection of soil dissolved Fe
make biochar a reservoir of soil silicon. Thus, Si-rich biochar could serve as a
source of Si with slow release, while Si-deficient biochar could serve as an
extra Si sink in agricultural paddy soil.
PMID- 29795125
TI - Towards a complete map of the human long non-coding RNA transcriptome.
AB - Gene maps, or annotations, enable us to navigate the functional landscape of our
genome. They are a resource upon which virtually all studies depend, from single
gene to genome-wide scales and from basic molecular biology to medical genetics.
Yet present-day annotations suffer from trade-offs between quality and size, with
serious but often unappreciated consequences for downstream studies. This is
particularly true for long non-coding RNAs (lncRNAs), which are poorly
characterized compared to protein-coding genes. Long-read sequencing technologies
promise to improve current annotations, paving the way towards a complete
annotation of lncRNAs expressed throughout a human lifetime.
PMID- 29795126
TI - Estimating heritability without genetic testing.
PMID- 29795124
TI - Direct observation of high spin polarization in Co2FeAl thin films.
AB - We have studied the Co2FeAl thin films with different thicknesses epitaxially
grown on GaAs (001) by molecular beam epitaxy. The magnetic properties and spin
polarization of the films were investigated by in-situ magneto-optic Kerr effect
(MOKE) measurement and spin-resolved angle-resolved photoemission spectroscopy
(spin-ARPES) at 300 K, respectively. High spin polarization of 58% (+/-7%) was
observed for the film with thickness of 21 unit cells (uc), for the first time.
However, when the thickness decreases to 2.5 uc, the spin polarization falls to
29% (+/-2%) only. This change is also accompanied by a magnetic transition at 4
uc characterized by the MOKE intensity. Above it, the film's magnetization
reaches the bulk value of 1000 emu/cm3. Our findings set a lower limit on the
thickness of Co2FeAl films, which possesses both high spin polarization and large
magnetization.
PMID- 29795123
TI - Randomised Controlled Clinical Trial Investigating The Impact of Implementation
Planning on Behaviour Related to The Diet.
AB - There is a perceived gap between dietary advice given by health practitioners and
adherence to the advice by patients. We investigated whether a behaviour change
technique (implementation-planning) was more effective than standard-of-care diet
advice at reducing dietary acid intake using quantitative erosive tooth wear
progression as an objective clinical outcome. This study was a randomised
controlled, double-blind, single-centre clinical trial in the UK. Participants (n
= 60) with high dietary acid intake (>=2 daily), were recruited and randomly
assigned (1:1) to receive either implementation-planning or standard-of-care diet
advice in a single clinical session. Questionnaires and impressions were taken at
baseline and 6 months later. Dental casts were scanned using laser profilometry
and superimposed using surface-matching software. Data were analysed per protocol
and intention-to-treat using independent t-tests and Mann-Whitney tests. The
intervention group reduced their dietary acid intake between meals to 1 intake
per day compared to 2 intakes per day for the controls and demonstrated reduced
dental hard tissue volume loss (-0.00 mm3 (SD = 0.01)) compared to controls (
0.07 mm3 (SD 0.17)), p = 0.049. This paper supports the use of implementation
planning in clinical practice and presents a non-invasive method of intervention
assessment in behaviour change. Larger trials are needed to confirm the
generalisability of results.
PMID- 29795127
TI - Characterization of smooth muscle cells from human atherosclerotic lesions and
their responses to Notch signaling.
AB - Atherosclerosis is the most common cause of heart disease and stroke. The use of
animal models has advanced our understanding of the molecular signaling that
contributes to atherosclerosis. Further understanding of this degenerative
process in humans will require human tissue. Plaque removed during endarterectomy
procedures to relieve arterial obstructions is usually discarded, but can be an
important source of diseased cells. Resected tissue from carotid and femoral
endarterectomy procedures were compared with carotid arteries from donors with no
known cardiovascular disease. Vascular smooth muscle cells (SMC) contribute to
plaque formation and may determine susceptibility to rupture. Notch signaling is
implicated in the progression of atherosclerosis, and plays a receptor-specific
regulatory role in SMC. We defined protein localization of Notch2 and Notch3
within medial and plaque SMC using immunostaining, and compared Notch2 and Notch3
levels in total plaques with whole normal arteries using immunoblot. We
successfully derived SMC populations from multiple endarterectomy specimens for
molecular analysis. To better define the protein signature of diseased SMC, we
utilized sequential window acquisition of all theoretical spectra (SWATH)
proteomic analysis to compare normal carotid artery SMC with endarterectomy
derived SMC. Similarities in protein profile and differentiation markers
validated the SMC identity of our explants. We identified a subset of
differentially expressed proteins that are candidates as functional markers of
diseased SMC. To understand how Notch signaling may affect diseased SMC, we
performed Jagged1 stimulation of primary cultures. In populations that displayed
significant growth, Jagged1 signaling through Notch2 suppressed proliferation;
cultures with low growth potential were non-responsive to Jagged1. In addition,
Jagged1 did not promote contractile smooth muscle actin nor have a significant
effect on the mature differentiated phenotype. Thus, SMC derived from
atherosclerotic lesions show distinct proteomic profiles and have altered Notch
signaling in response to Jagged1 as a differentiation stimulus, compared with
normal SMC.
PMID- 29795128
TI - An optical coherence tomography study of residual subfoveal fluid after
successful pars plana vitrectomy in patients with diabetic tractional macular
detachment.
AB - PURPOSE: To analyze the prevalence of residual subfoveal fluid (RSF) after pars
plana vitrectomy in patients with proliferative diabetic retinopathy complicated
by tractional detachment of the macula and the effect of RSF on the postoperative
visual outcome. METHODS: This study was a prospective observational case study of
the optical coherence tomography (OCT) records of 23 patients (24 eyes) with
macula-off diabetic tractional retinal detachment (TRD) who underwent successful
23-gauge pars plana vitrectomy between July 2012 and December 2015. RESULTS: The
prevalence of RSF on OCT examination was 100% at 1 and 2 months, 91.7% at 3
months, 70.8% at 6 months, 25.0% at 9 months and 4.2% at 12 months after surgery
(p < 0.001). Internal drainage of subretinal fluid (SRF) during primary surgery
was performed in 13 (54.2%) eyes. The significant risk factor that was predictive
of long-standing RSF was non-drainage of SRF (p = 0.04). CONCLUSION: Persistent
RSF is a common OCT finding after clinically successful vitrectomy surgery for
the treatment of macula-off diabetic tractional retinal detachment. Internal
drainage of SRF with active aspiration can be attempted if intraoperative breaks
are found or if faster recovery is required. To date, observation seems to be the
best option.
PMID- 29795130
TI - Electric cataracts: a cause of bilateral blindness in Kashmir.
PMID- 29795129
TI - Involvement of ciliary neurotrophic factor in early diabetic retinal neuropathy
in streptozotocin-induced diabetic rats.
AB - OBJECTIVE: Ciliary neurotrophic factor (CNTF) has been evaluated as a candidate
therapeutic agent for diabetes and its neural complications. However, its role in
diabetic retinopathy has not been fully elucidated. METHODS: This is a randomized
unblinded animal experiment. Wistar rats with streptozocin (STZ)-induced diabetes
were regularly injected with CNTF or vehicle control in their vitreous bodies
beginning at 2 weeks after STZ injection. A total of five injections were used.
In diabetic rats, the levels of CNTF and neurotrophin-3 (NT-3) were evaluated by
enzyme-linked immunosorbent assays (ELISA) and real-time PCR. The abundance of
tyrosine hydroxylase (TH) and beta-III tubulin was detected by western blot.
Transferase-mediated dUTP nick-end labeling staining (TUNEL) was used to detect
cell apoptosis in the retinal tissue. The activation of caspase-3 was also
measured. RESULTS: The protein and mRNA levels of CNTF in diabetic rat retinas
were reduced compared to control rats. In addition, retinal ganglion cells (RGCs)
and dopaminergic amacrine cells appeared to undergo degeneration in diabetic rat
retinas, as revealed by transferase-mediated dUTP nick-end labeling staining
(TUNEL). Tyrosine hydroxylase (TH) and beta-III tubulin protein levels also
decreased significantly. Intraocular administration of CNTF rescued RGCs and
dopaminergic amacrine cells from neurodegeneration and counteracted the
downregulation of beta-III tubulin and TH expression, thus demonstrating its
therapeutic potential. CONCLUSION: Our study suggests that early diabetic retinal
neuropathy involves the reduced expression of CNTF and can be ameliorated by an
exogenous supply of this neurotrophin.
PMID- 29795131
TI - Publisher Correction: Identification of oral cancer related candidate genes by
integrating protein-protein interactions, gene ontology, pathway analysis and
immunohistochemistry.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29795133
TI - Specializations for reward-guided decision-making in the primate ventral
prefrontal cortex.
AB - The estimated values of choices, and therefore decision-making based on those
values, are influenced by both the chance that the chosen items or goods can be
obtained (availability) and their current worth (desirability) as well as by the
ability to link the estimated values to choices (a process sometimes called
credit assignment). In primates, the prefrontal cortex (PFC) has been thought to
contribute to each of these processes; however, causal relationships between
particular subdivisions of the PFC and specific functions have been difficult to
establish. Recent lesion-based research studies have defined the roles of two
different parts of the primate PFC - the orbitofrontal cortex (OFC) and the
ventral lateral frontal cortex (VLFC) - and their subdivisions in evaluating each
of these factors and in mediating credit assignment during reward-based decision
making.
PMID- 29795132
TI - Long non-coding RNA H19 contributes to apoptosis of hippocampal neurons by
inhibiting let-7b in a rat model of temporal lobe epilepsy.
AB - Temporal lobe epilepsy (TLE) is one of the most common types of intractable
epilepsy, characterized by hippocampal neuron damage and hippocampal sclerosis.
Long noncoding RNAs (lncRNAs) have been increasingly recognized as
posttranscriptional regulators. However, their expression levels and functions in
TLE remain largely unknown. In the present study, TLE rat model is used to
explore the expression profiles of lncRNAs in the hippocampus of epileptic rats
using microarray analysis. Our results demonstrate that H19 is the most
pronouncedly differentiated lncRNA, significantly upregulated in the latent
period of TLE. Moreover, the in vivo studies using gain- and loss-of-function
approaches reveal that the overexpression of H19 aggravates SE-induced neuron
apoptosis in the hippocampus, while inhibition of H19 protects the rats from SE
induced cellular injury. Finally, we show that H19 might function as a competing
endogenous RNA to sponge microRNA let-7b in the regulation of cellular apoptosis.
Overall, our study reveals a novel lncRNA H19-mediated mechanism in seizure
induced neural damage and provides a new target in developing lncRNA-based
strategies to reduce seizure-induced brain injury.
PMID- 29795134
TI - Pharmacological actions of miltirone in the modulation of platelet function.
AB - Salvia miltiorrhiza Bunge contains various active constituents, some of which
have been developed as commercially available medicine. Moreover, some other
ingredients in Salvia miltiorrhiza play roles in anti-platelet activity. The aim
of the present study was to investigate the effects and the underlying mechanism
of miltirone, a lipophilic compound of Salvia miltiorrhiza Bunge. The ability of
miltirone to modulate platelet function was investigated by a variety of in vitro
and in vivo experiments. Platelet aggregation and dense granule secretion induced
by various agonists were measured with platelet aggregometer. Clot retraction and
spreading were imaged by digital camera and fluorescence microscope. Ferric
chloride-induced carotid injury model and pulmonary thromboembolism model were
used to check miltirone antithrombotic effect in vivo. To elucidate the
mechanisms of anti-platelet activity of miltirone, flow cytometry and western
blotting were performed. Miltirone (2, 4, 8 uM) was shown to suppress platelet
aggregation, dense granule, and alpha granule secretion in a dose-dependent
manner. Meanwhile, miltirone inhibited the clot retraction and spreading of
washed platelets. It reduced the phosphorylation of PLCgamma2, PKC, Akt, GSK3beta
and ERK1/2 in the downstream signal pathway of collagen receptor. It also reduced
the phosphorylation of Src and FAK in the integrin alphaIIbbeta3-mediated
"outside-in" signaling, while it did not suppress the phosphorylation of beta3.
In addition, miltirone prolonged the occlusion time and reduced
collagen/epinephrine-induced pulmonary thrombi. Miltirone suppresses platelet
"inside-out" and "outside-in" signaling by affecting PLCgamma2/PKC/ERK1/2,
PI3K/Akt, and Src/FAK signaling. Therefore, miltirone might represent a potential
anti-platelet candidate for the prevention of thrombotic disorders.
PMID- 29795135
TI - Salvianolic acid A attenuates kidney injury and inflammation by inhibiting NF
kappaB and p38 MAPK signaling pathways in 5/6 nephrectomized rats.
AB - Salvianolic acid A (SAA) is a minor phenolic carboxylic acid extracted from
Salviae miltiorrhizae Bunge (Danshen). SAA exhibits a variety of pharmacological
activities, such as antioxidative, anti-thrombotic, neuroprotective, and anti
fibrotic effects, as well as protection from myocardial ischemia and prevention
of diabetes and other diseases. Furthermore, SAA has shown renal-protective
effects in doxorubicin-induced nephropathy. However, there has been limited
research regarding the effects of SAA and underlying mechanisms in chronic kidney
disease (CKD). Here, we examined the effects and molecular mechanisms of SAA in
an established animal model of 5/6 nephrectomized (5/6Nx) rats. The rats were
injected with SAA (2.5, 5, and 10 mg/kg per day, intraperitoneally (ip)) for 28
days. SAA dose-dependently lowered the levels of urine protein, blood urea
nitrogen, serum creatinine, plasma total cholesterol, and plasma triglycerides in
5/6Nx rats. Histological examination revealed that SAA dose-dependently
attenuated renal pathological lesions, evidenced by reduced renal
tubulointerstitial fibrosis by decreasing the expression levels of tumor growth
factor-beta1 and alpha-smooth muscle actin in 5/6Nx rats. Moreover, SAA dose
dependently inhibited the activation of nuclear factor-kappaB (NF-kappaB) and p38
mitogen-activated protein kinase (MAPK) signaling pathways, subsequently
attenuating the secretion of tumor necrosis factor-alpha and interleukin-1beta
and inhibiting the expression of monocyte chemotactic protein-1, intercellular
adhesion molecule-1, and vascular cell adhesion molecule-1 in kidneys of 5/6Nx
rats. The above results were consistent with those obtained in lipopolysaccharide
induced HK-2 cells in vitro (a recognized in vitro inflammatory model). In
conclusion, our results demonstrated that SAA effectively attenuates kidney
injury in 5/6Nx rats. The therapeutic effects of SAA on kidney injury can be
attributed to its anti-inflammatory activities through inhibition of the
activation of the NF-kappaB and p38 MAPK signaling pathways.
PMID- 29795136
TI - Metabolism, pharmacokinetics, and hepatic disposition of xanthones and saponins
on Zhimu treatments for exploratively interpreting the discrepancy between the
herbal safety and timosaponin A3-induced hepatotoxicity.
AB - Timosaponin A3, a saponin in Zhimu, elicited hepatotoxicity via oxidative stress.
However, the clinical medication of Zhimu has been historically regarded as safe,
probably associated with the antioxidants it contains. However, the related
information on the in vivo levels of timosaponin A3 and antioxidants remained
unclear on Zhimu treatments. Therefore, a combination of the in vitro metabolism,
including microbiota-mediated and liver-mediated metabolism, and in vivo
pharmacokinetics and hepatic disposition, was conducted for three xanthones
(neomangiferin, mangiferin, and norathyriol) and three saponins (timosaponin B2,
timosaponin B3, and timosaponin A3) on Zhimu treatments. Consequently, following
oral administration of Zhimu decoction to rats, those saponins and xanthones were
all observed in the plasma with severe liver first-pass effect, where mangiferin
was of the maximum exposure. Despite the ignorable content in the herb,
timosaponin A3 elicited sizable hepatic exposure as the microbiota-mediated
metabolite of saponins in Zhimu. The similar phenomenon also occurred to
norathyriol, the microbiota-mediated metabolite of xanthones. However, the major
prototypes in Zhimu were of limited hepatic exposure. We deduced the hepatic
collection of norathyriol, maximum circulating levels of mangiferin, and
timosaponin B2 and mangiferin interaction may directly or indirectly contribute
to the whole anti-oxidation of Zhimu, and then resisted the timosaponin A3
induced hepatotoxicity. Thus, our study exploratively interpreted the discrepancy
between herbal safety and timosaponin A3-induced hepatotoxicity. However, given
the considerable levels and slow eliminated rate of timosaponin A3 in the liver,
more attention should be paid to the safety on the continuous clinical medication
of Zhimu in the future.
PMID- 29795138
TI - Investigation of C1-complex regions reveals new C1Q variants associated with
protection from systemic lupus erythematosus, and affect its transcript
abundance.
AB - Although rare variant C1Q deficiency was identified as causative risk for
systemic lupus erythematosus (SLE), there are limited and inconsistent reports
regarding the common polymorphisms of C1Q genes in SLE susceptibility.
Furthermore, there are no reports concerning polymorphisms of C1S, C1R, and C1RL
and whether they confer susceptibility to SLE. We therefore evaluated 22 SNPs
across six C1-complex genes in two independent case-control cohorts, and
identified four novel SNPs that confer protection from SLE. The four SNPs are all
located in C1Q. Particularly, the variant rs653286 displayed an independent
reduced risk on SLE susceptibility (OR 0.75, P = 2.16 * 10-3) and anti-dsDNA
antibodies (OR 0.68, P = 0.024). By bioinformatics analysis, SNPs rs653286 and
rs291985 displayed striking cis-eQTL effects on C1Q genes expression. Individuals
homozygous for the 'protective' allele at four SNPs had significantly higher
levels of serum C1q (rs680123-rs682658: P = 0.0022; rs653286-rs291985: P =
0.0076). To our knowledge, this is the first study to demonstrate that only C1Q
polymorphisms are associated with SLE. The C1Q SNP rs653286 confers an
independent protective effect on SLE susceptibility and affects transcript
abundance.
PMID- 29795137
TI - Microfluidic cell sorting: Towards improved biocompatibility of extracorporeal
lung assist devices.
AB - Extracorporeal lung assist technology is one of the last options in critical care
medicine to treat patients suffering from severe oxygenation and decarboxylation
disorders. Platelet activation along with the consequent thrombus formation is a
potentially life-threatening complication of this technique. To avoid platelet
dependent clot formation, this study aims at developing a microfluidic cell
sorting chip that can bypass platelets prior to the membrane oxygenator of the
extracorporeal lung assist device. The cell sorting chips were produced by
maskless dip-in laser lithography, followed by soft lithography replication using
PDMS. Citrated porcine whole blood with a clinically relevant haematocrit of 17%
was used for the cell sorting experiments involving three different blood flow
rates. The joint effects of flow focusing and hydrodynamic lifting forces within
the cell sorting chip resulted in a reduction of up to 57% of the baseline
platelet count. This cell sorting strategy is suitable for the continuous and
label-free separation of red blood cells and platelets and is potentially
applicable for increasing the biocompatibility and lifetime of current
extracorporeal lung assist devices.
PMID- 29795139
TI - Docetaxel enhances lysosomal function through TFEB activation.
AB - Docetaxel is an effective and commonly used chemotherapeutic drug for cancer.
Autophagy has been reported to be involved in the anticancer mechanism of
docetaxel. However, the effect of docetaxel on lysosomal function remains
elusive. In the present study, we first found that docetaxel treatment enhances
autophagic flux in different cancer cells. Moreover, docetaxel treatment
activates lysosomal function and promotes its fusion with autophagosome. Second,
doctaxel treatment activates TFEB (transcription factor EB), a key nuclear
transcription factor in control of lysosome biogenesis and function. We found
that docetaxel promotes TFEB nuclear translocation and increases its
transcriptional activity while knockdown of TFEB impairs lysosomal activation by
docetaxel. Thirdly, TFEB activation by docetaxel is mediated by ROS (reactive
oxygen species) generation and scavenging of ROS suppresses TFEB activity and
lysosomal function in docetaxel-treated cells. Finally, inhibition of lysosomal
function leads to increased docetaxel-induced cell death, suggesting that
lysosomal activation protects against docetaxel-mediated apoptosis. Taken
together, our results provide novel insights into the regulatory mechanisms of
docetaxel on lysosomes, which could facilitate the development of novel potential
cancer therapeutic agents via lysosomal inhibition.
PMID- 29795140
TI - The microbiome in prostate inflammation and prostate cancer.
AB - BACKGROUND: The human microbiome may influence prostate cancer initiation and/or
progression through both direct and indirect interactions. To date, the majority
of studies have focused on direct interactions including the influence of
prostate infections on prostate cancer risk and, more recently, on the
composition of the urinary microbiome in relation to prostate cancer. Less well
understood are indirect interactions of the microbiome with prostate cancer, such
as the influence of the gastrointestinal or oral microbiota on pro- or anti
carcinogenic xenobiotic metabolism, and treatment response. METHODS: We review
the literature to date on direct and indirect interactions of the microbiome with
prostate inflammation and prostate cancer. RESULTS: Emerging studies indicate
that the microbiome can influence prostate inflammation in relation to benign
prostate conditions such as prostatitis/chronic pelvic pain syndrome and benign
prostatic hyperplasia, as well as in prostate cancer. We provide evidence that
the human microbiome present at multiple anatomic sites (urinary tract,
gastrointestinal tract, oral cavity, etc.) may play an important role in prostate
health and disease. CONCLUSIONS: In health, the microbiome encourages homeostasis
and helps educate the immune system. In dysbiosis, a systemic inflammatory state
may be induced, predisposing remote anatomical sites to disease, including
cancer. The microbiome's ability to affect systemic hormone levels may also be
important, particularly in a disease such as prostate cancer that is dually
affected by estrogen and androgen levels. Due to the complexity of the potential
interconnectedness between prostate cancer and the microbiome, it is vital to
further explore and understand the relationships that are involved.
PMID- 29795141
TI - PSA predicts development of incident lower urinary tract symptoms: results from
the REDUCE study.
AB - BACKGROUND: The relationship between baseline prostate-specific antigen (PSA) and
development of lower urinary tract symptoms (LUTS) in asymptomatic and mildly
symptomatic men is unclear. We sought to determine if PSA predicts incident LUTS
in these men. METHODS: A post-hoc analysis of the 4-year REDUCE study was
performed to assess for incident LUTS in 1534 men with mild to no LUTS at
baseline. The primary aim was to determine whether PSA independently predicted
incident LUTS after adjusting for the key clinical variables of age, prostate
size, and baseline International prostate symptom score (IPSS). Incident LUTS was
defined as the first report of medical treatment, surgery, or sustained
clinically significant symptoms (two IPSS >14). Cox proportional hazards,
cumulative incidence curves, and the log-rank test were used to test our
hypothesis. RESULTS: A total of 1534 men with baseline IPSS <8 were included in
the study cohort. At baseline, there were 335 men with PSA 2.5-4 ng/mL, 589 with
PSA 4.1-6 ng/mL, and 610 with PSA 6-10 ng/mL. During the 4-year study, 196 men
progressed to incident LUTS (50.5% medical treatment, 9% surgery, and 40.5% new
symptoms). As a continuous variable, higher PSA was associated with increased
incident LUTS on univariable (HR 1.09, p = 0.019) and multivariable (HR 1.08, p =
0.040) analysis. Likewise, baseline PSA 6-10 ng/mL was associated with increased
incident LUTS vs. PSA 2.5-4 ng/mL in adjusted models (HR 1.68, p = 0.016). This
association was also observed in men with PSA 4.1-6 ng/mL vs. PSA 2.5-4 ng/mL (HR
1.60, p = 0.032). CONCLUSIONS: Men with mild to no LUTS but increased baseline
PSA are at increased risk of developing incident LUTS presumed due to benign
prostatic hyperplasia.
PMID- 29795143
TI - What's the appropriate adjustment when an approved drug is in short supply?
PMID- 29795144
TI - Considering animal welfare with scientific justification in mind.
PMID- 29795142
TI - Serum cholesterol levels and tumor growth in a PTEN-null transgenic mouse model
of prostate cancer.
AB - BACKGROUND: Some, but not all, epidemiologic evidence supports a role for
cholesterol, the precursor for steroid hormone synthesis, in prostate cancer.
Using a PTEN-null transgenic mouse model of prostate cancer, we tested the effect
of modifying serum cholesterol levels on prostate tumor development and growth.
We hypothesized that serum cholesterol reduction would lower tumor androgens and
slow prostate cancer growth. METHODS: PTENloxP/loxP-Cre+ mice consuming ad
libitum high fat, high cholesterol diets (40% fat, 1.25% cholesterol) were
randomized after weaning to receive the cholesterol uptake inhibitor, ezetimibe
(30 mg/kg/day), or no intervention, and sacrificed at 2, 3, or 4 months of age.
Serum cholesterol and testosterone were measured by ELISA and intraprostatic
androgens by mass spectrometry. Prostate histology was graded, and proliferation
and apoptosis in tumor epithelium and stroma was assessed by Ki67 and TUNEL,
respectively. RESULTS: Ezetimibe-treated mice had lower serum cholesterol at 4
months (p = 0.031). Serum cholesterol was positively correlated with prostate
weight (p = 0.033) and tumor epithelial proliferation (p = 0.069), and negatively
correlated with tumor epithelial apoptosis (p = 0.004). Serum cholesterol was
unrelated to body weight (p = 0.195). Tumor stromal cell proliferation was
reduced in the ezetimibe group (p = 0.010). Increased serum cholesterol at 4
months was associated with elevated intraprostatic DHEA, testosterone, and
androstenedione (p = 0.043, p = 0.074, p = 0.031, respectively). However,
cholesterol reduction did not significantly affect adenocarcinoma development at
2, 3, or 4 months of age (0, 78, and 100% in ezetimibe-treated vs. 0, 80, and
100% in mice not receiving ezetimibe). CONCLUSIONS: Though serum cholesterol
reduction did not significantly affect the rate of adenocarcinoma development in
the PTEN-null transgenic mouse model of prostate cancer, it lowered
intraprostatic androgens and slowed tumor growth. These findings support a role
for serum cholesterol in promoting prostate cancer growth, potentially via
enhanced tumor androgen signaling, and may provide new insight into cholesterol
lowering interventions for prostate cancer treatment.
PMID- 29795145
TI - Redirecting the request to the IACUC.
PMID- 29795146
TI - Mapping brain activity to predict stress responses.
PMID- 29795147
TI - Painless pain assessments with machine learning.
PMID- 29795148
TI - These wild mice.
PMID- 29795149
TI - Freed up to fly.
PMID- 29795150
TI - An NHP for CCHF research.
PMID- 29795151
TI - Placenta problems.
PMID- 29795152
TI - Urban(e) is not necessarily better.
PMID- 29795153
TI - Novel treatment for neuropathy.
PMID- 29795154
TI - New neurological model.
PMID- 29795155
TI - June Updates: People and Places.
PMID- 29795156
TI - Extending lifespan without hunger.
PMID- 29795157
TI - Achieving the desired outcome.
PMID- 29795158
TI - The Drosophila model for microbiome research.
AB - The gut microbiome is increasingly recognized to play an important role in
shaping the health and fitness of animals, including humans. Drosophila is
emerging as a valuable model for microbiome research, combining genetic and
genomic resources with simple protocols to manipulate the microbiome, such that
microbiologically sterile flies and flies bearing a standardized microbiota can
readily be produced in large numbers. Studying Drosophila has the potential to
increase our understanding of how the microbiome influences host traits, and
allows opportunities for hypothesis testing of microbial impacts on human health.
Drosophila is being used to investigate aspects of host-microbe interactions,
including the metabolism, the immune system and behavior. Drosophila offers a
valuable alternative to rodent and other mammalian models of microbiome research
for fundamental discovery of microbiome function, enabling improved research cost
effectiveness and benefits for animal welfare.
PMID- 29795159
TI - A Word from OLAW.
PMID- 29795160
TI - Addressing administrative burden: a primer for submitting comments to the USDA
and OLAW.
PMID- 29795161
TI - Rodents move towards the Disease Ontology.
PMID- 29795163
TI - Tracking development with DNA 'scars'.
PMID- 29795164
TI - DIY goes in vivo.
PMID- 29795165
TI - Insulin resistance grows in the dark.
PMID- 29795166
TI - Publisher Correction: Using high-amplitude and focused transcranial alternating
current stimulation to entrain physiological tremor.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29795167
TI - Neuromolecular basis of faded perception associated with unreality experience.
AB - Perceptual changes in shape, size, or color are observed in patients with
derealization symptoms; however, the underlying neural and molecular mechanisms
are not well understood. The current study explored the relationship between
neural activity associated with altered colorfulness perception assessed by fMRI
and striatal dopamine D2 receptor availability measured by [11C]raclopride PET in
healthy participants. Inside an fMRI scanner, participants performed the
saturation adaptation task, where they rated how much vivid/faded visual objects
looked like real/unreal ones using a visual analog scale. We found that
participants experienced greater unreality when they perceived fadedness than
vividness despite physically identical saturation. The combined fMRI and PET
analyses revealed that the faded perception-related activities of the
dorsolateral prefrontal and parietal cortex were positively correlated with
striatal D2 receptor availability. This finding may help to understand the
neuromolecular mechanisms of faded perception associated with feeling unreal in
derealization symptoms.
PMID- 29795169
TI - Associations between time since onset of injury and participation in Dutch people
with long-term spinal cord injury.
AB - STUDY DESIGN: Multicentre cross-sectional study. OBJECTIVES: To describe
relationships between time since injury (TSI) and participation in individuals
with tetraplegia and paraplegia. SETTING: Community sample from the Netherlands
METHODS: Individuals (N = 265) aged 28-65 years, living with spinal cord injury
(SCI) for >=10 years, age at injury between 18-35 years and using a wheelchair
for everyday mobility in three TSI strata: 10-19, 20-29, and >=30 years post
injury. The Utrecht Scale for Evaluation of Rehabilitation-Participation (USER
Participation) was used, which consists of three scales: Frequency (including
subscales for Productive, Leisure, and Social activities), Restrictions, and
Satisfaction. Linear regression analyses were used to study the effect of TSI on
participation, and to adjust for personal and lesion characteristics, for
individuals with tetraplegia and paraplegia separately. RESULTS: Mean age was
48.4 years, with a mean TSI of 24 years. About 73.6% were male, 40.4% had
tetraplegia and 81.9% had a motor complete injury. In individuals with
tetraplegia (N = 107), longer TSI was independently associated with lower scores
on the Frequency scale (p = 0.025) and the subscale frequency of Leisure
activities (p = 0.004). In individuals with paraplegia (N = 158), longer TSI was
independently associated with lower scores on the subscale frequency of
Productive activities (p = 0.006). TSI was not associated with participation
Restrictions and Satisfaction with participation. CONCLUSIONS: Longer TSI is
associated with a reduced frequency of participation in individuals with long
term SCI. Interestingly, this negative association is not accompanied by a
similar association in the person's experience of participation.
PMID- 29795168
TI - Extremely stable graphene electrodes doped with macromolecular acid.
AB - Although conventional p-type doping using small molecules on graphene decreases
its sheet resistance (Rsh), it increases after exposure to ambient conditions,
and this problem has been considered as the biggest impediment to practical
application of graphene electrodes. Here, we report an extremely stable graphene
electrode doped with macromolecular acid (perfluorinated polymeric sulfonic acid
(PFSA)) as a p-type dopant. The PFSA doping on graphene provides not only ultra
high ambient stability for a very long time (> 64 days) but also high
chemical/thermal stability, which have been unattainable by doping with
conventional small-molecules. PFSA doping also greatly increases the surface
potential (~0.8 eV) of graphene, and reduces its Rsh by ~56%, which is very
important for practical applications. High-efficiency phosphorescent organic
light-emitting diodes are fabricated with the PFSA-doped graphene anode (~98.5 cd
A-1 without out-coupling structures). This work lays a solid platform for
practical application of thermally-/chemically-/air-stable graphene electrodes in
various optoelectronic devices.
PMID- 29795170
TI - A validity study of the Spanish-World Health Organization Quality of Life short
version instrument in persons with traumatic spinal cord injury.
AB - STUDY DESIGN: This was a psychometric study. OBJECTIVES: To determine the
validity of the Spanish version of the World Health Organization Quality of Life
instrument (WHOQOL-BREF) for its use in persons with traumatic spinal cord injury
and, as secondary objectives, to correlate the results with variables such as
functional status, psychological well-being, and social support. SETTING: Spinal
Cord Injury Unit, Complejo Hospitalario Universitario de A Coruna, Galicia
(Spain). METHODS: Fifty-four people with spinal cord injury were enrolled in this
study. Relevant variables were analyzed based on the scores reported by each
participant in the Spanish versions of the WHOQOL-BREF questionnaire, the Spinal
Cord Independence Measure, the Hospital Anxiety and Depression Scale (HADS), and
the Duke-UNC Functional and Social Support Questionnaire. Both parametric and non
parametric tests were used to compare various variables. The instrument's
internal consistency and test-retest reliability were also confirmed. RESULTS:
The mean scores of each domain of the WHOQOL-BREF were lower, but nonsignificant,
among people who need help to perform activities of daily living. The correlation
between the scores obtained in the "Psychological" domain and the items of the
HADS scale was significant. Significant differences were also observed when
comparing the results of the "Social relationships" and "Environment" domains
among people with low scores in the Duke questionnaire. Both an adequate
consistency (Cronbach's alpha: 0.887) and test-retest reliability were
demonstrated. CONCLUSION: The Spanish version of the WHOQOL-BREF questionnaire is
useful and reliable to evaluate the quality of life of persons with spinal cord
injuries in our population of Spanish-speaking people.
PMID- 29795171
TI - Time-dependent changes in paw carrageenan-induced inflammation above and below
the level of low thoracic spinal cord injury in rats.
AB - STUDY DESIGN: This was an animal study. OBJECTIVES: Local inflammation is
attenuated below high thoracic SCI, where innervation of major lymphoid organs is
involved. However, whether inflammatory responses are affected after low thoracic
SCI, remains undetermined. The aim of this study was to characterize the
influence of low thoracic SCI on carrageenan-induced paw swelling in intact and
paralyzed limbs, at acute and subacute stages. SETTING: University and hospital
based research center, Mexico City, Mexico. METHODS: Rats received a severe
contusive SCI at T9 spinal level or sham injury. Then, 1 and 15 days after
lesion, carrageenan or vehicle was subcutaneously injected in forelimb and
hindlimb paws. Paw swelling was measured over a 6-h period using a
plethysmometer. RESULTS: Swelling increased progressively reaching the maximum 6
h post-carrageenan injection. Swelling increase in sham-injured rats was
approximately 130% and 70% compared with baseline values of forelimbs and
hindlimbs, respectively. Paws injected with saline exhibited no measurable
swelling. Carrageenan-induced paw swelling 1-day post-SCI was suppressed in both
intact and paralyzed limbs. Fifteen days post-injury, the swelling response to
carrageenan was completely reestablished in forelimbs, whereas in hindlimbs it
remained significantly attenuated compared with sham-injured rats. CONCLUSIONS:
SCI at low spinal level affects the induced swelling response in a different way
depending on both, the neurological status of challenged regions and the stage of
injury. These findings suggest that neurological compromise of the main
immunological organs is not a prerequisite for the local swelling response to be
affected after injury.
PMID- 29795172
TI - Effects of moderate- and high-intensity aerobic training program in ambulatory
subjects with incomplete spinal cord injury-a randomized controlled trial.
AB - STUDY DESIGN: Randomized controlled trial. OBJECTIVES: To investigate if high
intensity interval training (HIIT) exhibits a higher increase in physical
capacity and activity levels compared to moderate-intensity training (MIT) and
treatment as usual. SETTING: Sunnaas Rehabilitation Hospital. METHODS: Thirty
ambulatory participants with incomplete spinal cord injury (SCI) were recruited
at discharge from inpatient rehabilitation. Two intervention groups performed a
12-week individual training program at home by walking or running, depending on
their physical ability. The MIT group was instructed to exercise three times a
week at 70% of maximal heart rate (HRmax), while the HIIT group was instructed to
exercise twice a week at 85-95% of HRmax. The control group received treatment as
usual. Pre- and post-tests consisted of maximal exercise testing on a treadmill
(peak oxygen uptake (peak VO2)), a 6-min walking test (6MWT), and 7 days of
continuously activity monitoring (total daily energy expenditure (TDEE) and daily
number of steps). RESULTS: The HIIT, MIT, and control groups showed an increase
in peak VO2 from pre- to post-test. However, no between-group difference in
physical capacity (peak VO2 and 6MWT) and physical activity levels (TDEE and
daily number of steps) were found between these groups. CONCLUSIONS: Performing
HIIT did not exhibit a greater increase in physical capacity and activity levels
than performing MIT or "treatment as usual" in ambulatory participants with SCI.
Further studies are needed to elucidate both short- and long-term effects of HIIT
and MIT in this SCI subpopulation.
PMID- 29795174
TI - Borderline personality disorder.
PMID- 29795173
TI - Development of an International Canine Spinal Cord Injury observational registry:
a collaborative data-sharing network to optimize translational studies of SCI.
AB - STUDY DESIGN: Prospective cross-sectional cohort study. OBJECTIVES: The canine
spontaneous model of spinal cord injury (SCI) is as an important pre-clinical
platform as it recapitulates key facets of human injury in a naturally occurring
context. The establishment of an observational canine SCI registry constitutes a
key step in performing epidemiologic studies and assessing the impact of
therapeutic strategies to enhance translational research. Further, accumulating
information on dogs with SCI may contribute to current "big data" approaches to
enhance understanding of the disease using heterogeneous multi-institutional,
multi-species datasets from both pre-clinical and human studies. SETTING:
Multiple veterinary academic institutions across the United States and Europe.
METHODS: Common data elements recommended for experimental and human SCI studies
were reviewed and adapted for use in a web-based registry, to which all dogs
presenting to member veterinary tertiary care facilities were prospectively
entered over ~1 year. RESULTS: Analysis of data accumulated during the first year
of the registry suggests that 16% of dogs with SCI present with severe,
sensorimotor-complete injury and that 15% of cases are seen by a tertiary care
facility within 8 h of injury. Similar to the human SCI population, 34% were
either overweight or obese. CONCLUSIONS: Severity of injury and timing of
presentation suggests that neuroprotective studies using the canine clinical
model could be conducted efficiently using a multi-institutional approach.
Additionally, pet dogs with SCI experience similar comorbidities to people with
SCI, in particular obesity, and could serve as an important model to evaluate the
effects of this condition.
PMID- 29795175
TI - Monitoring of asparagine depletion and anti-L-asparaginase antibodies in adult
acute lymphoblastic leukemia treated in the pediatric-inspired GRAALL-2005 trial.
PMID- 29795176
TI - Reflective grating-coupled structure improves the detection efficiency of THz
array detectors.
AB - A reflective grating-coupled structure on the silicon substrate was designed to
improve the detection efficiency of terahertz detectors for the frequency ranging
from 0.26 THz to 0.36 THz. By using finite difference time domain (FDTD)
solutions, the simulation and optimized design of the grating-coupled structure
were carried out. The results showed that the signal was effectively reflected
and diffracted by the reflective grating-coupled structure which significantly
enhanced the electric field in the place of the detector. The maximum electric
field can be increased by 2.8 times than that of the Fabry-Perot resonator. To
verify the design results, the reflective grating-coupled structure was applied
in the preparation of the Nb5N6 array detector chip and compared with the Nb5N6
array detector chip with the F-P resonator. The results showed that the maximum
voltage responsivity of the Nb5N6 detector with the reflective grating-coupled
structure was 2 times larger than the Nb5N6 detector with the F-P resonator. It
indicates that the reflective grating-coupled structure can efficiently improve
the detection efficiency of THz detectors.
PMID- 29795177
TI - Kondo effect and enhanced magnetic properties in gadolinium functionalized carbon
nanotube supramolecular complex.
AB - We report on the enhancement of magnetic properties of multiwalled carbon
nanotubes (MWNTs) functionalized with a gadolinium based supramolecular complex.
By employing a newly developed synthesis technique we find that the
functionalization method of the nanocomposite enhances the strength of magnetic
interaction leading to a large effective moment of 15.79 uB and non
superparamagnetic behaviour unlike what has been previously reported. Saturating
resistance at low temperatures is fitted with the numerical renormalization group
formula verifying the Kondo effect for magnetic impurities on a metallic electron
system. Magnetoresistance shows devices fabricated from aligned gadolinium
functionalized MWNTs (Gd-Fctn-MWNTs) exhibit spin-valve switching behaviour of up
to 8%. This study highlights the possibility of enhancing magnetic interactions
in carbon systems through chemical modification, moreover we demonstrate the rich
physics that might be useful for developing spin based quantum computing elements
based on one-dimensional (1D) channels.
PMID- 29795179
TI - Evolutionary genetics of personality in the Trinidadian guppy II: sexual
dimorphism and genotype-by-sex interactions.
AB - Sexual dimorphism in behaviour and personality has been identified in a number of
species, but few studies have assessed the extent of shared genetic architecture
across the sexes. Under sexually antagonistic selection, mechanisms are expected
to evolve that reduce evolutionary conflict, resulting in genotype-by-sex (GxS)
interactions. Here we assess the extent of sexual dimorphism in four risk-taking
behaviour traits in the Trinidadian guppy, Poecilia reticulata, and apply a
multivariate approach to test for GxS interactions. We also quantify the among
individual and genetic covariances between personality and size and growth, which
are known a priori to differ between the sexes. We found significant sexual
dimorphism in three of the four behaviours, although rmf between sex-specific
homologous traits was significantly <+1 for only one behaviour. Using
multivariate models, we then estimated sex-specific genetic (co)variance matrices
(G m and G f ) and tested for asymmetry of the cross-trait cross-sex genetic
covariance structure (submatrix B). While G m and G f were not significantly
different from each other overall, their respective leading eigenvectors were
poorly aligned. Statistical support for asymmetry in B was found, but limited to
a single trait pair for which the cross-sex covariances differed (i.e., COVA(m,f)
? COVA(f,m)). Thus, while single- and multi-trait perspectives evidence some GxS,
the overall picture is one of similarity between the sexes in their genetic
(co)variance structures. Our results suggest behavioural traits related to risk
taking may lack the sex-specific genetic architecture for further dimorphism to
evolve under what is hypothesised to be antagonistic selection.
PMID- 29795178
TI - Silicon-oriented regio- and enantioselective rhodium-catalyzed hydroformylation.
AB - Hydroformylation of 1,2-disubstituted alkenes usually occurs at the alpha
position of the directing heteroatom such as oxygen atom and nitrogen atom. By
contrast, to achieve hydroformylation on the beta position of the heteroatom is a
tough task. Herein, we report the asymmetric rhodium-catalyzed hydroformylation
of 1,2-disubstituted alkenylsilanes with excellent regioselectivity at the beta
position (relative to the silicon heteroatom) and enantioselectivity. In a
synthetic sense, we achieve the asymmetric hydroformylation on the beta position
of the oxygen atom indirectly by using the silicon group as a surrogate for the
hydroxyl. Density functional theory (DFT) calculations are carried out to examine
energetics of the whole reaction path for Rh/YanPhos-catalyzed asymmetric
hydroformylation and understand its regioselectivity and enantioselectivity. Our
computational study suggests that the silicon group can activate the substrate
and is critical for the regioselectivity.
PMID- 29795180
TI - Out of Africa: demographic and colonization history of the Algerian mouse (Mus
spretus Lataste).
AB - North Africa is now recognized as a major area for the emergence and dispersal of
anatomically modern humans from at least 315 kya. The Mediterranean Basin is thus
particularly suited to study the role of climate versus human-mediated changes on
the evolutionary history of species. The Algerian mouse (Mus spretus Lataste) is
an endemic species from this basin, with its distribution restricted to North
Africa (from Libya to Morocco), Iberian Peninsula and South of France. A rich
paleontological record of M. spretus exists in North Africa, suggesting
hypotheses concerning colonization pathways, and the demographic and morphologic
history of this species. Here we combined genetic (3 mitochondrial DNA loci and
18 microsatellites) and climatic niche modeling data to infer the evolutionary
history of the Algerian mouse. We collected 646 new individuals in 51 localities.
Our results are consistent with an anthropogenic translocation of the Algerian
mouse from North Africa to the Iberian Peninsula via Neolithic navigators,
probably from the Tingitane Peninsula. Once arrived in Spain, suitable climatic
conditions would then have favored the dispersion of the Algerian mice to France.
The morphological differentiation observed between Spanish, French and North
African populations could be explained by a founder effect and possibly local
adaptation. This article helps to better understand the role of climate versus
human-mediated changes on the evolutionary history of mammal species in the
Mediterranean Basin.
PMID- 29795181
TI - Low doses of bioherbicide favour prion aggregation and propagation in vivo.
AB - Public concerns over the use of synthetic pesticides are growing since many
studies have shown their impact on human health. A new environmental movement in
occidental countries promoting an organic agriculture favours the rebirth of
botanical pesticides. These products confer an effective alternative to chemical
pesticides such as glyphosate. Among the biopesticides, the alpha-terthienyls
found in the roots of Tagetes species, are powerful broad-spectrum pesticides. We
found that an alpha-terthienyl analogue with herbicidal properties, called A6,
triggers resistant SDS oligomers of the pathogenic prion protein PrPSc (rSDS
PrPSc) in cells. Our main question is to determine if we can induce those rSDS
PrPSc oligomers in vitro and in vivo, and their impact on prion aggregation and
propagation. Using wild-type mice challenged with prions, we showed that A6
accelerates or slows down prion disease depending on the concentration used. At 5
mg/kg, A6 is worsening the pathology with a faster accumulation of PrPSc,
reminiscent to soluble toxic rSDS-PrPSc oligomers. In contrast, at 10 and 20
mg/kg of A6, prion disease occurred later, with less PrPSc deposits and with rSDS
PrPSc oligomers in the brain reminiscent to non-toxic aggregates. Our results are
bringing new openings regarding the impact of biopesticides in prion and prion
like diseases.
PMID- 29795183
TI - Author Correction: Transcriptome profiling of mouse colonic eosinophils reveals a
key role for eosinophils in the induction of s100a8 and s100a9 in mucosal
healing.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29795182
TI - Conserved RNA binding activity of a Yin-Yang 1 homologue in the ova of the purple
sea urchin Strongylocentrotus purpuratus.
AB - Yin-Yang 1 (YY1) is a highly conserved transcription factor possessing RNA
binding activity. A putative YY1 homologue was previously identified in the
developmental model organism Strongylocentrotus purpuratus (the purple sea
urchin) by genomic sequencing. We identified a high degree of sequence similarity
with YY1 homologues of vertebrate origin which shared 100% protein sequence
identity over the DNA- and RNA-binding zinc-finger region with high similarity in
the N-terminal transcriptional activation domain. SpYY1 demonstrated identical
DNA- and RNA-binding characteristics between Xenopus laevis and S. purpuratus
indicating that it maintains similar functional and biochemical properties across
widely divergent deuterostome species. SpYY1 binds to the consensus YY1 DNA
element, and also to U-rich RNA sequences. Although we detected SpYY1 RNA-binding
activity in ova lysates and observed cytoplasmic localization, SpYY1 was not
associated with maternal mRNA in ova. SpYY1 expressed in Xenopus oocytes was
excluded from the nucleus and associated with maternally expressed cytoplasmic
mRNA molecules. These data demonstrate the existence of an YY1 homologue in S.
purpuratus with similar structural and biochemical features to those of the well
studied vertebrate YY1; however, the data reveal major differences in the
biological role of YY1 in the regulation of maternally expressed mRNA in the two
species.
PMID- 29795184
TI - Affinity kinetics of leptin-reactive immunoglobulins are associated with plasma
leptin and markers of obesity and diabetes.
AB - Obese subjects display elevated plasma levels of leptin reflecting the phenomenon
of leptin resistance. Here, we aimed to determine whether leptin-reactive
immunoglobulins (Ig) are present in obese and type 2 diabetes (T2D) patients and
whether their plasma levels and affinity kinetics may correlate with obesity and
diabetes markers. We show that leptin levels are increased in obese patients with
and without T2D. Although mean plasma levels of leptin-reactive IgG were similar
between study groups, IgG in obese non-diabetic patients had increased
dissociation rate and lower affinity (increased dissociation equilibrium constant
value; KD). In controls and diabetic patients, the association rates of leptin
IgG correlated negatively with obesity and diabetes markers, respectively. In
contrast, KD values correlated positively with plasma leptin levels and obesity
traits in our cohort, and with diabetes markers in both the total cohort and in
the obese T2D group. Taken together, our data reveal that leptin-reactive IgG are
present in healthy subjects, obese, and diabetic patients but display altered
affinity kinetics in obesity. Increased IgG binding to leptin in healthy subjects
associated with lower body mass index (BMI) suggests an enhancing role of IgG in
leptin signaling. Accordingly, a decreased affinity of IgG for leptin, found in
obese patients, can be relevant to leptin resistance.
PMID- 29795185
TI - Regulation of filial imprinting and structural plasticity by mTORC1 in newborn
chickens.
AB - Dysregulation of the mechanistic target of rapamycin complex 1 (mTORC1) signaling
leads to memory deficits and abnormal social behaviors in adults. However,
whether mTORC1 is involved in critical periods of early learning remains largely
unexplored. Our study addressed this question by investigating imprinting, a form
of learning constrained to a sensitive period that supports filial attachment, in
newborn chickens. Imprinting to virtual objects and sounds was assessed after
acute manipulations of mTORC1. To further understand the role of mTORC1 during
the critical period, structural plasticity was analyzed using DiOlistic labeling
of dendritic spines. We found that mTORC1 is required for the emergence of
experience-dependent preferences and structural plasticity within brain regions
controlling behavior. Furthermore, upon critical period closure, pharmacological
activation of the AKT/mTORC1 pathway was sufficient to rescue imprinting across
sensory modalities. Thus, our results uncover a novel role of mTORC1 in the
formation of imprinted memories and experience-dependent reorganization of neural
circuits during a critical period.
PMID- 29795188
TI - Retinopathy prevented by altering the microbiota.
PMID- 29795186
TI - Protein polarization driven by nucleoid exclusion of DnaK(HSP70)-substrate
complexes.
AB - Many bacterial proteins require specific subcellular localization for function.
How Escherichia coli proteins localize at one pole, however, is still not
understood. Here, we show that the DnaK (HSP70) chaperone controls unipolar
localization of the Shigella IpaC type III secretion substrate. While preventing
the formation of lethal IpaC aggregates, DnaK promoted the incorporation of IpaC
into large and dynamic complexes (LDCs) restricted at the bacterial pole through
nucleoid occlusion. Unlike stable polymers and aggregates, LDCs show dynamic
behavior indicating that nucleoid occlusion also applies to complexes formed
through transient interactions. Fluorescence recovery after photobleaching
analysis shows DnaK-IpaC exchanges between opposite poles and DnaKJE-mediated
incorporation of immature substrates in LDCs. These findings reveal a key role
for LDCs as reservoirs of functional DnaK-substrates that can be rapidly
mobilized for secretion triggered upon bacterial contact with host cells.
PMID- 29795187
TI - Vitamin D Metabolism-Related Gene Haplotypes and Their Association with Metabolic
Disturbances Among African-American Urban Adults.
AB - Epidemiological studies have confirmed associations of the vitamin D receptor
(VDR) and vitamin D-related gene polymorphisms with adiposity and other metabolic
disturbances. Those associations may be sex-specific. We evaluated the cross
sectional and longitudinal relationships between metabolic disturbances and
haplotypes constructed from single nucleotide polymorphisms of VDR (BsmI:G/A:
rs1544410; ApaI:A/C: rs7975232; and TaqI:G/A: rs731236) and MEGALIN
(rs3755166:G/A; rs2075252:C/T and rs2228171:C/T) genes, in a sample of African
American adults. From 1,024 African Americans participating in the Healthy Aging
in Neighborhoods of Diversity across the Life Span (HANDLS, 2004-2013, Baltimore,
MD), our analyses included 539 participants with complete genetic, baseline
covariate and metabolic outcome data (at baseline and follow-up). Mean +/- SD
period of follow-up was 4.64 +/- 0.93 y. Multivariable-adjusted Cox proportional
hazards and logistic regression models were conducted. Among key findings, in
men, incident hypertension was inversely related to MEGALIN1 (GCC), [HR = 0.45,
95% CI: 0.23-0.90, p = 0.024]. Overall, there was a direct, linear dose-response
association between VDR2 (AAG: BAt) and MetS at baseline [OR = 1.60, 95% CI: 1.11
2.31, p = 0.012], while among men, VDR3 (GAA: bAT) was inversely related to
baseline MetS [OR = 0.40, 95% CI: 0.19-0.81, p = 0.011]. In conclusion, VDR and
MEGALIN gene variations can affect prevalent MetS and the incidence rate of
hypertension, respectively, among African-American urban adults.
PMID- 29795189
TI - Fetal growth and incidence of atopic dermatitis in early childhood: Results of
the Ulm SPATZ Health Study.
AB - Fetal growth may be a precursory factor in observed association between
birthweight and atopic dermatitis (AD), however, recent studies utilizing fetal
ultrasound-based data have reported contradictory results. This study aims to
clarify previous findings through comprehensive investigation of association
between several trimester-specific ultrasound-based anthropometric measures with
AD diagnosis by age 3 years. Measurements of 386 newborns in the Ulm SPATZ Health
Study were converted into adjusted z-scores categorized as "low" (<=1 SD below
mean), "normal," or "high" (>=1 SD above mean). AD cases were defined using
parent- or pediatrician-report of physician-diagnosis or clinical diagnosis.
Adjusted risk ratios (RR) with 95% confidence intervals (95% CI) were calculated
using modified Poisson regression. Compared to normal, both low and high 2nd
trimester abdominal circumference [RR 1.51, (95% CI 1.01; 2.24) and 1.83 (1.21;
2.76)], high 2nd trimester head- abdominal circumference ratio [1.69 (1.16;
2.48)], and faltering 2nd to 3rd trimester [1.59 (1.04; 2.43)] head circumference
were associated with greater AD risk. High 3rd trimester femur length [0.54
(0.31; 0.94)] was associated with lower risk. Using more inclusive exposure cut
points (0.8 SD), lower 1st trimester crown-rump length was also associated with
greater AD risk. Our data suggest several different patterns of fetal growth may
be differentially associated with AD.
PMID- 29795190
TI - Renal inhibition of miR-181a ameliorates 5-fluorouracil-induced mesangial cell
apoptosis and nephrotoxicity.
AB - The development of nephrotoxicity largely limits the clinical use of
chemotherapy. MiRNAs are able to target various genes and involved in the
regulation of diverse cellular processes, including cell apoptosis and death. Our
study showed that miR-181a expression was significantly increased after 5
fluorouracil (5-FU) treatment in renal mesangial cells and kidney tissue, which
was associated with decreased baculoviral inhibition of apoptosis protein repeat
containing 6 (BIRC6) expression and increased apoptotic rate. Enforced miR-181a
expression enhanced 5-FU-induced p53-dependent mitochondrial apoptosis, including
declined Bcl-2/Bax ratio, loss of mitochondrial membrane potential, cytochrome c
release, and caspase-9 and caspase-3 activation. However, inhibition of miR-181a
was associated with reduced p53-mediated mitochondrial apoptosis induced by 5-FU.
Moreover, miR-181a increased BIRC6 downstream gene p53 protein expression and
transcriptional activity by reducing ubiquitin-mediated protein degradation. We
found that miR-181a directly targeted 3'-UTR of BIRC6 mRNA and negatively
regulated BIRC6 expression. In vivo study, knockdown of miR-181a with adeno
associated virus harboring miR-181a-tough decoy attenuated 5-FU-induced renal
cell apoptosis, inflammation and kidney injury. In conclusion, these results
demonstrate that miR-181a increases p53 protein expression and transcriptional
activity by targeting BIRC6 and promotes 5-FU-induced apoptosis in mesangial
cells. Inhibition of miR-181a ameliorates 5-FU-induced nephrotoxicity, suggesting
that miR-181a may be a novel therapeutic target for nephrotoxicity treatment
during chemotherapy.
PMID- 29795191
TI - The rice blast resistance gene Ptr encodes an atypical protein required for broad
spectrum disease resistance.
AB - Plant resistance genes typically encode proteins with nucleotide binding site
leucine rich repeat (NLR) domains. Here we show that Ptr is an atypical
resistance gene encoding a protein with four Armadillo repeats. Ptr is required
for broad-spectrum blast resistance mediated by the NLR R gene Pi-ta and by the
associated R gene Pi-ta2. Ptr is expressed constitutively and encodes two
isoforms that are mainly localized in the cytoplasm. A two base pair deletion
within the Ptr coding region in the fast neutron-generated mutant line M2354
creates a truncated protein, resulting in susceptibility to M. oryzae. Targeted
mutation of Ptr in a resistant cultivar using CRISPR/Cas9 leads to blast
susceptibility, further confirming its resistance function. The cloning of Ptr
may aid in the development of broad spectrum blast resistant rice.
PMID- 29795192
TI - Dopamine D2 -141C Ins/Del and Taq1A polymorphisms, body mass index, and
prediction error brain response.
AB - The prediction error model is a widely used paradigm that is conceptually based
on neuronal dopamine function. However, whether dopamine receptor gene alleles
contribute to human neuroimaging prediction error results is uncertain. Recent
research implicated the dopamine D2 receptor in behavior response during a
prediction error paradigm and we expected that polymorphisms of that receptor
would contribute to prediction error brain response. In this study, healthy
female participants in the early follicular phase of the menstrual cycle
underwent a taste prediction error paradigm during functional magnetic resonance
imaging. Participants were also genotyped for dopamine receptor polymorphisms.
Our data suggest that the dopamine D2 receptor -141C Ins/Del and Taq1A
polymorphisms together with body mass index selectively explain putamen
prediction error response. This was true using a region of interest analysis as
well as for a whole-brain analysis (FWE corrected). Polymorphisms for dopamine D1
or D4 receptors, dopamine transporter, or COMT did not significantly contribute
to prediction error activation. The prediction error model is a computational
reward-learning paradigm that is important in psychiatric research and has been
associated with dopamine. The results from this study indicate that dopamine D2
receptor polymorphisms together with body mass index are important determinants
to include in research that tests prediction error response of the brain.
Psychiatric disorders are frequently associated with elevated or reduced body
weight. Adding BMI to genetic information in brain-imaging studies that use
reward and the prediction error paradigm may be important to increase validity
and reliability of results.
PMID- 29795196
TI - Identifying children with Special Health Care Needs in Alexandria, Egypt.
AB - BACKGROUND: In developing countries, obtaining reliable prevalence rates for
children with special health care needs (CSHCN) is challenging. One of the tools
used to identify CSHCN is the CSHCN Screener (not available in Arabic). The aim
is to test the feasibility of using an Arabic version of CSHCN screener in
identifying CSHCN in the Egyptian setup and to estimate their prevalence in
Alexandria. METHODS: A community-based survey was conducted among a
representative sample of children using a multistage cluster sampling technique.
A pre-designed interviewing questionnaire and the Arabic translation of CSHCN
screener were used. RESULTS: The prevalence of CSHCN was 12.2% and 91.8% of them
had the three domains of health care needs. The most prevalent conditions
requiring special health care were sensory and cognitive impairments and impaired
mobility. Type of family was the only significant factor associated with special
health care needs. CONCLUSION: It is concluded that the screener can easily be
used and help in identifying the majority of children that need to be the focus
of the National health care services. It is recommended that the school health
services add the screener to assist depicting the existing gaps in the health
care system.
PMID- 29795193
TI - Longitudinal and cross-sectional investigations of long-term potentiation-like
cortical plasticity in bipolar disorder type II and healthy individuals.
AB - Visual evoked potential (VEP) plasticity is a promising assay for noninvasive
examination of long-term potentiation (LTP)-like synaptic processes in the
cerebral cortex. We conducted longitudinal and cross-sectional investigations of
VEP plasticity in controls and individuals with bipolar disorder (BD) type II.
VEP plasticity was assessed at baseline, as described previously (Elvsashagen et
al. Biol Psychiatry 2012), and 2.2 years later, at follow-up. The longitudinal
sample with VEP data from both time points comprised 29 controls and 16 patients.
VEP data were available from 13 additional patients at follow-up (total n = 58).
VEPs were evoked by checkerboard reversals in two premodulation blocks before and
six blocks after a plasticity-inducing block of prolonged (10 min) visual
stimulation. VEP plasticity was computed by subtracting premodulation VEP
amplitudes from postmodulation amplitudes. Saliva samples for cortisol analysis
were collected immediately after awakening in the morning, 30 min later, and at
12:30 PM, at follow-up. We found reduced VEP plasticity in BD type II, that
impaired plasticity was present in the euthymic phases of the illness, and that
VEP plasticity correlated negatively with depression severity. There was a
positive association between VEP plasticity and saliva cortisol in controls,
possibly reflecting an inverted U-shaped relationship between cortisol and
synaptic plasticity. VEP plasticity exhibited moderate temporal stability over a
period of 2.2 years. The present study provides additional evidence for impaired
LTP-like cortical plasticity in BD type II. VEP plasticity is an accessible
method, which may help elucidate the pathophysiological and clinical significance
of synaptic dysfunction in psychiatric disorders.
PMID- 29795197
TI - Prospective plasma proteome changes in preterm infants with different gestational
ages.
AB - BACKGROUND: In this study, we aimed to analyze time-resolved plasma proteome
changes in preterm neonates stratified by their gestational age to detect
malfunctioning pathways that derive from the systemic immaturity of the neonate
and to highlight those that are differentially regulated during the early
development. METHODS: Preterm newborns were enrolled in three subgroups with
different gestational ages: before 26 weeks of gestation (group 1), between 27
and 28 weeks of gestation (group 2), and between 29 and 30 (group 3) weeks of
gestation. Plasma protein abundances were assessed at two time points (at preterm
delivery and at the 36th week of post-menstrual age) by quantitative proteomics.
RESULT: The quantitative analysis of plasma proteome in preterm infants revealed
a multitude of time-related differences in protein abundances between the studied
groups. We report protein changes in several functional domains, including
inflammatory domains, immunomodulatory factors, and coagulation regulators as key
features, with important gestational age-dependent hemopexin induction.
CONCLUSION: The global trend emerging from our data, which can collectively be
interpreted as a progression toward recovery from the perinatal perturbations,
highlights the profound impact of gestation duration on the ability to bridge the
gap in systemic homeostasis after preterm labor.
PMID- 29795194
TI - Postnatal DNA demethylation and its role in tissue maturation.
AB - Development in mammals is accompanied by specific de novo and demethylation
events that are thought to stabilize differentiated cell phenotypes. We
demonstrate that a large percentage of the tissue-specific methylation pattern is
generated postnatally. Demethylation in the liver is observed in thousands of
enhancer-like sequences associated with genes that undergo activation during the
first few weeks of life. Using. conditional gene ablation strategy we show that
the removal of these methyl groups is stable and necessary for assuring proper
hepatocyte gene expression and function through its effect on chromatin
accessibility. These postnatal changes in methylation come about through exposure
to hormone signaling. These results define the molecular rules of 5-methyl
cytosine regulation as an epigenetic mechanism underlying cellular responses to.
changing environment.
PMID- 29795195
TI - Combining laser capture microdissection and proteomics reveals an active
translation machinery controlling invadosome formation.
AB - Invadosomes are F-actin-based structures involved in extracellular matrix
degradation, cell invasion, and metastasis formation. Analyzing their proteome is
crucial to decipher their molecular composition, to understand their mechanisms,
and to find specific elements to target them. However, the specific analysis of
invadosomes is challenging, because it is difficult to maintain their integrity
during isolation. In addition, classical purification methods often suffer from
contaminations, which may impair data validation. To ensure the specific
identification of invadosome components, we here develop a method that combines
laser microdissection and mass spectrometry, enabling the analysis of subcellular
structures in their native state based on low amounts of input material. Using
this combinatorial method, we show that invadosomes contain specific components
of the translational machinery, in addition to known marker proteins. Moreover,
functional validation reveals that protein translation activity is an inherent
property of invadosomes, which is required to maintain invadosome structure and
activity.
PMID- 29795198
TI - The association of ambient PM2.5 with school absence and symptoms in
schoolchildren: a panel study.
AB - BACKGROUND: Children are a susceptible population to exposure of ambient fine
particulate air pollution (PM2.5), and the associated symptoms are sensitive
prevalent indicators of morbidity. However, few studies to date investigate the
association between PM2.5 exposure and school absence and symptoms. METHODS: In a
panel study including 20,291 observations in 615 schoolchildren 8-13 years of
age, we asked the participants to record their school absence and symptoms on
every school day from 17 November to 31 December 2014 in Jinan, China. We used
the generalized linear mixed effects models to examine the adverse effects of
ambient PM2.5 on school absence and symptoms, adjusting for covariates including
meteorological and individual factors. RESULTS: The 3-day moving average of PM2.5
was significantly associated with school absence (1.37; 95% CI: 1.07-1.74) and
increases in symptoms of the throat (1.03; 95% CI: 1.00-1.05), nose (1.03; 95%
CI: 1.01-1.06), and skin (1.09; 95% CI: 1.06-1.12). High PM2.5 exposure also
increased the risks of individual symptoms, especially for cough (1.02; 95% CI:
1.00-1.04), sneezing (1.03; 95% CI: 1.00-1.07), and stuffy nose (1.09; 95% CI:
1.02-1.17). CONCLUSION: High PM2.5 exposure is a risk factor for the health of
schoolchildren. Allocation of medical resources for children should take into
account the ambient PM2.5 concentrations and be proportioned accordingly.
PMID- 29795199
TI - The interrelationship between hepcidin, vitamin D, and anemia in children with
acute infectious disease.
AB - BACKGROUND: Hepcidin is a master regulator of iron metabolism. Recently, it has
been shown that vitamin D suppresses hepcidin expression. Our hypothesis was that
hepcidin levels inversely correlate with vitamin D levels in anemic children
during acute infection. METHODS: A prospective study was performed on 90 patients
(45 females, 45 males, mean age 7.3 +/- 5 years) who were admitted to the
pediatric ward. Sixty-two patients had infectious disease (32 with coexisting
anemia, 30 without anemia), and 28 patients were hospitalized for noninfectious
causes. Blood samples for IL-6, hepcidin, iron status parameters, and 25
hydroxyvitamin D (25-OHD) were obtained within 72 h after admission. RESULTS:
Serum concentrations of IL-6 and hepcidin were significantly higher and 25-OHD,
iron, and transferrin were significantly lower in anemic children with infectious
disease compared with controls. Children with a serum 25-OHD level < 20 ng/ml had
significantly increased odds of having anemia than those with a level > 20 ng/ml
(OR: 6.1, CI: 1.15-32.76). Correlation analyses found positive associations
between hepcidin levels and ferritin (R2 = 0.47, P < 0.001) and negative
associations between hepcidin and transferrin (R2 = 0.57, P < 0.001). CONCLUSION:
Higher IL-6 and lower 25-OHD levels may lead to higher hepcidin levels and
subsequently to hypoferremia and anemia in children with acute infection.
PMID- 29795200
TI - Gastrointestinal pathogens in anti-FH antibody positive and negative Hemolytic
Uremic Syndrome.
AB - BACKGROUND: Prodromal symptoms are frequently reported in the atypical form of
Hemolytic uremic syndrome (aHUS) suggesting implication of infectious triggers.
Some pathogens may also play a role in the mechanisms of production of
autoantibody directed against Factor H (FH), a complement regulator, leading to
aHUS. METHODS: The presence of 15 gastrointestinal (GI) pathogens was
investigated by using xTAG-based multiplex PCR techniques on stools collected at
the acute phase in a cohort of Indian HUS children classified according to the
presence or absence of anti-FH autoantibodies. RESULTS: Prevalence of pathogens
in patients with anti-FH antibody (62.5%) was twice that in those without
(31.5%). Different pathogens were detected, the most frequent being Clostridium
difficile, Giardia intestinalis, Salmonella, Shigella, Rotavirus, Norovirus and
Entamoeba histolytica. No stool was positive for Shigatoxin. CONCLUSION: This
study reveals a higher prevalence of GI pathogens in anti-FH positive than in
negative patients. No single pathogen was implicated exclusively in one form of
HUS. These pathogens may play a role in the disease initiation by inducing
complement activation or an autoimmune response.
PMID- 29795201
TI - Characterization and origin of heme precursors in amniotic fluid: lessons from
normal and pathological pregnancies.
AB - BACKGROUND: Heme is the prosthetic group of numerous proteins involved in vital
processes such as oxygen transport, oxidative stress, and energetic mitochondrial
metabolism. Free heme also plays a significant role at early stages of
development and in cell differentiation processes. The metabolism of heme by the
fetal placenta unit is not well-established in humans. METHODS: In a
retrospective study, we measured heme precursors in the amniotic fluid (AF) of 51
healthy women, and 10 AF samples from pregnancies with either upper or lower
intestinal atresia or ileus were also analyzed. RESULTS: We showed that the
porphyrin precursors aminolevulinic acid, porphobilinogen, and protoporphyrin IX
are present at the limit of detection in the AF. Total porphyrin levels decreased
progressively from week 13 to week 33 (p < 0.01). Interestingly, uroporphyrin,
initially detected as traces, increased with maturation, in contrast to
coproporphyrin. Uro- and coproporphyrins were type I immature isomers (>90%),
suggesting a lack of maturity in the fetal compartment of the heme pathway.
Finally, the differential analysis of AF from normal and pathological pregnancies
demonstrated the predominant hepatic origin of fetal porphyrins excreted in the
AF. CONCLUSION: This study gives the first insight into heme metabolism in the AF
during normal and pathological pregnancies.
PMID- 29795202
TI - Perspectives from the Society for Pediatric Research: interventions targeting
social needs in pediatric clinical care.
AB - The social determinants of health (SDoH) are defined by the World Health
Organization as the "conditions in which people are born, grow, live, work, and
age." Within pediatrics, studies have highlighted links between these underlying
social, economic, and environmental conditions, and a range of health outcomes
related to both acute and chronic disease. Additionally, within the adult
literature, multiple studies have shown significant links between social problems
experienced during childhood and "adult diseases" such as diabetes mellitus and
hypertension. A variety of potential mechanisms for such links have been explored
including differential access to care, exposure to carcinogens and pathogens,
health-affecting behaviors, and physiologic responses to allostatic load (i.e.,
toxic stress). This robust literature supports the importance of the SDoH and the
development and evaluation of social needs interventions. These interventions are
also driven by evolving economic realities, most importantly, the shift from fee
for-service to value-based payment models. This article reviews existing evidence
regarding pediatric-focused clinical interventions that address the SDoH, those
that target basic needs such as food insecurity, housing insecurity, and
diminished access to care. The paper summarizes common challenges encountered in
the evaluation of such interventions. Finally, the paper concludes by introducing
key opportunities for future inquiry.
PMID- 29795203
TI - Backpack weight and back pain reduction: effect of an intervention in
adolescents.
AB - BACKGROUND: To assess if an educational intervention is effective to reduce
backpack weight and back pain in schoolchildren. METHODS: We designed an
intervention study in schoolchildren aged between 12 and 16 years aimed to reduce
the weight of backpacks and back pain. The intervention was multifaceted,
including an educational intervention with practical examples, advising on
performing sports, postural habits, leaflets, stickers, and so on. The comparison
group did not receive any intervention. RESULTS: A total of 1668 schoolchildren
took part in the study. We observed a high prevalence of carrying heavy
backpacks, with 66-80% of schoolchildren carrying backpacks surpassing 10% of
their body weight. Back pain prevalence was 30%. We observed that the
intervention was significant in reducing the backpack weight in first-year
schoolchildren but not in second-year. The intervention was also significant in
reducing back pain in third-year schoolchildren but only in girls. CONCLUSION:
This study shows that an inexpensive intervention directed to reduce the backpack
weight and back pain might have a positive effect in schoolchildren.
PMID- 29795204
TI - Neonatal sepsis leads to early rise of rare serum bile acid tauro-omega
muricholic acid (TOMCA).
AB - BACKGROUND: We investigated 'rare' bile acids (BA) as potential markers in septic
neonates. METHODS: 'Rare' (C-6 hydroxylated BA) and 'classical' BA were
determined in 102 neonates using high-performance liquid chromatography-high
resolution mass spectrometry (HPLC-HRMS). Four groups according to maturity (full
term, FT vs. preterm, PT) and septic status (early-onset neonatal sepsis, EOS vs.
CTR; non-septic controls) were formed: FT-CTR; (n = 47), PT-CTR (n = 22), FT-EOS
(n = 20), PT-EOS (n = 13). RESULTS: Firstly, FT-CTR had a significant higher
amount of 'rare' BA than PT (FT-CTR: 0.5 umol/L, IQR: 0.3-1.3 vs. PT-CTR: 0.01
umol/L, IQR 0.01-0.2; p < 0.01). The most common 'rare' BA in FT-CTR were tauro
gamma- (TGMCA) and tauro-alpha-muricholic acid (TAMCA). Secondly, in EOS,
absolute 'rare' BA levels were comparable in both gestational age groups (FT-EOS:
0.6 umol/L, IQR: 0.1-1.6 and PT-EOS: 0.6 umol/L, IQR: 0.2-1.5). Therefore, EOS
had significantly higher median 'rare' BA values than non-septic PT neonates (p <
0.01). In PT and term neonates, the relative amount of tauro-omega-muricholic
acid (TOMCA) within the 'rare' BA pool was significantly higher in EOS than in
controls (FT-CTR vs. "FT-EOS and PT-CTR vs. PT-EOS; p < 0.01). It was hence the
predominant 'rare' BA in EOS. CONCLUSION: TOMCA is an independent factor
associated with EOS. It has diagnostic potential.
PMID- 29795205
TI - Revival of an ancient Greek art: scent detection as diagnostic tool for
tuberculosis.
PMID- 29795206
TI - Estimating secular changes in longitudinal growth patterns underlying adult
height with the QEPS model: the Grow Up Gothenburg cohorts.
AB - BACKGROUND: Over the past 150 years, humans have become taller, and puberty has
begun earlier. It is unclear if these changes are continuing in Sweden, and how
longitudinal growth patterns are involved. We aimed to evaluate the underlying
changes in growth patterns from birth to adulthood by QEPS estimates in two
Swedish cohorts born in 1974 and 1990. METHODS: Growth characteristics of the
longitudinal 1974 and 1990-birth cohorts (n = 4181) were compared using the QEPS
model together with adult heights. RESULTS: There was more rapid fetal/infancy
growth in girls/boys born in 1990 compared to 1974, as shown by a faster
Etimescale and they were heavier at birth. The laterborn were taller also in
childhood as shown by a higher Q-function. Girls born in 1990 had earlier and
more pronounced growth during puberty than girls born in 1974. Individuals in the
1990 cohort attained greater adult heights than those in the 1974 cohort; 6 mm
taller for females and 10 mm for males. CONCLUSION: A positive change in adult
height was attributed to more growth during childhood in both sexes and during
puberty for girls. The QEPS model proved to be effective detecting small changes
of growth patterns, between two longitudinal growth cohorts born only 16 years
apart.
PMID- 29795207
TI - Predictors of mortality among hospitalized children with severe acute
malnutrition: a prospective study from Uganda.
AB - BACKGROUND: We determined the predictors of mortality among children admitted
with severe acute malnutrition (SAM). METHODS: This was a prospective study
nested in a randomized trial among 6-59-month-old children admitted with SAM.
Socio-demographic and medical history data were collected using questionnaires
and clinical examination, anthropometry and laboratory tests were performed. They
were monitored daily until discharge or death during hospitalization while
receiving care according to national guidelines. Predictors of death were
assessed using Cox regression. RESULTS: Of 400 children, 9.8% (n = 39) died
during hospitalization. Predictors of mortality included diarrhoea at admission
[hazard ratio [HR] 2.19, 95% confidence interval (CI): 1.06; 4.51], lack of
appetite [HR 4.50, 95% CI: 1.76; 11.50], suspected sepsis [HR 2.23, 95% CI: 1.18;
4.24] and skin ulcers [HR 4.23, 95% CI: 1.26; 4.17]. Chest indrawing [HR 5.0, 95%
CI: 1.53; 16.3], oxygen saturation below 94% [HR 3.92, 95% CI: 1.42; 10.83] and
confirmed HIV infection [HR 3.62, 95% CI: 1.69; 7.77] also predicted higher
mortality. CONCLUSION: Infections were major contributors to mortality. This
underscores the need for improved prevention and management of these infections
among children with severe malnutrition.
PMID- 29795208
TI - Pseudomonas aeruginosa-derived exosomes ameliorates allergic reactions via
inducing the Treg response in asthma.
AB - BACKGROUND: Exosomes are nanovesicles originating from multivesicular bodies that
have complex functions and significant therapeutic effects in many diseases. In
the present study, we successfully extracted exosomes from Pseudomonas aeruginosa
and assessed the effect of those exosomes on the development of the allergic
response in two types of classic asthma models. METHODS: Female BALB/c mice were
administrated with P. aeruginosa-derived exosomes 1 week before ovalbumin (OVA)
or house dust mite (HDM) sensitization. Bronchoalveolar lavage fluid, serums and
lung tissues were collected and analyzed for pathophysiology and immune
responses. RESULTS: Our results demonstrated that P. aeruginosa-derived exosomes
inhibited the development of airway hyper-responsiveness (AHR), peribronchial and
perivascular inflammation in lung tissues and the level of serum IgE. Moreover,
this protective effect was associated with an increase in the regulatory T cell
(Treg) response and a concomitant decreased Th2 response. CONCLUSIONS: In
conclusion, these observations demonstrated that P. aeruginosa-derived exosomes
could induce protection against allergic sensitization in asthma mice, and our
study provided a new insight to prevent allergic diseases.
PMID- 29795210
TI - Antenatal/early postnatal hypothyroidism increases the contribution of Rho-kinase
to contractile responses of mesenteric and skeletal muscle arteries in adult
rats.
AB - BACKGROUND: Maternal thyroid deficiency can increase Rho-kinase procontractile
influence in arteries of 2-week-old progeny. Here we hypothesized that augmented
role of Rho-kinase persists in arteries from adult progeny of hypothyroid rats.
METHODS: Dams were treated with 6-propyl-2-thiouracil (PTU) in drinking water
(0.0007%) during pregnancy and 2 weeks postpartum; control (CON) females received
PTU-free water. At the age of 10-12-weeks, serum T3/T4 levels did not differ
between PTU and CON male offspring. Cutaneous (saphenous), mesenteric, and
skeletal muscle (sural) arteries were studied by wire myography, qPCR, and
Western blotting. RESULTS: Saphenous arteries of PTU and CON groups showed
similar responses to alpha1-adrenoceptor agonist methoxamine and were equally
suppressed by Rho-kinase inhibitor Y27632. Responses of mesenteric arteries also
did not differ between PTU and CON, but the effects of Y27632 were more prominent
in the PTU group. Sural arteries of PTU rats compared to CON demonstrated
augmented responses to methoxamine, increased RhoA mRNA contents and higher
levels of MYPT1 phosphorylation at Thr855. Intergroup differences in contractile
responses and phospho-MYPT1-Thr855 were eliminated by Y27632. CONCLUSION: Rho
kinase contribution to contractile responses of mesenteric and especially sural
arteries is augmented in adult PTU rats. Therefore, maternal thyroid deficiency
may have long-term detrimental consequences for vasculature in adult offspring.
PMID- 29795209
TI - The premature infant gut microbiome during the first 6 weeks of life differs
based on gestational maturity at birth.
AB - BACKGROUND: The impact of degree of prematurity at birth on premature infant gut
microbiota has not been extensively studied in comparison to term infants in
large cohorts. METHODS: To determine the effect of gestational age at birth and
postnatal exposures on gut bacterial colonization in infants, we analyzed 65
stool samples from 17 premature infants in the neonatal intensive care unit, as
well as 13 samples from 13 mostly moderate-to-late premature infants and 189
samples from 176 term infants in the New Hampshire Birth Cohort Study. Gut
colonization patterns were determined with 16S rDNA microbiome profiling.
RESULTS: Gut bacterial alpha-diversity differed between premature and term
infants at 6 weeks of age, after adjusting for exposures (p = 0.027). Alpha
diversity varied between extremely premature (<28 weeks gestation) and very
premature infants (>=28 but <32 weeks, p = 0.011), as well as between extremely
and moderate-to-late premature infants (>=32 and <37 weeks, p = 0.004). Newborn
antibiotic use among premature infants was associated with lower Bifidobacterium
and Bacteroides abundance (p = 0.015 and p = 0.041). CONCLUSION: Gestational age
at birth and early antibiotic exposure have significant effects on the premature
infant gut microbiota.
PMID- 29795211
TI - Incidence of neonatal hypertension from a large multicenter study [Assessment of
Worldwide Acute Kidney Injury Epidemiology in Neonates-AWAKEN].
AB - BACKGROUND: Hypertension occurs in up to 3% of neonates admitted to the Neonatal
Intensive Care Unit (NICU), and is a potentially under-recognized condition. The
aim of this study was to examine the incidence of documented and undiagnosed
hypertension from the 24-center Assessment of Worldwide Acute Kidney Injury
Epidemiology in Neonates (AWAKEN) database, and to assess risk factors for
hypertension according to gestational age. METHODS: Diagnosed hypertension was
documented if an infant had a discharge diagnosis of hypertension and/or
discharged on antihypertensive medications. Undiagnosed hypertension was defined
when infants did not have a diagnosis of hypertension, but >50% of the lowest
mean, diastolic and systolic blood pressure recordings were >95th percentile for
gestational age. RESULTS: Of the 2162 neonates enrolled in the study,
hypertension was documented in 1.8%. An additional 3.7% were defined as having
undiagnosed hypertension. There was a significant correlation with neonatal
hypertension and acute kidney injury (AKI). Additional risk factors for neonatal
hypertension were hyperbilirubinaemia, Caucasian race, outborn, vaginal delivery,
and congenital heart disease. Protective factors were small for gestational age,
multiple gestations, and steroids for fetal maturation. CONCLUSIONS: Neonatal
hypertension may be an under-recognized condition. AKI and other risk factors
predispose infants to hypertension.
PMID- 29795214
TI - Heme oxygenase-1 deficiency promotes severity of sepsis in a non-surgical preterm
mouse model.
AB - BACKGROUND: Sepsis in preterm infants is associated with systemic inflammatory
responses. The stress-response protein heme oxygenase-1 (HO-1) has protective
anti-inflammatory properties. Recently, we reported a protective role of HO-1
using our non-surgical cecal slurry (CS) model in wild-type (WT) mouse pups.
Here, we extend these findings to investigate the association of HO-1 deficiency
with sepsis severity. METHODS: Adapting the Wynn model, we induced sepsis in 4
day-old HO-1-deficient (HO-1+/-, Het) pups to determine if HO-1 deficiency
affected survival rates at the LD40 (2.0 mg/g) of WT pups. To see if HO-1
induction affected sepsis severity, we gave 30-MUmol heme/kg subcutaneously to 3
day-old mice 24 h prior to sepsis induction. RESULTS: Post-sepsis induction, Het
pups had a mortality of 85.0% (n = 20) and increased expression of the pro
inflammatory gene in the livers and affected hematologic profiles. Heme treatment
24 h prior to sepsis induction significantly increased liver HO activity, reduced
mortality to 24.5% (n = 17), attenuated inflammatory responses, reduced spleen
bacterial counts, and significantly increased peripheral neutrophils.
CONCLUSIONS: A partial deficiency in HO-1 increased the progression and mortality
in sepsis. Furthermore, induction of HO-1 significantly reduced the mortality
even in Het pups. Thus, we conclude that HO-1 plays an important role in the
protection against preterm sepsis.
PMID- 29795215
TI - The big squeeze.
PMID- 29795212
TI - Neonatal autonomic function after pregnancy complications and early
cardiovascular development.
AB - BACKGROUND: Heart rate variability (HRV) has emerged as a predictor of later
cardiac risk. This study tested whether pregnancy complications that may have
long-term offspring cardiac sequelae are associated with differences in HRV at
birth, and whether these HRV differences identify abnormal cardiovascular
development in the postnatal period. METHODS: Ninety-eight sleeping neonates had
5-min electrocardiogram recordings at birth. Standard time and frequency domain
parameters were calculated and related to cardiovascular measures at birth and 3
months of age. RESULTS: Increasing prematurity, but not maternal hypertension or
growth restriction, was associated with decreased HRV at birth, as demonstrated
by a lower root mean square of the difference between adjacent NN intervals
(rMSSD) and low (LF) and high-frequency power (HF), with decreasing gestational
age (p < 0.001, p = 0.009 and p = 0.007, respectively). We also demonstrated a
relative imbalance between sympathetic and parasympathetic tone, compared to the
term infants. However, differences in autonomic function did not predict
cardiovascular measures at either time point. CONCLUSIONS: Altered cardiac
autonomic function at birth relates to prematurity rather than other pregnancy
complications and does not predict cardiovascular developmental patterns during
the first 3 months post birth. Long-term studies will be needed to understand the
relevance to cardiovascular risk.
PMID- 29795213
TI - US adolescents at risk for not meeting physical activity recommendations by
season.
AB - BACKGROUND: We sought to identify regional and seasonal variation in not meeting
physical activity (PA) recommendations of >=60 min a day of moderate-to-vigorous
PA (MVPA) and 3 h of vigorous PA per week (VPA) in a longitudinal cohort of
United States (US) adolescents. METHODS: Participants in the Growing Up Today
Study 2, a prospective study of 10,918 adolescents, self-reported season-specific
weekly hours of MVPA and VPA from 2004 through 2011. To assess variation in PA by
climate, we grouped the contiguous US into nine climatically consistent
geographic regions. We also examined MVPA and VPA by season, sex, ethnicity,
weight status, and age group. RESULTS: The majority (85%) of adolescents did not
meet the MVPA recommendation, and 91% did not meet the VPA recommendation, for
one or more seasons over the four study years. Across all climate regions,
adolescents were two times more likely to not meet the MVPA recommendation during
the winter compared to summer (odds ratio 2.02, 95% confidence interval: 1.96
2.08). CONCLUSION: Regardless of climate region, gender, ethnicity, or age group,
adolescents were more likely not to meet MVPA or VPA recommendations in the
winter than the summer. Adolescents may benefit from interventions aimed at
increasing PA in the winter.
PMID- 29795217
TI - Cells and materials in immunotherapy.
PMID- 29795216
TI - Cancer immunotherapy making headway.
PMID- 29795218
TI - Tailor-made currents.
PMID- 29795219
TI - Rejuvenating zinc batteries.
PMID- 29795220
TI - Smart delivery of vaccines.
PMID- 29795221
TI - Material aid for vaccines.
PMID- 29795222
TI - Dendritic cells in cancer immunotherapy.
PMID- 29795223
TI - Zombie materials.
PMID- 29795224
TI - Adoptive T cell cancer therapy.
PMID- 29795227
TI - Author Correction: Prediction of size-resolved number concentration of cloud
condensation nuclei and long-term measurements of their activation
characteristics.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29795226
TI - High Redundancy as well as Complementary Prey Choice Characterize Generalist
Predator Food Webs in Agroecosystems.
AB - Food web structure influences ecosystem functioning and the strength and
stability of associated ecosystem services. With their broad diet, generalist
predators represent key nodes in the structure of many food webs and they
contribute substantially to ecosystem services such as biological pest control.
However, until recently it has been difficult to empirically assess food web
structure with generalist predators. We utilized DNA-based molecular gut-content
analyses to assess the prey use of a set of generalist invertebrate predator
species common in temperate agricultural fields. We investigated the degree of
specialization of predator-prey food webs at two key stages of the cropping
season and analysed the link temperature of different trophic links, to identify
non-random predation. We found a low level of specialization in our food webs,
and identified warm and cool links which may result from active prey choice or
avoidance. We also found a within-season variation in interaction strength
between predators and aphid pests which differed among predator species. Our
results show a high time-specific functional redundancy of the predator
community, but also suggest temporally complementary prey choice due to within
season succession of some predator species.
PMID- 29795225
TI - Targeting RNA structure in SMN2 reverses spinal muscular atrophy molecular
phenotypes.
AB - Modification of SMN2 exon 7 (E7) splicing is a validated therapeutic strategy
against spinal muscular atrophy (SMA). However, a target-based approach to
identify small-molecule E7 splicing modifiers has not been attempted, which could
reveal novel therapies with improved mechanistic insight. Here, we chose as a
target the stem-loop RNA structure TSL2, which overlaps with the 5' splicing site
of E7. A small-molecule TSL2-binding compound, homocarbonyltopsentin (PK4C9), was
identified that increases E7 splicing to therapeutic levels and rescues
downstream molecular alterations in SMA cells. High-resolution NMR combined with
molecular modelling revealed that PK4C9 binds to pentaloop conformations of TSL2
and promotes a shift to triloop conformations that display enhanced E7 splicing.
Collectively, our study validates TSL2 as a target for small-molecule drug
discovery in SMA, identifies a novel mechanism of action for an E7 splicing
modifier, and sets a precedent for other splicing-mediated diseases where RNA
structure could be similarly targeted.
PMID- 29795228
TI - Identification of small molecule compounds targeting the interaction of HIV-1 Vif
and human APOBEC3G by virtual screening and biological evaluation.
AB - Human APOBEC3G (hA3G) is a restriction factor that inhibits human
immunodeficiency 1 virus (HIV-1) replication. The virally encoded protein Vif
binds to hA3G and induces its degradation, thereby counteracting the antiviral
activity of hA3G. Vif-mediated hA3G degradation clearly represents a potential
target for anti-HIV drug development. Herein, we have performed virtual screening
to discover small molecule inhibitors that target the binding interface of the
Vif/hA3G complex. Subsequent biochemical studies have led to the identification
of a small molecule inhibitor, IMB-301 that binds to hA3G, interrupts the hA3G
Vif interaction and inhibits Vif-mediated degradation of hA3G. As a result, IMB
301 strongly inhibits HIV-1 replication in a hA3G-dependent manner. Our study
further demonstrates the feasibility of inhibiting HIV replication by abrogating
the Vif-hA3G interaction with small molecules.
PMID- 29795230
TI - Publisher Correction: Emergence of Different Recombinant Porcine Reproductive and
Respiratory Syndrome Viruses, China.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29795229
TI - Hematopoietic Stem Cells as a Novel Source of Dental Tissue Cells.
AB - While earlier studies have suggested that cells positive for hematopoietic
markers can be found in dental tissues, it has yet to be confirmed. To
conclusively demonstrate this, we utilized a unique transgenic model in which all
hematopoietic cells are green fluorescent protein+ (GFP+). Pulp, periodontal
ligament (PDL) and alveolar bone (AvB) cell culture analysis demonstrated
numerous GFP+ cells, which were also CD45+ (indicating hematopoietic origin) and
co-expressed markers of cellular populations in pulp (dentin matrix protein-1,
dentin sialophosphoprotein, alpha smooth muscle actin [ASMA], osteocalcin), in
PDL (periostin, ASMA, vimentin, osteocalcin) and in AvB (Runx-2, bone
sialoprotein, alkaline phosphatase, osteocalcin). Transplantation of clonal
population derived from a single GFP+ hematopoietic stem cell (HSC), into
lethally irradiated recipient mice, demonstrated numerous GFP+ cells within
dental tissues of recipient mice, which also stained for markers of cell
populations in pulp, PDL and AvB (used above), indicating that transplanted HSCs
can differentiate into cells in dental tissues. These hematopoietic-derived cells
deposited collagen and can differentiate in osteogenic media, indicating that
they are functional. Thus, our studies demonstrate, for the first time, that
cells in pulp, PDL and AvB can have a hematopoietic origin, thereby opening new
avenues of therapy for dental diseases and injuries.
PMID- 29795231
TI - Voting Simulation based Agglomerative Hierarchical Method for Network Community
Detection.
AB - Community detection has been paid much attention in many fields in recent years,
and a great deal of community-detection methods have been proposed. But the time
consumption of some of them is heavy, limiting them from being applied to large
scale networks. On the contrary, there exist some lower-time-complexity methods.
But most of them are non-deterministic, meaning that running the same method many
times may yield different results from the same network, which reduces their
practical utility greatly in real-world applications. To solve these problems, we
propose a community-detection method in this paper, which takes both the quality
of the results and the efficiency of the detecting procedure into account.
Moreover, it is a deterministic method which can extract definite community
structures from networks. The proposed method is inspired by the voting
behaviours in election activities in the social society, in which we first
simulate the voting procedure on the network. Every vertex votes for the
nominated candidates following the proposed voting principles, densely connected
groups of vertices can quickly reach a consensus on their candidates. At the end
of this procedure, candidates and their own voters form a group of clusters.
Then, we take the clusters as initial communities, and agglomerate some of them
into larger ones with high efficiency to obtain the resulting community
structures. We conducted extensive experiments on some artificial networks and
real-world networks, the experimental results show that our proposed method can
efficiently extract high-quality community structures from networks, and
outperform the comparison algorithms significantly.
PMID- 29795233
TI - Theory of Large Intrinsic Spin Hall Effect in Iridate Semimetals.
AB - We theoretically investigate the mechanism to generate large intrinsic spin Hall
effect in iridates or more broadly in 5d transition metal oxides with strong spin
orbit coupling. We demonstrate such a possibility by taking the example of
orthorhombic perovskite iridate with nonsymmorphic lattice symmetry, SrIrO3,
which is a three-dimensional semimetal with nodal line spectrum. It is shown that
large intrinsic spin Hall effect arises in this system via the spin-Berry
curvature originating from the nearly degenerate electronic spectra surrounding
the nodal line. This effect exists even when the nodal line is gently gapped out,
due to the persistent nearly degenerate electronic structure. The magnitude of
the spin Hall conductivity is shown to be comparable to the best known example
such as doped topological insulators and the biggest in any transition metal
oxides. To gain further insight, we compute the intrinsic spin Hall conductivity
in both bulk and thin film systems. We find that the geometric confinement in
thin films leads to significant modifications of the electronic states, leading
to even bigger spin Hall conductivity in certain cases. We compare our findings
with the recent experimental report on the discovery of large spin Hall effect in
SrIrO3 thin films.
PMID- 29795232
TI - Dlx1/2 and Otp coordinate the production of hypothalamic GHRH- and AgRP-neurons.
AB - Despite critical roles of the hypothalamic arcuate neurons in controlling the
growth and energy homeostasis, the gene regulatory network directing their
development remains unclear. Here we report that the transcription factors Dlx1/2
and Otp coordinate the balanced generation of the two functionally related
neurons in the hypothalamic arcuate nucleus, GHRH-neurons promoting the growth
and AgRP-neurons controlling the feeding and energy expenditure. Dlx1/2-deficient
mice show a loss-of-GHRH-neurons and an increase of AgRP-neurons, and
consistently develop dwarfism and consume less energy. These results indicate
that Dlx1/2 are crucial for specifying the GHRH-neuronal identity and,
simultaneously, for suppressing AgRP-neuronal fate. We further show that Otp is
required for the generation of AgRP-neurons and that Dlx1/2 repress the
expression of Otp by directly binding the Otp gene. Together, our study
demonstrates that the identity of GHRH- and AgRP-neurons is synchronously
specified and segregated by the Dlx1/2-Otp gene regulatory axis.
PMID- 29795234
TI - Comparative inhibitory profile and distribution of bacterial PARPs, using
Clostridioides difficile CD160 PARP as a model.
AB - Poly-ADP-ribose polymerases (PARPs) are involved in the regulation of important
cellular processes, such as DNA repair, aging and apoptosis, among others. They
have been considered as promising therapeutic targets, since human cancer cells
carrying BRCA1 and BRCA2 mutations are highly sensitive to human PARP-1
inhibitors. Although extensive work has been carried out with the latter enzyme,
little is known on bacterial PARPs, of which only one has been demonstrated to be
active. To extend this limited knowledge, we demonstrate that the Gram-positive
bacterium Clostridioides difficile CD160 PARP is a highly active enzyme with a
high production yield. Its phylogenetic analysis also pointed to a singular
domain organization in contrast to other clostridiales, which could be due to the
long-term divergence of C. difficile CD160. Surprisingly, its PARP becomes the
first enzyme to be characterized from this strain, which has a genotype never
before described based on its sequenced genome. Finally, the inhibition study
carried out after a high-throughput in silico screening and an in vitro testing
with hPARP1 and bacterial PARPs identified a different inhibitory profile, a new
highly inhibitory compound never before described for hPARP1, and a specificity
of bacterial PARPs for a compound that mimics NAD+ (EB-47).
PMID- 29795235
TI - Nogo-C regulates post myocardial infarction fibrosis through the interaction with
ER Ca2+ leakage channel Sec61alpha in mouse hearts.
AB - Cardiac fibrosis is an independent risk factor for heart failure and even the
leading cause of death in myocardial infarction patients. However, molecular
mechanisms associated with the pathogenesis of cardiac fibrosis following
myocardial infarction are not yet fully understood. Nogo-C protein ubiquitously
expresses in tissues including in the heart. Our previous study found that Nogo-C
regulated cardiomyocyte apoptosis during myocardial infarction. In the present
study, we found that Nogo-C was upregulated in fibrotic hearts after myocardial
infarction and in Ang II- or TGF-beta1-stimulated cardiac fibroblasts.
Overexpression of Nogo-C in cardiac fibroblasts increased expression of pro
fibrogenic proteins, while knockdown of Nogo-C inhibited the fibrotic responses
of cardiac fibroblasts to Ang II- or TGF-beta1 stimulation. Functionally, Nogo-C
deficiency suppressed pro-fibrogenic proteins in post-myocardial infarction
hearts and ameliorated post-myocardial infarction cardiac function.
Mechanistically, we found that Nogo-C increased intracellular Ca2+ concentration
and buffering Ca2+ totally abolished Nogo-C-induced fibrotic responses. Moreover,
overexpression of Nogo-C caused increased Sec61alpha, the Ca2+ leakage channel on
endoplasmic reticulum membrane. Nogo-C interacted with Sec61alpha on endoplasmic
reticulum and stabilized Sec61alpha protein by inhibiting its ubiquitination.
Inhibition or knockdown of Sec61alpha blocked Nogo-C-induced increase of
cytosolic Ca2+ concentration and inhibited Nogo-C- and TGF-beta1-induced fibrotic
responses in cardiac fibroblasts, suggesting that Nogo-C regulates cardiac
fibrosis through interacting with Sec61alpha to mediate the Ca2+ leakage from
endoplasmic reticulum. Thus, our results reveal a novel mechanism underlying
cardiac fibrosis following myocardial infarction, and provide a therapeutic
strategy for cardiac remodeling related heart diseases.
PMID- 29795236
TI - Metasurface-assisted orbital angular momentum carrying Bessel-Gaussian Laser:
proposal and simulation.
AB - Bessel-Gaussian beams have distinct properties of suppressed diffraction
divergence and self-reconstruction. In this paper, we propose and simulate
metasurface-assisted orbital angular momentum (OAM) carrying Bessel-Gaussian
laser. The laser can be regarded as a Fabry-Perot cavity formed by one partially
transparent output plane mirror and the other metasurface-based reflector mirror.
The gain medium of Nd:YVO4 enables the lasing wavelength at 1064 nm with a 808 nm
laser serving as the pump. The sub-wavelength structure of metasurface
facilitates flexible spatial light manipulation. The compact metasurface-based
reflector provides combined phase functions of an axicon and a spherical mirror.
By appropriately selecting the size of output mirror and inserting mode-selection
element in the laser cavity, different orders of OAM-carrying Bessel-Gaussian
lasing modes are achievable. The lasing Bessel-Gaussian0, Bessel-Gaussian01+,
Bessel-Gaussian02+ and Bessel-Gaussian03+ modes have high fidelities of ~0.889,
~0.889, ~0.881 and ~0.879, respectively. The metasurface fabrication tolerance
and the dependence of threshold power and output lasing power on the length of
gain medium, beam radius of pump and transmittance of output mirror are also
discussed. The obtained results show successful implementation of metasurface
assisted OAM-carrying Bessel-Gaussian laser with favorable performance. The
metasurface-assisted OAM-carrying Bessel-Gaussian laser may find wide OAM-enabled
communication and non-communication applications.
PMID- 29795238
TI - Intake of cocoa products and risk of type-2 diabetes: the multiethnic cohort.
AB - BACKGROUND/OBJECTIVES: As cocoa products may be protective against chronic
disease due to their polyphenol content, the current study determined the
association of chocolate consumption and flavanol intake with type-2 diabetes
(T2D) incidence in the Multiethnic Cohort (MEC) Study. SUBJECTS/METHODS: The
analysis included 151,691 participants of Native Hawaiian, Japanese American,
Latino, African American, and white ancestry with 8487 incident T2D cases after
7.8 +/- 3.5 years of follow-up. T2D status was based on three self-reports and
confirmed by at least one of three administrative data sources. Dietary intake
was assessed using a validated quantitative food frequency questionnaire, and
flavanols from cocoa products were estimated from self-reported consumption of
chocolate candy and drinks. Cox hazard regression, adjusted for potential
confounders was applied to estimate hazard ratios (HR) and 95% confidence
intervals (CI). RESULTS: For chocolate candy, both the highest vs. lowest (>=10
vs. <1 g/day) consumption (HR = 0.90; 95% CI, 0.83-0.97; ptrend = 0.01) and the
frequency (>=4/week vs. <1/month) of intake (HR = 0.81; 95% CI, 0.72-0.91; ptrend
= 0.0002) were inversely associated with T2D. The estimated flavanol intake from
cocoa products (>=3 vs. <1 mg/day) also showed an inverse association with T2D
risk (HR = 0.93; 95% CI, 0.88-0.99; ptrend = 0.02). Significant interaction terms
indicated that the inverse relation was limited to Japanese Americans, normal
weight individuals, and to those without comorbidities. CONCLUSIONS: The current
study confirms previous reports that participants with high intake of chocolate
products and cocoa-derived flavanols experience a reduced risk of developing T2D
even after controlling for sugar intake, diet quality, and other aspects of the
diet.
PMID- 29795239
TI - Fish consumption and risk of stroke, coronary heart disease, and cardiovascular
mortality in a Dutch population with low fish intake.
AB - BACKGROUND/OBJECTIVES: Fish consumption of at least 1 portion/week is related to
lower cardiovascular disease (CVD) risk. It is uncertain whether a less frequent
intake is also beneficial and whether the type of fish matters. We investigated
associations of very low intakes of total, fatty, and lean fish, compared with no
fish intake, with 18-year incidences of stroke, coronary heart disease (CHD), and
CVD mortality. METHODS: Data were used from 34,033 participants, aged 20-70
years, of the EPIC-Netherlands cohort. Baseline (1993-1997) fish consumption was
estimated using a food frequency questionnaire. We compared any fish consumption,
<1 portion/week (<100 g) and >=1 portion/week to non-fish consumption. RESULTS:
During 18 follow-up years, 753 stroke events, 2134 CHD events, and 540 CVD deaths
occurred. Among the fish consumers (~92%) median intakes of total, lean, and
fatty fish were 57.9, 32.9, and 10.7 g/week, respectively. Any fish consumption
compared with non-consumption was not associated with incidences of stroke, CHD,
MI, and CVD mortality. Furthermore, consumption of <1 portion/week of total,
fatty, or lean fish was not associated with any CVD outcome, as compared with non
consumption. Consumption of >=1 portion/week of lean fish (HR: 0.70, 95% CI: 0.57
0.86) and of fatty fish (HR: 0.63, 95% CI: 0.39-1.02) were associated with lower
incidence of ischaemic stroke. CONCLUSIONS: Baseline fish consumption of <1
portion/week, regardless of the type of fish, was unrelated to incidences of
stroke, CHD, and CVD mortality in this Dutch cohort. Consumption of >=1
portion/week of fatty or of lean fish reduced the incidence of ischaemic stroke.
PMID- 29795237
TI - Ethnic, geographic and dietary differences in arsenic exposure in the multi
ethnic study of atherosclerosis (MESA).
AB - Differences in residential location as well as race/ethnicity and dietary habits
may result in differences in inorganic arsenic (iAs) exposure. We investigated
the association of exposure to iAs with race/ethnicity, geography, and dietary
intake in a random sample of 310 White, Black, Hispanic, and Chinese adults in
the Multi-Ethnic Study of Atherosclerosis from 6 US cities with inorganic and
methylated arsenic (SigmaAs) measured in urine. Dietary intake was assessed by
food-frequency questionnaire. Chinese and Hispanic race/ethnicity was associated
with 82% (95% CI: 46%, 126%) and 37% (95% CI: 10%, 70%) higher urine arsenic
concentrations, respectively, compared to White participants. No differences were
observed for Black participants compared to Whites. Urine arsenic concentrations
were higher for participants in Los Angeles, Chicago, and New York compared to
other sites. Participants that ate rice >=2 times/week had 31% higher urine
arsenic compared to those that rarely/never consumed rice. Participants that
drank wine >=2 times/week had 23% higher urine arsenic compared to rare/never
wine drinkers. Intake of poultry or non-rice grains was not associated with
urinary arsenic concentrations. At the low-moderate levels typical of the US
population, exposure to iAs differed by race/ethnicity, geographic location, and
frequency of rice and wine intake.
PMID- 29795240
TI - The pattern of the M-protein in smoldering myeloma over the time: an evolving
risk factor.
PMID- 29795242
TI - Interventions to promote cardiometabolic health and slow cardiovascular ageing.
AB - Cardiovascular ageing and the atherosclerotic process begin very early in life,
most likely in utero. They progress over decades of exposure to suboptimal or
abnormal metabolic and hormonal risk factors, eventually culminating in very
common, costly, and mostly preventable target-organ pathologies, including
coronary heart disease, stroke, heart failure, aortic aneurysm, peripheral artery
disease, and vascular dementia. In this Review, we discuss findings from
preclinical and clinical studies showing that calorie restriction (CR),
intermittent fasting, and adjusted diurnal rhythm of feeding, with adequate
intake of specific macronutrients and micronutrients, are powerful interventions
not only for the prevention of cardiovascular disease but also for slowing the
accumulation of molecular damage leading to cardiometabolic dysfunction.
Furthermore, we discuss the mechanisms through which a number of other nondietary
interventions, such as regular physical activity, mindfulness-based stress
reduction exercises, and some CR-mimetic drugs that target pro-ageing pathways,
can potentiate the beneficial effects of a healthy diet in promoting
cardiometabolic health.
PMID- 29795241
TI - Recurrent 8q24 rearrangement in blastic plasmacytoid dendritic cell neoplasm:
association with immunoblastoid cytomorphology, MYC expression, and drug
response.
AB - Blastic plasmacytoid dendritic cell neoplasm (BPDCN) is a rare skin-tropic
hematological malignancy of uncertain pathogenesis and poor prognosis. We
examined 118 BPDCN cases for cytomorphology, MYC locus rearrangement, and MYC
expression. Sixty-two (53%) and 41 (35%) cases showed the classic and
immunoblastoid cytomorphology, respectively. Forty-one (38%) MYC+BPDCN (positive
for rearrangement and expression) and 59 (54%) MYC-BPDCN (both negative) cases
were identified. Immunoblastoid cytomorphology was significantly associated with
MYC+BPDCN. All examined MYC+BPDCNs were negative for MYB/MYBL1 rearrangement
(0/36). Clinically, MYC+BPDCN showed older onset, poorer outcome, and localized
skin tumors more commonly than MYC-BPDCN. MYC was demonstrated by expression
profiling as one of the clearest discriminators between CAL-1 (MYC+BPDCN) and
PMDC05 (MYC-BPDCN) cell lines, and its shRNA knockdown suppressed CAL-1
viability. Inhibitors for bromodomain and extra-terminal protein (BETis), and
aurora kinases (AKis) inhibited CAL-1 growth more effectively than PMDC05. We
further showed that a BCL2 inhibitor was effective in both CAL-1 and PMDC05,
indicating that this inhibitor can be used to treat MYC-BPDCN, to which BETis and
AKis are probably less effective. Our data will provide a rationale for the
development of new treatment strategies for patients with BPDCN, in accordance
with precision medicine.
PMID- 29795243
TI - FGF21 ACEs hypertension.
PMID- 29795246
TI - AhR controls tolerance to cell debris.
PMID- 29795247
TI - Relationship between agro-environmental variables and breeding Hylids in rice
paddies.
AB - When natural wetlands are destroyed, many anuran species are forced to breed in
alternative habitats such as rice paddies. We conducted field surveys for the
endangered Dryophytes suweonensis and the numerous D. japonicus, from the
beginning of the breeding season until two weeks after its peak. We recorded the
presence, number of individuals and calling indices for each species. We
hypothesized that D. japonicus would start breeding earlier than D. suweonensis,
which would have originally been breeding solely in floodplains. The results of
our analyses demonstrate that the rice cultivation phase was the most important
factor in predicting the calling activities of both species. Furthermore, peak
calling activities of both species matched the optimal hydroperiod in rice
paddies. In addition, D. japonicus breeding behaviour was influenced by
environmental variables such as temperature, whereas D. suweonensis seemed to
require the planting of rice seedlings to initiate breeding. Therefore, as both
Dryophytes species' breeding activities are influenced by agro-environmental
variables, this study highlights the importance of also preserving
anthropogenically modified landscapes for the conservation of species.
PMID- 29795244
TI - Diffusion imaging markers of bipolar versus general psychopathology risk in youth
at-risk.
AB - Bipolar disorder (BD) is highly heritable. Thus, studies in first-degree
relatives of individuals with BD could lead to the discovery of objective risk
markers of BD. Abnormalities in white matter structure reported in at-risk
individuals could play an important role in the pathophysiology of BD. Due to the
lack of studies with other at-risk offspring, however, it remains unclear whether
such abnormalities reflect BD-specific or generic risk markers for future
psychopathology. Using a tract-profile approach, we examined 18 major white
matter tracts in 38 offspring of BD parents, 36 offspring of comparison parents
with non-BD psychopathology (depression, attention-deficit/hyperactivity
disorder), and 41 offspring of healthy parents. Both at-risk groups showed
significantly lower fractional anisotropy (FA) in left-sided tracts (cingulum,
inferior longitudinal fasciculus, forceps minor), and significantly greater FA in
right-sided tracts (uncinate fasciculus and inferior longitudinal fasciculus),
relative to offspring of healthy parents (P < 0.05). These abnormalities were
present in both healthy and affected youth in at-risk groups. Only offspring
(particularly healthy offspring) of BD parents showed lower FA in the right
superior longitudinal fasciculus relative to healthy offspring of healthy parents
(P < 0.05). We show, for the first time, important similarities, and some
differences, in white matter structure between offspring of BD and offspring of
non-BD parents. Findings suggest that lower left-sided and higher right-sided FA
in tracts important for emotional regulation may represent markers of risk for
general, rather than BD-specific, psychopathology. Lower FA in the right superior
longitudinal fasciculus may protect against development of BD in offspring of BD
parents.
PMID- 29795249
TI - Signal of GV1001 efficacy.
PMID- 29795245
TI - Burst activation of dopamine neurons produces prolonged post-burst availability
of actively released dopamine.
AB - Both phasic and tonic modes of neurotransmission are implicated in critical
functions assigned to dopamine. In learning, for example, sub-second phasic
responses of ventral tegmental area (VTA) dopamine neurons to salient events
serve as teaching signals, but learning is also interrupted by dopamine
antagonists administered minutes after training. Our findings bridge the multiple
timescales of dopamine neurotransmission by demonstrating that burst stimulation
of VTA dopamine neurons produces a prolonged post-burst increase (>20 min) of
extracellular dopamine in nucleus accumbens and prefrontal cortex. This elevation
is not due to spillover from the stimulation surge but depends on impulse flow
mediated dopamine release. We identified Rho-mediated internalization of dopamine
transporter as a mechanism responsible for prolonged availability of actively
released dopamine. Thus, a critical consequence of burst activity of dopamine
neurons may be post-burst sustained elevation of extracellular dopamine in
terminal regions via an intracellular mechanism that promotes dopamine
transporter internalization. These results demonstrate that phasic and tonic
dopamine neurotransmission can be a continuum and may explain why both modes of
signaling are critical for motivational and cognitive functions associated with
dopamine.
PMID- 29795250
TI - Yes or no to local therapy for oligometastatic prostate cancer?
PMID- 29795248
TI - Immunoglobulin light chain amyloidosis diagnosis and treatment algorithm 2018.
AB - Immunoglobulin light chain amyloidosis (AL) should be considered in any patient
that presents to a cancer care provider with nephrotic range proteinuria, heart
failure with preserved ejection fraction, non-diabetic peripheral neuropathy,
unexplained hepatomegaly or diarrhea. More importantly, patients being monitored
for smoldering multiple myeloma and a monoclonal gammopathy of undetermined
significance (MGUS) are at risk for developing AL amyloidosis. MGUS and myeloma
patients that have atypical features, including unexplained weight loss; lower
extremity edema, early satiety, and dyspnea on exertion should be considered at
risk for light chain amyloidosis. Overlooking the diagnosis of light chain
amyloidosis leading to therapy delay is common, and it represents an error of
diagnostic consideration. Algorithms will be provided on how to evaluate patients
with suspected AL amyloid as well as how to manage patients referred from other
medical specialties with biopsy-proven amyloid. An organized stepwise approach to
the treatment of patients with light chain amyloidosis, including established and
investigational therapies, will be reviewed.
PMID- 29795251
TI - Large potential reduction in economic damages under UN mitigation targets.
AB - International climate change agreements typically specify global warming
thresholds as policy targets 1 , but the relative economic benefits of achieving
these temperature targets remain poorly understood2,3. Uncertainties include the
spatial pattern of temperature change, how global and regional economic output
will respond to these changes in temperature, and the willingness of societies to
trade present for future consumption. Here we combine historical evidence 4 with
national-level climate 5 and socioeconomic 6 projections to quantify the economic
damages associated with the United Nations (UN) targets of 1.5 degrees C and 2
degrees C global warming, and those associated with current UN national-level
mitigation commitments (which together approach 3 degrees C warming 7 ). We find
that by the end of this century, there is a more than 75% chance that limiting
warming to 1.5 degrees C would reduce economic damages relative to 2 degrees C,
and a more than 60% chance that the accumulated global benefits will exceed US$20
trillion under a 3% discount rate (2010 US dollars). We also estimate that 71% of
countries-representing 90% of the global population-have a more than 75% chance
of experiencing reduced economic damages at 1.5 degrees C, with poorer countries
benefiting most. Our results could understate the benefits of limiting warming to
1.5 degrees C if unprecedented extreme outcomes, such as large-scale sea level
rise 8 , occur for warming of 2 degrees C but not for warming of 1.5 degrees C.
Inclusion of other unquantified sources of uncertainty, such as uncertainty in
secular growth rates beyond that contained in existing socioeconomic scenarios,
could also result in less precise impact estimates. We find considerably greater
reductions in global economic output beyond 2 degrees C. Relative to a world
that did not warm beyond 2000-2010 levels, we project 15%-25% reductions in per
capita output by 2100 for the 2.5-3 degrees C of global warming implied by
current national commitments 7 , and reductions of more than 30% for 4 degrees C
warming. Our results therefore suggest that achieving the 1.5 degrees C target
is likely to reduce aggregate damages and lessen global inequality, and that
failing to meet the 2 degrees C target is likely to increase economic damages
substantially.
PMID- 29795253
TI - Pulsar emission amplified and resolved by plasma lensing in an eclipsing binary.
AB - Radio pulsars scintillate because their emission travels through the ionized
interstellar medium along multiple paths, which interfere with each other. It has
long been realized that, independent of their nature, the regions responsible for
the scintillation could be used as 'interstellar lenses' to localize pulsar
emission regions1,2. Most such lenses, however, resolve emission components only
marginally, limiting results to statistical inferences and detections of small
positional shifts3-5. As lenses situated close to their source offer better
resolution, it should be easier to resolve emission regions of pulsars located in
high-density environments such as supernova remnants 6 or binaries in which the
pulsar's companion has an ionized outflow. Here we report observations of extreme
plasma lensing in the 'black widow' pulsar, B1957+20, near the phase in its 9.2
hour orbit at which its emission is eclipsed by its companion's outflow7-9.
During the lensing events, the observed radio flux is enhanced by factors of up
to 70-80 at specific frequencies. The strongest events clearly resolve the
emission regions: they affect the narrow main pulse and parts of the wider
interpulse differently. We show that the events arise naturally from density
fluctuations in the outer regions of the outflow, and we infer a resolution of
our lenses that is comparable to the pulsar's radius, about 10 kilometres.
Furthermore, the distinct frequency structures imparted by the lensing are
reminiscent of what is observed for the repeating fast radio burst FRB 121102,
providing observational support for the idea that this source is observed
through, and thus at times strongly magnified by, plasma lenses 10 .
PMID- 29795252
TI - Rapid emergence of subaerial landmasses and onset of a modern hydrologic cycle
2.5 billion years ago.
AB - The history of the growth of continental crust is uncertain, and several
different models that involve a gradual, decelerating, or stepwise process have
been proposed1-4. Even more uncertain is the timing and the secular trend of the
emergence of most landmasses above the sea (subaerial landmasses), with estimates
ranging from about one billion to three billion years ago5-7. The area of emerged
crust influences global climate feedbacks and the supply of nutrients to the
oceans 8 , and therefore connects Earth's crustal evolution to surface
environmental conditions9-11. Here we use the triple-oxygen-isotope composition
of shales from all continents, spanning 3.7 billion years, to provide constraints
on the emergence of continents over time. Our measurements show a stepwise total
decrease of 0.08 per mille in the average triple-oxygen-isotope value of shales
across the Archaean-Proterozoic boundary. We suggest that our data are best
explained by a shift in the nature of water-rock interactions, from near-coastal
in the Archaean era to predominantly continental in the Proterozoic, accompanied
by a decrease in average surface temperatures. We propose that this shift may
have coincided with the onset of a modern hydrological cycle owing to the rapid
emergence of continental crust with near-modern average elevation and aerial
extent roughly 2.5 billion years ago.
PMID- 29795254
TI - Inference of ecological and social drivers of human brain-size evolution.
AB - The human brain is unusually large. It has tripled in size from
Australopithecines to modern humans 1 and has become almost six times larger than
expected for a placental mammal of human size 2 . Brains incur high metabolic
costs 3 and accordingly a long-standing question is why the large human brain has
evolved 4 . The leading hypotheses propose benefits of improved cognition for
overcoming ecological5-7, social8-10 or cultural11-14 challenges. However, these
hypotheses are typically assessed using correlative analyses, and establishing
causes for brain-size evolution remains difficult15,16. Here we introduce a
metabolic approach that enables causal assessment of social hypotheses for brain
size evolution. Our approach yields quantitative predictions for brain and body
size from formalized social hypotheses given empirical estimates of the metabolic
costs of the brain. Our model predicts the evolution of adult Homo sapiens-sized
brains and bodies when individuals face a combination of 60% ecological, 30%
cooperative and 10% between-group competitive challenges, and suggests that
between-individual competition has been unimportant for driving human brain-size
evolution. Moreover, our model indicates that brain expansion in Homo was driven
by ecological rather than social challenges, and was perhaps strongly promoted by
culture. Our metabolic approach thus enables causal assessments that refine,
refute and unify hypotheses of brain-size evolution.
PMID- 29795255
TI - Fundamental limits to graphene plasmonics.
AB - Plasmon polaritons are hybrid excitations of light and mobile electrons that can
confine the energy of long-wavelength radiation at the nanoscale. Plasmon
polaritons may enable many enigmatic quantum effects, including lasing 1 ,
topological protection2,3 and dipole-forbidden absorption 4 . A necessary
condition for realizing such phenomena is a long plasmonic lifetime, which is
notoriously difficult to achieve for highly confined modes 5 . Plasmon polaritons
in graphene-hybrids of Dirac quasiparticles and infrared photons-provide a
platform for exploring light-matter interaction at the nanoscale6,7. However,
plasmonic dissipation in graphene is substantial 8 and its fundamental limits
remain undetermined. Here we use nanometre-scale infrared imaging to investigate
propagating plasmon polaritons in high-mobility encapsulated graphene at
cryogenic temperatures. In this regime, the propagation of plasmon polaritons is
primarily restricted by the dielectric losses of the encapsulated layers, with a
minor contribution from electron-phonon interactions. At liquid-nitrogen
temperatures, the intrinsic plasmonic propagation length can exceed 10
micrometres, or 50 plasmonic wavelengths, thus setting a record for highly
confined and tunable polariton modes. Our nanoscale imaging results reveal the
physics of plasmonic dissipation and will be instrumental in mitigating such
losses in heterostructure engineering applications.
PMID- 29795256
TI - Addressing the minimum fleet problem in on-demand urban mobility.
AB - Information and communication technologies have opened the way to new solutions
for urban mobility that provide better ways to match individuals with on-demand
vehicles. However, a fundamental unsolved problem is how best to size and operate
a fleet of vehicles, given a certain demand for personal mobility. Previous
studies1-5 either do not provide a scalable solution or require changes in human
attitudes towards mobility. Here we provide a network-based solution to the
following 'minimum fleet problem', given a collection of trips (specified by
origin, destination and start time), of how to determine the minimum number of
vehicles needed to serve all the trips without incurring any delay to the
passengers. By introducing the notion of a 'vehicle-sharing network', we present
an optimal computationally efficient solution to the problem, as well as a nearly
optimal solution amenable to real-time implementation. We test both solutions on
a dataset of 150 million taxi trips taken in the city of New York over one year 6
. The real-time implementation of the method with near-optimal service levels
allows a 30 per cent reduction in fleet size compared to current taxi operation.
Although constraints on driver availability and the existence of abnormal trip
demands may lead to a relatively larger optimal value for the fleet size than
that predicted here, the fleet size remains robust for a wide range of variations
in historical trip demand. These predicted reductions in fleet size follow
directly from a reorganization of taxi dispatching that could be implemented with
a simple urban app; they do not assume ride sharing7-9, nor require changes to
regulations, business models, or human attitudes towards mobility to become
effective. Our results could become even more relevant in the years ahead as
fleets of networked, self-driving cars become commonplace10-14.
PMID- 29795257
TI - How gut microbes are joining the fight against cancer.
PMID- 29795260
TI - Telescope pact, volcano explosion and migraine drug.
PMID- 29795259
TI - Hong Kong builds a science-based future.
PMID- 29795262
TI - Cardiac fibrosis can be attenuated by blocking the activity of transglutaminase 2
using a selective small-molecule inhibitor.
AB - Cardiac fibrosis is implicit in all forms of heart disease but there are no
effective treatments. In this report, we investigate the role of the multi
functional enzyme Transglutaminase 2 (TG2) in cardiac fibrosis and assess its
potential as a therapeutic target. Here we describe the use a highly selective
TG2 small-molecule inhibitor to test the efficacy of TG2 inhibition as an anti
fibrotic therapy for heart failure employing two different in vivo models of
cardiac fibrosis: Progressively induced interstitial cardiac fibrosis by pressure
overload using angiotensin II infusion: Acutely induced focal cardiac fibrosis
through myocardial infarction by ligation of the left anterior descending
coronary artery (AMI model). In the AMI model, in vivo MRI showed that the TG2
inhibitor 1-155 significantly reduced infarct size by over 50% and reduced post
infarct remodelling at 20 days post insult. In both models, Sirius red staining
for collagen deposition and levels of the TG2-mediated protein crosslink
epsilon(gamma-glutamyl)lysine were significantly reduced. No cardiac rupture or
obvious signs of toxicity were observed. To provide a molecular mechanism for TG2
involvement in cardiac fibrosis, we show that both TGFbeta1-induced transition of
cardiofibroblasts into myofibroblast-like cells and TGFbeta1-induced EndMT,
together with matrix deposition, can be attenuated by the TG2 selective inhibitor
1-155, suggesting a new role for TG2 in regulating TGFbeta1 signalling in
addition to its role in latent TGFbeta1 activation. In conclusion, TG2 has a role
in cardiac fibrosis through activation of myofibroblasts and matrix deposition.
TG2 inhibition using a selective small-molecule inhibitor can attenuate cardiac
fibrosis.
PMID- 29795263
TI - Author Correction: Atomic-resolution three-dimensional hydration structures on a
heterogeneously charged surface.
AB - The original version of the Supplementary Information associated with this
Article contained an error in Supplementary Figure 9e,f in which the y-axes were
incorrectly labelled from '-40' to '40', rather than the correct '-400' to '400'.
The HTML has been updated to include a corrected version of the Supplementary
Information.
PMID- 29795264
TI - Ultrathin, flexible and multimodal tactile sensors based on organic field-effect
transistors.
AB - In this study, a novel approach to the fabrication of a multimodal temperature
and force sensor on ultrathin, conformable and flexible substrates is presented.
This process involves coupling a charge-modulated organic field-effect transistor
(OCMFET) with a pyro/piezoelectric element, namely a commercial film of poly
vinylene difluoride (PVDF). The proposed device is able to respond to both
pressure stimuli and temperature variations, demonstrating the feasibility of the
approach for the development of low-cost, highly sensitive and conformable
multimodal sensors. The overall thickness of the device is 1.2 MUm, being thus
able to conform to any surface (including the human body), while keeping its
electrical performance. Furthermore, it is possible to discriminate between
simultaneously applied temperature and pressure stimuli by coupling sensing
surfaces made of poled and unpoled spin-coated PVDF-trifluoroethylene (PVDF-TrFE,
a PVDF copolymer) with OCMFETs. This demonstrates the possibility of creating
multimodal sensors that can be employed for applications in several fields,
ranging from robotics to wearable electronics.
PMID- 29795265
TI - Is GRK2 a new target for cardiovascular disease?
PMID- 29795261
TI - Transcriptomic response of breast cancer cells to anacardic acid.
AB - Anacardic acid (AnAc), a potential dietary agent for preventing and treating
breast cancer, inhibited the proliferation of estrogen receptor alpha (ERalpha)
positive MCF-7 and MDA-MB-231 triple negative breast cancer cells. To
characterize potential regulators of AnAc action, MCF-7 and MDA-MB-231 cells were
treated for 6 h with purified AnAc 24:1n5 congener followed by next generation
transcriptomic sequencing (RNA-seq) and network analysis. We reported that AnAc
differentially regulated miRNA transcriptomes in each cell line and now identify
AnAc-regulated changes in mRNA and lncRNA transcript expression. In MCF-7 cells,
80 AnAc-responsive genes were identified, including lncRNA MIR22HG. More AnAc
responsive genes (886) were identified in MDA-MB-231 cells. Only six genes were
commonly altered by AnAc in both cell lines: SCD, INSIG1, and TGM2 were decreased
and PDK4, GPR176, and ZBT20 were increased. Modeling of AnAc-induced gene changes
suggests that AnAc inhibits monounsaturated fatty acid biosynthesis in both cell
lines and increases endoplasmic reticulum stress in MDA-MB-231 cells. Since
modeling of downregulated genes implicated NFkappaB in MCF-7, we confirmed that
AnAc inhibited TNFalpha-induced NFkappaB reporter activity in MCF-7 cells. These
data identify new targets and pathways that may account for AnAc's anti
proliferative and pro-apoptotic activity.
PMID- 29795266
TI - Optimization of Photosensitized Tryptophan Oxidation in the Presence of Dimegin
Polyvinylpyrrolidone-Chitosan Systems.
AB - By the example of a model process of tryptophan photooxidation in the aqueous
medium in the presence of a three-component photosensitizing complex (porphyrin
photosensitizer-polyvinylpyrrolidone- chitosan, PPS-PVP-CT) in the temperature
range of 20-40 degrees S, we have demonstrated a possibility of modification of
such a process by selecting different molar ratios of the components in the
reaction mixture. The actual objective of this selection is the formation of a
certain PPS-PVP-CT composition in which PVP macromolecules would coordinate with
PPS molecules and at the same time practically block the complex binding of PPS
molecules with chitosan macromolecules. Such blocking allows utilization of the
bactericidal properties of chitosan to a greater extent, since chitosan is known
to depress the PPS photosensitizing activity in PPS-PVP-CT complexes when using
those in photodynamic therapy (PDT). The optimal composition of photosensitizing
complexes appears to be dependent on the temperature at which the PDT sessions
are performed. We have analyzed the correlations of the effective rate constants
of tryptophan photooxidation with the photophysical characteristics of the formed
complexes.
PMID- 29795267
TI - Ancient acquisition of "alginate utilization loci" by human gut microbiota.
AB - In bacteria from the phylum Bacteroidetes, the genes coding for enzymes involved
in polysaccharide degradation are often colocalized and coregulated in so-called
"polysaccharide utilization loci" (PULs). PULs dedicated to the degradation of
marine polysaccharides (e.g. laminaran, ulvan, alginate and porphyran) have been
characterized in marine bacteria. Interestingly, the gut microbiome of Japanese
individuals acquired, by lateral transfer from marine bacteria, the genes
involved in the breakdown of porphyran, the cell wall polysaccharide of the red
seaweed used in maki. Sequence similarity analyses predict that the human gut
microbiome also encodes enzymes for the degradation of alginate, the main cell
wall polysaccharide of brown algae. We undertook the functional characterization
of diverse polysaccharide lyases from family PL17, frequently found in marine
bacteria as well as those of human gut bacteria. We demonstrate here that this
family is polyspecific. Our phylogenetic analysis of family PL17 reveals that all
alginate lyases, which have all the same specificity and mode of action, cluster
together in a very distinct subfamily. The alginate lyases found in human gut
bacteria group together in a single clade which is rooted deeply in the PL17
tree. These enzymes were found in PULs containing PL6 enzymes, which also
clustered together in the phylogenetic tree of PL6. Together, biochemical and
bioinformatics analyses suggest that acquisition of this system appears ancient
and, because only traces of two successful transfers were detected upon
inspection of PL6 and PL17 families, the pace of acquisition of marine
polysaccharide degradation system is probably very slow.
PMID- 29795270
TI - Next-generation sequencing for measuring minimal residual disease in AML.
PMID- 29795271
TI - New evidence for treatment of ALAL.
PMID- 29795269
TI - Loss of PUMA protects the ovarian reserve during DNA-damaging chemotherapy and
preserves fertility.
AB - Female gametes are stored in the ovary in structures called primordial follicles,
the supply of which is non-renewable. It is well established that DNA-damaging
cancer treatments can deplete the ovarian reserve of primordial follicles,
causing premature ovarian failure and infertility. The precise mechanisms
underlying this chemotherapy-driven follicle loss are unclear, and this has
limited the development of targeted ovarian-protective agents. To address this
fundamental knowledge gap, we used gene deletion mouse models to examine the role
of the DNA damage-induced pro-apoptotic protein, PUMA, and its transcriptional
activator TAp63, in primordial follicle depletion caused by treatment with
cyclophosphamide or cisplatin. Cyclophosphamide caused almost complete
destruction of the primordial follicle pool in adult wild-type (WT) mice, and a
significant destructive effect was also observed for cisplatin. In striking
contrast, Puma-/- mice retained 100% of their primordial follicles following
either genotoxic treatment. Furthermore, elimination of PUMA alone completely
preserved fertility in cyclophosphamide-treated mice, indicating that oocytes
rescued from DNA damage-induced death can repair themselves sufficiently to
support reproductive function and offspring health. Primordial follicles were
also protected in TAp63-/- mice following cisplatin treatment, but not
cyclophosphamide, suggesting mechanistic differences in the induction of
apoptosis and depletion of the ovarian reserve in response to these different
chemotherapies. These studies identify PUMA as a crucial effector of apoptosis
responsible for depletion of primordial follicles following exposure to
cyclophosphamide or cisplatin, and this indicates that inhibition of PUMA may be
an effective ovarian-protective strategy during cancer treatment in women.
PMID- 29795272
TI - Extracellular vesicles in cancer - implications for future improvements in cancer
care.
AB - The sustained growth, invasion, and metastasis of cancer cells depend upon
bidirectional cell-cell communication within complex tissue environments. Such
communication predominantly involves the secretion of soluble factors by cancer
cells and/or stromal cells within the tumour microenvironment (TME), although
these cell types have also been shown to export membrane-encapsulated particles
containing regulatory molecules that contribute to cell-cell communication. These
particles are known as extracellular vesicles (EVs) and include species of
exosomes and shed microvesicles. EVs carry molecules such as oncoproteins and
oncopeptides, RNA species (for example, microRNAs, mRNAs, and long non-coding
RNAs), lipids, and DNA fragments from donor to recipient cells, initiating
profound phenotypic changes in the TME. Emerging evidence suggests that EVs have
crucial roles in cancer development, including pre-metastatic niche formation and
metastasis. Cancer cells are now recognized to secrete more EVs than their
nonmalignant counterparts, and these particles can be isolated from bodily
fluids. Thus, EVs have strong potential as blood-based or urine-based biomarkers
for the diagnosis, prognostication, and surveillance of cancer. In this Review,
we discuss the biophysical properties and physiological functions of EVs,
particularly their pro-metastatic effects, and highlight the utility of EVs for
the development of cancer diagnostics and therapeutics.
PMID- 29795273
TI - Improving the Explosive Performance of Aluminum Nanoparticles with Aluminum
Iodate Hexahydrate (AIH).
AB - A new synthesis approach for aluminum particles enables an aluminum core to be
passivated by an oxidizing salt: aluminum iodate hexahydrate (AIH). Transmission
electron microscopy (TEM) images show that AIH replaces the Al2O3 passivation
layer on Al particles that limits Al oxidation. The new core-shell particle
reactivity was characterized using laser-induced air shock from energetic
materials (LASEM) and results for two different Al-AIH core-shell samples that
vary in the AIH concentration demonstrate their potential use for explosive
enhancement on both fast (detonation velocity) and slow (blast effects)
timescales. Estimates of the detonation velocity for TNT-AIH composites suggest
an enhancement of up to 30% may be achievable over pure TNT detonation
velocities. Replacement of Al2O3 with AIH allows Al to react on similar
timescales as detonation waves. The AIH mixtures tested here have relatively low
concentrations of AIH (15 wt. % and 6 wt. %) compared to previously reported
samples (57.8 wt. %) and still increase TNT performance by up to 30%. Further
optimization of AIH synthesis could result in additional increases in explosive
performance.
PMID- 29795268
TI - Large-scale forward genetics screening identifies Trpa1 as a chemosensor for
predator odor-evoked innate fear behaviors.
AB - Innate behaviors are genetically encoded, but their underlying molecular
mechanisms remain largely unknown. Predator odor 2,4,5-trimethyl-3-thiazoline
(TMT) and its potent analog 2-methyl-2-thiazoline (2MT) are believed to activate
specific odorant receptors to elicit innate fear/defensive behaviors in naive
mice. Here, we conduct a large-scale recessive genetics screen of
ethylnitrosourea (ENU)-mutagenized mice. We find that loss of Trpa1, a
pungency/irritancy receptor, diminishes TMT/2MT and snake skin-evoked innate
fear/defensive responses. Accordingly, Trpa1 -/- mice fail to effectively
activate known fear/stress brain centers upon 2MT exposure, despite their
apparent ability to smell and learn to fear 2MT. Moreover, Trpa1 acts as a
chemosensor for 2MT/TMT and Trpa1-expressing trigeminal ganglion neurons
contribute critically to 2MT-evoked freezing. Our results indicate that Trpa1
mediated nociception plays a crucial role in predator odor-evoked innate
fear/defensive behaviors. The work establishes the first forward genetics screen
to uncover the molecular mechanism of innate fear, a basic emotion and
evolutionarily conserved survival mechanism.
PMID- 29795274
TI - Effect of physical activity on pulse wave velocity in elderly subjects with
normal glucose, prediabetes or Type 2 Diabetes.
AB - Carotid-femoral pulse wave velocity ((cf)PWV) is a measure of arterial stiffness,
predicting cardiovascular disease. We hypothesized that the amount of physical
activity (PA) is correlated with reduced arterial stiffness in Type 2 diabetic
(T2D) subjects. 570 subjects from the 1945 Oulu birth cohort were included in the
analysis. (cf)PWV was determined by a non-invasive applanation tonometry. Oral
glucose tolerance test was performed and LDL and HDL cholesterol analyzed. PA was
registered daily with a wrist-worn acceleration meter for two weeks. (cf)PWV
values in subjects with impaired glucose metabolism (IGM) and T2D were higher
than in normal glycemic subjects (P < 0.001). PA, fasting and 2 h glucose and
HbA1c correlated significantly with (cf)PWV, but HDL or LDL cholesterol did not.
The 2 h glucose, heart rate and alcohol consumption in T2D subjects had
independent effects on (cf)PWV in multiple regression analysis. T2D and IGM were
significantly associated to (cf)PWV. Interestingly, lipids did not have an
additional effect on (cf)PWV. Subjects walking more than 10 000 steps/day had 0.2
m/s lower (cf)PWV than those walking less than 6000 steps/day. Presence of T2D,
elevated heart rate and alcohol consumption in males were associated with
increased aortic stiffening in elderly subjects.
PMID- 29795275
TI - Phenotype and genotype predictors of BMI variability among European adults.
AB - BACKGROUND/OBJECTIVE: Obesity is a complex and multifactorial disease resulting
from the interactions among genetics, metabolic, behavioral, sociocultural and
environmental factors. In this sense, the aim of the present study was to
identify phenotype and genotype variables that could be relevant determinants of
body mass index (BMI) variability. SUBJECTS/METHODS: In the present study, a
total of 1050 subjects (798 females; 76%) were included. Least angle regression
(LARS) analysis was used as regression model selection technique, where the
dependent variable was BMI and the independent variables were age, sex, energy
intake, physical activity level, and 16 polymorphisms previously related to
obesity and lipid metabolism. RESULTS: The LARS analysis obtained the following
formula for BMI explanation: (64.7 + 0.10 * age [years] + 0.42 * gender [0, men;
1, women] + -40.6 * physical activity [physical activity level] + 0.004 * energy
intake [kcal] + 0.74 * rs9939609 [0 or 1-2 risk alleles] + -0.72 * rs1800206 [0
or 1-2 risk alleles] + -0.86 * rs1801282 [0 or 1-2 risk alleles] + 0.87 *
rs429358 [0 or 1-2 risk alleles]. The multivariable regression model accounted
for 21% of the phenotypic variance in BMI. The regression model was internally
validated by the bootstrap method (r2 original data set = 0.208, mean r2
bootstrap data sets = 0.210). CONCLUSION: In conclusion, age, physical activity,
energy intake and polymorphisms in FTO, APOE, PPARG and PPARA genes are
significant predictors of the BMI trait.
PMID- 29795278
TI - Investigation on the interface between Li10GeP2S12 electrolyte and carbon
conductive agents in all-solid-state lithium battery.
AB - All-solid-state batteries are considered as one of the attractive alternatives to
conventional lithium-ion batteries, due to their intrinsic safe properties
benefiting from the use of non-flammable solid electrolytes in ASSBs. However,
one of the issues in employing the solid-state electrolyte is the sluggish ion
transport kinetics arising from the chemical and physical instability of the
interfaces among solid components including electrode material, electrolyte and
additive agents. In this work, we investigate the stability of the interface
between carbon conductive agents and Li10GeP2S12 in a composite cathode and its
effect on the electrochemical performance of ASSBs. It is found that the
inclusion of various carbon conductive agents in composite cathode leads to
inferior kinetic performance of the cathode despite expectedly enhanced
electrical conductivity of the composite. We observe that the poor kinetic
performance is attributed to a large interfacial impedance which is gradually
developed upon the inclusions of the various carbon conductive agents regardless
of their physical differences. The analysis through X-ray Photoelectron
Spectroscopy suggests that the carbon additives in the composite cathode
stimulate the electrochemical decomposition of LGPS electrolyte degrading its
surface during cycling, indicating the large interfacial resistance stems from
the undesirable decomposition of the electrolyte at the interface.
PMID- 29795276
TI - Horizontal operon transfer, plasmids, and the evolution of photosynthesis in
Rhodobacteraceae.
AB - The capacity for anoxygenic photosynthesis is scattered throughout the phylogeny
of the Proteobacteria. Their photosynthesis genes are typically located in a so
called photosynthesis gene cluster (PGC). It is unclear (i) whether phototrophy
is an ancestral trait that was frequently lost or (ii) whether it was acquired
later by horizontal gene transfer. We investigated the evolution of phototrophy
in 105 genome-sequenced Rhodobacteraceae and provide the first unequivocal
evidence for the horizontal transfer of the PGC. The 33 concatenated core genes
of the PGC formed a robust phylogenetic tree and the comparison with single-gene
trees demonstrated the dominance of joint evolution. The PGC tree is, however,
largely incongruent with the species tree and at least seven transfers of the PGC
are required to reconcile both phylogenies. The origin of a derived branch
containing the PGC of the model organism Rhodobacter capsulatus correlates with a
diagnostic gene replacement of pufC by pufX. The PGC is located on plasmids in
six of the analyzed genomes and its DnaA-like replication module was discovered
at a conserved central position of the PGC. A scenario of plasmid-borne
horizontal transfer of the PGC and its reintegration into the chromosome could
explain the current distribution of phototrophy in Rhodobacteraceae.
PMID- 29795277
TI - Circuit variability interacts with excitatory-inhibitory diversity of
interneurons to regulate network encoding capacity.
AB - Local interneurons (LNs) in the Drosophila olfactory system exhibit neuronal
diversity and variability, yet it is still unknown how these features impact
information encoding capacity and reliability in a complex LN network. We
employed two strategies to construct a diverse excitatory-inhibitory neural
network beginning with a ring network structure and then introduced distinct
types of inhibitory interneurons and circuit variability to the simulated
network. The continuity of activity within the node ensemble (oscillation
pattern) was used as a readout to describe the temporal dynamics of network
activity. We found that inhibitory interneurons enhance the encoding capacity by
protecting the network from extremely short activation periods when the network
wiring complexity is very high. In addition, distinct types of interneurons have
differential effects on encoding capacity and reliability. Circuit variability
may enhance the encoding reliability, with or without compromising encoding
capacity. Therefore, we have described how circuit variability of interneurons
may interact with excitatory-inhibitory diversity to enhance the encoding
capacity and distinguishability of neural networks. In this work, we evaluate the
effects of different types and degrees of connection diversity on a ring model,
which may simulate interneuron networks in the Drosophila olfactory system or
other biological systems.
PMID- 29795279
TI - Dysregulation of the MiR-449b target TGFBI alters the TGFbeta pathway to induce
cisplatin resistance in nasopharyngeal carcinoma.
AB - Despite the improvement in locoregional control of nasopharyngeal carcinoma
(NPC), distant metastasis (DM), and chemoresistance persist as major causes of
mortality. This study identified a novel role for miR-449b, an overexpressed gene
in a validated four-miRNA signature for NPC DM, leading to chemoresistance via
the direct targeting of transforming growth factor beta-induced (TGFBI). In vitro
shRNA-mediated downregulation of TGFBI induced phosphorylation of PTEN and AKT,
increasing cisplatin resistance. Conversely, the overexpression of TGFBI
sensitized the NPC cells to cisplatin. In NPC patients treated with concurrent
chemoradiotherapy (CRT), the overall survival (OS) was significantly inversely
correlated with miR-449b, and directly correlated with both TGFBI mRNA and
protein expression, as assessed by RNA sequencing and immunohistochemistry (IHC).
Mechanistically, co-immunoprecipitation demonstrated that TGFBI competes with pro
TGFbeta1 for integrin receptor binding. Decreased TGFBI led to increased pro
TGFbeta1 activation and TGFbeta1 canonical/noncanonical pathway-induced cisplatin
resistance. Thus, overexpression of miR-449b decreases TGFBI, thereby altering
the balance between TGFBI and pro-TGFbeta1, revealing a novel mechanism of
chemoresistance in NPC.
PMID- 29795280
TI - Probing the gating mechanism of the mechanosensitive channel Piezo1 with the
small molecule Yoda1.
AB - Piezo proteins are transmembrane ion channels which transduce many forms of
mechanical stimuli into electrochemical signals. Their pore, formed by the
assembly of three identical subunits, opens by an unknown mechanism. Here, to
probe this mechanism, we investigate the interaction of Piezo1 with the small
molecule agonist Yoda1. By engineering chimeras between mouse Piezo1 and its
Yoda1-insensitive paralog Piezo2, we first identify a minimal protein region
required for Yoda1 sensitivity. We next study the effect of Yoda1 on
heterotrimeric Piezo1 channels harboring wild type subunits and Yoda1-insensitive
mutant subunits. Using calcium imaging and patch-clamp electrophysiology, we show
that hybrid channels harboring as few as one Yoda1-sensitive subunit exhibit
Yoda1 sensitivity undistinguishable from homotrimeric wild type channels. Our
results show that the Piezo1 pore remains fully open if only one subunit remains
activated. This study sheds light on the gating and pharmacological mechanisms of
a member of the Piezo channel family.
PMID- 29795282
TI - Author Correction: Variation in diagnostic test requests and outcomes: a
preliminary metric for OpenPathology.net.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29795281
TI - Laminin gamma3 plays an important role in retinal lamination, photoreceptor
organisation and ganglion cell differentiation.
AB - Laminins are heterotrimeric glycoproteins of the extracellular matrix. Eleven
different laminin chains have been identified in vertebrates. They are
ubiquitously expressed in the human body, with a distinct tissue distribution.
Laminin expression in neural retina and their functional role during human
retinogenesis is still unknown. This study investigated the laminin expression in
human developing and adult retina, showing laminin alpha1, alpha5, beta1, beta2
and gamma1 to be predominantly expressed in Bruch's membrane and the inner
limiting membrane. Laminin-332 and laminin gamma3 expression were mainly observed
in the neural retina during retinal histogenesis. These expression patterns were
largely conserved in pluripotent stem cell-derived retinal organoids. Blocking of
laminin gamma3 function in retinal organoids resulted in the disruption of
laminar organisation and synapse formation, the loss of photoreceptor
organisation and retinal ganglion cells. Our data demonstrate a unique temporal
and spatial expression for laminins and reveal a novel role for laminin gamma3
during human retinogenesis.
PMID- 29795283
TI - Positive effects of hydrogen-water bathing in patients of psoriasis and
parapsoriasis en plaques.
AB - Psoriasis and parapsoriasis en plaques are chronic inflammatory skin diseases,
both representing therapeutic challenge in daily practice and adversely affecting
the quality of life. Reactive oxygen species (ROS) has been evidenced to be
involved in the pathogenesis of the chronic inflammatory diseases. We now report
that hydrogen water, an effective ROS scavenger, has significant and rapid
improvement in disease severity and quality of life for patients with psoriasis
and parapsoriasis en plaques. At week 8, our parallel-controlled trial revealed
24.4% of patients (10/41) receiving hydrogen-water bathing achieved at least 75%
improvement in Psoriasis Area Severity Index (PASI) score compared with 2.9% of
patients (1/34) of the control group (Pc = 0.022, OR = 0.094, 95%CI = [0.011,
0.777]). Of patients, 56.1% (23/41) who received bathing achieved at least 50%
improvement in PASI score compared with only 17.7%(6/34) of the control group (P
= 0.001, OR = 0.168, 95%CI = [0.057, 0.492]). The significant improvement of
pruritus was also observed (P = 3.94 * 10-4). Besides, complete response was
observed in 33.3% of patients (2/6) of parapsoriasis en plaques and partial
response in 66.7% (4/6) at week 8. Our findings suggested that hydrogen-water
bathing therapy could fulfill the unmet need for these chronic inflammatory skin
diseases.
PMID- 29795284
TI - Complete microtubule-kinetochore occupancy favours the segregation of merotelic
attachments.
AB - Kinetochores are multi-protein complexes that power chromosome movements by
tracking microtubules plus-ends in the mitotic spindle. Human kinetochores bind
up to 20 microtubules, even though single microtubules can generate sufficient
force to move chromosomes. Here, we show that high microtubule occupancy at
kinetochores ensures robust chromosome segregation by providing a strong
mechanical force that favours segregation of merotelic attachments during
anaphase. Using low doses of the microtubules-targeting agent BAL27862 we reduce
microtubule occupancy and observe that spindle morphology is unaffected and bi
oriented kinetochores can still oscillate with normal intra-kinetochore
distances. Inter-kinetochore stretching is, however, dramatically reduced. The
reduction in microtubule occupancy and inter-kinetochore stretching does not
delay satisfaction of the spindle assembly checkpoint or induce microtubule
detachment via Aurora-B kinase, which was so far thought to release microtubules
from kinetochores under low stretching. Rather, partial microtubule occupancy
slows down anaphase A and increases incidences of lagging chromosomes due to
merotelically attached kinetochores.
PMID- 29795285
TI - Critical role of OX40 in the expansion and survival of CD4 T-cell-derived double
negative T cells.
AB - CD4+ T-cell-converted CD4-CD8- double negative (cDNT) have strong suppressive
activity in the maintenance of immune tolerance, whereas IL-2 promotes cDNT
proliferation and enhances cDNT resistance to apoptosis. However, the intrinsic
mechanisms that regulate the survival of cDNT are still unknown. Here we
demonstrate that the OX40 molecule was highly expressed on cDNT. The expression
of OX40 was necessary to promote proliferation and inhibit apoptosis of cDNT in
vivo and in vitro. OX40 promoted the survival of cDNT by regulating the
expression of Bcl-2, Bcl-xL, Survivin, and BCL2L11. Canonical NF-kappaB cell
signaling played an important role in the transmission of essential division and
survival signals through OX40 in cDNT. IL-2 promoted the survival of cDNT in part
via elevating the expression of the OX40 molecule. IL-2 promoted OX40 expression
via downregulating the PPARalpha expression. In conclusion, we elucidated that
OX40 is a key molecule that regulates cDNT proliferation and survival. IL-2
promoted OX40 expression by downregulating the PPARalpha binding to the OX40
promoter, leading to the elevated expression of Bcl-2, Bcl-xL, and Survivin in
cDNT, which finally resulted in the promoted proliferation and decreased
apoptosis of cDNT.
PMID- 29795286
TI - Analysis of the concentrations and size distributions of cell-free DNA in
schizophrenia using fluorescence correlation spectroscopy.
AB - Cell-free DNA (cfDNA), which is primarily released following cell death, has been
described and developed to serve as an effective biomarker in autoimmune diseases
which may share the pathogenesis with schizophrenia. In this study, we
hypothesized and explored whether the concentrations and size distributions of
cfDNA are abnormal in schizophrenia. A total of 65 patients with schizophrenia
(SZ), 29 patients with mood disorders (MD) and 62 matched healthy controls (HC)
were included in the study. Fluorescence correlation spectroscopy was used to
assay the molar concentrations and size distributions of cfDNA. Fluorometric
quantification and quantitative real-time PCR (qPCR) were performed to verify the
results. The cfDNA levels were approximately two-fold higher in the SZ group ((29
+/- 15) nM) than in the healthy controls ((15 +/- 9) nM; P-value = 0.00062), but
the levels in patients with MD were not significantly different from those in the
healthy controls ((17 +/- 10) nM; P-value = 0.343). According to the size
distribution analysis, cfDNA in schizophrenia patients was composed of shorter
DNA molecules and showed an apoptosis-like distribution pattern. Our study shows
the elevated levels and short sizes of cfDNA in schizophrenia patients, which
provide direct evidences supporting increased apoptotic activity in the disease.
cfDNA may be developed to serve as an auxiliary diagnostic marker for the disease
in the future.
PMID- 29795287
TI - Identification of the miRNAome of early mesoderm progenitor cells and
cardiomyocytes derived from human pluripotent stem cells.
AB - MicroRNAs are small non-coding RNAs involved in post-transcriptional regulation
of gene expression related to many cellular functions. We performed a small
RNAseq analysis of cardiac differentiation from pluripotent stem cells. Our
analyses identified some new aspects about microRNA expression in this
differentiation process. First, we described a dynamic expression profile of
microRNAs where some of them are clustered according to their expression level.
Second, we described the extensive network of isomiRs and ADAR modifications.
Third, we identified the microRNAs families and clusters involved in the
establishment of cardiac lineage and define the mirRNAome based on these groups.
Finally, we were able to determine a more accurate miRNAome associated with
cardiomyocytes by comparing the expressed microRNAs with other mature cells.
MicroRNAs exert their effect in a complex and interconnected way, making
necessary a global analysis to better understand their role. Our data expands the
knowledge of microRNAs and their implications in cardiomyogenesis.
PMID- 29795288
TI - Assessment of Central Visual Function in Patients with Retinitis Pigmentosa.
AB - In order to clarify the disease progression in retinitis pigmentosa (RP) and its
related factors, reliable data on the changes in central visual function in RP
are needed. In this longitudinal study, we examined 118 patients who were
diagnosed with typical RP. Visual acuity (VA), visual field using a Humphrey
Field Analyzer with the central 10-2 SITA-Standard program, and optical coherence
tomography measurements were obtained. The slopes, which were derived from serial
values of mean deviation (MD), macular sensitivity (MS), or foveal sensitivity
(FS) obtained for each eye by a linear mixed model, were used for analysis. MS
and FS were calculated as the average retinal sensitivity of 12 and 4 central
points respectively. There were statistically significant interactions of times
with levels of the central subfield thickness (CST) on the slopes of MS and FS.
Compared to the eyes without macular complications, the eyes with macular
complications had steeper MD, MS and FS slopes, and this interaction was no
significant, but marginal trend for the MS or FS slope (P = 0.10, 0.05,
respectively). The central retinal sensitivity (i.e., MS and FS) slopes
calculated were effective indices of the progression of central visual function
in RP.
PMID- 29795290
TI - Brain APOE expression quantitative trait loci-based association study identified
one susceptibility locus for Alzheimer's disease by interacting with APOE
epsilon4.
AB - Some studies have demonstrated interactions of AD-risk single nucleotide
polymorphisms (SNPs) in non-APOE regions with APOE genotype. Nevertheless, no
study reported interactions of expression quantitative trait locus (eQTL) for
APOE with APOE genotype. In present study, we included 9286 unrelated AD patients
and 8479 normal controls from 12 cohorts of NIA Genetics of Alzheimer's Disease
Data Storage Site (NIAGADS) and Alzheimer's Disease Neuroimaging Initiative
(ADNI). 34 unrelated brain eQTLs for APOE were compiled from BRAINEAC and GTEx.
We used multi-covariate logistic regression analysis to identify eQTLs interacted
with APOE epsilon4. Adjusted for age and gender, substantia nigra eQTL rs438811
for APOE showed significantly strong interaction with APOE epsilon4 status (OR,
1.448; CI, 1.124-1.430; P-value = 7.94 * 10-6). APOE epsilon4-based sub-group
analyses revealed that carrying one minor allele T of rs438811 can increase the
opportunity of developing to AD by 26.75% in APOE epsilon4 carriers but not in
non-carriers. We revealed substantia nigra eQTL rs438811 for APOE can interact
with APOE epsilon4 and confers risk in APOE epsilon4 carriers only.
PMID- 29795289
TI - Distinct roles of XPF-ERCC1 and Rad1-Rad10-Saw1 in replication-coupled and
uncoupled inter-strand crosslink repair.
AB - Yeast Rad1-Rad10 (XPF-ERCC1 in mammals) incises UV, oxidation, and cross-linking
agent-induced DNA lesions, and contributes to multiple DNA repair pathways. To
determine how Rad1-Rad10 catalyzes inter-strand crosslink repair (ICLR), we
examined sensitivity to ICLs from yeast deleted for SAW1 and SLX4, which encode
proteins that interact physically with Rad1-Rad10 and bind stalled replication
forks. Saw1, Slx1, and Slx4 are critical for replication-coupled ICLR in mus81
deficient cells. Two rad1 mutations that disrupt interactions between Rpa1 and
Rad1-Rad10 selectively disable non-nucleotide excision repair (NER) function, but
retain UV lesion repair. Mutations in the analogous region of XPF also
compromised XPF interactions with Rpa1 and Slx4, and are proficient in NER but
deficient in ICLR and direct repeat recombination. We propose that Rad1-Rad10
makes distinct contributions to ICLR depending on cell cycle phase: in G1, Rad1
Rad10 removes ICL via NER, whereas in S/G2, Rad1-Rad10 facilitates NER
independent replication-coupled ICLR.
PMID- 29795291
TI - TGF-beta concentrations and activity are down-regulated in the aqueous humor of
patients with neovascular age-related macular degeneration.
AB - Controversy still exists regarding the role of the TGF-beta in neovascular age
related macular degeneration (nAMD), a major cause of severe visual loss in the
elderly in developed countries. Here, we measured the concentrations of active
TGF-beta1, TGF-beta2, and TGF-beta3 by ELISA in the aqueous humor of 20 patients
affected by nAMD, who received 3 consecutive monthly intravitreal injections of
anti-VEGF-A antibody. Samples were collected at baseline (before the first
injection), month 1 (before the second injection), and month 2 (before the third
injection). The same samples were used in a luciferase-based reporter assay to
test the TGF-beta pathway activation. Active TGF-beta1 concentrations in the
aqueous humor were below the minimum detectable dose. Active TGF-beta2
concentrations were significantly lower at baseline and at month 1, compared to
controls. No significant differences in active TGF-beta3 concentration were found
among the sample groups. Moreover, TGF-beta pathway activation was significantly
lower at baseline compared to controls. Our data corroborate an anti-angiogenic
role for TGF-beta2 in nAMD. This should be considered from the perspective of a
therapy using TGF-beta inhibitors.
PMID- 29795292
TI - Self-selection of dissipative assemblies driven by primitive chemical reaction
networks.
AB - Life is a dissipative nonequilibrium structure that requires constant consumption
of energy to sustain itself. How such an unstable state could have selected from
an abiotic pool of molecules remains a mystery. Here we show that liquid phase
separation offers a mechanism for the selection of dissipative products from a
library of reacting molecules. We bring a set of primitive carboxylic acids out
of-equilibrium by addition of high-energy condensing agents. The resulting
anhydrides are transiently present before deactivation via hydrolysis. We find
the anhydrides that phase-separate into droplets to protect themselves from
hydrolysis and to be more persistent than non-assembling ones. Thus, after
several starvation-refueling cycles, the library self-selects the phase
separating anhydrides. We observe that the self-selection mechanism is more
effective when the library is brought out-of-equilibrium by periodic addition of
batches as opposed to feeding it continuously. Our results suggest that phase
separation offers a selection mechanism for energy dissipating assemblies.
PMID- 29795294
TI - Electric switching of magnetism in 2D.
PMID- 29795293
TI - Profiling human breast epithelial cells using single cell RNA sequencing
identifies cell diversity.
AB - Breast cancer arises from breast epithelial cells that acquire genetic
alterations leading to subsequent loss of tissue homeostasis. Several distinct
epithelial subpopulations have been proposed, but complete understanding of the
spectrum of heterogeneity and differentiation hierarchy in the human breast
remains elusive. Here, we use single-cell mRNA sequencing (scRNAseq) to profile
the transcriptomes of 25,790 primary human breast epithelial cells isolated from
reduction mammoplasties of seven individuals. Unbiased clustering analysis
reveals the existence of three distinct epithelial cell populations, one basal
and two luminal cell types, which we identify as secretory L1- and hormone
responsive L2-type cells. Pseudotemporal reconstruction of differentiation
trajectories produces one continuous lineage hierarchy that closely connects the
basal lineage to the two differentiated luminal branches. Our comprehensive cell
atlas provides insights into the cellular blueprint of the human breast
epithelium and will form the foundation to understand how the system goes awry
during breast cancer.
PMID- 29795295
TI - Impact of the griffithsin anti-HIV microbicide and placebo gels on the rectal
mucosal proteome and microbiome in non-human primates.
AB - Topical microbicides are being explored as an HIV prevention method for
individuals who practice receptive anal intercourse. In vivo studies of these
microbicides are critical to confirm safety. Here, we evaluated the impact of a
rectal microbicide containing the antiviral lectin, Griffithsin (GRFT), on the
rectal mucosal proteome and microbiome. Using a randomized, crossover placebo
controlled design, six rhesus macaques received applications of
hydroxyethylcellulose (HEC)- or carbopol-formulated 0.1% GRFT gels. Rectal
mucosal samples were then evaluated by label-free tandem MS/MS and 16 S rRNA gene
amplicon sequencing, for proteomics and microbiome analyses, respectively.
Compared to placebo, GRFT gels were not associated with any significant changes
to protein levels at any time point (FDR < 5%), but increased abundances of two
common and beneficial microbial taxa after 24 hours were observed in HEC-GRFT gel
(p < 2E-09). Compared to baseline, both placebo formulations were associated with
alterations to proteins involved in proteolysis, activation of the immune
response and inflammation after 2 hours (p < 0.0001), and increases in beneficial
Faecalibacterium spp. after 24 hours in HEC placebo gel (p = 4.21E-15). This
study supports the safety profile of 0.1% GRFT gel as an anti-HIV microbicide and
demonstrates that current placebo formulations may associate with changes to
rectal proteome and microbiota.
PMID- 29795296
TI - Ochratoxin A reduction ability of biocontrol agent Bacillus subtilis isolated
from Korean traditional fermented food Kimchi.
AB - In the present study, a new biocontrol strain, Bacillus subtilis KU-153, was
isolated from the Korean traditional fermented food Kimchi and evaluated for its
ability to reduce the ochratoxin A (OTA) content in culture medium. A 16 S rRNA
gene sequencing analysis revealed the identity of newly isolated strain KU-153 as
B. subtilis. The growth kinetic study of B. subtilis KU-153, in terms of the OTA
reduction in culture medium, confirmed its biocontrol efficacy. To verify its
ability to reduce the OTA content in culture medium, bacterial extracts
(intracellular and extracellular) of B. subtilis were separated and compared with
whole B. subtilis cells (viable and heat-killed). No reduction in the OTA content
was observed in culture medium with extracellular and intracellular extracts,
while viable and heat-killed cells of B. subtilis showed significant levels (p <
0.05) of OTA reduction in culture medium. Interestingly, B. subtilis heat-treated
cells showed a higher OTA reduction (45%) than viable cells (22%). Further, B.
subtilis heat-treated cells were assessed for their ability to reduce OTA levels
in artificially contaminated red wine samples that resulted in an OTA reduction
of approximately 90%, suggesting the biocontrol potential of the newly isolated
strain B. subtilis KU-153 on OTA reduction.
PMID- 29795297
TI - An integrated model of gene-culture coevolution of language mediated by
phenotypic plasticity.
AB - In this paper, we propose an agent-based model for investigating possible
scenarios of genetic and cultural language evolution based on an integrated gene
culture coevolutionary framework. We focused on the following problems: (1) how
communicative ability can evolve directionally under positive frequency-dependent
selection and (2) how much of the directional effect there is between language
and biological evolution. In our evolutionary experiments and analysis, we
discovered a coevolutionary scenario involving the biological evolution of
phenotypic plasticity and a cyclic coevolutionary dynamic between genetic and
cultural evolution that is mediated by phenotypic plasticity. Furthermore, we
discovered that the rates of cultural change are usually faster than the
biological rates and fluctuate on a short time scale; on a long time scale,
however, cultural rates tend to be slow. This implies that biological evolution
can maintain the pace with language evolution. Finally, we analyzed the transfer
entropy for a quantitative discussion of the directional effects between both
evolutions. The results showed that biological evolution appears to be unable to
maintain the pace with language evolution on short time scales, while their
mutual directional effects are in the same range on long time scales. This
implies that language and the relevant biology could coevolve.
PMID- 29795298
TI - Controlling invasive ant species: a theoretical strategy for efficient monitoring
in the early stage of invasion.
AB - Invasion by the red imported fire ant, Solenopsis invicta Buren, has destructive
effects on native biodiversity, agriculture and public health. This ant's
aggressive foraging behaviour and high reproductive capability have enabled its
establishment of wild populations in most regions into which it has been
imported. An important aspect of eradication is thorough nest monitoring and
destruction during early invasion to prevent range expansion. The question is:
How intense must monitoring be on temporal and spatial scales to eradicate the
fire ant? Assuming that the ant was introduced into a region and that monitoring
was conducted immediately after nest detection in an effort to detect all other
potentially established nests, we developed a mathematical model to investigate
detection rates. Setting the monitoring limit to three years, the detection rate
was maximized when monitoring was conducted shifting bait trap locations and
setting them at intervals of 30 m for each monitoring. Monitoring should be
conducted in a radius of at least 4 km around the source nest, or wider-depending
on how late a nest is found. For ease of application, we also derived equations
for finding the minimum bait interval required in an arbitrary ant species for
thorough monitoring.
PMID- 29795300
TI - Distribution of tetraether lipids in sulfide chimneys at the Deyin hydrothermal
field, southern Mid-Atlantic Ridge: Implication to chimney growing stage.
AB - This study presents analysis of four chimney samples in terms of glycerol dialkyl
glycerol tetraether lipids (GDGTs), representing different growing stages of
sulfide chimneys at the Deyin hydrothermal field, the southern mid-Atlantic
ridge. The modified Bligh-Dyer method was used for lipid extraction and
purification. GDGTs were analyzed with an Agilent 1200 series liquid
chromatograph and 6460A triple quadrupole mass spectrometer. Our results showed
that the intact polar GDGTs were more abundant than the core GDGTs in the 4
samples. The intact polar isoprenoidal GDGT-0 was the dominant composition (>70%
of isoprenoidal GDGTs), indicating input of thermophilic Euryarchaeota. Most
branched GDGTs were likely originated from the in situ thermophilic bacteria.
However, the intact polar GDGTs in the sample at the late growing stage was
similar to that in normal marine sediments, suggesting that the archaea mainly
came from the planktonic Thaumarchaeota input. Our results suggested that the
ratio of H-GDGTs to iGDGTs could be considered as a proxy to differentiated
growing stages of a chimney. This study shed light on how to assess hydrothermal
venting and sulfide chimneys in deep marine environments with a biomarker method
in terms of different groups of GDGTs.
PMID- 29795299
TI - Raphidocelis subcapitata (=Pseudokirchneriella subcapitata) provides an insight
into genome evolution and environmental adaptations in the Sphaeropleales.
AB - The Sphaeropleales are a dominant group of green algae, which contain species
important to freshwater ecosystems and those that have potential applied usages.
In particular, Raphidocelis subcapitata is widely used worldwide for bioassays in
toxicological risk assessments. However, there are few comparative genome
analyses of the Sphaeropleales. To reveal genome evolution in the Sphaeropleales
based on well-resolved phylogenetic relationships, nuclear, mitochondrial, and
plastid genomes were sequenced in this study. The plastid genome provides
insights into the phylogenetic relationships of R. subcapitata, which is located
in the most basal lineage of the four species in the family Selenastraceae. The
mitochondrial genome shows dynamic evolutionary histories with intron expansion
in the Selenastraceae. The 51.2 Mbp nuclear genome of R. subcapitata, encoding
13,383 protein-coding genes, is more compact than the genome of its closely
related oil-rich species, Monoraphidium neglectum (Selenastraceae), Tetradesmus
obliquus (Scenedesmaceae), and Chromochloris zofingiensis (Chromochloridaceae);
however, the four species share most of their genes. The Sphaeropleales possess a
large number of genes for glycerolipid metabolism and sugar assimilation, which
suggests that this order is capable of both heterotrophic and mixotrophic
lifestyles in nature. Comparison of transporter genes suggests that the
Sphaeropleales can adapt to different natural environmental conditions, such as
salinity and low metal concentrations.
PMID- 29795301
TI - Concentration-dependent protein loading of extracellular vesicles released by
Histoplasma capsulatum after antibody treatment and its modulatory action upon
macrophages.
AB - Diverse pathogenic fungi secrete extracellular vesicles (EV) that contain
macromolecules, including virulence factors that can modulate the host immune
response. We recently demonstrated that the binding of monoclonal antibodies
(mAb) modulates how Histoplasma capsulatum load and releases its extracellular
vesicles (EV). In the present paper, we addressed a concentration-dependent
impact on the fungus' EV loading and release with different mAb, as well as the
pathophysiological role of these EV during the host-pathogen interaction. We
found that the mAbs differentially regulate EV content in concentration-dependent
and independent manners. Enzymatic assays demonstrated that laccase activity in
EV from H. capsulatum opsonized with 6B7 was reduced, but urease activity was not
altered. The uptake of H. capsulatum by macrophages pre-treated with EV,
presented an antibody concentration-dependent phenotype. The intracellular
killing of yeast cells was potently inhibited in macrophages pre-treated with EV
from 7B6 (non-protective) mAb-opsonized H. capsulatum and this inhibition was
associated with a decrease in the reactive-oxygen species generated by these
macrophages. In summary, our findings show that opsonization quantitatively and
qualitatively modifies H. capsulatum EV load and secretion leading to distinct
effects on the host's immune effector mechanisms, supporting the hypothesis that
EV sorting and secretion are dynamic mechanisms for a fine-tuned response by
fungal cells.
PMID- 29795302
TI - HDV infection rates in northern Vietnam.
AB - Hepatitis D caused by the hepatitis delta virus (HDV) is a serious health problem
in many regions of the world. A total of 546 HBV-infected patients were enrolled
from 2013 to 2015 and classified clinically into the subgroups of chronic
hepatitis B (CHB, n = 191), liver cirrhosis (LC, n = 147) and hepatocellular
carcinoma (HCC, n = 208). The patients were screened for HDV-RNA by nested PCR
assays. HDV genotypes were assessed by direct sequencing, followed by
phylogenetic analysis. HDV-RNA was identified in 13% (71/546) of HBV-infected
patients. The highest HDV prevalence was found in the LC group (19.7%), followed
by the HCC (12%) and CHB (8.9%) groups (P = 0.017). HDV/HBV coinfections were
significantly associated with a rather unfavourable clinical outcome, in
particular with LC development compared to HBV monoinfection. Phylogenetic
analyses indicated that the genotype HDV1 was, with a prevalence of 91%, by far
the most common genotype in Vietnam, followed by HDV2 with 9%. Other HDV
genotypes were not observed. In accordance with previous data obtained a decade
ago, our results confirm a continuing high prevalence of HDV infection in
hepatitis B patients in northern Vietnam with the HDV1 genotype still being the
predominant genotype. HDV nucleic acid testing to minimize the associated risk
should be considered.
PMID- 29795303
TI - Prevalence and Associations of Illicit Drug and Polydrug Use in People Living
with HIV in Vienna.
AB - We aimed to determine the prevalence of drug and polydrug use in people living
with HIV in Austria for the first time for which a two center cross-sectional
study was performed. Participants were recruited from consecutive patients during
their regularly scheduled visits. In total 438 participants were included in the
analysis. For this study we used paper-pencil and online-based questionnaires.
The prevalence of illicit drug use was 60.5%; with cannabis use at 31.5%,
nitrates at 31.5%, sildenafil/tadalafil at 24% and cocaine at 14%, being the most
used substances. Use of more than one substance (polydrug) in drug users was
69.4% or 42.0% in the total study population. Younger age, male gender, and
living in an urban area were associated with drug use. Moreover, drug use during
clubbing and sex, HIV therapy non-adherence and younger age were associated with
polydrug use. Drug users reported condomless sex in 42.4% and performing sexual
acts they would not do sober in 44.1%. Results indicate a high prevalence of
illicit drug use in PLWHIV in Austria. New research focusing on illicit drug use
in PLWHIV should focus on the use of substances during sex and surrounding
practices.
PMID- 29795304
TI - NELFCD and CTSZ loci are associated with jaundice-stage progression in primary
biliary cholangitis in the Japanese population.
AB - Approximately 10-20% of patients with primary biliary cholangitis (PBC) progress
to jaundice stage regardless of treatment with ursodeoxycholic acid and
bezafibrate. In this study, we performed a GWAS and a replication study to
identify genetic variants associated with jaundice-stage progression in PBC using
a total of 1,375 patients (1,202 early-stage and 173 jaundice-stage) in a
Japanese population. SNP rs13720, which is located in the 3'UTR of cathepsin Z
(CTSZ), showed the strongest association (odds ratio [OR] = 2.15, P = 7.62 * 10
7) with progression to jaundice stage in GWAS. High-density association mapping
at the CTSZ and negative elongation factor complex member C/D (NELFCD) loci,
which are located within a strong linkage disequilibrium (LD) block, revealed
that an intronic SNP of CTSZ, rs163800, was significantly associated with
jaundice-stage progression (OR = 2.16, P = 8.57 * 10-8). In addition, eQTL
analysis and in silico functional analysis indicated that genotypes of rs163800
or variants in strong LD with rs163800 influence expression levels of both NELFCD
and CTSZ mRNA. The present novel findings will contribute to dissect the
mechanism of PBC progression and also to facilitate the development of therapies
for PBC patients who are resistant to current therapies.
PMID- 29795305
TI - Adenovirus-mediated transfer of HPV 16 E6/E7 antisense RNA combined with
cisplatin inhibits cellular growth and induces apoptosis in HPV-positive head and
neck cancer cells.
AB - Human papillomavirus (HPV) infection has been identified as an etiologic factor
of head and neck cancers (HNCs). We explored the potential use of antisense HPV
RNA transcripts for gene therapy and its effect in combination with cisplatin
(CDDP) for HPV-positive HNCs. We introduced the antisense RNA transcripts of the
E6 and E7 genes of HPV type 16 into UM-SCC-47 cells harboring HPV 16 and YCU-T892
cells that were HPV-negative using a recombinant adenoviral vector, Ad-E6/E7-AS.
We then analyzed the effects of the introduction of Ad-E7-AS on cell and tumor
growth and the synergistic effect with CDDP in vitro and in vivo. After infection
of Ad-E6/E7-AS, the cellular growth of UM-SCC-47 cells were suppressed, but not
that of YCU-T892 cells. E7 protein expression was suppressed, and p53 and pRb
protein expression increased after infection of Ad-E7-AS. Cell growth and
tumorigenicity were greatly suppressed in combination with CDDP compared with Ad
E7-AS or CDDP treatment alone in vitro. Ad-E7-AS combined with CDDP treatment
significantly reduced the volumes of established subcutaneous tumors.
Transfection with HPV 16 E7 antisense RNA combined with CDDP treatment might be a
potentially useful approach to the therapy of HPV 16-positive HNC.
PMID- 29795307
TI - The efficacy of VEGFR TKI therapy after progression on immune combination therapy
in metastatic renal cell carcinoma.
AB - BACKGROUND: The outcome of patients who progress on front-line immune-based
combination regimens (IC) including immune checkpoint inhibitors (CPI) and
receive subsequent systemic therapy is unknown. METHODS: Retrospective analysis
of consecutive patients with clear-cell mRCC who progressed on one of seven
clinical trials investigating an IC and received >=1 line of subsequent VEGFR TKI
therapy. RESULTS: Thirty-three patients [median age 57 (37-77), 85% male, 73%
ECOG 0] were included. For evaluable patients (N = 28), the best response to
first subsequent therapy was 29% partial response, 54% stable disease, and 18%
progressive disease. The median PFS (mPFS) for first subsequent therapy was 6.4
months (95% CI, 4.4-8.4); no difference in mPFS by prior type of IC (VEGFR TKI
CPI vs. CPI-CPI) was noted (p = 0.310). Significant AEs were observed in 30% of
patients, more frequently transaminitis (9%). CONCLUSIONS: VEGFR TKIs have
clinical activity in mRCC refractory to IC therapy, possibly impacted by the
mechanism of prior combination therapy.
PMID- 29795308
TI - Impact of race on dose selection of molecular-targeted agents in early-phase
oncology trials.
AB - BACKGROUND: We examined the impact of race on the maximum tolerated doses (MTD)
and final approved doses (FAD) of single-agent molecular-targeted agents (MTA) in
North America/Europe (NA/EU) and Asia. METHODS: We searched PubMed and regulatory
databases to identify targeted drugs approved globally and compared their FAD and
MTD in corresponding phase I/II studies conducted separately in NA/EU and Asia.
To evaluate this further, we conducted parallel, prospective, first-in-human
studies of DS-7423, a dual PI3K/mTOR inhibitor, in patients with advanced solid
tumours in the US and Japan. We pooled and compared the pharmacokinetics (PK),
pharmacodynamics (PD), toxicity, and efficacy between these populations. RESULTS:
17 MTA were approved in NA/EU and Asia from 2001 to 2015. Recommended phase 2
doses (RP2D) were identical across races in 14 of 17 (80%) studies and
differences were not clinically meaningful. FAD were identical across all
regions. 42 and 27 patients from US and Japan, respectively, were enrolled in the
phase I studies of DS-7423. Despite differences in race, body weight, and body
mass index, the RP2D were 240 mg/day with no differences in toxicities, PK, PD,
or efficacy. CONCLUSIONS: Conducting separate clinical trials of single-agent MTA
in Caucasian and Asian populations may be redundant.
PMID- 29795306
TI - Mendelian randomisation study of age at menarche and age at menopause and the
risk of colorectal cancer.
AB - BACKGROUND: Substantial evidence supports an association between use of
menopausal hormone therapy and decreased colorectal cancer (CRC) risk, indicating
a role of exogenous sex hormones in CRC development. However, findings on
endogenous oestrogen exposure and CRC are inconsistent. METHODS: We used a
Mendelian randomisation approach to test for a causal effect of age at menarche
and age at menopause as surrogates for endogenous oestrogen exposure on CRC risk.
Weighted genetic risk scores based on 358 single-nucleotide polymorphisms
associated with age at menarche and 51 single-nucleotide polymorphisms associated
with age at menopause were used to estimate the association with CRC risk using
logistic regression in 12,944 women diagnosed with CRC and 10,741 women without
CRC from three consortia. Sensitivity analyses were conducted to address
pleiotropy and possible confounding by body mass index. RESULTS: Genetic risk
scores for age at menarche (odds ratio per year 0.98, 95% confidence interval:
0.95-1.02) and age at menopause (odds ratio 0.98, 95% confidence interval: 0.94
1.01) were not significantly associated with CRC risk. The sensitivity analyses
yielded similar results. CONCLUSIONS: Our study does not support a causal
relationship between genetic risk scores for age at menarche and age at menopause
and CRC risk.
PMID- 29795309
TI - Development and external validation of nomograms in oropharyngeal cancer patients
with known HPV-DNA status: a European Multicentre Study (OroGrams).
AB - BACKGROUND: The proxy marker for human papillomavirus (HPV), p16, is included in
the new AJCC 8th/UICC 8th staging system, but due to incongruence between p16
status and HPV infection, single biomarker evaluation could lead to misallocation
of patients. We established nomograms for overall survival (OS) and progression
free survival (PFS) in patients with oropharyngeal squamous cell carcinoma
(OPSCC) and known HPV-DNA and p16 status, and validated the models in cohorts
from high- and low-prevalent HPV countries. METHODS: Consecutive OPSCC patients
treated in Denmark, 2000-2014 formed the development cohort. The validation
cohorts were from Sweden, Germany, and the United Kingdom. We developed nomograms
by applying a backward-selection procedure for selection of variables, and
assessed model performance. RESULTS: In the development cohort, 1313 patients,
and in the validation cohorts, 344 German, 503 Swedish and 463 British patients
were included. For the OS nomogram, age, gender, combined HPV-DNA and p16 status,
smoking, T-, N-, and M-status and UICC-8 staging were selected, and for the PFS
nomogram the same variables except UICC-8 staging. The nomograms performed well
in discrimination and calibration. CONCLUSIONS: Our nomograms are reliable
prognostic methods in patients with OPSCC. Combining HPV DNA and p16 is essential
for correct prognostication. The nomograms are available at www.orograms.org .
PMID- 29795310
TI - The sVEGFR1-i13 splice variant regulates a beta1 integrin/VEGFR autocrine loop
involved in the progression and the response to anti-angiogenic therapies of
squamous cell lung carcinoma.
AB - BACKGROUND: While lung adenocarcinoma patients can somewhat benefit from anti
angiogenic therapies, patients with squamous cell lung carcinoma (SQLC) cannot.
The reasons for this discrepancy remain largely unknown. Soluble VEGF receptor-1,
namely sVEGFR1-i13, is a truncated splice variant of the cell membrane-spanning
VEGFR1 that has no transmembrane or tyrosine kinase domain. sVEGFR1-i13 is mainly
viewed as an anti-angiogenic factor which counteracts VEGF-A/VEGFR signalling in
endothelial cells. However, its role in tumour cells is poorly known. METHODS:
mRNA and protein status were analysed by Real-Time qPCR, western blotting, ELISA
assay, proximity ligation assay or immunohistochemistry in human tumour cell
lines, murine tumourgrafts and non small cell lung carcinoma patients samples.
RESULTS: We show that anti-angiogenic therapies specifically increase the levels
of sVEGFR1-i13 in SQLC cell lines and chemically induced SQLC murine
tumourgrafts. At the molecular level, we characterise a sVEGFR1-i13/beta1
integrin/VEGFR autocrine loop which determines whether SQLC cells proliferate or
go into apoptosis, in response to anti-angiogenic therapies. Furthermore, we show
that high levels of both sVEGFR1-i13 and beta1 integrin mRNAs and proteins are
associated with advanced stages in SQLC patients and with a poor clinical outcome
in patients with early stage SQLC. CONCLUSIONS: Overall, these results reveal an
unexpected pro-tumoural function of sVEGFR1-i13 in SQLC tumour cells, which
contributes to their progression and escape from anti-angiogenic therapies. These
data might help to understand why some SQLC patients do not respond to anti
angiogenic therapies.
PMID- 29795311
TI - DNMT1 mediates metabolic reprogramming induced by Epstein-Barr virus latent
membrane protein 1 and reversed by grifolin in nasopharyngeal carcinoma.
AB - Cancer cells frequently adapt fundamentally altered metabolism to support
tumorigenicity and malignancy. Epigenetic and metabolic networks are closely
interactive, in which DNA methyltransferases (DNMTs) play important roles.
Epstein-Barr virus (EBV)-encoded latent membrane protein 1 (EBV-LMP1) is closely
associated with nasopharyngeal carcinoma (NPC) pathogenesis because it can
trigger multiple cell signaling pathways that promote cell transformation,
proliferation, immune escape, invasiveness, epigenetic modification, and
metabolic reprogramming. Our current findings reveal for the first time that LMP1
not only upregulates DNMT1 expression and activity, but also promotes its
mitochondrial translocation. This induces epigenetic silencing of pten and
activation of AKT signaling as well as hypermethylation of the mtDNA D-loop
region and downregulation of oxidative phosphorylation (OXPHOS) complexes,
consequently, leading to metabolic reprogramming in NPC. Furthermore, we
demonstrate that grifolin, a natural farnesyl phenolic compound originated from
higher fungi, is able to attenuate glycolytic flux and recover mitochondrial
OXPHOS function by inhibiting DNMT1 expression and activity as well as its
mitochondrial retention in NPC cells. Therefore, our work establishes a
mechanistic connection between epigenetics and metabolism in EBV-positive NPC and
provides further evidence for pathological classification based on CpG island
methylator phenotype (CIMP) in EBV-associated malignancies. In addition, grifolin
might be a promising lead compound in the intervention of high-CIMP tumor types.
The availability of this natural product could hamper tumor cell metabolic
reprogramming by targeting DNMT1.
PMID- 29795312
TI - A 2-transcript host cell signature distinguishes viral from bacterial diarrhea
and it is influenced by the severity of symptoms.
AB - Recently, a biomarker signature consisting of 2-transcript host RNAs was proposed
for discriminating bacterial from viral infections in febrile children. We
evaluated the performance of this signature in a different disease scenario,
namely a cohort of Mexican children (n = 174) suffering from acute diarrhea of
different infectious etiologies. We first examined the admixed background of the
patients, indicating that most of them have a predominantly Native American
genetic ancestry with a variable amount of European background (ranging from 0%
to 57%). The results confirm that the RNA test can discriminate between viral and
bacterial causes of infection (t-test; P-value = 6.94*10-11; AUC = 80%;
sensitivity: 68% [95% CI: 55%-79%]; specificity: 84% [95% CI: 78%-90%]), but the
strength of the signal differs substantially depending on the causal pathogen,
with the stronger signal being that of Shigella (P-value = 3.14 * 10-12; AUC =
89; sensitivity: 70% [95% CI: 57%-83%]; specificity: 100% [95% CI: 100%-100%]).
The accuracy of this test improves significantly when excluding mild cases (P
value = 2.13 * 10-6; AUC = 85%; sensitivity: 79% [95% CI: 58%-95%]; specificity:
78% [95% CI: 65%-88%]). The results broaden the scope of previous studies by
incorporating different pathogens, variable levels of disease severity, and
different ancestral background of patients, and add confirmatory support to the
clinical utility of these 2-transcript biomarkers.
PMID- 29795313
TI - Novel human microbe-disease associations inference based on network consistency
projection.
AB - Increasing evidence shows that microbes are closely related to various human
diseases. Obtaining a comprehensive and detailed understanding of the
relationships between microbes and diseases would not only be beneficial to
disease prevention, diagnosis and prognosis, but also would lead to the discovery
of new drugs. However, because of a lack of data, little effort has been made to
predict novel microbe-disease associations. To date, few methods have been
proposed to solve the problem. In this study, we developed a new computational
model based on network consistency projection to infer novel human microbe
disease associations (NCPHMDA) by integrating Gaussian interaction profile kernel
similarity of microbes and diseases, and symptom-based disease similarity.
NCPHMDA is a non-parametric and global network based model that combines microbe
space projection and disease space projection to achieve the final prediction.
Experimental results demonstrated that the integrated space projection of
microbes and diseases, and symptom-based disease similarity played roles in the
model performance. Cross validation frameworks and case studies further
illustrated the superior predictive performance over other methods.
PMID- 29795314
TI - The window of improved neonatal respiratory compliance after rescue antenatal
steroids.
AB - OBJECTIVE: To evaluate whether premature infants delivered <=7 days after rescue
antenatal steroid treatment (ideal treatment) have increased passive respiratory
compliance compared to those delivered >7 days after treatment (remote
treatment). METHODS: Secondary analysis of a randomized trial of rescue antenatal
steroids on respiratory compliance. Infants in the treatment group were
stratified by the interval between rescue antenatal steroids and delivery. We
then compared the respiratory compliance in the ideal vs. remote groups. RESULTS:
Forty-four women (56 infants) received rescue antenatal steroids. Forty-nine
infants had evaluable respiratory compliance measurements, with 27 (GA 30.1
weeks, BW 1362 g) "ideally" treated, and 22 (GA 33.8 weeks, BW 2248 g) "remotely"
treated. Respiratory compliance was significantly higher for the ideal compared
to the remote group (1.32 vs. 1.06 mL/cm H2O/kg; p = 0.037). CONCLUSION: Infants
treated with rescue antenatal steroids have a significantly higher respiratory
compliance if delivery occurs within 7 days after treatment.
PMID- 29795316
TI - The amount of supervision trainees receive during neonatal resuscitation is
variable and often dependent on subjective criteria.
AB - OBJECTIVE: Measure variation in delivery room supervision provided by
neonatologists using hypothetical scenarios and determine the factors used to
guide entrustment decisions. STUDY DESIGN: A survey was distributed to members of
the American Academy of Pediatrics Section on Perinatal Pediatrics.
Neonatologists were presented with various newborn resuscitation scenarios and
asked to choose the level of supervision they thought appropriate and grade
factors on their importance in making entrustment decisions. RESULTS: There was
significant variation in supervision neonatologists deemed necessary for most
scenarios (deviation from the mode 0.36-0.69). Post-graduate year of training and
environmental circumstances influence the amount of autonomy neonatologists grant
trainees. Few neonatologists have objective assessment of a trainees' competence
in neonatal resuscitation available to them and most never document how the
trainee performed. CONCLUSION: Delivery room supervision is often determined by
subjective evaluation of trainees' competence and may not provide a level of
supervision congruent with their capability.
PMID- 29795317
TI - In Response to Letter from Altit, Basso, Grandi, and Yang.
PMID- 29795315
TI - Association between sedation-analgesia and neurodevelopment outcomes in neonatal
hypoxic-ischemic encephalopathy.
AB - OBJECTIVE: To evaluate the association between sedation-analgesia (SA) during
initial 72 h and death/disability at 18 months of age in neonatal hypoxic
ischemic encephalopathy (HIE). DESIGN: This was a secondary analysis of the NICHD
therapeutic hypothermia (TH) randomized controlled trial in moderate or severe
HIE. Receipt of SA and anticonvulsant medications at five time points were
considered: prior to and at baseline, 24, 48, and 72 h of TH or normothermia.
Disability was defined as mental developmental index <85, cerebral palsy,
blindness, hearing impairment, or Gross Motor Function Classification System 2-5.
RESULTS: Of the 208 RCT participants, 38 (18%) infants had no exposure to SA or
anticonvulsants at any of the five time points, 20 (10%) received SA agents only,
81 (39%) received anticonvulsants only, and 69 (33%) received both SA and
anticonvulsants. SA category drugs were not administered in 57% of infants while
18% received SA at >=3 time points; 72% infants received anticonvulsants during
72 h of intervention. At 18 months of age, disability among survivors and
death/disability was more frequent in the groups receiving anticonvulsants, with
(48 and 65%) or without (37 and 58%) SA, compared to groups with no exposure (14
and 34%) or SA (13 and 32%) alone. Severe HIE (aOR 3.60; 1.59-8.13),
anticonvulsant receipt (aOR 2.48; 1.05-5.88), and mechanical ventilation (aOR
7.36; 3.15-17.20) were independently associated with 18-month death/disability,
whereas TH (aOR 0.28; 0.13-0.60) was protective. SA exposure showed no
association with outcome. CONCLUSIONS: The risk benefits of SA in HIE need
further investigation.
PMID- 29795319
TI - Response to Letter to the Editor.
PMID- 29795318
TI - Association of admission temperature and death or adverse neurodevelopmental
outcomes in extremely low-gestational age neonates.
AB - OBJECTIVE: Preterm infants are at higher risk of developing hypothermia and
complications from cold stress, resulting in high mortality and short-term
morbidity. Our objective is to evaluate the association between admission
temperatures of extremely low-gestational age neonates (ELGAN) (<29 weeks') and
adverse short-term neurodevelopmental outcomes. STUDY DESIGN: In this
retrospective study, we included ELGAN admitted to NICUs across Canada between
April 2009 and September 2011, who underwent neurodevelopmental assessment at 18
21 months' corrected age. RESULTS: Of 2739 infants with a complete data set
identified during the study period, 968 (35.3%) had admission temperatures <=36.4
degrees C (hypothermia group), 1489 (54.5%) had temperature of 36.5-37.2
degrees C (normothermia group), and 282 (10.3%) had hyperthermia (>=37.3 degrees
C). Their mean birth weight was 823 +/- 230 g, 944 +/- 227 g and 927 +/- 223 g,
respectively (p < 0.01). More than 50% of infants born at 23-24 weeks were in the
hypothermic group compared to 28.5-36.1% at higher gestational ages. We found
39.5% of infants in the hypothermic group had primary composite outcome of death
or severe neurodevelopmental impairment (sNDI). Multivariate logistic regression
revealed an increased adjusted odd of primary composite outcome (OR = 1.32; 95%
CI = [1.05, 1.66]) in the hypothermic group, compared to infants with
normothermia on admission. CONCLUSIONS: In our cohort of ELGAN, hypothermia on
admission was associated with increased risk of death or sNDI.
PMID- 29795320
TI - The relative effects of patient and hospital factors on postpartum readmissions.
AB - OBJECTIVE: To determine the relative effects of patient and hospital factors on a
hospital's postpartum readmission rate. STUDY DESIGN: This retrospective cohort
study was conducted using State Inpatient Databases from California, Florida, and
New York between 2004 and 2013. We compared patient and hospital characteristics
among hospitals with low and high readmission rates using chi2 tests. Risk
adjusted 30-day readmission rates were calculated for patient, delivery, and
hospital characteristics to understand factors affecting readmission using fixed
and random effects models. RESULTS: Patients in hospitals with low readmission
rates were more likely to be white, to have private insurance and higher incomes,
and to have fewer comorbidities. The patient comorbidities with the highest risk
adjusted readmission rates included hypertension (range, 2.14-3.04%), obesity
(1.78-2.94%), preterm labor/delivery (2.50-2.60%), and seizure disorder (1.78
3.35%). Delivery complications were associated with increased risk-adjusted
readmission rates. Compared to patient characteristics, hospital characteristics
did not have a profound impact on readmission risk. CONCLUSION: Obstetric
readmissions were more attributable to patient and demographic characteristics
than to hospital characteristics. Readmission metric-based incentives may
ultimately penalize hospitals providing high-quality care due to patient
characteristics specific to their catchment area.
PMID- 29795322
TI - Introduction to quality improvement tools for the clinician.
AB - As physicians continue search for ways to deliver high quality care to their
patients and families, newer tools and methods are being introduced. Initially
developed by manufacturing, quality improvement methods have been slowly adopted
by healthcare and are now standard curriculum in medical schools. The IHI Model
for Improvement allows for teams to create. model for change, test proposed
changes in clinical situations, measure the results and then accept or modify the
proposed changes. Additional tools such as process maps, Pareto charts, Ishikawa
diagrams, and key driver diagrams provide structure and visual representation to
the team during the creation and implementation of. quality improvement
initiative. As participation in quality improvement is becoming an expectation
for all health care providers, familiarity with these tools will assist teams
with implementing improved processes in their local systems of care.
PMID- 29795321
TI - Second trimester serum cortisol and preterm birth: an analysis by timing and
subtype.
AB - OBJECTIVE: We hypothesized second trimester serum cortisol would be higher in
spontaneous preterm births compared to provider-initiated (previously termed
'medically indicated') preterm births. STUDY DESIGN: We used a nested case
control design with a sample of 993 women with live births. Cortisol was measured
from serum samples collected as part of routine prenatal screening. We tested
whether mean-adjusted cortisol fold-change differed by gestational age at
delivery or preterm birth subtype using multivariable linear regression. RESULT:
An inverse association between cortisol and gestational age category (trend p =
0.09) was observed. Among deliveries prior to 37 weeks, the mean-adjusted
cortisol fold-change values were highest for preterm premature rupture of the
membranes (1.10), followed by premature labor (1.03) and provider-initiated
preterm birth (1.01), although they did not differ statistically. CONCLUSION:
Cortisol continues to be of interest as a marker of future preterm birth.
Augmentation with additional biomarkers should be explored.
PMID- 29795323
TI - In response: Is early onset sepsis risk calculator safe for the management of
neonates born to mothers with chorioamnionitis?
PMID- 29795324
TI - Resource utilization patterns using non-invasive ventilation in neonates with
respiratory distress syndrome.
AB - OBJECTIVES: To describe the frequency of non-invasive ventilation (NIV) and
endotracheal intubation use in neonates diagnosed with respiratory distress
syndrome (RDS); to describe resources utilization (length of stay (LOS), charges,
costs) among NIV and intubated RDS groups. STUDY DESIGN: Retrospective study from
the national Kid's Inpatient Database of the Healthcare Cost and Utilization
Project, for the years 1997-2012. Propensity scoring and multivariate regression
analysis used to describe differences. RESULTS: A total of 595,254 out of
42,912,090 cases were identified with RDS. There was an increase in NIV use from
6% in 1997 to 17% in 2012. After matching, patients receiving NIV only were
associated with shorter LOS: (95%CI) 25 (25.3,25.7) vs. 35 (34.2,34.9) days,
decreased costs: ($/1k) 46.1 (45.5,46.8) vs. 65.0 (64.1,66.0), decreased charges:
130.3 (128.6,132.1) vs. 192.1 (189.5,194.6) compared to intubated neonates.
CONCLUSION: There was a three-fold increase in NIV use within the 15-year study
period. NIV use was associated with decreased LOS, charges and costs compared to
intubated patients.
PMID- 29795325
TI - National trends in neonatal extracorporeal membrane oxygenation in the United
States.
AB - OBJECTIVE: To determine trends in neonatal extracorporeal membrane oxygenation
(ECMO) utilization from 2002-2011. STUDY DESIGN: Using the Nationwide inpatient
sample (NIS), we conducted a population-based retrospective cohort study to
identify ECMO utilization among neonates. Incidence of ECMO utilization, length
of stay (LOS), cost and mortality were estimated. RESULT: In all, 33,367,146
neonates were identified of which 7603 (18 per 100,000 live births) underwent
ECMO. Neonatal ECMO increased from 12 to 23 runs per 100,000 live births.
Mortality was 48.4%, decreasing from 47.5 to 41.9% between 2002 and 2011. On
multivariate analysis, mortality was significantly higher for infectious
indications (OR 4.1; CI 1.1-16.0), E-CPR (OR 3.8; CI 1.4-10.7) and cardiac
indications (OR 2.0; CI 1.5-2.8). On hierarchical regression, LOS increased by
1.6 days each year (p = 0.02) and cost of hospitalization increased by $14,033
each year (p < 0.0001). CONCLUSION: Neonatal ECMO utilization increased, while
mortality decreased during the study period. These findings suggest an
improvement in neonatal ECMO care.
PMID- 29795327
TI - Silent regulators.
PMID- 29795328
TI - Best practices for analysing microbiomes.
AB - Complex microbial communities shape the dynamics of various environments, ranging
from the mammalian gastrointestinal tract to the soil. Advances in DNA sequencing
technologies and data analysis have provided drastic improvements in microbiome
analyses, for example, in taxonomic resolution, false discovery rate control and
other properties, over earlier methods. In this Review, we discuss the best
practices for performing a microbiome study, including experimental design,
choice of molecular analysis technology, methods for data analysis and the
integration of multiple omics data sets. We focus on recent findings that suggest
that operational taxonomic unit-based analyses should be replaced with new
methods that are based on exact sequence variants, methods for integrating
metagenomic and metabolomic data, and issues surrounding compositional data
analysis, where advances have been particularly rapid. We note that although some
of these approaches are new, it is important to keep sight of the classic issues
that arise during experimental design and relate to research reproducibility. We
describe how keeping these issues in mind allows researchers to obtain more
insight from their microbiome data sets.
PMID- 29795326
TI - Adapting to stress - chaperome networks in cancer.
AB - In this Opinion article, we aim to address how cells adapt to stress and the
repercussions chronic stress has on cellular function. We consider acute and
chronic stress-induced changes at the cellular level, with a focus on a regulator
of cellular stress, the chaperome, which is a protein assembly that encompasses
molecular chaperones, co-chaperones and other co-factors. We discuss how the
chaperome takes on distinct functions under conditions of stress that are
executed in ways that differ from the one-on-one cyclic, dynamic functions
exhibited by distinct molecular chaperones. We argue that through the formation
of multimeric stable chaperome complexes, a state of chaperome hyperconnectivity,
or networking, is gained. The role of these chaperome networks is to act as
multimolecular scaffolds, a particularly important function in cancer, where they
increase the efficacy and functional diversity of several cellular processes. We
predict that these concepts will change how we develop and implement drugs
targeting the chaperome to treat cancer.
PMID- 29795329
TI - Integration of Ca2+ signaling regulates the breast tumor cell response to
simvastatin and doxorubicin.
AB - Recent studies have suggested that the lipid-lowering agent simvastatin holds
great promise as a cancer therapeutic; it inhibits the growth of multiple tumors,
including triple-negative breast cancer. Doxorubicin- and simvastatin-induced
cytotoxicity has been associated with the modulation of Ca2+ signaling, but the
underlying mechanisms remain incompletely understood. Here we identify how Ca2+
signaling regulates the breast tumor cell response to doxorubicin and
simvastatin. These two drugs inhibit cell survival while increasing apoptosis in
two human breast cancer cell lines and five primary breast tumor specimens
through the modulation of Ca2+ signaling. Signal transduction and functional
studies revealed that both simvastatin and doxorubicin trigger persistent
cytosolic Ca2+ release, thereby stimulating the proapoptotic BIM pathway and
mitochondrial Ca2+ overload, which are responsible for metabolic dysfunction and
apoptosis induction. Simvastatin and doxorubicin suppress the prosurvival ERK1/2
pathway in a Ca2+-independent and Ca2+-dependent manner, respectively. In
addition, reduction of the Ca2+ signal by chelation or pharmacological inhibition
significantly prevents drug-mediated anticancer signaling. Unexpectedly, a
scratch-wound assay indicated that these two drugs induce rapid cell migration,
while inhibiting cell invasion and colony formation in a Ca2+-dependent manner.
Further, the in vivo data for MDA-MB-231 xenografts demonstrate that upon
chelation of Ca2+, the ability of both drugs to reduce the tumor burden was
significantly reduced via caspase-3 deactivation. Our results establish a calcium
based mechanism as crucial for executing the cell death process triggered by
simvastatin and doxorubicin, and suggest that combining simvastatin with
doxorubicin may be an effective regimen for the treatment of breast cancer.
PMID- 29795330
TI - K6 linked polyubiquitylation of FADD by CHIP prevents death inducing signaling
complex formation suppressing cell death.
AB - Fas-associated death domain (FADD) is an adaptor protein recruiting complexes of
caspase 8 to death ligand receptors to induce extrinsic apoptotic cell death in
response to a TNF superfamily member. Although, formation of the complex of FADD
and caspase 8 upon death stimuli has been studied in detail, posttranslational
modifications fine-tuning these processes have yet to be identified. Here we
revealed that K6-linked polyubiquitylation of FADD on lysines 149 and 153
mediated by C terminus HSC70-interacting protein (CHIP) plays an important role
in preventing formation of the death inducing signaling complex (DISC), thus
leading to the suppression of cell death. Cells depleted of CHIP showed higher
sensitivity toward death ligands such as FasL and TRAIL, leading to upregulation
of DISC formation composed of a death receptor, FADD, and caspase 8. CHIP was
able to bind to FADD, induce K6-linked polyubiquitylation of FADD, and suppress
DISC formation. By mass spectrometry, lysines 149 and 153 of FADD were found to
be responsible for CHIP-mediated FADD ubiquitylation. FADD mutated at these sites
was capable of more potent cell death induction as compared with the wild type
and was no longer suppressed by CHIP. On the other hand, CHIP deficient in E3
ligase activity was not capable of suppressing FADD function and of FADD
ubiquitylation. CHIP depletion in ME-180 cells induced significant sensitization
of these cells toward TRAIL in xenograft analyses. These results imply that K6
linked ubiquitylation of FADD by CHIP is a crucial checkpoint in cytokine
dependent extrinsic apoptosis.
PMID- 29795333
TI - New biomarker predicts disability in MS.
PMID- 29795332
TI - Deep brain stimulation boosts motor connectivity.
PMID- 29795335
TI - Increased mitochondrial respiration promotes survival from endoplasmic reticulum
stress.
AB - Protein misfolding in the endoplasmic reticulum (ER) is accompanied by adaptive
cellular responses to promote cell survival. We now show that activation of
mitochondrial respiration is a critical component of an adaptive ER stress
response, requiring the unfolded protein response (UPR) sensor Ire1, and also
calcium signaling via calcineurin. In yeast and mammalian cells lacking Ire1 or
calcineurin, respiratory activation is impaired in response to ER stress;
accumulation of mitochondrial reactive oxygen species (ROS) triggers cell death
as abrogation of ROS by antioxidants or loss of the electron transport chain (in
yeast) can rescue cells from death. Significantly, cells are rescued from ER
stress-induced death by mitochondrial uncoupling by CCCP to increase O2
consumption (and increase the efficiency of electron transfer). Remarkably,
genetic and pharmacologic strategies to promote mitochondrial biogenesis and
increase O2 consumption also alleviate ER stress-mediated ROS and death in yeast
and mammalian cells. Moreover, in a yeast genetic screen, three mitochondrial
proteins Mrx9, Mrm1, and Aim19 that increase mitochondrial biogenesis were
identified as high copy suppressors of ER stress-mediated cell death. Our results
show that enhanced mitochondrial biogenesis, linked to improved efficiency of the
electron transport chain, is a powerful strategy to block ROS accumulation and
promote cell survival during ER stress in eukaryotic cells.
PMID- 29795334
TI - Enhanced breast cancer progression by mutant p53 is inhibited by the circular RNA
circ-Ccnb1.
AB - TP53 mutations occur in many different types of cancers that produce mutant p53
proteins. The mutant p53 proteins have lost wild-type p53 activity and gained new
functions that contribute to malignant tumor progression. Different p53 mutations
create distinct profiles in loss of wild-type p53 activity and gain of functions.
Targeting the consequences generated by the great number of p53 mutations would
be extremely complex. Therefore, in this study we used a workaround and took
advantage of the fact that mutant p53 cannot bind H2AX. Using this, we developed
a new approach to repress the acquisition of mutant p53 functions. We show here
that the delivery of a circular RNA circ-Ccnb1 inhibited the function of three
p53 mutations. By microarray analysis and real-time PCR, we detected decreased
circ-Ccnb1 expression levels in patients bearing breast carcinoma. Ectopic
delivery of circ-Ccnb1 inhibited tumor growth and extended mouse viability. Using
proteomics, we found that circ-Ccnb1 precipitated p53 in p53 wild-type cells, but
instead precipitated Bclaf1 in p53 mutant cells. Further experiments showed that
H2AX serves as a bridge, linking the interaction of circ-Ccnb1 and wild-type p53,
thus allowing Bclaf1 to bind Bcl2 resulting in cell survival. In the p53 mutant
cells, circ-Ccnb1 formed a complex with H2AX and Bclaf1, resulting in the
induction of cell death. We found that this occurred in three p53 mutations.
These results shed light on the possible development of new approaches to inhibit
the malignancy of p53 mutations.
PMID- 29795336
TI - NFkappaB mitigates the pathological effects of misfolded alpha1-antitrypsin by
activating autophagy and an integrated program of proteostasis mechanisms.
AB - Intrahepatocytic accumulation of misfolded alpha1-antitrypsin Z variant (ATZ) is
responsible for liver disease in some individuals with alpha1-antitrypsin
deficiency (ATD), characterized by fibrosis/cirrhosis and predisposition to
carcinogenesis. Previous results showing that accumulation of ATZ in model
systems activates the NFkappaB signaling pathway have led us to hypothesize that
downstream targets of NFkappaB are elements of a proteostasis response network
for this type of proteinopathy. Here we show that only a subset of downstream
targets within the NFkappaB transcriptomic repertoire are activated in model
systems of this proteinopathy. Breeding of the PiZ mouse model of ATD to two
different mouse models with NFkappaB deficiency led to greater intrahepatocytic
accumulation of ATZ, more severe hepatic fibrosis, decreased autophagy and
hyperproliferation of hepatocytes with massive ATZ inclusions. Specific
downstream targets of NFkappaB could be implicated in each pathological effect.
These results suggest a new role for NFkappaB signaling in which specific
downstream targets of this pathway mediate an integrated program of proteostatic
responses designed to mitigate the pathologic effects of proteinopathy, including
autophagic disposal of misfolded protein, degradation of collagen and prevention
of hyperproliferation.
PMID- 29795337
TI - Cholinergic activity is essential for maintaining the anterograde transport of
Choline Acetyltransferase in Drosophila.
AB - Cholinergic activity is essential for cognitive functions and neuronal
homeostasis. Choline Acetyltransferase (ChAT), a soluble protein that synthesizes
acetylcholine at the presynaptic compartment, is transported in bulk in the axons
by the heterotrimeric Kinesin-2 motor. Axonal transport of soluble proteins is
described as a constitutive process assisted by occasional, non-specific
interactions with moving vesicles and motor proteins. Here, we report that an
increase in the influx of Kinesin-2 motor and association between ChAT and the
motor during a specific developmental period enhances the axonal entry, as well
as the anterograde flow of the protein, in the sensory neurons of intact
Drosophila nervous system. Loss of cholinergic activity due to Hemicholinium and
Bungarotoxin treatments, respectively, disrupts the interaction between ChAT and
Kinesin-2 in the axon, and the episodic enhancement of axonal influx of the
protein. Altogether, these observations highlight a phenomenon of synaptic
activity-dependent, feedback regulation of a soluble protein transport in vivo,
which could potentially define the quantum of its pre-synaptic influx.
PMID- 29795339
TI - Long noncoding RNAs in the metabolic control of inflammation and immune
disorders.
AB - The metabolic control of immune cell development and function has been shown to
be critical for the maintenance of immune homeostasis and is also involved in the
pathogenesis of immune disorders. Pathogenic infections or cancers may induce
metabolic reprogramming through different pathways to meet the energy and
metabolite demands for pathogen propagation or cancer progression. In addition,
some deregulated metabolites could trigger or regulate immune responses, thus
causing chronic inflammation or immune disorders, such as viral infection, cancer
and obesity. Therefore, the methods through which metabolism is regulated and the
role of metabolic regulation in inflammation and immunity attract much attention.
Epigenetic regulation of inflammation and immunity is an emerging field. Long
noncoding RNAs (lncRNAs) have been well documented to play crucial roles in many
biological processes through diverse mechanisms, including immune regulation and
metabolic alternation. Here, we review the functions and mechanisms of lncRNAs in
the metabolic regulation of inflammatory immune disorders, aiming to deepen our
understanding of the epigenetic regulation of inflammation and immunity.
PMID- 29795331
TI - Molecular alterations of cancer cell and tumour microenvironment in metastatic
gastric cancer.
AB - The term metastasis is widely used to describe the endpoint of the process by
which tumour cells spread from the primary location to an anatomically distant
site. Achieving successful dissemination is dependent not only on the molecular
alterations of the cancer cells themselves, but also on the microenvironment
through which they encounter. Here, we reviewed the molecular alterations of
metastatic gastric cancer (GC) as it reflects a large proportion of GC patients
currently seen in clinic. We hope that further exploration and understanding of
the multistep metastatic cascade will yield novel therapeutic targets that will
lead to better patient outcomes.
PMID- 29795338
TI - Distinctiveness in virological features and pathogenic potentials of subgenotypes
D1, D2, D3 and D5 of Hepatitis B virus.
AB - Distinct clinical features of HBV infection have been associated with different
viral genotype/subgenotype. HBV Genotype-D comprised of 10 subgenotypes, D1-D10,
whose clinical implications still remain elusive. We investigated for the first
time, the virologic characteristics and cytopathic effects of four non
recombinant D-subgenotypes, D1/D2/D3/D5. Expressions of viral/host genes were
evaluated in Huh7 cells transfected with full-length, linear-monomers of HBV/D
subgenotypes or pGL3-Basic vector carrying subgenotype-specific HBx.
Intracellular HBV-DNA and pregenomic-RNA levels were high in D1/D2 than D3/D5.
Expressions of PreC-mRNA and HBx were highest for D2 and D1 respectively, whereas
PreS2/S-transcript was significantly reduced in D5. Increased apoptotic cell
death and marked upregulation in caspase-3/Bax/TNF-R1/FasR/TRAIL-R1/ROS/MCP-1/IP
10/MIP-1beta expression were noticed specifically in D2- and also in D3
transfected cells, while D5 resulted in over-expression of ER-stress-markers. D
subgenotype-transfected Huh7 cells were co-cultured with PBMC of healthy-donors
or LX-2 cells and significant increase in pro-inflammatory cytokines in PBMC and
fibrogenic-markers in LX-2 were noticed in presence of D2/D3. Further, Huh7 cells
transfected with D1, in particular and also D5, displayed remarkable induction of
EMT-markers and high proliferative/migratory abilities. Collectively, our results
demonstrated that D2/D3 were more associated with hepatic
apoptosis/inflammation/fibrosis and D1/D5 with increased risk of
hepatocarcinogenesis and emphasize the need for determining HBV-subgenotype in
clinical practice.
PMID- 29795340
TI - Author Correction: The axolotl genome and the evolution of key tissue formation
regulators.
AB - In the originally published version of this Article, the sequenced axolotl strain
(the homozygous white mutant) was denoted as 'D/D' rather than 'd/d' in Fig. 1a
and the accompanying legend, the main text and the Methods section. The original
Article has been corrected online.
PMID- 29795341
TI - Author Correction: A series of energetic metal pentazolate hydrates.
AB - In this Letter, under Methods section '[Na(H2O)(N5)]?2H2O (2)', the description
"the intermediate product arylpentazole (5.000 g, 26.18 mmol)" should have read
"the intermediate product sodium salt of arylpentazole (5.000 g, 21.64 mmol)". In
the legend of Fig. 3, we add that "All temperature points in the stability study
were onset temperatures." to avoid misunderstanding. These corrections have been
made online.
PMID- 29795342
TI - Structural basis of ubiquitin modification by the Legionella effector SdeA.
AB - Protein ubiquitination is a multifaceted post-translational modification that
controls almost every process in eukaryotic cells. Recently, the Legionella
effector SdeA was reported to mediate a unique phosphoribosyl-linked
ubiquitination through successive modifications of the Arg42 of ubiquitin (Ub) by
its mono-ADP-ribosyltransferase (mART) and phosphodiesterase (PDE) domains.
However, the mechanisms of SdeA-mediated Ub modification and phosphoribosyl
linked ubiquitination remain unknown. Here we report the structures of SdeA in
its ligand-free, Ub-bound and Ub-NADH-bound states. The structures reveal that
the mART and PDE domains of SdeA form a catalytic domain over its C-terminal
region. Upon Ub binding, the canonical ADP-ribosyltransferase toxin turn-turn
(ARTT) and phosphate-nicotinamide (PN) loops in the mART domain of SdeA undergo
marked conformational changes. The Ub Arg72 might act as a 'probe' that interacts
with the mART domain first, and then movements may occur in the side chains of
Arg72 and Arg42 during the ADP-ribosylation of Ub. Our study reveals the
mechanism of SdeA-mediated Ub modification and provides a framework for further
investigations into the phosphoribosyl-linked ubiquitination process.
PMID- 29795343
TI - Late-surviving stem mammal links the lowermost Cretaceous of North America and
Gondwana.
AB - Haramiyida was a successful clade of mammaliaforms, spanning the Late Triassic
period to at least the Late Jurassic period, but their fossils are scant outside
Eurasia and Cretaceous records are controversial1-4. Here we report, to our
knowledge, the first cranium of a large haramiyidan from the basal Cretaceous of
North America. This cranium possesses an amalgam of stem mammaliaform
plesiomorphies and crown mammalian apomorphies. Moreover, it shows dental traits
that are diagnostic of isolated teeth of supposed multituberculate affinities
from the Cretaceous of Morocco, which have been assigned to the enigmatic
'Hahnodontidae'. Exceptional preservation of this specimen also provides insights
into the evolution of the ancestral mammalian brain. We demonstrate the
haramiyidan affinities of Gondwanan hahnodontid teeth, removing them from
multituberculates, and suggest that hahnodontid mammaliaforms had a much wider,
possibly Pangaean distribution during the Jurassic-Cretaceous transition.
PMID- 29795345
TI - Long-term effects of species loss on community properties across contrasting
ecosystems.
AB - Biodiversity loss can heavily affect the functioning of ecosystems, and improving
our understanding of how ecosystems respond to biodiversity decline is one of the
main challenges in ecology1-4. Several important aspects of the longer-term
effects of biodiversity loss on ecosystems remain unresolved, including how these
effects depend on environmental context5-7. Here we analyse data from an across
ecosystem biodiversity manipulation experiment that, to our knowledge, represents
the world's longest-running experiment of this type. This experiment has been set
up on 30 lake islands in Sweden that vary considerably in productivity and soil
fertility owing to differences in fire history8,9. We tested the effects of
environmental context on how plant species loss affected two fundamental
community attributes-plant community biomass and temporal variability-over 20
years. In contrast to findings from artificially assembled communities10-12, we
found that the effects of species loss on community biomass decreased over time;
this decrease was strongest on the least productive and least fertile islands.
Species loss generally also increased temporal variability, and these effects
were greatest on the most productive and most fertile islands. Our findings
highlight that the ecosystem-level consequences of biodiversity loss are not
constant across ecosystems and that understanding and forecasting these
consequences necessitates taking into account the overarching role of
environmental context.
PMID- 29795344
TI - Reciprocal signalling by Notch-Collagen V-CALCR retains muscle stem cells in
their niche.
AB - The cell microenvironment, which is critical for stem cell maintenance, contains
both cellular and non-cellular components, including secreted growth factors and
the extracellular matrix1-3. Although Notch and other signalling pathways have
previously been reported to regulate quiescence of stem cells4-9, the composition
and source of molecules that maintain the stem cell niche remain largely unknown.
Here we show that adult muscle satellite (stem) cells in mice produce
extracellular matrix collagens to maintain quiescence in a cell-autonomous
manner. Using chromatin immunoprecipitation followed by sequencing, we identified
NOTCH1/RBPJ-bound regulatory elements adjacent to specific collagen genes, the
expression of which is deregulated in Notch-mutant mice. Moreover, we show that
Collagen V (COLV) produced by satellite cells is a critical component of the
quiescent niche, as depletion of COLV by conditional deletion of the Col5a1 gene
leads to anomalous cell cycle entry and gradual diminution of the stem cell pool.
Notably, the interaction of COLV with satellite cells is mediated by the
Calcitonin receptor, for which COLV acts as a surrogate local ligand. Systemic
administration of a calcitonin derivative is sufficient to rescue the quiescence
and self-renewal defects found in COLV-null satellite cells. This study reveals a
Notch-COLV-Calcitonin receptor signalling cascade that maintains satellite cells
in a quiescent state in a cell-autonomous fashion, and raises the possibility
that similar reciprocal mechanisms act in diverse stem cell populations.
PMID- 29795346
TI - Mechanism of phosphoribosyl-ubiquitination mediated by a single Legionella
effector.
AB - Ubiquitination is a post-translational modification that regulates many cellular
processes in eukaryotes1-4. The conventional ubiquitination cascade culminates in
a covalent linkage between the C terminus of ubiquitin (Ub) and a target protein,
usually on a lysine side chain1,5. Recent studies of the Legionella pneumophila
SidE family of effector proteins revealed a ubiquitination method in which a
phosphoribosyl ubiquitin (PR-Ub) is conjugated to a serine residue on substrates
via a phosphodiester bond6-8. Here we present the crystal structure of a fragment
of the SidE family member SdeA that retains ubiquitination activity, and
determine the mechanism of this unique post-translational modification. The
structure reveals that the catalytic module contains two distinct functional
units: a phosphodiesterase domain and a mono-ADP-ribosyltransferase domain.
Biochemical analysis shows that the mono-ADP-ribosyltransferase domain-mediated
conversion of Ub to ADP-ribosylated Ub (ADPR-Ub) and the phosphodiesterase domain
mediated ligation of PR-Ub to substrates are two independent activities of SdeA.
Furthermore, we present two crystal structures of a homologous phosphodiesterase
domain from the SidE family member SdeD 9 in complexes with Ub and ADPR-Ub. The
structures suggest a mechanism for how SdeA processes ADPR-Ub to PR-Ub and AMP,
and conjugates PR-Ub to a serine residue in substrates. Our study establishes the
molecular mechanism of phosphoribosyl-linked ubiquitination and will enable
future studies of this unusual type of ubiquitination in eukaryotes.
PMID- 29795347
TI - Insights into catalysis and function of phosphoribosyl-linked serine
ubiquitination.
AB - Conventional ubiquitination regulates key cellular processes by catalysing the
ATP-dependent formation of an isopeptide bond between ubiquitin (Ub) and primary
amines in substrate proteins 1 . Recently, the SidE family of bacterial effector
proteins (SdeA, SdeB, SdeC and SidE) from pathogenic Legionella pneumophila were
shown to use NAD+ to mediate phosphoribosyl-linked ubiquitination of serine
residues in host proteins2, 3. However, the molecular architecture of the
catalytic platform that enables this complex multistep process remains unknown.
Here we describe the structure of the catalytic core of SdeA, comprising mono-ADP
ribosyltransferase (mART) and phosphodiesterase (PDE) domains, and shed light on
the activity of two distinct catalytic sites for serine ubiquitination. The mART
catalytic site is composed of an alpha-helical lobe (AHL) that, together with the
mART core, creates a chamber for NAD+ binding and ADP-ribosylation of ubiquitin.
The catalytic site in the PDE domain cleaves ADP-ribosylated ubiquitin to
phosphoribosyl ubiquitin (PR-Ub) and mediates a two-step PR-Ub transfer reaction:
first to a catalytic histidine 277 (forming a transient SdeA H277-PR-Ub
intermediate) and subsequently to a serine residue in host proteins. Structural
analysis revealed a substrate binding cleft in the PDE domain, juxtaposed with
the catalytic site, that is essential for positioning serines for ubiquitination.
Using degenerate substrate peptides and newly identified ubiquitination sites in
RTN4B, we show that disordered polypeptides with hydrophobic residues surrounding
the target serine residues are preferred substrates for SdeA ubiquitination.
Infection studies with L. pneumophila expressing substrate-binding mutants of
SdeA revealed that substrate ubiquitination, rather than modification of the
cellular ubiquitin pool, determines the pathophysiological effect of SdeA during
acute bacterial infection.
PMID- 29795348
TI - Self-organization of a human organizer by combined Wnt and Nodal signalling.
AB - In amniotes, the development of the primitive streak and its accompanying
'organizer' define the first stages of gastrulation. Although these structures
have been characterized in detail in model organisms, the human primitive streak
and organizer remain a mystery. When stimulated with BMP4, micropatterned
colonies of human embryonic stem cells self-organize to generate early embryonic
germ layers 1 . Here we show that, in the same type of colonies, Wnt signalling
is sufficient to induce a primitive streak, and stimulation with Wnt and Activin
is sufficient to induce an organizer, as characterized by embryo-like sharp
boundary formation, markers of epithelial-to-mesenchymal transition and
expression of the organizer-specific transcription factor GSC. Moreover, when
grafted into chick embryos, human stem cell colonies treated with Wnt and Activin
induce and contribute autonomously to a secondary axis while inducing a neural
fate in the host. This fulfils the most stringent functional criteria for an
organizer, and its discovery represents a milestone in human embryology.
PMID- 29795349
TI - Cortical direction selectivity emerges at convergence of thalamic synapses.
AB - Detecting the direction of motion of an object is essential for our
representation of the visual environment. The visual cortex is one of the main
stages in the mammalian nervous system in which the direction of motion may be
computed de novo. Experiments and theories indicate that cortical neurons respond
selectively to motion direction by combining inputs that provide information
about distinct spatial locations with distinct time delays. Despite the
importance of this spatiotemporal offset for direction selectivity, its origin
and cellular mechanisms are not fully understood. We show that approximately 80
+/- 10 thalamic neurons, which respond with distinct time courses to stimuli in
distinct locations, excite mouse visual cortical neurons during visual
stimulation. The integration of thalamic inputs with the appropriate
spatiotemporal offset provides cortical neurons with a primordial bias for
direction selectivity. These data show how cortical neurons selectively combine
the spatiotemporal response diversity of thalamic neurons to extract fundamental
features of the visual world.
PMID- 29795350
TI - Body-size shifts in aquatic and terrestrial urban communities.
AB - Body size is intrinsically linked to metabolic rate and life-history traits, and
is a crucial determinant of food webs and community dynamics1,2. The increased
temperatures associated with the urban-heat-island effect result in increased
metabolic costs and are expected to drive shifts to smaller body sizes 3 . Urban
environments are, however, also characterized by substantial habitat
fragmentation 4 , which favours mobile species. Here, using a replicated,
spatially nested sampling design across ten animal taxonomic groups, we show that
urban communities generally consist of smaller species. In addition, although we
show urban warming for three habitat types and associated reduced community
weighted mean body sizes for four taxa, three taxa display a shift to larger
species along the urbanization gradients. Our results show that the general trend
towards smaller-sized species is overruled by filtering for larger species when
there is positive covariation between size and dispersal, a process that can
mitigate the low connectivity of ecological resources in urban settings 5 . We
thus demonstrate that the urban-heat-island effect and urban habitat
fragmentation are associated with contrasting community-level shifts in body size
that critically depend on the association between body size and dispersal.
Because body size determines the structure and dynamics of ecological networks 1
, such shifts may affect urban ecosystem function.
PMID- 29795353
TI - Addendum: Copper-catalysed enantioselective stereodivergent synthesis of amino
alcohols.
PMID- 29795351
TI - Activity-dependent neuroprotective protein recruits HP1 and CHD4 to control
lineage-specifying genes.
AB - De novo mutations in ADNP, which encodes activity-dependent neuroprotective
protein (ADNP), have recently been found to underlie Helsmoortel-Van der Aa
syndrome, a complex neurological developmental disorder that also affects several
other organ functions 1 . ADNP is a putative transcription factor that is
essential for embryonic development 2 . However, its precise roles in
transcriptional regulation and development are not understood. Here we show that
ADNP interacts with the chromatin remodeller CHD4 and the chromatin architectural
protein HP1 to form a stable complex, which we refer to as ChAHP. Besides
mediating complex assembly, ADNP recognizes DNA motifs that specify binding of
ChAHP to euchromatin. Genetic ablation of ChAHP components in mouse embryonic
stem cells results in spontaneous differentiation concomitant with premature
activation of lineage-specific genes and in a failure to differentiate towards
the neuronal lineage. Molecularly, ChAHP-mediated repression is fundamentally
different from canonical HP1-mediated silencing: HP1 proteins, in conjunction
with histone H3 lysine 9 trimethylation (H3K9me3), are thought to assemble broad
heterochromatin domains that are refractory to transcription. ChAHP-mediated
repression, however, acts in a locally restricted manner by establishing
inaccessible chromatin around its DNA-binding sites and does not depend on
H3K9me3-modified nucleosomes. Together, our results reveal that ADNP, via the
recruitment of HP1 and CHD4, regulates the expression of genes that are crucial
for maintaining distinct cellular states and assures accurate cell fate decisions
upon external cues. Such a general role of ChAHP in governing cell fate
plasticity may explain why ADNP mutations affect several organs and body
functions and contribute to cancer progression1,3,4. Notably, we found that the
integrity of the ChAHP complex is disrupted by nonsense mutations identified in
patients with Helsmoortel-Van der Aa syndrome, and this could be rescued by
aminoglycosides that suppress translation termination 5 . Therefore, patients
might benefit from therapeutic agents that are being developed to promote
ribosomal read-through of premature stop codons6,7.
PMID- 29795352
TI - An increase in the 12C + 12C fusion rate from resonances at astrophysical
energies.
AB - Carbon burning powers scenarios that influence the fate of stars, such as the
late evolutionary stages of massive stars 1 (exceeding eight solar masses) and
superbursts from accreting neutron stars2,3. It proceeds through the 12C + 12C
fusion reactions that produce an alpha particle and neon-20 or a proton and
sodium-23-that is, 12C(12C, alpha)20Ne and 12C(12C, p)23Na-at temperatures
greater than 0.4 * 109 kelvin, corresponding to astrophysical energies exceeding
a megaelectronvolt, at which such nuclear reactions are more likely to occur in
stars. The cross-sections 4 for those carbon fusion reactions (probabilities that
are required to calculate the rate of the reactions) have hitherto not been
measured at the Gamow peaks 4 below 2 megaelectronvolts because of exponential
suppression arising from the Coulomb barrier. The reference rate 5 at
temperatures below 1.2 * 109 kelvin relies on extrapolations that ignore the
effects of possible low-lying resonances. Here we report the measurement of the
12C(12C, alpha0,1)20Ne and 12C(12C, p0,1)23Na reaction rates (where the
subscripts 0 and 1 stand for the ground and first excited states of 20Ne and
23Na, respectively) at centre-of-mass energies from 2.7 to 0.8 megaelectronvolts
using the Trojan Horse method6,7 and the deuteron in 14N. The cross-sections
deduced exhibit several resonances that are responsible for very large increases
of the reaction rate at relevant temperatures. In particular, around 5 * 108
kelvin, the reaction rate is boosted to more than 25 times larger than the
reference value 5 . This finding may have implications such as lowering the
temperatures and densities 8 required for the ignition of carbon burning in
massive stars and decreasing the superburst ignition depth in accreting neutron
stars to reconcile observations with theoretical models 3 .
PMID- 29795355
TI - Anti-inflammatory activities of hepatocyte growth factor in post-ischemic heart
failure.
AB - Hepatocyte growth factor (HGF) alleviates acute and chronic inflammation in
experimental inflammatory bowel disease, glomerulonephritis, and airway
inflammation. However, the anti-inflammatory effects of HGF on myocardial
infarction are not defined. The current study assessed the anti-inflammatory
effects of HGF in post-ischemic heart failure. The left anterior descending
coronary artery was ligated in rats, and adenovirus containing human HGF (Ad-HGF)
or control virus (Ad-GFP) was administered intramyocardially. The quantity of
proinflammatory cytokines secreted by cardiomyocytes, such as tumor necrosis
factor-alpha (TNF-alpha), interleukin-6 (IL-6), and IL-1beta, was evaluated.
Cardiac function and LV remodeling were assessed using echocardiography and
collagen deposition, respectively. Left ventricular fractional shortening (LVFS)
and left ventricular ejection fraction (LVEF) four weeks after injection were
significantly increased in Ad-HGF-treated animals compared to the Ad-GFP group.
HGF gene therapy improved ventricular geometry with a significantly decreased
left ventricular end-diastolic diameter (LVEDD) and markedly reduced myocardial
collagen deposition. Treatment with Ad-HGF significantly decreased the mRNA
levels of TNF-alpha, IL-6, and IL-1beta in the non-infarcted region four weeks
after injection. Changes of the TNF-alpha, IL-6, and IL-1beta levels in the non
infarcted region positively correlated with the LVEDD 4 weeks after infarction.
Treatment of acute myocardial infarction (AMI) with Ad-HGF in the early stage of
MI reduced the pro-inflammatory cytokine levels and preserved cardiac function.
These findings indicated that Ad-HGF gene therapy alleviated ventricular
remodeling after infarction by reducing inflammation.
PMID- 29795356
TI - Astragaloside IV ameliorates neuroinflammation-induced depressive-like behaviors
in mice via the PPARgamma/NF-kappaB/NLRP3 inflammasome axis.
AB - Major depressive disorder is a common but devastating mental disorder, and recent
evidence shows that neuroinflammation may play a pivotal role in the etiology of
depression. Astragaloside IV (AS-IV) is an active component purifed from
Astragalus membranaceus (Fisch) Bge, which has shown anti-inflammatory, anti
oxidative and anti-apoptotic effects. In this study, we explored whether AS-IV
produced antidepressant effects via its inhibition of neuroinflammation in mouse
models of depression. Depressive-like behaviors including decreased sucrose
consumption, reduced locomotor activity and increased immobility time were
induced in mice using repeated restraint stress (RRS). We found that
administration of AS-IV (16, 32 and 64 mg.kg-1.d-1, ig) significantly attenuated
RRS-induced depressive-like behaviors. Furthermore, AS-IV administration
significantly reduced the levels of TNF-alpha and IL-1beta, increased PPARgamma
expression and GSK3beta phosphorylation, decreased NF-kappaB phosphorylation, and
reduced NOD-, LRR- and pyrin domain-containingprotein 3 (NLRP3) inflammasome and
caspase-1 p20 generation in the hippocampus of the mice. LPS-induced depression
like behaviors were induced by LPS injection (1 mg.kg-1.d-1, ip), which were
ameliorated by administration of AS-IV (20, 40 mg.kg-1.d-1, ig). The results of
the LPS-induced mouse model were in accordance with those acquired from the RRS
induced mouse model: LPS injection significantly increased TNF-alpha and IL-1beta
expression in the mouse hippocampus, which was reversed by administration of AS
IV. Moreover, administration of AS-IV significantly increased PPARgamma
expression and GSK3beta phosphorylation, and decreased NF-kappaB phosphorylation
and NLRP3 inflammasome. These results suggest that AS-IV is a potential drug
against depression, and its antidepressant effects are partially mediated by
inhibition of neuroinflammation via the upregulation of PPARgamma expression.
PMID- 29795354
TI - Reconstruction of antibody dynamics and infection histories to evaluate dengue
risk.
AB - As with many pathogens, most dengue infections are subclinical and therefore
unobserved 1 . Coupled with limited understanding of the dynamic behaviour of
potential serological markers of infection, this observational problem has wide
ranging implications, including hampering our understanding of individual- and
population-level correlates of infection and disease risk and how these change
over time, between assay interpretations and with cohort design. Here we develop
a framework that simultaneously characterizes antibody dynamics and identifies
subclinical infections via Bayesian augmentation from detailed cohort data (3,451
individuals with blood draws every 91 days, 143,548 haemagglutination inhibition
assay titre measurements)2,3. We identify 1,149 infections (95% confidence
interval, 1,135-1,163) that were not detected by active surveillance and estimate
that 65% of infections are subclinical. After infection, individuals develop a
stable set point antibody load after one year that places them within or outside
a risk window. Individuals with pre-existing titres of <=1:40 develop
haemorrhagic fever 7.4 (95% confidence interval, 2.5-8.2) times more often than
naive individuals compared to 0.0 times for individuals with titres >1:40 (95%
confidence interval: 0.0-1.3). Plaque reduction neutralization test titres
<=1:100 were similarly associated with severe disease. Across the population,
variability in the size of epidemics results in large-scale temporal changes in
infection and disease risk that correlate poorly with age.
PMID- 29795357
TI - Pharmacological and functional comparisons of alpha6/alpha3beta2beta3-nAChRs and
alpha4beta2-nAChRs heterologously expressed in the human epithelial SH-EP1 cell
line.
AB - Neuronal nicotinic acetylcholine receptors containing alpha6 subunits (alpha6*
nAChRs) show highly restricted distribution in midbrain neurons associated with
pleasure, reward, and mood control, suggesting an important impact of alpha6*
nAChRs in modulating mesolimbic functions. However, the function and pharmacology
of alpha6*-nAChRs remain poorly understood because of the lack of selective
agonists for alpha6*-nAChRs and the challenging heterologous expression of
functional alpha6*-nAChRs in mammalian cell lines. In particular, the alpha6
subunit is commonly co-expressed with alpha4*-nAChRs in the midbrain, which masks
alpha6*-nAChR (without alpha4) function and pharmacology. In this study, we
systematically profiled the pharmacology and function of alpha6*-nAChRs and
compared these properties with those of alpha4beta2 nAChRs expressed in the same
cell line. Heterologously expressed human alpha6/alpha3 chimeric subunits (alpha6
N-terminal domain joined with alpha3 trans-membrane domains and intracellular
loops) with beta2 and beta3 subunits in the human SH-EP1 cell line (alpha6*
nAChRs) were used. Patch-clamp whole-cell recordings were performed to measure
these receptor-mediated currents. Functionally, the heterologously expressed
alpha6*-nAChRs exhibited excellent function and showed distinct nicotine-induced
current responses, such as kinetics, inward rectification and recovery from
desensitization, compared with alpha4beta2-nAChRs. Pharmacologically, alpha6*
nAChR was highly sensitive to the alpha6 subunit-selective antagonist alpha
conotoxin MII but had lower sensitivity to mecamylamine and dihydro-beta
erythroidine. Nicotine and acetylcholine were found to be full agonists for
alpha6*-nAChRs, whereas epibatidine and cytisine were determined to be partial
agonists. Heterologously expressed alpha6*-nAChRs exhibited pharmacology and
function distinct from those of alpha4beta2-nAChRs, suggesting that alpha6*
nAChRs may mediate different cholinergic signals. Our alpha6*-nAChR expression
system can be used as an excellent cell model for future investigations of
alpha6*-nAChR function and pharmacology.
PMID- 29795358
TI - Novel substituted pyrazolone derivatives as AMP-activated protein kinase
activators to inhibit lipid synthesis and reduce lipid accumulation in ob/ob
mice.
AB - Non-alcoholic fatty liver disease (NAFLD) is a clinical syndrome characterized by
hepatic steatosis. NAFLD is closely linked to obesity, insulin resistance and
dyslipidemia. AMP-activated protein kinase (AMPK) functions as an energy sensor
and plays a central role in regulating lipid metabolism. In this study, we
identified a series of novel pyrazolone AMPK activators using a homogeneous time
resolved fluorescence assay (HTRF) based on the AMPKalpha2beta1gamma1 complex.
Compound 29 (C29) is a candidate compound that directly activated the kinase
domain of AMPK with an EC50 value of 2.1-0.2 MUmol/L and acted as a non-selective
activator of AMPK complexes. Treatment of HepG2 cells with C29 (20, 40 MUmol/L)
dose-dependently inhibited triglyceride accumulation. Chronic administration of
C29 (10, 30 mg/kg every day, po, for 5 weeks) significantly improved lipid
metabolism in both the liver and the plasma of ob/ob mice. These results
demonstrate that the AMPK activators could be part of a novel treatment approach
for NAFLD and associated metabolic disorders.
PMID- 29795359
TI - Identification of small molecule inhibitors targeting the SMARCA2 bromodomain
from a high-throughput screening assay.
AB - SMARCA2 is a critical catalytic subunit of the switch/sucrose non-fermenting
(SWI/SNF) chromatin remodeling complexes. Dysregulation of SMARCA2 is associated
with several diseases, including some cancers. SMARCA2 is multi-domain protein
containing a bromodomain (BRD) that specifically recognizes acetylated lysine
residues in histone tails, thus playing an important role in chromatin
remodeling. Many potent and specific inhibitors targeting other BRDs have
recently been discovered and have been widely used for cancer treatments and
biological research. However, hit discovery targeting SMARCA2-BRD is particularly
lacking. To date, there is a paucity of reported high-throughput screening (HTS)
assays targeting the SMARCA2-BRD interface. In this study, we developed an
AlphaScreen HTS system for the discovery of SMARCA2-BRD inhibitors and optimized
the physicochemical conditions including pH, salt concentrations and detergent
levels. Through an established AlphaScreen-based high-throughput screening assay
against an in-house compound library, DCSM06 was identified as a novel SMARCA2
BRD inhibitor with an IC50 value of 39.9+/-3.0 MUmol/L. Surface plasmon resonance
demonstrated the binding between SMARCA2-BRD and DCSM06 (Kd=38.6 MUmol/L). A
similarity-based analog search led to identification of DCSM06-05 with an IC50
value of 9.0+/-1.4 MUmol/L. Molecular docking was performed to predict the
binding mode of DCSM06-05 and to decipher the structural basis of the infiuence
of chemical modifications on inhibitor potency. DCSM06-05 may be used as a
starting point for further medicinal chemistry optimization and could function as
a chemical tool for SMARCA2-related functional studies.
PMID- 29795360
TI - Plasma miR-451 with echocardiography serves as a diagnostic reference for
pulmonary hypertension.
AB - Due to the lack of typical clinical symptoms, the average delay time for
diagnosis of pulmonary hypertension (PH) is longer than 2 years. It is urgent to
find biomarkers for PH diagnosis. In this study we investigated whether plasma
microRNAs (miRNAs) can be used as biomarkers for PH diagnosis. We used microarray
to identify dynamic miRNAs between PH and non-PH patients. The candidate miRNAs
were verified using qRT-PCR in a mouse model of PH, which was induced by
monocrotaline (MCT) injection. We observed that miR-21, miR-126, miR-145, miR-191
and miR-150 had no differences between control mice and MCT-treated mice; but
plasma miR-451 was significantly decreased in the 2wk-MCT group, with no further
decrease in the 4wk-MCT group. Plasma miR-451 was also markedly decreased in PH
patients, whereas miR-21, miR-126, miR-150 and miR-320 did not show differences
between 53 PH patients and 54 non-PH patients. Receiver operating characteristic
curves (ROCs) were constructed from the patient data to assess the clinical
diagnostic values of circulating miR-451 and Doppler echocardiography (D-ECHO).
The areas under the curve (AUCs) of ROCs for miR-451 and D-ECHO were 0.710 and
0.766, respectively. Combination of miR-451 and D-ECHO with AUC of 0.825 was
superior to the use of either miR-451 or D-ECHO alone for PH diagnosis. In
conclusion, plasma miR-451 has a moderate diagnostic value in PH comparable to
that of D-ECHO, and the combination of miR-451 with D-ECHO has better diagnostic
value than either method alone, which may have implications for PH diagnosis.
PMID- 29795362
TI - Prolonged DADLE exposure epigenetically promotes Bcl-2 expression and elicits
neuroprotection in primary rat cortical neurons via the PI3K/Akt/NF-kappaB
pathway.
AB - Both in vivo and in vitro studies have shown the beneficial effects of the delta
opioid receptor (DOR) on neurodegeneration in hypoxia/ischemia. We previously
reported that DOR stimulation with [(D-Ala2, D-Leu5) enkephalin] (DADLE), a
potent DOR agonist, for both a short (minutes) and long (days) time has notable
protective effects against sodium azide (NaN3)-induced cell injury in primary
cultured rat cortical neurons. We further demonstrated that short-term DADLE
stimulation increased neuronal survival through the PKC-mitochondrial ERK
pathway. However, the mechanisms underlying long-term neuroprotection by DADLE
remain unclear. Here, we showed that DOR stimulation with DADLE (0.1 MUmol/L) for
2 d selectively activates the PI3K/Akt/NF-kappaB pathway in NaN3-treated neurons;
this activation increased Bcl-2 expression, attenuated Cyto c release and
promoted neuronal survival. Further investigation revealed that sustained DADLE
stimulation increased Bcl-2 expression by enhancing NF-kappaB binding to the Bcl
2 promoter and upregulating the histone acetylation levels of the Bcl-2 promoter.
Our results demonstrate that prolonged DADLE exposure epigenetically promotes Bcl
2 expression and elicits neuroprotective effects in the NaN3 model via the
PI3K/Akt/NF-kappaB pathway.
PMID- 29795363
TI - Borderline personality disorder.
AB - Caretakers are often intimidated or alienated by patients with borderline
personality disorder (BPD), compounding the clinical challenges posed by the
severe morbidity, high social costs and substantial prevalence of this disorder
in many health-care settings. BPD is found in ~1.7% of the general population but
in 15-28% of patients in psychiatric clinics or hospitals and in a large
proportion of individuals seeking help for psychological problems in general
health facilities. BPD is characterized by extreme sensitivity to perceived
interpersonal slights, an unstable sense of self, intense and volatile
emotionality and impulsive behaviours that are often self-destructive. Most
patients gradually enter symptomatic remission, and their rate of remission can
be accelerated by evidence-based psychosocial treatments. Although self-harming
behaviours and proneness to crisis can decrease over time, the natural course and
otherwise effective treatments of BPD usually leave many patients with persistent
and severe social disabilities related to depression or self-harming behaviours.
Thus, clinicians need to actively enquire about the central issues of
interpersonal relations and unstable identity. Failure to correctly diagnose
patients with BPD leads to misleading pharmacological interventions that rarely
succeed. Whether the definition of BPD should change is under debate that is
linked to not fully knowing the nature of this disorder.
PMID- 29795361
TI - Duhuo Jisheng Decoction inhibits SDF-1-induced inflammation and matrix
degradation in human degenerative nucleus pulposus cells in vitro through the
CXCR4/NF-kappaB pathway.
AB - Lower back pain (LBP) is the most common disease in orthopedic clinics world
wide. A classic Fangji of traditional Chinese medicine, Duhuo Jisheng Decoction
(DHJSD), has been proven clinically effective for LBP but its therapeutic
mechanisms remain unclear. We hypothesized that DHJSD might relieve LBP through
inhibiting the exaggerated proinflammatory cytokines and extracellular matrix
(ECM) degradation. Thus, we studied the effects of DHJSD on stromal cell-derived
factor-1 (SDF-1)-induced inflammation and ECM degradation in human nucleus
pulposus cells (hNPCs). The primary hNPCs were isolated from either degenerated
human intervertebral disc (HID) of LBP patients or normal HID of lumbar vertebral
fracture patients, and cultured in vitro. The cells were treated with SDF-1 (10
ng/mL) and subsequently with different concentrations (100-500 MUg/mL) of DHJSD
for 24 h, respectively. We found that application of DHJSD significantly
antagonized the SDF-1-induced production of proinflammatory cytokines and
reduction of aggrecan and type II collagen in the hNPCs. DHJSD also markedly
reduced the SDF-1-induced increase of CXCR4 and p-p65 and inhibited the nuclear
translocation of p65 in the hNPCs. DHJSD, CXCR4-siRNA, and NF-kappaB inhibitor
(BAY11-7082) caused the same inhibition of exaggerated proinflammatory cytokines
in the SDF-1-treated hNPCs. These results provided compelling evidence that DHJSD
may inhibit the generation of proinflammatory mediators and ECM degradation of
HID through an orchestrated targeting at multiple molecules in the SDF-1/CXCR4/NF
kappaB pathway, thus offered novel mechanistic insights into the clinical
effectiveness of DHJSD on LBP.
PMID- 29795364
TI - AIRE promotes androgen-independent prostate cancer by directly regulating IL-6
and modulating tumor microenvironment.
AB - Early stage prostate cancers are dependent on androgens for their growth and
survival and androgen withdrawal causes them to regress. Progressive prostate
cancers eventually acquire androgen independence rendering anti-androgen therapy
ineffective. However, the factors leading to this have not been adequately
addressed. This study shows that AIRE finds differential expression in androgen
dependent and -independent prostate cancer cells. AIRE expression is more in
androgen-independent cells due to its regulation by transcription factor Elk-1.
These enhanced levels of AIRE modulate the prostate tumor microenvironment by
transcriptionally activating a malignancy gene IL-6 in androgen-independent
cells. Additionally, AIRE prevents the cancer cells from anticancer drug-induced
death and enhances their invasiveness. Moreover, AIRE by modulating the cytokine
milieu skews the tumor-associated macrophage polarization towards M2 phenotype
with increased CD206 and CD163 expression. Subcutaneous mouse model of prostate
cancer revealed AIRE+/+ mice forming a palpable tumor and presents
lymphadenopathy however, only a small benign tumor is observed in AIRE-/- mice
and lymph nodes appear normal in size. In conclusion, our findings suggest AIRE
as a probable factor in promoting prostate cancer progression.
PMID- 29795365
TI - Financial incentive strategies for maintenance of weight loss: results from an
internet-based randomized controlled trial.
AB - BACKGROUND/OBJECTIVE: Financial incentives can improve initial weight loss; we
examined whether financial incentives can improve weight loss maintenance.
SUBJECTS/METHODS: Participants aged 30-80 years who lost at least 5 kg during the
first 4-6 months in a nationally available commercial weight loss program were
recruited via the internet into a three-arm randomized trial of two types of
financial incentives versus active control during months 1-6 (Phase I) followed
by passive monitoring during months 7-12 (Phase II). Interventions were daily
self-weighing and text messaging feedback alone (control) or combined with a
lottery-based incentive or a direct incentive. The primary outcome was weight
change 6 months after initial weight loss. Secondary outcomes included weight
change 12 months after initial weight loss (6 months after cessation of
maintenance intervention), and self-reported physical activity and eating
behaviors. RESULTS: Of 191 participants randomized, the mean age was 49.0 (SD =
10.5) years and weight loss prior to randomization was 11.4 (4.7) kg; 92% were
women and 89% were White. Mean weight changes during the next 6 months (Phase I)
were: lottery -3.0 (5.8) kg; direct -2.8 (5.8) kg; and control -1.4 (5.8) kg (all
pairwise comparisons p > 0.1). Weight changes through the end of 12 months post
weight loss (Phase II) were: lottery -1.8 (10.5) kg; direct -0.7 (10.7) kg; and
control -0.3 (9.4) kg (all pairwise comparisons p > 0.1). The percentages of
participants who maintained their weight loss (defined as gaining <=1.36 kg)
were: lottery 79%, direct 76%, and control 67% at 6 months and lottery 66%,
direct 62%, and control 59% at 12 months (all pairwise comparisons p > 0.1). At 6
and 12 months after initial weight loss, changes in self-reported physical
activity or eating behaviors did not differ across arms. CONCLUSIONS: Compared
with the active control of daily texting based on daily home weighing, lottery
based and direct monetary incentives provided no additional benefit for weight
loss maintenance.
PMID- 29795366
TI - Associations of serum indolepropionic acid, a gut microbiota metabolite, with
type 2 diabetes and low-grade inflammation in high-risk individuals.
AB - We recently reported using non-targeted metabolic profiling that serum
indolepropionic acid (IPA), a microbial metabolite of tryptophan, was associated
with a lower likelihood of developing type 2 diabetes (T2D). In the present
study, we established a targeted quantitative method using liquid chromatography
with mass spectrometric detection (HPLC-QQQ-MS/MS) and measured the serum
concentrations of IPA in all the participants from the Finnish Diabetes
Prevention Study (DPS), who had fasting serum samples available from the 1-year
study follow-up (n = 209 lifestyle intervention and n = 206 control group).
Higher IPA at 1-year study was inversely associated with the incidence of T2D (OR
[CI]: 0.86 [0.73-0.99], P = 0.04) and tended to be directly associated with
insulin secretion (beta = 0.10, P = 0.06) during the mean 7-year follow-up.
Moreover, IPA correlated positively with dietary fiber intake (g/day: r = 0.24, P
= 1 * 10-6) and negatively with hsCRP concentrations at both sampling (r = -
0.22, P = 0.0001) and study follow-up (beta = - 0.19, P = 0.001). Thus, we
suggest that the putative effect of IPA on lowering T2D risk might be mediated by
the interplay between dietary fiber intake and inflammation or by direct effect
of IPA on beta-cell function.
PMID- 29795367
TI - Ultra-processed food consumption and adiposity trajectories in a Brazilian cohort
of adolescents: ELANA study.
AB - BACKGROUND/OBJECTIVES: In Brazil, the increase in obesity rates has been
accompanied by increased consumption of ultra-processed food (UPF). The objective
of this paper was to evaluate body mass index (BMI) and body fat percentage (%BF)
trajectories in adolescents over a 3-year follow-up according to the frequency of
UPF consumption. SUBJECTS/METHODS: Data of three consecutive years (2010, 2011,
and 2012) were obtained from the Adolescent Nutritional Assessment Longitudinal
Study (ELANA) that aimed to assess changes in anthropometric indicators of
nutritional status, and 1035 adolescents enrolled in the 1st year of high school
from six schools (four private and two public) in the metropolitan area of Rio de
Janeiro, Brazil were included. At three follow-ups, they had their weights and
heights measured. Body composition was measured at the first and second follow
ups. Mixed linear regression models were used to estimate BMI and %BF
trajectories based on quartiles of UPF intake, adjusting for type of school, sex,
physical activity, and underreporting. RESULTS: Compared to their counterparts in
the 1st quartile, adolescents in the 4th quartile of UPF consumption had a lower
daily intake of fruits, cooked vegetables, and raw vegetables and a higher intake
of total sugar and physical activity levels (p < 0.001). There was an inverse
association between UPF consumption and BMI both at baseline and at follow-up.
Values for %BF followed the same trend. Adolescents in the 4th quartile had the
greatest level of physical activity and lowest total energy intake. CONCLUSION:
This study confirmed that greater intake of UPF is a marker of an unhealthy diet,
but did not support the hypothesis of a high rate of change in BMI associated
with greater UPF consumption, even after adjusting for physical activity.
PMID- 29795368
TI - Plant sterols lower LDL-cholesterol and triglycerides in dyslipidemic individuals
with or at risk of developing type 2 diabetes; a randomized, double-blind,
placebo-controlled study.
AB - BACKGROUND: Managing cardiovascular disease (CVD) risk factors, e.g.,
dyslipidemia in type-2 diabetes mellitus (T2DM) is critically important as CVD is
the most common cause of death in T2DM patients. This study aimed to investigate
the effect of plant sterols (PS) on lowering both elevated low-density
lipoprotein cholesterol (LDL-C) and triglycerides (TG). METHODS: In a double
blind, randomized, placebo-controlled, parallel study, 161 individuals at
increased risk of and with established T2DM, consumed low-fat spreads without or
with added PS (2 g/d) for 6 weeks after a 2-week run-in period. Increased risk of
developing T2DM was defined by the Australian T2DM Risk Assessment Tool
(AUSDRISK). Fasting serum/plasma total cholesterol (TC), LDL-C, TG, high-density
lipoprotein cholesterol (HDL-C), glucose and insulin were measured at baseline
and after 6 weeks. Effects on acute and chronic postprandial blood lipids,
glucose and insulin were measured over 4-h in 39 individuals with T2DM following
a mixed meal challenge without and with added 2 g/d PS at week 6. The study was
registered at clinicaltrials.gov (NCT02288585). RESULTS: Hundred fifty-one
individuals completed the study and 138 (57% men, 43% women; 44 with and 94 at
risk of T2DM) were included in per protocol analysis. Baseline LDL-C and TG were
3.8 +/- 1.0 and 2.5 +/- 0.8 mmol/l, respectively. PS intake significantly lowered
fasting LDL-C (-4.6%, 95%CI -1.2; -8.0; p = 0.009), TC (-4.2%, 95%CI -1.2; -7.1;
p = 0.006) and TG (-8.3%, 95% -1.1, -15.0; p = 0.024) with no significant changes
in HDL-C, glucose or insulin. Postprandial lipid (TG, TC, LDL-C, HDL-C, remnant
cholesterol), glucose and insulin responses did not differ. CONCLUSIONS: In
individuals at risk of and with established T2DM and with elevated TG and LDL-C,
2 g/d of PS results in dual LDL-C plus TG lowering. Postprandial lipid or
glycemic responses did not differ between PS and control treatment.
PMID- 29795369
TI - NOTCH3 inactivation increases triple negative breast cancer sensitivity to
gefitinib by promoting EGFR tyrosine dephosphorylation and its intracellular
arrest.
AB - Notch dysregulation has been implicated in numerous tumors, including triple
negative breast cancer (TNBC), which is the breast cancer subtype with the worst
clinical outcome. However, the importance of individual receptors in TNBC and
their specific mechanism of action remain to be elucidated, even if recent
findings suggested a specific role of activated-Notch3 in a subset of TNBCs.
Epidermal growth factor receptor (EGFR) is overexpressed in TNBCs but the use of
anti-EGFR agents (including tyrosine kinase inhibitors, TKIs) has not been
approved for the treatment of these patients, as clinical trials have shown
disappointing results. Resistance to EGFR blockers is commonly reported. Here we
show that Notch3-specific inhibition increases TNBC sensitivity to the TKI
gefitinib in TNBC-resistant cells. Mechanistically, we demonstrate that Notch3 is
able to regulate the activated EGFR membrane localization into lipid rafts
microdomains, as Notch3 inhibition, such as rafts depletion, induces the EGFR
internalization and its intracellular arrest, without involving receptor
degradation. Interestingly, these events are associated with the EGFR tyrosine
dephosphorylation at Y1173 residue (but not at Y1068) by the protein tyrosine
phosphatase H1 (PTPH1), thus suggesting its possible involvement in the observed
Notch3-dependent TNBC sensitivity response to gefitinib. Consistent with this
notion, a nuclear localization defect of phospho-EGFR is observed after combined
blockade of EGFR and Notch3, which results in a decreased TNBC cell survival.
Notably, we observed a significant correlation between EGFR and NOTCH3 expression
levels by in silico gene expression and immunohistochemical analysis of human
TNBC primary samples. Our findings strongly suggest that combined therapies of
TKI-gefitinib with Notch3-specific suppression may be exploited as a drug
combination advantage in TNBC treatment.
PMID- 29795370
TI - HMGB1 released from intestinal epithelia damaged by cholera toxin adjuvant
contributes to activation of mucosal dendritic cells and induction of intestinal
cytotoxic T lymphocytes and IgA.
AB - Cholera toxin (CT) is a potent mucosal adjuvant and oral administration of
ovalbumin (OVA) antigens plus CT induces OVA-specific CD8+ cytotoxic T
lymphocytes (CTLs) and IgA production in intestinal mucosa. However, the
mechanisms of induction of these immune responses remain unknown. Intestinal OVA
specific CD8+ CTLs were not induced by oral administration of the CT active (CTA)
or CT binding (CTB) subunit as an adjuvant and CD11c+ DCs were involved in cross
priming of intestinal CTLs. CD8+CD103+CD11c+CD11b-DCs and
DCIR2+CD103+CD11c+CD11b+ DCs were distributed in the intestinal lamina propria
and mesenteric lymph nodes, both DC subsets expressed DEC-205, and the expression
of co-stimulatory molecules such as CD80 and CD86 was enhanced in both DC subsets
after oral administration of intact CT but not the CTA or CTB subunit. Intestinal
DCs activated by the oral administration of OVA plus CT cross-presented OVA
antigens and DCs that captured OVA antigen through DEC-205, but not DCIR2, could
cross-present antigen. We found that oral administration of intact CT, but not
the CTA or CTB subunit, enhanced cell death, cytoplasmic expression of high
mobility group box 1 protein (HMGB1) in epithelial cell adhesion molecule
(EpCAM)+CD45- intestinal epithelial cells (IECs), and HMGB1 levels in fecal
extracts. HMGB1 dose-dependently enhanced the expression of CD80 and CD86 on DCs
in vitro, and intravenous or oral administration of glycyrrhizin, an HMGB1
inhibitor, significantly suppressed activation of mucosal DCs and induction of
intestinal OVA-specific CTLs and IgA by oral CT administration. These results
showed that oral administration of intact CT triggers epithelial cell death in
the gut and the release of HMGB1 from damaged IECs, and that the released HMGB1
may mediate activation of mucosal DCs and induction of CTLs and IgA in the
intestine.
PMID- 29795371
TI - Correction to: Phenytoin inhibits necroptosis.
AB - The name of the one of the authors was misspelt. The author's surname is
Rodriguez, not Rodriquez as originally published. This has been corrected in both
the PDF and HTML versions of the Article.
PMID- 29795372
TI - FAM188B enhances cell survival via interaction with USP7.
AB - We have previously reported that FAM188B showed significant differential exon
usage in cancers (NCBI GEO GSE30727), but the expression and function of FAM188B
is not well characterized. In the present study, we explored the functions of
FAM188B by a knockdown strategy, using siRNAs specific for FAM188B in colon
cancer cell lines. FAM188B is a novel gene that encodes a protein that is
evolutionarily conserved among mammals. Its mRNA has been found to be highly
expressed in most solid tumors, including colorectal cancer. FAM188B knockdown
induced cell growth inhibition due to an increase in apoptosis in colon cancer
cell lines. Interestingly, siFAM188B treatment induced the upregulation and
activation of p53, and consequently increased p53-regulated pro-apoptotic
proteins, PUMA and BAX. Proteomic analysis of FAM188B immunocomplexes revealed
p53 and USP7 as putative FAM188B-interacting proteins. Deletion of the putative
USP7-binding motif in FAM188B reduced complex formation of FAM188B with USP7. It
is noteworthy that FAM188B knockdown resulted in a decrease in overall
ubiquitination in the p53 immunocomplexes, as well as p53 ubiquitination, because
USP7 is involved in p53 deubiquitination. FAM188B knockdown inhibited both colony
formation and anchorage-independent growth in vitro. In addition, FAM188B
knockdown by siRNA reduced tumor growth in xenografted mice, with an increase in
p53 proteins. Taken together, our data suggest that FAM188B is a putative
oncogene that functions via interaction with USP7. Therefore, control of FAM188B
could be a possible target to inhibit tumor growth.
PMID- 29795374
TI - Author Correction: Time-dependent memory transformation along the hippocampal
anterior-posterior axis.
AB - In the originally published version of this Article, the rightmost graph in Fig.
2c was inadvertently replaced with a duplicate of the central panel. This has now
been corrected in both the PDF and HTML versions of the Article.
PMID- 29795373
TI - Gamma synuclein is a novel Twist1 target that promotes TGF-beta-induced cancer
cell migration and invasion.
AB - Transforming growth factor beta (TGF-beta) is critical for embryonic development,
adult tissue homeostasis, and tumor progression. TGF-beta suppresses tumors at
early stage, but promotes metastasis at later stage through oncogenes such as
Twist1. Gamma-synuclein (SNCG) is overexpressed in a variety of invasive and
metastatic cancer. Here, we show that TGF-beta induces SNCG expression by Smad
Twist1 axis, thus promoting TGF-beta- and Twist1-induced cancer cell migration
and invasion. We identify multiple Twist1-binding sites (E-boxes) in SNCG
promoter. Chromatin immunoprecipitation and luciferase assays confirm the binding
of Twist1 to the E-boxes of SNCG promoter sequence (-129/-1026 bp). Importantly,
the Twist1-binding site close to the transcription initiation site is critical
for the upregulation of SNCG expression by TGF-beta and Twist1. Mutations of
Twist1 motif on the SNCG promoter constructs markedly reduces the promoter
activity. We further show that TGF-beta induces Twist1 expression through Smad
thereby enhancing the binding of Twist1 to SNCG promoter, upregulating SNCG
promoter activity and increasing SNCG expression. SNCG knockdown abrogates TGF
beta- or Twist1-induced cancer cell migration and invasion. Finally, SNCG
knockdown inhibits the promotion of cancer metastasis by Twist1. Together, our
data demonstrate that SNCG is a novel target of TGF-beta-Smad-Twist1 axis and a
mediator of Twist1-induced cancer metastasis.
PMID- 29795376
TI - HDAC and Ku70 axis- an effective target for apoptosis induction by a new 2-cyano
3-oxo-1,9-dien glycyrrhetinic acid analogue.
AB - Methyl 2-cyano-3,12-dioxo-18beta-olean-1,9(11)-dien-30-oate (CDODO-Me, 10d)
derived from glycyrrhetinic acid and methyl-2-cyano-3,12-dioxooleana-1,9-dien-28
oic acid (CDDO-Me) derived from oleanoic acid are potent apoptosis inducers
developed to clinical trials. Both compounds have high affinity for reduced
glutathione (GSH), which needs to be overcome to improve their target
selectivity. We generated a new 10d analogue methyl 2-cyano-3-oxo-18beta-olean
1,9(11), 12-trien-30-oate (COOTO, 10e), which retains high apoptosis inducing
ability, while displaying decreased affinity for GSH, and explored the acting
targets. We found that it induces Noxa level, reduces c-Flip level and causes
Bax/Bak activation. Silencing of either Noxa or Bak significantly attenuated
apoptosis induction of 10e. We linked these events due to targeting HDAC3/HDAC6
and Ku70 axis. 10e treatment reduced the levels of HDAC3 and HDAC6 with increased
DNA damage/repair marker gamma-H2AX (gamma-H2AX) and acetylated Ku70. c-Flip
dissociates from acetylated Ku70 undergoing degradation, while Bax dissociates
from acetylated Ku70 undergoing activation. Silencing of either HDAC3 or HDAC6
enhanced 10e-induced apoptosis. We reveal a new action cascade of this category
of compounds that involves targeting of HADC3/6 proteins and Ku70 acetylation.
PMID- 29795375
TI - In situ edge engineering in two-dimensional transition metal dichalcogenides.
AB - Exerting synthetic control over the edge structure and chemistry of two
dimensional (2D) materials is of critical importance to direct the magnetic,
optical, electrical, and catalytic properties for specific applications. Here, we
directly image the edge evolution of pores in Mo1-xW x Se2 monolayers via atomic
resolution in situ scanning transmission electron microscopy (STEM) and
demonstrate that these edges can be structurally transformed to theoretically
predicted metastable atomic configurations by thermal and chemical driving
forces. Density functional theory calculations and ab initio molecular dynamics
simulations explain the observed thermally induced structural evolution and
exceptional stability of the four most commonly observed edges based on changing
chemical potential during thermal annealing. The coupling of modeling and in situ
STEM imaging in changing chemical environments demonstrated here provides a
pathway for the predictive and controlled atomic scale manipulation of matter for
the directed synthesis of edge configurations in Mo1-x W x Se2 to achieve desired
functionality.
PMID- 29795377
TI - An anti-EGFR * cotinine bispecific antibody complexed with cotinine-conjugated
duocarmycin inhibits growth of EGFR-positive cancer cells with KRAS mutations.
AB - Antibody-drug conjugates (ADCs) can selectively deliver cytotoxic agents to tumor
cells and are frequently more potent than naked antibodies. However, optimization
of the conjugation process between antibodies and cytotoxic agents and
characterization of ADCs are laborious and time-consuming processes. Here, we
describe a novel ADC platform using a tetravalent bispecific antibody that
simultaneously binds to the tumor-associated antigen and a hapten conjugated to a
cytotoxic agent. We selected cotinine as the hapten because it is not present in
biological systems and is inert and nontoxic. We prepared an anti-epidermal
growth factor receptor (EGFR) * cotinine bispecific antibody and mixed it with an
equimolar amount of cotinine-conjugated duocarmycin to form the ADC. This ADC
showed significant in vitro and in vivo antitumor activity against EGFR-positive,
cetuximab-refractory lung adenocarcinoma cells with KRAS mutations.
PMID- 29795380
TI - Correction to: Disease-linked connexin26 S17F promotes volar skin abnormalities
and mild wound healing defects in mice.
AB - Correction to: NPG Asia Materials (2018) https://doi.org/10.1038/s41427-018-0014
9 published online on 16 April 2018.
PMID- 29795378
TI - Clinical isolates of the modern Mycobacterium tuberculosis lineage 4 evade host
defense in human macrophages through eluding IL-1beta-induced autophagy.
AB - Mycobacterium tuberculosis (Mtb), the causative agent of tuberculosis (TB), has
infected over 1.7 billion people worldwide and causes 1.4 million deaths
annually. Recently, genome sequence analysis has allowed the reconstruction of
Mycobacterium tuberculosis complex (MTBC) evolution, with the identification of
seven phylogeographic lineages: four referred to as evolutionarily "ancient", and
three "modern". The MTBC strains belonging to "modern" lineages appear to show
enhanced virulence that may have warranted improved transmission in humans over
ancient lineages through molecular mechanisms that remain to be fully
characterized. To evaluate the impact of MTBC genetic diversity on the innate
immune response, we analyzed intracellular bacterial replication, inflammatory
cytokine levels, and autophagy response in human primary macrophages infected
with MTBC clinical isolates belonging to the ancient lineages 1 and 5, and the
modern lineage 4. We show that, when compared to ancient lineage 1 and 5, MTBC
strains belonging to modern lineage 4 show a higher rate of replication,
associated to a significant production of proinflammatory cytokines (IL-1beta, IL
6, and TNF-alpha) and induction of a functional autophagy process. Interestingly,
we found that the increased autophagic flux observed in macrophages infected with
modern MTBC is due to an autocrine activity of the proinflammatory cytokine IL
1beta, since autophagosome maturation is blocked by an interleukin-1 receptor
antagonist. Unexpectedly, IL-1beta-induced autophagy is not disadvantageous for
the survival of modern Mtb strains, which reside within Rab5-positive phagosomal
vesicles and avoid autophagosome engulfment. Altogether, these results suggest
that autophagy triggered by inflammatory cytokines is compatible with a high rate
of intracellular bacilli replication and may therefore contribute to the
increased pathogenicity of the modern MTBC lineages.
PMID- 29795379
TI - HBx-induced S100A9 in NF-kappaB dependent manner promotes growth and metastasis
of hepatocellular carcinoma cells.
AB - Hepatocellular carcinoma (HCC) is associated with hepatitis B virus (HBV)
infection. Myeloid-specific S100 proteins (S100s), namely, S100A8, S100A9 and
S100A12, have been recently recognized as newly discovered damage-associated
molecular patterns (DAMPs) that are correlated with progression in pathogen of
infectious diseases. However, whether S100s are regulated by HBV and involved in
HBV-related hepatocarcinogenesis are still unclear. Here, we found that all
expression levels of myeloid-specific S100s (S100A8, S100A9 and S10012) were
elevated in serum and tissue samples from HCC patients. Expression of S100A9 but
not S100A8 and S10012 were also higher in blood serum and tissue samples from HBV
positive HCC patients than that in HBV-negative HCC patients. High levels of
intracellular and extracellular S100A9 were also confirmed in HepG2 cells
expressing 1.3-fold HBV genome or HBV-encoded X protein (HBx) as well as in a
stable HBV-producing cell line HepG2.2.15. HBx was shown to facilitate
translocation of NF-kappaB from the cytoplasm to the nucleus, and NF-kappaB bound
to the promoter of S100A9 to enhance its transcription. Silencing S100A9
expression partially blocked HBx-induced growth and metastasis of HepG2 cells
both in vitro and in vivo. Further, serum S100A9 levels were found to correlate
with TNM stage, extrahepatic metastasis status and HBV DNA load in HBV-related
HCC and also had a better diagnostic value for identifying extrahepatic
metastasis. Our these data demonstrate that S100A9 plays a pivotal role in HBx
induced growth and metastasis of HCC and may serve as a potential diagnostic
marker for extrahepatic metastasis.
PMID- 29795381
TI - REGgamma deficiency suppresses tumor progression via stabilizing CK1epsilon in
renal cell carcinoma.
AB - Renal cell carcinoma (RCC) is the most common malignant disease of kidney in
adults. The proteasome activator REGgamma was previously reported to promote the
degradation of multiple important regulatory proteins and involved in the
progression and development of numerous human cancers. Here, we first reported
that REGgamma was upregulated in RCC and its upregulation was correlated with a
poor prognosis in RCC patients. REGgamma depletion obviously suppressed RCC cells
proliferation in vitro and in vivo. Notably, casein kinase 1epsilon (CK1epsilon)
was identified as a novel target of REGgamma and knockdown of CK1epsilon
effectively abolished the effect of REGgamma depletion on RCC cells growth.
Importantly, we also observed that REGgamma depletion activated Hippo signaling
pathway via stabilizing CK1epsilon in RCC, indicating the cross-talk between
REGgamma/CK1epsilon axis and Hippo pathway during RCC development. In conclusion,
our findings suggested that REGgamma played a pivotal role in the development of
RCC and maybe helpful to identify new therapeutic strategies in the treatment of
RCC.
PMID- 29795382
TI - Dual origin of relapses in retinoic-acid resistant acute promyelocytic leukemia.
AB - Retinoic acid (RA) and arsenic target the t(15;17)(q24;q21) PML/RARA driver of
acute promyelocytic leukemia (APL), their combination now curing over 95%
patients. We report exome sequencing of 64 matched samples collected from
patients at initial diagnosis, during remission, and following relapse after
historical combined RA-chemotherapy treatments. A first subgroup presents a high
incidence of additional oncogenic mutations disrupting key epigenetic or
transcriptional regulators (primarily WT1) or activating MAPK signaling at
diagnosis. Relapses retain these cooperating oncogenes and exhibit additional
oncogenic alterations and/or mutations impeding therapy response (RARA, NT5C2).
The second group primarily exhibits FLT3 activation at diagnosis, which is lost
upon relapse together with most other passenger mutations, implying that these
relapses derive from ancestral pre-leukemic PML/RARA-expressing cells that
survived RA/chemotherapy. Accordingly, clonogenic activity of PML/RARA
immortalized progenitors ex vivo is only transiently affected by RA, but
selectively abrogated by arsenic. Our studies stress the role of cooperating
oncogenes in direct relapses and suggest that targeting pre-leukemic cells by
arsenic contributes to its clinical efficacy.
PMID- 29795384
TI - Soft Coulomb gap and asymmetric scaling towards metal-insulator quantum
criticality in multilayer MoS2.
AB - Quantum localization-delocalization of carriers are well described by either
carrier-carrier interaction or disorder. When both effects come into play,
however, a comprehensive understanding is not well established mainly due to
complexity and sparse experimental data. Recently developed two-dimensional
layered materials are ideal in describing such mesoscopic critical phenomena as
they have both strong interactions and disorder. The transport in the insulating
phase is well described by the soft Coulomb gap picture, which demonstrates the
contribution of both interactions and disorder. Using this picture, we
demonstrate the critical power law behavior of the localization length,
supporting quantum criticality. We observe asymmetric critical exponents around
the metal-insulator transition through temperature scaling analysis, which
originates from poor screening in insulating regime and conversely strong
screening in metallic regime due to free carriers. The effect of asymmetric
scaling behavior is weakened in monolayer MoS2 due to a dominating disorder.
PMID- 29795383
TI - An antibacterial platform based on capacitive carbon-doped TiO2 nanotubes after
direct or alternating current charging.
AB - Electrical interactions between bacteria and the environment are delicate and
essential. In this study, an external electrical current is applied to capacitive
titania nanotubes doped with carbon (TNT-C) to evaluate the effects on bacteria
killing and the underlying mechanism is investigated. When TNT-C is charged, post
charging antibacterial effects proportional to the capacitance are observed. This
capacitance-based antibacterial system works well with both direct and
alternating current (DC, AC) and the higher discharging capacity in the positive
DC (DC+) group leads to better antibacterial performance. Extracellular electron
transfer observed during early contact contributes to the surface-dependent post
charging antibacterial process. Physiologically, the electrical interaction
deforms the bacteria morphology and elevates the intracellular reactive oxygen
species level without impairing the growth of osteoblasts. Our finding spurs the
design of light-independent antibacterial materials and provides insights into
the use of electricity to modify biomaterials to complement other bacteria
killing measures such as light irradiation.
PMID- 29795385
TI - Evaluation of minimal residual disease using next-generation flow cytometry in
patients with AL amyloidosis.
PMID- 29795386
TI - Myelodysplastic syndromes current treatment algorithm 2018.
AB - Myelodysplastic syndromes (MDS) include a group of clonal myeloid neoplasms
characterized by cytopenias due to ineffective hematopoiesis, abnormal blood and
marrow cell morphology, and a risk of clonal evolution and progression to acute
myeloid leukemia (AML). Because outcomes for patients with MDS are heterogeneous,
individual risk stratification using tools such as the revised International
Prognostic Scoring System (IPSS-R) is important in managing patients-including
selecting candidates for allogeneic hematopoietic stem cell transplantation
(ASCT), the only potentially curative therapy for MDS. The IPSS-R can be
supplemented by molecular genetic testing, since certain gene mutations such as
TP53 influence risk independent of established clinicopathological variables. For
lower risk patients with symptomatic anemia, treatment with erythropoiesis
stimulating agents (ESAs) or lenalidomide (especially for those with deletion of
chromosome 5q) can ameliorate symptoms. Some lower risk patients may be
candidates for immunosuppressive therapy, thrombopoiesis-stimulating agents, or a
DNA hypomethylating agent (HMA; azacitidine or decitabine). Among higher risk
patients, transplant candidates should undergo ASCT as soon as possible, with
HMAs useful as a bridge to transplant. Non-transplant candidates should initiate
HMA therapy and continue if tolerated until disease progression. Supportive care
with transfusions and antimicrobial drugs as needed remains important in all
groups.
PMID- 29795388
TI - Left gastric vein-based noninvasive test for esophageal varices: a same-day
comparison of portal hemodynamic assessment with endoscopic appearance.
AB - OBJECTIVE: To examine the effect of hemodynamic assessment of the left gastric
vein (LGV) as a noninvasive test to diagnose esophageal varices (EV) in cirrhosis
patients. METHODS: This cross-sectional study consisted of 229 cirrhosis patients
(62.7 +/- 11.8 years; Child-Pugh score 5-14). One hundred fifty-four patients had
EV (67.2%; small, 53; medium, 71; large, 30). All patients underwent a blood test
and Doppler ultrasound followed by upper gastrointestinal endoscopy on the same
day. The diagnostic ability for EV was compared between LGV-related findings and
the platelet count/spleen diameter ratio (Plt/Spl). RESULTS: The detectability of
the LGV was higher in patients with EV (129/144, 89.6%) than in those without
(35/75, 46.7%; p < 0.0001), and was higher in those with large EV (30/30, 100%)
than in those without (134/199, 67.3%; p = 0.0002). The positive detection of the
LGV showed 100% sensitivity and negative predictive value (NPV) to identify large
EV in the whole cohort and compensated group (n = 127). The best cutoff value in
the LGV diameter was 5.35 mm to identify large EV, showing 0.753 area under the
receiver operating characteristic curve (AUROC) with 90% sensitivity and 96.5%
NPV. The Plt/Spl showed 62.1% sensitivity and 87.1% NPV, and the best cutoff
value was 442.9 to identify large EV with 0.658 AUROC, which was comparable to
LGV-based assessment (p = 0.162). CONCLUSIONS: This same-day comparison study
demonstrated the value of LGV-based noninvasive test to identify large EV with
high sensitivity and NPV in cirrhosis patients at a lower cost.
PMID- 29795387
TI - OGG1-initiated base excision repair exacerbates oxidative stress-induced
parthanatos.
AB - Oxidative stress-induced DNA damage has been well acknowledged as a major cause
leading to cell death, which is etiologically linked to ischemic injury and
degenerative alterations. The most common oxidation product of DNA is base lesion
8-oxo-7,8-dihydroguanine (8-oxoG), which is repaired by 8-oxoG glycosylase1
(OGG1)-initiated baseexcision repair (BER) pathway (OGG1-BER); however, the role
of OGG1-BER in oxidative stress-induced cell death is poorly investigated. DNA
strand breaks and apurinic/apyrimidinic (AP) sites are effective substrates to
activate DNA damage sensor poly(ADP-ribose) polymerase 1 (PARP1). Overactivation
of PARP1 is associated with apoptosis-inducing factor (AIF)-mediated and caspase
independent cell death (parthanatos). We hypothesized that after an excessive
oxidative insult, OGG1-BER-generated strand breaks result in hyperactivation of
PARP1 and consequently cell death. To test, wild type, knockout, siRNA-depleted
MEFs and neuroblastoma cells, or those expressing repair-deficient OGG1 mutants
were oxidatively stressed and the role of OGG1 was examined. Results showed that
OGG1-BER further increases the levels of ROS-induced DNA damage by generating
repair intermediates, leading to PARP1 overactivation and cell death. Cells
lacking or expressing repair-deficient OGG1 showed lower levels of DNA strand
lesions, PARP1 activation, and nuclear translocation of apoptosis-inducing
factor, resulting in the increased resistance to ROS-induced parthanatos. These
results suggested that OGG1 guards genome integrity through either lesion repair
or elimination of cells with malignant potential, to maintain the homeostasis of
the host, which might depend on the magnitude of guanine oxidation.
PMID- 29795389
TI - Generation of ultrahigh field by micro-bubble implosion.
AB - Breaking the 100-MeV barrier for proton acceleration will help elucidate
fundamental physics and advance practical applications from inertial confinement
fusion to tumour therapy. Herein we propose a novel concept of bubble implosions.
A bubble implosion combines micro-bubbles and ultraintense laser pulses of 1020
1022 W cm-2 to generate ultrahigh fields and relativistic protons. The bubble
wall protons undergo volumetric acceleration toward the centre due to the
spherically symmetric Coulomb force and the innermost protons accumulate at the
centre with a density comparable to the interior of a white dwarf. Then an
unprecedentedly high electric field is formed, which produces an energetic proton
flash. Three-dimensional particle simulations confirm the robustness of Coulomb
imploded bubbles, which behave as nano-pulsars with repeated implosions and
explosions to emit protons. Current technologies should be sufficient to
experimentally verify concept of bubble implosions.
PMID- 29795390
TI - Characterization of a newly isolated phage infecting pathogenic Escherichia coli
and analysis of its mosaic structural genes.
AB - The outbreak of multidrug-resistant pathogenic bacteria made the discovery of
novel control strategies necessary. Phages have regained attention for their
specific lytic activity against pathogenic bacterium. A newly isolated phage
infecting the clinical Escherichia coli isolates, including several multidrug
resistant strains, was isolated, and this phage showed high control effects
against the tested pathogenic E. coli strains. Host range analysis revealed that
although the phage exhibited broad lytic spectrum against the tested E. coli
strains, it could not lyse strains from the other species. Comparative genomic
analysis showed that phages had undergone at least three genome recombination
events during the evolutionary process at the position of the three phage tail
genes, which was reported to be associated with the host range determination of
the phage. The recombinant tail proteins contained functional domains that were
highly similar with genes of the Salmonella phage and genes of Pseudomonas and
Neisseria. The findings of this study not only provide resources for developing
phage therapy against E. coli, but also showed the highly variable genome
structure of the phage.
PMID- 29795391
TI - Salvianolic acids from antithrombotic Traditional Chinese Medicine Danshen are
antagonists of human P2Y1 and P2Y12 receptors.
AB - Many hemorheologic Traditional Chinese Medicines (TCMs) that are widely-used
clinically lack molecular mechanisms of action. We hypothesized that some of the
active components of hemorheologic TCMs may function through targeting
prothrombotic P2Y1 and/or P2Y12 receptors. The interactions between 253
antithrombotic compounds from TCM and these two G protein-coupled P2Y receptors
were evaluated using virtual screening. Eleven highly ranked hits were further
tested in radioligand binding and functional assays. Among these compounds,
salvianolic acid A and C antagonized the activity of both P2Y1 and P2Y12
receptors in the low uM range, while salvianolic acid B antagonized the P2Y12
receptor. These three salvianolic acids are the major active components of the
broadly-used hemorheologic TCM Danshen (Salvia militorrhiza), the antithrombotic
molecular mechanisms of which were largely unknown. Thus, the combination of
virtual screening and experimental validation identified potential mechanisms of
action of multicomponent drugs that are already employed clinically.
PMID- 29795393
TI - Author Correction: Defective Sphingosine-1-phosphate metabolism is a druggable
target in Huntington's disease.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29795392
TI - Understanding the Connection between Nanoparticle Uptake and Cancer Treatment
Efficacy using Mathematical Modeling.
AB - Nanoparticles have shown great promise in improving cancer treatment efficacy
while reducing toxicity and treatment side effects. Predicting the treatment
outcome for nanoparticle systems by measuring nanoparticle biodistribution has
been challenging due to the commonly unmatched, heterogeneous distribution of
nanoparticles relative to free drug distribution. We here present a proof-of
concept study that uses mathematical modeling together with experimentation to
address this challenge. Individual mice with 4T1 breast cancer were treated with
either nanoparticle-delivered or free doxorubicin, with results demonstrating
improved cancer kill efficacy of doxorubicin loaded nanoparticles in comparison
to free doxorubicin. We then developed a mathematical theory to render model
predictions from measured nanoparticle biodistribution, as determined using
graphite furnace atomic absorption. Model analysis finds that treatment efficacy
increased exponentially with increased nanoparticle accumulation within the
tumor, emphasizing the significance of developing new ways to optimize the
delivery efficiency of nanoparticles to the tumor microenvironment.
PMID- 29795394
TI - Inflexible daily behaviour is associated with the ability to control an automatic
reaction in autism spectrum disorder.
AB - Inflexible behaviours in people with autism spectrum disorder (ASD) broadly
obstruct social communication. Meanwhile, flexibility implicates cognitive
control to resolve socially conflicting situations; however, it remains unclear
how people with ASD behave in the face of these conflicts in this respect. We
used the ultimatum game (UG) and the implicit-association test (IAT) to examine
goal-directed/economic flexibility, both of which involve conflict and cognitive
control. In addition, we used the Detail and Flexibility Questionnaire (DFlex) to
measure inflexible everyday behaviour with diminished cognitive control and
attention shifting. We observed the decreased flexibility in participants with
ASD (DFlex and IAT); further, their IAT scores positively correlated with DFlex.
However, in the UG, contrary to our prediction, participants with ASD accepted
unfair offers more frequently than TD. These results suggest that assessing the
automatic/attention processing level with the IAT could be a useful approach to
study behavioural flexibility among ASD compared with the UG, which might
comprise multiple response strategies besides economic rationality. Overall, the
severity of inflexible daily behaviours in people with ASD may be associated with
a reduced flexible attitude at an automatic level, altered attention processing
and decreased cognitive control.
PMID- 29795395
TI - A novel SNP in the 5' regulatory region of organic anion transporter 1 is
associated with chronic kidney disease.
AB - We aimed to analyze the associations of single nucleotide polymorphisms (SNP) in
the 5' regulatory region of the human organic anion transporter 1 (OAT1) gene
with chronic kidney disease (CKD). A case-control study including age- and sex
matched groups of normal subjects and patients with CKD (n = 162 each) was
designed. Direct sequencing of the 5' regulatory region (+88 to -1196 region)
showed that patients with CKD had a higher frequency of the -475 SNP (T > T/G)
than normal subjects (14/162 vs. 2/162). The luciferase activity assay results
indicated that the -475G SNP had a higher promoter efficiency than the -475T SNP.
Chromatin immunoprecipitation (ChIP) and LC/MS/MS analyses showed that the -475G
SNP up-regulated 26 proteins and down-regulated 74 proteins. The Southwestern
blot assay results revealed that the -475G SNP decreased the binding of Hepatoma
derived growth factor (HDGF), a transcription repressor, compared to the -475T
SNP. Overexpression of HDGF significantly down-regulated OAT1 in renal tubular
cells. Moreover, a zebrafish animal model showed that HDGF-knockdown zebrafish
embryos had higher rates of kidney malformation than wild-type controls [18/78
(23.1%) vs. 1/30 (3.3%)]. In conclusion, our results suggest that an OAT1 SNP
might be clinically associated with CKD. Renal tubular cells with the -475 SNP
had increased OAT1 expression, which resulted in increased transportation of
organic anion toxins into cells. Cellular accumulation of organic anion toxins
caused cytotoxicity and resulted in CKD.
PMID- 29795398
TI - Tau seeding starts early in the entorhinal cortex.
PMID- 29795396
TI - Toxicity and Transcriptome Sequencing (RNA-seq) Analyses of Adult Zebrafish in
Response to Exposure Carboxymethyl Cellulose Stabilized Iron Sulfide
Nanoparticles.
AB - Increasing utilization of stabilized iron sulfides (FeS) nanoparticles implies an
elevated release of the materials into the environment. To understand potential
impacts and underlying mechanisms of nanoparticle-induced stress, we used the
transcriptome sequencing (RNA-seq) technique to characterize the transcriptomes
from adult zebrafish exposed to 10 mg/L carboxymethyl cellulose (CMC) stabilized
FeS nanoparticles for 96 h, demonstrating striking differences in the gene
expression profiles in liver. The exposure caused significant expression
alterations in genes related to immune and inflammatory responses,
detoxification, oxidative stress and DNA damage/repair. The complement and
coagulation cascades Kyoto encyclopedia of genes and genomes (KEGG) pathway was
found significantly up-regulated under nanoparticle exposure. The quantitative
real-time polymerase chain reaction using twelve genes confirmed the RNA-seq
results. We identified several candidate genes commonly regulated in liver, which
may serve as gene indicators when exposed to the nanoparticles. Hepatic
inflammation was further confirmed by histological observation of pyknotic
nuclei, and vacuole formation upon exposure. Tissue accumulation tests showed a
2.2 times higher iron concentration in the fish tissue upon exposure. This study
provides preliminary mechanistic insights into potential toxic effects of organic
matter stabilized FeS nanoparticles, which will improve our understanding of the
genotoxicity caused by stabilized nanoparticles.
PMID- 29795399
TI - Selective neuronal loss could limit penumbral rescue after stroke.
PMID- 29795397
TI - MicroRNA regulation of the MRN complex impacts DNA damage, cellular senescence,
and angiogenic signaling.
AB - MicroRNAs (miRs) contribute to biological robustness by buffering cellular
processes from external perturbations. Here we report an unexpected link between
DNA damage response and angiogenic signaling that is buffered by a miR. We
demonstrate that genotoxic stress-induced miR-494 inhibits the DNA repair
machinery by targeting the MRE11a-RAD50-NBN (MRN) complex. Gain- and loss-of
function experiments show that miR-494 exacerbates DNA damage and drives
endothelial senescence. Increase of miR-494 affects telomerase activity,
activates p21, decreases pRb pathways, and diminishes angiogenic sprouting.
Genetic and pharmacological disruption of the MRN pathway decreases VEGF
signaling, phenocopies miR-494-induced senescence, and disrupts angiogenic
sprouting. Vascular-targeted delivery of miR-494 decreases both growth factor
induced and tumor angiogenesis in mouse models. Our work identifies a putative
miR-facilitated mechanism by which endothelial cells can be insulated against
VEGF signaling to facilitate the onset of senescence and highlight the potential
of targeting DNA repair to disrupt pathological angiogenesis.
PMID- 29795400
TI - Climate change is affecting mortality of weasels due to camouflage mismatch.
AB - Direct phenological mismatch caused by climate change can occur in mammals that
moult seasonally. Two colour morphs of the weasel Mustela nivalis (M. n.) occur
sympatrically in Bialowieza Forest (NE Poland) and differ in their winter pelage
colour: white in M. n. nivalis and brown in M. n. vulgaris. Due to their small
body size, weasels are vulnerable to attacks by a range of different predators;
thus cryptic coat colour may increase their winter survival. By analysing
trapping data, we found that the share of white subspecies in the weasel
population inhabiting Bialowieza Forest decreases with decreasing numbers of days
with snow cover. This led us to hypothesise that selective predation pressure
should favour one of the two phenotypes, according to the prevailing weather
conditions in winter. A simple field experiment with weasel models (white and
brown), exposed against different background colours, revealed that contrasting
models faced significantly higher detection by predators. Our observations also
confirmed earlier findings that the plasticity of moult in M. n. nivalis is very
limited. This means that climate change will strongly influence the mortality of
the nivalis-type due to prolonged camouflage mismatch, which will directly affect
the abundance and geographical distribution of this subspecies.
PMID- 29795401
TI - Validation of control genes and a standardised protocol for quantifying gene
expression in the livers of C57BL/6 and ApoE-/- mice.
AB - The liver plays a critical role in food and drug metabolism and detoxification
and accordingly influences systemic body homeostasis in health and disease. While
the C57BL/6 and ApoE-/- mouse models are widely used to study gene expression
changes in liver disease and metabolism, currently there are no validated stably
expressed endogenous genes in these models, neither is it known how gene
expression varies within and across liver lobes. Here we show regional variations
in the expression of Ywhaz, Gak, Gapdh, Hmbs and Act-beta endogenous genes across
a liver lobe; Using homogeneous samples from the four liver lobes of 6 C57BL/6
mice we tested the stability of 12 endogenous genes and show that Act-beta and
Eif2-alpha are the most stably expressed endogenous genes in all four lobes and
demonstrate lobular differences in the expression of Abca1 cholesterol efflux
gene. These results suggest that sampling from a specified homogeneous powdered
liver lobe is paramount in enhancing data reliability and reproducibility. The
stability of the 12 endogenous genes was further tested using homogeneous samples
of left liver lobes from 20 ApoE-/- mice on standard or high polyphenol diets.
Act-beta and Ywhaz are suitable endogenous genes for gene expression
normalisation in this mouse model.
PMID- 29795402
TI - Cross-shelf investigation of coral reef cryptic benthic organisms reveals
diversity patterns of the hidden majority.
AB - Coral reefs harbor diverse assemblages of organisms yet the majority of this
diversity is hidden within the three dimensional structure of the reef and
neglected using standard visual surveys. This study uses Autonomous Reef
Monitoring Structures (ARMS) and amplicon sequencing methodologies, targeting
mitochondrial cytochrome oxidase I and 18S rRNA genes, to investigate changes in
the cryptic reef biodiversity. ARMS, deployed at 11 sites across a near- to off
shore gradient in the Red Sea were dominated by Porifera (sessile fraction),
Arthropoda and Annelida (mobile fractions). The two primer sets detected
different taxa lists, but patterns in community composition and structure were
similar. While the microhabitat of the ARMS deployment affected the community
structure, a clear cross-shelf gradient was observed for all fractions
investigated. The partitioning of beta-diversity revealed that replacement (i.e.
the substitution of species) made the highest contribution with richness playing
a smaller role. Hence, different reef habitats across the shelf are relevant to
regional diversity, as they harbor different communities, a result with clear
implications for the design of Marine Protected Areas. ARMS can be vital tools to
assess biodiversity patterns in the generally neglected but species-rich cryptic
benthos, providing invaluable information for the management and conservation of
hard-bottomed habitats over local and global scales.
PMID- 29795403
TI - Nicotine normalizes cortico-striatal connectivity in non-smoking individuals with
major depressive disorder.
AB - Nicotine dependence and major depressive disorder (MDD) are highly comorbid, yet
causal links between these prevalent disorders are unclear. One possible
mechanism is that nicotine ameliorates MDD-related neurobiological dysfunction in
specific networks. For instance, cortico-striatal circuitry is enhanced by
nicotine, and such paths are disrupted in individuals with MDD. Specifically, MDD
has been associated with reduced connectivity between the nucleus accumbens (NAc)
and rostral anterior cingulate cortex (rACC) but enhanced connectivity between
the dorsal striatum (DS) and dorsolateral prefrontal cortex (DLPFC). Determining
whether nicotine normalizes these circuits in non-smokers with MDD may elucidate
mechanisms underlying links between disorders. This was tested by administering
placebo and a 2-mg dose of nicotine to unmedicated non-smokers with and without
MDD prior to collecting resting-state functional magnetic imaging data using a
cross-over design. On placebo, individuals with MDD showed significantly reduced
NAc-rACC and a trend for enhanced DS-DLPFC functional connectivity relative to
healthy controls. In MDD, acute nicotine administration normalized both pathways
to the level of healthy controls, while having no impact on healthy controls.
Nicotine's effects on NAc-rACC connectivity was influenced by anhedonia,
consistent with the role of this network in reward and nicotine's ability to
enhance reward deficiencies in MDD. These results indicate that nicotine
normalizes dysfunctional cortico-striatal communication in unmedicated non
smokers with MDD. Nicotine's influence on these circuitries highlights a possible
mechanism whereby individuals with MDD are more vulnerable to develop nicotine
dependence. Findings suggest that nicotinic agents may have therapeutic effects
on disrupted cortico-striatal connectivity.
PMID- 29795404
TI - Cerebral white matter sex dimorphism in alcoholism: a diffusion tensor imaging
study.
AB - Excessive alcohol consumption is associated with brain aberrations, including
abnormalities in frontal and limbic brain regions. In a prior diffusion tensor
magnetic resonance imaging (dMRI) study of neuronal circuitry connecting the
frontal lobes and limbic system structures, we demonstrated decreases in white
matter fractional anisotropy in abstinent alcoholic men. In the present study, we
examined sex differences in alcoholism-related abnormalities of white matter
connectivity and their association with alcoholism history. The dMRI scans were
acquired from 49 abstinent alcoholic individuals (26 women) and 41 nonalcoholic
controls (22 women). Tract-based spatial statistical tools were used to estimate
regional FA of white matter tracts and to determine sex differences and their
relation to measures of alcoholism history. Sex-related differences in white
matter connectivity were observed in association with alcoholism: Compared to
nonalcoholic men, alcoholic men had diminished FA in portions of the corpus
callosum, the superior longitudinal fasciculi II and III, and the arcuate
fasciculus and extreme capsule. In contrast, alcoholic women had higher FA in
these regions. Sex differences also were observed for correlations between corpus
callosum FA and length of sobriety. Our results suggest that sexual dimorphism in
white matter microstructure in abstinent alcoholics may implicate underlying
differences in the neurobehavioral liabilities for developing alcohol abuse
disorders, or for sequelae following abuse.
PMID- 29795406
TI - Artemisitene suppresses tumorigenesis by inducing DNA damage through deregulating
c-Myc-topoisomerase pathway.
AB - Cancer chemotherapeutic agents such as doxorubicin are DNA damage inducers that
also kill normal cells, making them highly toxic to cancer patients. To improve
the efficacy and safety of chemotherapy, it is important to develop new
chemotherapeutic agents that selectively kill cancer cells. Here we demonstrate
that artemisitene (ATT), a natural derivative of the antimalarial drug
artemisinin, selectively induces DNA double-stranded breaks (DSBs) and apoptosis
in various human cancer cells by suppressing the expression of topoisomerases in
human cancer cells. ATT effectively kills human cancer cells without apparent
cytotoxicity on normal human cells or mouse liver and kidney. We discovered that
c-Myc induces the expression of topoisomerases to prevent accumulation of DNA
damage in human cancer cells. ATT selectively destabilizes c-Myc in human cancer
cells by promoting the ubiquitination of c-Myc through the specific induction of
the c-Myc E3 ligase NEDD4. Therefore, ATT represents a promising new
chemotherapeutic drug candidate that can eliminate human cancer cells with
minimized cytotoxic effects on normal cells.
PMID- 29795405
TI - Myeloid-restricted ablation of Shp2 restrains melanoma growth by amplifying the
reciprocal promotion of CXCL9 and IFN-gamma production in tumor microenvironment.
AB - The Src homology 2 domain-containing protein tyrosine phosphatase 2 (Shp2) is
generally considered to be an oncogene owing to its ability in enhancing the
malignancy of multiple types of tumor cells; however, its role in modulating
tumor immunity remains largely elusive. Here, we reported that myeloid-restricted
ablation of Shp2 suppressed melanoma growth. Mechanistically, loss of Shp2
potentiates macrophage production of CXCL9 in response to IFN-gamma and tumor
cell-derived cytokines, thereby facilitating the tumor infiltration of IFN-gamma
producing T cells that could in turn support CXCL9 production within tumor
microenvironment. Collectively, our findings highlight a causative role of
myeloid Shp2 in dampening T cell-mediated antitumor immunity by restraining the
macrophage/CXCL9-T cell/IFN-gamma feedback loop. Thus, targeting macrophage Shp2
may help to create a Th1-dominant tumor immune microenvironment.
PMID- 29795409
TI - Genotype, phenotype, and medication recommendation agreement among commercial
pharmacogenetic-based decision support tools.
AB - The degree of agreement between four commercial pharmacogenetic-based decision
support tools (DSTs) was examined in five outpatients with major depressive
disorder and at least two previous antidepressant failures. Comparisons were made
across seven pharmacokinetic (CYP1A2, CYP2B6, CYP2C19, CYP2C9, CYP2D6, CYP3A4,
and UGT2B15) and seven pharmacodynamic (BDNF, COMT, HLA-A, HTR2A, HTR2C, OPRM1,
and SLC6A4) genes that were included on >=2 of the four DST testing panels. Among
these overlapping genes, genotype (33-100%) and predicted phenotype (20-100%)
agreement varied substantially. Medication recommendation agreement was the
greatest for mood stabilizers (84%), followed by antidepressants (56%),
anxiolytics/hypnotics (56%), and antipsychotics (55%). Approximately one-quarter
(26%) of all medication recommendations were jointly flagged by two or more DSTs
as "actionable" but 19% of these recommendations provided conflicting advice
(e.g., dosing) for the same medication.The level of disagreement in medication
recommendations across the pharmacogenetic DSTs indicates that these tests cannot
be assumed to be equivalent or interchangeable. Additional efforts to standardize
genetic-based phenotyping and to develop medication guidelines are warranted.
PMID- 29795408
TI - Integration of genetic and functional genomics data to uncover chemotherapeutic
induced cytotoxicity.
AB - Identifying genetic variants associated with chemotherapeutic induced toxicity is
an important step towards personalized treatment of cancer patients. However,
annotating and interpreting the associated genetic variants remains challenging
because each associated variant is a surrogate for many other variants in the
same region. The issue is further complicated when investigating patterns of
associated variants with multiple drugs. In this study, we used biological
knowledge to annotate and compare genetic variants associated with cellular
sensitivity to mechanistically distinct chemotherapeutic drugs, including
platinating agents (cisplatin, carboplatin), capecitabine, cytarabine, and
paclitaxel. The most significantly associated SNPs from genome wide association
studies of cellular sensitivity to each drug in lymphoblastoid cell lines derived
from populations of European (CEU) and African (YRI) descent were analyzed for
their enrichment in biological pathways and processes. We annotated genetic
variants using higher-level biological annotations in efforts to group variants
into more interpretable biological modules. Using the higher-level annotations,
we observed distinct biological modules associated with cell line populations as
well as classes of chemotherapeutic drugs. We also integrated genetic variants
and gene expression variables to build predictive models for chemotherapeutic
drug cytotoxicity and prioritized the network models based on the enrichment of
DNA regulatory data. Several biological annotations, often encompassing different
SNPs, were replicated in independent datasets. By using biological knowledge and
DNA regulatory information, we propose a novel approach for jointly analyzing
genetic variants associated with multiple chemotherapeutic drugs.
PMID- 29795407
TI - Genome-wide association study of response to methotrexate in early rheumatoid
arthritis patients.
AB - Methotrexate (MTX) monotherapy is a common first treatment for rheumatoid
arthritis (RA), but many patients do not respond adequately. In order to identify
genetic predictors of response, we have combined data from two consortia to carry
out a genome-wide study of response to MTX in 1424 early RA patients of European
ancestry. Clinical endpoints were change from baseline to 6 months after starting
treatment in swollen 28-joint count, tender 28-joint count, C-reactive protein
and the overall 3-component disease activity score (DAS28). No single nucleotide
polymorphism (SNP) reached genome-wide statistical significance for any outcome
measure. The strongest evidence for association was with rs168201 in NRG3 (p = 10
7 for change in DAS28). Some support was also seen for association with ZMIZ1,
previously highlighted in a study of response to MTX in juvenile idiopathic
arthritis. Follow-up in two smaller cohorts of 429 and 177 RA patients did not
support these findings, although these cohorts were more heterogeneous.
PMID- 29795410
TI - MicroRNA21 and the various types of myeloid leukemia.
AB - Myeloid leukemia (ML) is heterogeneous cancer classified by abnormal growth of
myeloid cells due to genetic aberrations and mutations. It is generally
categorized by clonal disorders of hematopoietic stem cells and differentiation.
The molecular mechanism behind the myeloid malignancies is not yet known, but
recent sequencing analysis reveals all the mutated factors. As we know that there
is currently no compromise on therapy for such types of malignancies and at the
present painful process like chemotherapy and radiation therapy are not effective
for the treatment of ML, so there is an urgent need to develop a non-invasive
biomarker for different types of ML. MicroRNAs (MiRNAs) is a small non-coding
RNAs that have been involved in a wide range of biological function and it is the
main cause of the manifestation of many diseases. Among the reported MiRNAs, MIR
21 is considered to be an important MiRNA, which is frequently elevated in many
types of types of cancer, suggesting that it plays an important role in cancer
progressions. So far, there is no paper that signifies the role of miR-21 in all
types of ML and the number of studies on the different category of ML is sparse.
Therefore, the main thrust of this paper is to provide an overview of the current
clinical evidence and significance of miR-21 in ML. It was found that MiR-21 was
found to be normally upregulated in all types of ML, however, we summarize the
important research findings surrounding the role of miR-21 in different types of
ML.
PMID- 29795411
TI - Violent aggression predicted by multiple pre-adult environmental hits.
AB - Early exposure to negative environmental impact shapes individual behavior and
potentially contributes to any mental disease. We reported previously that
accumulated environmental risk markedly decreases age at schizophrenia onset.
Follow-up of matched extreme group individuals (<=1 vs. >=3 risks) unexpectedly
revealed that high-risk subjects had >5 times greater probability of forensic
hospitalization. In line with longstanding sociological theories, we hypothesized
that risk accumulation before adulthood induces violent aggression and criminal
conduct, independent of mental illness. We determined in 6 independent cohorts (4
schizophrenia and 2 general population samples) pre-adult risk exposure,
comprising urbanicity, migration, physical and sexual abuse as primary, and
cannabis or alcohol as secondary hits. All single hits by themselves were
marginally associated with higher violent aggression. Most strikingly, however,
their accumulation strongly predicted violent aggression (odds ratio 10.5). An
epigenome-wide association scan to detect differential methylation of blood
derived DNA of selected extreme group individuals yielded overall negative
results. Conversely, determination in peripheral blood mononuclear cells of
histone-deacetylase1 mRNA as 'umbrella mediator' of epigenetic processes revealed
an increase in the high-risk group, suggesting lasting epigenetic alterations.
Together, we provide sound evidence of a disease-independent unfortunate
relationship between well-defined pre-adult environmental hits and violent
aggression, calling for more efficient prevention.
PMID- 29795412
TI - Effects of single amino acid deficiency on mRNA translation are markedly
different for methionine versus leucine.
AB - Although amino acids are known regulators of translation, the unique
contributions of specific amino acids are not well understood. We compared
effects of culturing HEK293T cells in medium lacking either leucine, methionine,
histidine, or arginine on eIF2 and 4EBP1 phosphorylation and measures of mRNA
translation. Methionine starvation caused the most drastic decrease in
translation as assessed by polysome formation, ribosome profiling, and a measure
of protein synthesis (puromycin-labeled polypeptides) but had no significant
effect on eIF2 phosphorylation, 4EBP1 hyperphosphorylation or 4EBP1 binding to
eIF4E. Leucine starvation suppressed polysome formation and was the only tested
condition that caused a significant decrease in 4EBP1 phosphorylation or increase
in 4EBP1 binding to eIF4E, but effects of leucine starvation were not replicated
by overexpressing nonphosphorylatable 4EBP1. This suggests the binding of 4EBP1
to eIF4E may not by itself explain the suppression of mRNA translation under
conditions of leucine starvation. Ribosome profiling suggested that leucine
deprivation may primarily inhibit ribosome loading, whereas methionine
deprivation may primarily impair start site recognition. These data underscore
our lack of a full understanding of how mRNA translation is regulated and point
to a unique regulatory role of methionine status on translation initiation that
is not dependent upon eIF2 phosphorylation.
PMID- 29795413
TI - Consequences of mutant TET2 on clonality and subclonal hierarchy.
AB - Somatic mutations in TET2 are common in myelodysplastic syndromes (MDS),
myeloproliferative, and overlap syndromes. TET2 mutant (TET2MT) clones are also
found in asymptomatic elderly individuals, a condition referred to as clonal
hematopoiesis of indeterminate potential (CHIP). In various entities of TET2MT
neoplasia, we examined the phenotype in relation to the strata of TET2 hits
within the clonal hierarchy. Using deep sequencing, 1781 mutations were found in
1205 of 4930 patients; 40% of mutant cases were biallelic. Hierarchical analysis
revealed that of TET2MT cases >40% were ancestral, e.g., representing 8% of MDS.
Higher (earlier) TET2 lesion rank within the clonal hierarchy (greater clonal
burden) was associated with impaired survival. Moreover, MDS driven by ancestral
TET2MT is likely derived from TET2MT CHIP with a penetrance of ~1%. Following
ancestral TET2 mutations, individual disease course is determined by secondary
hits. Using multidimensional analyses, we demonstrate how hits following the TET2
founder defect induces phenotypic shifts toward dysplasia, myeloproliferation, or
progression to AML. In summary, TET2MT CHIP-derived MDS is a subclass of MDS that
is distinct from de novo disease.
PMID- 29795414
TI - Risk of subsequent myeloid neoplasms after radiotherapy treatment for a solid
cancer among adults in the United States, 2000-2014.
AB - Although increased risk of acute myeloid leukemia (AML) has been observed after
chemotherapy and radiotherapy, less is known about radiotherapy-related risks of
specific AML subtypes and other specific myeloid neoplasms. We used the US
population-based cancer registry data to evaluate risk of myeloid neoplasms among
three cohorts of cancer survivors initially treated with radiotherapy only. We
included 1-year survivors of first primary thyroid (radioiodine only, stages I
IV; N = 49 879), prostate (excluding stage IV; N = 237 439), or uterine corpus
cancers (stage I-II; N = 16 208) diagnosed during 2000-2013. We calculated
standardized incidence ratios (SIRs) and excess absolute risks (EARs). Thyroid
cancer survivors had significantly elevated risks of total AML (SIR = 2.77, 95%
CI: 1.99-3.76), AML with cytogenetic abnormalities (SIR = 3.90, 95% CI: 1.57
8.04), AML with myelodysplasia-related changes (SIR = 2.87, 95% CI: 1.05-6.25),
and BCR-ABL1-positive chronic myelogenous leukemia (CML) (SIR = 5.38, 95% CI:
2.58-9.89). Irradiated prostate and uterine corpus cancer survivors were at
elevated risk for total AML (SIR = 1.14, 95% CI: 1.03-1.27 and SIR = 1.77, 95%
CI: 1.01-2.87, respectively), AML with cytogenetic abnormalities (SIR = 2.52, 95%
CI: 1.84-3.37 and SIR = 7.21, 95% CI: 2.34-16.83, respectively), and acute
promyelocytic leukemia (SIR = 3.20, 95% CI: 2.20-4.49 and SIR = 8.88, 95% CI:
2.42-22.73, respectively). In addition, prostate cancer survivors were at
increased risk of BCR-ABL1-positive CML (SIR = 2.11, 95% CI: 1.52-2.85). Our
findings support the importance of diagnostic precision in myeloid neoplasm
classification since susceptibility following radiotherapy may vary by myeloid
neoplasm subtype, thereby informing risk/benefit discussions in first primary
cancer treatment.
PMID- 29795416
TI - Foscarnet treatment of cytomegalovirus infection in haploidentical or unrelated
donor transplants.
AB - We studied 97 patients who developed cytomegalovirus (CMV) viremia following an
allogeneic hemopoietic stem cell transplant (HSCT) between 2010 and 2015, treated
with foscarnet, with the aim of assessing efficacy and safety. The donor was
unrelated in 30 patients (UD) and a family HLA-haploidentical donor (HAPLO) in 67
patients: the former (UD) received a prophylaxis for graft-versus-host disease
(GvHD), based on antithymocyte globulin (ATG); the latter (HAPLO) received GvHD
prophylaxis, based on post-transplant cyclophosphamide (PT-CY). Renal and
hematological toxicity were defined according to NCI-CTCAE4 criteria. In
univariate analysis, CMV response was 84% in HAPLO vs 59% in UD grafts (p = 0.01)
and 90 vs 66% (p = 0.02) for patients with a CMV viral load within or over the
median value. In multivariate analysis, the CMV viral load was the strongest
predictor of response to foscarnet (p = 0.02), followed by donor type (p = 0.06).
Renal impairment developed in 14% of the patients. Overall survival was 69%:,
advanced phase at transplant (p = 0.01) and ATG-based regimens (p = 0.02), were
the only two predicting factor. In conclusion, CMV response to foscarnet
treatment is predicted by a lower CMV load and GvHD prophylaxis. Renal toxicity
of foscarnet is not a limiting factor.
PMID- 29795415
TI - Self-management interventions for skin care in people with a spinal cord injury:
part 2-a systematic review of use of theory and quality of intervention
reporting.
AB - STUDY DESIGN: Systematic review. OBJECTIVES: To examine use of theory and quality
of reporting in skin care self-management interventions for people with SCI.
SETTING: International. METHODS: The Theory Coding Scheme (TCS) and the Template
for Intervention Description and Replication (TIDieR) checklist were applied by
two independent researchers to 17 interventions identified in a systematic review
of self-management interventions for skin care in people with SCI. RESULTS: Six
(35%) of the 17 interventions reviewed were reported to have a theoretical basis.
Theories used included three of the most commonly featured in health behavior
research (the Health Belief Model, Social Cognitive Theory, and the
Transtheoretical Model). In these six interventions, theory was used to design
content but not to select participants or tailor strategies. None of the
interventions were used to test theories in the SCI population, or to propose
theoretical refinements. Reporting quality was found to vary by TIDieR item, with
6-100% of interventions including recommended information. Information on two
intervention fidelity items was missing in 53 and 82% of descriptions.
CONCLUSIONS: Use of theory and reporting quality in SCI self-management research
remains suboptimal, potentially slowing down advancements in this area of
research. Rehabilitation researchers should direct their efforts toward improving
these practices to help build a science of SCI self-management that is cumulative
and reproducible by clinicians, scientists, and policy makers. SPONSORSHIP: This
work was funded through a postdoctoral fellowship awarded to the first author by
the Rick Hansen Institute.
PMID- 29795417
TI - Bloodless tandem autologous transplant in Jehovah's Witness patients.
AB - Tandem autologous transplants are generally the preferred therapy for newly
diagnosed intermediate- and high-risk myeloma patients. More Jehovah's Witnesses
(JW) are receiving single autologous peripheral blood stem cell transplants
(PBSCTs). However, tandem autologous transplants have not been reported in JW
patients. We performed a retrospective study of 54 patients, including four JW
patients who received tandem autologous transplants between August 2000 and
January 2017 and the last 50 consecutive tandem autologous transplants performed
between August 2014 and August 2016. The bleeding complications, number, and cost
of transfusions of blood products were compared. The median number of CD34 cells
infused in non-JW patients was 8.16 million cells/kg versus 9.44 million cells/kg
in JW patients. During the first 30 days, one JW experienced Grade III pulmonary
hemorrhage, while none of the non-JW patients had a Grade III or higher bleeding
problem. After tandem autologous transplants, complete remission was achieved in
88% of non-JW, compared with 75% in JW patients. In the first 30 days post
transplant, median platelet and packed red blood cell (PRBC) transfusions in non
JW patients was 2 (range: 0-40) and 1 (range: 0-11), respectively. Total cost of
PRBC and platelet transfusions for the 50 non-JW was $214,664 (average
$2147/transplant). Tandem autologous transplants can thus be performed safely
without a single blood transfusion.
PMID- 29795418
TI - Favorable immune recovery and low rate of GvHD in children transplanted with
partially T cell-depleted PBSC grafts.
AB - Transplantation of peripheral blood stem cells (PBSC) from matched unrelated
donors (MUD) is still associated with a significant risk for graft vs. host
disease (GvHD), especially in pediatric patients receiving grafts from adult
donors containing high amounts of T cells. Here, we present long-term follow-up
results on 25 pediatric patients, (acute leukemia n = 15, NHL n = 3, CML n = 3,
MDS n = 5), transplanted with CD34 or CD133 positively selected PBSC from MUDs
supplemented with an add-back of 1 * 107/kg body weight (kgBW) unselected T cells
resulting in a median T-cell depletion (TCD) of 1.97 log. A total of 24/25 (96%)
patients had primary engraftment. Early T-cell recovery was significantly
improved compared to patients receiving CD34-selected grafts without T-cell add
back and similar to patients receiving unmanipulated bone marrow. GvHD incidence
was low with 8/4% aGvHD grade II/III, no grade IV and 13% limited cGvHD. In
total, 16/25 (64%) patients are alive after a median follow-up of 10 years. Five
year event-free survival (EFS) was 68%, relapse probability 24% and
transplantation-related mortality (TRM) 12%. Thus, in PBSC allotransplants from
MUD, partial TCD with serotherapy and CSA/MTX prophylaxis, can effectively reduce
GvHD without hampering engraftment and immune reconstitution.
PMID- 29795419
TI - Long-term aprepitant for nausea and vomiting associated with gastroparesis in
hematopoietic stem cell transplantation.
PMID- 29795420
TI - The efficacy of salvage autologous stem cell transplant among patients with
multiple myeloma who received maintenance therapy post initial transplant.
PMID- 29795421
TI - Does marital status affect the outcomes after allogeneic hematopoietic cell
transplantation?
PMID- 29795422
TI - Plerixafor in non-Hodgkin's lymphoma patients: a German analysis of time, effort
and costs.
AB - Mobilization and collection of peripheral blood stem cells is part of the
standard treatment procedure for non-Hodgkin's lymphoma patients eligible for
high-dose chemotherapy with autologous stem cell transplantation. Mobilization is
usually achieved with chemotherapy and/or cytokines, but plerixafor might be
added in case of poor mobilization. Due to the high cost several institutions
have developed their own management pathway to optimize use of plerixafor. Such
models are however rarely generalizable; in a multi-center, European, non
interventional study, evaluating the impact of plerixafor in poor mobilizers,
country specific differences in patient treatment and cost structure were
obvious. For German centers, there was a non-significant reduction in the number
of apheresis sessions carried out and in apheresis costs. In contrast to other
European countries the majority of German Plerixafor patients were very poor
mobilizing patients with initial CD34+ cell count <= 10/ul (40/51). In this group
the number of apheresis sessions decreased from 2.1 to 1.6 sessions per patient
(p = 0.01) and costs decreased from ?6246 to ?4758 (p = 0.01). Our results show
that preemptive plerixafor use has a strong effect in poor mobilizers with an
initial CD34+ cell count <= 10 cells/ul.
PMID- 29795423
TI - Decision analysis of up-front autologous hematopoietic stem cell transplantation
in patients with peripheral T-cell lymphoma.
PMID- 29795425
TI - Invasive aspergillosis in patients following umbilical cord blood transplant.
PMID- 29795424
TI - HHV-6B infection, T-cell reconstitution, and graft-vs-host disease after
hematopoietic stem cell transplantation.
AB - Successful and sustained CD4+ T-cell reconstitution is associated with increased
survival after hematopoietic cell transplantation (HCT), but opportunistic
infections may adversely affect the time and extent of immune reconstitution.
Human herpesvirus 6B (HHV-6B) efficiently infects CD4+ T cells and utilizes as a
receptor CD134 (OX40), a member of the TNF superfamily that antagonizes
regulatory T-cell (Treg) activity. Reactivation of HHV-6B has been associated
with aberrant immune reconstitution and acute graft-versus-host disease (aGVHD)
after HCT. Given that Treg counts are negatively correlated with aGVHD severity,
we postulate that one mechanism for the poor CD4+ T-cell reconstitution observed
shortly after transplant may be HHV-6B infection and depletion of peripheral
(extra-thymic) CD4+ T cells, including a subpopulation of Treg cells. In turn,
this may trigger a series of adverse events resulting in poor clinical outcomes
such as severe aGVHD. In addition, recent evidence has linked HHV-6B reactivation
with aberrant CD4+ T-cell reconstitution late after transplantation, which may be
mediated by a different mechanism, possibly related to central (thymic)
suppression of T-cell reconstitution. These observations suggest that aggressive
management of HHV-6B reactivation in transplant patients may facilitate CD4+ T
cell reconstitution and improve the quality of life and survival of HCT patients.
PMID- 29795426
TI - Prevention and treatment of relapse after stem cell transplantation by cellular
therapies.
AB - Despite recent advances in reducing therapy-related mortality after allogeneic
stem cell transplantation (alloSCT) relapse remains the major cause of treatment
failure and little progress has been achieved in the last decades. At the 3rd
International Workshop on Biology, Prevention, and Treatment of Relapse held in
Hamburg/Germany in November 2016 international experts presented and discussed
recent developments in the field. Here, the potential of cellular therapies
including unspecific and specific T cells, genetically modified T cells, CAR-T
cells, NK-cells, and second allografting in prevention and treatment of relapse
after alloSCT are summarized.
PMID- 29795427
TI - Prevention and treatment of relapse after stem cell transplantation with
immunotherapy.
AB - Relapse has become the leading cause of treatment failure after stem cell
transplantation. Besides cellular therapies and novel agents, immunotherapeutic
strategies have entered clinical practice in order to reduce or prevent relapse.
Here, we summarize the presentations on checkpoint inhibitors, vaccination
strategies, and novel antibody therapies, which were presented and discussed at
the third International Workshop on Biology, Prevention, and Treatment of Relapse
after Stem Cell Transplantation.
PMID- 29795428
TI - Impact of graft-versus-host disease on relapse and survival after allogeneic stem
cell transplantation for pediatric leukemia.
AB - Graft-versus-host disease (GVHD) occasionally leads to morbidity and mortality
but is thought to reduce the risk of relapses in patients with a hematological
malignancy. However, information on the effect of GVHD in pediatric leukemia is
limited. Using a nationwide registry, we retrospectively analyzed 1526 children
who underwent allogeneic stem cell transplantation for leukemia. Grades 0-I acute
GVHD were associated with a higher relapse rate at three years after
transplantation, at 25.4 and 24.3%, respectively, than grades II, III, or IV
acute GVHD at 18.9%, 21.2%, and 2.6%, respectively. In contrast, the overall
survival curve of the grades 0 and I GVHD groups (79.0% and 79.5%, respectively)
approximated that of the grade II GVHD group (76.3%), and the probability of
survival was worst in the severe GVHD groups (66.9% for grade III and 42.5% for
grade IV). Chronic GVHD also reduced the relapse risk but conferred no survival
advantage. Acute lymphoblastic leukemia was more sensitive to acute GVHD than
acute myeloid leukemia (AML) while AML was more sensitive to chronic GVHD. Our
study reproduced the preventive effects of GVHD against pediatric leukemia
relapses but failed to demonstrate a significant survival advantage.
PMID- 29795429
TI - Tocilizumab for the treatment of severe steroid-refractory acute graft-versus
host disease of the lower gastrointestinal tract.
AB - Steroid-refractory (SR) acute gastrointestinal (GI) graft-versus-host disease
(GVHD) is associated with significant mortality in allogeneic hematopoietic cell
transplantation recipients. We retrospectively evaluated the efficacy of
tocilizumab for the treatment of SR biopsy-proven acute lower GI GVHD in 16
consecutive adult transplant recipients between October 2015 and July 2016.
Tocilizumab 8 mg/kg was administered every 2 weeks until achievement of complete
response, defined as resolution of all manifestations of GI GVHD, or until
patients had progression or initiation of other therapy. Ten of 16 patients
(62.5%; 95% CI, 0.39-82) achieved a complete response after a median time of 11
days (range, 2-28 days) from tocilizumab initiation. The median time to response
onset (improvement in stage by at least 1) was 1 day (range, 1-4 days).
Tocilizumab was administered at a median of 9 days (range, 3-75 days) from GVHD
diagnosis and 10 days (range, 3-75 days) from initiation of high-dose steroids.
At a median follow-up of 7.6 months (range, 0.8-27.7 months) from initiation of
tocilizumab, 6/16 (37.5%) patients are alive and free of their underlying
hematologic malignancy. Tocilizumab appears to be a highly active agent for the
treatment of severe SR lower GI acute GVHD.
PMID- 29795430
TI - Depletion of donor-specific anti-HLA A2 alloantibodies in a hematopoietic cell
transplant recipient using directed mismatched platelet transfusions.
PMID- 29795431
TI - Comparison of reduced intensity conditioning regimens used in patients undergoing
hematopoietic stem cell transplantation for myelofibrosis.
AB - The aim of this study is to compare clinical outcomes of patients who underwent
allogeneic stem cell transplantation (HCT) for myelofibrosis with reduced
intensity conditioning (RIC) using either Busulfan Fludarabine (BuFlu),
Fludarabine Bis-chlorethyl-nitroso-urea/ carmustine Melphalan (FBM) or
Fludarabine Melphalan (FluMel) regimens. Sixty-one patients were identified who
underwent HCT with one of these RIC regimens. Overall survival (OS) was not
different in the 3 groups. However, 100% donor chimerism was seen in more
frequently at day +30 and day +100 in patients who received FBM or FluMel than
BuFlu, in both CD3 and CD33 fractions. For instance, 100% donor chimerism in CD33
fraction was present in 100% patients in FBM cohort, 90% in FluMel cohort while
44% in BuFlu cohort at day +100. Acute graft-versus host disease, grade 2-4 and
grade 3-4, was not statistically different in the 3 groups (BuFlu 47 and 35%, FBM
68 and 27%, FluMel 68 and 46%; p = 0.31 and 0.45). Relapses and non-relapse
mortality was also not statistically significantly different. Our study shows
similar OS with these 3 RIC regimens in myelofibrosis; although donor chimerism
at day +30 and day +100 was better in patients who received FBM and FluMel.
PMID- 29795432
TI - CAR T cells induce a complete response in refractory Burkitt Lymphoma.
PMID- 29795433
TI - Prevention and treatment of relapse after stem cell transplantation in lymphoid
malignancies.
AB - Relapse is now the major cause of treatment failure after allogeneic HSCT
(alloHSCT). Many novel strategies to address this critical issue are now being
developed and tested. At the 3rd International Workshop on Biology, Prevention,
and Treatment of Relapse held in Hamburg, Germany in November 2016, international
experts presented and discussed recent developments in the field. Some approaches
may be applicable to a wide range of patients after transplant, whereas some may
be very disease-specific. We present a report from the session dedicated to
issues related to prevention and treatment of relapse of lymphoid malignancies
after alloHSCT. This session included detailed reviews as well as forward-looking
commentaries that focused on Hodgkin lymphoma, chronic lymphocytic leukemia and
mantle cell lymphoma, diffuse large cell and follicular lymphoma, and multiple
myeloma.
PMID- 29795434
TI - Poor prognosis in patients with steroid refractory acute graft versus host
disease treated with etanercept: a multi-centre analysis.
PMID- 29795435
TI - Prevention of relapse after allogeneic hematopoietic cell transplantation by
donor and cell source selection.
AB - Allogeneic hematopoietic cell transplantation (HCT) is the most established form
of cancer immunotherapy and has been successfully applied for the treatment and
cure of otherwise lethal neoplastic blood disorders. Cancer immune surveillance
is mediated to a large extent by alloreactive T and natural killer (NK) cells
recognizing genetic differences between patient and donor. Profound insights into
the biology of these effector cells has been obtained over recent years and used
for the development of innovative strategies for intelligent donor selection,
aiming for improved graft-versus-leukemia effect without unmanageable graft
versus-host disease. The cellular composition of the stem cell source plays a
major role in modulating these effects. This review summarizes the current state
of the-art of donor selection according to HLA, NK alloreactivity and stem cell
source.
PMID- 29795436
TI - Impact of body mass index at different transplantation stages on postoperative
outcomes in patients with hematological malignancies: a meta-analysis.
AB - Although the association between body mass index (BMI) and overall survival (OS)
has been reported in leukemia patients of different ages, whether BMI levels at
different stages of hematopoietic stem cell transplantation (HSCT) have different
effects on postoperative survival remains controversial. We searched four
electronic databases from inception through July 2017 without any language
restrictions and included studies on different types of hematological
malignancies reporting both BMI time points and HSCT. Of the 1420 articles
identified, 26 articles were eligible for inclusion in this meta-analysis. Three
weight groups (obese, overweight and underweight) were individually compared with
the normal group. Summary risk estimates for OS and event-free survival (EFS)
were calculated with random- or fixed-effects models. For BMI at the pre-HSCT
stage, a statistically significant positive association of increased risk of OS
(RR: 1.17; 95% CI: 1.08-1.27) and EFS (RR: 1.29; 95% CI: 1-1.67) was identified
in underweight individuals compared with those with normal weights. For BMI in
the HSCT stage, a lower BMI was significantly associated with poorer OS (RR:
1.34; 95% CI: 1.01-1.78) and EFS (RR: 1.53; 95% CI: 1.09-2.06) compared with a
normal BMI. Our results indicated that lower BMI at the pre-HSCT stage or during
HSCT is associated with poorer survival.
PMID- 29795438
TI - Number, not size, of mesenteric tumor deposits affects prognosis of small
intestinal well-differentiated neuroendocrine tumors.
AB - Mesenteric tumor deposits are an adverse prognostic factor for small intestinal
well-differentiated neuroendocrine tumors. Per the American Joint Committee on
Cancer (AJCC) Cancer Staging Manual (eighth edition), any mesenteric tumor
deposit larger than 2 cm signifies pN2 disease. This criterion has not been
critically evaluated as a prognostic factor for small intestinal neuroendocrine
tumors, nor have multifocality or histologic features of mesenteric tumor
deposits. We evaluated 70 small intestinal neuroendocrine tumors with mesenteric
tumor deposits for lesional contour, sclerosis, inflammation, calcification,
entrapped blood vessels, and perineural invasion. Ki67 proliferative indices of
the largest mesenteric tumor deposit from each case were calculated, and number
of tumor deposits and size of the largest deposit were recorded. Associations
between these factors (along with patient age, primary tumor Ki67 index, and AJCC
stage) and development of liver metastases and overall survival were assessed.
Median mesenteric tumor deposit size was 1.5 cm (range: 0.2-7.0 cm); median
deposit number was 1 (range: 1-13). Primary and tumor deposit Ki67 indices within
a given patient were discordant in 40% of cases but showed similar hazard ratios
for disease-specific survival. Size of tumor deposits had no significant effect
on prognosis, whether analyzed on a continuous scale or dichotomized using the
recommended 2 cm cutoff. In contrast, increasing number of deposits was
associated with poor prognosis, with multiple deposits conferring an 8.19-fold
risk of disease-specific death compared to a single deposit (P = 0.049).
Morphologic features of deposits had no prognostic impact. Size of mesenteric
tumor deposits does not affect prognosis in small intestinal neuroendocrine tumor
patients; instead, deposit multifocality is associated with shorter disease
specific survival and should be incorporated into future staging criteria.
PMID- 29795437
TI - Nuclear beta-catenin localization and mutation of the CTNNB1 gene: a context
dependent association.
AB - Although the majority of low-grade, early-stage endometrial cancer patients have
good survival with surgery alone, patients who recur tend to do poorly.
Identification of patients at high risk of recurrence who would benefit from
adjuvant treatment or more extensive surgical staging would help optimize
individualized care of endometrial cancer patients. CTNNB1 (encodes beta-catenin)
mutations identify a subset of low-grade, early-stage endometrial cancer patients
at high risk of recurrence. Mutation of CTNNB1 exon 3 is classically associated
with translocation of the beta-catenin protein from the membrane to the nucleus
and activation of Wnt/beta-catenin signaling. Given the clinical utility of
identifying endometrial carcinomas with CTNNB1 mutation, the purpose of this
study was to determine if immunohistochemistry could act as a surrogate for
CTNNB1 gene sequencing. Next-generation sequencing was performed on 345
endometrial carcinomas. Immunohistochemical localization of beta-catenin was
determined for 53/63 CTNNB1 exon 3 mutant tumors for which tissue was available
and a subset of wild-type tumors. Nuclear localization of beta-catenin had 100%
specificity in distinguishing CTNNB1 mutant from wild type, but sensitivity was
lower (84.9%). Nearly half of CTNNB1 mutant cases had only 5-10% of tumor cells
with beta-catenin nuclear localization. The concordance between pathologists
blinded to mutation status in assessing nuclear localization was 100%. The extent
of beta-catenin nuclear localization was not associated with specific CTNNB1 gene
mutation, tumor grade, presence of non-endometrioid component, or specific
concurrent gene mutations in the tumor. For comparison, nuclear localization of
beta-catenin was more diffuse in desmoid fibromatosis, a tumor also associated
with CTNNB1 mutation. Thus, nuclear localization of beta-catenin assessed by
immunohistochemistry does not detect all endometrial cancers with CTNNB1 gene
mutation. The extent of nuclear localization may be tumor type dependent. For
endometrial cancer, immunohistochemistry could be an initial screen, with CTNNB1
sequencing employed when nuclear localization of beta-catenin is absent.
PMID- 29795439
TI - Response to Roberts et al. 2018: is breast cancer truly caused by MSH6 and PMS2
variants or is it simply due to a high prevalence of these variants in the
population?
PMID- 29795440
TI - Response to ten Broeke et al.
PMID- 29795441
TI - Endothelial dysfunction and angiogenesis impairment in the ageing vasculature.
AB - Ageing is the main risk factor for the development of cardiovascular diseases. A
central mechanism by which ageing promotes vascular pathologies is compromising
endothelial health. The age-related attenuation of endothelium-dependent dilator
responses (endothelial dysfunction) associated with impairment of angiogenic
processes and the subsequent pathological remodelling of the microcirculation
contribute to compromised tissue perfusion and exacerbate functional decline in
older individuals. This Review focuses on cellular, molecular, and functional
changes that occur in the endothelium during ageing. We explore the links between
oxidative and nitrative stress and the conserved molecular pathways affecting
endothelial dysfunction and impaired angiogenesis during ageing. We also
speculate on how these pathological processes could be therapeutically targeted.
An improved understanding of endothelial biology in older patients is crucial for
all cardiologists because maintenance of a competently functioning endothelium is
critical for adequate tissue perfusion and long-term cardiac health.
PMID- 29795443
TI - Computer modelling to personalize bioengineered heart valves.
PMID- 29795442
TI - Exercising engineered heart muscle to maturity.
PMID- 29795444
TI - The oncogenic fusion protein CBFB-SMMHC downregulates CD48 to evade NK cell
recognition.
PMID- 29795445
TI - MAP3K1 and MAP2K4 mutations are associated with sensitivity to MEK inhibitors in
multiple cancer models.
AB - Activation of the mitogen-activated protein kinase (MAPK) pathway is frequent in
cancer. Drug development efforts have been focused on kinases in this pathway,
most notably on RAF and MEK. We show here that MEK inhibition activates JNK-JUN
signaling through suppression of DUSP4, leading to activation of HER Receptor
Tyrosine Kinases. This stimulates the MAPK pathway in the presence of drug,
thereby blunting the effect of MEK inhibition. Cancers that have lost MAP3K1 or
MAP2K4 fail to activate JNK-JUN. Consequently, loss-of-function mutations in
either MAP3K1 or MAP2K4 confer sensitivity to MEK inhibition by disabling JNK-JUN
mediated feedback loop upon MEK inhibition. In a panel of 168 Patient Derived
Xenograft (PDX) tumors, MAP3K1 and MAP2K4 mutation status is a strong predictor
of response to MEK inhibition. Our findings suggest that cancers having mutations
in MAP3K1 or MAP2K4, which are frequent in tumors of breast, prostate and colon,
may respond to MEK inhibitors. Our findings also suggest that MAP3K1 and MAP2K4
are potential drug targets in combination with MEK inhibitors, in spite of the
fact that they are encoded by tumor suppressor genes.
PMID- 29795446
TI - The BH3-only protein BAD mediates TNFalpha cytotoxicity despite concurrent
activation of IKK and NF-kappaB in septic shock.
AB - The inflammatory cytokine TNFalpha plays a crucial role in the pathology of many
inflammatory and infectious diseases. However, the mechanism underlying TNFalpha
cytotoxicity in these diseases is incompletely understood. Here we report that
the pro-apoptotic BCL-2 family member BAD mediates TNFalpha cytotoxicity despite
concurrent activation of IKK and NF-kappaB in vitro by inducing apoptosis in
cultured cells and in vivo by eliciting tissue damage of multiple organs and
contributing to mortality in septic shock. At high doses, TNFalpha significantly
inactivates RhoA through activation of the Src-p190GAP pathway, resulting in
massive actin stress fiber destabilization, followed by substantial BAD release
from the cytoskeleton to the cytosol. Under this condition, activated IKK fails
to phosphorylate all cytosolic BAD, allowing translocation of non-phosphorylated
BAD to mitochondria to trigger apoptosis. Polymicrobial infection utilizes the
same mechanism as high-dose TNFalpha to elicit apoptosis-associated tissue damage
of multiple organs. Consequently, loss of Bad or elimination of BAD pro-apoptotic
activity protects mice from tissue damage of multiple organs and reduces
mortality rates. Our results support a model in which BAD mediates TNFalpha
cytotoxicity despite concurrent activation of the IKK-NF-kappaB pathway in
cultured mammalian cells and in septic shock.
PMID- 29795447
TI - Burkholderia bacteria use chemotaxis to find social amoeba Dictyostelium
discoideum hosts.
AB - A key question in cooperation is how to find the right partners and maintain
cooperative relationships. This is especially challenging for horizontally
transferred bacterial symbionts where relationships must be repeatedly
established anew. In the social amoeba Dictyostelium discoideum farming
symbiosis, two species of inedible Burkholderia bacteria (Burkholderia
agricolaris and Burkholderia hayleyella) initiate stable associations with naive
D. discoideum hosts and cause carriage of additional bacterial species. However,
it is not clear how the association between D. discoideum and its carried
Burkholderia is formed and maintained. Here, we look at precisely how
Burkholderia finds its hosts. We found that both species of Burkholderia clones
isolated from D. discoideum, but not other tested Burkholderia species, are
attracted to D. discoideum supernatant, showing that the association is not
simply the result of haphazard engulfment by the amoebas. The chemotactic
responses are affected by both partners. We find evidence that B. hayleyella
prefers D. discoideum clones that currently or previously carried Burkholderia,
while B. agricolaris does not show this preference. However, we find no evidence
of Burkholderia preference for their own host clone or for other hosts of their
own species. We further investigate the chemical differences of D. discoideum
supernatants that might explain the patterns shown above using a mass
spectrometry based metabolomics approach. These results show that these bacterial
symbionts are able to preferentially find and to some extent choose their
unicellular partners. In addition, this study also suggests that bacteria can
actively search for and target phagocytic cells, which may help us better
understand how bacteria interact with immune systems.
PMID- 29795448
TI - Species-specific transcriptomic network inference of interspecies interactions.
AB - The advent of high-throughput 'omics approaches coupled with computational
analyses to reconstruct individual genomes from metagenomes provides a basis for
species-resolved functional studies. Here, a mutual information approach was
applied to build a gene association network of a commensal consortium, in which a
unicellular cyanobacterium Thermosynechococcus elongatus BP1 supported the
heterotrophic growth of Meiothermus ruber strain A. Specifically, we used the
context likelihood of relatedness (CLR) algorithm to generate a gene association
network from 25 transcriptomic datasets representing distinct growth conditions.
The resulting interspecies network revealed a number of linkages between genes in
each species. While many of the linkages were supported by the existing knowledge
of phototroph-heterotroph interactions and the metabolism of these two species
several new interactions were inferred as well. These include linkages between
amino acid synthesis and uptake genes, as well as carbohydrate and vitamin
metabolism, terpenoid metabolism and cell adhesion genes. Further topological
examination and functional analysis of specific gene associations suggested that
the interactions are likely to center around the exchange of energetically costly
metabolites between T. elongatus and M. ruber. Both the approach and conclusions
derived from this work are widely applicable to microbial communities for
identification of the interactions between species and characterization of
community functioning as a whole.
PMID- 29795449
TI - Tight glycemic control in critically ill pediatric patients: a meta-analysis and
systematic review of randomized controlled trials.
AB - BACKGROUND: There still are controversies in the impact of tight glycemic control
(TGC) in critically ill children. The aim of this study was to assess the
benefits and risks of TGC compared with conventional glycemic control (CGC) in
critically ill pediatric patients admitted to the pediatric intensive care unit
(PICU) by using data retrieved from randomized controlled trials (RCTs). METHOD:
EMBASE, CNKI, PubMed, and the Cochrane Database were searched for RCTs comparing
TGC with CGC in critically ill children in PICU. RESULT: The meta-analysis
included five RCTs representing 3933 patients and compared TGC with CGC. Our
result revealed that TGC did not reduce the 30-day mortality rates (OR 0.99, 95%
CI 0.74-1.32, P = 0.95) and was not associated with decreasing health care
associated infections (OR 0.80, 95% CI 0.64-1.00, P = 0.05) compared with CGC,
but significantly increased the incidence of hypoglycemia (OR 6.37, 95% CI 4.41
9.21, P < 0.001). CONCLUSION: Tight glycemic control was not associated with
reducing the 30-day mortality rates and acquired infections compared with CGC in
critically ill children. Significant increase of the incidence of hypoglycemia
was revealed in TGC group. The conclusion should be interpreted with caution for
the methodological heterogeneity among trials.
PMID- 29795452
TI - A randomized controlled trial: does coaching using video during direct
laryngoscopy improve residents' success in neonatal intubations?
AB - OBJECTIVE: To evaluate the intubation success rates of residents who receive
coaching from supervisors concurrently viewing infants' airways via video during
direct laryngoscopy (VDL), as compared with coaching during traditional direct
laryngoscopy without video (TDL). STUDY DESIGN: In a randomized controlled trial,
48 first and second-year residents performed neonatal intubations using VDL or
TDL. The primary outcome was intubation success rates. Data were analyzed using
the Pearson X2 and Student's t-test. RESULTS: The overall intubation success rate
was greater in the VDL vs. TDL group (57% vs. 33%, P < 0.05). First-year
residents and residents intubating their first patient had higher intubation
success rates in the VDL vs. TDL group (58% vs. 23% and 50% vs. 17%,
respectively, P < 0.05). CONCLUSIONS: Resident coaching using VDL improved
neonatal intubation success rates. Incorporating VDL as a coaching tool can
optimize the quality of training during limited opportunities to achieve
procedural competency and improve intubation-related patient outcomes.
PMID- 29795450
TI - Prediction of preterm birth with and without preeclampsia using mid-pregnancy
immune and growth-related molecular factors and maternal characteristics.
AB - OBJECTIVE: To evaluate if mid-pregnancy immune and growth-related molecular
factors predict preterm birth (PTB) with and without (+/-) preeclampsia. STUDY
DESIGN: Included were 400 women with singleton deliveries in California in 2009
2010 (200 PTB and 200 term) divided into training and testing samples at a 2:1
ratio. Sixty-three markers were tested in 15-20 serum samples using multiplex
technology. Linear discriminate analysis was used to create a discriminate
function. Model performance was assessed using area under the receiver operating
characteristic curve (AUC). RESULTS: Twenty-five serum biomarkers along with
maternal age <34 years and poverty status identified >80% of women with PTB +/-
preeclampsia with best performance in women with preterm preeclampsia (AUC =
0.889, 95% confidence interval (0.822-0.959) training; 0.883 (0.804-0.963)
testing). CONCLUSION: Together with maternal age and poverty status, mid
pregnancy immune and growth factors reliably identified most women who went on to
have a PTB +/- preeclampsia.
PMID- 29795451
TI - Informed consent for a neonatal clinical trial: parental experiences and
perspectives.
AB - OBJECTIVE: There is a variability regarding timing of consent and personnel used
in patient recruitment for neonatal research. We explored the associations
between the study personnel and timing of consent with parents' decisional
conflict and ultimately their decision to enroll. STUDY DESIGN: This was a multi
site, cross-sectional survey conducted between August 2015 and October 2017.
Participants were parents approached to enroll their 24-28-week infant in a
clinical trial. Parents completed an interviewer-administered 61-item
questionnaire. RESULTS: Overall, 163 surveys were completed; 105 by parents of
enrolled infants and 58 by parents of non-enrolled infants (54.5% participation
rate). Neither the individual requesting nor timing of consent was associated
with parents' knowledge score, decisional conflict, or decision to enroll.
Parents preferred to be approached prenatally and by their infant's doctor.
CONCLUSION: Study designers and IRBs may allow flexibility in personnel and
timing of consent as it is respectful of parents and may enhance trial
enrollment.
PMID- 29795453
TI - NICU management and outcomes of infants with trisomy 21 without major anomalies.
AB - OBJECTIVE: To describe how trisomy 21 affects neonatal intensive care management
and outcomes of full-term infants without congenital anomalies. STUDY DESIGN:
Retrospective cohort of full-term infants without anomalies with and without
trisomy 21 admitted to Pediatrix NICUs from 2005 to 2012. We compared diagnoses,
management, length of stay, and discharge outcomes. RESULTS: In all, 4623 infants
with trisomy 21 and 606 770 infants without trisomy 21 were identified. One-third
of infants in the NICU with and without trisomy 21 were full term without major
anomalies. Trisomy 21 infants had more respiratory distress, thrombocytopenia,
feeding problems, and pulmonary hypertension. They received respiratory support
for a longer period of time and had a longer length of stay. CONCLUSION: One
third of infants with trisomy 21 admitted to the NICU are full term without major
anomalies. Common diagnoses and greater respiratory needs place infants with
trisomy 21 at risk for longer length of stay.
PMID- 29795454
TI - Early determinants of metabolically healthy obesity in young adults: study of the
Northern Finland Birth Cohort 1966.
AB - BACKGROUND: A body of literature suggests a metabolically healthy phenotype in
individuals with obesity. Despite important clinical implications, the early
origins of metabolically healthy obesity (MHO) have received little attention.
OBJECTIVE: To assess the prevalence of MHO among the Northern Finland Birth
Cohort 1966 (NFBC1966) at 31 years of age, examine its determinants in early life
taking into account the sex specificity. METHODS: We studied 3205 term-born
cohort participants with data available for cardio-metabolic health outcomes at
31 years, and longitudinal height and weight data. After stratifying the
population by sex, adult BMI and a strict definition of metabolic health (i.e.,
no risk factors meaning metabolic health), we obtained six groups. Repeated
childhood height and weight measures were used to model early growth and early
adiposity phenotypes. We employed marginal means adjusted for mother and child
covariates including socio-economic status, birth weight and gestational-age, to
compare differences between the groups. RESULTS: The prevalence of adult MHO was
6% in men and 13.5% in women. Differences in adult metabolic status were linked
to alterations in BMI and age at adiposity peak in infancy (p < 0.0003 in men and
p = 0.027 in women), and BMI and age at adiposity rebound (AR) (p < 0.0001
irrespective of sex). Compared to MHO, metabolically unhealthy obese (MUO) women
were five and a half months younger at AR (p = 0.007) with a higher BMI while MUO
men were four months older (p = 0.036) with no difference in BMI at AR.
CONCLUSION: At the time of AR, MHO women appeared to be older than their MUO
counterparts while MHO men were younger. These original results support potential
risk factors at the time of adiposity rebound linked to metabolic health in
adulthood. These variations by sex warrant independent replication.
PMID- 29795455
TI - Minor diplotypes of FMO3 might protect children and adolescents from obesity and
insulin resistance.
AB - In order to assess whether flavin-containing monooxygenase-3 (FMO3) might be
involved in early cardiovascular risk, we assessed adiposity and traditional
metabolic variables in children/adolescents grouped according to their genotypes
in two FMO3 exonic polymorphisms, rs2266782 (E158K) and rs2266780 (E308G), which
are in linkage disequilibrium and have been associated with decreased FMO3
activity. Among 776 children/adolescents (10.8 +/- 2.2 years) recruited from the
general population (452) and from our obesity outpatient clinic (324), the 68
carrying either the 158K-308G/158K-308E or the 158K-308G/158K-308G diplotype had
lower mean z-BMI and prevalence of obesity compared to their 708 peers carrying
any of the other diplotypes (0.39 vs 0.80, p = 0.01; OR = 0.39[0.17-0.87], p =
0.018, respectively), and to the sub-sample of 303 children carrying the major
diplotype (158E-308E/158E-308E) (0.39 vs 0.87, p = 0.008; OR = 0.35[0.16-0.81], p
= 0.014, respectively). They also had lower z-BMI-adjusted lnHOMA-IR compared to
all the other children (0.75 vs 0.97, p = 0.001) and those carrying the major
diplotype, (0.75 vs 0.98, p = 0.03), as well as lower z-BMI-adjusted iln
triglycerides compared to all the other children (3.98 vs 4.17, p = 0.037). These
associations provide the first evidence that FMO3 may be involved in early body
weight, insulin sensitivity, and lipid regulation in humans.
PMID- 29795456
TI - Rosiglitazone reverses high fat diet-induced changes in BMAL1 function in muscle,
fat, and liver tissue in mice.
AB - OBJECTIVE: Nutrient challenge in the form of a high fat (HF) diet causes a
reversible reprogramming of the hepatic circadian clock. This depends in part on
changes in the recruitment of the circadian transcription factor BMAL1 to genome
targets, though the causes and extent of disruption to hepatic and extra-hepatic
BMAL1 are unknown. The objective of the study was to determine whether HF diet
induced alterations in BMAL1 function occur across insulin-resistant tissues and
whether this could be reversed by restoring whole body insulin sensitivity.
METHODS: BMAL1 subcellular localization and target recruitment was analyzed in
several metabolically active peripheral tissues, including liver, muscle, and
adipose tissue under conditions of diet-induced obesity. Animals made obese with
HF diet were subsequently treated with rosiglitazone to determine whether
resensitizing insulin-resistant tissues to insulin restored hepatic and extra
hepatic BMAL1 function. RESULTS: These data reveal that both hepatic and extra
hepatic BMAL1 activity are altered under conditions of obesity and insulin
resistance. Restoring whole body insulin sensitivity by treatment with the
antidiabetic drug rosiglitazone is sufficient to restore changes in HF diet
induced BMAL1 recruitment and activity in several tissues. CONCLUSIONS: This
study reveals that a key mechanism by which HF diet interferes with clock
function in peripheral tissues is via the development of insulin resistance.
PMID- 29795457
TI - Framing obesity a disease: Indirect effects of affect and controllability beliefs
on weight bias.
AB - BACKGROUND/OBJECTIVES: Obesity has been declared a disease by the American and
Canadian Medical Associations. Although these declarations sparked much debate as
to the impact of framing obesity as a disease on weight bias, strong empirical
research is needed to examine this impact. The current study examined the impact
of framing obesity a disease on weight bias, focusing on moderating and mediating
processes. SUBJECTS/METHODS: A sample of 309 participants living in the United
States or Canada was recruited from Crowdflower. Participants completed measures
of demographics, ideology, general attitudes, and previous contact quality and
quantity with people living with obesity. Participants then read one of three
articles as part of an experimental manipulation framing obesity as a disease,
obesity not as a disease, and a control article unrelated to obesity. Post
manipulation included measures of affect, disgust, empathy, blame, and weight
bias. RESULTS: Orthogonal contrasts were used to compare the obesity-disease
condition to the obesity-not-disease condition and control condition. The
manipulation had a direct effect on affect (emotions), such that affect toward
individuals with obesity was more positive in the obesity-disease condition than
the obesity-not-disease and control condition combined. Exploration of moderating
effects revealed that both the belief in a just world and weight satisfaction
moderated the relationship between the obesity-disease manipulation and blame for
obesity. Two models of indirect effects on weight bias were also examined, which
demonstrated that the obesity-disease manipulation predicted less weight bias
through more positive affect (model 1) as well as less weight bias through
decreased blame among individuals high in belief in a just world (model 2).
CONCLUSIONS: This study further highlights the complex effects of declaring
obesity a disease, uncovering a new direction for future research into the role
of affect as well as indirect effects of characterising obesity a disease on
weight bias.
PMID- 29795458
TI - Maternal preconception weight trajectories are associated with offsprings'
childhood obesity.
AB - OBJECTIVES: This study aimed to examine the associations between (1) mothers'
preconception body mass index (BMI) trajectories over 6-7 years and offspring
childhood BMI, and (2) mothers' BMI changes between first and second pregnancy
and the second-born child's BMI. METHODS: We used data (1606 mothers with 2733
children with mean age 7.7 years, SD 2.9) from the Australian Longitudinal Study
on Women's Health and the Mothers and their Children's Health study.
Preconception BMI trajectories were identified using latent class growth
modeling. Children were categorized as underweight, normal, overweight or obese
based on age and sex-specific BMI cut-off points for children. Multinomial and
binary logistic regression were used for analyses. RESULTS: We identified three
preconception BMI trajectories, named as 'normative' (61.2%), 'chronically
overweight' (30.7%), and 'chronically obese' (8.1%). Children born to
'chronically overweight' and 'chronically obese' mothers were more likely to be
overweight than normal weight relative to children born to women with a
'normative' BMI trajectory. The corresponding adjusted relative risk ratios
(RRRs) (95% confidence interval [CI]) of childhood overweight were 1.75 (1.33,
2.31) for chronically overweight mothers and 2.48 (1.65, 3.73) for chronically
obese mothers. Similarly, we found a much stronger association between
'chronically overweight' and 'chronically obese' BMI trajectories and childhood
risk of obesity; RRR (95% CI), 2.49 (1.41, 4.40) and 6.65 (3.40, 13.01),
respectively. Second-born children of mothers with high interpregnancy weight
gain (>=4 BMI units) were also at higher risk of being overweight or obese (OR =
2.20, 95% CI: 1.02, 4.75) compared with children of mothers with stable
interpregnancy weight (gain or loss of 1 BMI unit or less). CONCLUSIONS: In this
population-based prospective cohort study, we found strong dose-response
associations between preconception BMI trajectories and offsprings' childhood
BMI.
PMID- 29795459
TI - Kinetics of human brown adipose tissue activation and deactivation.
AB - Brown adipose tissue (BAT) has been identified as a potential target in the
treatment and prevention of obesity and metabolic disease. The precise kinetics
of BAT activation and the duration of stimulus required to recruit metabolically
active BAT, and its subsequent deactivation, are not well-understood. In this
clinical trial, 19 healthy adults (BMI: 23.7 +/- 0.7 kg/m2, Age: 31.2 +/- 2.8
year, 12 female) underwent three different cooling procedures to stimulate BAT
glucose uptake, and active BAT volume was determined using 18F-Fluorodeoxyglucose
(FDG) PET/CT imaging. We found that 20 min of pre-injection cooling produces
activation similar to the standard 60 min (39.9 mL vs. 44.2 mL, p = 0.52),
indicating that BAT activity approaches its peak function soon after the
initiation of cooling. Furthermore, upon removal of cold exposure, active BAT
volume declines (13.6 mL vs. 44.2 mL, p = 0.002), but the deactivation process
persists even hours following cessation of cooling. Thus, the kinetics of human
BAT thermogenesis are characterized by a rapid increase soon after cold
stimulation but a more gradual decline after rewarming. These characteristics
reinforce the feasibility of developing mild, short-duration cold exposure to
activate BAT and treat obesity and metabolic disease.
PMID- 29795461
TI - Epigallocatechin gallate targets FTO and inhibits adipogenesis in an mRNA m6A
YTHDF2-dependent manner.
AB - BACKGROUND/OBJECTIVE: N6-methyladenosine (m6A) modification of mRNA plays a role
in regulating adipogenesis. However, its underlying mechanism remains largely
unknown. Epigallocatechin gallate (EGCG), the most abundant catechin in green
tea, plays a critical role in anti-obesity and anti-adipogenesis. METHODS: High
performance liquid chromatography coupled with triple-quadrupole tandem mass
spectrometry (HPLC-QqQ-MS/MS) was performed to determine the m6A levels in 3T3-L1
preadipocytes. The effects of EGCG on the m6A levels in specific genes were
determined by methylated RNA immunoprecipitation coupled with quantitative real
time PCR (meRIP-qPCR). Several adipogenesis makers and cell cycle genes were
analyzed by quantitative real-time PCR (qPCR) and western blotting. Lipid
accumulation was evaluated by oil red O staining. All measurements were performed
at least for three times. RESULTS: Here we showed that EGCG inhibited
adipogenesis by blocking the mitotic clonal expansion (MCE) at the early stage of
adipocyte differentiation. Exposing 3T3-L1 cells to EGCG reduced the expression
of fat mass and obesity-associated (FTO) protein, an m6A demethylase, which led
to increased overall levels of RNA m6A methylation. Cyclin A2 (CCNA2) and cyclin
dependent kinase 2 (CDK2) play vital roles in MCE. The m6A levels of CCNA2 and
CDK2 mRNA were dramatically enhanced by EGCG. Interestingly, EGCG increased the
expression of YTH N6-methyladenosine RNA binding protein 2 (YTHDF2), which
recognized and decayed methylated mRNAs, resulting in decreased protein levels of
CCNA2 and CDK2. As a result, MCE was blocked and adipogenesis was inhibited. FTO
overexpression and YTHDF2 knockdown in 3T3-L1 cells significantly increased CCNA2
and CDK2 protein levels and ameliorated the EGCG-induced adipogenesis inhibition.
Thus, m6A-dependent CCNA2 and CDK2 expressions mediated by FTO and YTHDF2
contributed to EGCG-induced adipogenesis inhibition. CONCLUSION: Our findings
provide mechanistic insights into how m6A is involved in the EGCG regulation of
adipogenesis and shed light on its anti-obesity effect.
PMID- 29795462
TI - Impact of ambient air pollution on obesity: a systematic review.
AB - BACKGROUND/OBJECTIVES: Over 80% of the global populations living in urban areas
are exposed to air quality levels that exceed the World Health Organization
limits. Air pollution may lead to unhealthy body weight through metabolic
dysfunction, chronic disease onset, and disruption of regular physical activity.
SUBJECTS/METHODS: A literature search was conducted in the PubMed and Web of
Science for peer-reviewed articles published until September 2017 that assessed
the relationship between air pollution and body weight status. A standardized
data extraction form was used to collect methodological and outcome variables
from each eligible study. RESULTS: Sixteen studies met the selection criteria and
were included in the review. They were conducted in seven countries, including
the US (n = 9), China (n = 2), Canada (n = 1), Italy (n = 1), The Netherlands (n
= 1), Serbia (n = 1), and South Korea (n = 1). Half of them adopted a
longitudinal study design, and the rest adopted a cross-sectional study design.
Commonly examined air pollutants included PM, NO2, SO2, O3, and overall air
quality index. Among a total of 66 reported associations between air pollution
and body weight status, 29 (44%) found air pollution to be positively associated
with body weight, 29 (44%) reported a null finding, and the remaining eight (12%)
found air pollution to be negatively associated with body weight. The reported
associations between air pollution and body weight status varied by sex, age
group, and type of air pollutant. Three pathways hypothesized in the selected
studies were through increased oxidative stress and adipose tissue inflammation,
elevated risk for chronic comorbidities, and insufficient physical activity.
CONCLUSIONS: Concurrent evidence regarding the impact of air pollution on body
weight status remains mixed. Future studies should assess the impact of severe
air pollution on obesity in developing countries, focus on a homogenous
population subgroup, and elucidate the biomedical and psychosocial pathways
linking air pollution to body weight.
PMID- 29795460
TI - The combined effects of FADS gene variation and dietary fats in obesity-related
traits in a population from the far north of Sweden: the GLACIER Study.
AB - BACKGROUND: Recent analyses in Greenlandic Inuit identified six genetic
polymorphisms (rs74771917, rs3168072, rs12577276, rs7115739, rs174602 and
rs174570) in the fatty acid desaturase gene cluster (FADS1-FADS2-FADS3) that are
associated with multiple metabolic and anthropometric traits. Our objectives were
to systematically assess whether dietary polyunsaturated fatty acid (PUFA) intake
modifies the associations between genetic variants in the FADS gene cluster and
cardiometabolic traits, and to functionally annotate top-ranking candidates to
estimate their regulatory potential. METHODS: Data analyses consisted of the
following: interaction analyses between the 6 candidate genetic variants and
dietary PUFA intake; gene-centric joint analyses to detect interaction signals in
the FADS region; haplotype-centric joint tests across 30 haplotype blocks in the
FADS region to refine interaction signals; and functional annotation of top
ranking loci from the previous steps. These analyses were undertaken in Swedish
adults from the GLACIER Study (N = 5,160); data on genetic variation and eight
cardiometabolic traits were used. RESULTS: Interactions were observed between
rs174570 and n-6 PUFA intake on fasting glucose (Pint = 0.005) and between
rs174602 and n-3 PUFA intake on total cholesterol (Pint = 0.001). Gene-centric
analyses demonstrated a statistically significant interaction effect for FADS and
n-3 PUFA on triglycerides (P int = 0.005) considering genetic main effects as
random. Haplotype analyses revealed three blocks (Pint < 0.011) that could drive
the interaction between FADS and n-3 PUFA on triglycerides; functional annotation
of these regions showed that each block harbours a number of highly functional
regulatory variants; FADS2 rs5792235 demonstrated the highest functionality
score. CONCLUSIONS: The association between FADS variants and triglycerides may
be modified by PUFA intake. The intronic FADS2 rs5792235 variant is a potential
causal variant in the region, having the highest regulatory potential. However,
our results suggest that multiple haplotypes may harbour functional variants in a
region, rather than a single causal variant.
PMID- 29795463
TI - The vagus neurometabolic interface and clinical disease.
AB - The nervous system both monitors and modulates body metabolism to maintain
homoeostasis. In disease states such as obesity and diabetes, the neurometabolic
interface is dysfunctional and contributes to clinical illness. The vagus nerve,
in particular, with both sensory and motor fibres, provides an anatomical
substrate for this interface. Its sensory fibres contain receptors for important
circulating metabolic mediators, including leptin and cholecystokinin, and
provide real-time information about these mediators to the central nervous
system. In turn, efferent fibres within the vagus nerve participate in a brain
gut axis to regulate metabolism. In this review, we describe these vagus nerve
mediated metabolic pathways and recent clinical trials of vagus nerve stimulation
for the management of obesity. These early studies suggest that neuromodulation
approaches that employ electricity to tune neurometabolic circuits may represent
a new tool in the clinical armamentarium directed against obesity.
PMID- 29795464
TI - Gut hormone release after gastric bypass depends on the length of the
biliopancreatic limb.
AB - BACKGROUND/OBJECTIVES: Changes in gut hormone secretion are important for the
anti-diabetic effects of bariatric surgery. Roux-en-Y gastric bypass (RYGB) with
extended biliopancreatic limb (BPL) length may improve the metabolic outcomes
when compared to the classical procedure. The purpose of this study was to
compare the gut hormone responses to a liquid mixed meal after RYGB with one of
two different BPL lengths. SUBJECTS/METHODS: Non-diabetic weight-stable
individuals previously submitted to classical RYGB (n = 9; BPL length: 87.8 +/-
20.5 cm) or long BPL RYGB (n = 11; BPL length: 200 cm) underwent a liquid mixed
meal tolerance test (MMTT). Blood was sampled at baseline and 15, 30, 45, 60, 90
and 120 min later for measurement of plasma glucose, enteropancreatic hormones
and total bile acids (TBA). RESULTS: Plasma glucose excursion curves were similar
in the two groups. The long BPL RYGB group displayed significantly higher fasting
and post-prandial GLP-1 (t = 0 min, p = 0.01 and t = 45 min, p < 0.05; tAUC:
11,205 +/- 3399 vs 7889 +/- 1686 pmol/L * min, p = 0.02) and neurotensin (t = 0
min, p = 0.02; t = 45 min, p < 0.05 and t = 60 min, p < 0.01; tAUC: 18,392 +/-
7066 vs 11,437 +/- 3658 pmol/L * min, p = 0.02) levels, while responses of GIP (t
= 15 min, p < 0.01), insulin and C-peptide (t = 30 min, p < 0.001) were lower as
compared to classical RYGB. There were no differences in glucagon, PP, PYY and
TBA between the groups. CONCLUSIONS: RYGB with a longer BPL results in a
distinctive post-prandial hormone profile with augmented GLP-1 and neurotensin
responses that could be beneficial for the metabolic outcomes of the surgery.
PMID- 29795466
TI - Upregulation of angiostatic chemokines IP-10/CXCL10 and I-TAC/CXCL11 in human
obesity and their implication for adipose tissue angiogenesis.
AB - BACKGROUND/AIMS: Impaired angiogenesis is linked to adipose tissue (AT)
dysfunction, inflammation, and insulin resistance in human obesity. Chemokine (C
X-C motif) receptor. (CXCR3) ligands are important regulators of angiogenesis in
different disease contexts such as cancer; however, their role in human morbid
obesity is unknown. We investigated the role of the CXCR3 axis in AT angiogenesis
in morbidly obese patients. SUBJECTS/METHODS: The study group comprised 50
morbidly obese patients (mean age 44 +/- 1 years, body mass index 44 +/- 1 kg/m2)
who had undergone laparoscopic Roux-Y-gastric bypass surgery, and 25 age-matched
non-obese control subjects. We measured the circulating levels of the CXCR3
ligands monokine induced by interferon-gamma (MIG/CXCL9), interferon-gamma
inducible protein 10 (IP-10/CXCL10), and interferon-gamma-inducible T-cell alpha
chemoattractant (I-TAC/CXCL11) in all studied subjects. Additionally, the
expression of CXCR3 ligands was analyzed in paired biopsies of subcutaneous and
visceral AT obtained during the laparoscopic procedure in morbidly obese
patients. Additionally, we explored the functional role of CXCR3 ligands on
angiogenesis in AT from morbidly obese patients using an ex vivo assay. RESULTS:
Plasma levels of CXCL10 and CXCL11 were significantly higher in morbidly obese
patients than in controls (p < 0.01). In ex vivo assays, angiogenic growth was
markedly lower in visceral AT than in subcutaneous AT (p < 0.05), which was
related to significant tissue upregulation of CXCL10, CXCL11 and CXCR3 (p <
0.05). CXCL10 or CXCL11 inhibited AT angiogenesis (p < 0.05), and blockade of
CXCR3 function significantly increased capillary sprouting in visceral fat
deposits (p < 0.05). Western blot analysis showed that the p38 mitogen-activated
protein kinase signaling pathway was implicated in the angiostatic effects of
CXCR3 in AT. CONCLUSIONS: CXCL10 and CXCL11 may play. deleterious role in obesity
as potential inhibitors of AT angiogenesis. Accordingly, pharmacological blockade
of CXCR3 could represent. therapy to prevent AT dysfunction in obesity.
PMID- 29795465
TI - Paternal cholestasis exacerbates obesity-associated hypertension in male
offspring but is prevented by paternal ursodeoxycholic acid treatment.
AB - BACKGROUND: Obesity is a heterogeneous phenotype and risk associations to non
communicable diseases such as cardiovascular disease and type 2 diabetes are
influenced by several factors. The paternal metabolic status at the time of
conception influences offspring susceptibility to developing obesity and
adiposity-associated cardiometabolic disease. Cholestatic liver diseases are
characterized by raised circulating serum bile acid levels and dyslipidemia, and
are commonly treated with ursodeoxycholic acid (UDCA). We hypothesized that
paternal cholestasis alters offspring susceptibility to developing obesity and
adiposity-associated cardiometabolic disease and that this may be modified by
paternal UDCA treatment. METHODS: Cholestasis was induced in male C57BL/6 mice
with a 0.5% cholic acid (CA)-supplemented diet for 10 weeks prior to mating with
normal chow (NC)-fed females. Offspring of cholestatic and NC-fed fathers were
fed either a NC diet or challenged with an obesogenic 'western diet' (WD) from 12
weeks of age. Offspring body weight and cardiometabolic function were assessed,
and the impact of treatment of paternal cholestasis with UDCA was evaluated.
RESULTS: Male offspring (18 weeks old) of cholestatic fathers challenged with WD
had raised fasting insulin, hepatic triglyceride content and serum cholesterol
levels compared to diet-matched controls. At 25-29 weeks of age, WD-fed male
offspring of cholestatic fathers had higher systolic and diastolic blood pressure
than controls and this was prevented by paternal UDCA treatment. In contrast, WD
challenged female offspring of cholestatic fathers showed improved glucose
tolerance compared to controls. CONCLUSIONS: We demonstrated in our model of
paternal cholestasis that offspring susceptibility to adiposity-associated
cardiometabolic disease is affected in a sex-specific manner and paternal UDCA
treatment had a protective effect against hypertension in the obese male
offspring. The most prevalent human cholestatic conditions are primary sclerosing
cholangitis and primary biliary cholangitis. These findings are of clinical
relevance to children of men with these conditions.
PMID- 29795468
TI - The case of GWAS of obesity: does body weight control play by the rules?
AB - As yet, genome-wide association studies (GWAS) have not added much to our
understanding of the mechanisms of body weight control and of the etiology of
obesity. This shortcoming is widely attributed to the complexity of the issues.
The appeal of this explanation notwithstanding, we surmise that (i) an
oversimplification of the phenotype (namely by the use of crude anthropometric
traits) and (ii) a lack of sound concepts of body weight control and, thus, a
lack of a clear research focus have impeded better insights most. The idea of
searching for polygenetic mechanisms underlying common forms of obesity was born
out of the impressive findings made for monogenetic forms of extreme obesity. In
the case of common obesity, however, observational studies on normal weight and
overweight subjects never provided any strong evidence for a tight internal
control of body weight. In addition, empirical studies of weight changes in
normal weight and overweight subjects revealed an intra-individual variance that
was similar to inter-individual variance suggesting the absence of tight control
of body weight. Not least, this lack of coerciveness is reflected by the present
obesity epidemic. Finally, data on detailed body composition highlight that body
weight is too heterogeneous a phenotype to be controlled as a single entity. In
summary GWAS of obesity using crude anthropometric traits have likely been misled
by popular heritability estimates that may have been inflated in the first place.
To facilitate more robust and useful insights into the mechanisms of internal
control of human body weight and, consequently, the genetic basis of obesity, we
argue in favor of a broad discussion between scientists from the areas of
integrative physiologic and of genomics. This discussion should aim at better
conceived studies employing biologically more meaningful phenotypes based on in
depth body composition analysis. To advance the scientific community-including
the editors of our top journals-needs a re-launch of future GWAS of obesity.
PMID- 29795467
TI - Long-term incidence of serious fall-related injuries after bariatric surgery in
Swedish obese subjects.
AB - Obesity increases risk of falling, but the effect of bariatric surgery on fall
related injuries is unknown. The aim of this study was therefore to study the
association between bariatric surgery and long-term incidence of fall-related
injuries in the prospective, controlled Swedish Obese Subjects study. At
inclusion, body mass index was >= 34 kg/m2 in men and >=38 kg/m2 in women. The
surgery per-protocol group (n = 2007) underwent gastric bypass (n = 266), banding
(n = 376), or vertical banded gastroplasty (n = 1365), and controls (n = 2040)
received usual care. At the time of analysis (31 December 2013), median follow-up
was 19 years (maximal 26 years). Fall-related injuries requiring hospital
treatment were captured using data from the Swedish National Patient Register.
During follow-up, there were 617 first-time fall-related injuries in the surgery
group and 513 in the control group (adjusted hazard ratio 1.21, 95% CI, 1.07
1.36; P = 0.002). The incidence differed between treatment groups (P < 0.001, log
rank test) and was higher after gastric bypass than after usual care, banding and
vertical banded gastroplasty (adjusted hazard ratio 0.50-0.52, P < 0.001 for all
three comparisons). In conclusion, gastric bypass surgery was associated with
increased risk of serious fall-related injury requiring hospital treatment.
PMID- 29795469
TI - Are BMI and inflammatory markers independently associated with physical
fatigability in old age?
AB - BACKGROUND: Obesity and chronic low-grade inflammation have both been implicated
in the onset of physical fatigue. However, few studies have investigated the
independence of these associations in older community-dwelling populations. We
therefore aimed to investigate the associations of body mass index (BMI) and
inflammatory markers at age 60-64 with perceived physical fatigability at age 68
and to assess whether any such associations were independent of each other and
potential confounding factors. A secondary aim was to investigate whether any
association with BMI extended back into earlier adulthood. METHODS: Participants
of the MRC National Survey of Health and Development (N = 1580) had BMI and
levels of interleukin-6 (IL-6) and C-reactive protein (CRP) measured during
clinical assessments at age 60-64. These were related to self-perceived physical
fatigability assessed at age 68 using the Pittsburgh Fatigability Scale (PFS)
(total score:0 (no physical fatigue)-50 (extreme physical fatigue)). RESUTS:
Women had higher mean PFS scores than men (mean (SD): 16.0 (9.1) vs 13.2 (8.9), p
< 0.01). In sex-adjusted models, BMI, CRP and IL-6 were each associated with PFS
scores. When all three factors were included in the same model, BMI and IL-6
remained associated with PFS scores whereas CRP did not. After adjustment for a
range of potential confounders, associations of BMI and IL-6 with PFS scores were
still evident; fully adjusted differences in mean PFS score = 3.41 (95% CI: 0.59,
6.24) and 1.65 (0.46, 2.84) for underweight and obese participants when compared
with normal weight and, 2.78 (1.65, 3.91) when comparing those with an IL-6 of
2.51-8.49 pg/mL with levels <1.50. CONCLUSIONS: BMI and inflammation may both be
suitable targets for intervention to reduce the burden of physical fatigability
in later life. Further, interventions that target both obesity and elevated
levels of IL-6 are likely to be more effective than those focusing on only one.
PMID- 29795470
TI - The anorexigenic peptide neurotensin relates to insulin sensitivity in obese
patients after BPD or RYGB metabolic surgery.
AB - Neurotensin is a peptide with effects on appetite and intestinal lipid
absorption. Experimental data suggest a role in glucose homeostasis, while human
data is missing. Here, 20 morbidly obese subjects either underwent
biliopancreatic diversion with duodenal switch (BPD), or Roux-en-Y gastric bypass
(RYGB) in a randomized fashion. Before and 1 year after surgery, anthropometric
data, body composition, clinical biochemistry, insulin sensitivity by means of
euglycemic hyperinsulinemic clamps (HEC) and fasting plasma proneurotensin 1-117
were analyzed. Plasma proneurotensin increased significantly more 1 year after
BDP than RYGB (P = 0.028), while weight loss was comparable. After metabolic
surgery, proneurotensin correlated positively with insulin sensitivity (M-value)
(r = 0.55, P < 0.001), while an inverse relationship with fasting glucose, HOMA
IR and HbA1c was observed (P < 0.05 for all components). After adjustment for age
and gender, proneurotensin and BMI remained independently related with delta of M
value (beta = 0.46 and beta = 0.51, P < 0.05, resp.). From these data we conclude
that proneurotensin positively correlates with insulin sensitivity uniquely after
weight loss induced by metabolic surgery in humans. BDP leads to a stronger
increase in the anorexigenic peptide compared to RYGB.
PMID- 29795471
TI - Early weight loss outcomes from a newly established hospital-affiliated
specialized obesity care delivery model in Central Florida.
AB - SIGNIFICANCE: We evaluated weight loss outcomes in a newly established hospital
affiliated, physician-directed multidisciplinary, and personalized obesity care
model. METHODS: Fifty established patients in a specialized obesity medicine
practice underwent intensive lifestyle intervention +/-adjunctive pharmacotherapy
(46/50) for >6 consecutive months and when required, psychological intervention.
We identified demographics, obesity-related comorbidities, anthropometric changes
over time, and laboratory screen. Psychosocial status was determined using Beck
Depression Inventory-II (BDI-II), Brownell-Stunkard Weight-Loss Readiness Test,
and Impact of Weight on Quality of Life-Lite (IWQOL). RESULTS: Patient
characteristics (mean +/- SD) were: 70% female; age 47.0 +/- 16.4 y; weight
111.55 +/- 32.8 kg; BMI 39.3 +/- 8.8 kg/m2; % body fat 45.5 +/- 6.3. Patients had
attempted at least one diet prior to seeking tertiary obesity care and averaged
2.5 major co-morbidities. In regards to health status, 74% were insulin resistant
[HOMA]; 12% had Type 2 DM (HbA1c > 6.5%); 46% were hypertensive; 48% had
dyslipidemia, 38% were vitamin D deficient; 44% were depressed (BDI-II). Weight
loss at 3 and 6 months averaged -4.18 and -7.88 kg and percentage changes in BMI
a respective -4.39 and -7.74% (p < 0.0001). Forty percentage were early
responders (ER), having lost >= 5% of their initial weight 3 months into the
program. Total weight loss for ER vs. non-responders (NR) at 3 months was -7.90
and -1.71 kg, respectively (p < 0.0001) and mean % BMI changes from baseline were
a respective -7.77 and -1.88%. CONCLUSIONS: Organized hospital-affiliated
specialized obesity care delivery models can be successful in personalized
obesity treatment. These types of medical programs for complicated obesity are
likely to reduce impediments to addressing obesity effectively.
PMID- 29795472
TI - Maternal obesity aggravates the abnormality of porcine placenta by increasing N6
methyladenosine.
AB - BACKGROUND: The growing prevalence of overweight or obese pregnancies shows an
increasing risk for aberrant fetal growth and postnatal complications. Maternal
obesity is associated with low birth weight (LBW) of piglets. However, the
development of LBW from maternal obesity is not well understood. OBJECTIVE: This
study attempts to investigate the novel RNA modification N6-methyladenosine (m6A)
in the placenta tissues by using sows with high backfat thickness as a model for
obese pregnancy. SUBJECTS/METHODS: Forty four placentas from eight sows (backfat
thickness >=21 mm) were divided into four groups by piglet weight, with group1
being LBW group (<1.0 kg), group2 (1.0-1.4 kg), group3 (1.4-1.6 kg), and group4
(>1.6 kg) as the comparative groups of normal birth weight. QPCR was used to
measure the mRNA levels of the genes and western blot was used to test the
content of proteins. At the same time, LC-MS/MS method was built to test the
content of m6A modification in the placental RNA, and finally MeRIP-QPCR
technology was employed to check the specific m6A modification in the key genes.
RESULTS: Compared with the comparative groups, the expression levels of
PPARgamma, VEGFA, ABHD5, and GPR120 in both mRNA and protein decreased noticeably
in the LBW group. It was also observed that the density of the H&E stained
vessels became attenuated in LBW group. Importantly, for the first time, the
increased m6A levels were found in LBW placentas. Lower protein level of FTO (the
key demethylase of m6A) was observed in LBW placentas, whereas no difference was
found among the four groups in the expression levels of METTL3, the main
methyltransferase of m6A. By using MeRIP-QPCR technology, the m6A modification in
PPARgamma, VEGFA, ABHD5, and GPR120, as well as FTO, was considerably enhanced in
the placentas from LBW group. CONCLUSION: We infer that in maternity obesity, the
higher m6A modification displayed in the genes related to placental development,
lipid metabolism and angiogenesis may result in the down regulation of these
genes, which could be associated with m6A demethylase FTO.
PMID- 29795473
TI - Reply to "Between SCA5 and SCAR14: delineation of the SPTBN2 p.R480W-associated
phenotype" by Nuovo et al.
PMID- 29795474
TI - Between SCA5 and SCAR14: delineation of the SPTBN2 p.R480W-associated phenotype.
PMID- 29795475
TI - Economic evaluation of genomic sequencing in the paediatric population: a
critical review.
AB - Systematic evidence is critical to the formulation of national health policy to
provide public funding for the integration of genomic sequencing into routine
clinical care. The purpose of this review is to present systematic evidence on
the economic evaluation of genomic sequencing conducted for paediatric patients
in clinical care, and to identify any gaps in the methodology of economic
evaluations. We undertook a critical review of the empirical evidence from
economic evaluations of genomic sequencing among paediatric patients searching
five electronic databases. Our inclusion criteria were limited to literature
published in the English language between 2010 and 2017 in OECD countries.
Articles that met our inclusion criteria were assessed using a recognised
checklist for a well-designed economic evaluation. We found 11 full-text articles
that met our inclusion criteria. Our analysis found that genomic sequencing
markedly increased the diagnostic rate to 16-79%, but lowered the cost by 11-64%
compared to the standard diagnostic pathway. Only five recent studies in
paediatric clinical cohorts met most of the criteria for a well-designed economic
evaluation and demonstrated cost-effectiveness of genomic sequencing in
paediatric clinical cohorts of patients. Our review identified the need for
improvement in the rigour of the methodologies used to provide robust evidence
for the formulation of health policy on public funding to integrate genomic
sequencing into routine clinical care. Nonetheless, there is emerging evidence of
the cost-effectiveness of genomic sequencing over usual care for paediatric
patients.
PMID- 29795476
TI - A recurrent de novo CUX2 missense variant associated with intellectual
disability, seizures, and autism spectrum disorder.
AB - In most patients with intellectual disability (ID), the etiology is unknown, but
lately several de novo variants have been associated with ID. One of the involved
genes, CUX2, has twice been reported to be affected by a de novo variant
c.1768G>A; p.(Glu590Lys) in patients with ID or epileptic encephalopathy. CUX2 is
expressed primarily in nervous tissues where it may act as a transcription factor
involved in neural specification. Here we describe a third case who was diagnosed
with epilepsy including general and myoclonic seizures, moderate to severe
cognitive disability, and infantile autism. The patient was heterozygous for the
c.1768G>A; p.(Glu590Lys) variant in CUX2 identified by whole exome sequencing.
These findings strongly suggest a causal impact of this variant and add to our
understanding of a subset of patients with ID, seizures, and autism spectrum
disorder as well as suggest an important role for the CUX2 gene in human brain
function.
PMID- 29795477
TI - The global landscape of cancer cell therapy.
PMID- 29795478
TI - Comparison of glycopyrronium versus tiotropium on the time to clinically
important deteriorations in patients with COPD: a post-hoc analysis of randomized
trials.
AB - Glycopyrronium is a once-daily, inhaled long-acting muscarinic antagonist (LAMA)
demonstrating similar efficacy to inhaled tiotropium in patients with moderate-to
severe COPD; however, the benefit of LAMAs on COPD symptoms has been variable.
COPD is a progressive disease in which many patients develop an acute or
sustained deterioration. Data on the prevention of clinically important
deteriorations (CID) using LAMAs are limited. A pooled analysis was performed on
four Phase III trials (n = 2936) that compared the efficacy of glycopyrronium (n
= 1859) with tiotropium (n = 1077). The primary endpoint was significant delay
and/or reduction in the occurrence of CID. CID was defined as any of the
following: >=100 mL decrease from baseline in pre-dose forced expiratory volume
in 1 second (FEV1), >=4 point increase in St George's Respiratory Questionnaire
score or a moderate-to-severe COPD exacerbation occurring after the first dose of
study medication. A sustained CID was a CID occurring on >=2 consecutive visits 4
weeks apart or for >=50% of all available subsequent visits. Baseline
characteristics for the overall population were similar. Patients had moderate
(62%) or severe (38%) COPD. Mean post-bronchodilator FEV1 was approximately 55%
predicted, and mean FEV1 reversibility was 16.7 and 18.6% in the glycopyrronium
and tiotropium groups, respectively. Both glycopyrronium and tiotropium
significantly reduced time to CID and sustained CID versus placebo (p < 0.001).
No statistically significant differences were found between the glycopyrronium
and tiotropium treatment groups in time to CID or sustained CID. Glycopyrronium
is effective in delaying time to clinically important deteriorations, with
similar efficacy to tiotropium.
PMID- 29795480
TI - Become a part of the Carriere Philosophy in orthodontics.
PMID- 29795481
TI - New scanners featuring greater power and speed.
PMID- 29795482
TI - Charity launches National Smile Month 2018.
PMID- 29795479
TI - Role of Kupffer cells in the progression of CRC liver metastases after the first
stage of ALPPS.
AB - Associated liver partition and portal vein ligation for staged hepatectomy
(ALPPS) has been suggested as a potential therapy for extensive bilobar liver
tumors, although in some circumstances this technique may induce tumor
progression, a fact still not well studied. Our aim was to study tumor hepatic
progression induced by the first step of ALPPS in a WAG/Rij rat syngenic model of
metastatic colorectal carcinoma by subcapsular CC531 cell line inoculation. ALPPS
induced: tumor progression on deportalized lobe and metastases; expression of
hepatic vasculogenic factors (HIF1-alpha and VEGF); and a dramatic increase of
Kupffer cells (KCs) and tumor-associated macrophages (TAMs). Interestingly, KCs
expressed COX-2 (M1 polarization), while TAMs expressed mainly arginase-1 (M2
polarization). ALPPS also induced a decrease of tumor-infiltrating lymphocytes
and an increase of intrahepatic T lymphocytes. Thus, ALPPS technique seems to
induce a hypoxic environment, which enhances hepatic HIF1-alpha and VEGF
expression and may promote KCs and TAMs polarization. Consequently, the
regenerative stimulus seems to be driven by a pro-inflammatory and hypoxic
environment, in which M1 intrahepatic macrophages expressing COX-2 and T
Lymphocytes play a key role, facts which may be related with the tumor
progression observed.
PMID- 29795483
TI - The BDJ's environment.
PMID- 29795484
TI - FDI guide addresses the global burden of periodontal disease.
PMID- 29795485
TI - Assessment of public and professional perceptions of access to unscheduled dental
care.
PMID- 29795488
TI - Over 300,000 dentists participate in clinical education.
PMID- 29795486
TI - National clinical guidelines for the management of unerupted maxillary incisors
in children.
AB - This article summarises recently updated guidelines produced by the Clinical
Governance Directorate of the British Orthodontic Society through the Clinical
Standards Committee of the Faculty of Dental Surgery, Royal College of Surgeons
of England (FDSRCS) on the management of unerupted maxillary incisor teeth in
children. The maxillary incisor teeth usually erupt in the early mixed dentition
but eruption disturbances can occur and are often attributable to local factors.
A failure of eruption will affect the developing occlusion and potentially
influence psychological development of the child. The general principles of
management for delayed eruption or impaction of these teeth is to ensure that
adequate space exists in the dental arch and to remove any obstruction to
eruption. Consideration should also be given to further promoting eruption
through surgical exposure of the incisor, with or without subsequent orthodontic
traction. A number of factors influence the decision-making process, including
patient age, medical history, potential compliance, aetiology and position of the
unerupted incisor. Treatment planning should be complemented by careful clinical
assessment and the use of appropriate special investigations. To optimise the
treatment outcome a multidisciplinary specialist approach is recommended.
PMID- 29795487
TI - Carotid aneurysms: unusual referrals from general dental practice.
AB - Oral and maxillofacial surgeons carry out the diagnosis and treatment of diseases
affecting the mouth, jaws, face and neck. They provide a critical referral
service for dentists in general practice, with the most suspicious of these being
sent as 'urgent suspected cancer', or 'USC'. According to national guidelines,
such cases must be seen within 14 days. In January and February 2017, the oral
and maxillofacial team in Morriston hospital received two such referrals from
separate GDPs in the locality. Both were prioritised and seen within the two week
window on consultant clinics. These two cases presented as enlarging, firm and
painful neck swellings in otherwise relatively healthy adults, with no classical
risk factors for malignancy, such as smoking, high alcohol intake or HPV virus.
There was no dental pathology noted in either. Following clinical examination and
special investigations within the OMFS department in Morriston Hospital, both
patients were diagnosed, and treated under the vascular surgical team via
surgical repair for carotid aneurysms. This is a condition rarely considered by
dentists, and an uncommon differential diagnosis of a neck lump.
PMID- 29795489
TI - Orthodontics: Making false promises.
PMID- 29795490
TI - Longevity of anterior resin bonded bridges: Survival rates of two tooth
preparation designs.
AB - Anterior resin bonded bridges with described tooth preparation designs
demonstrate a high survival rate.
PMID- 29795491
TI - Feeling protective.
PMID- 29795492
TI - Never events: Recent update.
PMID- 29795493
TI - Editorial decisions: Cover art defended.
PMID- 29795494
TI - Cause for concern: BDA v GDC.
AB - In this Opinion article I will discuss the relationship between the BDA and the
GDC, the nature of the BDA's and dentists' language when communicating with the
GDC and when discussing the GDC in public forums, such as this journal. I also
suggest ways this relationship can be improved for the benefit of dentists and
the GDC.
PMID- 29795496
TI - Study supports efficacy of collagen membrane.
PMID- 29795497
TI - Dentists on film: Blue Jasmine.
PMID- 29795495
TI - Longevity of ceramic onlays: A systematic review.
AB - The most common pattern of failure is fracture of the ceramic material and the
risk of failure seems to increase if the restored tooth is non-vital and the
patient demonstrates parafunctional habits.
PMID- 29795499
TI - Essential orthodontics.
PMID- 29795498
TI - Restorations in primary teeth: a systematic review on survival and reasons for
failures.
AB - The most common reason for failure of restorations in primary teeth is secondary
caries.
PMID- 29795500
TI - The record and delivery of caries prevention for children in a primary care
setting: a multi-practice collaborative clinical audit.
PMID- 29795501
TI - Last chance for bursary awards.
PMID- 29795502
TI - Young dentists: breaking the silence.
AB - Now is the time for young dentists to voice their concerns - to ensure policy
makers understand problems faced by the dental workforce of tomorrow. This
opinion article highlights the pertinent factors which have reduced young
dentists to provide defensive dentistry provided in a far from ideal NHS
environment.
PMID- 29795504
TI - A titan among tongue cleansers.
PMID- 29795503
TI - 32 and you - genetic testing for dental disorders.
AB - Genetic testing for serious illness and disease is becoming increasingly embedded
in NHS healthcare. It can confirm a clinical diagnosis or guide therapy. Genetic
testing for dental developmental disorders has moved beyond the realms of
rarified grant-funded research groups and is now sufficiently rapid and
affordable to be offered as part of a clinical service in some dental teaching
hospitals. The first presentation of some genetic diseases may be in the dental
surgery, so the family dentist should hone their diagnostic skills to identify
patients who would benefit from referral to a genetics service. While diagnosis
may sometimes guide treatment, there are now examples where it can even lead to
cure. This article aims to describe some concepts and issues that a dentist
should consider when referring for testing for a genetic dental disorder, and
proposes that this subject area should be expanded in the dental undergraduate
and postgraduate curricula in the UK.
PMID- 29795506
TI - Engaging patients to improve communication and comprehension.
PMID- 29795505
TI - Root caries: From prevalence to therapy.
PMID- 29795507
TI - Film: Dentist's film shown at Cannes.
PMID- 29795508
TI - Be a wizard with your wand.
PMID- 29795509
TI - Guidelines relevant to paediatric dentistry - do foundation dentists and general
dental practitioners follow them? Part 2: Treatment and recall.
PMID- 29795510
TI - New Flexible Payment Plans for member and non-member dentists.
PMID- 29795512
TI - Martin Hobdell.
PMID- 29795511
TI - Oral health: Learning styles.
PMID- 29795513
TI - New survey reveals lack of knowledge about enamel erosion.
PMID- 29795514
TI - BDA North Western Branch AGM Notice.
PMID- 29795515
TI - Partnership to protect those First Smiles.
PMID- 29795516
TI - You're on camera.
PMID- 29795517
TI - Influence of different implant geometry in clinical longevity and maintenance of
marginal bone: a systematic review.
AB - Implant geometry seems to have little influence on marginal bone loss, survival
and success rates.
PMID- 29795519
TI - An ideal alternative to explore.
PMID- 29795518
TI - The ultimate guide to restoration longevity in England and Wales. Part 2: Amalgam
restorations - time to next intervention and to extraction of the restored tooth.
PMID- 29795520
TI - Activity of DNA-targeted C8-linked pyrrolobenzodiazepine-heterocyclic polyamide
conjugates against aerobically and hypoxically grown Mycobacterium tuberculosis
under acidic and neutral conditions.
AB - Mycobacterium tuberculosis (Mtb) is the aetiological agent of tuberculosis, the
leading cause of death worldwide from a single infectious agent. Mtb is a highly
adaptable human pathogen that might enter a dormant non-replicating (NR), drug
tolerant stage. Reactivation of dormant Mtb can lead to active disease.
Antibiotic treatments of active and latent tuberculosis are long, complex and may
fail to fully eradicate the infection. Therefore, it is imperative to identify
novel compounds with new mechanisms of action active against NR bacilli. Dormant
Mtb habitat is mostly thought to be the pH-neutral and hypoxic caseous granuloma.
We have used the Wayne culture model to reproduce this environment and tested the
activities of two DNA-targeted agents, C8-linked-pyrrolobenzodiazepine(PBD)
polyamide conjugates 1 and 2, against Mtb grown in aerobic and hypoxic conditions
in both acidic and pH-neutral media. PBD 2 showed growth inhibitory activity at
5.1 ug/ml against 19-day-old hypoxic NR Mtb cultures with 1.8 log10 CFU reduction
on day 21 at pH 7.3. PBD 2 was particularly effective against 5-day-old aerobic
cells at pH 7.3, with CFU reduction (>6.8 log10) on day 21 at 5.1 ug/ml being
identical to that of rifampin at 8 ug/ml. PBD 2 qualifies as a promising lead
against aerobic and NR Mtb.
PMID- 29795521
TI - Drug information, misinformation, and disinformation on social media: a content
analysis study.
AB - Dissemination of misleading drug information through social media can be
detrimental to the health of the public. This study, carried out in Bahrain,
evaluated the truthfulness of 22 social media claims about drugs (72.7%), dietary
supplements (22.7%), and toxic bisphenol-A (4.5%). They circulated on WhatsApp
platform, as case studies. We categorized claims as objectively true, false, or
potentially misleading. The content analysis revealed that "potentially
misleading" claims were the most frequent messages (59.1%). They tend to
exaggerate the efficacy or safety without sufficient evidence to substantiate
claims. False claims (27.3%) were likely due to unfair competition or deception.
Overall, 13.6% of the messages were objectively true claims that could withstand
regulatory scrutiny. Majority of the drug-related messages on social media were
potentially misleading or false claims that lacked credible evidence to support
them. In the public interest, regulatory authorities should monitor such
information disseminated via social media platforms.
PMID- 29795522
TI - Public health actions to mitigate long-term consequences of child maltreatment.
AB - Child maltreatment (CM) is highly prevalent and one of the most injurious
conditions that children may experience. Because it is often-clandestine, it is
difficult to assure that existing prevention and treatment mechanisms reach those
in need. CM's consequences may take a long time to be recognized and expressed.
We discuss the need to increase public health actions when the person reaches
adulthood to mitigate the consequences of CM. We propose three intervention
targets to alleviate CM-related difficulties in adults: emotion regulation,
social functioning, and self-concept. By enhancing awareness and facilitating
access to these interventions and using technology-based strategies, we expect
this approach to benefit affected persons. It may also reduce the risk for
transmission of CM consequences across generations.
PMID- 29795524
TI - Before reproducibility must come preproducibility.
PMID- 29795526
TI - An improved assembly and annotation of the melon (Cucumis melo L.) reference
genome.
AB - We report an improved assembly (v3.6.1) of the melon (Cucumis melo L.) genome and
a new genome annotation (v4.0). The optical mapping approach allowed correcting
the order and the orientation of 21 previous scaffolds and permitted to correctly
define the gap-size extension along the 12 pseudomolecules. A new comprehensive
annotation was also built in order to update the previous annotation v3.5.1,
released more than six years ago. Using an integrative annotation pipeline, based
on exhaustive RNA-Seq collections and ad-hoc transposable element annotation, we
identified 29,980 protein-coding loci. Compared to the previous version, the v4.0
annotation improved gene models in terms of completeness of gene structure, UTR
regions definition, intron-exon junctions and reduction of fragmented genes. More
than 8,000 new genes were identified, one third of them being well supported by
RNA-Seq data. To make all the new resources easily exploitable and completely
available for the scientific community, a redesigned Melonomics genomic platform
was released at http://melonomics.net . The resources produced in this work
considerably increase the reliability of the melon genome assembly and resolution
of the gene models paving the way for further studies in melon and related
species.
PMID- 29795527
TI - Low dose daily versus on-demand high dose tadalafil in diabetic patients with
erectile and ejaculatory dysfunction.
AB - The aim was to compare the differences between daily 5 mg and on-demand 20 mg
tadalafil use in diabetic patients with erectile dysfunction (ED), and the
effects of two different tadalafil protocols on ejaculatory and lower urinary
tract symptoms (LUTS). Of the 63 diabetic patients with ED, 31 were given 5 mg
tadalafil once a day, and 32 were given 20 mg tadalafil on-demand four times a
month over three months. Erectile function, erectile hardness, ejaculatory
function, and LUTS were assessed at pretreatment, first- and third-month
controls. Both tadalafil protocols increased International Index of Erectile
Function (IIEF) scores in all patients under 65 years, whereas patients older
than 65 years did not benefit. Ejaculatory function, the quality of the hardness
of an erection, and LUTS improved in both groups in the study. Tadalafil improved
sexual function with acceptable side effects in diabetic men with ED. Both
protocols equally improved LUTS and the quality of the erection. Daily use of 5
mg of tadalafil significantly improved the quality of ejaculation and LUTS more
than the on-demand use of 20 mg of tadalafil. It may be beneficial to give 5 mg
tadalafil daily to patients over 65 years old who do not benefit from treatment
with 20 mg of tadalafil or in patients who have LUTS over 65 years old.
PMID- 29795528
TI - Association between obstructive sleep apnea and erectile dysfunction: a
systematic review and meta-analysis.
AB - The purpose of the present systematic review and meta-analysis was to assess the
association between obstructive sleep apnea (OSA) and erectile dysfunction (ED).
To address the focused question, "Is there an association between OSA and ED?"
indexed databases were searched up to May 2017 without time or language
restrictions using various key words including: obstructive sleep apnea, sleep
apnea syndromes, erectile dysfunction, sleep-disordered breathing, snoring,
sexual function, and impotence. Review articles, case-reports and case-series,
commentaries, letters to the editor, interviews and updates, studies assessing
the efficacy of OSA treatment in the improvement of ED, or studies evaluating the
efficacy of ED treatment in the improvement of OSA were excluded. Twenty-eight
observational studies were included for qualitative synthesis. Overall, 19
studies had a cross-sectional design, 7 studies were case-control, and 2 were
cohort studies. The odds ratios (OR) with a 95% confidence interval were
calculated from 10 studies. The combined OR was 0.45, with a 95% confidence
interval of 0.18-0.71, indicating that in patients without OSA, the risk of ED is
significantly lower compared with patients with OSA. The available evidence shows
that OSA is associated with a higher risk of ED; however, further well-designed
controlled clinical trials and longitudinal prospective studies are needed in
this regard.
PMID- 29795529
TI - Infection risk of undergoing multiple penile prostheses: an analysis of referred
patient surgical histories.
AB - Inflatable penile prosthesis (IPP) is the gold standard for medically refractory
erectile dysfunction. Infectious complications remain a significant concern in
IPP revision surgery. We sought to evaluate the impact of number of IPP surgeries
on subsequent infection rates. A retrospective analysis was performed on all new
patients (self or outside provider referred) presenting for consideration of IPP
revision or salvage surgery between 2013 and 2015. Histories were reviewed
including number of prior IPPs, reason for evaluation, and rate, number, and
timing of prior IPP infections. No patients were operated on by the primary
investigator prior to data acquisition. We identified 44 patients with at least
one prior IPP presenting for consultation regarding IPP revision/salvage. There
were 88 IPPs placed by 28 different surgeons. In patients with two or more
devices, 55% had at least two different surgeons. The most common reason for
presentation was malfunction (52%). The risk of specific device infection was
strongly correlated and increased based on number of prior IPPs: 1st (6.8%;
3/44), 2nd (18.2%; 4/22), 3rd (33.3%; 4/12), 4th (50%; 4/8), and 5th (100%; 2/2)
(R2 = 0.90, p = 0.01). Similarly, overall rates of infection positively
correlated with number of prior IPP-related surgeries performed (R2 = 0.97, p <
0.01). The median time to development of infection after most recent IPP surgery
was 2 months (IQR 1-3.3 months). Infection rates of revision/salvage IPP surgery
increase with each subsequent IPP placement or following IPP-related surgeries.
The majority of patients referred for penile implant surgery can expect to have
experienced at least one infection by their 4th device. These data represent a
change in paradigm on revision prosthetic surgery.
PMID- 29795525
TI - The association of VDR polymorphisms and type 2 diabetes in older people living
in community in Santiago de Chile.
AB - INTRODUCTION: Several polymorphisms have been associated with obesity and type 2
diabetes in different populations. OBJECTIVE: To investigate the frequencies of a
genetic polymorphism of vitamin D receptor (FokI and BsmI) in patients with T2D.
METHODS: The case-control study was conducted in 138 patients with T2D and 172
control subjects, men and women (60-79 years old). The genotype and allele
frequency determination of VDR polymorphisms were determined in these subjects.
RESULTS: The frequency of the C allele of the FokI polymorphism was significantly
higher in the T2D group than in healthy subjects (p = 0.025). The frequencies of
the BsmI variant were similar in subjects with and without T2D (p = 0.747).
Consistent with these data, there was an association of the C allele with T2D (OR
= 1.74, 95% CI = 1.003-3.084, p = 0.036), but not the AG + GG variants for BsmI
(OR = 1.02, 95% CI = 0.635-1.649, p = 0.916). We can observe a significant
association between carrier of the T > C variant of FokI and type 2 diabetes,
adjusted for vitamin D, age, obesity (overweight and obesity), seasonality, sex
and Homa-IR. Here, we show a significant association between the FokI
polymorphisms (TC + CC) and T2D with an odds ratio of 1.9001 (95% CI (1.0970
3.6838), p = 0.041). CONCLUSION: Our study suggests that the C allele (TC + CC)
of the VDR-FokI gene is a possible risk factor for T2D in older people living in
a community in Santiago de Chile.
PMID- 29795530
TI - The relationship between penile deformity, age, psychological bother, and
erectile dysfunction in a sample of men with Peyronie's Disease (PD).
AB - The aim of the present study was to investigate which PD specific factors (e.g.,
degree of penile curvature, levels of pain) cause most distress and to further
explore whether there are specific subgroups of patients that report particularly
high levels of psychological distress. Data were available for N = 119 men with a
clinical diagnosis of PD presenting at a private Uro-Andrology in Germany. The
strongest complaint of men with PD was being bothered by the look of the penis as
opposed to being distressed by the pain (3.48 vs. 2.11). 75.4% reported having
significantly less intercourse due to PD and for 61.4% this was very bothersome.
Plaque size correlated positively with the level of symptom bother (r = 0.73, p <
0.05). Furthermore, men with a stronger curvature reported more concerns
regarding size and form of the penis (r = 0.18, p < 0.05), more overall sexual
dissatisfaction (r = -0.38, p < 0.001), and more PD related psychological and
physiological symptoms (r = 0.58, p < 0.001). 44.4% of patients had a concurrent
ED. Highest level of symptom bother was reported by men with a a strong curvature
and a comorbid ED. Clinicians should pay special attention to patients presenting
with extreme penile deformity and impaired sexual functioning, as they show the
highest levels of psychological distress. Here, additional psychosexual support
might be necessary.
PMID- 29795531
TI - Patient's choice of health information and treatment modality for Peyronie's
disease: a long-term assessment.
AB - Despite various Peyronie's disease (PD) treatment options, the literature is
sparse regarding patient preference for available therapies. Our data explore
resource and treatment choices made by PD men following diagnosis. A survey was
mailed to 719 randomly selected PD men evaluated at our institution from 1990
2012. Questions included evaluation of treatments and preferred information
resources. Results were summarized as a descriptive report with statistical
analyses performed as indicated. A total of 162 men (median age 65) responded
with median PD duration of 9.2 years. Information sources included medical
websites (38.9%), physician (35.8%), and books (<1%). Overall, patients felt
72.5% (SD = 40) of physicians had a good understanding of PD. About 53.1% of men
had tried at least one therapy with 37.2% trying two or more. In comparing
therapies, most surgical patients reported improvement at 82.8%. Among a cohort
of PD men responding to a mailed survey, medical websites were the most widely
used source of information. Almost half of the patients chose not to pursue any
form of therapy, while the remaining majority elected for non-operative
intervention. These results suggest a need for greater patient and provider
education on PD management.
PMID- 29795532
TI - Effect of operative local anesthesia on postoperative pain outcomes of inflatable
penile prosthesis: prospective comparison of two medications.
AB - Few studies have examined the roles of dorsal penile nerve block (DPNB) and
penile ring block (PRB) in surgery of inflatable penile prosthesis (IPP)
placement. We sought to compare the postoperative pain outcomes of two different
medications used in DPNB plus PRB. We thus carried out a prospective study of
patients with erectile dysfunction who underwent "de novo" IPP placement between
January 2013 and June 2013. Patients were divided to one of three groups: 1-DPNB
plus PRB with bupivacaine injection; 2-DPNB plus PRB with ropivacaine injection
and, 3-Control group without DPNB or PRB injection. Postoperative pain score and
pain medication usage were recorded 2 h postoperatively, and every 24 h, for a
week. The Visual Analog Scale (VAS) was used as pain scale measurement. A total
of 131 patients were included in this study: 40 to bupivacaine, 47 to
ropivacaine, and the rest were controls. Two hours postoperatively, mean VAS was
significantly different (p < 0.0001) between medicated patients and the control
group, however, no significant differences were observed between medication
groups. Mean VAS was not significantly different among the groups from post
surgical day 2 thru 7. In conclusion, DPNB plus PRB during IPP provided effective
analgesia in the immediate post-operative recovery.
PMID- 29795533
TI - Prenatal n-3 long-chain fatty acid status and offspring metabolic health in early
and mid-childhood: results from Project Viva.
AB - Higher maternal and biomarker levels of n-3 long-chain polyunsaturated fatty
acids (LCPUFAs) have been associated with improved perinatal outcomes and may
also influence offspring metabolic health. Past studies were not powered to
examine metabolic outcomes and few have specifically targeted metabolically
vulnerable populations. We examined the associations of prenatal n-3 LCPUFA
status with markers of metabolic health in early and mid-childhood in the full
population as well as stratified by maternal glucose tolerance. Our data
consisted of 1418 mother-child dyads from Project Viva, a longitudinal,
prospective pre-birth cohort enrolled in eastern Massachusetts. We assessed
maternal dietary intake of fish and n-3 LCPUFA in mid-pregnancy using a validated
food frequency questionnaire. N-3 LCPUFA levels were quantified in maternal
second trimester and umbilical cord plasma using liquid-gas chromatography. We
assessed offspring anthropometry, adiposity, and blood pressure at early (median
age: 3.2 years) and mid-childhood (median age: 7.7 years); and assayed blood
samples collected at these visits for metabolic biomarkers. We report here
multivariable effect estimates and 95% CI. Early childhood BMI z-score was on
average 0.46 (1.03) units and waist circumference 51.3 (3.7) cm. At mid-childhood
these measures were 0.39 (1.00) units and 60.0 (8.3) cm, respectively. Higher
cord plasma DHA levels were associated with lower BMI z-score ((Q)uartile 4 vs.
Q1: -0.21, 95% CI: -0.38, -0.03), waist circumference (Q4 vs. Q1: -0.63, 95% CI:
1.27, 0.00 cm), and leptin levels (Q4 vs. Q1: -0.36, 95% CI: -0.77, 0.05 ng/mL)
in early childhood. These associations were strongest and reached significance in
offspring of women with isolated hyperglycemia vs. better or worse glycemic
status. Higher maternal DHA + EPA (Q4 vs. Q1: -1.59, 95% CI: -2.80, -0.38 MUg/mL)
and fish (>=3 vs. 0 portions/week: -2.18, 95% CI: -3.90, -0.47 MUg/mL) intake was
related to lower adiponectin in early childhood. None of these associations
persisted with mid-childhood outcomes. We did not find associations with any of
the other outcomes. This study supports early and possibly transient effects of
prenatal n-3 LCPUFA status on anthropometric measures and adipokine levels. It
also raises the possibility that offspring of women with isolated hyperglycemia
derive the most benefits from higher n-3 LCPUFA status.
PMID- 29795534
TI - Oxygen and Pt(II) self-generating conjugate for synergistic photo-chemo therapy
of hypoxic tumor.
AB - Cancer cells in hypoxic tumors are remarkably resistant to photodynamic therapy.
Here, we hypothesize that an oxygen and Pt(II) self-generating multifunctional
nanocomposite could reverse the hypoxia-triggered PDT resistance. The
nanocomposite contains Pt(IV) and chlorin e6, in which upconversion nanoparticles
are loaded to convert 980 nm near-infrared light into 365 nm and 660 nm
emissions. Upon accumulation at the tumor site, a 980 nm laser is used to trigger
the nanocomposite to generate O2 for consumption in the PDT process and to
produce cytotoxic reactive oxygen species. The composite also releases active
Pt(II) for synergistic photo-chemo therapy to enhance antitumor efficiency. The
oxygen and Pt(II) self-generating prodrug is shown to have high potential to
inhibit tumors out of the range of UV light, to overcome the hypoxia-triggered
PDT resistance and significantly improve anticancer efficacy by the synergistic
PDT-chemotherapy.
PMID- 29795536
TI - Evolution of human malaria.
PMID- 29795537
TI - CRISPR still needs microbiologists.
PMID- 29795535
TI - Evolutionary adaptation of an RNA bacteriophage to the simultaneous increase in
the within-host and extracellular temperatures.
AB - Bacteriophages are the most numerous biological entities on Earth. They are on
the basis of most ecosystems, regulating the diversity and abundance of bacterial
populations and contributing to the nutrient and energy cycles. Bacteriophages
have two well differentiated phases in their life cycle, one extracellular, in
which they behave as inert particles, and other one inside their hosts, where
they replicate to give rise to a progeny. In both phases they are exposed to
environmental conditions that often act as selective pressures that limit both
their survival in the environment and their ability to replicate, two fitness
traits that frequently cannot be optimised simultaneously. In this study we have
analysed the evolutionary ability of an RNA bacteriophage, the bacteriophage
Qbeta, when it is confronted with a temperature increase that affects both the
extracellular and the intracellular media. Our results show that Qbeta can
optimise its survivability when exposed to short-term high temperature
extracellular heat shocks, as well as its replicative ability at higher-than
optimal temperature. Mutations responsible for simultaneous adaptation were the
same as those selected when adaptation to each condition proceeded separately,
showing the absence of important trade-offs between survival and reproduction in
this virus.
PMID- 29795538
TI - A common approach to toxin specificity.
PMID- 29795539
TI - Aerobic Archaea in iron-rich springs.
PMID- 29795540
TI - Methods for phylogenetic analysis of microbiome data.
AB - How does knowing the evolutionary history of microorganisms affect our analysis
of microbiological datasets? Depending on the research question, the common
ancestry of microorganisms can be a source of confounding variation, or a
scaffolding used for inference. For example, when performing regression on
traits, common ancestry is a source of dependence among observations, whereas
when searching for clades with correlated abundances, common ancestry is the
scaffolding for inference. The common ancestry of microorganisms and their genes
are organized in trees-phylogenies-which can and should be incorporated into
analyses of microbial datasets. While there has been a recent expansion of
phylogenetically informed analytical tools, little guidance exists for which
method best answers which biological questions. Here, we review methods for
phylogeny-aware analyses of microbiome datasets, considerations for choosing the
appropriate method and challenges inherent in these methods. We introduce a
conceptual organization of these tools, breaking them down into phylogenetic
comparative methods, ancestral state reconstruction and analysis of phylogenetic
variables and distances, and provide examples in Supplementary Online Tutorials.
Careful consideration of the research question and ecological and evolutionary
assumptions will help researchers choose a phylogeny and appropriate methods to
produce accurate, biologically informative and previously unreported insights.
PMID- 29795542
TI - Epidemiology of healthcare-associated infections in Polish intensive care. A
multicenter study based on active surveillance.
AB - AIM: The aim of this study was to evaluate the incidence of health care
associated infections (HAIs) in patients treated in Polish intensive care units
(ICUs). METHODS: This retrospective analysis was based on the results of active
targeted surveillance, according to the recommendation of the ECDC (European
Centre of Disease Control and Prevention, HAI-Net light protocol), conducted in
2013-2015 in seven ICUs for adults located in southern Poland (observational
study). RESULTS: The incidence of HAI was 22.6% and 28.7/1000 person-days (pds).
The incidence of pneumonia (PN) was 8.0%, bloodstream infections (BSIs) 7.2% and
urinary tract infections (UTIs) 3.7%. The incidence per 1000 pds was as follows:
PN 10.2, BSIs 9.2 and UTIs 4.7. PN was the most common source of secondary
bloodstream infection (45%); the second was UTIs (22%). Mortality (directly and
indirectly) associated with HAI was 10.8% and was related to the presence of PN
or primary BSIs. HAIs were usually (69.2%) caused by Gram-negative bacteria;
Klebsiella spp. and nonfermenting Gram-negative rods demonstrated very high
antibiotic resistance. CONCLUSION: Despite the lack of widely implemented active
targeted surveillance programmes and top-down incentives, it is possible to carry
out effective surveillance of HAIs in ICUs in Poland. The results of this study
are comparable with the ECDC data, but the results are alarmingly high in two
fields: epidemiology of PN and BSIs and very high antibiotic resistance in Gram
negative rods, which indicate the need for intense control in this area and for
further studies to clarify the source of the observed discrepancy.
PMID- 29795541
TI - Antibiotic-resistant bacteria show widespread collateral sensitivity to
antimicrobial peptides.
AB - Antimicrobial peptides are promising alternative antimicrobial agents. However,
little is known about whether resistance to small-molecule antibiotics leads to
cross-resistance (decreased sensitivity) or collateral sensitivity (increased
sensitivity) to antimicrobial peptides. We systematically addressed this question
by studying the susceptibilities of a comprehensive set of 60 antibiotic
resistant Escherichia coli strains towards 24 antimicrobial peptides. Strikingly,
antibiotic-resistant bacteria show a high frequency of collateral sensitivity to
antimicrobial peptides, whereas cross-resistance is relatively rare. We identify
clinically relevant multidrug-resistance mutations that increase bacterial
sensitivity to antimicrobial peptides. Collateral sensitivity in multidrug
resistant bacteria arises partly through regulatory changes shaping the
lipopolysaccharide composition of the bacterial outer membrane. These advances
allow the identification of antimicrobial peptide-antibiotic combinations that
enhance antibiotic activity against multidrug-resistant bacteria and slow down de
novo evolution of resistance. In particular, when co-administered as an adjuvant,
the antimicrobial peptide glycine-leucine-amide caused up to 30-fold decrease in
the antibiotic resistance level of resistant bacteria. Our work provides
guidelines for the development of efficient peptide-based therapies of antibiotic
resistant infections.
PMID- 29795543
TI - Extraction of a dislocated leadless pacemaker in a patient with infective
endocarditis and repeated endocardial and epicardial pacing system infections.
AB - BACKGROUND: Leadless pacemakers (PMs) were recently introduced to address the
complication rate of standard PMs with transvenous leads. METHODS AND RESULTS: A
34-year old male with a history of intravenous substance abuse and a chronic type
C hepatitis developed a complete atrioventricular block after cardiac surgery for
infective endocarditis. Repeatedly, endo- and epi-cardially implanted PMs had to
be explanted due to infection. A leadless MICRA pacemaker was successfully
implanted with a dislocation into pulmonary artery several days after
implantation. The PM was successfully retrieved using a single-loop retrieval
snare guided by a steerable sheath. Subsequently, another Micra PM was
successfully implanted with no further issues. CONCLUSION: In the case of a Micra
leadless pacemaker dislocation, a conventional gooseneck snare in combination
with a steerable sheath can be used to retrieve the device, improving the overall
safety of leadless pacemakers.
PMID- 29795544
TI - The effects of sustained manual pressure stimulation according to Vojta Therapy
on heart rate variability.
AB - BACKGROUND: The physiotherapeutic technique of Vojta reflex locomotion is often
accompanied by various autonomic activity changes and unpleasant sensations. It
is unknown whether these effects are specific to Vojta Therapy. Therefore, the
aim of this study was to compare changes in cardiac autonomic control after Vojta
reflex locomotion stimulation and after an appropriate sham stimulation. METHODS:
A total of 28 young healthy adults (20.4 - 25.7 years) were enrolled in this
single-blind randomized cross-over study. Participants underwent two modes of 20
minute sustained manual pressure stimulation on the surface of the foot on two
separate visits. One mode used manual pressure on the lateral heel, i.e., in a
zone employed in the Vojta Therapy (active stimulation). The other mode used
pressure on the lateral ankle (control), in an area not included among the active
zones used by Vojta Therapy and whose activation does not evoke manifestations of
reflex locomotion. Autonomic nervous system activity was evaluated using spectral
analysis of heart rate variability before and after the intervention. RESULTS:
The active stimulation was perceived as more unpleasant than the control
stimulation. Heart rate variability parameters demonstrated almost identical
autonomic responses after both stimulation types, showing either modest increase
in parasympathetic activity, or increased heart rate variability with similar
contribution of parasympathetic and sympathetic activity. CONCLUSION: The results
demonstrate changes of cardiac autonomic control in both active and control
stimulation, without evidence for a significant difference between the two.
PMID- 29795545
TI - Early manifestation of spasticity after first stroke in the territory of the
internal carotid artery: A prospective multicenter study.
AB - AIMS: The main aim of this study was to provide an estimate of the incidence and
prevalence of spasticity following stroke in the internal carotid artery
territory for Regional Stroke Centers in the Czech Republic. A secondary goal was
to identify predictors for the development of spasticity. METHODS: In a
prospective cohort study, 256 consecutive patients with clinical signs of central
paresis due to a first-ever stroke were examined in the acute stage. All patients
had primary stroke of carotid origin and paresis of the upper and/or lower limb
for longer than 7 days after stroke onset. All were examined between 7-10 days
after the stroke. We evaluated the degree and pattern of paresis, spasticity
using the Modified Ashworth scale and the Barthel Index, baseline characteristics
and demographic data. RESULTS: Of 256 patients (157 males; mean age 69.9+/-12.4
years), 115 (44.9%) patients developed spasticity during the first 10 days after
stroke onset. Eighty-three (32.5%) patients presented with mild neurological
deficit (modified Rankin Scale 0 - 2) and 69 (27.0%) patients were bedridden.
CONCLUSION: Spasticity was noted in 44.9% patients with neurological deficit due
to first-ever stroke in the carotid territory in the first 10 days after stroke
onset. Severe spasticity was rare.
PMID- 29795546
TI - Unsolved mysteries: How does lipid peroxidation cause ferroptosis?
AB - Ferroptosis is a cell death process driven by damage to cell membranes and linked
to numerous human diseases. Ferroptosis is caused by loss of activity of the key
enzyme that is tasked with repairing oxidative damage to cell membranes
glutathione peroxidase 4 (GPX4). GPX4 normally removes the dangerous products of
iron-dependent lipid peroxidation, protecting cell membranes from this type of
damage; when GPX4 fails, ferroptosis ensues. Ferroptosis is distinct from
apoptosis, necroptosis, necrosis, and other modes of cell death. Several key
mysteries regarding how cells die during ferroptosis remain unsolved. First, the
drivers of lipid peroxidation are not yet clear. Second, the subcellular location
of lethal lipid peroxides remains an outstanding question. Finally, how exactly
lipid peroxidation leads to cell death is an unsolved mystery. Answers to these
questions will provide insights into the mechanisms of ferroptotic cell death and
associated human diseases, as well as new therapeutic strategies for such
diseases.
PMID- 29795548
TI - Design of optimal nonlinear network controllers for Alzheimer's disease.
AB - Brain stimulation can modulate the activity of neural circuits impaired by
Alzheimer's disease (AD), having promising clinical benefit. However, all
individuals with the same condition currently receive identical brain
stimulation, with limited theoretical basis for this generic approach. In this
study, we introduce a control theory framework for obtaining exogenous signals
that revert pathological electroencephalographic activity in AD at a minimal
energetic cost, while reflecting patients' biological variability. We used
anatomical networks obtained from diffusion magnetic resonance images acquired by
the Alzheimer's Disease Neuroimaging Initiative (ADNI) as mediators for the
interaction between Duffing oscillators. The nonlinear nature of the brain
dynamics is preserved, given that we extend the so-called state-dependent Riccati
equation control to reflect the stimulation objective in the high-dimensional
neural system. By considering nonlinearities in our model, we identified regions
for which control inputs fail to correct abnormal activity. There are changes to
the way stimulated regions are ranked in terms of the energetic cost of
controlling the entire network, from a linear to a nonlinear approach. We also
found that limbic system and basal ganglia structures constitute the top target
locations for stimulation in AD. Patients with highly integrated anatomical
networks-namely, networks having low average shortest path length, high global
efficiency-are the most suitable candidates for the propagation of stimuli and
consequent success on the control task. Other diseases associated with
alterations in brain dynamics and the self-control mechanisms of the brain can be
addressed through our framework.
PMID- 29795547
TI - Yeast heterochromatin regulators Sir2 and Sir3 act directly at euchromatic DNA
replication origins.
AB - Most active DNA replication origins are found within euchromatin, while origins
within heterochromatin are often inactive or inhibited. In yeast, origin activity
within heterochromatin is negatively controlled by the histone H4K16 deacetylase,
Sir2, and at some heterochromatic loci also by the nucleosome binding protein,
Sir3. The prevailing view has been that direct functions of Sir2 and Sir3 are
confined to heterochromatin. However, growth defects in yeast mutants compromised
for loading the MCM helicase, such as cdc6-4, are suppressed by deletion of
either SIR2 or SIR3. While these and other observations indicate that SIR2,3 can
have a negative impact on at least some euchromatic origins, the genomic scale of
this effect was unknown. It was also unknown whether this suppression resulted
from direct functions of Sir2,3 within euchromatin, or was an indirect effect of
their previously established roles within heterochromatin. Using MCM ChIP-Seq, we
show that a SIR2 deletion rescued MCM complex loading at ~80% of euchromatic
origins in cdc6-4 cells. Therefore, Sir2 exhibited a pervasive effect at the
majority of euchromatic origins. Using MNase-H4K16ac ChIP-Seq, we show that
origin-adjacent nucleosomes were depleted for H4K16 acetylation in a SIR2
dependent manner in wild type (i.e. CDC6) cells. In addition, we present evidence
that both Sir2 and Sir3 bound to nucleosomes adjacent to euchromatic origins. The
relative levels of each of these molecular hallmarks of yeast heterochromatin
SIR2-dependent H4K16 hypoacetylation, Sir2, and Sir3 -correlated with how
strongly a SIR2 deletion suppressed the MCM loading defect in cdc6-4 cells.
Finally, a screen for histone H3 and H4 mutants that could suppress the cdc6-4
growth defect identified amino acids that map to a surface of the nucleosome
important for Sir3 binding. We conclude that heterochromatin proteins directly
modify the local chromatin environment of euchromatic DNA replication origins.
PMID- 29795549
TI - Variability in pulmonary vein electrophysiology and fibrosis determines
arrhythmia susceptibility and dynamics.
AB - Success rates for catheter ablation of persistent atrial fibrillation patients
are currently low; however, there is a subset of patients for whom electrical
isolation of the pulmonary veins alone is a successful treatment strategy. It is
difficult to identify these patients because there are a multitude of factors
affecting arrhythmia susceptibility and maintenance, and the individual
contributions of these factors are difficult to determine clinically. We
hypothesised that the combination of pulmonary vein (PV) electrophysiology and
atrial body fibrosis determine driver location and effectiveness of pulmonary
vein isolation (PVI). We used bilayer biatrial computer models based on patient
geometries to investigate the effects of PV properties and atrial fibrosis on
arrhythmia inducibility, maintenance mechanisms, and the outcome of PVI. Short PV
action potential duration (APD) increased arrhythmia susceptibility, while longer
PV APD was found to be protective. Arrhythmia inducibility increased with slower
conduction velocity (CV) at the LA/PV junction, but not for cases with
homogeneous CV changes or slower CV at the distal PV. Phase singularity (PS)
density in the PV region for cases with PV fibrosis was increased. Arrhythmia
dynamics depend on both PV properties and fibrosis distribution, varying from
meandering rotors to PV reentry (in cases with baseline or long APD), to stable
rotors at regions of high fibrosis density. Measurement of fibrosis and PV
properties may indicate patient specific susceptibility to AF initiation and
maintenance. PV PS density before PVI was higher for cases in which AF terminated
or converted to a macroreentry; thus, high PV PS density may indicate likelihood
of PVI success.
PMID- 29795550
TI - Metabolomics analysis of Lactobacillus plantarum ATCC 14917 adhesion activity
under initial acid and alkali stress.
AB - The adhesion ability of Lactobacillus plantarum affects retention time in the
human gastro-intestinal tract, as well as influencing the interaction with their
host. In this study, the relationship between the adhesion activity of, and
metabolic changes in, L. plantarum ATCC 14917 under initial acid and alkali
stress was evaluated by analyzing auto-aggregation, protein adhesion and cell
adhesion in vitro. Based on scanning electron microscope (SEM) and transmission
electron microscope (TEM) analysis, the morphology of the bacteria became
thickset and the thickness of their cell walls decreased under initial alkali
stress. The fold changes of auto-aggregation, adhere to mucin and HT-29 cell
lines of L. plantarum ATCC 14917 in the acid group were increased by 1.141, 1.125
and 1.156, respectively. But decreased significantly in the alkali group (fold
changes with 0.842, 0.728 and 0.667). Adhesion-related protein increased in the
acid group but declined in the alkali group at the mRNA expression level
according to real time polymerase chain reaction (RT-PCR) analysis. The changes
in the metabolite profiles of L. plantarum ATCC 14917 were characterized using
Ultra-Performance Liquid Chromatography-Electrospray ionization-Quadrupole-Time
of Flight-mass spectrometry (UPLS-ESI-Q-TOF-MS). In the alkali group, the content
of a lot of substances involved in the energy and amino acid metabolism
decreased, but the content of some substances involved in the energy metabolism
was slightly increased in the acid group. These findings demonstrate that energy
metabolism is positively correlated with the adhesion ability of L. plantarum
ATCC 14917. The amino-acids metabolism, especially the amino acids related to pH
homeostasis mechanisms (lysine, aspartic acid, arginine, proline and glutamic
acid), showed an obvious effect on the adhesion ability of L. plantarum ATCC
14917. This investigation provides a better understanding of L. plantarum's
adhesion mechanisms under initial pH stress.
PMID- 29795551
TI - "Rapid impact" 10 years after: The first "decade" (2006-2016) of integrated
neglected tropical disease control.
PMID- 29795552
TI - Coordinated regulation of core and accessory genes in the multipartite genome of
Sinorhizobium fredii.
AB - Prokaryotes benefit from having accessory genes, but it is unclear how accessory
genes can be linked with the core regulatory network when developing adaptations
to new niches. Here we determined hierarchical core/accessory subsets in the
multipartite pangenome (composed of genes from the chromosome, chromid and
plasmids) of the soybean microsymbiont Sinorhizobium fredii by comparing twelve
Sinorhizobium genomes. Transcriptomes of two S. fredii strains at mid-log and
stationary growth phases and in symbiotic conditions were obtained. The average
level of gene expression, variation of expression between different conditions,
and gene connectivity within the co-expression network were positively correlated
with the gene conservation level from strain-specific accessory genes to genus
core. Condition-dependent transcriptomes exhibited adaptive transcriptional
changes in pangenome subsets shared by the two strains, while strain-dependent
transcriptomes were enriched with accessory genes on the chromid. Proportionally
more chromid genes than plasmid genes were co-expressed with chromosomal genes,
while plasmid genes had a higher within-replicon connectivity in expression than
chromid ones. However, key nitrogen fixation genes on the symbiosis plasmid were
characterized by high connectivity in both within- and between-replicon analyses.
Among those genes with host-specific upregulation patterns, chromosomal znu and
mdt operons, encoding a conserved high-affinity zinc transporter and an accessory
multi-drug efflux system, respectively, were experimentally demonstrated to be
involved in host-specific symbiotic adaptation. These findings highlight the
importance of integrative regulation of hierarchical core/accessory components in
the multipartite genome of bacteria during niche adaptation and in shaping the
prokaryotic pangenome in the long run.
PMID- 29795553
TI - Chromosome 1 licenses chromosome 2 replication in Vibrio cholerae by doubling the
crtS gene dosage.
AB - Initiation of chromosome replication in bacteria is precisely timed in the cell
cycle. Bacteria that harbor multiple chromosomes face the additional challenge of
orchestrating replication initiation of different chromosomes. In Vibrio
cholerae, the smaller of its two chromosomes, Chr2, initiates replication after
Chr1 such that both chromosomes terminate replication synchronously. The delay is
due to the dependence of Chr2 initiation on the replication of a site, crtS, on
Chr1. The mechanism by which replication of crtS allows Chr2 replication remains
unclear. Here, we show that blocking Chr1 replication indeed blocks Chr2
replication, but providing an extra crtS copy in replication-blocked Chr1
permitted Chr2 replication. This demonstrates that unreplicated crtS copies have
significant activity, and suggests that a role of replication is to double the
copy number of the site that sufficiently increases its activity for licensing
Chr2 replication. We further show that crtS activity promotes the Chr2-specific
initiator function and that this activity is required in every cell cycle, as
would be expected of a cell-cycle regulator. This study reveals how increase of
gene dosage through replication can be utilized in a critical regulatory switch.
PMID- 29795554
TI - Who is research serving? A systematic realist review of circumpolar environment
related Indigenous health literature.
AB - BACKGROUND: Addressing factors leading to health disparities in the Circumpolar
North require approaches that consider and address the social determinants of
health including on-going colonization. Today, colonization and related policies
and processes, continue to manifest in the marginalization of Indigenous
knowledge, particularly its use in research; however, Indigenous populations have
moved from being research subjects to leaders and consumers of environmental
health research. Given the tensions that exist between how health research is
conducted, how the results are mobilized, and who has control and access to the
results, we examine how peer-reviewed environment-related Indigenous health
research in the Circumpolar North is serving the needs of Indigenous communities,
governments, and organizations. METHODS: A modified systematic-realist literature
review was conducted. Three databases were searched for peer-reviewed literature
published from 2000 to 2015. Articles were included if the research focused on
the intersection of the environment and health in Northern Canada and/or Alaska.
A total of 960 unique records were screened for relevance, and 210 articles were
analysed. RESULTS: Of these relevant articles, 19% discussed how Indigenous
peoples were engaged in the research. There was a significant increase in
reporting participatory, community-based methods over time; the proportion of
articles reporting community-engagement varied by research topic; quantitative
research articles were significantly less likely to report community-engaged
methods; and most articles did not clearly report how the results were shared
with the community. CONCLUSION: The results raise a number of questions for the
field of Circumpolar environment-related Indigenous health research, including
whether or how authors of peer-reviewed literature should (or should not) be
obliged to describe how research is serving Northern Indigenous communities. The
results are intended to stimulate further conversations and bridge perceived
dichotomies of quantitative/qualitative, Western/Indigenous, and
empirical/community driven research approaches, as well as underlying assumptions
that frame health research.
PMID- 29795555
TI - MOF influences meiotic expansion of H2AX phosphorylation and spermatogenesis in
mice.
AB - Three waves of H2AX phosphorylation (gammaH2AX) have been observed in male
meiotic prophase I: the first is ATM-dependent and occurs at leptonema, while the
second and third are ATR-dependent, occuring at zygonema and pachynema,
respectively. The third wave of H2AX phosphorylation marks and silences
unsynapsed chromosomes. Little is known about H2AX phosphorylation expands to
chromatin-wide regions in spermatocytes. Here, we report that histone
acetyltransferase (HAT) MOF is involved in all three waves of H2AX
phosphorylation expansion. Germ cell-specific deletion of Mof in spermatocytes by
Stra8-Cre (Mof cKO) caused global loss of H4K16ac. In leptotene and zygotene
spermatocytes of cKO mice, the gammaH2AX signals were observed only along the
chromosomal axes, and chromatin-wide H2AX phosphorylation was lost. In almost 40%
of early-mid pachytene spermatocytes from Mof cKO mice, gammaH2AX and MDC1 were
detected along the unsynapsed axes of the sex chromosomes, but failed to expand,
which consequently caused meiotic sex chromosome inactivation (MSCI) failure.
Furthermore, though RAD51 was proficiently recruited to double-strand break (DSB)
sites, defects in DSB repair and crossover formation were observed in Mof cKO
spermatocytes, indicating that MOF facilitates meiotic DSB repair after RAD51
recruitment. We propose that MOF regulates male meiosis and is involved in the
expansion of all three waves of H2AX phosphorylation from the leptotene to
pachytene stages, initiated by ATM and ATR, respectively.
PMID- 29795556
TI - Ancestry-specific recent effective population size in the Americas.
AB - Populations change in size over time due to factors such as population growth,
migration, bottleneck events, natural disasters, and disease. The historical
effective size of a population affects the power and resolution of genetic
association studies. For admixed populations, it is not only the overall
effective population size that is of interest, but also the effective sizes of
the component ancestral populations. We use identity by descent and local
ancestry inferred from genome-wide genetic data to estimate overall and ancestry
specific effective population size during the past hundred generations for nine
admixed American populations from the Hispanic Community Health Study/Study of
Latinos, and for African-American and European-American populations from two US
cities. In these populations, the estimated pre-admixture effective sizes of the
ancestral populations vary by sampled population, suggesting that the ancestors
of different sampled populations were drawn from different sub-populations. In
addition, we estimate that overall effective population sizes dropped
substantially in the generations immediately after the commencement of European
and African immigration, reaching a minimum around 12 generations ago, but
rebounded within a small number of generations afterwards. Of the populations
that we considered, the population of individuals originating from Puerto Rico
has the smallest bottleneck size of one thousand, while the Pittsburgh African
American population has the largest bottleneck size of two hundred thousand.
PMID- 29795557
TI - Patterns of livestock depredation by tiger (Panthera tigris) and leopard
(Panthera pardus) in and around Corbett Tiger Reserve, Uttarakhand, India.
AB - India with estimated more than 2000 tigers (across 18 states) accounts for more
than half of the remaining tigers across its range countries. Long-term
conservation requires measures to protect the large carnivores and its prey base
beyond the Protect Areas. The Corbett Tiger Reserve (CTR) and adjoining forest
divisions with high density of tigers play a crucial role in conservation of
tiger in Uttarakhand state as well as the Terai-Arc Landscape. However, CTR is
surrounded with multiple-use forest (forest divisions), agriculture land, human
habitation, townships and developmental projects. The movement of large
carnivores and other wildlife through such habitats adds to the chances of human
wildlife conflict. The aim of the current study was to understand the patterns of
livestock depredation by tigers and leopards in and around CTR. We examined a
total of 8365 incidents of livestock depredation between 2006 and 2015 with
tigers killing more livestock in a year (573.3+/-41.2) than leopards (263.2+/
9.9). Geographically, in north zone of CTR leopards were the major livestock
predator (166.6+/-11), whereas tigers (547.7+/-40.1) in south zone. Examination
of livestock kills indicated cows (75%) as the main victim, followed by buffaloes
and other species. Analysis revealed that the livestock depredation by tigers
varied significantly among seasons in south zone but not in north zone. However,
such an explicit seasonal variation was not observed for leopards in north and
south zone of CTR. Hotspots of livestock predation were identified around CTR.
Addressing a conflict situation in a time-bound manner, timely disbursement of ex
gratia payment, involving locals at various tourism related activities and
consistent rapport building initiatives are required to mitigate the human
wildlife conflict.
PMID- 29795558
TI - Homozygous deletion of both GSTM1 and GSTT1 genes is associated with higher CD4+
T cell counts in Ghanaian HIV patients.
AB - Glutathione S-transferase (GST) family of enzymes are involved in a two-stage
detoxification process of a wide range of environmental toxins, carcinogens and
xenobiotics. The GST enzymes play important roles in oxidative stress pathways,
and polymorphisms in the GSTM1 and GSTT1 genes mediate susceptibility and outcome
in different diseases. Human immunodeficiency virus (HIV) infection is associated
with oxidative stress, but there is limited data on the frequency of deleted
GSTM1 and GSTT1 genes in HIV/AIDS patients and their effect on progression among
Ghanaians. This study sought to investigate the association between homozygous
deletion of GSTM1 and GSTT1 genes (both null deletion) with HIV/AIDS disease
progression in Ghanaian patients. HIV-infected individuals on antiretroviral
therapy (ART), ART-naive HIV patients, and HIV seronegative individuals were
recruited for the study. HIV/AIDS disease progression was assessed by measuring
CD4+ cell count and viral load of the patients, and GST polymorphism was
determined by amplifying the GSTT1 and GSTM1 genes using multiplex PCR, with
CYP1A1 gene as an internal control. The mean CD4+ count of patients that were
naive to ART (298 +/- 243 cells/mm3) was significantly lower than that of
patients on ART (604 +/- 294 cells/mm3), and viral load was significantly lower
in the ART-experienced group (30379 +/- 15073 copies/mm3) compared to the ART
naive group (209882 +/- 75045 copies/mm3). Frequencies of GSTM1 and GSTT1
deletions were shown to be 21.9% and 19.8%, respectively, in the HIV patients,
and patients with homozygous deletion of both GSTM1 and GSTT1 were more likely to
have their CD4+ count rising above 350 cells/mm3 (OR = 6.44, 95% CI = 0.81-51.49,
p = 0.039) suggesting that patients with homozygous deletion of GSTM1 and GSTT1
genes have slower disease progression. The findings of this study show that
double deletion of glutathione S-transferases M1 and T1 is statistically
associated with normal CD4+ count in patients diagnosed with HIV/AIDS. Further
study is required to investigate the clinical importance of the both null
deletion in HIV patients.
PMID- 29795559
TI - Association between serum albumin level and incidence of end-stage renal disease
in patients with Immunoglobulin A nephropathy: A possible role of albumin as an
antioxidant agent.
AB - Serum albumin is the major intravascular antioxidant. Though oxidative stress
plays an important role in the pathophysiology of Immunoglobulin A nephropathy
(IgAN), the association between serum albumin and the progression of IgAN is not
entirely understood. This retrospective cohort study of 1,352 participants with
biopsy-proven IgAN determined the associations between serum albumin level and
the incidence of end-stage renal disease (ESRD) using a Cox proportional hazards
model. Patients were divided into three groups by tertiles of serum albumin
level: Low, Middle, and High group (<=3.9 g/dL, 4.0-4.3 g/dL, >=4.4 g/dL,
respectively). During the median 5.1-year follow-up period, 152 patients (11.2%)
developed ESRD. Participants in the Low group had a 1.88-fold increased risk for
ESRD compared with those in the High group after adjustment for clinical
parameters, including urinary protein excretion, and pathological parameters
(Oxford classification). We also experimentally proved the antioxidant capacity
of albumin on mesangial cells. The intracellular reactive oxygen species and
mitochondrial injury, induced by hydrogen peroxide were significantly attenuated
in albumin-pretreated mouse mesangial cells and human kidney cells compared with
gamma-globulin-pretreated cells. Low serum albumin level is an independent risk
factor for ESRD in patients with IgAN. The mechanism could be explained by the
antioxidant capacity of serum albumin.
PMID- 29795560
TI - Zika virus infection in the Veterans Health Administration (VHA), 2015-2016.
AB - BACKGROUND: Zika virus (ZIKV) is an important flavivirus infection. Although ZIKV
infection is rarely fatal, risk for severe disease in adults is not well
described. Our objective was to describe the spectrum of illness in U.S. Veterans
with ZIKV infection. METHODOLOGY: Case series study including patients with
laboratory-confirmed or presumed positive ZIKV infection in all Veterans Health
Administration (VHA) medical centers. Adjusted odds ratios of clinical variables
associated with hospitalization and neurologic complications was performed.
PRINCIPAL FINDINGS: Of 1,538 patients tested between 12/2015-10/2016 and observed
through 3/2017, 736 (48%) were RT-PCR or confirmed IgM positive; 655 (89%) were
male, and 683 (93%) from VA Caribbean Healthcare System (VACHCS). Ninety-four
(13%) were hospitalized, 91 (12%) in the VACHCS. Nineteen (3%) died after ZIKV
infection. Hospitalization was associated with increased Charlson co-morbidity
index (adjusted odds ratio [OR] 1.2; 95% confidence interval [CI], 1.1-1.3),
underlying connective tissue disease (OR, 29.5; CI, 3.6-244.7), congestive heart
failure (OR, 6; CI, 2-18.5), dementia (OR, 3.6; CI, 1.1-11.2), neurologic symptom
presentation (OR, 3.9; CI, 1.7-9.2), leukocytosis (OR, 11.8; CI, 4.5-31),
thrombocytopenia (OR, 7.8; CI, 3.3-18.6), acute kidney injury (OR, 28.9; CI, 5.8
145.1), or using glucocorticoids within 30 days of testing (OR, 13.3; CI 1.3
133). Patients presenting with rash were less likely to be hospitalized (OR,
0.29; CI, 0.13-0.66). Risk for neurologic complications increased with
hospitalization (OR, 5.9; CI 2.9-12.2), cerebrovascular disease (OR 4.9; CI 1.7
14.4), and dementia (OR 2.8; CI 1.2-6.6). CONCLUSION: Older Veterans with
multiple comorbidities or presenting with neurologic symptoms were at increased
risk for hospitalization and neurological complications after ZIKV infection.
PMID- 29795561
TI - Association of serum high-mobility group box protein 1 level with outcomes of
acute exacerbation of idiopathic pulmonary fibrosis and fibrosing nonspecific
interstitial pneumonia.
AB - BACKGROUND AND OBJECTIVE: High-mobility group box 1 (HMGB1) protein is important
in acute lung injury. However, the role of HMGB-1 in acute exacerbation of
fibrosing interstitial pneumonia (AE-FIP) has not been adequately studied.
METHODS: We prospectively measured serum HMGB1 level from disease onset to day 7
in 36 patients with AE-FIP6 patients had missing data because of early death
(within 7 days). We then examined the association of HMGB1 level and outcome, and
the associations of rhTM with HMGB1 level and outcome in 19 patients who were
treated with rhTM (rhTM group) and 11 patients who were not (control group).
RESULTS: Data from 36 AE-FIP patients (mean age, 73.5+/-6.7years) were analyzed.
Serum HMGB1 level was significantly higher in patients with AE-FIP than in those
with stable idiopathic pulmonary fibrosis (16.4+/-13.5 vs 5.7+/-2.6 ng/ml,
respectively; p = 0.003). HMGB1 was significantly lower on day 7 than at AE-FIP
onset in survivors (6.5+/-4.8 vs 14.7+/-12.9 ng/ml, respectively; p = 0.02) but
not in nonsurvivors (14.6+/-10.5 vs 9.2+/-4.8 ng/ml, respectively; p = 0.08).
Although HMGB1 level at day 7 was significantly lower after rhTM treatment than
at AE-FIP onset (8.4+/-6.1 vs 15.2+/-12.5 ng/ml, respectively; p = 0.02), it did
not significantly decrease in patients receiving treatments other than rhTM
(11.3+/-11.3 vs 8.3+/-5.3 ng/ml, respectively; p = 0.37). Three-month survival
was 60.0% in the rhTM group and 36.4% in the control group (p = 0.449). In
multivariate analysis, a decrease in HMGB1 was a significant independent
predictor of 3-month survival (Odds ratio, 12.4; p = 0.007). CONCLUSION: rhTM
lowers serum HMGB1 level and may improve survival after AE-FIP. HMGB1 may be a
promising therapeutic target for AE-FIP.
PMID- 29795562
TI - Dynamics of soil nitrogen fractions and their relationship with soil microbial
communities in two forest species of northern China.
AB - Microbially-mediated soil N mineralization and transformation are crucial to
plant growth. However, changes in soil microbial groups and various N components
are not clearly understood. To explore the relationship between soil N components
and microbial communities, we conducted an in-situ experiment on two typically
planted forest species, namely, Sibirica Apricot (SA) and Prunus davidiana Franch
(PdF) by using closed-top polyvinyl chloride tubes. Changes in soil inorganic N,
organic N (ON) fractions, and levels of microbial phospholipid fatty acids
(PLFAs) were measured bimonthly from April 2012 to April 2013. Microbial PLFAs
and the concentrations of easily-available microbial biomass N (MBN; ~60 mg kg
1), soluble ON (SON; ~20 mg kg-1), and inorganic N were similar between the two
soils whereas the ON (~900 mg kg-1) and its major part total acid-hydrolyzable N
(HTN; ~500 mg kg-1), were significantly different (p < 0.05) in most months (5/6
and 4/6; respectively). The canonical correlation analysis of soil N fractions
and microbial parameters indicated that the relationship between total PLFAs
(total biomass of living cells) and NH4+-N was the most representative. The
relative contributions (indicated by the absolute value of canonical coefficient)
of NH4+-N were the largest, followed by NO3--N and MBN. For the HTN component,
the relative percentage of hydrolyzable amino acid N and ammonium N decreased
markedly in the first half of the year. Canonical variation mainly reflected the
relationship between ammonium N and bacterial PLFAs, which were the most
sensitive indicators related to soil N changes. The relative contributions of HTN
components to the link between soil microbial groups and HTN components were
ammonium N > amino acid N > amino sugar N. Observations from our study indicate
the sensitivity of soil N mineralization indicators in relation to the temporal
variation of soil microbial groups and N fractions.
PMID- 29795563
TI - Psychometric evaluation of the Chinese version of the fear of intimacy with
helping professionals scale.
AB - OBJECTIVES: This study aimed to evaluate the internal consistency, reliability,
convergent validity, known-group comparisons, and structural validity of the
Chinese version of Fear of Intimacy with Helping Professionals (C-FIS-HP) scale
in Macau. METHODS: A cross-sectional design was used on a sample of 593 older
people in 6 health centers. We used Chinese version of Exercise of Self-Care
Agency Scale (C-ESCAS) and Morisky 4-item medication adherence scale to evaluate
self-care actions and medication adherence. The internal consistency and
reliability of C-FIS-HP were analyzed using the Spearman-Brown split-half
reliability, Cronbach's alpha, and test-retest reliability. Convergent validity
was tested the construct of C-FIS-HP and self-care actions. Known-group
comparisons differentiated predefined groups in an expected direction. Two
separated samples were used to test the structural validity. An exploratory
factor analysis (EFA) tested the factor structure of C-FISHP using the principal
axis factoring. A confirmatory factor analysis (CFA) was further conducted to
confirm the factor structure constructed in the prior EFA. RESULTS: The C-FIS-HP
had a Spearman-Brown split-half coefficient, Cronbach's alpha, and intraclass
correlation coefficient of 0.96, 0.93, and 0.96, respectively. Convergent
validity was satisfactory with significantly correlations between the C-FIS-HP
and C-ESCAS. C-FIS-HP to differentiate the differences between high-, moderate-,
and low- medication adherence groups. EFA demonstrated a two-factor structure
among 297 older people. A first-order CFA was performed to confirm the construct
dimensionality of C-FIS-HP with satisfactory fit indices (NFI = 0.92; IFI = 0.95;
TLI = 0.94; CFI = 0.95 and RMSEA = 0.07) among 296 older people. CONCLUSIONS: C
FIS-HP is a reliable and valid test for assessing helping relationships in older
Chinese people. Health professionals can use C-FIS-HP as a clinical tool to
assess the comfort level of patients in a helping relationship, and use this
information to develop culturally sensitive therapeutic interventions and
treatment plans. Further studies need to be conducted concerning the different
psychometric properties, as well as the application of C-FIS-HP in various
regions.
PMID- 29795564
TI - Expression and clinical significance of survivin in ovarian cancer: A meta
analysis.
AB - To assess the clinicopathological significance of survivin in ovarian carcinoma
through this meta-analysis. PubMed, EMBASE, Web of Science, and The Cochrane
Library databases were searched for relevant studies published through September,
2017. Included studies reported the case-control study of surviving expression
with ovarian cancer and its clinicopathological characteristics. The quality
assessment was performed according to the Newcastle-Ottawa Scale (NOS) for
quality assessment of case-control studies. Statistical analysis was performed
with the software Stata 12.0. Twelve eligible studies with a total of 1097
patients were included in this meta-analysis. Survivin overexpression was closely
related to FIGO stage (I-II vs. III-IV) of ovarian carcinoma (odds ratio [OR] =
0.26,95% confidence interval [CI]:0.16,0.42),P<0.00001),tumor grade (G1-G2 vs.
G3) (OR = 0.29,95%CI(0.17, 0.51),P <0.0001), but was not significantly associated
with lymphatic metastasis (OR = 1.53, 95%CI(0.77, 3.03, P = 0.23),ascites (OR =
0.89,95%CI(0.39,2.05),P = 0.79). Our meta-analysis shows that survivin is
strongly associated with FIGO stage and tumor grade of ovarian carcinoma. Maybe
survivin is a novel clinicopathological marker of ovarian carcinoma.
PMID- 29795566
TI - Consensus criteria for the diagnosis of scabies: A Delphi study of international
experts.
AB - BACKGROUND: Scabies was added to the WHO Neglected Tropical Diseases portfolio in
2017, and further understanding of the disease burden is now required. There are
no uniformly accepted test methods or examination procedures for diagnosis, which
limits the interpretation of research and epidemiological findings. The
International Alliance for the Control of Scabies (IACS) designated harmonization
of diagnostic procedures as a priority for the development of a global control
strategy. Therefore, we aimed to develop consensus criteria for the diagnosis of
scabies. METHODOLOGY / PRINCIPAL FINDINGS: We conducted an iterative, consensus
(Delphi) study involving international experts in the diagnosis of scabies. Panel
members were recruited through expression of interest and targeted invitation of
experts. The Delphi study consisted of four rounds of anonymous surveys. Rounds 1
and 2 involved generation and ranking an extensive list of possible features. In
Rounds 3 and 4, participants were presented results from previous rounds and
indicated agreement with a series of draft criteria. Panel participants (n = 34,
range per Round 28-30) were predominantly highly experienced clinicians,
representing a range of clinical expertise and all inhabited continents. Based on
initial rounds, a draft set of criteria were developed, incorporating three
levels of diagnostic certainty-Confirmed Scabies, Clinical Scabies and Suspected
Scabies. Consensus was reached in Round 4, with a very high level of agreement (>
89%) for all levels of criteria and subcategories. Adoption of the criteria was
supported by 96% of panel members. CONCLUSIONS / SIGNIFICANCE: Consensus criteria
for scabies diagnosis were established with very high agreement. The 2018 IACS
Criteria for the Diagnosis of Scabies can be implemented for scabies research and
mapping projects, and for surveillance after control interventions. Validation of
the criteria is required.
PMID- 29795565
TI - Identification of alterations associated with age in the clustering structure of
functional brain networks.
AB - Initial studies using resting-state functional magnetic resonance imaging on the
trajectories of the brain network from childhood to adulthood found evidence of
functional integration and segregation over time. The comprehension of how
healthy individuals' functional integration and segregation occur is crucial to
enhance our understanding of possible deviations that may lead to brain
disorders. Recent approaches have focused on the framework wherein the functional
brain network is organized into spatially distributed modules that have been
associated with specific cognitive functions. Here, we tested the hypothesis that
the clustering structure of brain networks evolves during development. To address
this hypothesis, we defined a measure of how well a brain region is clustered
(network fitness index), and developed a method to evaluate its association with
age. Then, we applied this method to a functional magnetic resonance imaging data
set composed of 397 males under 31 years of age collected as part of the Autism
Brain Imaging Data Exchange Consortium. As results, we identified two brain
regions for which the clustering change over time, namely, the left middle
temporal gyrus and the left putamen. Since the network fitness index is
associated with both integration and segregation, our finding suggests that the
identified brain region plays a role in the development of brain systems.
PMID- 29795568
TI - Land-based crop phenotyping by image analysis: Accurate estimation of canopy
height distributions using stereo images.
AB - In this paper we report on an automated procedure to capture and characterize the
detailed structure of a crop canopy by means of stereo imaging. We focus
attention specifically on the detailed characteristic of canopy height
distribution-canopy shoot area as a function of height-which can provide an
elaborate picture of canopy growth and health under a given set of conditions. We
apply the method to a wheat field trial involving ten Australian wheat varieties
that were subjected to two different fertilizer treatments. A novel camera self
calibration approach is proposed which allows the determination of quantitative
plant canopy height data (as well as other valuable phenotypic information) by
stereo matching. Utilizing the canopy height distribution to provide a measure of
canopy height, the results compare favourably with manual measurements of canopy
height (resulting in an R2 value of 0.92), and are indeed shown to be more
consistent. By comparing canopy height distributions of different varieties and
different treatments, the methodology shows that different varieties subjected to
the same treatment, and the same variety subjected to different treatments can
respond in much more distinctive and quantifiable ways within their respective
canopies than can be captured by a simple trait measure such as overall canopy
height.
PMID- 29795567
TI - Neonatal and maternal serum creatinine levels during the early postnatal period
in preterm and term infants.
AB - We investigated the relationship of neonatal and maternal serum creatinine (nSCr
and mSCr, respectively) with various maternal/infant characteristics at different
gestational ages (GA). We reviewed medical records of neonates admitted to NICU.
We collected data on birth weight, GA, Apgar scores, medications, etc. Spearman's
test was used to analyze the correlation between serum creatinine and continuous
variables, and the Mann-Whitney U and Kruskal-Wallis tests for continuous
variables between groups. The changes in nSCr, mSCr, and nSCr/mSCr ratio because
of gestational age and the points in gestational changes in trends were estimated
using joinpoint trend analysis. From 614 neonate and mother pairs, we found that
nSCr was significantly correlated with GA. However, mSCr at >28 wks decreased
with GA. The nSCr/mSCr ratio was correlated with GA. In infants born <29 weeks,
pregnancy-induced hypertension (PIH) (p = 0.000, beta = 0.20) and mSCr (p =
0.000, beta = 0.73) were significantly associated with nSCr. In term infants,
maternal magnesium administration (p = 0.000, beta = 0.25), respiratory distress
syndrome (p = 0.013, beta = 0.16), PIH (p = 0.005, beta = 0.19), and mSCr (p =
0.000, beta = 0.33) were significantly associated with nSCr. nSCr reflected mSCr
at all gestational ages. The correlation between nSCr and mSCr in preterm infants
(p = 0.000, beta = 0.74) was stronger than in term infants (p = 0.000, beta =
0.34).
PMID- 29795569
TI - Associations between sleep duration, sleep quality and diabetic retinopathy.
AB - BACKGROUND: Abnormal durations of sleep have been associated with risk of
diabetes. However, it is not clear if sleep duration is associated with diabetic
retinopathy (DR). METHODS: In a cross-sectional study, we included 1,231 (Malay,
n = 395; Indian, n = 836) adults (mean age 64.4 +/- 9.0 years, 50.4% female) with
diabetes from the second visit of two independent population-based cohort studies
(2011-15) in Singapore. Self-reported habitual sleep duration was categorized as
short (<6 h), normal (6<= h <8), and long (>=8 h). Questionnaires were
administered to detect risk of obstructive sleep apnea (OSA), excessive daytime
sleepiness, and insomnia, all of which may indicate poor quality of sleep. The
associations between sleep-related characteristics with moderate DR and vision
threatening DR (VTDR) were analysed using logistic regression models adjusted for
potential confounders. RESULTS: Prevalence of moderate DR and VTDR in the study
population were 10.5% and 6.3% respectively. The mean duration of sleep was 6.4
+/- 1.5 h. Compared to normal sleep duration, both short and long sleep durations
were associated with moderate DR with multivariable odds ratio (95% confidence
interval) of 1.73 (1.03-2.89) and 2.17 (1.28-3.66) respectively. Long sleep
duration (2.37 [1.16-4.89]), high risk of OSA (2.24 [1.09-4.75]), and excessive
daytime sleepiness (3.27 [1.02-10.30]) were separately associated with VTDR.
CONCLUSION: Sleep duration had a U-shaped association with moderate DR; long
sleep duration, excessive daytime sleepiness and high risk of OSA were positively
associated with VTDR.
PMID- 29795571
TI - The complex ecosystem in non small cell lung cancer invasion.
AB - Many tumors are characterized by genetic instability, producing an assortment of
genetic variants of tumor cells called subclones. These tumors and their
surrounding environments form complex multi-cellular ecosystems, where subclones
compete for resources and cooperate to perform multiple tasks, including cancer
invasion. Our recent empirical studies revealed existence of such distinct
phenotypes of cancer cells, leaders and followers, in lung cancer. These two
cellular subclones exchange a complex array of extracellular signals
demonstrating a symbiotic relationship at the cellular level. Here, we develop a
computational model of the microenvironment of the lung cancer ecosystem to
explore how the interactions between subclones can advance or inhibit invasion.
We found that, due to the complexity of the ecosystem, invasion may have very
different dynamics characterized by the different levels of aggressiveness. By
altering the signaling environment, we could alter the ecological relationship
between the cell types and the overall ecosystem development. Competition between
leader and follower cell populations (defined by the limited amount of
resources), positive feedback within the leader cell population (controlled by
the focal adhesion kinase and fibronectin signaling), and impact of the follower
cells to the leaders (represented by yet undetermined proliferation signal) all
had major effects on the outcome of the collective dynamics. Specifically, our
analysis revealed a class of tumors (defined by the strengths of fibronectin
signaling and competition) that are particularly sensitive to manipulations of
the signaling environment. These tumors can undergo irreversible changes to the
tumor ecosystem that outlast the manipulations of feedbacks and have a profound
impact on invasive potential. Our study predicts a complex division of labor
between cancer cell subclones and suggests new treatment strategies targeting
signaling within the tumor ecosystem.
PMID- 29795572
TI - A modified anthrax toxin-based enzyme-linked immunospot assay reveals robust T
cell responses in symptomatic and asymptomatic Ebola virus exposed individuals.
AB - BACKGROUND: Ebola virus (EBOV) caused more than 11,000 deaths during the 2013
2016 epidemic in West Africa without approved vaccines or immunotherapeutics.
Despite its high lethality in some individuals, EBOV infection can produce little
to no symptoms in others. A better understanding of the immune responses in
individuals who experienced minimally symptomatic and asymptomatic infection
could aid the development of more effective vaccines and antivirals against EBOV
and related filoviruses. METHODOLOGY/PRINCIPLE FINDINGS: Between August and
November 2017, blood samples were collected from 19 study participants in Lagos,
Nigeria, including 3 Ebola virus disease (EVD) survivors, 10 individuals with
documented close contact with symptomatic EVD patients, and 6 control healthcare
workers for a cross-sectional serosurvey and T cell analysis. The Lagos samples,
as well as archived serum collected from healthy individuals living in
surrounding areas of the 1976 Democratic Republic of Congo (DRC) epidemic, were
tested for EBOV IgG using commercial enzyme-linked immunosorbent assays (ELISAs)
and Western blots. We detected antibodies in 3 out of 3 Lagos survivors and
identified 2 seropositive individuals not known to have ever been infected. Of
the DRC samples tested, we detected antibodies in 9 out of 71 (12.7%). To
characterize the T cell responses in the Lagos samples, we developed an anthrax
toxin-based enzyme-linked immunospot (ELISPOT) assay. The seropositive
asymptomatic individuals had T cell responses against EBOV nucleoprotein, matrix
protein, and glycoprotein 1 that were stronger in magnitude compared to the
survivors. CONCLUSION/SIGNIFICANCE: Our data provide further evidence of EBOV
exposure in individuals without EVD-like illness and, for the first time,
demonstrate that these individuals have T cell responses that are stronger in
magnitude compared to severe cases. These findings suggest that T cell immunity
may protect against severe EVD, which has important implications for vaccine
development.
PMID- 29795570
TI - Insights into the genetic epidemiology of Crohn's and rare diseases in the
Ashkenazi Jewish population.
AB - As part of a broader collaborative network of exome sequencing studies, we
developed a jointly called data set of 5,685 Ashkenazi Jewish exomes. We make
publicly available a resource of site and allele frequencies, which should serve
as a reference for medical genetics in the Ashkenazim (hosted in part at
https://ibd.broadinstitute.org, also available in gnomAD at
http://gnomad.broadinstitute.org). We estimate that 34% of protein-coding alleles
present in the Ashkenazi Jewish population at frequencies greater than 0.2% are
significantly more frequent (mean 15-fold) than their maximum frequency observed
in other reference populations. Arising via a well-described founder effect
approximately 30 generations ago, this catalog of enriched alleles can contribute
to differences in genetic risk and overall prevalence of diseases between
populations. As validation we document 148 AJ enriched protein-altering alleles
that overlap with "pathogenic" ClinVar alleles (table available at
https://github.com/macarthur-lab/clinvar/blob/master/output/clinvar.tsv),
including those that account for 10-100 fold differences in prevalence between AJ
and non-AJ populations of some rare diseases, especially recessive conditions,
including Gaucher disease (GBA, p.Asn409Ser, 8-fold enrichment); Canavan disease
(ASPA, p.Glu285Ala, 12-fold enrichment); and Tay-Sachs disease (HEXA,
c.1421+1G>C, 27-fold enrichment; p.Tyr427IlefsTer5, 12-fold enrichment). We next
sought to use this catalog, of well-established relevance to Mendelian disease,
to explore Crohn's disease, a common disease with an estimated two to four-fold
excess prevalence in AJ. We specifically attempt to evaluate whether strong
acting rare alleles, particularly protein-truncating or otherwise large effect
size alleles, enriched by the same founder-effect, contribute excess genetic risk
to Crohn's disease in AJ, and find that ten rare genetic risk factors in NOD2 and
LRRK2 are enriched in AJ (p < 0.005), including several novel contributing
alleles, show evidence of association to CD. Independently, we find that
genomewide common variant risk defined by GWAS shows a strong difference between
AJ and non-AJ European control population samples (0.97 s.d. higher, p<10-16).
Taken together, the results suggest coordinated selection in AJ population for
higher CD risk alleles in general. The results and approach illustrate the value
of exome sequencing data in case-control studies along with reference data sets
like ExAC (sites VCF available via FTP at
ftp.broadinstitute.org/pub/ExAC_release/release0.3/) to pinpoint genetic
variation that contributes to variable disease predisposition across populations.
PMID- 29795573
TI - Altered levels of memory T cell subsets and common gammac cytokines in
Strongyloides stercoralis infection and partial reversal following anthelmintic
treatment.
AB - BACKGROUND: CD4+ and CD8+ T cells are central players in immunity to helminth
infections. However, the role of T cell subsets in human helminth infections is
not well understood. In addition, the common gammac cytokines, IL-2, IL-4, IL-7,
IL-9 and IL-15 play an important role in the maintenance of these CD4+ and CD8+ T
cell subsets. METHODS: To examine the major T cell subsets and their association
with the common gammac cytokines, the absolute numbers of CD4+ and CD8+ naive,
central memory, effector memory and effector cells and the plasma levels of IL-2,
IL-4, IL-7, IL-9 and IL-15 were measured in Strongyloides stercoralis (Ss)
infected (INF, n = 60), helminth-uninfected (UN, n = 58) and in post treatment
INF individuals. RESULTS: Ss infection is characterized by significantly
increased absolute numbers of naive and decreased absolute numbers of central and
effector memory CD4+ T cells in comparison to UN individuals. No significant
difference in the numbers of CD8+ T cell subsets was observed between the groups.
The numbers of naive cells and central memory CD4+ T cells were significantly
reversed after anthelmintic treatment. Circulating levels of IL-2, IL-7 and IL-15
were significantly diminished, whereas the levels of IL-4 and IL-9 were
significantly increased in INF compared to UN individuals. Following
anthelminthic treatment, IL-2, IL-7 and IL-15 levels were significantly
increased, while IL-4 and IL-9 levels were significantly decreased. Our data also
showed a significant positive correlation between the levels of IL-7 and the
numbers of central and effector memory CD4+ T cells. CONCLUSION: Ss infection is
characterized by alterations in the absolute numbers of CD4+ T cell subsets and
altered levels of common gammac cytokines IL-2, IL-4, IL-7, IL-9 and IL-15;
alterations which are partially reversed after anthelmintic treatment.
PMID- 29795575
TI - A multi-level model of emerging technology: An empirical study of the evolution
of biotechnology from 1976 to 2003.
AB - In this paper, we develop an ecological, multi-level model that can be used to
study the evolution of emerging technology. More specifically, by defining
technology as a system composed of a set of interacting components, we can build
upon the argument of multi-level density dependence from organizational ecology
to develop a distribution-independent model of technological evolution. This
allows us to distinguish between different stages of component development, which
provides more insight into the emergence of stable component configurations, or
dominant designs. We validate our hypotheses in the biotechnology industry by
using patent data from the USPTO from 1976 to 2003.
PMID- 29795577
TI - A keyword searchable attribute-based encryption scheme with attribute update for
cloud storage.
AB - Ciphertext-policy attribute-based encryption (CP-ABE) scheme is a new type of
data encryption primitive, which is very suitable for data cloud storage for its
fine-grained access control. Keyword-based searchable encryption scheme enables
users to quickly find interesting data stored in the cloud server without
revealing any information of the searched keywords. In this work, we provide a
keyword searchable attribute-based encryption scheme with attribute update for
cloud storage, which is a combination of attribute-based encryption scheme and
keyword searchable encryption scheme. The new scheme supports the user's
attribute update, especially in our new scheme when a user's attribute need to be
updated, only the user's secret key related with the attribute need to be
updated, while other user's secret key and the ciphertexts related with this
attribute need not to be updated with the help of the cloud server. In addition,
we outsource the operation with high computation cost to cloud server to reduce
the user's computational burden. Moreover, our scheme is proven to be semantic
security against chosen ciphertext-policy and chosen plaintext attack in the
general bilinear group model. And our scheme is also proven to be semantic
security against chosen keyword attack under bilinear Diffie-Hellman (BDH)
assumption.
PMID- 29795574
TI - Immune reconstitution in ART treated, but not untreated HIV infection, is
associated with abnormal beta cell function.
AB - HIV infection has been associated with increased diabetes risk, but prior work
has mostly focused on insulin resistance, as opposed to beta cell effects, or
included patients on antiretroviral therapies (ART) directly linked to metabolic
toxicity. In this analysis, we measured markers of glucose homeostasis and beta
cell function, stress, and death in fasting sera from a cross section of HIV+
individuals off ART (n = 43), HIV+ individuals on ART (n = 23), and HIV- controls
(n = 39). Markers included glucose, HOMA%S, HOMA%B, proinsulin:C-peptide ratio
(PI:C ratio), and circulating preproinsulin (INS) DNA. We performed multiple
linear regressions with adjustments for age, sex, race, BMI, and smoking status.
Compared to HIV- controls, HIV+ participants off ART exhibited similar beta cell
function and insulin sensitivity, without increases in markers of beta cell
stress or death. Specifically, in HIV+ participants with CD4 counts <350
cells/MUL, PI:C ratios were lower than in HIV- controls (p<0.01), suggesting a
reduction in intrinsic beta cell stress among this group. By contrast, HIV+
participants on ART had higher fasting glucose (p<0.0001) and lower HOMA%B
(p<0.001) compared to HIV- controls. Among the entire HIV+ population, higher HIV
RNA correlated with lower fasting glucose (r = -0.57, p<0.001), higher HOMA%B (r
= 0.40, p = 0.001), and lower PI:C ratios (r = -0.42, p<0.001), whereas higher
CD4 counts correlated with higher PI:C ratios (r = 0.2, p = 0.00499). Our results
suggest that HIV seropositivity in the absence of ART does not worsen beta cell
function or glucose homeostasis, but immune reconstitution with ART may be
associated with worsened beta cell function.
PMID- 29795576
TI - A method for age-matched OCT angiography deviation mapping in the assessment of
disease- related changes to the radial peripapillary capillaries.
AB - PURPOSE: To present a method for age-matched deviation mapping in the assessment
of disease-related changes to the radial peripapillary capillaries (RPCs).
METHODS: We reviewed 4.5x4.5mm en face peripapillary OCT-A scans of 133 healthy
control eyes (133 subjects, mean 41.5 yrs, range 11-82 yrs) and 4 eyes with
distinct retinal pathologies, obtained using spectral-domain optical coherence
tomography angiography. Statistical analysis was performed to evaluate the impact
of age on RPC perfusion densities. RPC density group mean and standard deviation
maps were generated for each decade of life. Deviation maps were created for the
diseased eyes based on these maps. Large peripapillary vessel (LPV; noncapillary
vessel) perfusion density was also studied for impact of age. RESULTS: Average
healthy RPC density was 42.5+/-1.47%. ANOVA and pairwise Tukey-Kramer tests
showed that RPC density in the >=60yr group was significantly lower compared to
RPC density in all younger decades of life (p<0.01). Average healthy LPV density
was 21.5+/-3.07%. Linear regression models indicated that LPV density decreased
with age, however ANOVA and pairwise Tukey-Kramer tests did not reach statistical
significance. Deviation mapping enabled us to quantitatively and visually
elucidate the significance of RPC density changes in disease. CONCLUSIONS: It is
important to consider changes that occur with aging when analyzing RPC and LPV
density changes in disease. RPC density, coupled with age-matched deviation
mapping techniques, represents a potentially clinically useful method in
detecting changes to peripapillary perfusion in disease.
PMID- 29795578
TI - Public reaction to Chikungunya outbreaks in Italy-Insights from an extensive
novel data streams-based structural equation modeling analysis.
AB - The recent outbreak of Chikungunya virus in Italy represents a serious public
health concern, which is attracting media coverage and generating public interest
in terms of Internet searches and social media interactions. Here, we sought to
assess the Chikungunya-related digital behavior and the interplay between
epidemiological figures and novel data streams traffic. Reaction to the recent
outbreak was analyzed in terms of Google Trends, Google News and Twitter traffic,
Wikipedia visits and edits, and PubMed articles, exploiting structural modelling
equations. A total of 233,678 page-views and 150 edits on the Italian Wikipedia
page, 3,702 tweets, 149 scholarly articles, and 3,073 news articles were
retrieved. The relationship between overall Chikungunya cases, as well as
autochthonous cases, and tweets production was found to be fully mediated by
Chikungunya-related web searches. However, in the allochthonous/imported cases
model, tweet production was not found to be significantly mediated by
epidemiological figures, with web searches still significantly mediating tweet
production. Inconsistent relationships were detected in mediation models
involving Wikipedia usage as a mediator variable. Similarly, the effect between
news consumption and tweets production was suppressed by the Wikipedia usage. A
further inconsistent mediation was found in the case of the effect between
Wikipedia usage and tweets production, with web searches as a mediator variable.
When adjusting for the Internet penetration index, similar findings could be
obtained, with the important exception that in the adjusted model the
relationship between GN and Twitter was found to be partially mediated by
Wikipedia usage. Furthermore, the link between Wikipedia usage and PubMed/MEDLINE
was fully mediated by GN, differently from what was found in the unadjusted
model. In conclusion-a significant public reaction to the current Chikungunya
outbreak was documented. Health authorities should be aware of this, recognizing
the role of new technologies for collecting public concerns and replying to them,
disseminating awareness and avoid misleading information.
PMID- 29795579
TI - The South African Rea Phela Health Study: A randomized controlled trial of
communication retention strategies.
AB - Epidemiological transitions are occurring throughout Africa. To inform public
health programs and policies, longitudinal cohorts investigating non-communicable
diseases are needed. However, loss-to-follow up is a major problem. In
preparation for a longitudinal study, we conducted a randomized controlled trial
to test communication-based retention strategies (message content and delivery
methods) among a pilot cohort of South African healthcare workers (n = 1536;
median age = 36; women = 1270). Two messaging formats across three delivery modes
were tested. Response rates were analyzed by intervention, survey return date and
method using chi-square tests and univariate logistic regression. Sixty-seven of
238 (17.4%) control group participants and 238 of 1152 (24.6%) intervention group
participants were retained (OR 1.54: CI 1.15-2.07; P = 0.004). Odds of being
retained were 1.68 times greater for participants who received regular contact
and themed messages compared to control (CI 1.22-2.32; P = 0.001). Neither health
status nor clinical condition affected response rates (P>0.05). Time-to-first
contact did not impact response rates (P>0.05). Message content and delivery
method influenced response rates compared to the control, however no difference
was found between intervention groups. Although greater retention is required for
valid cohort studies, these findings are the first to quantitatively assess
retention factors in Africa.
PMID- 29795580
TI - The impact of conjunctival flap method and drainage cannula diameter on bleb
survival in the rabbit model.
AB - PURPOSE: To examine the effect of cannula diameter and conjunctival flap method
on bleb survival in rabbits undergoing cannula-based glaucoma filtration surgery
(GFS). METHODS: Twelve New Zealand White rabbits underwent GFS in both eyes. The
twenty-four eyes were divided into four groups. Two of the four groups (N = 12)
received limbus-based conjunctival flaps (LBCF), and the other two (N = 12)
received fornix-based conjunctival flaps (FBCF). Six FBCF rabbit eyes were
implanted with 22-gauge drainage tubes, and the other six were implanted with 26
gauge tubes. Likewise, six LBCF rabbits received 22-gauge drainage tubes and six
received 26-gauge tubes. Filtration blebs were evaluated every three days by a
masked observer. Bleb failure was defined as the primary endpoint in this study
and was recorded after two consecutive flat bleb evaluations. RESULTS: Group 1
(LBCF, 22- gauge cannula) had a mean bleb survival time (Mean +/- SD) of 18.7 +/-
2.9 days. Group 2 (LBCF, 26-gauge cannula) also had a mean bleb survival time of
18.7 +/- 2.9 days. Group 3 (FBCF, 22-gauge cannula) had a mean bleb survival time
of 19.2 +/- 3.8 days. Group 4 (FBCF, 26-gauge cannula) had a mean bleb survival
time of 19.7 +/- 4.1 days. A 2-way analysis of variance showed that neither
surgical approach nor cannula gauge made a statistically significant difference
in bleb survival time (P = 0.634 and P = 0.874). Additionally, there was no
significant interaction between cannula gauge and conjunctival flap approach (P =
0.874), suggesting that there was not a combination of drainage gauge and
conjunctival flap method that produced superior bleb survival. CONCLUSION: Limbus
and fornix-based conjunctival flaps are equally effective in promoting bleb
survival using both 22 and 26-gauge cannulas in the rabbit model. The 26-gauge
drainage tube may be preferred because its smaller size facilitates the
implantation process, reducing the risk of corneal contact.
PMID- 29795581
TI - High-throughput adaptive sampling for whole-slide histopathology image analysis
(HASHI) via convolutional neural networks: Application to invasive breast cancer
detection.
AB - Precise detection of invasive cancer on whole-slide images (WSI) is a critical
first step in digital pathology tasks of diagnosis and grading. Convolutional
neural network (CNN) is the most popular representation learning method for
computer vision tasks, which have been successfully applied in digital pathology,
including tumor and mitosis detection. However, CNNs are typically only tenable
with relatively small image sizes (200 * 200 pixels). Only recently, Fully
convolutional networks (FCN) are able to deal with larger image sizes (500 * 500
pixels) for semantic segmentation. Hence, the direct application of CNNs to WSI
is not computationally feasible because for a WSI, a CNN would require billions
or trillions of parameters. To alleviate this issue, this paper presents a novel
method, High-throughput Adaptive Sampling for whole-slide Histopathology Image
analysis (HASHI), which involves: i) a new efficient adaptive sampling method
based on probability gradient and quasi-Monte Carlo sampling, and, ii) a powerful
representation learning classifier based on CNNs. We applied HASHI to automated
detection of invasive breast cancer on WSI. HASHI was trained and validated using
three different data cohorts involving near 500 cases and then independently
tested on 195 studies from The Cancer Genome Atlas. The results show that (1) the
adaptive sampling method is an effective strategy to deal with WSI without
compromising prediction accuracy by obtaining comparative results of a dense
sampling (~6 million of samples in 24 hours) with far fewer samples (~2,000
samples in 1 minute), and (2) on an independent test dataset, HASHI is effective
and robust to data from multiple sites, scanners, and platforms, achieving an
average Dice coefficient of 76%.
PMID- 29795582
TI - PKR modulates abnormal brain signaling in experimental obesity.
AB - Metabolic disorders including obesity and type 2 diabetes are known to be
associated with chronic inflammation and are obvious risk factors for Alzheimer's
disease. Recent evidences concerning obesity and diabetes suggest that the
metabolic inflammasome ("metaflammasome") mediates chronic inflammation. The
double-stranded RNA-dependent protein kinase (PKR) is a central component of the
metaflammasome. In wild type (WT) and PKR-/- mice, blood glucose, insulin and
lipid levels and the brain expression of the phosphorylated components of the
metaflammasome-PKR, JNK, IRS1 and IKKbeta-were studied after the induction of
obesity by a high fat diet (HFD). The results showed significant increased levels
of activated brain metaflammasome proteins in exposed WT mice but the changes
were not significant in PKR-/- mice. In addition, gain weight was observed in WT
mice and also in PKR-/- mice exposed to HFD. Increased blood insulin level was
more accentuated in PKR -/- mice. The modulation of PKR activity could be an
appropriate therapeutic approach, aimed at reducing abnormal brain metabolism and
inflammation linked to metabolic disorders in order to reduce the risk of
neurodegeneration.
PMID- 29795583
TI - Manganese-porphyrin-enhanced MRI for the detection of cancer cells: A
quantitative in vitro investigation with multiple clinical subtypes of breast
cancer.
AB - Magnetic resonance imaging (MRI) contrast agents (CAs) are chemical compounds
that can enhance image contrast on T1- or T2- weighted MR image. We have
previously demonstrated the potential of MnCl2, a manganese-based CA, in cellular
imaging of breast cancer using T1-weighted MRI. In this work, we examined the
potential of another class of manganese-based CAs, manganese porphyrins (MnPs),
for sensitive cellular detection of multiple clinical subtypes of breast cancer
using quantitative MRI. Using a clinical 3.0-T MRI scanner, the relaxivities of
two MnPs, MnTPPS4 and MnTPPS3NH2, and conventional Gd-DTPA (control) were
measured in ultrapure water and their T1 contrast enhancement patterns were
characterized in multiple clinical subtypes of breast cancer. The toxicity of the
three CAs was evaluated in vitro. Compared to Gd-DTPA, both MnTPPS3NH2 and
MnTPPS4 enabled a more sensitive multi-subtype detection of four breast cell
lines at doses that posed no cytotoxic effects, with MnTPPS3NH2 producing the
greatest positive enhancement. The superior T1 enhancement capabilities of MnPs
over Gd-DTPA are statistically significant and are likely due to their greater
cellular uptake and relaxivities. The results demonstrate that multiple clinical
subtypes of breast cancer can be imaged on a 3.0-T MRI scanner using MnPs as T1
cellular CAs.
PMID- 29795584
TI - A return to the genetic heritage of durum wheat to cope with drought heightened
by climate change.
AB - The objective of this work was to perform a comparative analysis of the
physiological, biochemical and agronomical parameters of recent and heritage
durum wheat cultivars (Triticum durum Desf.) under water-deficit conditions. Five
cultivars were grown under irrigated (control) and rainfall (stressed)
conditions. Different agro-physiological and biochemical parameters were studied:
electrolyte leakage, relative water content, chlorophyll fluorescence, proline,
soluble sugars, specific peroxidase activity, yield and drought stress indices.
It was revealed that a water deficit increased proline content, electrolyte
leakage, soluble sugars and specific peroxidase activity and decreased relative
water content, fluorescence and grain yield. According to these parameters and
drought stress indices, our investigation indicated that old cultivars are the
best-adapted to local conditions and showed characteristics of drought tolerance,
while recent cultivars showed more drought susceptibility. Therefore, local
cultivars of each country should be kept by farmers and plant breeders to
preserve their genetic heritage.
PMID- 29795585
TI - Revalidation and genetic characterization of new members of Group C
(Orthobunyavirus genus, Peribunyaviridae family) isolated in the Americas.
AB - Group C serogroup includes members of the Orthobunyavirus genus (family
Peribunyaviridae) and comprises 15 arboviruses that can be associated with
febrile illness in humans. Although previous studies described the genome
characterization of Group C orthobunyavirus, there is a gap in genomic
information about the other viruses in this group. Therefore, in this study,
complete genomes of members of Group C serogroup were sequenced or re-sequenced
and used for genetic characterization, as well as to understand their
phylogenetic and evolutionary aspects. Thus, our study reported the genomes of
three new members in Group C virus (Apeu strain BeAn848, Itaqui strain BeAn12797
and Nepuyo strain BeAn10709), as well as re-sequencing of original strains of
five members: Caraparu (strain BeAn3994), Madrid (strain BT4075), Murucutu
(strain BeAn974), Oriboca (strain BeAn17), and Marituba (strain BeAn15). These
viruses presented a typical genomic organization related to members of the
Orthobunyavirus genus. Interestingly, all viruses of this serogroup showed an
open reading frame (ORF) that encodes the putative nonstructural NSs protein that
precedes the nucleoprotein ORF, an unprecedented fact in Group C virus. Also, we
confirmed the presence of natural reassortment events. This study expands the
genomic information of Group C viruses, as well as revalidates the genomic
organization of viruses that were previously reported.
PMID- 29795586
TI - Estimating comparable English healthcare costs for multiple diseases and
unrelated future costs for use in health and public health economic modelling.
AB - OBJECTIVES: Healthcare interventions, and particularly those in public health may
affect multiple diseases and significantly prolong life. No consensus currently
exists for how to estimate comparable healthcare costs across multiple diseases
for use in health and public health cost-effectiveness models. We aim to describe
a method for estimating comparable disease specific English healthcare costs as
well as future healthcare costs from diseases unrelated to those modelled.
METHODS: We use routine national datasets including programme budgeting data and
cost curves from NHS England to estimate annual per person costs for diseases
included in the PRIMEtime model as well as age and sex specific costs due to
unrelated diseases. RESULTS: The 2013/14 annual cost to NHS England per prevalent
case varied between L3,074 for pancreatic cancer and L314 for liver disease.
Costs due to unrelated diseases increase with age except for a secondary peak at
30-34 years for women reflecting maternity resource use. CONCLUSIONS: The
methodology described allows health and public health economic modellers to
estimate comparable English healthcare costs for multiple diseases. This
facilitates the direct comparison of different health and public health
interventions enabling better decision making.
PMID- 29795588
TI - The optimal sampling design for littoral habitats modelling: A case study from
the north-western Mediterranean.
AB - Species distribution models (SDMs) have been used to predict potential
distributions of habitats and to model the effects of environmental changes.
Despite their usefulness, currently there is no standardized sampling strategy
that provides suitable and sufficiently representative predictive models for
littoral marine benthic habitats. Here we aim to establish the best performing
and most cost-effective sample design to predict the distribution of littoral
habitats in unexplored areas. We also study how environmental variability, sample
size, and habitat prevalence may influence the accuracy and performance of
spatial predictions. For first time, a large database of littoral habitats
(16,098 points over 562,895 km of coastline) is used to build up, evaluate, and
validate logistic predictive models according to a variety of sampling
strategies. A regularly interspaced strategy with a sample of 20% of the
coastline provided the best compromise between usefulness (in terms of sampling
cost and effort) and accuracy. However, model performance was strongly depen upon
habitat characteristics. The proposed sampling strategy may help to predict the
presence or absence of target species or habitats thus improving extensive
cartographies, detect high biodiversity areas, and, lastly, develop (the best)
environmental management plans, especially in littoral environments.
PMID- 29795587
TI - Incidence of influenza A(H3N2) virus infections in Hong Kong in a longitudinal
sero-epidemiological study, 2009-2015.
AB - BACKGROUND: Many serologic studies were done during and after the 2009 influenza
pandemic, to estimate the cumulative incidence of influenza A(H1N1)pdm09 virus
infections, but there are few comparative estimates of the incidence of influenza
A(H3N2) virus infections during epidemics. METHODS: We conducted a longitudinal
serologic study in Hong Kong. We collected sera annually and tested samples from
2009-13 by HAI against the A/Perth/16/2009(H3N2) virus, and samples from 2013-15
against the A/Victoria/361/2011(H3N2) virus using the hemagglutination inhibition
(HAI) assay. We estimated the cumulative incidence of infections based on 4-fold
or greater rises in HAI titers in consecutive sera. RESULTS: There were four
major H3N2 epidemics: (1) Aug-Oct 2010; (2) Mar-Jun 2012; (3) Jul-Oct 2013; and
(4) Jun-Jul 2014. Between 516 and 619 relevant pairs of sera were available for
each epidemic. We estimated that 9%, 19%, 7% and 7% of the population were
infected in each epidemic, respectively, with higher incidence in children in
epidemics 1 and 4. CONCLUSIONS: We found that re-infections in each of the four
H3N2 epidemics that occurred from 2010 through 2014 were rare. The largest H3N2
epidemic occurred with the lowest level of pre-epidemic immunity.
PMID- 29795589
TI - Visceral leishmaniasis in selected communities of Hamar and Banna-Tsamai
districts in Lower Omo Valley, South West Ethiopia: Sero-epidemological and
Leishmanin Skin Test Surveys.
AB - BACKGROUND: Visceral leishmaniasis [VL] is a debilitating parasitic disease which
invariably kills untreated patients. The disease is caused by Leishmania (L.)
donovani or L. infantum, and transmitted by the bite of female phlebotomine
sandflies. VL often remains subclinical but can become symptomatic with an
acute/subacute or chronic course. Globally, the Eastern Africa region is one of
the main VL endemic areas. The disease is prevalent in numerous foci within
Eritrea, Ethiopia, Kenya, Somalia, Sudan South Sudan, and Uganda. In Ethiopia,
the Lower Omo plain is one of the many VL endemic regions. OBJECTIVES: The
objective of this study was to determine the prevalence of asymptomatic visceral
leishmaniasisin Hamar and Banna-Tsamai districts of the South Omo plains where VL
is becoming an emerging health problem of neglected communities. METHODS: A
community based cross-sectional survey was conducted in 2013 between 25th of July
and 14th of August. A total of 1682 individuals living in 404 households were
included in the study. Socio-demographic and clinical data were collected from
each of the participants and venous blood was also collected for the detection of
antibodies to visceral leishmaniasis using Direct Agglutination Test. Leishmanin
Skin Test was performed to detect the exposure to the parasite. RESULTS: The
surveys included 14 villages located in areas where VL had been reported. In a
study population of 1682 individuals, the overall positive leishmanian skin test
and sero-prevalence rates respectively were 8.6% and 1.8%. A statistically
significant variation in the rate of positive LST response was observed in
different study sites and age groups. Positive LST response showed an increasing
trend with age. The sero-prevalence rate also showed a statistically significant
variation among different study sites. Higher rates of sero-prevalence were
observed in children and adolescents. The LST and sero-prevalence rates in Hamar
District exceeded significantly that of Banna-Tsamai District (10.7% versus 5.8%
for LST; and 2.6% versus 0.7% for sero-prevalence). CONCLUSION: The prevalence of
asymptomatic VL infection in Hamar and Banna-Tsamai districts during the study
period in 2013 was low compared to rates previously reported in other endemic
areas of Ethiopia. This could be due to the fact that the disease is emerging in
Hamar and Banna-Tsamai districts. Based on records of a nearby Hospital,
increasing numbers of active VL cases have been reported in these districts
through the years 2006-2012, especially in Hamar District. Both districts are
important destinations of tourism, and thus the importance of surveillance should
be emphasized. Detailed epidemiological and entomological studies are
recommended.
PMID- 29795590
TI - Intramuscular fat is present in cervical multifidus but not soleus in patients
with chronic whiplash associated disorders.
AB - The presence of intramuscular fat (IMF) in the cervical spine muscles of patients
with whiplash associated disorders (WAD) has been consistently found. The
mechanisms underlying IMF are not clear but preliminary evidence implicates a
relationship with stress system responses. We hypothesised that if systemic
stress system responses do play a role then IMF would be present in muscles
remote to the cervical spine. We aimed to investigate if IMF are present in
muscle tissue remote (soleus) to the cervical spine in people with chronic WAD. A
secondary aim was to investigate associations between IMF and posttraumatic
stress symptom levels. Forty-three people with chronic WAD (25 female) and 16
asymptomatic control participants (11 female) participated. Measures of pain,
disability and posttraumatic stress symptoms were collected from the WAD
participants. Both groups underwent MRI measures of IMF in cervical multifidus
and the right soleus muscle. There was significantly greater IMF in cervical
multifidus in patients with WAD and moderate/severe disability compared to
controls (p = 0.009). There was no difference in multifidus IMF between the mild
and moderate/severe disability WAD groups (p = 0.64), or the control and mild WAD
groups (p = 0.21). IMF in the right soleus was not different between the groups
(p = 0.47). In the WAD group, we found no correlation between PDS symptoms and
cervical multifidus IMF or between PDS symptoms and soleus IMF. Global
differences in IMF are not a feature of chronic WAD, with differences in IMF
limited to the cervical spine musculature. While the mechanisms for the
development of IMF in the cervical spine following whiplash injury remain
unclear, our data indicate that local factors more likely contribute to these
differences.
PMID- 29795591
TI - Benefits of biodiverse marine resources to child nutrition in differing
developmental contexts in Hispaniola.
AB - There is an urgent need for an improved empirical understanding of the
relationship among biodiverse marine resources, human health and development
outcomes. Coral reefs are often at this intersection for developing nations in
the tropics-an ecosystem targeted for biodiversity conservation and one that
provides sustenance and livelihoods for many coastal communities. To explore
these relationships, we use the comparative development contexts of Haiti and the
Dominican Republic on the island of Hispaniola. We combine child nutrition data
from the Demographic Health Survey with coastal proximity and coral reef habitat
diversity, and condition to empirically test human benefits of marine natural
resources in differing development contexts. Our results indicate that coastal
children have a reduced likelihood of severe stunting in Haiti but have increased
likelihoods of stunting and reduced dietary diversity in the Dominican Republic.
These contrasting results are likely due to the differential in developed
infrastructure and market access. Our analyses did not demonstrate an association
between more diverse and less degraded coral reefs and better childhood
nutrition. The results highlight the complexities of modelling interactions
between the health of humans and natural systems, and indicate the next steps
needed to support integrated development programming.
PMID- 29795592
TI - Dissolution and uniformity of content of tablets developed with extract of
Ximenia americana L.
AB - Herbal medicines currently represent an important part of the world
pharmaceutical market, which shows growing interest in the use of herbal
medicines. However, the production of such medicines involves a complex series of
steps, which determine the production viability and the quality of the final
product. Ximenia americana L. is a plant occurring in several regions of the
world, with well-known and applied medicinal properties. Thus, the aim of this
work was to develop and evaluate the physical and physical-chemical quality of
tablets produced with X. americana L. extract. The extract was spray-dried from a
hydroethanolic extractive solution and characterized as to its phytochemical
composition. The chemical marker was determined and quantified using validated
chromatographic methods. These methods indicated the presence of gallic acid at a
concentration of 1.61 mg g(-1). Formulations were proposed and analyzed for their
flow and compaction properties. The best formulation was used to obtain a batch
of tablets, which was evaluated for its quality characteristics and showed to be
within the pharmacopoeial specifications for average weight, hardness,
friability, and disintegration time. The dissolution profile of the tablets
produced was obtained, showing the release of about 70% of the vegetable extract
content within 30 minutes. Results showed that it was possible to obtain herbal
tablets containing a high content of vegetal extract by direct compression,
developing a rapid process of formulation and production and guaranteeing the
quality characteristics of the final product.
PMID- 29795593
TI - Use of evidential reasoning and AHP to assess regional industrial safety.
AB - China's fast economic growth contributes to the rapid development of its
urbanization process, and also renders a series of industrial accidents, which
often cause loss of life, damage to property and environment, thus requiring the
associated risk analysis and safety control measures to be implemented in
advance. However, incompleteness of historical failure data before the occurrence
of accidents makes it difficult to use traditional risk analysis approaches such
as probabilistic risk analysis in many cases. This paper aims to develop a new
methodology capable of assessing regional industrial safety (RIS) in an uncertain
environment. A hierarchical structure for modelling the risks influencing RIS is
first constructed. The hybrid of evidential reasoning (ER) and Analytical
Hierarchy Process (AHP) is then used to assess the risks in a complementary way,
in which AHP is hired to evaluate the weight of each risk factor and ER is
employed to synthesise the safety evaluations of the investigated region(s)
against the risk factors from the bottom to the top level in the hierarchy. The
successful application of the hybrid approach in a real case analysis of RIS in
several major districts of Beijing (capital of China) demonstrates its
feasibility as well as provides risk analysts and safety engineers with useful
insights on effective solutions to comprehensive risk assessment of RIS in
metropolitan cities. The contribution of this paper is made by the findings on
the comparison of risk levels of RIS at different regions against various risk
factors so that best practices from the good performer(s) can be used to improve
the safety of the others.
PMID- 29795595
TI - Long-term treatment outcomes of intermittent androgen deprivation therapy for
relapsed prostate cancer after radical prostatectomy.
AB - PURPOSE: Intermittent androgen deprivation therapy is an effective treatment for
metastatic prostate cancer. However, no study to date has evaluated the long-term
outcomes of this treatment among patients with prostate cancer after radical
prostatectomy. We retrospectively examined the treatment outcomes of patients
with prostate-specific antigen recurrence who underwent radical prostatectomy at
our department. MATERIALS AND METHODS: Of the 690 patients who underwent radical
prostatectomy for local prostate cancer between 1988 and 2011, 129 patients who
received androgen deprivation therapy for prostate-specific antigen recurrence
were included in this study. Patient characteristics, luteinizing hormone
releasing hormone agonist administration, and outcomes were compared between the
intermittent androgen deprivation group (n = 66) and the continuous androgen
deprivation therapy group (n = 63). The non-recurrence and overall survival rates
were compared between groups. RESULTS: Thirty-six patients (27.9%) experienced
recurrence after luteinizing hormone-releasing hormone agonist administration.
The 5-year non-recurrence rate and 10-year overall survival rate were higher in
the intermittent group (92.9%) than in the continuous group (92.9 vs 57.9%, P <
0.001; and 95.9% vs 84.3%, P = 0.047, respectively). Furthermore, 63 patients
(48.8%) showed a PSA nadir of less than 0.01 ng/mL after initiation of
luteinizing hormone-releasing hormone agonist; among these patients, the non
recurrence rate was significantly higher in the intermittent androgen deprivation
group (P = 0.003). CONCLUSIONS: Intermittent androgen deprivation therapy for
prostate specific antigen recurrence after radical prostatectomy contributed to
improvement of the non-recurrence rate and overall survival, and can be
considered an effective therapy for better prognosis.
PMID- 29795594
TI - Cell electrofusion based on nanosecond/microsecond pulsed electric fields.
AB - Traditionally, microsecond pulsed electric field was widely used in cell
electrofusion technology. However, it was difficult to fuse the cells with
different sizes. Because the effect of electroporation based on microsecond
pulses was greatly influenced by cell sizes. It had been reported that the
differences between cell sizes can be ignored when cells were exposed to
nanosecond pulses. However, pores induced by those short nanosecond pulses tended
to be very small (0.9 nm) and the pores were more easy to recover. In this work,
a finite element method was used to simulate the distribution, radius and density
of the pores. The innovative idea of "cell electrofusion based on
nanosecond/microsecond pulses" was proposed in order to combine the advantages of
nanosecond pulses and microsecond pulses. The model consisted of two contact
cells with different sizes. Three kinds of pulsed electric fields were made up of
two 100-ns, 10-kV/cm pulses; two 10-MUs, 1-kV/cm pulses; and a sequence of a 100
ns, 10-kV/cm pulse, followed by a 10-MUs, 1-kV/cm pulse. Some obvious
advantageous can be found when nanosecond/microsecond pulses were considered. The
pore radius was large enough (70nm) and density was high (5*1013m-2) in the cell
junction area. Moreover, pores in the non-contact area of the cell membrane were
small (1-10 nm) and sparse (109-1012m-2). Areas where the transmembrane voltage
was higher than 1V were only concentrated in the cell junction. The transmembrane
voltage of other areas were at most 0.6V when we tested the rest of the cell
membrane. Cell fusion efficiency can be improved remarkably because
electroporation was concentrated in the cell contact area.
PMID- 29795596
TI - Evaluation of tracer labelled methionine load test in vitamin B-12 deficient
adolescent women.
AB - BACKGROUND: Methionine loading test (MLT) has been used primarily to identify
defects in transsulfuration of homocysteine in cystathionine beta synthase
deficiency. It may not be as useful to evaluate remethylation pathway, in vitamin
B-12 and folate deficiencies. OBJECTIVE: We used tracer isotope labelled MLT to
interrogate transsulfuration and remethylation independently in vitamin B-12
deficiency. DESIGN: We studied vitamin B-12 deficient women with a tracer
labelled MLT before and eleven months after treatment with vitamin B-12. The
fractional contribution of [13C]homocysteine to breath CO2 was used as a measure
of transsulfuration, and difference in the intracellular enrichment of
[13C]methionine and that of [C2H3]methionine as a measure of remethylation of
homocysteine. Combined pre- and post-treatment results were analyzed to
investigate the association between plasma vitamin B-12 concentrations and
measures of homocysteine metabolism. RESULTS: The subjects were 17 years old,
with a BMI of 19.4 kg/m2. Treatment with vitamin B-12, 2ug/day increased plasma B
12 from 93 (78.7, 106.2) [median (25th, 75th centiles)] to 161.5 (125.5, 226.2)
pmol/L; 44% were below <150pmol/L after treatment. Fasting homocysteine
concentration was significantly lower and that of cysteine higher in subjects
with B-12 levels >150pmol/L. The tracer estimated transsulfuration of
homocysteine was lower and remethylation higher with B-12 levels >150pmol/L when
compared with those <150pmol/L. CONCLUSIONS: The tracer labelled MLT in
combination with fasting parameters is a robust way to estimate parameters of
methionine metabolism and can be used in the field where prime-constant rate
infusion studies cannot be done efficiently.
PMID- 29795597
TI - Antibacterial Effect of (2E,2E)-4,4-Trisulfanediylbis(but-2-enoic acid) against
Staphylococcus aureus.
AB - A new highly active molecule, (2E, 2E)-4,4-trisulfanediylbis(but-2-enoic acid)
(TSDB), was designed and synthesized through comparative molecular field analysis
with the diallyl trisulfide structure of garlic. TSDB exerted a strong inhibitory
effect against Staphylococcus aureus, with minimal inhibitory and minimal
bactericidal concentrations of 16 and 128 MUg/mL, respectively. TSDB destructed
the integrity of the S. aureus cell membrane but weakly damaged the bacterial
cell wall. TSDB also increased the conductivity and protein expression in
microbial broth but minimally influenced the level of extracellular alkaline
phosphatase. TSDB could be a novel food preservative.
PMID- 29795598
TI - A clinical nursing rotation transforms medical students' interprofessional
attitudes.
AB - This study explores the extent to which a one-week nursing rotation for medical
students changed the interprofessional attitudes of the participating nurses and
students. Third-year medical students worked with nurses before starting clinical
rotations. Pre- and post-experience surveys assessing perceptions of mutual
respect, nurse-doctor roles, and interprofessional communication and teamwork
were given to 55 nurses and 57 students. The surveys consisted of qualitative
questions and a Likert scale questionnaire that was analyzed using qualitative
and quantitative content analyses. The response rate was 51/57 (89%) students and
44/55 (80%) nurse preceptors. Nurses reported that students met nurses'
expectations by displaying responsibility, respect, effective communication, and
an understanding of nursing roles. Medical students' narratives demonstrated two
significant changes. First, their views of nurses changed from that of physician
helpers to that of collaborative patient-centred professionals. Second, they
began defining nursing not by its tasks, but as a caring- and communication
centred profession. Responses to Likert-scaled questions showed significant
differences corresponding to changes described in the narrative. A one-week
immersive clinical nursing rotation for medical students was a transformative way
of learning interprofessional competencies. Learning in an authentic workplace
during a clinical rotation engendered mutual respect between nurses and future
doctors. Students' view of the role of nurses changed from nurses working for
doctors with patients, to working with doctors for patients.
PMID- 29795599
TI - Connectivity and seasonality cause rapid taxonomic and functional trait
succession within an invertebrate community after stream restoration.
AB - General colonization concepts consent that a slow process of microhabitat
formation and subsequent niche realization occurs during early stages after new
habitat is released. Subsequently, only few species are able to colonize new
habitat in the early onset of succession, while species richness increases
steadily over time. Although most colonization studies have been performed in
terrestrial ecosystems, running water ecosystems are equally or even more prone
to colonization after disturbance due to their dynamic nature. We question how
invertebrate succession patterns reconcile with general colonization concepts.
With this study we provide insight into the colonization process in newly created
lowland stream trajectories and answer how within-stream bio- and functional
diversity develops over time. Our results show a rapid influx of species, with a
wide range of functional traits, during the first season after water flow
commenced. During more than two years of regular monitoring, immigration rates
were highest in autumn, marking the effects of seasonality on invertebrate
dispersal. Biodiversity increased while abundance peaks of species alternated
between seasons. Moreover, also days since start of the experiment explains a
considerable part of the variability for taxa as well as traits. However, the
relative trait composition remained similar throughout the entire monitoring
period and only few specific traits had significantly higher proportions during
specific seasons. This indicates that first phase colonization in freshwater
streams can be a very rapid process that results in a high biodiversity and a
large variety of species functional characteristics from the early onset of
succession, contradicting general terrestrial colonization theory.
PMID- 29795600
TI - Incremental fuzzy C medoids clustering of time series data using dynamic time
warping distance.
AB - Clustering time series data is of great significance since it could extract
meaningful statistics and other characteristics. Especially in biomedical
engineering, outstanding clustering algorithms for time series may help improve
the health level of people. Considering data scale and time shifts of time
series, in this paper, we introduce two incremental fuzzy clustering algorithms
based on a Dynamic Time Warping (DTW) distance. For recruiting Single-Pass and
Online patterns, our algorithms could handle large-scale time series data by
splitting it into a set of chunks which are processed sequentially. Besides, our
algorithms select DTW to measure distance of pair-wise time series and encourage
higher clustering accuracy because DTW could determine an optimal match between
any two time series by stretching or compressing segments of temporal data. Our
new algorithms are compared to some existing prominent incremental fuzzy
clustering algorithms on 12 benchmark time series datasets. The experimental
results show that the proposed approaches could yield high quality clusters and
were better than all the competitors in terms of clustering accuracy.
PMID- 29795601
TI - The complete chloroplast genome sequence of Actinidia arguta using the PacBio RS
II platform.
AB - Actinidia arguta is the most basal species in a phylogenetically and economically
important genus in the family Actinidiaceae. To better understand the molecular
basis of the Actinidia arguta chloroplast (cp), we sequenced the complete cp
genome from A. arguta using Illumina and PacBio RS II sequencing technologies.
The cp genome from A. arguta was 157,611 bp in length and composed of a pair of
24,232 bp inverted repeats (IRs) separated by a 20,463 bp small single copy
region (SSC) and an 88,684 bp large single copy region (LSC). Overall, the cp
genome contained 113 unique genes. The cp genomes from A. arguta and three other
Actinidia species from GenBank were subjected to a comparative analysis. Indel
mutation events and high frequencies of base substitution were identified, and
the accD and ycf2 genes showed a high degree of variation within Actinidia. Forty
seven simple sequence repeats (SSRs) and 155 repetitive structures were
identified, further demonstrating the rapid evolution in Actinidia. The cp genome
analysis and the identification of variable loci provide vital information for
understanding the evolution and function of the chloroplast and for
characterizing Actinidia population genetics.
PMID- 29795602
TI - Inference comprehension in text reading: Performance of individuals with right-
versus left-hemisphere lesions and the influence of cognitive functions.
AB - BACKGROUND: Right-hemisphere lesions (RHL) may impair inference comprehension.
However, comparative studies between left-hemisphere lesions (LHL) and RHL are
rare, especially regarding reading comprehension. Moreover, further knowledge of
the influence of cognition on inferential processing in this task is needed.
OBJECTIVES: To compare the performance of patients with RHL and LHL on an
inference reading comprehension task. We also aimed to analyze the effects of
lesion site and to verify correlations between cognitive functions and
performance on the task. METHODS: Seventy-five subjects were equally divided into
the groups RHL, LHL, and control group (CG). The Implicit Management Test was
used to evaluate inference comprehension. In this test, subjects read short
written passages and subsequently answer five types of questions (explicit,
logical, distractor, pragmatic, and other), which require different types of
inferential reasoning. The cognitive functional domains of attention, memory,
executive functions, language, and visuospatial abilities were assessed using the
Cognitive Linguistic Quick Test (CLQT). RESULTS: The LHL and RHL groups presented
difficulties in inferential comprehension in comparison with the CG. However, the
RHL group presented lower scores than the LHL group on logical, pragmatic and
other questions. A covariance analysis did not show any effect of lesion site
within the hemispheres. Overall, all cognitive domains were correlated with all
the types of questions from the inference test (especially logical, pragmatic,
and other). Attention and visuospatial abilities affected the scores of both the
RHL and LHL groups, and only memory influenced the performance of the RHL group.
CONCLUSIONS: Lesions in either hemisphere may cause difficulties in making
inferences during reading. However, processing more complex inferences was more
difficult for patients with RHL than for those with LHL, which suggests that the
right hemisphere plays an important role in tasks with higher comprehension
demands. Cognition influences inferential processing during reading in brain
injured subjects.
PMID- 29795603
TI - Evaluation of a teacher training program to enhance executive functions in
preschool children.
AB - BACKGROUND: Executive functions (EFs) play a critical role in cognitive and
social development. During preschool years, children show not only rapid
improvement in their EFs, but also appear sensitive to developmentally
appropriate interventions. AIM: EMIL is a training program for German preschool
teachers that was developed and implemented to improve the EFs of preschoolers.
The aim of the present study was to evaluate its effects on the EFs of children
between three and six years old. METHOD: The teacher training (eight sessions,
28.5 hours) was implemented in four preschools. The EFs of children of the
intervention group (n = 72, 32 girls, Mage = 48 months) and the control group of
four other matched preschools (n = 61, 27 girls, Mage = 48 months) were tested
before, during, and after the intervention using different measures assessing
working memory, inhibitory control, and cognitive flexibility. RESULTS: The
intervention group showed significant gains on three out of seven EF tests
(behavioral inhibition, visual-spatial working memory, and combined EFs) compared
to the control group. Post hoc analyses for children with low initial EFs scores
revealed that participation in the intervention led to significant gains in
inhibitory control, visual-spatial working memory, and phonological working
memory as well as a marginally significant difference for combined EFs. However,
effect sizes were rather small. CONCLUSION: The results suggest that teacher
training can lead to significant improvements in preschooler's EFs. Although
preliminary, the results could contribute to the discussion on how teacher
training can facilitate the improvement of EFs in preschool children.
PMID- 29795604
TI - Survival outcomes post percutaneous coronary intervention: Why the hype about
stent type? Lessons from a healthcare system in India.
AB - A prospective, multicenter study was initiated by the Government of Maharashtra,
India, to determine predictors of long-term outcomes of percutaneous coronary
intervention (PCI) for coronary artery disease, and to compare the effectiveness
of drug-eluting stents (DESs) and bare-metal stents (BMSs) in patients undergoing
PCI under government-funded insurance. The present analysis included 4595
patients managed between August 2012 and November 2016 at any of 110
participating centers. Using the classical multivariable regression and
propensity-matching approach, we found age to be the most important predictor of
1-year mortality and target lesion revascularization at 1 year post-PCI. However,
using machine learning methods to account for unmeasured confounders and bias in
this large observational study, we determined total stent length and number of
stents deployed as the most important predictors of 1-year survival, followed by
age and employment status. The unadjusted death rates were 5.0% and 3.8% for the
BMS and DES groups, respectively (p = 0.185, log-rank test). The rate of re
hospitalization (p<0.001) and recurrence of unstable angina (p = 0.08) was
significantly lower for DESs than for BMSs. Increased use of DES after 2015
(following establishment of a price cap on DESs) was associated with a sharp
decrease in adjusted hazard ratios of DESs versus BMSs (from 0.94 in 2013 to 0.58
in 2016), suggesting that high price was limiting DES use in some high-risk
patients. Since stented length and stent number were the most important
predictors of survival outcomes, adopting an ischemia-guided revascularization
strategy is expected to help improve outcomes and reduce procedural costs. In the
elderly, PCI should be reserved for cases where the benefits outweigh the higher
risk of the procedure. As unemployed patients had poorer long-term outcomes, we
expect that implementation of a post-PCI cardiovascular rehabilitation program
may improve long-term outcomes.
PMID- 29795605
TI - Advance directives for future dementia can be modified by a brief video
presentation on dementia care: An experimental study.
AB - OBJECTIVES: To investigate whether life-sustaining measures in medical emergency
situations are less accepted for an anticipated own future of living with
dementia, and to test whether a resource-oriented, in contrast to a deficit
oriented video about the same demented person, would increase the acceptance of
such life-saving measures. DESIGN: Experimental study conducted between September
2012 and February 2013. SETTING: Community dwelling female volunteers living in
the region of Bonn, Germany. PARTICIPANTS: 278 women aged 19 to 89 (mean age 53.4
years). INTERVENTION: Presentation of a video on dementia care focusing either on
the deficits of a demented woman (negative framing), or focusing on the remaining
resources (positive framing) of the same patient. MAIN OUTCOME MEASURES: Approval
of life-sustaining treatments in five critical medical scenarios under the
assumption of having comorbid dementia, before and after the presentation of the
brief videos on care. RESULTS: At baseline, the acceptance of life-sustaining
measures in critical medical situations was significantly lower in subjects
anticipating their own future life with dementia. Participants watching the
resource-oriented film on living with dementia had significantly higher post-film
acceptance rates compared to those watching the deficit-oriented negatively
framed film. This effect particularly emerges if brief and efficient life-saving
interventions with a high likelihood of physical recovery are available (eg,
antibiotic treatment for pneumonia). CONCLUSIONS: Anticipated decisions regarding
life-sustaining measures are negatively influenced by the subjective imagination
of living with dementia, which might be shaped by common, unquestioned
stereotypes. This bias can be reduced by providing audio-visual information on
living with dementia which is not only centred around cognitive and functional
losses but also focuses on remaining resources and the apparent quality of life.
This is particularly true if the medical threat can be treated efficiently. These
findings have implications for the practice of formulating, revising, and
supporting advance directives.
PMID- 29795606
TI - The Super-Seniors Study: Phenotypic characterization of a healthy 85+ population.
AB - BACKGROUND: To understand why some people live to advanced age in good health and
others do not, it is important to study not only disease, but also long-term good
health. The Super-Seniors Study aims to identify factors associated with healthy
aging. METHODS: 480 healthy oldest-old 'Super-Seniors' aged 85 to 105 years and
never diagnosed with cancer, cardiovascular disease, diabetes, dementia, or major
pulmonary disease, were compared to 545 mid-life controls aged 41-54, who
represent a group that is unselected for survival from late-life diseases. Health
and lifestyle information, personal and family medical history, and blood samples
were collected from all participants. Super-Seniors also underwent four geriatric
tests. RESULTS: Super-Seniors showed high cognitive (Mini-Mental State Exam mean
= 28.3) and functional capacity (Instrumental Activities of Daily Living Scale
mean = 21.4), as well as high physical function (Timed Up and Go mean = 12.3
seconds) and low levels of depression (Geriatric Depression Scale mean = 1.5).
Super-Seniors were less likely to be current smokers than controls, but the
frequency of drinking alcohol was the same in both groups. Super-Seniors were
more likely to have 4 or more offspring; controls were more likely to have no
children. Female Super-Seniors had a mean age of last fertility 1.9 years older
than controls, and were 2.3 times more likely to have had a child at >= 40 years.
The parents of Super-Seniors had mean ages of deaths of 79.3 years for mothers,
and 74.5 years for fathers, each exceeding the life expectancy for their era by a
decade. CONCLUSIONS: Super-Seniors are cognitively and physically high
functioning individuals who have evaded major age-related chronic diseases into
old age, representing the approximately top 1% for healthspan. The familiality of
long lifespan of the parents of Super-Seniors supports the hypothesis that
heritable factors contribute to this desirable phenotype.
PMID- 29795607
TI - Thymulin, free or bound to PBCA nanoparticles, protects mice against chronic
septic inflammation.
AB - In the present work, we aimed to study the effects of free and
polybutylcyanoacrylate nanoparticle-bound thymulin on immune cell activity in
mice with chronic inflammation. NF-kappaB, MAPK, and PKC-theta signaling pathway
activity was assessed, alongside Hsp72, Hsp90-alpha, and TLR4 expression and
levels of apoptosis. In addition, plasma cytokines and blood and brain melatonin
and serotonin levels were measured. In mice treated with gradually raised doses
of lipopolysaccharide, significant increases in the activity of the signaling
pathways tested, heat-shock protein and TLR4 expression, lymphocyte apoptosis,
and plasma proinflammatory cytokine levels were noted. Moreover, we observed
significantly heightened serotonin concentrations in the plasma and especially
the brains of mice with inflammation. In contrast, melatonin levels were reduced
in the tissues examined, particularly so in the brain. Treatment of these mice
with thymulin alleviated fever, reduced apoptosis, increased splenic cell number,
and decreased cytokine production, Hsp72, Hsp90, and TLR4 expression, and the
activity of the signaling pathways examined. In addition, thymulin partially
restored brain and blood serotonin and melatonin levels. Thus, thymulin
suppressed the proinflammatory response in LPS-treated mice, indicating the
potential of thymulin co-therapy in the treatment of sepsis. Nanoparticle-bound
thymulin was more effective in several respects.
PMID- 29795608
TI - Small field models with gravitational wave signature supported by CMB data.
AB - We study scale dependence of the cosmic microwave background (CMB) power spectrum
in a class of small, single-field models of inflation which lead to a high value
of the tensor to scalar ratio. The inflaton potentials that we consider are
degree 5 polynomials, for which we precisely calculate the power spectrum, and
extract the cosmological parameters: the scalar index ns, the running of the
scalar index nrun and the tensor to scalar ratio r. We find that for non
vanishing nrun and for r as small as r = 0.001, the precisely calculated values
of ns and nrun deviate significantly from what the standard analytic treatment
predicts. We study in detail, and discuss the probable reasons for such
deviations. As such, all previously considered models (of this kind) are based
upon inaccurate assumptions. We scan the possible values of potential parameters
for which the cosmological parameters are within the allowed range by
observations. The 5 parameter class is able to reproduce all of the allowed
values of ns and nrun for values of r that are as high as 0.001. Subsequently
this study at once refutes previous such models built using the analytical
Stewart-Lyth term, and revives the small field brand, by building models that do
yield an appreciable r while conforming to known CMB observables.
PMID- 29795609
TI - Oyster aquaculture impacts Zostera marina epibiont community composition in
Akkeshi-ko estuary, Japan.
AB - Coastal fisheries are in decline worldwide, and aquaculture has become an
increasingly popular way to meet seafood demand. While finfish aquaculture can
have substantial adverse effects on coastal ecosystems due mostly to necessary
feed inputs, bivalves graze on natural phytoplankton and are often considered for
their positive ecosystem services. We conducted two independent studies to
investigate the effects of long-line Crassostrea gigas oyster aquaculture on
Zostera marina seagrass beds and associated epibiont communities in Akkeshi-ko
estuary, Japan. Results from both studies yielded no evidence of an effect of
oyster aquaculture on the morphology, density, or biomass of Z. marina, but
significant differences were apparent in the epibiont community. Reference
seagrass beds located away from aquaculture had higher seagrass epiphyte loads
and higher abundances of amphipods. Conversely, seagrass beds below aquaculture
lines had higher sessile polychaete biomass and higher isopod abundances. Our
results suggest that the presence of oyster aquaculture may have indirect effects
on seagrass by changing epibiont community composition and relative abundances of
species. One proposed mechanism is that cultured oysters feed on epiphytic
diatoms and epiphyte propagules before they can settle on the seagrass, which
reduces epiphyte loads and influences subsequent faunal settlement. If carefully
implemented and monitored, long-line oyster aquaculture may be a sustainable
option to consider as bivalve aquaculture expands to meet global seafood demand,
but further work is needed to fully assess and generalize the community-level
effects on seagrass epibionts.
PMID- 29795611
TI - Improving the quality of a collective signal in a consumer EEG headset.
AB - This work focuses on the experimental data analysis of electroencephalography
(EEG) data, in which multiple sensors are recording oscillatory voltage time
series. The EEG data analyzed in this manuscript has been acquired using a low
cost commercial headset, the Emotiv EPOC+. Our goal is to compare different
techniques for the optimal estimation of collective rhythms from EEG data. To
this end, a traditional method such as the principal component analysis (PCA) is
compared to more recent approaches to extract a collective rhythm from phase
synchronized data. Here, we extend the work by Schwabedal and Kantz (PRL 116,
104101 (2016)) evaluating the performance of the Kosambi-Hilbert torsion (KHT)
method to extract a collective rhythm from multivariate oscillatory time series
and compare it to results obtained from PCA. The KHT method takes advantage of
the singular value decomposition algorithm and accounts for possible phase lags
among different time series and allows to focus the analysis on a specific
spectral band, optimally amplifying the signal-to-noise ratio of a common rhythm.
We evaluate the performance of these methods for two particular sets of data: EEG
data recorded with closed eyes and EEG data recorded while observing a screen
flickering at 15 Hz. We found an improvement in the signal-to-noise ratio of the
collective signal for the KHT over the PCA, particularly when random temporal
shifts are added to the channels.
PMID- 29795610
TI - Virus survey in populations of two subspecies of bent-winged bats (Miniopterus
orianae bassanii and oceanensis) in south-eastern Australia reveals a high
prevalence of diverse herpesviruses.
AB - While bats are often viewed as carriers of infectious disease agents, little
research has been conducted on the effects these potential pathogens may have on
the bat populations themselves. The southern bent-winged bat (Miniopterus orianae
bassanii) is a critically endangered subspecies endemic to south-eastern
Australia. Population numbers of this bat have been declining for the past 50
years, but the reasons for this are unclear. As part of a larger study to
determine if disease could be a contributing factor to this decline, 351 southern
bent-winged bats from four locations were captured, and oral swabs were collected
and tested for the presence of potentially pathogenic viruses. Results were
compared with those obtained from 116 eastern bent-winged bats (Miniopterus
orianae oceanensis) from three different locations. The eastern bent-winged bat
is a related but more common and widespread subspecies whose geographical range
overlaps partly with southern bent-winged bats. Herpesviruses were detected in
bent-winged bats from all seven locations. At least six novel herpesviruses (five
betaherpesviruses and one gammaherpesvirus) were identified. The prevalence of
herpesvirus infection was higher in eastern bent-winged bats (44%, 51/116),
compared to southern bent-winged bats (27%, 95/351), although this varied across
the locations and sampling periods. Adenoviruses and a range of different RNA
viruses (lyssaviruses, filoviruses, coronaviruses and henipaviruses) were also
tested for but not detected. The detected herpesviruses did not appear to be
associated with obvious ill health, and may thus not be playing a role in the
population decline of the southern bent-winged bat. The detection of multiple
novel herpesviruses at a high prevalence of infection is consistent with our
understanding of bats as hosts to a rich diversity of viruses.
PMID- 29795612
TI - Race and virulence characterization of Puccinia graminis f. sp. tritici in China.
AB - Wheat stem rust was once the most destructive plant disease, but it has been
largely controlled. However, to prevent future problems, the ongoing development
of resistant wheat varieties requires knowledge of the changing virulence
patterns for Pgt virulence of the fungus that causes wheat stem rust and the
detection of new races. Surveys were conducted from 2013-2014 to determine the
races of the Pgt present in China. Low levels of stem rust infections were found
in China during this investigation and 11 Puccinia graminis f. sp. tritici (Pgt)
samples were obtained. In addition, 22 Pgt samples collected from the alternate
host (Berberis) were obtained and have been reported for the first time. Fifty
three isolates were obtained from all samples. Four race groups, including 13
physiological races, were identified. They included the most prevalent races,
34C3MTGQM and 34C6MRGQM, with 13.2% predominance, followed by 34C0MRGQM at 11.3%.
Six new races that were virulent against the resistance genes, Sr5 + Sr11, were
found for the first time in China, namely 34C0MRGQM, 34C3MTGQM, 34C3MKGQM,
34C3MKGSM, 34C6MTGSM, and 34C6MRGQM, with a predominance of 11.3, 13.2, 9.4, 9.4,
1.9, and 13.2%, respectively. Most of the genes studied were ineffective against
one or more of the tested isolates, except Sr9e, Sr21, Sr26, Sr31, Sr33, Sr38,
Sr47, and SrTt3. Genes Sr35, SrTmp, Sr30, Sr37, Sr17, and Sr36 were effective in
92.5, 86.8, 84.9, 84.9, 79.3, and 77.4% of the tested isolates, respectively. In
contrast, all of the isolates were virulent against Sr6, Sr7b, Sr9a, Sr9b, Sr9d,
Sr9g, and SrMcN. Our results indicate that remarkable differences exist among the
categories of the races in this study (i.e., their known virulence gene spectra)
and the Pgt races reported previously. In addition, the sexual cycle of Pgt may
contribute to its diversity in China.
PMID- 29795613
TI - Dietary sodium butyrate improves intestinal development and function by
modulating the microbial community in broilers.
AB - This study investigated the effects of dietary sodium butyrate (SB)
supplementation, provided as a specially coated product, on growth performance,
intestinal development, morphological structure and function in broilers. In
total, 720 one-day-old Arbor Acres male broilers were randomly allocated into six
treatment groups with six replicates each and then fed basal diets (control)
supplemented with 0, 200, 400, 800 or 1000 mg/kg of SB or with antibiotics (100
mg/kg aureomycin and 20 mg/kg colistin sulfate). The growth trial lasted for 42
days. No differences (P>0.05) in growth performance were detected between groups
during the grower period (1-21 d) or over the total (1-42 d) trial period,
whereas the addition of SB improved the intestinal structure by stimulating
(P<0.05) goblet cells on jejunal and ileal villi accompanied by a trend towards
increased (Pdiets<0.10) ileal villus height. In addition, more inerratic leaf
shaped villi and mucus secretion and significantly fewer erosions were
demonstrated by scanning electron microscopy. Apart from decreased (P<0.05)
malondialdehyde (MDA) in the ileal mucosa at 21 d of age, supplemental SB at
higher doses (800 mg/kg) led to greater (P<0.05) total antioxidant capacity and
depressed (P<0.05) MDA concentrations in the jejunal mucosa. Birds fed with 400
mg/kg and 800 mg/kg SB had higher (P<0.05) acetic acid concentrations at 42 d and
higher butyric acid at 21 d in the jejunum chyme. Morever, chicks fed SB diet
were found to have higher concentrations of butyric acid (P<0.05) in the ileal
chyme. SB additions at 400 mg/kg displayed higher Firmicutes and Proteobacteria
levels, while a higher (P<0.05) relative abundance of Bacteroidetes was observed
at 800 mg/kg. Furthermore, we found a striking decrease in Enterobacteriaceae and
increases in Lachnospiraceae and Rikenellaceae in the cecal lumen of birds fed
800 mg/kg SB as well as a higher proportion of Ruminococcaceae and a noticeable
reduction (P<0.05) of Lactobacillaceae in birds treated with 400 mg/kg SB. Taken
together, our results support the importance of SB in improving the intestinal
development, morphological structure and biological functions of broilers through
modulation of the microbial community, which seems to be optimized for gut health
at higher doses (800 mg/kg) of SB.
PMID- 29795615
TI - Terrestrial mammal responses to oil palm dominated landscapes in Colombia.
AB - The rapid expansion of oil palm cultivation in the Neotropics has generated great
debate around possible biodiversity impacts. Colombia, for example, is the
largest producer of oil palm in the Americas, but the effects of oil palm
cultivation on native fauna are poorly understood. Here, we compared how
richness, abundance and composition of terrestrial mammal species differ between
oil palm plantations and riparian forest in the Colombian Llanos region. Further,
we determined the relationships and influence of landscape and habitat level
variables on those metrics. We found that species richness and composition
differed significantly between riparian forest and oil palm, with site level
richness inside oil palm plantations 47% lower, on average, than in riparian
forest. Within plantations, mammalian species richness was strongly negatively
correlated with cattle abundance, and positively correlated with the density of
undergrowth vegetation. Forest structure characteristics appeared to have weak
and similar effects on determining mammal species richness and composition along
riparian forest strips. Composition at the landscape level was significantly
influenced by cover type, percentage of remaining forest and the distance to the
nearest town, whereas within oil palm sites, understory vegetation, cattle
relative abundance, and canopy cover had significant effects on community
composition. Species specific abundance responses varied between land cover
types, with oil palm having positive effects on mesopredators, insectivores and
grazers. Our findings suggest that increasing habitat complexity, avoiding cattle
and retaining native riparian forest-regardless of its structure-inside oil palm
dominated landscapes would help support higher native mammal richness and
abundance at both local and landscape scales.
PMID- 29795614
TI - Analysis of the resistance mechanisms in sugarcane during Sporisorium scitamineum
infection using RNA-seq and microscopy.
AB - Smut caused by biotrophic fungus Sporisorium scitamineum is a major disease of
cultivated sugarcane that can cause considerable yield losses. It has been
suggested in literature that there are at least two types of resistance
mechanisms in sugarcane plants: an external resistance, due to chemical or
physical barriers in the sugarcane bud, and an internal resistance governed by
the interaction of plant and fungus within the plant tissue. Detailed molecular
studies interrogating these two different resistance mechanisms in sugarcane are
scarce. Here, we use light microscopy and global expression profiling with RNA
seq to investigate these mechanisms in sugarcane cultivar CP74-2005, a cultivar
that possibly possesses both internal and external defence mechanisms. A total of
861 differentially expressed genes (DEGs) were identified in a comparison between
infected and non-infected buds at 48 hours post-inoculation (hpi), with 457 (53%)
genes successfully annotated using BLAST2GO software. This includes genes
involved in the phenylpropanoid pathway, cell wall biosynthesis, plant hormone
signal transduction and disease resistance genes. Finally, the expression of 13
DEGs with putative roles in S. scitamineum resistance were confirmed by
quantitative real-time reverse transcription PCR (qRT-PCR) analysis, and the
results were consistent with the RNA-seq data. These results highlight that the
early sugarcane response to S. scitamineum infection is complex and many of the
disease response genes are attenuated in sugarcane cultivar CP74-2005, while
others, like genes involved in the phenylpropanoid pathway, are induced. This may
point to the role of the different disease resistance mechanisms that operate in
cultivars such as CP74-2005, whereby the early response is dominated by external
mechanisms and then as the infection progresses, the internal mechanisms are
switched on. Identification of genes underlying resistance in sugarcane will
increase our knowledge of the sugarcane-S. scitamineum interaction and facilitate
the introgression of new resistance genes into commercial sugarcane cultivars.
PMID- 29795618
TI - Eye position affects flight altitude in visual approach to landing independent of
level of expertise of pilot.
AB - The present study addresses the effect of the eye position in the cockpit on the
flight altitude during the final approach to landing. Three groups of
participants with different levels of expertise (novices, trainees, and certified
pilots) were given a laptop with a flight simulator and they were asked to
maintain a 3.71 degrees glide slope while landing. Each participant performed 40
approaches to the runway. During 8 of the approaches, the point of view that the
flight simulator used to compute the visual scene was slowly raised or lowered
with 4 cm with respect to the cockpit, hence moving the projection of the visible
part of the cockpit down or up in the visible scene in a hardly noticeable
manner. The increases and decreases in the simulated eye height led to increases
and decreases in the altitude of the approach trajectories, for all three groups
of participants. On the basis of these results, it is argued that the eye
position of pilots during visual approaches is a factor that contributes to the
risk of black hole accidents.
PMID- 29795617
TI - Dietary supplementation with yeast hydrolysate in pregnancy influences colostrum
yield and gut microbiota of sows and piglets after birth.
AB - Dietary supplementation with yeast derivatives (YD) contributes to the health and
physiology of sows and piglets, but few studies have focused on how it influences
gut health and performance of sows and piglets. The goal was therefore to examine
whether YD, based on brewer's yeast hydrolysate added to pregnancy diet, would
affect colostrum composition, yield (CY) and gut microbiota of sows and piglets.
Sows were allocated to either a control diet (n = 19) or a control diet
supplemented with 2g YD/kg (n = 18) during the pregnancy. Piglets suckling
belonging to the control sows (n = 114) and supplemented sows (n = 108) were also
included in the study. Gut microbiota populations of sows at farrowing and
piglets at one and four weeks of age were assessed using 16S rRNA gene
sequencing. Colostrum samples were examined for nutritional composition and
immunoglobulin (Ig) content. All piglets were individually weighed at birth and
24 hours later in order to calculate CY, and later at four weeks to calculate
average daily gain (ADG). Protein, lactose and dry matter content of colostrum
did not significantly differ between the two groups, while sows fed YD had higher
levels of fat in their colostrum (P < 0.05). Immunoglobulin A, IgM and IgG levels
in colostrum did not differ between the two groups (P >0.05). Colostrum yield was
lower in the control than that in YD group (3701g vs. 4581 g; P <0.05). Although
the YD supplementation did not change fecal bacteria diversity in sow, more
beneficial and fermentative bacteria (Roseburia, Paraprevotella, Eubacterium)
were found in the YD fed group (P <0.01) while, some opportunistic pathogens,
including Proteobacteria, especially the genera Desulfovibrio,
Escherichia/Shigella and Helicobacter, were suppressed. Piglets at one week of
age from sows fed YD had more beneficial microbial populations with significant
diversity and fewer opportunistic pathogens. Additionally, we established a
Pearson's correlations between CY, colostrum components, piglet birth weight and
fecal microbiota. Therefore, YD added to the sow diet during pregnancy increases
colostrum availability and its energy content for neonate piglets, also promoting
beneficial maternal microbial sources for neonate.
PMID- 29795616
TI - Health related quality of life in adults after burn injuries: A systematic
review.
AB - OBJECTIVES: Measurement of health-related quality of life (HRQL) is essential to
qualify the subjective burden of burns in survivors. We performed a systematic
review of HRQL studies in adult burn patients to evaluate study design,
instruments used, methodological quality, and recovery patterns. METHODS: A
systematic review was performed. Relevant databases were searched from the
earliest record until October 2016. Studies examining HRQL in adults after burn
injuries were included. Risk of bias was scored using the Quality in Prognostic
Studies tool. RESULTS: Twenty different HRQL instruments were used among the 94
included studies. The Burn Specific Health Scale-Brief (BSHS-B) (46%), the Short
Form-36 (SF-36) (42%) and the EuroQol questionnaire (EQ-5D) (9%) were most often
applied. Most domains, both mentally and physically orientated, were affected
shortly after burns but improved over time. The lowest scores were reported for
the domains 'work' and 'heat sensitivity' (BSHS-B), 'bodily pain', 'physical role
limitations' (SF-36), and 'pain/discomfort' (EQ-5D) in the short-term and for
'work' and 'heat sensitivity', 'emotional functioning' (SF-36), 'physical
functioning' and 'pain/discomfort' in the long-term. Risk of bias was generally
low in outcome measurement and high in study attrition. CONCLUSION: Consensus on
preferred validated methodologies of HRQL measurement in burn patients would
facilitate comparability across studies, resulting in improved insights in
recovery patterns and better estimates of HRQL after burns. We recommend to
develop a guideline on the measurement of HRQL in burns. Five domains
representing a variety of topics had low scores in the long-term and require
special attention in the aftermath of burns.
PMID- 29795619
TI - Socio-cognitive load and social anxiety in an emotional anti-saccade task.
AB - The anti-saccade task has been used to measure attentional control related to
general anxiety but less so with social anxiety specifically. Previous research
has not been conclusive in suggesting that social anxiety may lead to
difficulties in inhibiting faces. It is possible that static face paradigms do
not convey a sufficient social threat to elicit an inhibitory response in
socially anxious individuals. The aim of the current study was twofold. We
investigated the effect of social anxiety on performance in an anti-saccade task
with neutral or emotional faces preceded either by a social stressor (Experiment
1), or valenced sentence primes designed to increase the social salience of the
task (Experiment 2). Our results indicated that latencies were significantly
longer for happy than angry faces. Additionally, and surprisingly, high anxious
participants made more erroneous anti-saccades to neutral than angry and happy
faces, whilst the low anxious groups exhibited a trend in the opposite direction.
Results are consistent with a general approach-avoidance response for positive
and threatening social information. However increased socio-cognitive load may
alter attentional control with high anxious individuals avoiding emotional faces,
but finding it more difficult to inhibit ambiguous faces. The effects of social
sentence primes on attention appear to be subtle but suggest that the anti
saccade task will only elicit socially relevant responses where the paradigm is
more ecologically valid.
PMID- 29795620
TI - Impact of LINE-1 hypomethylation on the clinicopathological and molecular
features of colorectal cancer patients.
AB - Recent studies suggest that aberrant DNA methylation might occur early and
commonly in colorectal tumorigenesis. In 111 normal subjects, the mean LINE-1
methylation level of peripheral blood was 81.0 +/- 5.7%. Of 143 colorectal cancer
(CRC) patients, the mean level of LINE-1 methylation was 60.5 +/- 12.5%. We
defined below 60% as cut-off value of LINE-1 hypomethylation, and 93 cases
(65.0%) had LINE-1 hypomethylation in the tumor tissue. LINE-1 hypomethylation
was not associated with any other clinical features. There was a trend that LINE
1 hypomethylation tumors were associated with advanced disease, but it did not
reach statistical significance. There was no significant association between
mutations of 12 genes, MSI-high, EMAST, and LINE-1 hypomethylation level. The
median follow-up was 61.2 months. Five-year disease-free survival (DFS) and
overall survival curves of patients with LINE-1 hypomethylation tumors were
significantly lower than those of patients with normal LINE-1 methylation tumors
(p = 0.032 and 0.001, respectively). Multivariate analysis showed that only TNM
staging was an independent prognostic factor for CRC patients including DFS and
overall survival (OS). LINE-1 did not impact patients' outcomes in multivariate
analysis including DFS and OS. In conclusion, LINE-1 hypomethylation is
marginally related to advanced stage CRC and impacts patients' outcomes in
univariate analysis.
PMID- 29795621
TI - Willingness to pay for a cure of low-risk melanoma patients in Germany.
AB - Malignant melanoma is potentially life-threatening but in most cases curable if
detected early. Willingness to pay (WTP) is a preference-based construct that
reflects burden of disease by assessment of the monetary value for a hypothetical
cure from disease. Since WTP (directly as total amount of money) has not been
assessed so far in patients with low risk melanoma, it was interesting to gain
insights in this patient population and then, in a second step, compare it
directly with the WTP of their treating dermato-oncologists. WTP was assessed in
125 patients with low-risk melanoma and additionally in 105 treating physicians,
asking for the one-time and continuous payments they would be willing to make for
a sustainable cure, both as absolute sums and as percentages of monthly income.
The median WTP based on one-time payment was ?10,000 for patients and ?100,000
for physicians; relative numbers were 100% versus 300% of monthly income. For
continuous monthly payments, WTP was ?500 for patients and ?1000 for physicians,
relative numbers 25% and 50% of income, respectively. Even after controlling for
income differences, there was a significantly higher WTP in physicians for all
four questions. Compared to patients with chronic skin diseases such as vitiligo,
rosacea, atopic eczema and psoriasis, patients with low-risk melanoma showed a
significantly higher WTP. Our data suggest that there is a relevant burden of
disease even in patients with low-risk tumors. Higher WTP of physicians
underlines the prevalence of differences in disease perception.
PMID- 29795622
TI - Nonsensical choices? Fall armyworm moths choose seemingly best or worst hosts for
their larvae, but neonate larvae make their own choices.
AB - Selecting optimal host plants is critical for herbivorous insects, such as fall
armyworm (Spodoptera frugiperda), an important maize pest in the Americas and
Africa. Fall armyworm larvae are presumed to have limited mobility, hence female
moths are presumed to be largely responsible for selecting hosts. We addressed
host selection by fall armyworm moths and neonate and older (3rd-instar) larvae,
as mediated by resistance and herbivory in maize plants. Thus, we compared
discrimination among three maize cultivars with varying degrees of resistance to
fall armyworm, and between plants subjected or not to two types of herbivory. The
cultivars were: (i) susceptible, and deficient in jasmonic acid (JA) production
and green leaf volatiles (GLV) emissions (inbred line B73-lox10); (ii) modestly
resistant (B73), and; (iii) highly resistant (Mp708). The herbivory types were:
(i) ongoing (= fall armyworm larvae present), and; (ii) future (= fall armyworm
eggs present). In choice tests, moths laid more eggs on the highly resistant
cultivar, and least on the susceptible cultivar, though on those cultivars larvae
performed poorest and best, respectively. In the context of herbivory, moths laid
more eggs: (i) on plants subject to versus free of future herbivory, regardless
of whether plants were deficient or not in JA and GLV production; (ii) on plants
subject versus free of ongoing herbivory, and; (iii) on plants not deficient in
compared to deficient in JA and GLV production. Neonate larvae dispersed aerially
from host plants (i.e. ballooned), and most larvae colonized the modestly
resistant cultivar, and fewest the highly resistant cultivar, suggesting quasi
directional, directed aerial descent. Finally, dispersing older larvae did not
discriminate among the three maize cultivars, nor between maize plants and
(plastic) model maize plants, suggesting random, visually-oriented dispersal. Our
results were used to assemble a model of host selection by fall armyworm moths
and larvae, including recommendations for future research.
PMID- 29795623
TI - "We are doing it together"; The integral role of caregivers in a patients'
transition home from the medicine unit.
AB - BACKGROUND: An admission to hospital for acute illness can be difficult for
patients and lead to high levels of anxiety. Patients are given a lot of
information throughout their hospital stay and instructions at discharge to
follow when they get home. For complex medical patients, the ability to retain,
understand, and adhere to these instructions is a critical marker of a successful
transition. This study was undertaken to explore factors impacting the ability of
patients to understand and adhere to instructions. METHODS: A qualitative design
of interviews with patients and caregivers was used. Participants were adult
patients and caregivers with congestive heart failure, chronic obstructive
pulmonary disease, or community-acquired pneumonia being discharged home from
three academic acute care hospitals in Ontario, Canada. Semi structured
interviews were conducted with participants within one week following their
discharge from hospital. Interviews were audiotaped and transcribed. Five
independent researchers participated in an iterative process of coding,
reviewing, and analyzing the interviews using direct content analysis. RESULTS:
In total, 27 participants completed qualitative interviews. Analysis revealed the
role of the caregiver to be critical in its relation to the ability of patients
to understand and adhere to discharge instructions. Within the topic of
caregiving, we draw on three areas of insight: The first clarified how caregivers
support patients after they are discharged home from the hospital. The second
highlighted how caregiver involvement impacts patient understanding and adherence
to discharge instructions. The third revealed system factors that influence a
caregiver's involvement when receiving discharge instructions. CONCLUSION:
Caregivers play an important role in the transition of a complex medical patient
by impacting a patient's ability to understand and adhere to their discharge
instructions. The themes identified in this paper highlight opportunities for
healthcare providers and institutions to effectively involve caregivers during
transitions from acute care hospitals to home.
PMID- 29795625
TI - Incidence of sexually transmitted infections during pregnancy.
AB - Prevalence of sexually transmitted infections (STI) is high among pregnant women
in certain settings. We estimated STI incidence and compared STI risk in pregnant
and non-pregnant women. Data came from the Methods for Improving Reproductive
Health in Africa (MIRA) study conducted in South Africa and Zimbabwe 2003-2006.
Women aged 18-50 years with at least one follow-up visit within 6 months of
enrollment were included. Follow-up visits included laboratory testing for
pregnancy, chlamydia, gonorrhea, trichomoniasis, and HIV, as well as self-report
of hormonal contraceptive (HC) use, sexual behaviors and intravaginal practices.
All visits were classified according to pregnancy status. Incidence of each STI
was calculated using follow-up time. Cox proportional hazards models were fitted
using pregnancy as a time-varying exposure and sexual behaviors and intravaginal
practices as time-varying covariates. Among 4,549 women, 766 (16.8%) had a
positive pregnancy test. Median follow-up time was 18 months [IQR: 12-24]. The
overall incidence rate of chlamydia was 6.7 per 100 person years (py) and
9.9/100py during pregnancy; gonorrhea incidence was 2.7/100py and 4.9/100py
during pregnancy; trichomoniasis incidence was 7.1/100py overall and 9.2/100py
during pregnancy. Overall HIV incidence was 3.9/100py and 3.8/100py during
pregnancy. In crude models, pregnancy increased risk for chlamydia (hazard ratio
(HR) 1.5, 95%CI: 1.1-1.2), however there was no increased risk of any measured
STI in adjusted models. STI Incidence was high during pregnancy however pregnancy
did not increase STI risk after adjustment for sexual behaviors. Greater efforts
are needed to help pregnant women avoid STIs.
PMID- 29795624
TI - A network analysis of the propagation of evidence regarding the effectiveness of
fat-controlled diets in the secondary prevention of coronary heart disease (CHD):
Selective citation in reviews.
AB - OBJECTIVE: To examine how the first randomised controlled trials (RCTs)
evaluating the efficacy of cholesterol-lowering diets in the secondary prevention
of coronary heart disease were interpreted in reviews of the literature prior to
the National Institutes of Health consensus conference in 1984. DESIGN: Claim
specific citation network analysis was used to study the network of citations
between reviews and RCTs over a defined period (1969-1984). RCTs were identified
and classified according to whether their conclusions supported or opposed the
use of dietary fat modification/restriction in the secondary prevention of
coronary heart disease. Each review published in this period that cited any of
the RCTs was classified as supportive, neutral, or unsupportive to the use of
dietary fat modification based on a quotation analysis of its evaluation of the
findings of these RCTs. Citation bias and underutilisation were detected by
applying a comparative density measure, in-degree centrality, and out-degree in a
series of sub-graph analyses. RESULTS: In total, 66 unique publications were
identified (four RCTs-one supportive, three unsupportive; 62 reviews-28
supportive, 17 neutral, 17 unsupportive). On average, supportive reviews
underutilised the available RCTs to a greater degree than other reviews. Amongst
the supportive group, citation bias was common-23 (82%) reviews cited only the
one RCT that was supportive. CONCLUSION: Most reviews that disseminated a
supportive evaluation of the results of RCTs in the context of secondary
prevention cited only data that supported this position.
PMID- 29795626
TI - Feasibility of Electronic Health Information and Surveillance System (eHISS) for
disease symptom monitoring: A case of rural Ghana.
AB - INTRODUCTION: The current surge of mobile phone use in many African countries
creates the opportunity to provide caregivers with limited access to the health
care system with vital health recommendations. At the same time such
communication system can be utilised to collect tempero-spatial data on disease
symptoms. OBJECTIVE: We assessed the feasibility of an mHealth system among
caregivers with children under-five years, designed as a health information and
surveillance tool in a rural district of Ghana. METHODS: A mobile phone-based
electronic health information and surveillance system was piloted from February
to December 2015. Toll-free numbers were provided to 1446 caregivers, which they
could call to receive health advice in case their children showed disease
symptoms. The system was setup to evaluate the illness of a sick child. Symptoms
reported via the system were evaluated and compared to clinician's report after
follow-up. Cogency of the reported symptoms was assessed using Cohen's kappa
coefficient. RESULTS: A total of 169 children with disease symptoms were
identified based on phone calls from caregivers. The predominant reported
symptoms were fever (64%; n = 108), cough (55%; n = 93) and diarrhoea (33%; n =
55). Temporal pattern of symptomatic cases revealed a peak saturation in the
month of September, with fever registering the highest number of symptoms
observed. Reported symptoms and clinician's report revealed a very good agreement
for fever (95%, kappa = 0.89); good for diarrhoea (87%, kappa = 0.73) and
moderate for cough (76%, kappa = 0.49). CONCLUSION: This pilot concept, has
demonstrated the practicality of using mobile phones for assessing childhood
disease symptoms and encouraging caregivers to seek early treatment for their
children if needed. The strategy to use mobile phones in disease surveillance and
treatment support is a promising strategy especially for areas with limited
access to the health care system.
PMID- 29795627
TI - Inactivation of Salmonella Typhimurium and Listeria monocytogenes on ham with
nonthermal atmospheric pressure plasma.
AB - The application of cold atmospheric pressure plasma (CAP) for decontamination of
sliced ready-to-eat (RTE) meat products (in this case, rolled fillets of ham),
inoculated with Salmonella (S.) Typhimurium and Listeria (L.) monocytogenes was
investigated. Cold atmospheric plasma (CAP) is an ionised gas that includes
highly reactive species and ozone, interacting with cell membranes and DNA of
bacteria. The mode of action of CAPs includes penetration and disruption of the
outer cell membrane or intracellular destruction of DNA located in the cytoplasm.
Inoculated ham was treated for 10 and 20 min with CAP generated by a surface
micro-discharge-plasma source using cost-effective ambient air as working gas
with different humidity levels of 45-50 and 90%. The chosen plasma modes had a
peak-to-peak voltage of 6.4 or 10 kV and a frequency of 2 and 10 kHz. Under the
tested conditions, the direct effectiveness of CAP on microbial inactivation was
limited. Although all treated samples showed significant reductions in the
microbial load subsequent to plasma treatment, the maximum inactivation of S.
Typhimurium was 1.14 lg steps after 20 min of CAP-treatment (p<0.05), and L.
monocytogenes was reduced by 1.02 lg steps (p<0.05) using high peak-to-peak
voltage of 10 kV and a frequency of 2 kHz regardless of moisture content.
However, effective inactivation was achieved by a combination of CAP-treatment
and cold storage at 8 degrees C +/- 0.5 degrees C for 7 and 14 days after
packaging under sealed high nitrogen gas flush (70% N2, 30% CO2). Synergistic
effects of CAP and cold storage for 14 days led to a clearer decrease in the
microbial load of 1.84 lg steps for S. Typhimurium (p<0.05) and 2.55 lg steps for
L. monocytogenes (p<0.05). In the case of L. monocytogenes, subsequent to CAP
treatment (10 kV, 2 kHz) and cold storage, microbial counts were predominantly
below the detection limit. Measurement showed that after CAP-treatment, surface
temperature of ham did not exceed the room temperature of 22 degrees C +/- 2
degrees C. With the application of humidity levels of 45-50%, the colour distance
DeltaE increased in CAP treated samples due to a decrease in L* values. In
conclusion, effectiveness of CAP-treatment was limited. However, the combination
of CAP-treatment and cold storage of samples under modified-atmospheric
conditions up to 14 days could significantly reduce microorganisms on RTE ham.
Further investigations are required to improve effectiveness of CAP-treatment.
PMID- 29795628
TI - Predicting opioid receptor binding affinity of pharmacologically unclassified
designer substances using molecular docking.
AB - Opioids represent a highly-abused and highly potent class of drugs that have
become a significant threat to public safety. Often there are little to no
pharmacological and toxicological data available for new, illicitly used and
abused opioids, and this has resulted in a growing number of serious adverse
events, including death. The large influx of new synthetic opioids permeating the
street-drug market, including fentanyl and fentanyl analogs, has generated the
need for a fast and effective method to evaluate the risk a substance poses to
public safety. In response, the US FDA's Center for Drug Evaluation and Research
(CDER) has developed a rapidly-deployable, multi-pronged computational approach
to assess a drug's risk to public health. A key component of this approach is a
molecular docking model to predict the binding affinity of biologically
uncharacterized fentanyl analogs to the mu opioid receptor. The model was
validated by correlating the docking scores of structurally diverse opioids with
experimentally determined binding affinities. Fentanyl derivatives with sub
nanomolar binding affinity at the mu receptor (e.g. carfentanil and lofentanil)
have significantly lower binding scores, while less potent fentanyl derivatives
have increased binding scores. The strong correlation between the binding scores
and the experimental binding affinities suggests that this approach can be used
to accurately predict the binding strength of newly identified fentanyl analogs
at the mu receptor in the absence of in vitro data and may assist in the
temporary scheduling of those substances that pose a risk to public safety.
PMID- 29795629
TI - Efficacy and safety of direct oral anticoagulants approved for cardiovascular
indications: Systematic review and meta-analysis.
AB - BACKGROUND: Direct oral anticoagulants (DOACs) have emerged as promising
alternatives to vitamin K antagonists (VKAs) for patients with non-valvular
atrial fibrillation (NVAF) or venous thromboembolism (VTE). Few meta-analyses
have included all DOACs that have received FDA approval for these cardiovascular
indications, and their overall comparisons against VKAs have shortcomings in data
and methods. We provide an updated overall assessment of the efficacy and safety
of those DOACs at dosages currently approved for NVAF or VTE, in comparison with
VKAs. METHODS: We used data from Phase 3 randomized trials that compared an FDA
approved DOAC with VKA for primary prevention of stroke in patients with NVAF or
for treatment of acute VTE. RESULTS: Among trial participants with NVAF, DOAC
recipients had a lower risk of stroke or systemic embolism [Pooled Odds Ratio
(OR) 0.76, 95% Confidence Interval (CI) (0.68-0.84)], any stroke (0.80, 0.73
0.88), systemic embolism (0.56, 0.34-0.93), and total mortality (0.89, 0.84
0.95). Safety outcomes also showed a lower risk of fatal, major, and intracranial
bleeding but higher risk for gastrointestinal bleeding (GIB). Patients with acute
VTE randomized to DOACs had comparable risk of recurrent VTE and death (OR 0.88,
95% CI 0.75-1.03), recurrent DVT (0.83, 0.66-1.05), recurrent non-fatal PE (0.97,
0.75-1.25), and total mortality (0.94, 0.79-1.12). Safety outcomes for DOACs
showed a lower risk of major, fatal, and intracranial bleeding, but similar risk
of GIB. CONCLUSIONS: Patients receiving DOACs for NVAF had predominantly superior
efficacy and safety. Patients who were treated with DOACs for acute VTE had non
inferior efficacy, but an overall superior safety profile.
PMID- 29795631
TI - Data-assisted reduced-order modeling of extreme events in complex dynamical
systems.
AB - The prediction of extreme events, from avalanches and droughts to tsunamis and
epidemics, depends on the formulation and analysis of relevant, complex dynamical
systems. Such dynamical systems are characterized by high intrinsic
dimensionality with extreme events having the form of rare transitions that are
several standard deviations away from the mean. Such systems are not amenable to
classical order-reduction methods through projection of the governing equations
due to the large intrinsic dimensionality of the underlying attractor as well as
the complexity of the transient events. Alternatively, data-driven techniques aim
to quantify the dynamics of specific, critical modes by utilizing data-streams
and by expanding the dimensionality of the reduced-order model using delayed
coordinates. In turn, these methods have major limitations in regions of the
phase space with sparse data, which is the case for extreme events. In this work,
we develop a novel hybrid framework that complements an imperfect reduced order
model, with data-streams that are integrated though a recurrent neural network
(RNN) architecture. The reduced order model has the form of projected equations
into a low-dimensional subspace that still contains important dynamical
information about the system and it is expanded by a long short-term memory
(LSTM) regularization. The LSTM-RNN is trained by analyzing the mismatch between
the imperfect model and the data-streams, projected to the reduced-order space.
The data-driven model assists the imperfect model in regions where data is
available, while for locations where data is sparse the imperfect model still
provides a baseline for the prediction of the system state. We assess the
developed framework on two challenging prototype systems exhibiting extreme
events. We show that the blended approach has improved performance compared with
methods that use either data streams or the imperfect model alone. Notably the
improvement is more significant in regions associated with extreme events, where
data is sparse.
PMID- 29795630
TI - Sertoli cell-specific ablation of miR-17-92 cluster significantly alters whole
testis transcriptome without apparent phenotypic effects.
AB - MicroRNAs are frequently organized into polycistronic clusters whose
transcription is controlled by a single promoter. The miR-17-92 cluster is
expressed in most embryonic and postnatal organs. It is a potent oncogene
associated to several types of cancer and it is involved in several important
developmental processes. In the testis, expression of the miR-17-92 cluster in
the germ cells is necessary to maintain normal spermatogenesis. This cluster is
also expressed in Sertoli cells (the somatic cells of the seminiferous tubules),
which require miRNAs for correct cell development and survival. To study the
possible role of miR-17-92 in Sertoli cell development and function and, in order
to overcome the postnatal lethality of miR-17-92-/ mice, we conditionally deleted
it in embryonic Sertoli cells shortly after the sex determination stage using an
Amh-Cre allele. Mutant mice developed apparently normal testes and were fertile,
but their testis transcriptomes contained hundreds of moderately deregulated
genes, indicating that testis homeostasis is tightly controlled in mammals and
that miR-17-92 expression in Sertoli cells contribute to maintain normal gene
expression levels, but is unnecessary for testis development and function. Our
results show that significant deregulation of hundreds of genes might have no
functional consequences.
PMID- 29795632
TI - Anti-inflammatory properties of ursodeoxycholyl lysophosphatidylethanolamide in
endotoxin-mediated inflammatory liver injury.
AB - AIM: Endotoxin-mediated liver inflammation is a key component of many acute and
chronic liver diseases contributing to liver damage, fibrosis and eventually
organ failure. Here, we investigated ursodeoxycholyl lysophosphatidylethanolamide
(UDCA-LPE), a synthetic bile acid-phospholipid conjugate regarding its anti
inflammatory and anti-fibrogenic properties. METHODS: Anti-inflammatory
properties of UDCA-LPE were evaluated in a mouse model of D
galactosamine/lipopolysaccharide (GalN/LPS)-induced acute liver injury, LPS
treated RAW264.7 macrophages and murine primary Kupffer cells. Furthermore, anti
inflammatory and anti-fibrotic effects of UDCA-LPE were studied on primary
hepatic stellate cells (HSC) incubated with supernatant from LPS+/-UDCA-LPE
treated RAW264.7 cells. RESULTS: UDCA-LPE ameliorated LPS-induced increase of IL
6, TNF-alpha, TGF-beta, NOX-2 in the GalN/LPS model by up to 80.2% for IL-6.
Similarly, UDCA-LPE markedly decreased the expression of inflammatory cytokines
IL-6, TNF-alpha and TGF-beta as well as the chemokines MCP1 and RANTES in LPS
stimulated RAW 264.7 cells. Anti-inflammatory effects were also observed in
primary murine Kupffer cells. Mechanistic evaluation revealed a reversion of LPS
activated pro-inflammatory TLR4 pathway by UDCA-LPE. Moreover, UDCA-LPE inhibited
iNOS and NOX-2 expression while activating eNOS via phosphorylation of AKT and
pERK1/2 in RAW264.7 cells. HSC treated with conditioned medium from LPS+/-UDCA
LPE RAW264.7 cells showed lower fibrogenic activation due to less SMAD2/3
phosphorylation, reduced expression of profibrogenic CTGF and reduced pro
inflammatory chemokine expression. CONCLUSION: In the setting of endotoxin
mediated liver inflammation, UDCA-LPE exerts profound anti-inflammatory and anti
fibrotic effect implying a promising potential for the drug candidate as an
experimental approach for the treatment of acute and chronic liver diseases.
PMID- 29795633
TI - Impact of immigrants on a multi-agent economical system.
AB - We consider a multi-agent model of a simple economical system and study the
impacts of a wave of immigrants on the stability of the system. Our model couples
a labor market with a goods market. We first create a stable economy with N
agents and study the impact of adding n new workers in the system. The time to
reach a new equilibrium market is found to obey a power law in n. The new wages
and market prices are observed to decrease as 1/n, whereas the wealth of agents
remains unchanged.
PMID- 29795635
TI - Novel isoguanine derivative of unlocked nucleic acid-Investigations of
thermodynamics and biological potential of modified thrombin binding aptamer.
AB - Thrombin binding aptamer (TBA), is a short DNA 15-mer that forms G-quadruplex
structure and possesses anticoagulant properties. Some chemical modifications,
including unlocked nucleic acids (UNA), 2'-deoxy-isoguanosine and 2'-deoxy-4
thiouridine were previously found to enhance the biological activity of TBA. In
this paper, we present thermodynamic and biological characteristics of TBA
variants that have been modified with novel isoguanine derivative of UNA as well
as isoguanosine. Additionally, UNA-4-thiouracil and 4-thiouridine were also
introduced simultaneously with isoguanine derivatives. Thermodynamic analysis
indicates that the presence of isoguanosine in UNA or RNA series significantly
decreases the stability of G-quadruplex structure. The highest destabilization is
observed for substitution at one of the G-tetrad position. Addition of 4
thiouridine in UNA or RNA series usually decreases the unfavorable energetic cost
of the presence of UNA or RNA isoguanine. Circular dichroism and thermal
denaturation spectra in connection with thrombin time assay indicate that the
introduction of UNA-isoguanine or isoguanosine into TBA negatively affects G
quadruplex folding and TBA anticoagulant properties. These findings demonstrate
that the highly-ordered structure of TBA is essential for inhibition of thrombin
activity.
PMID- 29795634
TI - Defects in nerve conduction velocity and different muscle fibre-type specificity
contribute to muscle weakness in Ts1Cje Down syndrome mouse model.
AB - BACKGROUND: Down syndrome (DS) is a genetic disorder caused by presence of extra
copy of human chromosome 21. It is characterised by several clinical phenotypes.
Motor dysfunction due to hypotonia is commonly seen in individuals with DS and
its etiology is yet unknown. Ts1Cje, which has a partial trisomy (Mmu16)
homologous to Hsa21, is well reported to exhibit various typical
neuropathological features seen in individuals with DS. This study investigated
the role of skeletal muscles and peripheral nerve defects in contributing to
muscle weakness in Ts1Cje mice. RESULTS: Assessment of the motor performance
showed that, the forelimb grip strength was significantly (P<0.0001) greater in
the WT mice compared to Ts1Cje mice regardless of gender. The average survival
time of the WT mice during the hanging wire test was significantly (P<0.0001)
greater compared to the Ts1Cje mice. Also, the WT mice performed significantly
(P<0.05) better than the Ts1Cje mice in the latency to maintain a coordinated
motor movement against the rotating rod. Adult Ts1Cje mice exhibited
significantly (P<0.001) lower nerve conduction velocity compared with their aged
matched WT mice. Further analysis showed a significantly (P<0.001) higher
population of type I fibres in WT compared to Ts1Cje mice. Also, there was
significantly (P<0.01) higher population of COX deficient fibres in Ts1Cje mice.
Expression of Myf5 was significantly (P<0.05) reduced in triceps of Ts1Cje mice
while MyoD expression was significantly (P<0.05) increased in quadriceps of
Ts1Cje mice. CONCLUSION: Ts1Cje mice exhibited weaker muscle strength. The lower
population of the type I fibres and higher population of COX deficient fibres in
Ts1Cje mice may contribute to the muscle weakness seen in this mouse model for
DS.
PMID- 29795636
TI - ARRIVE has not ARRIVEd: Support for the ARRIVE (Animal Research: Reporting of in
vivo Experiments) guidelines does not improve the reporting quality of papers in
animal welfare, analgesia or anesthesia.
AB - Poor research reporting is a major contributing factor to low study
reproducibility, financial and animal waste. The ARRIVE (Animal Research:
Reporting of In Vivo Experiments) guidelines were developed to improve reporting
quality and many journals support these guidelines. The influence of this support
is unknown. We hypothesized that papers published in journals supporting the
ARRIVE guidelines would show improved reporting compared with those in non
supporting journals. In a retrospective, observational cohort study, papers from
5 ARRIVE supporting (SUPP) and 2 non-supporting (nonSUPP) journals, published
before (2009) and 5 years after (2015) the ARRIVE guidelines, were selected.
Adherence to the ARRIVE checklist of 20 items was independently evaluated by two
reviewers and items assessed as fully, partially or not reported. Mean
percentages of items reported were compared between journal types and years with
an unequal variance t-test. Individual items and sub-items were compared with a
chi-square test. From an initial cohort of 956, 236 papers were included: 120
from 2009 (SUPP; n = 52, nonSUPP; n = 68), 116 from 2015 (SUPP; n = 61, nonSUPP;
n = 55). The percentage of fully reported items was similar between journal types
in 2009 (SUPP: 55.3 +/- 11.5% [SD]; nonSUPP: 51.8 +/- 9.0%; p = 0.07, 95% CI of
mean difference -0.3-7.3%) and 2015 (SUPP: 60.5 +/- 11.2%; nonSUPP; 60.2 +/-
10.0%; p = 0.89, 95%CI -3.6-4.2%). The small increase in fully reported items
between years was similar for both journal types (p = 0.09, 95% CI -0.5-4.3%). No
paper fully reported 100% of items on the ARRIVE checklist and measures
associated with bias were poorly reported. These results suggest that journal
support for the ARRIVE guidelines has not resulted in a meaningful improvement in
reporting quality, contributing to ongoing waste in animal research.
PMID- 29795638
TI - Asylum-seekers in Germany differ from regularly insured in their morbidity,
utilizations and costs of care.
AB - In the wake of the European refugee crisis, Germany has received over a million
new applications for asylum in the last two years. The health care system is
struggling to provide asylum-seekers with access to essential medical services
and facilitate their longer-term integration. In this article, we report on the
morbidity, utilization and costs of care for a sample of asylum-seekers as
compared to a matched group of regularly insured. Using administrative data, we
found that asylum-seekers had more hospital and emergency department admissions,
including more admissions that could be avoided through good outpatient care or
prevention. Their average expenditures were 10 percent higher than for the
regularly insured, mostly because of higher hospital expenditures, although there
was substantial variation in expenditures by country of origin. Facilitating
access to the health care system, especially outpatient and mental health care,
could improve asylum-seekers health status and integration, possibly at lower
costs.
PMID- 29795637
TI - Using the internet for suicide-related purposes: Contrasting findings from young
people in the community and self-harm patients admitted to hospital.
AB - Despite accelerating interest in the impact of the internet on suicidal
behaviour, empirical work has not captured detailed narratives from those who
engaged in suicide-related internet use. This study explored the suicide-related
online behaviour of two contrasting samples of distressed users, focusing on
their purpose, methods and the main content viewed. In-depth interviews were
conducted in the UK between 2014-2016 with i) young people in the community; and
ii) self-harm patients presenting to hospital emergency departments. Data were
analysed using methods of constant comparison. Suicide-related internet use
varied according to the severity of suicidal feelings. In the young people
sample, where severity was lower, use was characterised by disorganised browsing
without clear purpose. A range of content was 'stumbled upon' including
information about suicide methods. They also pursued opportunities to interact
with others and explore online help. Self-harm patients were a higher severity
group with a history of suicidal behaviour. Their use was purposeful and
strategic, focused around 'researching' suicide methods to maximise
effectiveness. They made specific choices about content viewed; many consulting
factual content in preference to user generated accounts, while help content and
communication was avoided. Findings indicate further action is necessary to
improve online safety. Also, novel online help approaches are needed to engage
individuals experiencing suicidal crisis. Awareness of the nature of suicide
related internet use and how this may reflect the status of an individual's
suicidal thinking could be beneficial to clinicians to promote safety and
indicate risk.
PMID- 29795639
TI - Propofol elicits autophagy via endoplasmic reticulum stress and calcium exchange
in C2C12 myoblast cell line.
AB - In this study, we investigated the relationship between propofol and autophagy
and examined whether this relationship depends on ER stress, production of ROS
(reactive oxygen species), and disruption of calcium (Ca2+) homeostasis. To this
end, we measured C2C12 cell apoptosis in vitro, along with Ca2+ levels; ROS
production; and expression of proteins and genes associated with autophagy, Ca2+
homeostasis, and ER stress, including LC3 (microtubule-associate protein 1 light
chain 3), p62, AMPK (adenosine 5'-monophosphate (AMP)-activated protein kinase),
phosphorylated AMPK, mTOR (the mammalian target of rapamycin), phosphorylated
mTOR, CHOP (C/BEP homologous protein), and Grp78/Bip (78 kDa glucose-regulated
protein). We found that propofol treatment induced autophagy, ER stress, and Ca2+
release. The ratio of phosphorylated AMPK to AMPK increased, whereas the ratio of
phosphorylated mTOR to mTOR decreased. Collectively, the data suggested that
propofol induced autophagy in vitro through ER stress, resulting in elevated ROS
and Ca2+. Additionally, co-administration of an ER stress inhibitor blunted the
effect of propofol.
PMID- 29795640
TI - Concussion classification via deep learning using whole-brain white matter fiber
strains.
AB - Developing an accurate and reliable injury predictor is central to the
biomechanical studies of traumatic brain injury. State-of-the-art efforts
continue to rely on empirical, scalar metrics based on kinematics or model
estimated tissue responses explicitly pre-defined in a specific brain region of
interest. They could suffer from loss of information. A single training dataset
has also been used to evaluate performance but without cross-validation. In this
study, we developed a deep learning approach for concussion classification using
implicit features of the entire voxel-wise white matter fiber strains. Using
reconstructed American National Football League (NFL) injury cases, leave-one-out
cross-validation was employed to objectively compare injury prediction
performances against two baseline machine learning classifiers (support vector
machine (SVM) and random forest (RF)) and four scalar metrics via univariate
logistic regression (Brain Injury Criterion (BrIC), cumulative strain damage
measure of the whole brain (CSDM-WB) and the corpus callosum (CSDM-CC), and peak
fiber strain in the CC). Feature-based machine learning classifiers including
deep learning, SVM, and RF consistently outperformed all scalar injury metrics
across all performance categories (e.g., leave-one-out accuracy of 0.828-0.862
vs. 0.690-0.776, and .632+ error of 0.148-0.176 vs. 0.207-0.292). Further, deep
learning achieved the best cross-validation accuracy, sensitivity, AUC, and .632+
error. These findings demonstrate the superior performances of deep learning in
concussion prediction and suggest its promise for future applications in
biomechanical investigations of traumatic brain injury.
PMID- 29795642
TI - When the tables are turned: The effects of the 2016 U.S. Presidential election on
in-group favoritism and out-group hostility.
AB - The outcome of the 2016 U.S. Presidential election was a big surprise to many, as
the majority of polls had predicted the opposite outcome. In this two-stage cross
sectional study, we focus on how Democrats and Republicans reacted to this
electoral surprise and how these reactions might have influenced the way they
allocated resources to each other in small groups. We find that, before the
election, Republicans showed greater in-group favoritism than Democrats, who
treated others equally, regardless of their political affiliation. We then show
that Democrats experienced the election outcome as an ego shock and, in the week
following the election, reported significantly higher levels of negative emotions
and lower levels of self-esteem than Republicans. These reactions then predicted
how individuals' decided to allocate resources to others: after the election,
Republicans no longer showed in-group favoritism, while Democrats showed out
group derogation. We find these decisions when the tables were turned can be
partially explained by differences in participants' state self-esteem.
PMID- 29795641
TI - Characterization of the murine orthotopic adamantinomatous craniopharyngioma PDX
model by MRI in correlation with histology.
AB - PURPOSE: Adamantinomatous craniopharyngiomas (ACP) as benign sellar brain tumors
are challenging to treat. In order to develop robust in vivo drug testing
methodology, the murine orthotopic craniopharyngioma model (PDX) was
characterized by magnetic resonance imaging (MRI) and histology in xenografts
from three patients (ACP1-3). METHODS: In ACP PDX, multiparametric MRI was
conducted to assess morphologic characteristics such as contrast-enhancing tumor
volume (CETV) as well as functional parameters from dynamic contrast-enhanced MRI
(DCE-MRI) and diffusion-weighted imaging (DWI) including area-under-the-curve
(AUC), peak enhancement (PE), time-to-peak (TTP) and apparent diffusion
coefficient (ADC). These MRI parameters evaluated in 27 ACP PDX were correlated
to histological features and percentage of vital tumor cell content. RESULTS:
Qualitative analysis of MRI and histology from PDX revealed a similar phenotype
as seen in patients, although the MRI appearance in mice resulted in a more solid
tumor growth than in humans. CETV were significantly higher in ACP2 xenografts
relative to ACP1 and ACP3 which correspond to respective average vitality of 41%,
<10% and 26% determined histologically. Importantly, CETV prove tumor growth of
ACP2 PDX as it significantly increases in longitudinal follow-up of 110 days.
Furthermore, xenografts from ACP2 revealed a significantly higher AUC, PE and TTP
in comparison to ACP3, and significantly increased ADC relative to ACP1 and ACP3
respectively. Overall, DCE-MRI and DWI can be used to distinguish vital from non
vital grafts, when using a cut off value of 15% for vital tumor cell content.
CONCLUSIONS: MRI enables the assessment of craniopharyngioma PDX vitality in vivo
as validated histologically.
PMID- 29795643
TI - Examining the relationship between blood lead level and stunting, wasting and
underweight- A cross-sectional study of children under 2 years-of-age in a
Bangladeshi slum.
AB - Elevated blood lead level (BLL) is known to cause cardiac, immune, and cognitive
damage but had not been thoroughly studied in relation to stunting among children
under two years of age. We primarily aimed to assess the relationship between
elevated BLL, the accumulation of concerned amount of the metal lead in blood and
stunting and secondarily-wasting and underweight amongst Bangladeshi children
less than two years of age. For this cross-sectional study, BLL measurements,
anthropometric data, and socioeconomic indicator information were collected and
analyzed for 729 children under two years of age upon enrollment in the MAL-ED
study conducted in a Bangladeshi slum area. Univariate, bivariate and
multivariate analyses were carried out to observe the proportion and mean and
contribution of elevated BLL and other relevant variables in explaining the
occurrence of stunting. Of the enrolled subjects, 39.0% were stunted [length-for
age z score (LAZ<-2)], 50.3% were male, and 86.6% had an elevated BLL
(>=5MUg/dL). Mean BLL of stunted children was 8.47 +/- 3.37 MUg/dL and 8.10 +/-
3.80 MUg/dL for non-stunted children. Proportion of children with elevated BLL
was not significantly different between the stunted and non-stunted groups
(p>0.05). When adjusted for other variables, elevated BLL was found to be a
significant predictor of stunting and underweight (p<0.05) but not wasting
(p>0.05). Elevated BLL (p<0.01), child's gender and weight (p<0.001), maternal
body mass index (BMI) (p<0.05) and severe household food insecurity (p<0.05) were
all significantly associated with stunting in the multivariate model. Increased
odds of stunting was also observed for increased BLL. The findings suggest that
chronic lead poisoning is significantly associated with high level of stunting
among child slum dwellers in Bangladesh. These findings strengthen the argument
for improved lead reduction efforts in Bangladesh, where lead poisoning and
stunting are both highly prevalent.
PMID- 29795644
TI - Discovery and characterization of a thermostable two-domain GH6 endoglucanase
from a compost metagenome.
AB - Enzymatic depolymerization of recalcitrant polysaccharides plays a key role in
accessing the renewable energy stored within lignocellulosic biomass, and natural
biodiversities may be explored to discover microbial enzymes that have evolved to
conquer this task in various environments. Here, a metagenome from a thermophilic
microbial community was mined to yield a novel, thermostable cellulase, named
mgCel6A, with activity on an industrial cellulosic substrate (sulfite-pulped
Norway spruce) and a glucomannanase side activity. The enzyme consists of a
glycoside hydrolase family 6 catalytic domain (GH6) and a family 2 carbohydrate
binding module (CBM2) that are connected by a linker rich in prolines and
threonines. MgCel6A exhibited maximum activity at 85 degrees C and pH 5.0 on
carboxymethyl cellulose (CMC), but in prolonged incubations with the industrial
substrate, the highest yields were obtained at 60 degrees C, pH 6.0. Differential
scanning calorimetry (DSC) indicated a Tm(app) of 76 degrees C. Both functional
data and the crystal structure, solved at 1.88 A resolution, indicate that
mgCel6A is an endoglucanase. Comparative studies with a truncated variant of the
enzyme showed that the CBM increases substrate binding, while not affecting
thermal stability. Importantly, at higher substrate concentrations the full
length enzyme was outperformed by the catalytic domain alone, underpinning
previous suggestions that CBMs may be less useful in high-consistency
bioprocessing.
PMID- 29795645
TI - Prevention and treatment of bleomycin-induced pulmonary fibrosis with the lactate
dehydrogenase inhibitor gossypol.
AB - Pulmonary fibrosis is a chronic and irreversible scarring disease in the lung
with poor prognosis. Few therapies are available; therefore it is critical to
identify new therapeutic targets. Our lab has previously identified the enzyme
lactate dehydrogenase-A (LDHA) as a potential therapeutic target in pulmonary
fibrosis. We found increases in LDHA protein and its metabolic product, lactate,
in patients with idiopathic pulmonary fibrosis (IPF). Importantly, we described
lactate as a novel pro-fibrotic mediator by acidifying the extracellular space,
and activating latent transforming growth factor beta (TGF-beta1) in a pH
dependent manner. We propose a pro-fibrotic feed-forward loop by which LDHA
produces lactate, lactate decreases pH in the extracellular space and activates
TGF-beta1 which can further perpetuate fibrotic signaling. Our previous work also
demonstrates that the LDHA inhibitor gossypol inhibits TGF-beta1-induced
myofibroblast differentiation and collagen production in vitro. Here, we employed
a mouse model of bleomycin-induced pulmonary fibrosis to test whether gossypol
inhibits pulmonary fibrosis in vivo. We found that gossypol dose-dependently
inhibits bleomycin-induced collagen accumulation and TGF-beta1 activation in
mouse lungs when treatment is started on the same day as bleomycin
administration. Importantly, gossypol was also effective at treating collagen
accumulation when delayed 7 days following bleomycin. Our results demonstrate
that inhibition of LDHA with the inhibitor gossypol is effective at both
preventing and treating bleomycin-induced pulmonary fibrosis, and suggests that
LDHA may be a potential therapeutic target for pulmonary fibrosis.
PMID- 29795646
TI - Peak oxygen uptake (VO2peak) across childhood, adolescence and young adulthood in
Barth syndrome: Data from cross-sectional and longitudinal studies.
AB - : Barth syndrome (BTHS) is an ultra-rare, X-linked recessive disorder
characterized by cardio-skeletal myopathy, exercise intolerance, and growth
delay. Oxygen uptake during peak exercise (VO2peak) has been shown to be severely
limited in individuals with BTHS however; the trajectory of VO2peak from
childhood to young adulthood is unknown. The objective of this study was to
describe VO2peak from childhood through young adulthood in BTHS. METHODS AND
MATERIALS: VO2peak over time was presented through cross-sectional (n = 33
participants) and a longitudinal analyses (n = 12 participants). Retrospective
data were obtained through maximal exercise testing on a cycle ergometer from
individuals with BTHS who were or are currently enrolled in a research study
during July 2006-September 2017. Participants included in the cross-sectional
analysis were divided into 3 groups for analysis: 1) children (n = 13), 2)
adolescents (n = 8), and 3) young adults (n = 12). Participants in the
longitudinal analysis had at least two exercise tests over a span of 2-9 years.
RESULTS: VO2peak relative to body weight (ml/kgBW/min), fat-free mass (FFM) and
by percent of predicted VO2peak obtained were not significantly different between
children, adolescents and young adults. VO2peak did not longitudinally change
over a mean time of ~5 years in late adolescent and young adult participants with
repeated tests. A model including both cardiac and skeletal muscle variables best
predicted VO2peak. CONCLUSIONS: In conclusion, VO2peak relative to body weight
and fat-free mass demonstrates short- and long-term stability from childhood to
young adulthood in BTHS with some variability among individuals.
PMID- 29795648
TI - The impact of eliminating primary school tuition fees on child marriage in sub
Saharan Africa: A quasi-experimental evaluation of policy changes in 8 countries.
AB - BACKGROUND: Child marriage harms girls' health and hinders progress toward
development goals. Randomized studies have shown that providing financial
incentives for girls' education can effectively delay marriage, but larger-scale
interventions are needed in light of slow progress toward curbing the practice.
Many sub-Saharan African countries eliminated primary school tuition fees over
the past two decades, resulting in massive increases in enrolment. We measured
the effect of these policies on the probability of primary school completion and
of marriage before 15 and 18 years of age. METHODS: We used Demographic and
Health Surveys to assemble a dataset of women born between 1970 and 2000 in 16
countries. These data were merged with longitudinal information on the timing of
tuition fee elimination in each country. We estimated the impact of fee removal
using fixed effects regression to compare changes in the prevalence of child
marriage over time between women who were exposed to tuition-free primary
schooling and those who were not. RESULTS: The removal of tuition fees led to
modest average declines in the prevalence of child marriage across all of the
treated countries. However, there was substantial heterogeneity between
countries. The prevalence of child marriage declined by 10-15 percentage points
in Ethiopia and Rwanda following tuition elimination but we found no evidence
that the removal of tuition fees had an impact on child marriage rates in
Cameroon or Malawi. Reductions in child marriage were not consistently
accompanied by increases in the probability of primary school completion.
CONCLUSIONS: Eliminating tuition fees led to reductions in child marriage on a
national scale in most countries despite challenges with implementation.
Improving the quality of the education available may strengthen these effects and
bolster progress toward numerous other public health goals.
PMID- 29795647
TI - Estimating the cost-effectiveness of a sequential pneumococcal vaccination
program for adults in Germany.
AB - INTRODUCTION: In Germany, a 23-valent polysaccharide pneumococcal vaccine
(PPSV23) is recommended for elderly (60+) and patients 16+ with chronic diseases
not associated with immune suppression. For all other patients at risk,
sequential immunization with a 13-valent pneumococcal conjugate vaccine (PCV13)
first, followed by PPSV23 is recommended. Repeated vaccination with PPSV23 is
recommended every 6 years after individual assessment by the physician. This was
adopted into the vaccination directive with binding reimbursement and funding.
However, additional voluntary services allow statutory health insurances to
differentiate from each other. Aim of this study is to estimate the cost
effectiveness of voluntary service scenarios compared to the strategy in place to
support informed decision making. METHODS: A microsimulation framework with
Markov-type process of a population susceptible to pneumococcal disease over a
lifetime horizon was developed to compare effectiveness and cost-effectiveness of
different vaccination strategies. We simulated 1,000 iterations for seven
scenarios. Assumptions were derived from published literature and probabilistic
sensitivity analysis was run to show the robustness of the model. RESULTS: Our
study indicates that all voluntary service strategies could prevent further
clinical cases compared to the existing policy. Depending on the scenario, 48-142
invasive pneumococcal disease (IPD), 24,000-45,000 hospitalized all-cause
nonbacteremic pneumonia (NBP), 15,000-45,000 outpatient NBP cases, and 4,000
8,000 deaths could be avoided on average. This refers to potential savings of
?115 Mio. - ?187 Mio. for medical and non-medical costs. Additional costs per
patient for the payer are ?2.48 to ?7.13 and for the society ?2.20 to ?6.85. The
ICER per LYG ranged from ?3,662 to ?23,061 (payer) and ?3,258 to ?29,617
(societal). All but one scenario was cost-effective in >=60% of the generated
1,000 simulations. CONCLUSION: Compared to the vaccination strategy in place, the
different hypothetical scenarios can be considered cost-effective and suitable as
additional voluntary services.
PMID- 29795649
TI - Longitudinal impacts of pubertal timing and weight status on adolescent Internet
use: Analysis from a cohort study of Taiwanese youths.
AB - AIM: To investigate the longitudinal impacts of pubertal timing and weight status
on Internet use in adolescents. METHODS: Three waves of data on a longitudinal
cohort of 7th grade students (N = 2430) were retrieved from the Taiwan Youth
Project. Univariate and multivariate regression models were applied using crude
and adjusted odds ratios (OR) with 95% confidence intervals (CI) to examine the
concomitant impacts of pubertal timing and weight status on adolescent Internet
use. RESULTS: The dataset identified 210 (8.7%) students using the Internet for
more than 20 hours/week, and 81 (3.3%) were viewing pornographic material online.
Early maturing and thin-weight adolescents were at 35% and 46% increased risks of
spending long hours on Internet use, respectively. While early puberty was
associated with online pornography viewing among males (adjusted OR 1.84, 95% CI
1.04-3.28), early puberty was contrarily a protective factor against online
gaming in females (adjusted OR 0.59, 95% CI 0.36-0.96). CONCLUSION: Early puberty
was found to be positively related to adolescent Internet use. Appropriate health
education and guidance regarding Internet use should be provided to those with
different developing needs.
PMID- 29795650
TI - Vertebral body versus iliac crest bone marrow as a source of multipotential
stromal cells: Comparison of processing techniques, tri-lineage differentiation
and application on a scaffold for spine fusion.
AB - The potential use of bone progenitors, multipotential stromal cells (MSCs)
helping spine fusion is increasing, but convenient MSC sources and effective
processing methods are critical factors yet to be optimised. The aim of this
study was to test the effect of bone marrow processing on the MSC abundance and
to compare the differentiation capabilities of vertebral body-bone marrow (VB-BM)
MSCs versus iliac crest-bone marrow (IC-BM) MSCs. We assessed the effect of the
red blood cell lysis (ammonium chloride, AC) and density-gradient centrifugation
(LymphoprepTM, LMP), on the extracted VB-BM and IC-BM MSC numbers. The MSC
abundance (indicated by colony counts and CD45lowCD271high cell numbers),
phenotype, proliferation and tri-lineage differentiation of VB-BM MSCs were
compared with donor-matched IC-BM MSCs. Importantly, the MSC attachment and
osteogenesis were examined when VB-BM and IC-BM samples were loaded on a beta
tricalcium phosphate scaffold. In contrast to LMP, using AC yielded more colonies
from IC-BM and VB-BM aspirates (p = 0.0019 & p = 0.0201 respectively). For IC-BM
and VB-BM, the colony counts and CD45lowCD271high cell numbers were comparable (p
= 0.5186, p = 0.2640 respectively). Furthermore, cultured VB-BM MSCs exhibited
the same phenotype, proliferative and adipogenic potential, but a higher
osteogenic and chondrogenic capabilities than IC-BM MSCs (p = 0.0010 and p =
0.0005 for calcium and glycosaminoglycan (GAG) levels, respectively). The gene
expression data confirmed higher chondrogenesis for VB-BM MSCs than IC-BM MSCs,
but osteogenic gene expression levels were comparable. When loaded on VitossTM,
both MSCs showed a similar degree of attachment and survival, but a better
osteogenic ability was detected for VB-BM MSCs as measured by alkaline
phosphatase activity (p = 0.0386). Collectively, the BM processing using AC had
more MSC yield than using LMP. VB-BM MSCs have a comparable phenotype and
proliferative capacity, but higher chondrogenesis and osteogenesis with or
without using scaffold than donor-matched IC-BM MSCs. Given better accessibility,
VB-BM could be an ideal MSC source for spinal bone fusion.
PMID- 29795652
TI - Bone density of the femoral neck in patients on maintenance dialysis.
AB - BACKGROUND: Our institution recently started using the femoral neck (FN), as well
as the non-shunted distal radius (Rd), to measure bone mineral density (BMD) in
patients with chronic kidney disease. We examined the utility and characteristics
of this measurement in patients on maintenance dialysis. METHODS: We selected 293
patients on chronic dialysis. We measured Rd and FN BMD using dual-energy X-ray
absorptiometry, and we reviewed blood test findings, which included hemoglobin,
albumin, blood urea nitrogen, creatinine, adjusted calcium, phosphorus, alkaline
phosphatase, and intact parathyroid hormone. We conducted a multiple linear
regression analysis that was stratified according to sex, age, body weight,
height, and dialysis vintage. The Rd and FN BMD values were the dependent
variables, and the blood test findings were the independent variables. We
compared the areas under the curve (AUCs) of Rd and FN BMD using receiver
operating characteristic curve analysis to differentiate between patients with
and without fractures. RESULTS: FN BMD was significantly lower than Rd BMD. The
general risk factors for osteoporosis, such as low body weight, older age, muscle
mass loss, and malnutrition, influenced FN BMD. FN and Rd BMD were not correlated
with calcium, phosphorous, or intact parathyroid hormone, whereas a significant,
negative correlation with alkaline phosphatase was detected. Both men and women
with a history of fragility fractures had significantly lower Rd and FN BMDs than
patients without such a history. However, there was no significant difference
between the AUCs of FN and Rd BMD for fractures in both men and women.
CONCLUSIONS: FN BMD was significantly lower than Rd BMD. Additionally, FN BMD was
not inferior to Rd BMD for assessing the risk of fracture in patients on
maintenance dialysis.
PMID- 29795653
TI - The characteristics of pediatric emergency department visits in Korea: An
observational study analyzing Korea Health Panel data.
AB - OBJECTIVE: We investigated the characteristics of pediatric emergency department
(ED) patients in Korea and determined factors associated with hospital admission
after ED treatment. METHODS: Korea Health Panel data from 2008 through 2013 were
analyzed retrospectively; we included patients under 18 years old who visited the
ED at least once. We collected patient and household epidemiologic data such as
sex, age group, region of residence, disability, chronic disease, household
income quintile, national health insurance type, use of private insurance, and
annual frequency of ED visits. We also examined data related to each ED visit,
such as reason for visit, medical service provided, and hospital size/ownership.
We then investigated which factors were correlated with case disposition
(discharge home or hospital admission) after ED treatment. RESULTS: In total,
3,160 pediatric ED visits occurred during the six-year period. Males (57.5%) and
children aged 0-5 years (47.7%) made more visits than females and older children,
respectively. The proportion of ED visits for disease (67.7%) was much higher
than for injury or poisoning (32.2%), and 452 cases (14.3%) required hospital
admission. For hospital admission, the odds ratio (OR) of females was 0.73
compared to males, and the OR of children aged 6-11 was 0.68 compared to children
aged 0-5. The OR of capital residents was 0.69 compared to province residents,
and the OR of the highest income quintile was 0.51 compared to the lowest
quintile. The OR of children with private insurance coverage was 0.49 compared to
those lacking private insurance, and the OR of ED visits due to disease was 1.82
compared to visits due to injury/poisoning. CONCLUSION: This analysis of clinical
and demographic characteristics of pediatric ED visits and hospital admissions
can serve as the foundation of future prospective studies required for
establishing appropriate policies for the Korean pediatric emergency medical
system.
PMID- 29795651
TI - Functional disruption of stress modulatory circuits in a model of temporal lobe
epilepsy.
AB - Clinical data suggest that the neuroendocrine stress response is chronically
dysregulated in a subset of patients with temporal lobe epilepsy (TLE),
potentially contributing to both disease progression and the development of
psychiatric comorbidities such as anxiety and depression. Whether neuroendocrine
dysregulation and psychiatric comorbidities reflect direct effects of epilepsy
related pathologies, or secondary effects of disease burden particular to humans
with epilepsy (i.e. social estrangement, employment changes) is not clear. Animal
models provide an opportunity to dissociate these factors. Therefore, we queried
whether epileptic mice would reproduce neuroendocrine and behavioral changes
associated with human epilepsy. Male FVB mice were exposed to pilocarpine to
induce status epilepticus (SE) and the subsequent development of spontaneous
recurrent seizures. Morning baseline corticosterone levels were elevated in
pilocarpine treated mice at 1, 7 and 10 weeks post-SE relative to controls.
Similarly, epileptic mice had increased adrenal weight when compared to control
mice. Exposure to acute restraint stress resulted in hypersecretion of
corticosterone 30 min after the onset of the challenge. Anatomical analyses
revealed reduced Fos expression in infralimbic and prelimbic prefrontal cortex,
ventral subiculum and basal amygdala following restraint. No differences in Fos
immunoreactivity were found in the paraventricular nucleus of the hypothalamus,
hippocampal subfields or central amygdala. In order to assess emotional behavior,
a second cohort of mice underwent a battery of behavioral tests, including
sucrose preference, open field, elevated plus maze, 24h home-cage monitoring and
forced swim. Epileptic mice showed increased anhedonic behavior, hyperactivity
and anxiety-like behaviors. Together these data demonstrate that epileptic mice
develop HPA axis hyperactivity and exhibit behavioral dysfunction. Endocrine and
behavioral changes are associated with impaired recruitment of forebrain circuits
regulating stress inhibition and emotional reactivity. Loss of forebrain control
may underlie pronounced endocrine dysfunction and comorbid psychopathologies seen
in temporal lobe epilepsy.
PMID- 29795654
TI - The characteristic patterns of neuronal avalanches in mice under anesthesia and
at rest: An investigation using constrained artificial neural networks.
AB - Local perturbations within complex dynamical systems can trigger cascade-like
events that spread across significant portions of the system. Cascades of this
type have been observed across a broad range of scales in the brain. Studies of
these cascades, known as neuronal avalanches, usually report the statistics of
large numbers of avalanches, without probing the characteristic patterns produced
by the avalanches themselves. This is partly due to limitations in the extent or
spatiotemporal resolution of commonly used neuroimaging techniques. In this
study, we overcome these limitations by using optical voltage (genetically
encoded voltage indicators) imaging. This allows us to record cortical activity
in vivo across an entire cortical hemisphere, at both high spatial (~30um) and
temporal (~20ms) resolution in mice that are either in an anesthetized or awake
state. We then use artificial neural networks to identify the characteristic
patterns created by neuronal avalanches in our data. The avalanches in the
anesthetized cortex are most accurately classified by an artificial neural
network architecture that simultaneously connects spatial and temporal
information. This is in contrast with the awake cortex, in which avalanches are
most accurately classified by an architecture that treats spatial and temporal
information separately, due to the increased levels of spatiotemporal complexity.
This is in keeping with reports of higher levels of spatiotemporal complexity in
the awake brain coinciding with features of a dynamical system operating close to
criticality.
PMID- 29795655
TI - A computational framework for predicting obesity risk based on optimizing and
integrating genetic risk score and gene expression profiles.
AB - Recent large-scale genome-wide association studies have identified tens of
genetic loci robustly associated with Body Mass Index (BMI). Gene expression
profiles were also found to be associated with BMI. However, accurate prediction
of obesity risk utilizing genetic data remains challenging. In a cohort of 75
individuals, we integrated 27 BMI-associated SNPs and obesity-associated gene
expression profiles. Genetic risk score was computed by adding BMI-increasing
alleles. The genetic risk score was significantly correlated with BMI when an
optimization algorithm was used that excluded some SNPs. Linear regression and
support vector machine models were built to predict obesity risk using gene
expression profiles and the genetic risk score. An adjusted R2 of 0.556 and
accuracy of 76% was achieved for the linear regression and support vector machine
models, respectively. In this paper, we report a new mathematical method to
predict obesity genetic risk. We constructed obesity prediction models based on
genetic information for a small cohort. Our computational framework serves as an
example for using genetic information to predict obesity risk for specific
cohorts.
PMID- 29795657
TI - No-U-turn sampling for fast Bayesian inference in ADMB and TMB: Introducing the
adnuts and tmbstan R packages.
AB - Statistical inference is a widely-used, powerful tool for learning about natural
processes in diverse fields. The statistical software platforms AD Model Builder
(ADMB) and Template Model Builder (TMB) are particularly popular in the
ecological literature, where they are typically used to perform frequentist
inference of complex models. However, both lack capabilities for flexible and
efficient Markov chain Monte Carlo (MCMC) integration. Recently, the no-U-turn
sampler (NUTS) MCMC algorithm has gained popularity for Bayesian inference
through the software Stan because it is efficient for high dimensional, complex
hierarchical models. Here, we introduce the R packages adnuts and tmbstan, which
provide NUTS sampling in parallel and interactive diagnostics with ShinyStan. The
ADMB source code was modified to provide NUTS, while TMB models are linked
directly into Stan. We describe the packages, provide case studies demonstrating
their use, and contrast performance against Stan. For TMB models, we show how to
test the accuracy of the Laplace approximation using NUTS. For complex models,
the performance of ADMB and TMB was typically within +/- 50% the speed of Stan.
In one TMB case study we found inaccuracies in the Laplace approximation,
potentially leading to biased inference. adnuts provides a new method for
estimating hierarchical ADMB models which previously were infeasible. TMB users
can fit the same model in both frequentist and Bayesian paradigms, including
using NUTS to test the validity of the Laplace approximation of the marginal
likelihood for arbitrary subsets of parameters. These software developments
extend the available statistical methods of the ADMB and TMB user base with no
additional effort by the user.
PMID- 29795656
TI - Drought-tolerant and drought-sensitive genotypes of maize (Zea mays L.) differ in
contents of endogenous brassinosteroids and their drought-induced changes.
AB - The contents of endogenous brassinosteroids (BRs) together with various aspects
of plant morphology, water management, photosynthesis and protection against cell
damage were assessed in two maize genotypes that differed in their drought
sensitivity. The presence of 28-norbrassinolide in rather high quantities (1-2 pg
mg-1 fresh mass) in the leaves of monocot plants is reported for the first time.
The intraspecific variability in the presence/content of the individual BRs in
drought-stressed plants is also described for the first time. The drought
resistant genotype was characterised by a significantly higher content of total
endogenous BRs (particularly typhasterol and 28-norbrassinolide) compared with
the drought-sensitive genotype. On the other hand, the drought-sensitive genotype
showed higher levels of 28-norcastasterone. Both genotypes also differed in the
drought-induced reduction/elevation of the levels of 28-norbrassinolide, 28
norcastasterone, 28-homocastasterone and 28-homodolichosterone. The differences
observed between both genotypes in the endogenous BR content are probably
correlated with their different degrees of drought sensitivity, which was
demonstrated at various levels of plant morphology, physiology and biochemistry.
PMID- 29795658
TI - A stable isotope dilution tandem mass spectrometry method of major kavalactones
and its applications.
AB - Kava is regaining its popularity with detailed characterizations warranted. We
developed an ultraperformance liquid chromatography high-resolution tandem mass
spectrometry (UPLC-MS/MS) method for major kavalactones (kavain, dihydrokavain,
methysticin, dihydromethysticin and desmethoxyyangonin) with excellent
selectivity and specificity. The method has been validated for different matrices
following the Food and Drug Administration guidance of analytical procedures and
methods validation. The scope of this method has been demonstrated by quantifying
these kavalactones in two kava products, characterizing their tissue distribution
and pharmacokinetics in mice, and detecting their presence in human urines and
plasmas upon kava intake. As expected, the abundances of these kavalactones
differed significantly in kava products. All of them exhibited a large volume of
distribution with extensive tissue affinity and adequate mean residence time
(MRT) in mice. This method also successfully quantified these kavalactones in
human body fluids upon kava consumption at the recommended human dose. This UPLC
MS/MS method therefore can be used to characterize kava products and its
pharmacokinetics in animals and in humans.
PMID- 29795659
TI - Variation in photosynthesis and stomatal conductance among red maple (Acer
rubrum) urban planted cultivars and wildtype trees in the southeastern United
States.
AB - Photosynthesis is a fundamental process that trees perform over fluctuating
environmental conditions. This study of red maple (Acer rubrum L.) characterizes
photosynthesis, stomatal conductance, and water use efficiency in planted
cultivars relative to wildtype trees. Red maple is common in cities, yet there is
little understanding of how physiological processes affect the long-term growth,
condition, and ecosystem services provided by urban trees. In the first year of
our study, we measured leaf-level gas exchange and performed short-term
temperature curves on urban planted cultivars and on suburban and rural wildtype
trees. In the second year, we compared urban planted cultivars and urban wildtype
trees. In the first year, urban planted trees had higher maximum rates of
photosynthesis and higher overall rates of photosynthesis and stomatal
conductance throughout the summer, relative to suburban or rural wildtype trees.
Urban planted trees again had higher maximum rates of photosynthesis in the
second year. However, urban wildtype trees had higher water use efficiency as air
temperatures increased and similar overall rates of photosynthesis, relative to
cultivars, in mid and late summer. Our results show that physiological
differences between cultivars and wildtype trees may relate to differences in
their genetic background and their responses to local environmental conditions,
contingent on the identity of the horticultural variety. Overall, our results
suggest that wildtype trees should be considered for some urban locations, and
our study is valuable in demonstrating how site type and tree type can inform
tree planting strategies and improve long-term urban forest sustainability.
PMID- 29795660
TI - Influence of three artificial light sources on oviposition and half-life of the
Black Soldier Fly, Hermetia illucens (Diptera: Stratiomyidae): Improving small
scale indoor rearing.
AB - Hermetia illucens (L.), the Black Soldier Fly, has received increased scientific
attention for its potential in circular waste management where larvae can serve
as feedstuff for livestock and for biodiesel production. The flies occur
naturally in (sub)-tropical and warm-temperate climates, and their mating depends
on space and sunlight. Small-scale indoor rearing of Black Soldier Flies has been
challenging because they react sensitive to artificial light sources and cage
sizes, but recent studies have shown that small-scale rearing under artificial
light is feasible. Here, we test the influence of three artificial light sources
(light-emitting diodes, fluorescent lamps, and halogen lamps) on small-scale
indoor rearing. Three experiments were conducted to compare oviposition traits
(pre-oviposition period, total oviposition-period, and egg mass per female) and
half-life among the three light sources. Oviposition did not differ among the
three light sources, but male and female half-life did. Based on the performance
of the light-emitting diodes and their outstanding energy efficiency, we
recommend this light source for small-scale indoor rearing of Black Soldier
Flies.
PMID- 29795661
TI - Unusual and unique distribution of anal high-risk human papillomavirus (HR-HPV)
among men who have sex with men living in the Central African Republic.
AB - BACKGROUND: High-risk (HR) human papillomavirus (HPV) infection remains a great
concern in relation to African men who have sex with men (MSM), especially those
infected with HIV. The prevalence of HR-HPV and associated risk factors was
estimated in a cross-sectional observational study covering MSM living in Bangui,
Central African Republic. METHODS: MSM receiving care at the Centre National de
Reference des Infections Sexuellement Transmissibles et de la Therapie
Antiretrovirale, Bangui, were included. HIV serostatus and socio-demographic and
behavioral characteristics were collected. HPV DNA was detected and genotyped on
anal swabs using AnyplexTM II HPV28 test (Seegene, South Korea), and HSV DNA by
in-house real-time PCR. Logistic regression analyses were used to determine risk
factors associated with HPV outcomes. RESULTS: 42 MSM (mean age, 23.2 years;
range, 14-39) including 69.1% HIV-1-positive and 30.9% HIV-negative were
prospectively enrolled. The prevalence of anal HPV was 69.1%, including 82.7% of
HR-HPV which were multiple in 52.0%. The most prevalent genotypes were HPV-35,
HPV-58, HPV-59 and HPV-31. While, HPV-16 and HPV-18 were present in a minority of
samples. Multiple HR-HPV infection was more frequent in HIV-positive MSM (41.4%)
with 2.7 genotypes per anal samples than in HIV-negative (7.7%) with 1.5
genotypes per anal samples. HPV types included in the prophylactic Gardasil-9(r)
vaccine were detected in 68.9% of specimens and HPV-58 was the most frequently
detected. MSM infected by HPV-16 and HPV-18 were all infected by HIV-1. Few anal
swabs (11.9%) contained HSV-2 DNA without relationship with HPV detection.
Condomless receptive anal intercourse was the main risk factor to being infected
with any type of HPV and condomless insertive anal intercourse was significantly
less associated with HPV contamination than receptive anal intercourse (Odd ratio
= 0.02). CONCLUSION: MSM in Bangui are at-risk of HIV and HR-HPV anal infections.
The unusual distribution of HPV-35 as predominant HPV suggests possible
geographic specificities in the molecular epidemiology of HR-HPV in sub-Saharan
Africa. Scaling up prevention strategies against HPV infection and related
cancers adapted for MSM in Africa should be prioritized. Innovative interventions
should be conceived for the MSM population living in Bangui.
PMID- 29795662
TI - Identification of peculiar gene expression profile in peripheral blood
mononuclear cells (PBMC) of celiac patients on gluten free diet.
AB - Celiac disease (CD) is a systemic disorder characterized by an immune-mediated
reaction to gluten and a wide spectrum of clinical manifestations. Currently, the
main treatment of CD is represented by adherence to a gluten-free diet (GFD)
which determines the resolution of symptoms, and the normalization of the
serology and of the duodenal villous atrophy. In the present study, we aimed to
identify changes in gene expression in peripheral blood mononuclear cells (PBMCs)
of celiac patients on GFD for at least 2 years, in order to identify novel
disease biomarkers and candidate targets for putative therapeutic approaches.
Microarray analysis was performed on PBMCs from 17 celiac patients on long-term
GFD and 20 healthy controls. We identified 517 annotated genes that were
significantly modulated between celiac patients and controls. Significant
biological pathways were functionally clustered using the Core Function of
Ingenuity System Pathway Analysis (IPA). Intriguingly, despite being on a GFD,
celiac patients exhibited a peculiar PBMC profile characterized by an aberrant
expression of genes involved in the regulation of immunity, inflammatory
response, metabolism, and cell proliferation. Random forest algorithm was then
used to validate the prediction ability of core genes as classifiers of the
"celiac status". In conclusion, our study identified a characteristic PBMCs
signature profile in clinically asymptomatic celiac patient.
PMID- 29795663
TI - Clinical, pathological, and molecular features of classical and L-type atypical
BSE in goats.
AB - Monitoring of small ruminants for transmissible spongiform encephalopathies
(TSEs) has recently become more relevant after two natural scrapie suspected
cases of goats were found to be positive for classical BSE (C-BSE). C-BSE
probably established itself in this species unrecognized, undermining disease
control measures. This opens the possibility that TSEs in goats may remain an
animal source for human prion diseases. Currently, there are no data regarding
the natural presence of the atypical BSE in caprines. Here we report that C-BSE
and L-type atypical BSE (L-BSE) isolates from bovine species are intracerebrally
transmissible to goats, with a 100% attack rate and a significantly shorter
incubation period and survival time after C-BSE than after L-BSE experimental
infection, suggesting a lower species barrier for classical agentin goat. All
animals showed nearly the same clinical features of disease characterized by skin
lesions, including broken hair and alopecia, and abnormal mental status.
Histology and immunohistochemistry showed several differences between C-BSE and L
BSE infection, allowing discrimination between the two different strains. The
lymphoreticular involvement we observed in the C-BSE positive goats argues in
favour of a peripheral distribution of PrPSc similar to classical scrapie.
Western blot and other currently approved screening tests detected both strains
in the goats and were able to classify negative control animals. These data
demonstrate that active surveillance of small ruminants, as applied to fallen
stock and/or healthy slaughter populations in European countries, is able to
correctly identify and classify classical and L-BSE and ultimately protect public
health.
PMID- 29795666
TI - Correction: Oral anticoagulant re-initiation following intracerebral hemorrhage
in non-valvular atrial fibrillation: Global survey of the practices of
neurologists, neurosurgeons and thrombosis experts.
AB - [This corrects the article DOI: 10.1371/journal.pone.0191137.].
PMID- 29795665
TI - Accurate pain reporting training diminishes the placebo response: Results from a
randomised, double-blind, crossover trial.
AB - Analgesic trials frequently fail to demonstrate efficacy of drugs known to be
efficacious. Poor pain reporting accuracy is a possible source for this low essay
sensitivity. We report the effects of Accurate-Pain-Reporting-Training (APRT) on
the placebo response in a trial of Pregabalin for painful-diabetic-neuropathy.
The study was a two-stage randomized, double-blind trial: In Stage-1 (Training)
subjects were randomized to APRT or No-Training. The APRT participants received
feedback on the accuracy of their pain reports in response to mechanical stimuli,
measured by R-square score. In Stage-2 (Evaluation) all subjects entered a
placebo-controlled, cross-over trial. Primary (24-h average pain intensity) and
secondary (current, 24-h worst, and 24-h walking pain intensity) outcome measures
were reported. Fifty-one participants completed the study. APRT patients (n = 28)
demonstrated significant (p = 0.036) increases in R-square scores. The APRT group
demonstrated significantly (p = 0.018) lower placebo response (0.29 +/- 1.21 vs.
1.48 +/- 2.21, mean difference +/- SD = -1.19+/-1.73). No relationships were
found between the R-square scores and changes in pain intensity in the treatment
arm. In summary, our training successfully increased pain reporting accuracy and
resulted in a diminished placebo response. Theoretical and practical implications
are discussed.
PMID- 29795664
TI - Attention and working memory deficits in a perinatal nicotine exposure mouse
model.
AB - BACKGROUND: Cigarette smoking by pregnant women is associated with a significant
increase in the risk for cognitive disorders in their children. Preclinical
models confirm this risk by showing that exposure of the developing brain to
nicotine produces adverse behavioral outcomes. Here we describe behavioral
phenotypes resulting from perinatal nicotine exposure in a mouse model, and
discuss our findings in the context of findings from previously published studies
using preclinical models of developmental nicotine exposure.
METHODOLOGY/PRINCIPAL FINDINGS: Female C57Bl/6 mice received drinking water
containing nicotine (100MUg/ml) + saccharin (2%) starting 3 weeks prior to
breeding and continuing throughout pregnancy, and until 3 weeks postpartum. Over
the same period, female mice in two control groups received drinking water
containing saccharin (2%) or plain drinking water. Offspring from each group were
weaned at 3-weeks of age and subjected to behavioral analyses at 3 months of age.
We examined spontaneous locomotor activity, anxiety-like behavior, spatial
working memory, object based attention, recognition memory and impulsive-like
behavior. We found significant deficits in attention and working memory only in
male mice, and no significant changes in the other behavioral phenotypes in male
or female mice. Exposure to saccharin alone did not produce significant changes
in either sex. CONCLUSION/SIGNIFICANCE: The perinatal nicotine exposure produced
significant deficits in attention and working memory in a sex-dependent manner in
that the male but not female offspring displayed these behaviors. These
behavioral phenotypes are associated with attention deficit hyperactivity
disorder (ADHD) and have been reported in other studies that used pre- or
perinatal nicotine exposure. Therefore, we suggest that preclinical models of
developmental nicotine exposure could be useful tools for modeling ADHD and
related disorders.
PMID- 29795667
TI - Phosphorylated recombinant HSP27 protects the brain and attenuates blood-brain
barrier disruption following stroke in mice receiving intravenous tissue
plasminogen activator.
AB - Loss of integrity of the blood-brain barrier (BBB) in ischemic stroke victims
initiates a devastating cascade of events causing brain damage. Maintaining the
BBB is important to preserve brain function in ischemic stroke. Unfortunately,
recombinant tissue plasminogen activator (tPA), the only effective fibrinolytic
treatment at the acute stage of ischemic stroke, also injures the BBB and
increases the risk of brain edema and secondary hemorrhagic transformation. Thus,
it is important to identify compounds that maintain BBB integrity in the face of
ischemic injury in patients with stroke. We previously demonstrated that
intravenously injected phosphorylated recombinant heat shock protein 27 (prHSP27)
protects the brains of mice with transient middle cerebral artery occlusion
(tMCAO), an animal stroke-model. Here, we determined whether prHSP27, in addition
to attenuating brain injury, also decreases BBB damage in hyperglycemic tMCAO
mice that had received tPA. After induction of hyperglycemia and tMCAO, we
examined 4 treatment groups: 1) bovine serum albumin (BSA), 2) prHSP27, 3) tPA,
4) tPA plus prHSP27. We examined the effects of prHSP27 by comparing the BSA and
prHSP27 groups and the tPA and tPA plus prHSP27 groups. Twenty-four hours after
injection, prHSP27 reduced infarct volume, brain swelling, neurological deficits,
the loss of microvessel proteins and endothelial cell walls, and mortality. It
also reduced the rates of hemorrhagic transformation, extravasation of endogenous
IgG, and MMP-9 activity, signs of BBB damage. Therefore, prHSP27 injection
attenuated brain damage and preserved the BBB in tPA-injected, hyperglycemic
tMCAO experimental stroke-model mice, in which the BBB is even more severely
damaged than in simple tMCAO mice. The attenuation of brain damage and BBB
disruption in the presence of tPA suggests the effectiveness of prHSP27 and tPA
as a combination therapy. prHSP27 may be a novel therapeutic agent for ischemic
stroke patients whose BBBs are injured following tPA injections.
PMID- 29795668
TI - Correction: Utilization of Landsat-8 data for the estimation of carrot and maize
crop water footprint under the arid climate of Saudi Arabia.
AB - [This corrects the article DOI: 10.1371/journal.pone.0192830.].
PMID- 29795669
TI - Proximal fibular osteotomy to treat medial compartment knee osteoarthritis:
Preoperational factors for short-term prognosis.
AB - OBJECTIVE: The purpose of this study was to determine the association between
preoperational factors and patients' short-term outcome after proximal fibular
osteotomy (PFO) and to provide a basis for detailed surgical indication and
patient selection. METHODS: This was a retrospective study of patients undergoing
PFO between January 2015 and December 2015. Preoperational clinical data
including gender, age, duration of disease, visual analogue score (VAS) and
American Knee Society (KSS) score were collected. The radiological factors
including hip-knee-ankle angle (HKA angle), condyle-plateau angle (CP angle),
Kellgren and Lawrence grade (KL grade), joint space width of both compartments
and settlement value were also considered. Patients were followed for at 12
months postoperatively. Both clinical and functional KSS scores were obtained.
The outcome of interest was divided into clinical outcome and functional outcome.
For each, two criteria were defined: satisfaction and significant improvement.
Satisfaction is characterized by a KSS clinical or functional score over 70
points (excellent and good results); significant improvement refers to an
increase in KSS scores of more than 15 points. Bivariate logistic regression for
the association between preoperational factors and outcomes of interest was
performed. Multivariable logistic regression analyses were used to detect the
independent factors affecting the outcomes. RESULTS: A total of 84 patients and
111 knees were followed-up. Of these, 17 knees were from males and 94 were from
females. The average age was 59.45+/-8.82 years. The average preoperational VAS
score, KSS clinical and functional score were 7.08+/-1.41 points, 49.14+/-10.95
points and 44.97+/-17.71 points, respectively. According to KL grading, there
were 17 knees of grade 2, 47 knees of grade 3, and 47 knees of grade 4. In
clinical outcomes, there were 51 knees in the satisfaction group and 77 knees in
the significant improvement group. In functional outcomes, 43 knees were in the
satisfaction group and 76 knees in the significant improvement group. KSS
clinical score (OR = 1.134, 95%CI = 1.067-1.205, P = 0.000) was the independent
factor associated with clinical satisfaction. Age (OR = 1.072, 95%CI = 1.000
1.150, P = 0.048), VAS score (OR = 1.679, 95%CI = 1.041-2.706, P = 0.033), KSS
clinical (OR = 1.072, 95%CI = 1.005-1.144, P = 0.034) and functional (OR = 1.100,
95%CI = 1.044-1.159, P = 0.000) score, HKA angle (OR = 1.345, 95%CI = 1.119
1.617, P = 0.002) and settlement value (OR = 7.540, 95%CI = 1.307-43.484, P =
0.024) were the independent factors associated with functional satisfaction. KSS
clinical (OR = 0.905, 95%CI = 0.850-0.963, P = 0.002) score, CP angle (OR =
0.760, 95%CI = 0.593-0.973, P = 0.030) and medial joint space width (OR = 0.001,
95%CI = 0.000-0.107, P = 0.003) were the independent factors associated with
significant clinical improvement; VAS score (OR = 1.582, 95%CI = 1.042-2.402, P =
0.031), KSS functional (OR = 0.888, 95%CI = 0.838-0.942, P = 0.000) score, HKA
angle (OR = 1.292, 95%CI = 1.101-1.518, P = 0.002) and settlement value (OR =
9.990, 95%CI = 1.485-67.197, P = 0.018) were the independent factors associated
with significant functional improvement. CONCLUSIONS: The independent factors
affecting postoperative clinical outcome after PFO were KSS clinical score, CP
angle and medial joint space width. In addition, the independent factors that
influenced functional outcome included age, VAS score, KSS score, HKA angle and
settlement value. As objective radiological evidence, HKA angle and settlement
value could be used as an important basis for patient selection for PFO.
PMID- 29795670
TI - Decreased DNA methylation of a CpG site in the HBAP1 gene in plasma DNA from
pregnant women.
AB - OBJECTIVE: The objective of this study is to identify potential CpG site(s) or
DNA methylation pattern(s) in the pseudo alpha-globin 1 gene (HBAP1 gene), the
gene which locates in alpha-thalassemia-1 deletion mutation, to differentiate
plasma DNA between pregnant and non-pregnant women. METHOD: DNA methylation
profiles of placenta and peripheral blood from the MethBase database were
compared to screen differentially methylated regions. This region was confirmed
the differential by methylation-sensitive high resolution melt (MS-HRM) analysis.
The differential region was used to compare DNA methylation profile of plasma DNA
between pregnant and non-pregnant women by bisulfite amplicon sequencing in three
levels: overall, individual CpG sites and individual molecules (DNA methylation
patterns). RESULT: Using MethBase data, four consecutive CpG sites in the HBAP1
gene were identified as regions of differential DNA methylation between placenta
and peripheral blood. The confirmation by MS-HRM showed the differential DNA
methylation profile between the placenta and plasma from non-pregnant women. The
comparison of DNA methylation profiles between the plasma of pregnant and non
pregnant women showed that, in the overall levels of the four CpG sites, DNA
methylation of pregnant women was detected at lower levels than non-pregnant
women. In the individual CpG site level, only the second CpG site showed
differential DNA methylation between the groups. In the DNA methylation pattern
level, there was no strongly significant differences in DNA methylation patterns
between the pregnant and non-pregnant groups. CONCLUSION: Our result demonstrated
that, in the plasma from pregnant women, only one of the four CpG sites displays
a decrease in DNA methylation compared with non-pregnant women. It indicates that
this CpG site might be useful for determining the presence or absence of fetal
wild-type alpha-globin gene cluster allele in maternal plasma.
PMID- 29795671
TI - Xenotransplantation of adult hippocampal neural progenitors into the developing
zebrafish for assessment of stem cell plasticity.
AB - Adult stem cells are considered multipotent, restricted to differentiate into a
few tissue-specific cell types. With the advent of technologies which can
dedifferentiate and transdifferentiate cell types, assumptions about the process
of cell fate determination must be reconsidered, including the role of extrinsic
versus intrinsic factors. To determine the plasticity of adult neural
progenitors, rat hippocampal progenitor cells were xenotransplanted into
embryonic zebrafish. These animals allow for easy detection of transplanted cells
due to their external development and transparency at early stages. Adult neural
progenitors were observed throughout the zebrafish for the duration of the
experiment (at least five days post-transplantation). While the majority of
transplanted cells were observed in the central nervous system, a large
percentage of cells were located in superficial tissues. However, approximately
one-third of these cells retained neural morphology and expression of the
neuronal marker, Class III beta-tubulin, indicating that the transplanted adult
neural progenitors did not adapt alternate fates. A very small subset of cells
demonstrated unique, non-neural flattened morphology, suggesting that adult
neural progenitors may exhibit plasticity in this model, though at a very low
rate. These findings demonstrate that the developing zebrafish may be an
efficient model to explore plasticity of a variety of adult stem cell types and
the role of external factors on cell fate.
PMID- 29795672
TI - Persistent high plasma levels of sCD163 and sCD14 in adult patients with measles
virus infection.
AB - BACKGROUND AND AIMS: Measles is an infectious disease that represents a serious
public health problem worldwide, being associated with increased susceptibility
to secondary infections, especially in the respiratory and gastrointestinal
tracts. The aim of this study was to evaluate sCD163 and sCD14 levels in measles
virus (MV) infected patients, as markers of immune activation, in order to better
understand their role in the pathogenesis of the disease. TNF-alpha plasma levels
were also evaluated. METHODS: sCD163, sCD14 and TNF-alpha were measured by ELISA
in plasma samples of 27 MV infected patients and 27 healthy donors (HD) included
as controls. RESULTS: At the time of hospital admission, sCD163 and sCD14 levels
were significantly higher in MV infected patients than in HD, while a decrease in
TNF-alpha levels were found even if without statistical significance. sCD163 and
sCD14 levels were significantly decreased after two months from acute infection
compared to hospital admission although they remained significantly higher
compared to HD. TNF-alpha levels increased significantly during the follow-up
period. Considering clinical parameters, sCD163 levels positively correlated with
aspartate aminotransferase, white blood cell count and neutrophils rate, while
negatively correlated with the lymphocyte percentage. sCD14 levels positively
correlated with the neutrophil and lymphocyte percentages. CONCLUSIONS: These
results indicate that, despite the resolution of symptoms, an important
macrophage/monocyte activation persists in measles patients, even after two
months from infection.
PMID- 29795673
TI - Uncovering production of specialized metabolites by Streptomyces argillaceus:
Activation of cryptic biosynthesis gene clusters using nutritional and genetic
approaches.
AB - Sequencing of Streptomyces genomes has revealed they harbor a high number of
biosynthesis gene cluster (BGC), which uncovered their enormous potentiality to
encode specialized metabolites. However, these metabolites are not usually
produced under standard laboratory conditions. In this manuscript we report the
activation of BGCs for antimycins, carotenoids, germicidins and desferrioxamine
compounds in Streptomyces argillaceus, and the identification of the encoded
compounds. This was achieved by following different strategies, including
changing the growth conditions, heterologous expression of the cluster and
inactivating the adpAa or overexpressing the abrC3 global regulatory genes. In
addition, three new carotenoid compounds have been identified.
PMID- 29795674
TI - Human microRNAs preferentially target genes with intermediate levels of
expression and its formation by mammalian evolution.
AB - MicroRNAs (miRNAs) are short, endogenous RNAs that post-transcriptionally repress
mRNAs. Over the course of evolution, many new miRNAs are known to have emerged
and added to the existing miRNA repertoires of drosophilids and vertebrates.
Despite the large number of miRNAs in existence, the complementary pairing of
only ~7 bases between miRNAs and mRNAs is sufficient to induce repression. Thus,
miRNA targeting is so widespread that genes coexpressed with a miRNA have evolved
to avoid sites that are targeted by the miRNA. Besides this avoidance, little is
known about the preferential modes of miRNA targeting. Therefore, to elucidate
miRNA targeting preference and avoidance, we evaluated the bias of the number of
miRNA targeting occurrences in relation to expression intensities of miRNAs and
their coexpressed target mRNAs by surveying transcriptome data from human organs.
We found that miRNAs preferentially target genes with intermediate levels of
expression, while avoiding highly expressed ones, and that older miRNAs have
greater targeting specificity, suggesting that specificity increases during the
course of evolution.
PMID- 29795675
TI - Correction: Muscle mass, BMI, and mortality among adults in the United States: A
population-based cohort study.
AB - [This corrects the article DOI: 10.1371/journal.pone.0194697.].
PMID- 29795676
TI - WASH activities at two Ebola treatment units in Sierra Leone.
AB - PURPOSE: The 2014 outbreak of Ebola virus disease (EVD) in West Africa was the
largest in history. Starting in September 2014, International Medical Corps (IMC)
operated five Ebola treatment units (ETUs) in Sierra Leone and Liberia. This
paper explores how future infectious disease outbreak facilities in resource
limited settings can be planned, organized, and managed by analyzing data
collected on water, sanitation, and hygiene (WASH) and infection prevention
control (IPC) protocols. DESIGN/METHODOLOGY/APPROACH: We conducted a
retrospective cohort study by analyzing WASH/IPC activity data routinely recorded
on paper forms or white boards at ETUs during the outbreak and later merged into
a database from two IMC-run ETUs in Sierra Leone between December 2014 and
December 2015. FINDINGS: The IMC WASH/IPC database contains data from over 369
days. Our results highlight parameters key to designing and maintaining an ETU.
High concentration chlorine solution usage was highly correlated with both daily
patient occupancy and high-risk zone staff entries; low concentration chlorine
usage was less well explained by these measures. There is high demand for
laundering and disinfecting of personal protective equipment (PPE) on a daily
basis and approximately 1 (0-4) piece of PPE is damaged each day. RESEARCH
LIMITATIONS/IMPLICATIONS: Lack of standardization in the type and format of data
collected at ETUs made constructing the WASH/IPC database difficult. However, the
data presented here may help inform humanitarian response operations in future
epidemics.
PMID- 29795677
TI - Intra-host and intra-household diversity of influenza A viruses during household
transmissions in the 2013 season in 2 peri-urban communities of South Africa.
AB - Limited information is available on influenza virus sequence drift between
transmission events. In countries with high HIV burdens, like South Africa, the
direct and indirect effect of HIV on influenza sequence drift between
transmission events may be of public health concern. To this end, we measured
hemagglutinin sequence diversity between influenza transmission events using data
and specimens from a study investigating household transmission dynamics of
seasonal influenza viruses in 2 peri-urban communities in South Africa during the
2013 influenza season. Thirty index cases and 107 of 110 eligible household
contacts were enrolled into the study, 47% (14/30) demonstrating intra-household
laboratory-confirmed influenza transmission. In this study 35 partial
hemagglutinin gene sequences were obtained by Sanger sequencing from 11 index
cases (sampled at enrolment only) and 16 secondary cases (8 cases sampled at 1
and 8 cases sampled at 2 time-points). Viral sequence identities confirmed
matched influenza transmission pairs within the 11 households with corresponding
sequenced index and secondary cases. Phylogenetic analysis revealed 10 different
influenza viral lineages in the 14 households. Influenza A(H1N1)pdm09 strains
were shown to be genetically distinct between the 2 communities (from distinct
geographic regions), which was not observed for the influenza A(H3N2) strains.
Intra-host/intra-household influenza A(H3N2) sequence drift was identified in 2
households. The first was a synonymous mutation between the index case and a
household contact, and the second a non-synonymous mutation between 2 serial
samples taken at days 0 and 4 post enrolment from an HIV-infected secondary case.
Limited inter-household sequence diversity was observed as highlighted by sharing
of the same influenza strain between different households within each community.
The limited intra-household sequence drift is in line with previous studies also
using Sanger sequencing, corroborating the presence of strict selective
bottlenecks that limit sequence variance. We were not able to directly ascertain
the effect of HIV on influenza sequence drift between transmission events.
PMID- 29795679
TI - Correction: Estimating population extinction thresholds with categorical
classification trees for Louisiana black bears.
AB - [This corrects the article DOI: 10.1371/journal.pone.0191435.].
PMID- 29795678
TI - Effect of socioeconomic status on behavioral problems from preschool to early
elementary school - A Japanese longitudinal study.
AB - PURPOSE: Social inequalities are widely accepted to have a deleterious effect on
children's mental health, and those with lower socioeconomic status generally
experience more mental health issues. In this study, we examine the impact of
socioeconomic situations of children's families during their early childhood on
the children's social adaptation in Japanese elementary school. METHODS: The
current investigation consisted of two sets of data relating to two separate
years (with a one-year interval). The participants included preschoolers aged
five years at Time 1 (the first year) and first graders aged six years at Time 2
(the second year); 1,712 met the inclusion criteria for both years. Parents of
the participants completed a self-reported questionnaire regarding their SES
(i.e., family economy and mother's education) and their children's mental health.
Mental health was assessed using the Child Behavior Checklist/4-18, Parent
Report. RESULTS: For each SES indicator, we found an inverse relationship across
all the symptom dimensions. Specifically, bivariate analyses revealed that lower
family income, maternal education level, and paternal education level predict all
three domains of behavioral problems (i.e., internalized problems, externalized
problems, and total behavioral problems). Further, multivariate analyses revealed
that lower family income consistently predicts all domains of behavioral
problems, lower maternal education level predicted externalized problems and
total behavioral problems, and paternal education level did not predict any
clinically significant behavioral problems. CONCLUSION: In this sample, we found
that, for children, family income and parental education when entering preschool
were significant predictors of mental health problems after elementary school
enrollment; in particular, low income and low maternal educational achievement
predicted a high probability of the development of a psychiatric disorder. A
greater understanding of the mechanisms of these associations could contribute to
improvements in interventions aimed at preventing child maladjustment.
PMID- 29795680
TI - Genomic structure, expression, and functional characterization of checkpoint
kinase 1 from Penaeus monodon.
AB - Chk1 is a cell-cycle regulator. Chk1 has been identified in organisms ranging
from yeast to humans, but few researchers have studied Chk1 in shrimps. We cloned
Chk1 from the black tiger shrimp (Penaeus monodon). The full-length cDNA sequence
of PmChk1 had 3,334 base pairs (bp), with an open reading frame of 1,455 bp. The
complete genomic sequence of PmChk1 (11,081 bp) contained 10 exons separated by
nine introns. qRT-PCR showed that PmChk1 was highly expressed in the ovaries and
gills of P. monodon. The lowest PmChk1 expression was noted in stage III of
ovarian development in P. monodon. PmChk1 expression decreased significantly
after injection of 5-hydroxytryptamine and eyestalk ablation in P. monodon
ovaries. RNA interference experiments were undertaken to examine the expression
of PmChk1, PmCDC2, and PmCyclin B. PmChk1 knockdown in the ovaries and
hepatopancreas by dsRNA-Chk1 was successful. The localization and level of PmChk1
expression in the hepatopancreas was studied using in situ hybridization, which
showed that data were in accordance with those of qRT-PCR. The Gonadosomatic
Index of P. monodon after dsRNA-Chk1 injection was significantly higher than that
after injection of dsRNA-GFP or phosphate-buffered saline. These data suggest
that PmChk1 may have important roles in the ovarian maturation of P. monodon.
PMID- 29795681
TI - Gonadal transcriptome analysis of hybrid triploid loaches (Misgurnus
anguillicaudatus) and their diploid and tetraploid parents.
AB - Hybrid triploid loaches (Misgurnus anguillicaudatus) were generated from natural
tetraploid and diploid loaches. We studied the gonads of parents and offspring
from direct and reciprocal crosses through histological and transcriptome
analyses. The triploid offspring had inferior ability to form sperm and egg cells
compared with diploid forms. After sequencing the transcriptomes, 655,109,158
clean reads were obtained, and 62,821 unigenes and 178,962 transcripts were
assembled. Of these unigenes, 23,189 were annotated in the GO database, 18,525 in
the KEGG database and 24,661 in the KOG database. 36 fertility-related genes were
found to be differentially expressed between the direct cross (2n * 4n) progenies
and their parents, while 53 fertility-related genes between the reciprocal cross
(4n * 2n) progenies and their parents. Following protein-protein interaction
network analyses, 54 differentially expressed genes, including PLCB4, cyp17a1 and
Pla2g4d, were mined, yielding candidate genes involved in the poor fertility of
hybrid triploid loaches. This is the first report of transcriptomes of gonads of
hybrid triploid loaches and their parents, offering a substantial contribution to
sequence resources for this species and providing a deep insight into the
molecular mechanism controlling the fertility of hybrid triploid fish.
PMID- 29795683
TI - The use of an active learning approach in a SCALE-UP learning space improves
academic performance in undergraduate General Biology.
AB - Active learning is a pedagogical approach that involves students engaging in
collaborative learning, which enables them to take more responsibility for their
learning and improve their critical thinking skills. While prior research
examined student performance at majority universities, this study focuses on
specifically Historically Black Colleges and Universities (HBCUs) for the first
time. Here we present work that focuses on the impact of active learning
interventions at Florida A&M University, where we measured the impact of active
learning strategies coupled with a SCALE-UP (Student Centered Active Learning
Environment with Upside-down Pedagogies) learning environment on student success
in General Biology. In biology sections where active learning techniques were
employed, students watched online videos and completed specific activities before
class covering information previously presented in a traditional lecture format.
In-class activities were then carefully planned to reinforce critical concepts
and enhance critical thinking skills through active learning techniques such as
the one-minute paper, think-pair-share, and the utilization of clickers. Students
in the active learning and control groups covered the same topics, took the same
summative examinations and completed identical homework sets. In addition, the
same instructor taught all of the sections included in this study. Testing
demonstrated that these interventions increased learning gains by as much as 16%,
and students reported an increase in their positive perceptions of active
learning and biology. Overall, our results suggest that active learning
approaches coupled with the SCALE-UP environment may provide an added opportunity
for student success when compared with the standard modes of instruction in
General Biology.
PMID- 29795682
TI - Implicit-solvent dissipative particle dynamics force field based on a four-to-one
coarse-grained mapping scheme.
AB - A new set of efficient solvent-free dissipative particle dynamics (DPD) force
fields was developed for phospholipids and peptides. To enhance transferability,
this model maps around four heavy atoms and their connected hydrogen atoms into a
coarse-grained elementary bead based on functional group. The effective hybrid
potential between any pair of beads is composed of a short-range repulsive soft
core potential that directly adopts the form of an explicit-solvent DPD model and
a long-range attractive hydrophobic potential. The parameters of the attractive
potentials for lipid molecules were obtained by fitting the explicit-solvent DPD
simulation of one bead of any type in a water box, then finely tuning it until
the bilayer membrane properties obtained in the explicit-solvent model were
matched. These parameters were further extended to amino acids according to bead
type. The structural and elastic properties of bilayer membranes, free energy
profiles for a lipid flip-flop and amino acid analogues translocating across the
membrane, and membrane pore formation induced by antimicrobial peptides obtained
from this solvent-free DPD force field considerably agreed with the explicit
solvent DPD results. Importantly, the efficiency of this method is guaranteed to
accelerate the assembly of vesicles composed of several thousand lipids by up to
50-fold, rendering the experimental liposome dynamics as well as membrane-peptide
interactions feasible at accessible computational expense.
PMID- 29795684
TI - Correction: Prosocial Behavior and Subjective Insecurity in Violent Contexts:
Field Experiments.
AB - [This corrects the article DOI: 10.1371/journal.pone.0158878.].
PMID- 29795685
TI - A time series of urban extent in China using DSMP/OLS nighttime light data.
AB - Urban extent data play an important role in urban management and urban studies,
such as monitoring the process of urbanization and changes in the spatial
configuration of urban areas. Traditional methods of extracting urban-extent
information are primarily based on manual investigations and classifications
using remote sensing images, and these methods have such problems as large costs
in labor and time and low precision. This study proposes an improved, simplified
and flexible method for extracting urban extents over multiple scales and the
construction of spatiotemporal models using DMSP/OLS nighttime light (NTL) for
practical situations. This method eliminates the regional temporal and spatial
inconsistency of thresholding NTL in large-scale and multi-temporal scenes. Using
this method, we have extracted the urban extents and calculated the corresponding
areas on the county, municipal and provincial scales in China from 2000 to 2012.
In addition, validation with the data of reference data shows that the overall
accuracy (OA), Kappa and F1 Scores were 0.996, 0.793, and 0.782, respectively. We
increased the spatial resolution of the urban extent to 500 m (approximately four
times finer than the results of previous studies). Based on the urban extent
dataset proposed above, we analyzed changes in urban extents over time and
observed that urban sprawl has grown in all of the counties of China. We also
identified three patterns of urban sprawl: Early Urban Growth, Constant Urban
Growth and Recent Urban Growth. In addition, these trends of urban sprawl are
consistent with the western, eastern and central cities of China, respectively,
in terms of their spatial distribution, socioeconomic characteristics and
historical background. Additionally, the urban extents display the spatial
configurations of urban areas intuitively. The proposed urban extent dataset is
available for download and can provide reference data and support for future
studies of urbanization and urban planning.
PMID- 29795687
TI - Eye movements and postural control in dyslexic children performing different
visual tasks.
AB - The aim of this study was to examine eye movements and postural control
performance among dyslexic children while reading a text and performing the
Landolt reading task. Fifteen dyslexic and 15 non-dyslexic children were asked to
stand upright while performing two experimental visual tasks: text reading and
Landolt reading. In the text reading task, children were asked to silently read a
text displayed on a monitor, while in the Landolt reading task, the letters in
the text were replaced by closed circles and Landolt rings, and children were
asked to scan each circle/ring in a reading-like fashion, from left to right, and
to count the number of Landolt rings. Eye movements (Mobile T2(r), SuriCog) and
center of pressure excursions (Framiral(r), Grasse, France) were recorded. Visual
performance variables were total reading time, mean duration of fixation, number
of pro- and retro-saccades, and amplitude of pro-saccades. Postural performance
variable was the center of pressure area. The results showed that dyslexic
children spent more time reading the text and had a longer duration of fixation
than non-dyslexic children. However, no difference was observed between dyslexic
and non-dyslexic children in the Landolt reading task. Dyslexic children
performed a higher number of pro- and retro-saccades than non-dyslexic children
in both text reading and Landolt reading tasks. Dyslexic children had smaller pro
saccade amplitude than non-dyslexic children in the text reading task. Finally,
postural performance was poorer in dyslexic children than in non-dyslexic
children. Reading difficulties in dyslexic children are related to eye movement
strategies required to scan and obtain lexical and semantic meaning. However,
postural control performance, which was poor in dyslexic children, is not related
to lexical and semantic reading requirements and might not also be related to
different eye movement behavior.
PMID- 29795688
TI - Correction: Self-assembly and clustering of magnetic peapod-like rods with
tunable directional interaction.
AB - [This corrects the article DOI: 10.1371/journal.pone.0195552.].
PMID- 29795686
TI - beta2-microglobulin induces depressive- and anxiety-like behaviors in rat.
AB - beta2-microglobulin (B2M), the light chain of major histocompatibility complex
class I (MHC I) molecules, has been found to impair hippocampal neurogenesis.
Based on the crucial role of hippocampal neurogenesis disturbance in the process
of depression and anxiety, the aim of the present study is to investigate whether
B2M leads to depressive- and anxiety-like behaviors. We found that 6 days after
intracerebroventricular injection with B2M (0.3 MUg), the immobility times of
rats in the tail suspension test and the forced swimming test were increased, the
swimming and climbing time in the forced swimming test was decreased, and the
latency to feed in the novelty-suppressed feeding test was increased, indicating
that B2M induces depressive-like behaviors. In addition, in the elevated plus
maze test, B2M-treated rats displayed obvious decline in the number of entries
into and the proportion of time spent in the open arm, while the number of total
arm entries was no change, which indicated that B2M induces anxiety-like
behaviors. Our present findings suggest that target B2M might represent a novel
approach for treatment of depression and anxiety.
PMID- 29795689
TI - Feasibility and outcomes of atrial fibrillation screening using intermittent
electrocardiography in a primary healthcare setting: A cross-sectional study.
AB - BACKGROUND: Atrial fibrillation (AF) is a major risk factor for ischemic stroke
unless treated with an anticoagulant. Detecting AF can be difficult because AF is
often paroxysmal and asymptomatic. The aims of this study were to develop a
screening model to detect AF in a primary healthcare setting and to initiate oral
anticoagulant therapy in high-risk patients to prevent stroke. METHODS: This was
a cross-sectional study. All 70- to 74-year-old individuals registered at a
single primary healthcare center in Stockholm were invited to participate in AF
screening upon visiting the center during a ten-month period. Those who did not
have contact with the center during this period were invited to participate by
letter. Thirty-second intermittent ECG recordings were made twice a day using a
handheld Zenicor device over a 2-week period in participants without AF. Oral
anticoagulant therapy was offered to patients with newly detected AF. FINDINGS:
Of the 415 eligible individuals, a total of 324 (78.1%) patients participated in
the study. The mean age of the participants was 72 years, 52.2% were female, and
the median CHA2DS2-VASc score of the participants was 3. In the target
population, 34 (8.2%) individuals had previously diagnosed AF. Among participants
without previously known AF, 16 (5.5%) cases of AF were detected. The final AF
prevalence in the target population was 12%. Oral anticoagulant therapy was
successfully initiated in 88% of these patients with newly detected AF.
CONCLUSIONS: The AF screening project exhibited a high participation rate and
resulted in a high rate of newly discovered AF; of these newly diagnosed
patients, 88% could be treated with an oral anticoagulant.
PMID- 29795691
TI - Reconsidering non-traditional export agriculture and household food security: A
case study in rural Guatemala.
AB - As the production of non-traditional export (NTX) crops by smallholder households
in developing countries expands, there is a compelling need to understand the
potential effects of this type of agricultural production on household food
security and nutrition. We use two household surveys with a sample of 52
households, interviews, and focus groups to examine whether smallholder farmers
who produce broccoli for export in a rural Guatemalan community have different
household food security than farmers in the same community who are still growing
traditional maize and bean crops. We explore and compare the food security status
of broccoli farmers (adopters) and traditional farmers (non-adopters) across four
dimensions of food security: availability, access, utilization, and stability.
Adopters earned significantly more income (40%) than non-adopters, but higher
incomes did not coincide with improvements in food availability, food access, or
food utilization. Results indicate that adopters and non-adopters alike struggle
with access to food, while the intensity of broccoli production may be
undermining the ability of local agricultural systems to naturally control pests
and regulate nutrients. More systematic approaches to food security assessment,
especially those that consider all four dimensions of food security, are needed
to better target interventions designed to alleviate food insecurity among rural
smallholders.
PMID- 29795690
TI - The weekend effect in liver transplantation.
AB - BACKGROUND: The weekend effect describes a phenomenon whereby patients admitted
to hospitals on weekends are at higher risk of complications compared to those
admitted during weekdays. However, if a weekend effect exists in orthotopic liver
transplantation (oLT). METHODS: We analyzed oLT between 2006 and 2016 and
stratified patients into weekday (Monday to Friday) and weekend (Saturday,
Sunday) groups. Primary outcome measures were one-year patient and graft
survival. RESULTS: 364 deceased donor livers were transplanted into 329 patients
with 246 weekday (74.77%) and 83 weekend (25.23%) patients. Potential confounders
(e.g. age, ischemia time, MELD score) were comparable. One-year patient and graft
survival were similar. Frequencies of rejections, primary-non function or re
transplantation were not different. The day of transplantation was not associated
with one-year patient and graft survival in multivariate analysis. CONCLUSIONS:
We provide the first data for the Eurotransplant region on oLT stratified for
weekend and weekday procedures and our findings suggest there was no weekend
effect on oLT. While we hypothesize that the absent weekend effect is due to
standardized transplant procedures and specialized multidisciplinary transplant
teams, our results are encouraging showing oLT is a safe and successful
procedure, independent from the day of the week.
PMID- 29795692
TI - Effect of combining inferior oblique muscle weakening procedures with exotropia
surgery on the surgical correction of exotropia.
AB - PURPOSE: To determine whether the inferior oblique (IO) muscle weakening
procedure combined with exotropia surgery affects the surgical correction of
exotropia. DESIGN: Institutional, retrospective study. METHODS: We
retrospectively reviewed the medical records of 310 patients who had undergone
exotropia-correcting surgery combined with IO weakening (group A, 64 patients) or
without IO weakening (group B, 246) with a postoperative follow-up of 6 months or
more. The main outcome measures were the postoperative mean angle of horizontal
deviation, the success rate, and the overcorrection rate. Surgical success was
defined as an alignment between 10 prism diopters (PD) of exodeviation and 5 PD
of esodeviation. RESULTS: The postoperative mean angles of exodeviation,
throughout the follow-up period, did not significantly differ between the groups.
Although the surgical success rate was higher in group B at postoperative 1 month
(p = 0.035), there was no statistical difference between the 2 groups from
postoperative 6 months.: The final success rates were 56.3 and 51.6% (p = 0.509).
The overcorrection rate was significantly higher in group A at postoperative 1, 6
and 24 months (p = 0.017, p = 0.028, p = 0.030, respectively); however, at the
final follow-up, there was no overcorrection in either group. CONCLUSION: The
overcorrection rate was higher in group A until postoperative 2 years, even
though the mean angles of exodeviation and the success rates did not
significantly differ between the 2 groups. Surgeons should be mindful of
overcorrection when planning exotropia surgery combined with the IO weakening
procedure.
PMID- 29795693
TI - Correction: Latent tuberculosis infection in foreign-born communities: Import vs.
transmission in The Netherlands derived through mathematical modelling.
AB - [This corrects the article DOI: 10.1371/journal.pone.0192282.].
PMID- 29795694
TI - Correction: Post-discharge body weight and neurodevelopmental outcomes among very
low birth weight infants in Taiwan: A nationwide cohort study.
AB - [This corrects the article DOI: 10.1371/journal.pone.0192574.].
PMID- 29795695
TI - Student researchers' perceived prerequisites for voluntary research collaboration
in the context of Flemish and Chinese universities.
AB - While numerous papers have illuminated the worthiness of research collaboration,
relatively few have addressed its prerequisites. In our study, seven
prerequisites for research collaboration were extracted from the existing
literature, and 460 student researchers were surveyed for their perceptions of
the prerequisites' importance. Focusing on voluntary research collaborations
rather than brokered ones, it was found that socially oriented prerequisites such
as reciprocal interactions, accountability, trust, and equality are perceived of
more importance than prerequisites of psychical proximity, networking channels,
and funds and material supplies (substance- and entity-related prerequisites).
With latent regression analyses, we also found that Chinese and older, more
experienced researchers are inclined to stress the importance of equality.
Researchers of different cohorts prioritise substance- and entity-related
prerequisites disparately. Specifically, Chinese researchers emphasise the
necessity of funds, while researchers from first-tier universities place more
value on networking channels. Disciplinary differences for the prerequisite of
proximity were also discovered. Based on these results, discussion and
implications were referred. Further suggestions on research collaboration studies
are rendered.
PMID- 29795696
TI - Correction: Family history and obesity in youth, their effect on
acylcarnitine/aminoacids metabolomics and non-alcoholic fatty liver disease
(NAFLD). Structural equation modeling approach.
AB - [This corrects the article DOI: 10.1371/journal.pone.0193138.].
PMID- 29795697
TI - Correction: Predicting anti-RhD titers in donors: Boostering response and decline
rates are personal.
AB - [This corrects the article DOI: 10.1371/journal.pone.0196382.].
PMID- 29795698
TI - Modeling of the HIV epidemic and continuum of care in French Guiana.
AB - BACKGROUND: In order to compute the continuum of care for French Guiana, it is
necessary to estimate the total number of persons living with HIV. The main
objective was to determine how many persons were infected with HIV and how many
were unaware of it. METHODS: We used 2 different models to calculate the total
number of persons infected with HIV: Spectrum's AIM module using CSAVR to compute
incidence from case registration and vital statistics; and the ECDC model from
the French Guiana HIV cohort data. RESULT: The present results show that both
models led to similar results regarding the incident number of cases (i.e. for
2016 174 versus 161) and the total HIV population (in 2016 3206 versus 3539)
respectively. The ECDC modeling tool showed that the proportion of undiagnosed
HIV infections declined from 50% in 1990 to 15% in 2015. This amounted to a
stable or slightly increasing total number of undiagnosed patients of 520.
CONCLUSIONS: The estimations of the total HIV population by both models show that
the HIV population is still growing. The incidence rate declined in 2000 and the
decline of the number of newly acquired HIV infections, after a decline after
2003 is offset by population growth. The proportion of undiagnosed infections has
declined to 15% but the number of undiagnosed infections remains stable. The HIV
cascade shows that despite good results for treatment in care, reaching the
90*90*90 UNAIDS target may be difficult because a significant proportion of
patients are lost to follow-up.
PMID- 29795699
TI - Correction: Biosorption of Cadmium and Manganese Using Free Cells of Klebsiella
sp. Isolated from Waste Water.
AB - [This corrects the article DOI: 10.1371/journal.pone.0140962.].
PMID- 29795701
TI - Correction: Octreotide modulates the expression of somatostatin receptor subtypes
in inflamed rat jejunum induced by Cryptosporidium parvum.
AB - [This corrects the article DOI: 10.1371/journal.pone.0194058.].
PMID- 29795700
TI - Fresh fruit consumption and risk of incident albuminuria among rural Chinese
adults: A village-based prospective cohort study.
AB - BACKGROUND: Recent studies showed that increased fresh fruit consumption is
significantly associated with lower risks of diabetes, hypertension, and
cardiovascular disease (CVD); other studies suggested that albuminuria is
associated with diabetes, hypertension, and CVD. Therefore, we hypothesized that
lower fresh fruit consumption is associated with higher risk of incident
albuminuria among Chinese adults in rural areas, where fresh fruit consumption
level is very low and prevalence of albuminuria is high. METHODS: We tested the
hypothesis in a village-based cohort study of 3574 participants aged >= 30 years
from the Handan Eye Study conducted from 2006 to 2013. Albuminuria was defined as
urinary albumin-to-creatinine ratio >= 30 mg/g. RESULTS: Overall, 35.4% of the
participants never or rarely consumed fresh fruits, and 33.9, 21.6, and 9.1%
consumed fresh fruits 1-3 times/month, 1-2 times/week, and >= 3 times/week,
respectively. During a median follow-up period of 5.6 years, albuminuria
developed in 17.6% (n = 629) of the participants. Compared with participants who
consumed fresh fruits >= 3 times/week, the multivariable adjustment odds ratios
(ORs) for incident albuminuria associated with fruit consumption 1-2 times/week,
1-3 times/month, and no or rare consumption were 1.58 (95% confidence intervals
(CI), 1.05-2.40), 1.74 (95% CI, 1.17-2.58), and 1.78 (95% CI, 1.20-2.64),
respectively. After excluding participants with diabetes, the association
remained significant. CONCLUSIONS: Lower fresh fruit consumption was
significantly associated with higher risk of incident albuminuria, and fresh
fruit consumption frequency could be an essential intervention target to prevent
albuminuria in rural China.
PMID- 29795702
TI - Tailoring the specificity of the type C feruloyl esterase FoFaeC from Fusarium
oxysporum towards methyl sinapate by rational redesign based on small molecule
docking simulations.
AB - The type C feruloyl esterase FoFaeC from Fusarium oxysporum is a newly discovered
enzyme with high potential for use in the hydrolysis of lignocellulosic biomass
but it shows low activity towards sinapates. In this work, small molecule docking
simulations were employed in order to identify important residues for the binding
of the four model methyl esters of hydroxycinnamic acids, methyl
ferulate/caffeate/sinapate/p-coumarate, to the predicted structure of FoFaeC.
Subsequently rational redesign was applied to the enzyme' active site in order to
improve its specificity towards methyl sinapate. A double mutation (F230H/T202V)
was considered to provide hydrophobic environment for stabilization of the
methoxy substitution on sinapate and a larger binding pocket. Five mutant clones
and the wild type were produced in Pichia pastoris and biochemically
characterized. All clones showed improved activity, substrate affinity, catalytic
efficiency and turnover rate compared to the wild type against methyl sinapate,
with clone P13 showing a 5-fold improvement in catalytic efficiency. Although the
affinity of all mutant clones was improved against the four model substrates, the
catalytic efficiency and turnover rate decreased for the substrates containing a
hydroxyl substitution.
PMID- 29795703
TI - Position specific player load during match-play in a professional football club.
AB - There is a rapid growing body of knowledge regarding physical aspects of a
football match due to studies using computer-assisted motion analysis. The
present study used time-motion analysis and triaxial-accelerometers to obtain new
insights about differences in physical profiles of elite football players across
playing-positions. Player performance data in 23 official home matches from a
professional football club, during two seasons were collected for analysis.
Eighteen players from five different playing positions (central backs: n = 3;
full-backs: n = 5; central midfielders: n = 6; wide midfielders: n = 3; and
central forwards: n = 4), performing a total of 138 observations. A novel finding
was that central backs and central midfielders had significantly lower work-rate
in sprints, decelerations and accelerations than full-backs, wide midfielders and
central forwards (p<0.001). Furthermore, wide midfielders and full-backs
performed significantly more turns (>90 degrees ) than central backs. The most
common distance covered in high-intensity runs (>=19.8 km.h-1) for central backs,
central midfielders, wide midfielders and central forwards was 1-5 m, but for
full-backs was 6-10 m. This may help coaches in developing individualized
training programs to meet the demands of each position in match-play.
PMID- 29795705
TI - Beyond degree and betweenness centrality: Alternative topological measures to
predict viral targets.
AB - The availability of large-scale screens of host-virus interaction interfaces
enabled the topological analysis of viral protein targets of the host. In
particular, host proteins that bind viral proteins are generally hubs and
proteins with high betweenness centrality. Recently, other topological measures
were introduced that a virus may tap to infect a host cell. Utilizing
experimentally determined sets of human protein targets from Herpes, Hepatitis,
HIV and Influenza, we pooled molecular interactions between proteins from
different pathway databases. Apart from a protein's degree and betweenness
centrality, we considered a protein's pathway participation, ability to
topologically control a network and protein PageRank index. In particular, we
found that proteins with increasing values of such measures tend to accumulate
viral targets and distinguish viral targets from non-targets. Furthermore, all
such topological measures strongly correlate with the occurrence of a given
protein in different pathways. Building a random forest classifier that is based
on such topological measures, we found that protein PageRank index had the
highest impact on the classification of viral (non-)targets while proteins'
ability to topologically control an interaction network played the least
important role.
PMID- 29795706
TI - Relative Suffix Trees.
AB - Suffix trees are one of the most versatile data structures in stringology, with
many applications in bioinformatics. Their main drawback is their size, which can
be tens of times larger than the input sequence. Much effort has been put into
reducing the space usage, leading ultimately to compressed suffix trees. These
compressed data structures can efficiently simulate the suffix tree, while using
space proportional to a compressed representation of the sequence. In this work,
we take a new approach to compressed suffix trees for repetitive sequence
collections, such as collections of individual genomes. We compress the suffix
trees of individual sequences relative to the suffix tree of a reference
sequence. These relative data structures provide competitive time/space trade
offs, being almost as small as the smallest compressed suffix trees for
repetitive collections, and competitive in time with the largest and fastest
compressed suffix trees.
PMID- 29795704
TI - A global regulatory system links virulence and antibiotic resistance to envelope
homeostasis in Acinetobacter baumannii.
AB - The nosocomial pathogen Acinetobacter baumannii is a significant threat due to
its ability to cause infections refractory to a broad range of antibiotic
treatments. We show here that a highly conserved sensory-transduction system,
BfmRS, mediates the coordinate development of both enhanced virulence and
resistance in this microorganism. Hyperactive alleles of BfmRS conferred
increased protection from serum complement killing and allowed lethal systemic
disease in mice. BfmRS also augmented resistance and tolerance against an
expansive set of antibiotics, including dramatic protection from beta-lactam
toxicity. Through transcriptome profiling, we showed that BfmRS governs these
phenotypes through global transcriptional regulation of a post-exponential-phase
like program of gene expression, a key feature of which is modulation of envelope
biogenesis and defense pathways. BfmRS activity defended against cell-wall
lesions through both beta-lactamase-dependent and -independent mechanisms, with
the latter being connected to control of lytic transglycosylase production and
proper coordination of morphogenesis and division. In addition, hypersensitivity
of bfmRS knockouts could be suppressed by unlinked mutations restoring a short,
rod cell morphology, indicating that regulation of drug resistance,
pathogenicity, and envelope morphogenesis are intimately linked by this central
regulatory system in A. baumannii. This work demonstrates that BfmRS controls a
global regulatory network coupling cellular physiology to the ability to cause
invasive, drug-resistant infections.
PMID- 29795708
TI - Barriers and facilitators for access to mental health services by traumatized
youth.
AB - Polytrauma is a highly prevalent public health problem in the U.S. with even
higher rates in urban areas. Children with polytrauma often end up in multiple
child-serving systems (e.g., mental health, child welfare, education, juvenile
justice) with needs that are both complex and severe. Providers within these
child-serving systems have potential to serve as gatekeepers to trauma services
by linking youth with trauma-informed treatments and supports that promote
recovery. The purpose of our study was to assess the perspective of providers who
participated in a nine-month, trauma-informed care (TIC) training intervention on
1) their capacity to make referrals to trauma-specific services following the
training, and 2) factors external to the training intervention that supported or
hindered their ability to link traumatized youth with services. A subset of
sixteen participants from the TIC training completed individual interviews. These
participants were predominantly female, African American, and based in the social
services sector. The constant comparative method was used to derive three
thematic domains related to participant perceptions regarding youth referrals: 1)
Organizational and provider capacity to provide trauma treatment or to make
referrals to trauma-specific services, 2) Barriers to youth accessing trauma
services, and 3) Suggestions for improving coordination of care and referrals.
Our study highlights the influence of contextual factors on whether a TIC
training can improve the capacity of agencies and individual providers to support
traumatized youth in accessing appropriate services. The development of a
structure that formally connects youth-serving agencies and providers with
specialists trained in addressing traumatized youth is recommended.
PMID- 29795710
TI - Generalized Confidence Intervals Compatible with the Min Test for Simultaneous
Comparisons of One Subpopulation to Several Other Subpopulations.
AB - A problem where one subpopulation is compared to several other subpopulations in
terms of means with the goal of estimating the smallest difference between the
means commonly arises in biology, medicine, and many other scientific fields. A
generalization of Strassburger, Bretz and Hochberg (2004) approach for two
comparisons is presented for cases with three and more comparisons. The method
allows constructing an interval-estimator for the smallest mean difference, which
is compatible with the Min test. An application to a fluency-disorder study is
illustrated. Simulations confirmed adequate probability coverage for normally
distributed outcomes for a number of designs.
PMID- 29795707
TI - Cognitive Decline as a Result of Incarceration and the Effects of a CBT/MT
Intervention: A Cluster-Randomized Controlled Trial.
AB - This study primarily tests whether incarceration negatively affects cognitive
functioning; namely emotion regulation, cognitive control, and emotion
recognition. As a secondary interest, we test protective effects of a Cognitive
Behavioral Therapy/Mindfulness Training (CBT/MT) intervention. Dormitories
containing 197 incarcerated males aged 16-18 were randomly assigned to either a
CBT/MT program or an active control condition. A cognitive task was administered
pre-treatment and again four months later, upon treatment completion. Performance
on all outcome variables was significantly worse at follow-up compared to
baseline. There were marginally significant group by time interactions. While the
control group performance significantly declined in both cognitive control and
emotion regulation, the CBT/MT group showed no significant decline in either
outcome. This is the first study to probe the effects of incarceration on these
three processes. Findings suggest that incarceration worsens a known risk factor
for crime (cognitive functioning), and that a CBT/MT intervention may help buffer
against declines.
PMID- 29795712
TI - "It's ok if it's hidden": The discursive construction of everyday racism for
refugees and asylum seekers in Wales.
AB - Wales has a long history of migration; however, the introduction of dispersed
asylum seekers in 2001 has led to Wales becoming a more superdiverse nation.
Wales has often been positioned as a more "tolerant nation" than England;
however, the increasingly superdiverse nature of Wales in a postdevolution era
may now be calling this tolerance thesis into question. Models of refugee and
asylum seeker integration suggest that the absence of racism plays a key role in
integration. This paper reports the findings of research that centres on refugee
and asylum seeker integration in Wales. Nineteen interviews were conducted with
refugees and asylum seekers who had been living in Wales for between 1 month and
12 years. Each interview was analysed using a discursive psychology approach. In
this paper, I show that the interviewees appeared to negotiate a dilemma when
talking about experiencing potentially racist incidents within the interviews,
constructing them as trivial so as not to appear critical of the protection they
have received in Wales. The findings also highlight the more everyday and banal
forms of racism that are regularly experienced by refugees and asylum seekers
living in Wales.
PMID- 29795709
TI - Child Care and Cortisol Across Infancy and Toddlerhood: Poverty, Peers, and
Developmental Timing.
AB - Evidence suggests that relations between child care and children's development
behaviorally and physiologically-likely differ between children from high-versus
low-risk contexts. Using data from the Family Life Project (N = 1,155), the
authors tested (a) whether within- and between-child differences in children's
child care experiences (i.e., quantity, type, caregiver responsivity, and peer
exposure) were predictive of their cortisol levels across infancy and toddlerhood
and (b) whether these relations differed for children experiencing different
levels of environmental risk. They found some evidence of such interactive
effects. For children from high-risk contexts, within-child increases in child
care hours were predictive of cortisol decreases. The inverse was evident for
children from low-risk contexts. This relation grew across toddlerhood. Whereas a
history of greater center-based child care was predictive of heightened cortisol
levels for low-risk families, this was not the case for children from high-risk
families. Irrespective of risk, greater peer exposure (between children) was
associated with lower cortisol levels.
PMID- 29795713
TI - Editorial: Add-on Tests.
PMID- 29795711
TI - Growth in inhibitory control among low-income, ethnic-minority preschoolers: A
group-based modeling approach.
AB - The emergence of self-regulation skills such as inhibitory control in children is
an important developmental process associated with adjustment across multiple
domains. Individual differences in inhibitory control are associated with family
socioeconomic status but have not been studied in relation to variations in risk
found within a low-income (i.e., high risk) sample (N = 407). Using a group-based
modeling approach, change in inhibitory control was examined from 30 to 42 months
of age in a sample of low-income Hispanic and African-American children. Patterns
of change in inhibitory control were examined in relation to cumulative risk and
child externalizing behavior using the Child Behavior Checklist measured at 42
months. A three-group solution was identified as optimally fitting the data,
representing patterns of change in inhibitory control: a normative group,
exhibiting increasing inhibitory control (48%); a low-stable group (40%); and a
high-stable group (12%). Group membership did not differ by child ethnicity or
gender. Higher cumulative risk was found among the children in the low-stable
group than in the other groups. Mothers reported more externalizing problems at
42 months for children in the low-stable group than in the other groups, even
controlling for the effect of cumulative risk. Intervention and policy
implications are discussed.
PMID- 29795714
TI - The Role of Matrix Metalloproteinase-3 In the Development of Atherosclerosis and
Cardiovascular Events.
AB - The matrix metalloproteinases are a family of peptidase enzymes responsible for
the degradation of extracellular matrix (ECM). Alterations in the structure and
composition of the ECM play a key role in the atherogenic process. Recent data
suggest the important role of MMPs in the development of atherosclerosis and
future cardiovascular events. Expressed at low levels in normal tissue, MMPs are
upregulated in remodeling processes. Matrix metalloproteinase-3 (MMP-3) is
present in atherosclerotic plaques and acts in the degradation of the fibrous cap
of the atheroma. Many clinical studies reported that increased MMP-3 level and
also the gene polymorphism of MMP were the independent cardiovascular risk
factors. MMPs represent an attractive target to prevent matrix degradation,
atherosclerosis and possible cardiovascular events.
PMID- 29795715
TI - Bio-Metrological Uncertainty In Clinical Laboratory Sciences.
PMID- 29795716
TI - Association of C-Reactive Protein and Other Markers of Inflammation with Risk of
Complications in Diabetic Subjects.
AB - The inflammatory process and factors that contribute to chronic low-grade
inflammation have recently become a focus in cardiovascular disease, diabetes,
peripheral vascular diseases, renal disease and hypertension. The aim of this
article was to discuss on the clinical utility of C-reactive protein and several
other inflammatory molecules in diabetic patients.
PMID- 29795717
TI - Sequencing of Von Hippel-Lindau (VHL) Gene from Genomic DNA for Mutation
Detection in Italian Patients.
AB - Genomic DNA from patients suspected to have VHL were analysed by direct
sequencing of the VHL gene-coding region for mutation detection. Sequencing was
performed on the extracted DNA following amplification by Polymerase Chain
Reaction (PCR) with suitably designed primers. Genetic analysis revealed a single
base substitution in exon 3 of each of the two patients causing the R161X (stop
codon) being a de novo mutation and the R176W missense mutation, respectively.
The genetic and familial studies indicated that the VHL disease is inherited as a
dominant trait.
PMID- 29795718
TI - Immunophenotyping of Peripheral Blood and Bone Marrow Cells by Flow Cytometry.
PMID- 29795720
TI - Ruthenium brachytherapy for intraocular growth of a conjunctival squamous cell
carcinoma: a case report.
AB - The aim of this study is to report the use of ruthenium brachytherapy as an
adjunctive treatment in the management of an intraocular extension of the
conjunctival squamous cell carcinoma (SCC). In 2013, a 73-year-old man presented
with a tumor blocking the angle and infiltrating corneal endothelium. The patient
was treated with iridectomy, and after one month, ruthenium brachytherapy was
applied. 4.5 years post-irradiation, the treated eye is painless, and no
recurrence was noted. We advocate the use of ruthenium brachytherapy as an eye
salvage treatment with an intraocular extension of conjunctival SCC.
PMID- 29795721
TI - Comparison of Varying Heel to Toe Differences and Cushion to Barefoot Running in
Novice Minimalist Runners.
AB - There are many different types of footwear available for runners in today's
market. Many of these shoes claim to help runners run more efficiently by
altering an individual's stride mechanics. Minimalist footwear claims to aid
runners run more on their forefeet whereas more traditional footwear provides
more cushioning specifically for a heel first landing. The purpose of this paper
was to determine if runners, who were accustomed to running in traditional
footwear would alter their running mechanics while running acutely in various
types of minimalist footwear. Twelve subjects, accustomed to running in
traditional 12 mm heel/toe differential footwear, ran in five footwear conditions
on a treadmill at a controlled pace for two minutes after warming up in each
condition for 5 minutes. While running in 12 mm heel/toe differential footwear
compared to barefoot, subjects ran with a significantly longer ground time, a
lower stride rate and greater vertical oscillation. There were not any
differences in variables when running in the shod conditions despite the varying
heel/toe differentials. Running barefoot proved to be different than running in
traditional 12 mm drop cushioned footwear.
PMID- 29795719
TI - Preconditioning by Hydrogen Peroxide Enhances Multiple Properties of Human
Decidua Basalis Mesenchymal Stem/Multipotent Stromal Cells.
AB - Stem cell-based therapies rely on stem cell ability to repair in an oxidative
stress environment. Preconditioning of mesenchymal stem cells (MSCs) to a stress
environment has beneficial effects on their ability to repair injured tissues. We
previously reported that MSCs from the decidua basalis (DBMSCs) of human placenta
have many important cellular functions that make them potentially useful for cell
based therapies. Here, we studied the effect of DBMSC preconditioning to a stress
environment. DBMSCs were exposed to various concentrations of hydrogen peroxide
(H2O2), and their functions were then assessed. DBMSC expression of immune
molecules after preconditioning was also determined. DBMSC preconditioning with
H2O2 enhanced their proliferation, colonogenicity, adhesion, and migration. In
addition, DBMSCs regardless of H2O2 treatment displayed antiangiogenic activity.
H2O2 preconditioning also increased DBMSC expression of genes that promote
cellular functions and decreased the expression of genes, which have opposite
effect on their functions. Preconditioning also reduced DBMSC expression of IL
1beta, but had no effects on the expression of other immune molecules that
promote proliferation, adhesion, and migration. These data show that DBMSCs
resist a toxic environment, which adds to their potential as a candidate stem
cell type for treating various diseases in hostile environments.
PMID- 29795722
TI - Acute Cardiovascular Responses after a Single Bout of Blood Flow Restriction
Training.
AB - Different types of exercise might produce reductions in blood pressure (BP). One
physiological mechanism that could explain the lowering adaptation effect on BP
after an exercise program is an improved in baroreflex control of muscle
sympathetic nerve activity. Consequently, exploring the different methods of
training and their post-exercise hypotension (PEH) becomes of interest for
healthcare providers. Recently, it has been suggested that blood flow restriction
training (BFR) can generate PEH. The aim of this study was to determine the acute
response on cardiovascular variables after low intensity resistance training with
BFR in normotensive subjects. Twenty-four male (24.38+/-3.88 years) performed
four sets of plantar flexion at 30% 1RM (1*30 + 3*15 repetitions) with 30% of
maximal occlusion pressure and 60 seconds resting period. The restrictive
pressure was released during the intervals between sets. BP, heart rate (HR),
blood oxygen saturation (SpO2) and double product (DP) were measured in baseline,
after each set of exercise and 15, 30, 45, 60 minutes and 24 hours after
exercise. An immediate significant increase across the set was observed for HR
values (11.5%) (p<0.05) during application the protocol. SBP and DBP values also
increased during exercise although mildly (1.7% and 1%, respectively) without
significant differences compared with pre-values. A post-exercise hypotension was
obtained 15min post-training (SBP: -6.9%; DBP: -3%). There was no significant
change in SpO2 and DP during and post-exercise with BFR. Cardiovascular responses
were altered mildly during BFR-training and after the single bout. In conclusion,
BFR in young normotensive humans generated post-exercise hypotension.
PMID- 29795723
TI - Serum Tumor Necrosis Factor-alpha associates with Myocardial Oxygen Demand and
Exercise Tolerance in Postmenopausal Women.
AB - The functional implications of serum tumor necrosis factor-alpha (TNF-alpha), a
marker of oxidative stress, on hemodynamic parameters at rest and during physical
exertion are unclear. The aims of this investigation were to examine the
independent associations of TNF-alpha on myocardial oxygen demand at rest and
during submaximal exercise, while also evaluating the association of TNF-alpha on
exercise tolerance. Forty, postmenopausal women, provided blood samples and
completed a modified-Balke protocol to measure maximal oxygen uptake (VO2max).
Large artery compliance was measured by pulse contour analyses while rate
pressure product (RPP), an index of myocardial oxygen demand, was measured at
rest and during two submaximal workloads (i.e., ~55% and ~75% VO2max). RPP was
calculated by dividing the product of heart rate and systolic blood pressure (via
auscultation) by 100. Exercise tolerance corresponded with the cessation of the
graded exercise test. During higher-intensity exertion, ~75% VO2max, multiple
linear regression revealed a positive association (r = 0.43; p = 0.015) between
TNF-alpha and RPP while adjusting for maximal heart rate, VO2max, large artery
compliance, and percent body fat. Path analyses revealed a significant indirect
effect of large artery compliance on exercise tolerance through TNF-alpha, beta =
0.13, CI [0.03, 0.35], indicating greater levels of TNF-alpha associated with
poorer exercise tolerance. These data suggest TNF-alpha independently associates
with myocardial oxygen demand during physical exertion, thus highlighting the
utility of higher-intensity efforts to expose important phenomena not apparent at
rest. TNF-alpha also appears to be indirectly associated with the link between
large artery compliance and exercise tolerance.
PMID- 29795724
TI - Effects of Strength Training Sessions Performed with Different Exercise Orders
and Intervals on Blood Pressure and Heart Rate Variability.
AB - This study compared the effect of a strength training session performed at
different exercise orders and rest intervals on blood pressure and heart rate
variability (HRV). Fifteen trained men performed different upper body exercise
sequences [large to small muscle mass (SEQA) and small to large muscle mass
(SEQB)] in randomized order with rest intervals between sets and exercises of 40
or 90 seconds. Fifteen repetition maximum loads were tested to control the
training intensity and the total volume load. The results showed, significant
reductions for systolic blood pressure (SBP) for all sequences compared to
baseline and, post-exercise: SEQA90 at 20, 30, 40, 50 and 60 minutes; SEQA40 and
SEQB40 at 20 minutes and SEQB90 at 10, 20, 30, 40, 50 and 60 minutes. For
diastolic blood pressure (DBP), significant reductions were found for three
sequences compared to baseline and, post-exercise: SEQA90 and SEQA40 at 50 and 60
minutes; SEQB40 at 10, 30 and 60 minutes. For HRV, there were significant
differences in frequency domain for all sequences compared to baseline. In
conclusion, when performing upper body strength training sessions, it is
suggested that 90 second rest intervals between sets and exercises promotes a
post-exercise hypotensive response in SBP. The 40 second rest interval between
sets and exercises was associated with greater cardiac stress, and might be
contraindicated when working with individuals that exhibit symptoms of
cardiovascular disease.
PMID- 29795725
TI - The Short-Term Effects of Lying, Sitting and Standing on Energy Expenditure in
Women.
AB - The deleterious health effects of too much sitting have been associated with an
increased risk for overweight and obesity. Replacing sitting with standing is the
proposed intervention to increase daily energy expenditure (EE). The purpose of
this study was to determine the short-term effects of lying, sitting, and
standing postures on EE, and determine the magnitude of the effect each posture
has on EE using indirect calorimetry (IC). Twenty-eight healthy females performed
three separate positions (lying, sitting, standing) in random order. Inspired and
expired gases were collected for 45-minutes (15 minutes for each position) using
breath-by-breath indirect calorimetry. Oxygen consumption (VO2) and carbon
dioxide production (VCO2) were measured to estimate EE. Statistical analyses used
repeat measures ANOVA to analyze all variables and post hoc t-tests. Based on the
ANOVA the individual, time period and order term did not result in a
statistically significant difference. Lying EE and sitting EE were not different
from each other (P = 0.56). However, standing EE (kcal/min) was 9.0 % greater
than lying EE (kcal/min) (P = 0.003), and 7.1% greater than sitting EE (kcal/min)
(P = 0.02). The energetic cost of standing was higher compared to lying and
sitting. While this is statistically significant, the magnitude of the effect of
standing when compared to sitting was small (Cohen's d = 0.31). Short-term
standing does not offer an energetic advantage when compared to sitting.
PMID- 29795726
TI - An Evaluation of Select Physical Activity Exercise Classes on Bone Metabolism.
AB - Weight-bearing physical activity can optimize bone mass early in life and prevent
the development of osteoporosis. However, less is known about the potential
benefits of non-weight-bearing activities. The purpose of this study was to
assess the efficacy of structured physical activity classes on bone metabolism.
Twenty-eight premenopausal women, aged 18-35 years who were either enrolled in a
yoga class (n=14) or cardio-kickboxing class (n=14) voluntarily consented to
participate. Both classes were introductory classes meeting twice per week for 50
min per session for 12 weeks. Anteroposterior spine (L1-L4), hip (dual femur),
and total body bone mineral density (BMD) was measured in both groups pre and
post intervention using dual-energy X-ray absorptiometry (DXA). Pre and post
blood samples were drawn for measurement of serum osteocalcin (OC) by enzyme
linked immunosorbent assay (ELISA) in each group. Baseline subject
characteristics including age, height, weight, body fat percentage, and lean body
mass did not differ between groups. BMD levels did not increase but were held
stable over the course of the intervention. Yoga increased OC by 68% (P < 0.001)
and cardio-kickboxing increased OC by 67% (P < 0.001) over the course of the 12
week classes. While 12 weeks of yoga and cardio-kickboxing were insufficient to
induce BMD changes, OC levels reflect the bone formation process was initiated,
but not yet complete. Increased OC levels suggest the selected physical activity
classes provided enough of a stimulus to precipitate a future response of bone
growth, assuming exercise training remains constant.
PMID- 29795727
TI - The Effect of a Single Bout of High Intensity Intermittent Exercise on Glucose
Tolerance in Non-diabetic Older Adults.
AB - Our aim was to investigate the acute effects of a single bout of high intensity
intermittent training (HIIT) on glucose tolerance and other physiological and
metabolic markers in non-diabetic older adults. Fourteen healthy older adults
(age, 64 +/- 2 y; BMI, 25.7 +/- 2.8 kg.m-2) performed two acute exercise trials:
continuous moderate intensity exercise (MOD) and HIIT, with the response to an
oral glucose tolerance test (OGTT) determined <24 hours after. Inflammatory,
haematological, and lipid parameters were also assessed the day after each trial.
There was an effect of the trials on the insulin response to an OGTT (P=0.047),
but not the glucose response. Following an acute bout of HIIT, insulin
concentration during an OGTT was elevated at 60 min compared to the control trial
(P=0.045), indicating more insulin was secreted, but glucose concentration was
unchanged in all trials. The study findings demonstrate that a single bout of
HIIT affects the insulin response but not the glycaemic response to a glucose
load, proffering a potential benefit for metabolic health in older adults.
PMID- 29795728
TI - Effects of Single-Dose Dietary Nitrate on Oxygen Consumption During and After
Maximal and Submaximal Exercise in Healthy Humans: A Pilot Study.
AB - Dietary nitrate (NO3-) has been shown to reduce oxygen consumption (VO2) during
moderate to high-intensity (e.g. time to fatigue, time trials) exercise and often
in trained athletes. However, less is known regarding prolonged exercise and the
potential impact of NO3- on post-exercise excess oxygen consumption (EPOC),
particularly in untrained individuals, who may have different metabolic goals
during exercise than trained individuals. We tested the hypothesis that acute
nitrate supplementation in the form of beet root juice will significantly
decrease both VO2 during maximal exercise and EPOC in both maximal and submaximal
exercise trials. Eight young, moderately active, healthy males (age: 24.8+/-1.4
years, body mass index: 23.7+/-0.4 kg/m2; VO2max: 34.2+/-3.9 ml/kg/min) performed
step-wise maximal cycle exercise (n=4) and prolonged submaximal cycle exercise
(n=6) (45 min; 38+/-2% of max work rate) in control (anti-bacterial mouthwash)
and acute NO3- supplemented conditions [70ml concentrated beet root juice (0.4g
NO3-), 2 hrs prior to exercise] on separate occasions. Measurements of VO2
(indirect calorimetry), arterial blood pressure (MAP; sphygmomanometry), and
heart rate (HR; ECG) were made before, during, and following exercise bouts. NO3-
reduced MAP at rest ~1-3mmHg. However, NO3- had no impact on VO2 during maximal
(VO2max, Ctrl: 34.2+/-3.9 ml/kg/min vs NO3-: 31.7+/-4.4 ml/kg/min), submaximal
exercise (average of min 25-45, Ctrl: 24.6+/-2.4 ml/kg/min vs NO3-: 26.8+/-3.3
ml/kg/min) or EPOC (area under the curve, Ctrl: 0.57+/-0.24 L vs NO3-: 0.66+/
0.16 L). Thus, while NO3- supplementation may have performance benefits in elite
athletes exercising at high intensities, in recreationally active males, there
appears to be little impact on changes in VO2 due to maximal or submaximal
prolonged exercise.
PMID- 29795729
TI - Comparison of a Pneumatic Compression Device to a Compression Garment During
Recovery from DOMS.
AB - The purpose of this study was to determine the effects of a pneumatic compression
device (PCD) compared to a continuously-worn compression sleeve (CS) during a
five-day recovery period from delayed-onset muscle soreness (DOMS) of the elbow
flexors. Eight college-aged students participated in this crossover design study.
The muscle-damage protocol consisted of four sets of 25 repetitions of isokinetic
concentric elbow flexion followed by eccentric elbow extension at 60 degrees
/second. Immediately following the muscle-damage protocol, subjects either wore a
CS continually for five days or completed daily, 20-minute PCD treatments for
five days. Swelling, range of motion (ROM), and pain were measured daily during
the five-day recovery period. Subjects rested for seven additional days before
completing another muscle-damage protocol and the remaining treatment. Treatment
order was randomized and balanced. Muscle swelling, assessed via changes in upper
arm circumference, was significantly lower in the PCD treatment (1.7 vs. 2.0 cm
in CS, p = 0.012), however there was no difference in lower arm circumference (p
= 0.091). ROM disturbances during the PCD treatment were lower (mean peak
reduction in ROM -9.04 degrees in PCD compared to -17.25 degrees in CS, p < 0.05)
and peak pain was lower by 39% (27.5 mm in PCD compared to 45.2 mm in CS, p <
0.05) when compared to the CS treatment. These findings suggest that daily
treatments using a PCD further reduce peak disturbance and recovery time from
DOMS of the elbow flexors when compared to a continuously-worn CS.
PMID- 29795730
TI - No Effect of Assisted Hip Rotation on Bat Velocity.
AB - Softball and baseball are games that require multiple skill sets such as
throwing, hitting and fielding. Players spend a copious amount of time in batting
practice in order to be successful hitters. Variables commonly associated with
successful hitting include bat velocity and torso rotation. The concept of
overspeed bodyweight assistance (BWA) has shown increases in vertical jump and
sprint times, but not hip rotation and batting. The purpose of this study was to
examine the effects of assisted hip rotation on bat velocity. Twenty-one male and
female recreational softball and baseball players (15 males, age 23.8 +/- 3.1yrs;
height 177.67 +/- 6.71cm; body mass 85.38 +/- 14.83kg; 6 females, age 21.5 +/-
2.1yrs; height 162.20 +/- 9.82cm; body mass 60.28 +/- 9.72kg) volunteered to
participate. Four different BWA conditions (0%, 10%, 20%, and 30%) were randomly
applied and their effects on bat velocity were analyzed. Subjects performed three
maximal effort swings under each condition in a custom measurement device and
average bat velocity (MPH) was used for analysis. A mixed factor ANOVA revealed
no interaction (p=0.841) or main effect for condition, but there was a main
effect for sex where males had greater bat velocity (43.82+/-4.40 - 0% BWA,
41.52+/-6.09 - 10% BWA, 42.59+/-7.24 - 20% BWA, 42.69+/-6.42 - 30% BWA) than
females (32.57+/-5.33 - 0% BWA, 31.69+/-3.40 - 10% BWA, 32.43+/-5.06 - 20% BWA,
32.08+/-4.83 - 30% BWA) across all conditions Using the concept of overspeed
training with assisted hip rotation up to 30% BWA did not result in an increase
in bat velocity. Future research should examine elastic band angle and hip
translation at set-up.
PMID- 29795731
TI - Influence of Baseline Muscle Strength and Size Measures on Training Adaptations
in Resistance-trained Men.
AB - The influence of baseline strength or muscle size on adaptations to training is
not well-understood. Comparisons between novice and advanced lifters, and between
stronger and weaker experienced-lifters, have produced conflicting results. This
study examined the effect of baseline muscle strength and size on subsequent
adaptations in resistance-trained individuals following a traditional high
volume, short-rest resistance training protocol. Fourteen resistance-trained men
(24.0+/-2.7 y; 90.1+/-11.7 kg; 169.9+/-29.0 cm) completed pre-training (PRE)
ultrasound measurements of muscle cross-sectional area (CSA) in the rectus
femoris (RF), vastus lateralis (VL), pectoralis major, and triceps brachii (TRI)
prior to strength assessments (e.g., one-repetition maximum strength bench press
and back-squat). Post-training (POST) assessments were completed following 8-wks
(4 d.wk-1) of resistance training. Comparisons were made between stronger (STR)
and weaker (WKR) participants, and between larger (LGR) and smaller (SMR)
participants, based upon PRE-muscle strength and size, respectively. When groups
were based on upper-body strength, repeated measures analysis of variance
indicated a significant group * time interaction where greater improvements in
bench press strength were observed in WKR (12.5+/-8.6%, p = 0.013) compared to
STR (1.3+/-5.4%, p=0.546). Within this comparison, STR also possessed more
resistance training experience than WKR (mean difference=3.1 y, p=0.002). No
other differences in experience or adaptations to training were observed. These
data suggest that following a short-duration training program (8-weeks), baseline
size and strength have little impact on performance gains in resistance-trained
individuals who possess similar years of experience. However, when training
experience is different, baseline strength may affect adaptations.
PMID- 29795732
TI - Acute Specific Effects of Caffeine-containing Energy Drink on Different Physical
Performances in Resistance-trained Men.
AB - Caffeine containing energy drink (ED) is frequently used as ergogenic aid, but
its effect on performance need more investigation. Thus, the aim of this study
was to analyze the effects of acute ingestion of an ED on the physical
performance of resistance-trained men subjected to successive tests in the same
experimental protocol. Fifteen resistance-trained males (21.0 +/- 0.3 yrs; 177.4
+/- 1.8 cm; 79.6 +/- 1.8 kg) ingested 2.5 mg caffeine per kg of body weight
(619.5 +/- 14.6 mL of ED) or a placebo in a double-blind randomized cross-over
design. Physical performance was randomized for the maximum repetition tests (80%
1RM) in the bench press exercise and unilateral knee extension (dominant leg),
maximal isometric hand-grip test in both hands, standing long jump and repeated
sprint ability test. The paired Student-t test showed that ED intake increased
performance compared to the placebo for the number of repetitions in the
unilateral knee extension test of the dominant leg (11.5 +/- 0.9 reps vs 9.5 +/-
0.8 reps; P = 0.001) and bench press (10.2 +/- 0.4 reps vs 8.1 +/- 0.5 reps; P =
0.01); and also increased isometric strength in the hand-grip maximal test in the
right (53.7 +/- 1.5 kg vs. 47.7 +/- 1.6 kg; P = 0.02) and left hand (52.9 +/- 1.5
kg vs. 45.9 +/- 1.3 kg; P = 0.02). In conclusion, acute ingestion of ED increased
performance only in specific strength tests in resistance-trained men.
PMID- 29795733
TI - Comparison of Body Composition Measurements in Lean Female Athletes.
AB - This study compared body composition measurements in lean female athletes. The
primary objective was to compare the accuracy of percent body fat (%BF)
determined by bioelectrical impedance analysis (BIA), air-displacement
plethysmography (ADP), and underwater weighing (UWW) in female Division I
cheerleaders (n = 10 bases, 6 back-spots, and 12 flyers) from two universities.
The secondary objective was to compare health risk predicted by %BF to body mass
index (BMI) categorizations. UWW was considered the gold standard for assessing
%BF. Pearson correlation coefficients were used to determine associations between
methods. Repeated measures analysis of variance was used to identify differences
in %BF by method. BIA, ADP, and UWW were highly correlated (r >= .828, p < .001
for all). However, %BF by BIA (20.0 +/- 5.2%) and ADP (19.3 +/- 6.0%) was higher
than %BF by UWW (15.9 +/- 4.1%, p < .001). Health risk was predicted less often
when classified based on very lean (risky low) %BF levels by BIA and ADP than UWW
(7.1%, 3.6%, and 21.4%, respectively). This finding suggests that, similar to
female track-and-field athletes who also exhibit lean muscular physiques, %BF is
overestimated by BIA and ADP in female cheerleaders and health risk associated
with low %BF is underestimated when compared to UWW. In contrast, BMI was not
associated with %BF by any method and no participants were classified as
underweight by this measure. Thus, BMI should not be used to predict health risk
in lean female athletes, such as collegiate cheerleaders.
PMID- 29795734
TI - Comparison of Techniques for Tracking Body Composition Changes across a Season in
College Women Basketball Players.
AB - Body composition assessment has become an integral part of athletes' training
schedules. Questions remain concerning the accuracy of various methods to track
body composition changes over a competitive year cycle. The purpose of this study
was to compare various methods of tracking body composition across a college
women's basketball season. Fourteen Division II women (age = 20.1 +/- 1.2 y) were
measured prior to the season (T1), after pre-season conditioning (T2), at mid
season (T3), and at the end of the season (T4) using skinfolds (SKF), two
bioelectric impedance analysis (BIA) devices, and dual-energy x-ray
absorptiometry (DXA). BIA devices were hand-to-hand (H-BIA) and foot-to-foot (F
BIA) single-frequency models. SKF were used to estimate %fat using four
prediction equations. A method * trial factorial ANOVA on %fat with repeated
measures over the second factor indicated that all methods except the Durnin
Womersley SKF equation were significantly lower than DXA. Across trials, DXA %fat
at T1 (25.3 +/- 4.7%) was significantly higher than at T2 (24.3 +/- 4.6%), T3
(24.6 +/- 4.6%), and T4 (24.4 +/- 5.1%). Agreement between DXA and the other
methods were moderate (r = 0.48 - 0.86). Rank-order correlations of DXA with the
other methods to compare team order indicated H-BIA (rho = 0.67 - 0.78) and F-BIA
(rho = 0.62 - 0.77) provided comparable agreement, with SKF methods having lower
agreement for team order (rho = 0.46 - 0.73). Compared to the DXA standard, a
foot-to-foot BIA device may provide adequate but significantly lower relative
tracking of %fat across a women's basketball season.
PMID- 29795735
TI - Discrimination against Obese Exercise Clients: An Experimental Study of Personal
Trainers.
AB - The aim of the study was to compare exercise recommendations, attitudes, and
behaviors of personal trainers toward clients of different weight statuses. Fifty
two personal trainers participated in the study. The data collection was
organized into two phases. In phase one, trainers read a profile and watched the
video displaying an interview of either an obese or an average-weight client.
Profiles and video interviews were identical except for weight status. Then,
trainers provided exercise recommendations and rated their attitude toward the
client. In phase two, trainers personally met an obese or an average-weight mock
client. Measures were duration and number of advices provided by the trainer to a
question posed by the client and sitting distance between trainer and client.
There were no significant differences in exercise intensity (p = .94), duration
of first session (p = .65), and total exercise duration of first week (p = .76)
prescribed to the obese and average-weight clients. The attitude of the personal
trainers toward the obese client were not significantly different from the
attitude of personal trainers toward the average-weight client (p = .58). The
number of advices provided (p = .49), the duration of the answer (p = .55), and
the distance personal trainers sat from the obese client (p = .68) were not
significantly different from the behaviors displayed toward the average-weight
client. Personal trainers did not discriminate against obese clients in
professional settings.
PMID- 29795737
TI - Practices, Perceived Benefits, and Barriers to Resistance Training Among Women
Enrolled in College.
AB - The American College of Sports Medicine (ACSM) has recommended that resistance
training be performed at least twice per week, with 8-12 repetitions of 8-10
exercises targeting all major muscle groups (1). However, Kruger, Carlson, and
Kohl (18) reported that women were participating less than the U.S. population on
the whole, as only 20% of women were engaging in resistance training two or more
times per week. In order to better understand why only 1 in 5 women participate
regularly in this form of physical activity, this study investigated current
resistance training practices, perceived benefits, and barriers to resistance
training among college women. One-hundred and sixteen women college students from
a large, public, Midwestern university participated in this study. Correlation
and hierarchical multiple regression analyses were used to identify the strongest
predictors of resistance training behaviors. The predictors in the regression
model included demographic characteristics in block one, perceived barriers to
resistance training in block two, and perceived benefits of resistance training
in block three. Results indicated that the level of perceived "time/effort"
barriers significantly predicted resistance training behavior. Findings in this
area may help researchers, university recreation programmers, personal trainers,
and other health and fitness professionals better understand the attitudes and
actions of college women regarding resistance training, toward the goal of
promoting fitness center environments that college women find more inviting.
PMID- 29795736
TI - Determinants of Attendance at a Physical Activity Focused Afterschool Program in
Elementary School Children.
AB - Afterschool youth physical activity (PA) programs provide opportunities for
increasing children's time engaged in moderate-to-vigorous physical activity
(MVPA). However, low program attendance reduces the benefits of participating in
these programs. The purpose of this study was to determine if enjoyment, athletic
competence and motivation for PA predict youth attendance at a free afterschool
PA program from 3rd to 5th grade. Data were collected from a larger randomized
community trial examining the effectiveness of an afterschool program for
increasing opportunities to engage in MVPA. Data were collected twice annually
(fall/spring) over 3 school years (3rd - 5th grade) in 9 schools. Analyses were
stratified by grade and sex, and a series of multi-level linear regression models
were utilized to determine if baseline levels of the psychosocial determinants
predicted annual attendance as a percentage of afterschool sessions attended.
Amotivation for PA was negatively associated with attendance in boys and non-self
determined extrinsic motivation was positively associated with attendance in
girls in the 5th grade. Age was associated with a 13.72% reduction in attendance
in the 3rd grade, a 12.87% attendance reduction in the 4th grade, and a 7.93%
attendance in reduction in the 5th grade. Race was also associated with
attendance. Non-White youth attended the program 13.56% less in the 3rd grade,
17.35% less in the 4th grade, and 21.53% less in the 5th grade than White youth.
The findings suggest that attendance to PA afterschool programming may be
associated with children's motivational characteristics, but that other variables
should be identified for further research.
PMID- 29795739
TI - External Feedback Does Not Affect Running Pace in Recreational Runners.
AB - Many runners receive external feedback, such as running pace, during training;
however, it is unknown if this feedback increases the intensity of a given
exercise session. Therefore, the purpose of this study was to examine the effect
of the provision of pace feedback on self-selected submaximal running pace, heart
rate, and perceived exertion in recreational runners. Ten runners (6 female, 4
male) completed four 30-min treadmill running bouts in random order, each on a
separate day. In each session, participants adjusted their pace as desired;
however, all treadmill display information was concealed from the runners. During
each bout, participants were given feedback regarding running pace every five
minutes as follows: 1) no pace feedback, 2) accurate pace feedback 3) false
positive pace feedback (5% faster than the actual pace), or 4) false negative
pace feedback (5% slower than the actual pace). Average running paces were 5:35
+/- :12, 5:32 +/- :12, 5:30 +/- :12, and 5:30 +/- :12 min:sec/km for the no pace,
accurate pace, false positive, and false negative feedback conditions,
respectively, which were not statistically different. The different feedback
conditions also yielded no significant differences in average heart rate, maximal
heart rate, or rating of perceived exertion. These results suggest that periodic
external feedback regarding running pace does not affect overall self-selected
running pace or exercise intensity during a running bout in recreational runners.
PMID- 29795738
TI - A Cross-Training Program Does Not Alter Self-Reported Physical Activity Levels in
Elementary School Children.
AB - The purpose of the study was to examine the effects of a school-based cross
training program on body mass index (BMI), attitudes toward physical activity
(ATPA), and physical activity (PA) levels of children in the 4th and 5th grades.
Children (N = 118) were divided into control (n = 60) and experimental (n = 58)
groups based on class availability. While the control group continued academic
classes as usual, the experimental group participated in cross-training involving
resistance training (RT), calisthenics, and stretching twice a week for 10 weeks.
Pre- and post-intervention measures included height, mass, ATPA, and PA. BMI was
calculated and ATPA and PA levels were assessed via questionnaire. The groups did
not differ significantly (p > .05) for either pre- or post-intervention regarding
BMI, ATPA, and PA. However, BMI and PA levels significantly increased over time
for both groups (p <= .05). Overall, cross-training in a school setting may be a
safe and enjoyable option for physical activity participation. BMI and PA
increases were likely the result of the natural growth process and seasonal
weather pattern changes, respectively. Nevertheless, the cross-training did not
detract from PA levels and may have led to an overall increase in PA levels. As
subdomain analyses revealed decreased attitude toward health and fitness in the
experimental group, shorter programs involving RT with various protocols are
recommended.
PMID- 29795740
TI - Effects of Starting Stance on Base Running Sprint Speed in Softball Players.
AB - Speed is a crucial aspect in softball, and can be the difference between winning
and losing. Base stealing is a method used to produce runs. There has been debate
over which starting position is the most advantageous to maximize acceleration
and speed to reach the next base the fastest. The purpose of this study was to
examine the effect of different starting stances on acceleration and speed phases
in collegiate softball players. Seventeen healthy NCAA Division I women's
softball players (age = 19.9 +/- 1.3yrs, height = 167.0 +/- 5.4cm, mass = 74.8 +/
14.1kg) volunteered to participate. Three maximum 45 ft sprints, with one minute
rest, were performed (with splits at 15, 30 and 45ft) for each of three starting
stances (front foot on the base, back foot on the base, and cross over stance). A
1*3 repeated measures ANOVA for total time demonstrated that front foot on the
base was significantly faster (2.51 +/- 0.18s) than back foot on the base (2.70
+/- 0.19s) and the cross over step (2.66 +/- 0.23s). For all three splits, front
foot on the base was also significantly faster (0.96 +/- 0.07s, 0.81 +/- 0.06s,
and 0.73 +/- 0.06s) than back foot on the base (1.10 +/- 0.13s, 0.84 +/- 0.05s,
and 0.75 +/- 0.43s) and cross over step (1.04 +/- 0.09s, 0.84 +/- 0.06s, and 0.75
+/- 0.07s). The decrease in time for front foot on the base was probably the
result of using the base to push against, like a sprinter's block, to produce
greater horizontal force to accelerate faster and reach a greater top speed.
Coaches should teach their softball athletes to stand with their front foot on
the base when base running.
PMID- 29795741
TI - Simulated Altitude via Re-Breathing Creates Arterial Hypoxemia but Fails to
Improve Elements of Running Performance.
AB - Acclimatization to altitude has been shown to improve elements of performance.
Use of simulated altitude is popular among athletes across the sports spectrum.
This work was on a handheld, re-breathing device touted to enhance performance.
Seven recreationally-trained athletes used the device for 18 hours over the
course of the 37-day intervention trial. The elevations simulated were
progressively increased from 1,524m to 6,096m. To ascertain potential efficacy,
four performance trials were included (familiarization, baseline, and 2 follow
ups). Hematological (hematocrit, hemoglobin, and lactate), physiological
(respiratory exchange ratio, heart rate, and oxygen consumption), and perceptual
(Borg's RPE) variables were monitored at rest, during two steady state running
economy stages, and at maximal effort during each visit. The device is clearly
capable of creating arterial hypoxemic conditions equating to high altitude. This
fact is exemplified by average pulse oximetry values of approximately 78.5% in
the final 6-day block of simulation. At the same time, there were no changes
observed in any hematological (p>0.05), physiological (p>0.05), or perceptual
(p>0.05) variable at either follow-up performance trial. Relative VO2 data was
analyzed with a 15-breath moving average sampling frequency in accordance with
our recent findings (Scheadler et al.) reported in Medicine and Science in Sports
and Exercise. Effect sizes are reported within, but most were trivial (d=0.0
0.19). Overall, findings align with speculation that a more robust altitude
stimulus than can be offered by short-term arterial hypoxemia is required for
changes to be evidenced. The device has shown some promise in other work, but our
data is not supportive.
PMID- 29795742
TI - Sex and Age Differences in Trail Half Marathon Running.
AB - Female participation is growing in trail running races. The purpose was to
evaluate sex and age differences in top finishers of a trail running half
marathon. Velocity differences between males (M) and females (F) were determined
for the top 10 finishers of the Moab Trail Half Marathon from 2012 - 2015 across
age, and by finishing place. Differences between age category and between sexes
were determined through ANOVA with significance accepted at P < 0.05. A
significant difference for running velocity was present between sexes at each age
category (20-29 yr F = 2.9+/-0.3, M = 3.4+/-0.4 m.sec-1; 30-39 yr F = 2.8+/-0.3,
M = 3.3+/-0.3; 40-49 yr F = 2.7+/-0.3, M = 3.0+/-0.5; 50-59 yr F = 2.3+/-0.2, M =
2.8+/-0.3; 60-69 yr F = 1.6+/-0.3, M = 2.2+/-0.4; P < 0.0001). Sex difference in
trail running velocity was consistent (~13%) among all age categories with
exception of the oldest group (33%, P = 0.0001). There were significantly greater
female finishers in every age category (20 - 29 yr F = 107+/-18, M = 56+/-1;, 30
39 yr F = 150+/-34, M = 84+/-21; 40 - 49 yr F = 112+/-17, M = 64+/-16; P < 0.01)
until 50 - 59 yr (F = 48+/-13, M = 41+/-14; P = 0.50). These data indicate that
the widening gap in sex differences observed in road races are ameliorated in a
trail running environment that has a larger number of female participants.
PMID- 29795743
TI - Rate of Performance Change in American Female Weightlifters Over Ten Years of
Competition.
AB - The purpose of this study was to evaluate the rates of performance change for
American female weightlifters over 10 years of competition. Athlete performance
results were gathered from the United States Weightlifting open access, results
archive, database. Data was delimited to athletes (N >= 750) that competed in
Youth or Junior Nationals to ensure athletes were <21yrs old at the first
recorded competition. Competition results were converted to strength to mass (SM)
ratios to control for the effect of bodyweight on performance. Starting with the
first competition date, the highest SM for the snatch (SNT), clean and jerk (CJ)
and combined total (T), in three month segments for three years, and six month
segments over 10 years, were recorded. Observed percentage change in SM and
Cohen's d effect size (ES) between each 3-and 6-month segment and the first
competition (baseline), for the SNT, CJ and T, was determined. Positive change in
rate of performance peaked between time segments baseline-6mo and 7mo-12mo for
the SNT (+8.7%, SM 0.68+/-0.19 to 0.74+/-0.19, ES=0.34), CJ (+7.7%, SM 0.90+/
0.24 to 0.97+/-0.24, ES=0.31) and T (+8.2%, SM 1.57+/-0.41 to 1.71+/-0.42
ES=0.34). Total performance increase over 10yrs for the SNT was 27.7% (Year 1 SM
0.68+/-0.18, year 10 SM 1.13+/-0.24, ES=0.96), the CJ 22.2% (Year 1 SM 0.90+/
0.23, year 10 SM 1.40+/-0.30, ES=0.84), and T 25.0% (Year 1 SM 1.56+/-0.41, year
10 SM 2.53+/-0.53, ES=0.91). Observed rates in performance change could be useful
for weightlifting coaches as a barometer for evaluating training program outcomes
over time.
PMID- 29795744
TI - Does Body Mass Index Influence the Physiological and Perceptual Demands
Associated with Defensive Tactics Training in State Patrol Officers?
AB - The purpose of this investigation was to determine the physiological and
perceptual demands associated with defensive tactics (DEFTAC) training among
state patrol officers of different BMI (body mass index) categories. Twenty-four
male state patrol officers (n = 24, age 36.00 + 7.86 yrs) voluntarily agreed to
participate in data collection during a DEFTAC gauntlet. Anthropometric
information (height (HT) 182.19 + 7.43 cm and weight (WT) 96.31 + 17.45 kg), body
mass index (BMI), peak and average heart rates, duty weight, BLa, and RPE, were
recorded. Officers were then divided into two-groups (Healthy BMI (BMI <= 25), n
= 12, Overweight (BMI >= 25), n = 12). Analyses of covariance (ANCOVAs) were
conducted to determine the effect of BMI on the outcome variables. Furthermore, a
Pearson's product-moment correlation coefficient was also conducted to determine
if significant relationships between RPE, BLa, and HR existed between groups.
Significant mean score differences between healthy and overweight officers were
found in measures of age [t(22) 4.12, p< 0.01, R2 = .44], and weight of duty gear
[t(21) 3.96, p<0.01, R2 = .33]. When used as a covariate, age also predicted
average HR% [ F(1, 21) = 6.19, p < .05, partial eta2 = .24]. Significant
relationships were found in the healthy group between RPE and DEFTAC time, DEFTAC
time and score, as well as score and post BLa. Significant relationships for the
overweight group between peak (HR) percentage and post BLa, peak (HR) percentage
and RPE, DEFTAC time and duty weight, and between weight and DEFTAC time. The
results of this study suggest that overweight officers may have lower DEFTAC
scores when compared to their healthy counterparts. Based on the results, it
seems reasonable to compare physiological variables from this population to those
from combative sports as well as for officers to achieve and maintain a healthy
BMI value in order to improve individual DEFTAC performance.
PMID- 29795745
TI - No Observable Relative Age Effects in Professional Surfers: a Constraints-Based
Evaluation.
AB - With the recent inclusion of surfing in the 2020 Olympic Games in Japan, there
will be a number of surfing athletes vying for one of the twenty total available
spots for their respective gender. The purpose was to evaluate relative age
effects (RAEs) in surfing with consideration for specific developmental
constraints. Elite competitive male surfers (n = 1590) were examined by birth
month and subcategorized by competitive level, age groups, and geographical
regions. The observed quarterly distribution was not significantly different
(using chi2; p>0.05) from expected for the overall group or any of the
subcategories. However, an odds ratio of 1.85 (90% confidence interval: 1.08
3.14) was calculated for being born in the first semester of the year compared to
being born in the second semester between top 34 athletes and the rest of the
field. Despite consideration for individual, environmental, and task constraints
in this study, the sport of surfing does not appear to have any observable RAEs
at the professional level. Thus, surfing appears to be one of the few sporting
activities included in the Olympic Programme with limited RAEs.
PMID- 29795746
TI - Global Active Stretching (SGA(r)) Practice for Judo Practitioners' Physical
Performance Enhancement.
AB - In order to analyze the Global Active Stretching (SGA(r)) practice on the
physical performance enhancement in judo-practitioner competitors, 12 male
athletes from Judo Federation of Sergipe (Federacao Sergipana de Judo), were
divided into two groups: Experimental Group (EG) and Control Group (CG). For 10
weeks, the EG practiced SGA(r) self-postures and the CG practiced assorted
calisthenic exercises. All of them were submitted to a variety of tests (before
and after): handgrip strength, flexibility, upper limbs' muscle power, isometric
pull-up force, lower limbs' muscle power (squat-jump - SJ and countermovement
jump - CMJ) and Tokui Waza test. Due to the small number of people in the sample,
the data were considered non-parametric and then we applied the Wilcoxon test
using the software R version 3.3.2 (R Development Core Team, Austria). The effect
size was calculated and considered statistically significant the values p <=
0.05. Concerning the results, the EG statistical differences were highlighted in
flexibility, upper limbs' muscle power and lower limbs' muscle power (CMJ), with
a gain of 3.00 +/- (1.09) cm, 0,42 +/- (0,51) m and 2.49 +/- (0.63) cm,
respectively. The CG only presented statistical difference in the lower limbs'
test (CMJ), with a gain of 0,55 +/- 2,28 cm. Thus, the main results pointed out
statistical differences before and after in the EG in the flexibility, upper
limbs and lower limbs' muscle power (CMJ), with a gain of 3.00 +/- 1.09 cm, 0.42
+/- 0.51 m 2.49 +/- 0.63 cm, respectively. On the other hand, the CG presented a
statistical difference only the lower limbs' CMJ test, with a gain of 0.55 +/-
2.28 cm. The regular 10-week practice of SGA(r) self-postures increased judoka
practitioners' posterior chain flexibility and vertical jumping (CMJ)
performance.
PMID- 29795747
TI - Allosteric Modulation of Ionotropic Glutamate Receptors Special Issue.
AB - Call for papers! ACS Medicinal Chemistry Letters is now accepting manuscript
submissions for a special issue entitled "Allosteric Modulation of Ionotropic
Glutamate Receptors". This special issue is a cross-thematic issue with Journal
of Medicinal Chemistry and ACS Chemical Neuroscience. The ACS Medicinal Chemistry
Letters special issue is scheduled for publication in early 2019.
PMID- 29795748
TI - 2,7-Diazaspiro[4,4]nonanes for the Treatment or Prevention of Cancers and
Diabetes.
PMID- 29795749
TI - Academic Drug Development: The DRIVE Model.
AB - Although there are hundreds of academic drug discovery centers open around the
world, there are comparatively few academic drug development centers that contain
the key core competencies needed to progress a lead compound into clinical
trials. This is largely a consequence of operating in the "Valley of Death"
(i.e., insufficient infrastructure, expertise, and funding). We have created an
academic drug development center called DRIVE (Drug Innovation Ventures at Emory)
that was designed to overcome many of the intrinsic and occasionally unintended
barriers associated with academic drug development. Herein, we report a proof of
concept that the DRIVE model provides a robust framework for pursuing university
based drug development, especially when the drugs in question target rare and
neglected diseases.
PMID- 29795750
TI - What Do You Get from DNA-Encoded Libraries?
AB - Use of DNA-encoded libraries (DELs) in the pharmaceutical industry has rapidly
increased. We discuss what to expect when you run a DEL screen and contemplate
guidelines for library design. Additionally, we consider some visionary work and
extrapolate to the future.
PMID- 29795751
TI - Efficient Syntheses of Cocaine Vaccines and Their in Vivo Evaluation.
AB - Though cocaine abuse and addiction continue to have serious implications for
health and society, no FDA-approved interventions have been developed.
Anticocaine conjugate vaccines offer an attractive opportunity for addiction
treatment; however, vaccines have thus far failed in clinical trials. As a
result, anticocaine vaccines must be further optimized to achieve clinical
translation. Herein, we report a study on the relationship between vaccine
efficacy and hapten stability toward hydrolysis. Two haptens developed by our
laboratory, GND and GNE, were conjugated to tetanus toxoid (TT) and formulated
with alum and cytosine-guanine oligodeoxynucleotide 1826 (CpG ODN 1826)
adjuvants, the optimal formulation in anticocaine vaccine design. GND, a diamide,
is more hydrolytically stable than GNE, a monoamide, toward
butyrylcholinesterases. Ultimately, both vaccines induced antibodies with high
affinity for cocaine. In hyperlocomotion testing, GND-TT and GNE-TT vaccinated
mice exhibited a robust blockade of cocaine's stimulatory effects at all tested
doses. Overall, antibodies raised against both haptens were highly effective in
protecting mice from cocaine-induced psychostimulation.
PMID- 29795752
TI - Aminoisoxazoles as Potent Inhibitors of Tryptophan 2,3-Dioxygenase 2 (TDO2).
AB - Tryptophan 2,3-dioxygenase 2 (TDO2) catalyzes the conversion of tryptophan to the
immunosuppressive metabolite kynurenine. TDO2 overexpression has been observed in
a number of cancers; therefore, TDO inhibition may be a useful therapeutic
intervention for cancers. We identified an aminoisoxazole series as potent TDO2
inhibitors from a high-throughput screen (HTS). An extensive medicinal chemistry
effort revealed that both the amino group and the isoxazole moiety are important
for TDO2 inhibitory activity. Computational modeling yielded a binding hypothesis
and provided insight into the observed structure-activity relationships. The
optimized compound 21 is a potent TDO2 inhibitor with modest selectivity over
indolamine 2,3-dioxygenase 1 (IDO1) and with improved human whole blood
stability.
PMID- 29795753
TI - SAR Studies of N-[2-(1H-Tetrazol-5-yl)phenyl]benzamide Derivatives as Potent G
Protein-Coupled Receptor-35 Agonists.
AB - G protein-coupled receptor-35 (GPR35) has emerged as a potential target in the
treatment of pain and inflammatory and metabolic diseases. We have discovered a
series of potent GPR35 agonists based on a coumarin scaffold and found that the
introduction of a 1H-tetrazol-5-yl group significantly increased their potency.
We designed and synthesized a new series of N-[2-(1H-tetrazol-5
yl)phenyl]benzamide derivatives through a two-step synthetic approach, and
characterized their agonistic activities against GPR35 using a dynamic mass
redistribution (DMR) assay. N-(5-bromo-2-(1H-tetrazol-5-yl)phenyl)-4
methoxybenzamide (56) and N-(5-bromo-2-(1H-tetrazol-5-yl)phenyl)-2-fluoro-4
methoxybenzamide (63) displayed the highest agonistic potency agonist GPR35 with
an EC50 of 0.059 MUM and 0.041 MUM, respectively. The physicochemical properties
of selected compounds were calculated to evaluate their druglikeness, suggesting
that compounds 56 and 63 have good druglike properties. Together, N-[2-(1H
tetrazol-5-yl)phenyl]benzamide derivatives are potentially great candidates for
developing potent GPR35 agonists.
PMID- 29795754
TI - Phenotypic Screening To Discover Novel Chemical Series as Efficient
Antihemorrhagic Agents.
AB - In an effort to find novel chemical series as antifibrinolytic agents, we explore
alpha-phenylsulfonyl-alpha-spiropiperidines bearing different zinc-binding groups
(ZBGs) to target those metalloproteinases involved in the fibrinolytic process:
MMP3 and MMP10. Surprisingly, all these new chemical series were inactive against
these metalloproteinases; however, several new molecules retained the
antifibrinolytic activity in a phenotypic functional assay using
thromboelastometry and human whole blood. Further optimization led to compound 38
as a potent antifibrinolytic agent in vivo, three times more efficacious than the
current standard-of-care (tranexamic acid, TXA) at 300 times lower dose. Finally,
in order to decipher the underlying mode-of-action leading to this phenotypic
response, an affinity-based probe 39 was successfully designed to identify the
target involved in this response: a potentially unknown mechanism-of-action in
the fibrinolytic process.
PMID- 29795755
TI - Synthesis of Phosphatidylserine and Its Stereoisomers: Their Role in Activation
of Blood Coagulation.
AB - Natural phosphatidylserine (PS), which contains two chiral centers, enhances
blood coagulation. However, the process by which PS enhanced blood coagulation is
not completely understood. An efficient and flexible synthetic route has been
developed to synthesize all of the possible stereoisomers of PS. In this study,
we examined the role of PS chiral centers in modulating the activity of the
tissue factor (TF)-factor VIIa coagulation initiation complex. Full length TF was
relipidated with phosphatidylcholine, and the synthesized PS isomers were
individually used to estimate the procoagulant activity of the TF-FVIIa complex
via a FXa generation assay. The results revealed that the initiation complex
activity was stereoselective and had increased sensitivity to the configuration
of the PS glycerol backbone due to optimal protein-lipid interactions.
PMID- 29795756
TI - Discovery of Orally Bioavailable Selective Inhibitors of the Sodium-Phosphate
Cotransporter NaPi2a (SLC34A1).
AB - Sodium-phosphate cotransporter 2a, or NaPi2a (SLC34A1), is a solute-carrier (SLC)
transporter located in the kidney proximal tubule that reabsorbs glomerular
filtered phosphate. Inhibition of NaPi2a may enhance urinary phosphate excretion
and correct maladaptive mineral and hormonal derangements associated with
increased cardiovascular risk in chronic kidney disease-mineral and bone disorder
(CKD-MBD). To date, only nonselective NaPi inhibitors have been described.
Herein, we detail the discovery of the first series of selective NaPi2a
inhibitors, resulting from optimization of a high-throughput screening hit. The
oral PK profile of inhibitor PF-06869206 (6f) in rodents allows for the
exploration of the pharmacology of selective NaPi2a inhibition.
PMID- 29795757
TI - Discovery of N-Alkyl Piperazine Side Chain Based CXCR4 Antagonists with Improved
Drug-like Properties.
AB - A novel series of CXCR4 antagonists with piperidinyl and piperazinyl alkylamine
side chains designed as butyl amine replacements are described. Several of these
compounds showed similar activity to the parent compound TIQ-15 (5) in a SDF-1
induced calcium flux assay. Preliminary structure-activity relationship
investigations led us to identify a series containing N-propyl piperazine side
chain analogs exemplified by 16 with improved off-target effects as measured in a
muscarinic acetylcholine receptor (mAChR) calcium flux assay and in a limited
drug safety panel screen. Further efforts to explore SAR and optimize drug
properties led to the identification of the N'-ethyl-N-propyl-piperazine
tetrahydroisoquinoline derivative 44 and the N-propyl-piperazine benzimidazole
compound 37, which gave the best overall profiles with no mAChR or CYP450
inhibition, good permeability in PAMPA assays, and metabolic stability in human
liver microsomes.
PMID- 29795758
TI - Radiosynthesis and Evaluation of [11C]HD-800, a High Affinity Brain Penetrant PET
Tracer for Imaging Microtubules.
AB - Microtubules (MTs) are highly abundant throughout the cytoskeleton, and their
dysfunction is implicated in the pathogenesis of malignancies, various
neurodegenerative disorders, and brain injuries. Validated radiotracers reported
so far for MTs are [11C]paclitaxel, [18F]fluoropaclitaxel, and [11C]docetaxel;
however, they are well-characterized substrates of efflux transporters and
consequently have poor uptake into the brain due to minimal blood brain barrier
(BBB) penetration. PET imaging of MT expression requires radiolabeled BBB
penetrating MT ligands, and it may offer a direct and more sensitive approach for
early diagnosis, monitoring disease progression, and treatment effects in brain
diseases and assessing the clinical potential of targeted therapeutics and
treatments. We have identified N-(4-methoxyphenyl)-N-5-dimethylfuro[2,3
d]pyrimidin-4-amine (HD-800) as a high affinity and selective colchicine site
tubuline inhibitor amenable to radiolabel with C-11, a positron emitting isotope.
HD-800 and desmethyl-HD-800 were synthesized in one step with 75% and 80% yields
respectively from commercial synthons. The radiosynthesis of [11C]HD-800 was
achieved in 45 +/- 5% yield at EOS. Ex vivo biodistribution binding data of
[11C]HD-800 indicate that the radioligand penetrated the BBB and it was retained
in brain with 75% specific binding. Apart from the brain, specific binding was
observed in muscle (55%), heart (50%), lungs (43%), blood (37%), and pancreas
(30%). MicroPET imaging in mice showed excellent binding in brain that was
blocked by preadministration of unlabeled HD-800 and a colchicine site binding MT
ligand MPC-6827. The above results indicate that [11C]HD-800 may be a suitable
PET ligand for the in vivo quantification of MT inside and outside the brain.
PMID- 29795759
TI - Discovery of MK-8282 as a Potent G-Protein-Coupled Receptor 119 Agonist for the
Treatment of Type 2 Diabetes.
AB - The ever-growing prevalence of type 2 diabetes in the world has necessitated an
urgent need for multiple orally effective agents that can regulate glucose
homeostasis with a concurrent reduction in body weight. G-Protein coupled
receptor 119 (GPR119) is a GPCR target at which agonists have demonstrated
glucose-dependent insulin secretion and shows beneficial effects on glycemic
control. Herein, we describe our efforts leading to the identification of a
potent, oral GPR-119 agonist, MK-8282, which shows improved glucose tolerance in
multiple animal models and has excellent off-target profile. The key design
elements in the compounds involved a combination of a fluoro-pyrimidine and a
conformationally constrained bridged piperidine to impart good potency and
efficacy.
PMID- 29795760
TI - Design, Synthesis, and X-ray of Selenides as New Class of Agents for Prevention
of Diabetic Cerebrovascular Pathology.
AB - A series of novel selenides bearing benzenesulfonamide moieties was synthesized
and investigated for their inhibition on six human (h) carbonic anhydrase (CA, EC
4.2.1.1) isoforms such as the physiologically relevant hCA I, II, VA, VB, VII,
and IX and the X-ray complex in adduct with hCA II for some of them investigated.
These enzymes are involved in a variety of diseases including glaucoma, retinitis
pigmentosa, epilepsy, arthritis, metabolic disorders, and cancer. The
investigated compounds showed potent inhibitory action against hCA VA, VII, and
IX, in the low nanomolar range, thus making them of interest for the development
of isoform-selective inhibitors and as candidates for various biomedical
applications.
PMID- 29795761
TI - Heteronanoparticles by Self-Assembly of Ecdysteroid and Doxorubicin Conjugates To
Overcome Cancer Resistance.
AB - Heteronanoparticles (H-NPs) consisting of conjugates characterized by a squalene
tail linked to doxorubicin and ecdysteroid derivatives are presented. Biological
evaluation on A2780ADR cell line confirms not only the maintenance of the
activity of the parental drug but also the ability to overcome cancer resistance.
The in vitro cell uptake was demonstrated, and the involvement of an endosomal
mediated pathway was suggested.
PMID- 29795763
TI - Structure-Activity Relationships of Radioiodinated Benzoimidazopyridine
Derivatives for Detection of Tau Pathology.
AB - It is generally accepted that neurofibrillary tangles consisting of tau proteins
are involved in the pathogenesis of Alzheimer's disease (AD). For selective
detection of tau pathology, we synthesized and evaluated radioiodinated
benzoimidazopyridine (BIP) derivatives with an alkylamino group as tau imaging
probes. In vitro selectivity to tau aggregates and in vivo pharmacokinetics of
BIP derivatives varied markedly, being strongly dependent on the alkylamino
group. In in vitro autoradiography with AD brain sections, the BIP derivative
with a dimethylamino group (BIP-NMe2) showed the highest selectivity to tau
aggregates. Regarding the biodistribution using normal mice, the BIP derivative
with an ethylamino group (BIP-NHEt) showed the highest uptake (6.04% ID/g at 2
min postinjection) into and rapid washout (0.12% ID/g at 60 min postinjection)
from the brain. These results suggest that the introduction of an optimal
alkylamino group into the BIP scaffold may lead to the development of more
potential tau imaging probes.
PMID- 29795762
TI - BMS-986163, a Negative Allosteric Modulator of GluN2B with Potential Utility in
Major Depressive Disorder.
AB - There is a significant unmet medical need for more efficacious and rapidly acting
antidepressants. Toward this end, negative allosteric modulators of the N-methyl
d-aspartate receptor subtype GluN2B have demonstrated encouraging therapeutic
potential. We report herein the discovery and preclinical profile of a water
soluble intravenous prodrug BMS-986163 (6) and its active parent molecule BMS
986169 (5), which demonstrated high binding affinity for the GluN2B allosteric
site (Ki = 4.0 nM) and selective inhibition of GluN2B receptor function (IC50 =
24 nM) in cells. The conversion of prodrug 6 to parent 5 was rapid in vitro and
in vivo across preclinical species. After intravenous administration, compounds 5
and 6 have exhibited robust levels of ex vivo GluN2B target engagement in rodents
and antidepressant-like activity in mice. No significant off-target activity was
observed for 5, 6, or the major circulating metabolites met-1 and met-2. The
prodrug BMS-986163 (6) has demonstrated an acceptable safety and toxicology
profile and was selected as a preclinical candidate for further evaluation in
major depressive disorder.
PMID- 29795764
TI - Discovery and Development of 8-Substituted Cycloberberine Derivatives as Novel
Antibacterial Agents against MRSA.
AB - 8-Acetoxycycloberberine (2) with a unique skeleton was first identified to
display a potent activity profile against Gram-positive bacteria, especially
methicillin-resistant S. aureus (MRSA) with minimum inhibitory concentration
(MIC) values of 1-8 MUg/mL, suggesting a possible novel mechanism of action
against bacteria. Taking 2 as the lead, 23 new 8-substituted cycloberberine
(CBBR) derivatives including ether, amine, and amide were synthesized and
evaluated for their antibacterial effect. The structure-activity relationship
revealed that the introduction of a suitable substituent at the 8-position could
greatly enhance the potency against MRSA. Among them, compounds 5d and 9e
demonstrated equally effective anti-MRSA potency as lead 2, with an advantage of
having a more stable pharmacokinetics feature. A preliminary mechanism study
indicated that compound 9e acted upon bacteria partly through catalyzing the
cleavage of bacterial DNA. Therefore, we consider that 8-substituted CBBR
derivatives constitute a promising class of antibacterial agents in the treatment
of MRSA infections.
PMID- 29795765
TI - Discovery and Design of First Benzylamine-Based Ligands Binding to an Unlocked
Conformation of the Complement Factor D.
AB - Complement Factor D, a serine protease of the S1 family and key component of the
alternative pathway amplification loop, represents a promising target for the
treatment of several prevalent and rare diseases linked to the innate immune
system. Previously reported FD inhibitors have been shown to bind to the FD
active site in its self-inhibited conformation characterized by the presence of a
salt bridge at the bottom of the S1 pocket between Asp189 and Arg218. We report
herein a new set of small-molecule FD ligands that harbor a basic S1 binding
moiety directly binding to the carboxylate of Asp189, thereby displacing the
Asp189-Arg218 ionic interaction and significantly changing the conformation of
the self-inhibitory loop.
PMID- 29795766
TI - Synthesis and in Vitro Evaluation of Stabilized and Selective Neuromedin U-1
Receptor Agonists.
AB - Neuromedin U (NMU) is a multifunctional neuropeptide which is characterized by a
high conservation through all species. Herein, we describe the synthesis of a
novel set of NMU-analogs based on the truncated NMU-8. Through combination of
previously reported modifications, an elaborate structure-activity relationship
study was performed aiming for the development of peptides with an increased
selectivity toward NMU receptor 1 (NMUR1). Compound 7 possessed the highest NMUR1
selectivity (IC50 = 0.54 nM, selectivity ratio = 5313) together with an increased
potency (EC50 = 3.7 nM), an 18% increase of the maximal effect at NMUR1, and a
higher resistance against enzymatic degradation as compared to the native NMU-8.
The development of a potent NMUR1 agonist with extended half-life could represent
an attractive tool to further unveil the role of NMUR1 in NMU signaling.
PMID- 29795767
TI - Novel Nitric Oxide Donors of Phenylsulfonylfuroxan and 3-Benzyl Coumarin
Derivatives as Potent Antitumor Agents.
AB - In this work, five new hybrids of phenylsulfonylfuroxan merging 3-benzyl coumarin
and their seco-B-ring derivatives 2-6 were designed and synthesized. Among them,
compound 3 showed the most potent antiproliferation activities with IC50 values
range from 0.5 to 143 nM against nine drug-sensitive and four drug-resistant
cancer cell lines. Preliminary pharmacologic studies showed that these compounds
displayed lower toxicities than that of lead compound 1. Compound 3 obviously
induced the early apoptosis and hardly affected the cell cycle of A2780, which
was significantly different from compound 1. Especially, it gave 559- and 294
fold selectivity antiproliferation activity in P-gp overexpressed drug-resistant
cancer cell lines MCF-7/ADR and KB-V compared to their drug-sensitive ones MCF-7
and KB, implying that compounds 2-6 might have an extra mechanism of anti-MDR
cancer with P-gp overexpression.
PMID- 29795768
TI - Cyclic Peptidic Mimetics of Apollo Peptides Targeting Telomeric Repeat Binding
Factor 2 (TRF2) and Apollo Interaction.
AB - Telomeric repeat binding factor 2 (TRF2) is a telomere-associated protein that
plays an important role in the formation of the 3' single strand DNA overhang and
the "T loop", two structures critical for the stability of the telomeres. Apollo
is a 5'-exonuclease recruited by TRF2 to the telomere and contributes to the
formation of the 3' single strand DNA overhang. Knocking down of Apollo can
induce DNA damage response similar to that caused by the knocking down of TRF2.
In this Letter, we report the design and synthesis of a class of cyclic peptidic
mimetics of the TRFH binding motif of Apollo (ApolloTBM). We found conformational
control of the C terminal residues of ApolloTBM can effectively improve the
binding affinity. We have obtained a crystal structure of a cyclic peptidic
Apollo peptide mimetic (34) complexed with TRF2, which provides valuable guidance
to the future design of TRF2 inhibitors.
PMID- 29795769
TI - InDEx: Open Source iOS and Android Software for Self-Reporting and Monitoring of
Alcohol Consumption.
AB - InDEx is a software package for reporting and monitoring alcohol consumption via
a smartphone application. Consumption of alcohol is self-reported by the user,
and the app provides a visual representation of drinking behaviour and offers
feedback on consumption levels compared to the general population. InDEx is
intended as an exemplar app, operating as a standalone smartphone application and
is highly customisable for a variety of research domains. InDEx is written in
JavaScript, using IONIC framework which is cross-platform and is available under
the liberal GNU General Public License (v3). The software is available from
GitHub (https://github.com/DrDanL/index-app-public).
PMID- 29795770
TI - PhytobezoarInduced Small Bowel Obstruction in a Young Male with Virgin Abdomen.
AB - Phytobezoars are a rare cause of small bowel obstruction. Such cases are most
commonly associated with previous abdominal surgery or poor dentition or
psychiatric conditions. A 40 year old man with a virgin abdomen and excellent
dentition and no underlying psychiatric condition presented with an acute
abdomen. CT scan revealed a transition point between dilated proximal loops of
small bowel and collapsed distal loops. Exploratory laparotomy revealed a
phytobezoar unable to be milked into the cecum and an enterectomy with primary
anastamosis was performed without complication. A detailed history revealing
several less common predisposing factors for phytobezoars should increase
clinical suspicion of a phytobezoarinduced small bowel obstruction in the setting
of an acute abdomen. Vigilance in presentations of an acute abdomen improves the
usefulness of medical imaging, such as a CT, to detect phytobezoars.
Understanding mechanisms of phytobezoar formation helps guide management and may
prevent surgery.
PMID- 29795771
TI - Effectively Measuring Respiratory Flow With Portable Pressure Data Using Back
Propagation Neural Network.
AB - Continuous respiratory monitoring is an important tool for clinical monitoring.
The most widely used flow measure device is nasal cannulae connected to a
pressure transducer. However, most of these devices are not easy to carry and
continue working in uncontrolled environments which is also a problem. For
portable breathing equipment, due to the volume limit, the pressure signals
acquired by using the airway tube may be too weak and contain some noise, leading
to huge errors in respiratory flow measures. In this paper, a cost-effective
portable pressure sensor-based respiratory measure device is designed. This
device has a new airway tube design, which enables the pressure drop efficiently
after the air flowing through the airway tube. Also, a new back propagation (BP)
neural network-based algorithm is proposed to stabilize the device calibration
and remove pressure signal noise. For improving the reliability and accuracy of
proposed respiratory device, a through experimental evaluation and a case study
of the proposed BP neural network algorithm have been carried out. The results
show that giving proper parameters setting, the proposed BP neural network
algorithm is capable of efficiently improving the reliability of newly designed
respiratory device.
PMID- 29795772
TI - Enabling Stroke Rehabilitation in Home and Community Settings: A Wearable Sensor
Based Approach for Upper-Limb Motor Training.
AB - High-dosage motor practice can significantly contribute to achieving functional
recovery after a stroke. Performing rehabilitation exercises at home and using,
or attempting to use, the stroke-affected upper limb during Activities of Daily
Living (ADL) are effective ways to achieve high-dosage motor practice in stroke
survivors. This paper presents a novel technological approach that enables 1)
detecting goal-directed upper limb movements during the performance of ADL, so
that timely feedback can be provided to encourage the use of the affected limb,
and 2) assessing the quality of motor performance during in-home rehabilitation
exercises so that appropriate feedback can be generated to promote high-quality
exercise. The results herein presented show that it is possible to detect 1) goal
directed movements during the performance of ADL with a [Formula: see text]
statistic of 87.0% and 2) poorly performed movements in selected rehabilitation
exercises with an [Formula: see text]-score of 84.3%, thus enabling the
generation of appropriate feedback. In a survey to gather preliminary data
concerning the clinical adequacy of the proposed approach, 91.7% of occupational
therapists demonstrated willingness to use it in their practice, and 88.2% of
stroke survivors indicated that they would use it if recommended by their
therapist.
PMID- 29795773
TI - Hepatic, Periportal, Retroperitoneal, and Mesenteric Neurofibromatosis in von
Recklinghausen's Disease.
AB - We present a rare case of histologically proven neurofibromatosis of the liver,
hepatic hilum, retroperitoneum, and mesentery. An adult male who had been
diagnosed with neurofibromatosis (NF) type 1 underwent a computed tomography (CT)
scan for abdominal pain and vomiting. The CT scan showed a large low-attenuating
lesion in the region of porta hepatis which was infiltrating along portal tracts
into the liver, encasing the major vessels, and extending into the
retroperitoneum and mesentery. Based on the radiological findings, a differential
diagnosis of plexiform neurofibroma was given, although sarcomatous
transformation could not have been entirely excluded from imaging alone. The
tumor was subsequently biopsied, and the histopathological analysis confirmed the
diagnosis of neurofibroma. This case highlights the importance and diagnostic
dilemmas in the presence of this tumor at atypical locations in this disease
spectrum.
PMID- 29795774
TI - Role of Farnesoid X Receptor in the Determination of Liver Transcriptome during
Postnatal Maturation in Mice.
AB - The liver is a vital organ with critical functions in metabolism of various
biologically useful materials, synthesis of several vital proteins,
detoxification of toxic substances, and immune defense. Most liver functions are
not mature at birth and many changes happen during postnatal liver development,
which lead to differential vulnerabilities of the liver at different
developmental stages. However, the details of what changes occur in liver after
birth, at what developmental stages they occur, and molecular mechanisms in the
regulation of the developmental process are not clearly known. The nuclear
receptor Farnesoid X receptor (FXR) is an important transcriptional regulator in
liver. Here, we used RNA-Sequencing to analyze the transcriptome of mouse liver
from perinatal to adult ages in both C57BL/6 and Fxr-/- mice. We have defined a
clear timeline of functional transition from prenatal through neonatal and
adolescent to adult in C57BL/6 mice. Without FXR, activation of neonatal-specific
pathways was prolonged and maturation of multiple metabolic pathways was delayed.
The loss of FXR also led to increased expression of 27 other transcription
regulators. Our data support a conclusion that developmental transcriptome
revealed significant functional transition during postnatal liver development and
FXR plays an important role in control of postnatal liver maturation.
PMID- 29795775
TI - Using a simulation approach to optimize time-domain diffuse correlation
spectroscopy measurement on human head.
AB - Time-domain diffuse correlation spectroscopy (TD-DCS) has been recently proposed
to improve detection of deep blood flow dynamics in a biological tissue, such as
human brain. To obtain a high sensitive measurement, several experimental
parameters such as the source-detector (SD) distance, gate opening time, and
width need to be considered and optimized. We use a simulation approach to
optimize these parameters based on Monte Carlo computations using a realistic
human head model. Two cortical regions are investigated including the frontal and
temporal lobes. SD distance ranging from 0 to 45 mm, gate opening time from 400
to 1000 ps, and gate width from 50 to 3000 ps are considered. The goal is to find
out the optimal combinations of these parameters by which the higher contrast
measurement on the cortical dynamics can be achieved. The simulations show that
with an acceptable input power of light, the combinations of SD distance ranging
from 0 to 15 mm, gate opening time at 700 to 800 ps, and gate width of 800 ps are
optimal for achieving higher contrast measurement on the cortical dynamics. The
simulation approach and results are helpful for the optimization of TD-DCS
experimental design focused on brain functional detection.
PMID- 29795776
TI - Paired split-plot designs of multireader multicase studies.
AB - The widely used multireader multicase ROC study design for comparing imaging
modalities is the fully crossed (FC) design: every reader reads every case of
both modalities. We investigate paired split-plot (PSP) designs that may allow
for reduced cost and increased flexibility compared with the FC design. In the
PSP design, case images from two modalities are read by the same readers, thereby
the readings are paired across modalities. However, within each modality, not
every reader reads every case. Instead, both the readers and the cases are
partitioned into a fixed number of groups and each group of readers reads its own
group of cases-a split-plot design. Using a [Formula: see text]-statistic based
variance analysis for AUC (i.e., area under the ROC curve), we show analytically
that precision can be gained by the PSP design as compared with the FC design
with the same number of readers and readings. Equivalently, we show that the PSP
design can achieve the same statistical power as the FC design with a reduced
number of readings. The trade-off for the increased precision in the PSP design
is the cost of collecting a larger number of truth-verified patient cases than
the FC design. This means that one can trade-off between different sources of
cost and choose a least burdensome design. We provide a validation study to show
the iMRMC software can be reliably used for analyzing data from both FC and PSP
designs. Finally, we demonstrate the advantages of the PSP design with a reader
study comparing full-field digital mammography with screen-film mammography.
PMID- 29795778
TI - Sacral Spinal Cord Transection and Isolated Sacral Cord Preparation to Study
Chronic Spinal Cord Injury in Adult Mice.
AB - Spinal cord injury (SCI) is characterized by multiple sensory/motor impairments
that arise from different underlying neural mechanisms. Linking specific
sensory/motor impairments to neural mechanism is limited by a lack of direct
experimental access to these neural circuits. Here, we describe an experimental
model which addresses this shortcoming. We generated a mouse model of chronic
spinal cord injury that reliably reproduces spasticity observed after SCI, while
at the same time allows study of motor impairments in vivo and in an in vitro
preparation of the spinal cord. The model allows for the combination of mouse
genetics in in vitro and in vivo conditions with advanced imaging, behavioral
analysis, and detailed electrophysiology, techniques which are not easily applied
in conventional SCI models.
PMID- 29795777
TI - Cognitive processing differences of experts and novices when correlating anatomy
and cross-sectional imaging.
AB - The ability to correlate anatomical knowledge and medical imaging is crucial to
radiology and as such, should be a critical component of medical education.
However, we are hindered in our ability to teach this skill because we know very
little about what expert practice looks like, and even less about novices'
understanding. Using a unique simulation tool, this research conducted cognitive
clinical interviews with experts and novices to explore differences in how they
engage in this correlation and the underlying cognitive processes involved in
doing so. This research supported what has been known in the literature, that
experts are significantly faster at making decisions on medical imaging than
novices. It also offers insight into the spatial ability and reasoning that is
involved in the correlation of anatomy to medical imaging. There are differences
in the cognitive processing of experts and novices with respect to meaningful
patterns, organized content knowledge, and the flexibility of retrieval.
Presented are some novice-expert similarities and differences in image
processing. This study investigated extremes, opening an opportunity to
investigate the sequential knowledge acquisition from student to resident to
expert, and where educators can help intervene in this learning process.
PMID- 29795779
TI - Interplay of cation and anion redox in Li4Mn2O5 cathode material and prediction
of improved Li4(Mn,M)2O5 electrodes for Li-ion batteries.
AB - Significant research effort has focused on improving the specific energy of
lithium-ion batteries for emerging applications, such as electric vehicles.
Recently, a rock salt-type Li4Mn2O5 cathode material with a large discharge
capacity (~350 mA.hour g-1) was discovered. However, a full structural model of
Li4Mn2O5 and its corresponding phase transformations, as well as the atomistic
origins of the high capacity, warrants further investigation. We use first
principles density functional theory (DFT) calculations to investigate both the
disordered rock salt-type Li4Mn2O5 structure and the ordered ground-state
structure. The ionic ordering in the ground-state structure is determined via a
DFT-based enumeration method. We use both the ordered and disordered structures
to interrogate the delithiation process and find that it occurs via a three-step
reaction pathway involving the complex interplay of cation and anion redox
reactions: (i) an initial metal oxidation, Mn3+->Mn4+ (Li x Mn2O5, 4 > x > 2);
(ii) followed by anion oxidation, O2-->O1- (2 > x > 1); and (iii) finally,
further metal oxidation, Mn4+->Mn5+ (1 > x > 0). This final step is concomitant
with the Mn migration from the original octahedral site to the adjacent
tetrahedral site, introducing a kinetic barrier to reversible charge/discharge
cycles. Armed with this knowledge of the charging process, we use high-throughput
DFT calculations to study metal mixing in this compound, screening potential new
materials for stability and kinetic reversibility. We predict that mixing with M
= V and Cr in Li4(Mn,M)2O5 will produce new stable compounds with substantially
improved electrochemical properties.
PMID- 29795780
TI - Observation of the quantum valley Hall state in ballistic graphene superlattices.
AB - In graphene superlattices, bulk topological currents can lead to long-range
charge-neutral flow and nonlocal resistance near Dirac points. A ballistic
version of these phenomena has never been explored. We report transport
properties of ballistic graphene superlattices. This allows us to study and
exploit giant nonlocal resistances with a large valley Hall angle without a
magnetic field. In a low-temperature regime, a crossover occurs toward a new
state of matter, referred to as a quantum valley Hall state (qVHS), which is an
analog of the quantum Hall state without a magnetic field. Furthermore, a
nonlocal resistance plateau, implying rigidity of the qVHS, emerges as a function
of magnetic field, and this plateau collapses, which is considered a
manifestation of valley/pseudospin magnetism.
PMID- 29795781
TI - A new route to synthesize aryl acetates from carbonylation of aryl methyl ethers.
AB - Ether bond activation is very interesting because the synthesis of many valuable
compounds involves conversion of ethers. Moreover, C-O bond cleavage is also very
important for the transformation of biomass, especially lignin, which abundantly
contains ether bonds. Developing efficient methods to activate aromatic ether
bonds has attracted much attention. However, this is a challenge because of the
inertness of aryl ether bonds. We proposed a new route to activate aryl methyl
ether bonds and synthesize aryl acetates by carbonylation of aryl methyl ethers.
The reaction could proceed over RhCl3 in the presence of LiI and LiBF4, and
moderate to high yields of aryl acetates could be obtained from transformation of
various aryl methyl ethers with different substituents. It was found that LiBF4
could assist LiI to cleave aryl methyl ether bonds effectively. The reaction
mechanism was proposed by a combination of experimental and theoretical studies.
PMID- 29795782
TI - Interface reconstruction with emerging charge ordering in hexagonal manganite.
AB - Multiferroic materials, which simultaneously have multiple orderings, hold
promise for use in the next generation of memory devices. We report a novel self
assembled MnO double layer forming at the interface between a multiferroic YMnO3
film and a c-Al2O3 substrate. The crystal structures and the valence states of
this MnO double layer were studied by atomically resolved scanning transmission
electron microscopy and spectroscopy, as well as density functional theory (DFT)
calculations. A new type of charge ordering has been identified within this MnO
layer, which also contributes to a polarization along the [001] direction. DFT
calculations further establish the occurrence of multiple couplings between
charge and lattice in this novel double layer, in addition to the polarization in
nearby YMnO3 single layer. The interface reconstruction reported here creates a
new playground for emergent physics, such as giant ferroelectricity and strong
magnetoelectric coupling, in manganite systems.
PMID- 29795784
TI - Gate-tuned quantum Hall states in Dirac semimetal (Cd1-x Zn x )3As2.
AB - The recent discovery of topological Dirac semimetals (DSMs) has provoked intense
curiosity not only regarding Weyl physics in solids but also about topological
phase transitions originating from DSMs. One specific area of interest is
controlling the dimensionality to realize two-dimensional quantum phases such as
quantum Hall and quantum spin Hall states. For investigating these phases, the
Fermi level is a key controlling parameter. From this perspective, we report the
carrier density control of quantum Hall states realized in thin films of DSM
Cd3As2. Chemical doping of Zn combined with electrostatic gating has enabled us
to tune the carrier density both over a wide range and continuously, even across
the charge neutrality point. Comprehensive analyses of gate-tuned quantum
transport have revealed Landau-level formation from linearly dispersed sub-bands
and its contribution to the quantum Hall states. Our findings also pave the way
for investigating the low-energy physics near the Dirac points of DSMs.
PMID- 29795785
TI - Conformational ensembles of RNA oligonucleotides from integrating NMR and
molecular simulations.
AB - RNA molecules are key players in numerous cellular processes and are
characterized by a complex relationship between structure, dynamics, and
function. Despite their apparent simplicity, RNA oligonucleotides are very
flexible molecules, and understanding their internal dynamics is particularly
challenging using experimental data alone. We show how to reconstruct the
conformational ensemble of four RNA tetranucleotides by combining atomistic
molecular dynamics simulations with nuclear magnetic resonance spectroscopy data.
The goal is achieved by reweighting simulations using a maximum entropy/Bayesian
approach. In this way, we overcome problems of current simulation methods, as
well as in interpreting ensemble- and time-averaged experimental data. We
determine the populations of different conformational states by considering
several nuclear magnetic resonance parameters and point toward properties that
are not captured by state-of-the-art molecular force fields. Although our
approach is applied on a set of model systems, it is fully general and may be
used to study the conformational dynamics of flexible biomolecules and to detect
inaccuracies in molecular dynamics force fields.
PMID- 29795783
TI - Orientation-independent room temperature optical 13C hyperpolarization in
powdered diamond.
AB - Dynamic nuclear polarization via contact with electronic spins has emerged as an
attractive route to enhance the sensitivity of nuclear magnetic resonance beyond
the traditional limits imposed by magnetic field strength and temperature. Among
the various alternative implementations, the use of nitrogen vacancy (NV) centers
in diamond-a paramagnetic point defect whose spin can be optically polarized at
room temperature-has attracted widespread attention, but applications have been
hampered by the need to align the NV axis with the external magnetic field. We
overcome this hurdle through the combined use of continuous optical illumination
and a microwave sweep over a broad frequency range. As a proof of principle, we
demonstrate our approach using powdered diamond with which we attain bulk 13C
spin polarization in excess of 0.25% under ambient conditions. Remarkably, our
technique acts efficiently on diamond crystals of all orientations and polarizes
nuclear spins with a sign that depends exclusively on the direction of the
microwave sweep. Our work paves the way toward the use of hyperpolarized diamond
particles as imaging contrast agents for biosensing and, ultimately, for the
hyperpolarization of nuclear spins in arbitrary liquids brought in contact with
their surface.
PMID- 29795786
TI - Graphene biointerfaces for optical stimulation of cells.
AB - Noninvasive stimulation of cells is crucial for the accurate examination and
control of their function both at the cellular and the system levels. To address
this need, we present a pioneering optical stimulation platform that does not
require genetic modification of cells but instead capitalizes on unique
optoelectronic properties of graphene, including its ability to efficiently
convert light into electricity. We report the first studies of optical
stimulation of cardiomyocytes via graphene-based biointerfaces (G-biointerfaces)
in substrate-based and dispersible configurations. The efficiency of stimulation
via G-biointerfaces is independent of light wavelength but can be tuned by
changing the light intensity. We demonstrate that an all-optical evaluation of
use-dependent drug effects in vitro can be enabled using substrate-based G
biointerfaces. Furthermore, using dispersible G-biointerfaces in vivo, we perform
optical modulation of the heart activity in zebrafish embryos. Our discovery is
expected to empower numerous fundamental and translational biomedical studies.
PMID- 29795787
TI - Interaction between Host MicroRNAs and the Gut Microbiota in Colorectal Cancer.
AB - Although variation in gut microbiome composition has been linked with colorectal
cancer (CRC), the factors that mediate the interactions between CRC tumors and
the microbiome are poorly understood. MicroRNAs (miRNAs) are known to regulate
CRC progression and are associated with patient survival outcomes. In addition,
recent studies suggested that host miRNAs can also regulate bacterial growth and
influence the composition of the gut microbiome. Here, we investigated the
association between miRNA expression and microbiome composition in human CRC
tumor and normal tissues. We identified 76 miRNAs as differentially expressed
(DE) in tissue from CRC tumors and normal tissue, including the known oncogenic
miRNAs miR-182, miR-503, and mir-17~92 cluster. These DE miRNAs were correlated
with the relative abundances of several bacterial taxa, including Firmicutes,
Bacteroidetes, and Proteobacteria. Bacteria correlated with DE miRNAs were
enriched with distinct predicted metabolic categories. Additionally, we found
that miRNAs that correlated with CRC-associated bacteria are predicted to
regulate targets that are relevant for host-microbiome interactions and highlight
a possible role for miRNA-driven glycan production in the recruitment of
pathogenic microbial taxa. Our work characterized a global relationship between
microbial community composition and miRNA expression in human CRC tissues.
IMPORTANCE Recent studies have found an association between colorectal cancer
(CRC) and the gut microbiota. One potential mechanism by which the microbiota can
influence host physiology is through affecting gene expression in host cells.
MicroRNAs (miRNAs) are small noncoding RNA molecules that can regulate gene
expression and have important roles in cancer development. Here, we investigated
the link between the gut microbiota and the expression of miRNA in CRC. We found
that dozens of miRNAs are differentially regulated in CRC tumors and adjacent
normal colon and that these miRNAs are correlated with the abundance of microbes
in the tumor microenvironment. Moreover, we found that microbes that have been
previously associated with CRC are correlated with miRNAs that regulate genes
related to interactions with microbes. Notably, these miRNAs likely regulate
glycan production, which is important for the recruitment of pathogenic microbial
taxa to the tumor. This work provides a first systems-level map of the
association between microbes and host miRNAs in the context of CRC and provides
targets for further experimental validation and potential interventions.
PMID- 29795789
TI - Associations Between the Length of Time from Transgender Identity Recognition to
Hormone Initiation and Smoking Among Transgender Youth and Young Adults.
AB - Purpose: Gender-affirming medical interventions have been associated with mental
health improvements among transgender individuals. However, little is known about
optimal timing of these interventions as they relate to stress-sensitive
behaviors such as smoking. Methods: We analyzed electronic health record data
from transgender youth and young adults to examine whether longer duration from
transgender identity recognition to hormone initiation was associated with
smoking behaviors. Results: Longer duration from age of identity recognition to
age of cross-sex hormone initiation was associated with increased odds of current
and lifetime smoking. Conclusion: Future research should investigate other
potential risk factors associated with transgender-specific stressors for this
population.
PMID- 29795788
TI - Viromic Analysis of Wastewater Input to a River Catchment Reveals a Diverse
Assemblage of RNA Viruses.
AB - Detection of viruses in the environment is heavily dependent on PCR-based
approaches that require reference sequences for primer design. While this
strategy can accurately detect known viruses, it will not find novel genotypes or
emerging and invasive viral species. In this study, we investigated the use of
viromics, i.e., high-throughput sequencing of the biosphere's viral fraction, to
detect human-/animal-pathogenic RNA viruses in the Conwy river catchment area in
Wales, United Kingdom. Using a combination of filtering and nuclease treatment,
we extracted the viral fraction from wastewater and estuarine river water and
sediment, followed by high-throughput RNA sequencing (RNA-Seq) analysis on the
Illumina HiSeq platform, for the discovery of RNA virus genomes. We found a
higher richness of RNA viruses in wastewater samples than in river water and
sediment, and we assembled a complete norovirus genotype GI.2 genome from
wastewater effluent, which was not contemporaneously detected by conventional
reverse transcription-quantitative PCR (qRT-PCR). The simultaneous presence of
diverse rotavirus signatures in wastewater indicated the potential for zoonotic
infections in the area and suggested runoff from pig farms as a possible origin
of these viruses. Our results show that viromics can be an important tool in the
discovery of pathogenic viruses in the environment and can be used to inform and
optimize reference-based detection methods provided appropriate and rigorous
controls are included. IMPORTANCE Enteric viruses cause gastrointestinal illness
and are commonly transmitted through the fecal-oral route. When wastewater is
released into river systems, these viruses can contaminate the environment. Our
results show that we can use viromics to find the range of potentially pathogenic
viruses that are present in the environment and identify prevalent genotypes. The
ultimate goal is to trace the fate of these pathogenic viruses from origin to the
point where they are a threat to human health, informing reference-based
detection methods and water quality management.
PMID- 29795791
TI - Impairment Severity and Evaluative and Experienced Well-being Among Older Adults:
Assessing the Role of Daily Activities.
AB - Background and Objectives: Physical impairments affect a substantial number of
older adults in the United States, with rates increasing with advancing age.
Impairment is linked with compromised well-being, although the reasons are not
fully understood. We explore the extent to which linkages between impairment
severity and well-being are accounted for by older adults' daily activities. We
speculate that activities may influence global appraisals of well-being by
offering the opportunity to fulfill productive and social roles and may influence
daily emotions by shaping the context (places, people) in which life occurs.
Research Design and Method: We examine the effects of impairment severity on life
satisfaction and four diary-based experienced well-being measures (happiness,
frustration, worry, and sadness). Data are from the Disability and Use of Time
supplement to the Panel Study of Income Dynamics (n = 1,606), a national sample
of adults ages 60 years and older in the United States. We estimate nested
regression models, taking into account within-person correlations for experienced
well-being. Results: Impairment severity is associated with poorer assessments of
life satisfaction and all four dimensions of experienced well-being. Activity
measures, which encompass eight productive (e.g., household chores) and three
leisure (e.g., socializing) activities, account for 10% of the association
between impairment and life satisfaction, and virtually none of the association
between impairment and experienced well-being. However, psychosocial factors
including higher neuroticism, lower self-efficacy, and poorer quality social
relationships account for a sizeable share of the associations. Discussion and
Implications: Role-fulfilling aspects of activities appear to be more central
than contextual aspects of activities to the impairment-well-being relationship.
However, potentially modifiable psychosocial factors account for a much greater
share of this relationship. Further research is needed on whether interventions
targeting these psychosocial factors might bolster emotional well-being for older
adults experiencing impairments.
PMID- 29795790
TI - Imbalance in Protein Thiol Redox Regulation and Cancer-Preventive Efficacy of
Selenium.
AB - Although several experimental studies showed cancer-preventive efficacy of
supplemental dietary selenium, human clinical trials questioned this efficacy.
Identifying its molecular targets and mechanism is important in understanding
this discrepancy. Methylselenol, the active metabolite of selenium, reacts with
lipid hydroperoxides bound to protein kinase C (PKC) and is oxidized to
methylseleninic acid (MSA). This locally generated MSA selectively inactivates
PKC by oxidizing its critical cysteine sulfhydryls. The peroxidatic redox cycle
occurring in this process may explain how extremely low concentrations of
selenium catalytically modify specific membrane-bound proteins compartmentally
separated from glutathione and selectively induce cytotoxicity in promoting
cells. Mammalian thioredoxin reductase (TR) is itself a selenoenzyme with a
catalytic selenocysteine residue. Together with thioredoxin (Trx), it catalyzes
reduction of selenite and selenocystine by NADPH generating selenide which in the
presence of oxygen redox cycles producing reactive oxygen species. Trx binds with
high affinity to PKC and reverses PKC inactivation. Therefore, established tumor
cells overexpressing TR and Trx may escape the cancer-preventive actions of
selenium. This suggests that in some cases, certain selenoproteins may counteract
selenometabolite actions. Lower concentrations of selenium readily inactivate
antiapoptotic PKC isoenzymes e and a which have a cluster of vicinal thiols,
thereby inducing apoptosis. Higher concentrations of selenium also inactivate
proapoptotic enzymes such as proteolytically activated PKCd fragment, holo-PKCz,
caspase-3, and c-Jun N-terminal kinase, which all have a limited number of
critical cysteine residues and make tumor cells resistant to selenium-induced
apoptosis. This may explain the intriguing U-shaped curve that is seen with
dietary selenium intake and the extent of cancer prevention.
PMID- 29795794
TI - Social Relations and Technology: Continuity, Context, and Change.
AB - Social relations, although basic to human nature, health and well-being, have
become increasingly complicated as a result of changing population demography and
technology. In this essay, we provide a historical overview of social relations,
especially as they affect older people. We briefly review the evolution of theory
and measurement surrounding social relations as well as early empirical evidence.
We consider how social relations have changed over time as well as continuity and
change regarding basic characteristics of social relations. Of special interest
is the emerging influence of technology on how people maintain contact,
especially the changing ways people can use technology to increase, decrease,
maintain, or avoid social relations. We consider both negative and positive
aspects of these new technologies and their influence on health and well-being.
Finally, we conclude that new and emerging technologies hold great promise for
the future by overcoming traditional barriers to maintaining social contact,
support exchange, and information acquisition. Nevertheless, we caution that
these new technologies can have the dehumanizing effect of distance thus creating
the potential for insensitivity and increased negativity. In sum, we are
cautiously optimistic about the promise of technology to expand, but not replace,
traditional forms of social contact.
PMID- 29795793
TI - Millennials and Their Parents: Implications of the New Young Adulthood for
Midlife Adults.
AB - The period of young adulthood has transformed dramatically over the past few
decades. Today, scholars refer to "emerging adulthood" and "transitions to
adulthood" to describe adults in their 20s. Prolonged youth has brought
concomitant prolonged parenthood. This article addresses 3 areas of change in
parent/child ties, increased (a) contact between generations, (b) support from
parents to grown children as well as coresidence and (c) affection between the
generations. We apply the Multidimensional Intergenerational Support Model (MISM)
to explain these changes, considering societal (e.g., economic, technological),
cultural, family demographic (e.g., fertility, stepparenting), relationship, and
psychological (normative beliefs, affection) factors. Several theoretical
perspectives (e.g., life course theory, family systems theory) suggest that these
changes may have implications for the midlife parents' well-being. For example,
parents may incur deleterious effects from (a) grown children's problems or (b)
their own normative beliefs that offspring should be independent. Parents may
benefit via opportunities for generativity with young adult offspring.
Furthermore, current patterns may affect future parental aging. As parents incur
declines of late life, they may be able to turn to caregivers with whom they have
intimate bonds. Alternately, parents may be less able to obtain such care due to
demographic changes involving grown children raising their own children later or
who have never fully launched. It is important to consider shifts in the nature
of young adulthood to prepare for midlife parents' future aging.
PMID- 29795792
TI - Family Relationships and Well-Being.
AB - Family relationships are enduring and consequential for well-being across the
life course. We discuss several types of family relationships-marital,
intergenerational, and sibling ties-that have an important influence on well
being. We highlight the quality of family relationships as well as diversity of
family relationships in explaining their impact on well-being across the adult
life course. We discuss directions for future research, such as better
understanding the complexities of these relationships with greater attention to
diverse family structures, unexpected benefits of relationship strain, and unique
intersections of social statuses.
PMID- 29795796
TI - CD8 T Cell Memory Increases Immunopathology in the Perforin-Deficient Model of
Hemophagocytic Lymphohistiocytosis Secondary to TNF-alpha.
AB - Familial hemophagocytic lymphohistiocytosis 2 (FHL2) is a cytokine storm syndrome
characterized by immune hyperactivation with viral infection due to a CD8 T cell
cytotoxic killing defect secondary to a perforin deficiency. As most studies of
FHL2 mice have used pathogen naive animals, the effects of immune memory on FHL2
are understudied. We utilized an immunization model of the perforin-deficient
mouse to study the effects of immune memory on FHL2. Prior CD8 T cell specific
antigen exposure leads to enhanced HLH disease with increased morbidity and
decreased time to mortality. Enhanced disease is associated with altered cytokine
production and T cell proliferation. Response to IFNgamma blockade is reduced and
TNFalpha gains a pathogenic role, while blockade of the IL-33 receptor ST2
remains effective. These results suggest that pre-existing immune memory may
worsen outcome and alter treatment response for FHL2 patients who may not be
naive to their immune triggers.
PMID- 29795795
TI - Assessing Dependency in a Multiethnic Community Cohort of Individuals With
Alzheimer's Disease.
AB - Background and Objectives: Clinic-based studies of patients with Alzheimer's
disease (AD) have demonstrated the value of assessing dependence when
characterizing patients' functional status. The Dependence Scale, a validated
tool to assess level of caregiving needs, is associated with markers of disease
severity, cost, and progression, while offering independent functional
information about patients. This study examines whether such associations between
the Dependence Scale and markers of disease severity demonstrated in clinical
cohorts are similarly exhibited in a multiethnic community population of
individuals with AD. Research Design and Methods: One hundred fifty four elders
with AD enrolled in the Predictors 3 cohort were assessed with the Dependence
Scale, modified Mini-Mental State Examination (mMMS), instrumental (IADL) and
basic (BADL) activities of daily living, and Clinical Dementia Rating (CDR)
Scale, and were assigned an Equivalent Institutional Care (EIC) rating. Cross
sectional associations were examined using bivariate correlations and one-way
analysis of variance analyses. Fisher-z tests examined differences in strengths
of associations across previous clinic and current community cohorts. Results:
Dependence Scale scores were associated with CDR (r = .20, p = .013), mMMS (r =
.23, p = .005), IADL (r = .39, p < .001), BADL (r = .65, p < .001), and EIC (r =
.51, p < .001). Dependence was unassociated with ethnicity (F[3,144] = 1.027, p =
.3822), age (r = .120, p = .145), and education (r = -.053, p = .519). The
strength of the correlations was comparable across cohorts except that BADLs were
more strongly associated with dependence (z = -4.60, p < .001) in the community
cohort, and living arrangement was not associated with dependence (r = .13, p =
.130). Discussion and Implications: Associations between the Dependence Scale and
markers of disease severity in a clinic-based cohort of AD patients are similar
to associations in a multiethnic community cohort of individuals diagnosed with
AD. The Dependence Scale relates to markers of disease severity rather than
demographic factors, and may offer an unbiased assessment of care required in
multiethnic and community populations.
PMID- 29795797
TI - Correction to "Design, Synthesis, and Antibacterial Evaluation of Oxazolidinones
with Fused Heterocyclic C-Ring Substructure".
AB - [This corrects the article DOI: 10.1021/acsmedchemlett.7b00263.].
PMID- 29795799
TI - Crowdsourcing Our National Gut.
AB - The microbes of the human intestinal tract play a profound role in our health.
The complex interactions between our gut microbial communities and the external
environment, and the resulting functional consequences, can be difficult to
disentangle. To address this problem, McDonald et al. (mSystems 3:e00031-18,
2018, https://doi.org/10.1128/mSystems.00031-18) present the first set of results
from the American Gut Project, a citizen science-based data set currently
comprised of over 10,000 gut microbiome samples and associated life history data.
By combining this extensive data set with other published studies, the authors
uncover novel relationships between gut microbiome structure and function. For
example, they found that dietary plant diversity and recent antibiotic use
predict both microbial and metabolomic diversity. McDonald et al. also
demonstrate that there is high diversity across human gut microbiomes, even
compared to the diversity of environmental microbiomes. The results from this
study illuminate the potential of the citizen science approach to further our
knowledge of host-associated microbial communities.
PMID- 29795800
TI - Clinician Misperceptions about the Importance of Adolescent HPV Vaccination.
AB - Introduction: Adolescent HPV vaccination rates remain suboptimal. The purpose of
the study was to investigate attitudes about HPV vaccine relative to other
adolescent vaccines among clinical staff from primary care offices and school
based clinics. Methods: We interviewed clinicians in primary care offices and
school-based clinics regarding their attitudes about HPV vaccine relative to Tdap
and MCV4. Results: Respondents (n = 36) included clinical staff in family
medicine (47%), pediatrics (25%), obstetrics/gynecology (19%) and school-based
health clinics (8%). Only 3% strongly agreed and 17% agreed that completion of
HPV vaccine was more important than completion of pertussis vaccine (Tdap), while
6% strongly agreed and 33% agreed that completion of HPV vaccine was more
important than completion of meningitis vaccine (MCV4). Discussion: Providing
clinicians with additional information about the cancer prevention benefits of
the HPV vaccine and the greater risk for HPV infection/disease relative to other
vaccine preventable adolescent diseases may help to increase HPV vaccination
rates among adolescents.
PMID- 29795798
TI - Clinical Characteristics and Angiographic Findings of Myocardial Infarction among
Marijuana Users and Non-Users.
AB - Background: Marijuana use has been increasingly legalized in the United States
resulting in substantial rise in the number of users especially in the younger
populations. While our group and others had described various metabolic effects
of this drug, little is known about its association with acute myocardial
infarction (AMI). Objective: This follow up study presents contemporaneous cohort
of non-THC user patients at a single, urban center hospital diagnosed with ST
elevation AMI; highlighting and comparing demographic, clinical, laboratory and
angiographic characteristics based on exposure to THC at time of presentation.
Methods: Retrospective chart review of patients with ST-elevation AMI presenting
to our inner city hospital Coronary Care Unit over a period of 4 years (December
2013-April 2017). Results: Of the 10 case subjects studied whom presented with
chest pain, EKG evidence of ST-elevation MI (STEMI) with cannabis use, mean age
at presentation was 40 years old, which was 10 years younger than our control
group with no marijuana use (n = 11, p = 0.107). Of the patients who had
marijuana exposure upon admission, 3 (30%) had no known cardiovascular disease
(CVD) risk factors (RF) on admission, 1 patient had 3 RF, 4 patients had 2 RF and
2 had 1 CVD risk factor, which included age, diabetes mellitus type 2 (DM2),
hypertension, dyslipidemia, smoking status, and family history at time of triage.
Patients who were negative for marijuana use had higher number of CVD risk
factors present upon admission. ASCVD risk scores were 10% vs. 16% (p = 0.312).
In angiographic findings, 100% of the marijuana users had 1 vessel disease
compared with 55% in the non-users (p = 0.0351). Severity of stenosis for both
groups was averaged at 93% for non-users vs 95% in THC users (p = 0.62414).
Collateral vessels were visible during coronary arteriogram in 91% of non-THC
users and in only 20% of THC users (p = 0.0019). Furthermore, non-users had 35%
higher rate of Rentrop grade 1 collaterals (55% vs. 20%, p = 0.4872). Similar
difference was shown in grade 2 collaterals between the two groups with non-users
having 36% higher rate (36% vs. 0%, p = 0.0902). Amongst the patients who had
collateral circulation present at the time of angiography (Rentrop grade >0),
good collaterals (Rentrop grade 2 or 3) were present in 40% of non-THC users,
while there was 0% presence of grade 2+ collaterals in THC users (p = 0.5152).
Conclusion: In our study, marijuana use is associated with ST-elevation MI in
largely minority population, occurring at a relatively younger age with half of
the cases CVD risk free. Additional studies are needed to further characterize
this population given the increase in marijuana use.
PMID- 29795801
TI - Corrigendum: An Analysis of Natural T Cell Responses to Predicted Tumor
Neoepitopes.
AB - [This corrects the article on p. 1566 in vol. 8, PMID: 29187854.].
PMID- 29795802
TI - Preventable Diabetic Complications After a Cancer Diagnosis in Patients With
Diabetes: A Population-Based Cohort Study.
AB - Background: A cancer diagnosis may disrupt diabetes management, increasing the
risk of preventable complications. The objective was to determine whether a
cancer diagnosis in patients with diabetes is associated with an increased risk
of diabetic complications. Methods: This retrospective cohort study using health
care data from Ontario, Canada, included persons age 50 years or older diagnosed
with diabetes from 2007 to 2011 and followed until 2014. We examined the effects
of cancer as a time-varying covariate: breast cancer (in women), prostate cancer
(in men), colorectal cancer, and other cancers (in men and women). Each cancer
exposure was categorized as stage I-III, IV, or unknown, and by time since cancer
diagnosis (0-1 year, >1-3 years, and >3 years). The primary outcome was hospital
visits for diabetic emergencies. Secondary outcomes were hospital visits for skin
and soft tissue infections and cardiovascular events. Results: Of 817 060
patients with diabetes (mean age = 64.9 +/- 10.7 years), there were 9759 (1.2%)
colorectal and 45 705 (5.6%) other cancers, 6714 (1.7%) breast cancers among 384
257 women and 10 331 (2.4%) prostate cancers among 432 803 men. For all cancers
except stage I-III prostate cancer, rates of diabetic complications were
significantly higher zero years to one year after diagnosis compared with no
cancer (adjusted relative rates ranging from 1.26, 95% confidence interval [CI] =
1.08 to 1.49, to 4.07, 95% CI = 3.80 to 4.36); these differences were attenuated
in the subsequent periods after cancer diagnosis. Conclusions: Patients with
diabetes are at increased risk for preventable complications after a cancer
diagnosis. Better diabetes care is needed during this vulnerable period.
PMID- 29795803
TI - Corrigendum: Genomic and Genotypic Characterization of Cylindrospermopsis
raciborskii: Toward an Intraspecific Phylogenetic Evaluation by Comparative
Genomics.
AB - [This corrects the article on p. 306 in vol. 9, PMID: 29535689.].
PMID- 29795804
TI - High-Throughput Screening Assay Datasets from the PubChem Database.
AB - Availability of high-throughput screening (HTS) data in the public domain offers
great potential to foster development of ligand-based computer-aided drug
discovery (LB-CADD) methods crucial for drug discovery efforts in academia and
industry. LB-CADD method development depends on high-quality HTS assay data,
i.e., datasets that contain both active and inactive compounds. These active
compounds are hits from primary screens that have been tested in concentration
response experiments and where the target-specificity of the hits has been
validated through suitable secondary screening experiments. Publicly available
HTS repositories such as PubChem often provide such data in a convoluted way:
compounds that are classified as inactive need to be extracted from the primary
screening record. However, compounds classified as active in the primary
screening record are not suitable as a set of active compounds for LB-CADD
experiments due to high false-positive rate. A suitable set of actives can be
derived by carefully analysing results in often up to five or more assays that
are used to confirm and classify the activity of compounds. These assays, in
part, build on each other. However, often not all hit compounds from the previous
screen have been tested. Sometimes a compound can be classified as 'active',
though its meaning is 'inactive' on the target of interest as it is 'active' on a
different target protein. Here, a curation process of hierarchically related
confirmatory screens is illustrated based on two specifically chosen protein use
cases. The subsequent re-upload procedure into PubChem is described for the
findings of those two scenarios. Further, we provide nine publicly accessible
high quality datasets for future LB-CADD method development that provide a common
baseline for comparison of future methods to the scientific community. We also
provide a protocol researchers can follow to upload additional datasets for
benchmarking.
PMID- 29795805
TI - Diverse Aging and Health Inequality by Race and Ethnicity.
AB - Although gerontologists have long embraced the concept of heterogeneity in
theories and models of aging, recent research reveals the importance of racial
and ethnic diversity on life course processes leading to health inequality. This
article examines research on health inequality by race and ethnicity and
identifies theoretical and methodological innovations that are transforming the
study of health disparities. Drawing from cumulative inequality theory, we
propose greater use of life course analysis, more attention to variability within
racial and ethnic groups, and better integration of environmental context into
the study of accumulation processes leading to health disparities.
PMID- 29795807
TI - Corrigendum: Fucosylated Antigens in Cancer: An Alliance Toward Tumor
Progression, Metastasis, and Resistance to Chemotherapy.
AB - [This corrects the article on p. 39 in vol. 8, PMID: 29527514.].
PMID- 29795808
TI - Corrigendum: Using Aiptasia as a Model to Study Metabolic Interactions in
Cnidarian-Symbiodinium Symbioses.
AB - [This corrects the article on p. 214 in vol. 9, PMID: 29615919.].
PMID- 29795806
TI - Ameliorative potential of conditioning on ischemia-reperfusion injury in
diabetes.
AB - Diabetes is a serious metabolic disease characterized by hyperglycemia. Diabetes
also leads to several long-term secondary complications. Cardiovascular disease
is an important complication of diabetes and is a major contributor to morbidity
and mortality in diabetic subjects. The discovery of conditioning-induced
ischemic or anoxic tolerance has led to the demonstration of the protective
potential of conditioning as a treatment strategy to mitigate ischemia
reperfusion injury. Diabetes modulates multiple metabolic pathways and signal
transduction cascades. Some of these pathways may overlap with mechanisms that
mediate the beneficial effects of conditioning from the body's reaction to a
sublethal insult, indicating the possibility of a potential interaction between
diabetes and conditioning. Studies demonstrate that diabetes abrogates the
ameliorative effect of various forms of conditioning, such as ischemic
preconditioning, ischemic postconditioning, remote ischemic conditioning and
pharmacological conditioning, on ischemia-reperfusion injury in various animal
models. Moreover, drugs used to treat diabetes may have a potential impact on
protection afforded by conditioning from ischemic injury. Studies also indicate a
potential impact of various anti-diabetic drugs on conditioning-induced
protection. Overall, the literature suggests that a better understanding of the
overlap among pathways activated by diabetes and those involved in induction of
ischemia tolerance may help identify ideal conditioning paradigms to protect
diabetic subjects from ischemic injury.
PMID- 29795810
TI - A Note on the Specification of Error Structures in Latent Interaction Models.
AB - Latent interaction models have motivated a great deal of methodological research,
mainly in the area of estimating such models. Product-indicator methods have been
shown to be competitive with other methods of estimation in terms of parameter
bias and standard error accuracy, and their continued popularity in empirical
studies is due, in part, to their straightforward implementation and relative
ease of estimation in mainstream structural equation modeling software. In recent
years, the impact of different specifications of the mean structure of the
structural model has been the focus of a fair amount of investigation in this
area. Yet the effects of misspecification of the error structure of the observed
variables implied by the model have not been investigated. In this study, the
authors demonstrate algebraically the ramifications of misspecifying these error
structures for the unconstrained product-indicator approach. Recommendations to
practitioners based on these results are discussed.
PMID- 29795811
TI - Anchor Selection Strategies for DIF Analysis: Review, Assessment, and New
Approaches.
AB - Differential item functioning (DIF) indicates the violation of the invariance
assumption, for instance, in models based on item response theory (IRT). For item
wise DIF analysis using IRT, a common metric for the item parameters of the
groups that are to be compared (e.g., for the reference and the focal group) is
necessary. In the Rasch model, therefore, the same linear restriction is imposed
in both groups. Items in the restriction are termed the ''anchor items''.
Ideally, these items are DIF-free to avoid artificially augmented false alarm
rates. However, the question how DIF-free anchor items are selected appropriately
is still a major challenge. Furthermore, various authors point out the lack of
new anchor selection strategies and the lack of a comprehensive study especially
for dichotomous IRT models. This article reviews existing anchor selection
strategies that do not require any knowledge prior to DIF analysis, offers a
straightforward notation, and proposes three new anchor selection strategies. An
extensive simulation study is conducted to compare the performance of the anchor
selection strategies. The results show that an appropriate anchor selection is
crucial for suitable item-wise DIF analysis. The newly suggested anchor selection
strategies outperform the existing strategies and can reliably locate a suitable
anchor when the sample sizes are large enough.
PMID- 29795812
TI - Maximum Likelihood Item Easiness Models for Test Theory Without an Answer Key.
AB - Cultural consensus theory (CCT) is a data aggregation technique with many
applications in the social and behavioral sciences. We describe the intuition and
theory behind a set of CCT models for continuous type data using maximum
likelihood inference methodology. We describe how bias parameters can be
incorporated into these models. We introduce two extensions to the basic model in
order to account for item rating easiness/difficulty. The first extension is a
multiplicative model and the second is an additive model. We show how the
multiplicative model is related to the Rasch model. We describe several maximum
likelihood estimation procedures for the models and discuss issues of model fit
and identifiability. We describe how the CCT models could be used to give
alternative consensus-based measures of reliability. We demonstrate the utility
of both the basic and extended models on a set of essay rating data and give
ideas for future research.
PMID- 29795813
TI - Multidimensional Classification of Examinees Using the Mixture Random Weights
Linear Logistic Test Model.
AB - An essential feature of the linear logistic test model (LLTM) is that item
difficulties are explained using item design properties. By taking advantage of
this explanatory aspect of the LLTM, in a mixture extension of the LLTM, the
meaning of latent classes is specified by how item properties affect item
difficulties within each class. To improve the interpretations of latent classes,
this article presents a mixture generalization of the random weights linear
logistic test model (RWLLTM). In detail, the present study considers individual
differences in their multidimensional aspects, a general propensity (random
intercept) and random coefficients of the item properties, as well as the
differences among the fixed coefficients of the item properties. As an empirical
illustration, data on verbal aggression were analyzed by comparing applications
of the one- and two-class LLTM and RWLLTM. Results suggested that the two-class
RWLLTM yielded better agreement with the empirical data than the other models.
Moreover, relations between two random effects explained differences between the
two classes detected by the mixture RWLLTM. Evidence from a simulation study
indicated that the Bayesian estimation used in the present study appeared to
recover the parameters in the mixture RWLLTM fairly well.
PMID- 29795814
TI - Differentiation of Illusory and True Halo in Writing Scores.
AB - This report summarizes an empirical study that addresses two related topics
within the context of writing assessment-illusory halo and how much unique
information is provided by multiple analytic scores. Specifically, we address the
issue of whether unique information is provided by analytic scores assigned to
student writing, beyond what is depicted by holistic scores, and to what degree
multiple analytic scores assigned by a single rater display evidence of illusory
halo. To that end, we analyze student responses to an expository writing prompt
that were scored by six groups of raters-four groups assigned single analytic
scores, one group assigned multiple analytic scores, and one group assigned
holistic scores-using structural equation modeling. Our results suggest that
there is evidence of illusory halo when raters assign multiple analytic scores to
a single student response and that, at best, only two factors seem to be
distinguishable in analytic writing scores assigned to expository essays.
PMID- 29795815
TI - Investigating Measurement Invariance in Computer-Based Personality Testing: The
Impact of Using Anchor Items on Effect Size Indices.
AB - A popular method to assess measurement invariance of a particular item is based
on likelihood ratio tests with all other items as anchor items. The results of
this method are often only reported in terms of statistical significance, and
researchers proposed different methods to empirically select anchor items. It is
unclear, however, how many anchor items should be selected and which method will
provide the "best" results using empirical data. In the present study, we
examined the impact of using different numbers of anchor items on effect size
indices when investigating measurement invariance on a personality questionnaire
in two different assessment situations. Results suggested that the effect size
indices were not influenced by using different numbers of anchor items. The
values were comparable across different number of anchor items used and were
small, which indicate that the effect of differential functioning at the item and
test level is very small if not negligible. Practical implications are discussed
and we discuss the use of anchor items and effect size indices in practice.
PMID- 29795816
TI - A Direct Latent Variable Modeling Based Method for Point and Interval Estimation
of Coefficient Alpha.
AB - A direct approach to point and interval estimation of Cronbach's coefficient
alpha for multiple component measuring instruments is outlined. The procedure is
based on a latent variable modeling application with widely circulated software.
As a by-product, using sample data the method permits ascertaining whether the
population discrepancy between alpha and the composite reliability coefficient
may be practically negligible for a given empirical setting. The outlined
approach is illustrated with numerical data.
PMID- 29795817
TI - Item Response Theory Models for Wording Effects in Mixed-Format Scales.
AB - Many scales contain both positively and negatively worded items. Reverse recoding
of negatively worded items might not be enough for them to function as positively
worded items do. In this study, we commented on the drawbacks of existing
approaches to wording effect in mixed-format scales and used bi-factor item
response theory (IRT) models to test the assumption of reverse coding and
evaluate the magnitude of the wording effect. The parameters of the bi-factor IRT
models can be estimated with existing computer programs. Two empirical examples
from the Program for International Student Assessment and the Trends in
International Mathematics and Science Study were given to demonstrate the
advantages of the bi-factor approach over traditional ones. It was found that the
wording effect in these two data sets was substantial and that ignoring the
wording effect resulted in overestimated test reliability and biased person
measures.
PMID- 29795818
TI - Real and Artificial Differential Item Functioning in Polytomous Items.
AB - Differential item functioning (DIF) for an item between two groups is present if,
for the same person location on a variable, persons from different groups have
different expected values for their responses. Applying only to dichotomously
scored items in the popular Mantel-Haenszel (MH) method for detecting DIF in
which persons are classified by their total scores on an instrument, Andrich and
Hagquist articulated the concept of artificial DIF and showed that as an artifact
of the MH method, real DIF in one item favoring one group inevitably induces
artificial DIF favoring the other group in all other items. Using the dichotomous
Rasch model in which the total score for a person is a sufficient statistic, and
therefore justifies classifying persons by their total scores, Andrich and
Hagquist showed that to distinguish between real and artificial DIF in an item
identified by the MH method, a sequential procedure for resolving items is
implied. Using the polytomous Rasch model, this article generalizes the concept
of artificial DIF to polytomous items, in which multiple item parameters play a
role. The article shows that the same principle of resolving items sequentially
as with dichotomous items applies also to distinguishing between real and
artificial DIF with polytomous items. A real example and a small simulated
example that parallels the real example are used illustratively.
PMID- 29795819
TI - Rasch Mixture Models for DIF Detection: A Comparison of Old and New Score
Specifications.
AB - Rasch mixture models can be a useful tool when checking the assumption of
measurement invariance for a single Rasch model. They provide advantages compared
to manifest differential item functioning (DIF) tests when the DIF groups are
only weakly correlated with the manifest covariates available. Unlike in single
Rasch models, estimation of Rasch mixture models is sensitive to the
specification of the ability distribution even when the conditional maximum
likelihood approach is used. It is demonstrated in a simulation study how
differences in ability can influence the latent classes of a Rasch mixture model.
If the aim is only DIF detection, it is not of interest to uncover such ability
differences as one is only interested in a latent group structure regarding the
item difficulties. To avoid any confounding effect of ability differences (or
impact), a new score distribution for the Rasch mixture model is introduced here.
It ensures the estimation of the Rasch mixture model to be independent of the
ability distribution and thus restricts the mixture to be sensitive to latent
structure in the item difficulties only. Its usefulness is demonstrated in a
simulation study, and its application is illustrated in a study of verbal
aggression.
PMID- 29795820
TI - Operationalizing Levels of Academic Mastery Based on Vygotsky's Theory: The Study
of Mathematical Knowledge.
AB - The present study tested the possibility of operationalizing levels of knowledge
acquisition based on Vygotsky's theory of cognitive growth. An assessment tool
(SAM-Math) was developed to capture a hypothesized hierarchical structure of
mathematical knowledge consisting of procedural, conceptual, and functional
levels. In Study 1, SAM-Math was administered to 4th-grade students (N = 2,216).
The results of Rasch analysis indicated that the test provided an operational
definition for the construct of mathematical competence that included the three
levels of mastery corresponding to the theoretically based hierarchy of
knowledge. In Study 2, SAM-Math was administered to students in 4th, 6th, 8th,
and 10th grades (N = 396) to examine developmental changes in the levels of
mathematics knowledge. The results showed that the mastery of mathematical
concepts presented in elementary school continued to deepen beyond elementary
school, as evidenced by a significant growth in conceptual and functional levels
of knowledge. The findings are discussed in terms of their implications for
psychological theory, test design, and educational practice.
PMID- 29795809
TI - American Gut: an Open Platform for Citizen Science Microbiome Research.
AB - Although much work has linked the human microbiome to specific phenotypes and
lifestyle variables, data from different projects have been challenging to
integrate and the extent of microbial and molecular diversity in human stool
remains unknown. Using standardized protocols from the Earth Microbiome Project
and sample contributions from over 10,000 citizen-scientists, together with an
open research network, we compare human microbiome specimens primarily from the
United States, United Kingdom, and Australia to one another and to environmental
samples. Our results show an unexpected range of beta-diversity in human stool
microbiomes compared to environmental samples; demonstrate the utility of
procedures for removing the effects of overgrowth during room-temperature
shipping for revealing phenotype correlations; uncover new molecules and kinds of
molecular communities in the human stool metabolome; and examine emergent
associations among the microbiome, metabolome, and the diversity of plants that
are consumed (rather than relying on reductive categorical variables such as
veganism, which have little or no explanatory power). We also demonstrate the
utility of the living data resource and cross-cohort comparison to confirm
existing associations between the microbiome and psychiatric illness and to
reveal the extent of microbiome change within one individual during surgery,
providing a paradigm for open microbiome research and education. IMPORTANCE We
show that a citizen science, self-selected cohort shipping samples through the
mail at room temperature recaptures many known microbiome results from clinically
collected cohorts and reveals new ones. Of particular interest is integrating n =
1 study data with the population data, showing that the extent of microbiome
change after events such as surgery can exceed differences between distinct
environmental biomes, and the effect of diverse plants in the diet, which we
confirm with untargeted metabolomics on hundreds of samples.
PMID- 29795821
TI - a-Stratified Computerized Adaptive Testing in the Presence of Calibration Error.
AB - a-Stratified computerized adaptive testing with b-blocking (AST), as an
alternative to the widely used maximum Fisher information (MFI) item selection
method, can effectively balance item pool usage while providing accurate latent
trait estimates in computerized adaptive testing (CAT). However, previous
comparisons of these methods have treated item parameter estimates as if they are
the true population parameter values. Consequently, capitalization on chance may
occur. In this article, we examined the performance of the AST method under more
realistic conditions where item parameter estimates instead of true parameter
values are used in the CAT. Its performance was compared against that of the MFI
method when the latter is used in conjunction with Sympson-Hetter or randomesque
exposure control. Results indicate that the MFI method, even when combined with
exposure control, is susceptible to capitalization on chance. This is
particularly true when the calibration sample size is small. On the other hand,
AST is more robust to capitalization on chance. Consistent with previous
investigations using true item parameter values, AST yields much more balanced
item pool usage, with a small loss in the precision of latent trait estimates.
The loss is negligible when the test is as long as 40 items.
PMID- 29795822
TI - Development and Monte Carlo Study of a Procedure for Correcting the Standardized
Mean Difference for Measurement Error in the Independent Variable.
AB - The standardized mean difference (SMD) is perhaps the most important meta
analytic effect size. It is typically used to represent the difference between
treatment and control population means in treatment efficacy research. It is also
used to represent differences between populations with different characteristics,
such as persons who are depressed and those who are not. Measurement error in the
independent variable (IV) attenuates SMDs. In this article, we derive a formula
for the SMD that explicitly represents accuracy of classification of persons into
populations on the basis of scores on an IV. We suggest an alternate version of
the SMD less vulnerable to measurement error in the IV. We derive a novel
approach to correcting the SMD for measurement error in the IV and show how this
method can also be used to reliability correct the unstandardized mean
difference. We compare this reliability correction approach with one suggested by
Hunter and Schmidt in a series of Monte Carlo simulations. Finally, we consider
how the proposed reliability correction method can be used in meta-analysis and
suggest future directions for both research and further theoretical development
of the proposed reliability correction method.
PMID- 29795823
TI - Trends in Classroom Observation Scores.
AB - Observations and ratings of classroom teaching and interactions collected over
time are susceptible to trends in both the quality of instruction and rater
behavior. These trends have potential implications for inferences about teaching
and for study design. We use scores on the Classroom Assessment Scoring System
Secondary (CLASS-S) protocol from 458 middle school teachers over a 2-year period
to study changes over time in (a) the average quality of teaching for the
population of teachers, (b) the average severity of the population of raters, and
(c) the severity of individual raters. To obtain these estimates and assess them
in the context of other factors that contribute to the variability in scores, we
develop an augmented G study model that is broadly applicable for modeling
sources of variability in classroom observation ratings data collected over time.
In our data, we found that trends in teaching quality were small. Rater drift was
very large during raters' initial days of observation and persisted throughout
nearly 2 years of scoring. Raters did not converge to a common level of severity;
using our model we estimate that variability among raters actually increases over
the course of the study. Variance decompositions based on the model find that
trends are a modest source of variance relative to overall rater effects, rater
errors on specific lessons, and residual error. The discussion provides possible
explanations for trends and rater divergence as well as implications for designs
collecting ratings over time.
PMID- 29795824
TI - An Effect Size Measure for Raju's Differential Functioning for Items and Tests.
AB - This study established an effect size measure for differential functioning for
items and tests' noncompensatory differential item functioning (NCDIF). The
Mantel-Haenszel parameter served as the benchmark for developing NCDIF's effect
size measure for reporting moderate and large differential item functioning in
test items. The effect size of NCDIF is influenced by the model, the
discrimination parameter, and the difficulty parameter. Therefore, tables of
NCDIF's effect size were presented at given levels of a, b, and c parameters. In
addition, a general effect size recommendation for moderate and large NCDIF is
also established.
PMID- 29795825
TI - Descriptive Statistics for Modern Test Score Distributions: Skewness, Kurtosis,
Discreteness, and Ceiling Effects.
AB - Many statistical analyses benefit from the assumption that unconditional or
conditional distributions are continuous and normal. More than 50 years ago in
this journal, Lord and Cook chronicled departures from normality in educational
tests, and Micerri similarly showed that the normality assumption is met rarely
in educational and psychological practice. In this article, the authors extend
these previous analyses to state-level educational test score distributions that
are an increasingly common target of high-stakes analysis and interpretation.
Among 504 scale-score and raw-score distributions from state testing programs
from recent years, nonnormal distributions are common and are often associated
with particular state programs. The authors explain how scaling procedures from
item response theory lead to nonnormal distributions as well as unusual patterns
of discreteness. The authors recommend that distributional descriptive statistics
be calculated routinely to inform model selection for large-scale test score
data, and they illustrate consequences of nonnormality using sensitivity studies
that compare baseline results to those from normalized score scales.
PMID- 29795826
TI - Relationships Among Classical Test Theory and Item Response Theory Frameworks via
Factor Analytic Models.
AB - There are well-defined theoretical differences between the classical test theory
(CTT) and item response theory (IRT) frameworks. It is understood that in the CTT
framework, person and item statistics are test- and sample-dependent. This is not
the perception with IRT. For this reason, the IRT framework is considered to be
theoretically superior to the CTT framework for the purpose of estimating person
and item parameters. In previous simulation studies, IRT models were used both as
generating and as fitting models. Hence, results favoring the IRT framework could
be attributed to IRT being the data-generation framework. Moreover, previous
studies only considered the traditional CTT framework for the comparison, yet
there is considerable literature suggesting that it may be more appropriate to
use CTT statistics based on an underlying normal variable (UNV) assumption. The
current study relates the class of CTT-based models with the UNV assumption to
that of IRT, using confirmatory factor analysis to delineate the connections. A
small Monte Carlo study was carried out to assess the comparability between the
item and person statistics obtained from the frameworks of IRT and CTT with UNV
assumption. Results show the frameworks of IRT and CTT with UNV assumption to be
quite comparable, with neither framework showing an advantage over the other.
PMID- 29795827
TI - Collinear Latent Variables in Multilevel Confirmatory Factor Analysis: A
Comparison of Maximum Likelihood and Bayesian Estimations.
AB - Because variables may be correlated in the social and behavioral sciences,
multicollinearity might be problematic. This study investigates the effect of
collinearity manipulated in within and between levels of a two-level confirmatory
factor analysis by Monte Carlo simulation. Furthermore, the influence of the size
of the intraclass correlation coefficient (ICC) and estimation method; maximum
likelihood estimation with robust chi-squares and standard errors and Bayesian
estimation, on the convergence rate are investigated. The other variables of
interest were rate of inadmissible solutions and the relative parameter and
standard error bias on the between level. The results showed that inadmissible
solutions were obtained when there was between level collinearity and the
estimation method was maximum likelihood. In the within level multicollinearity
condition, all of the solutions were admissible but the bias values were higher
compared with the between level collinearity condition. Bayesian estimation
appeared to be robust in obtaining admissible parameters but the relative bias
was higher than for maximum likelihood estimation. Finally, as expected, high ICC
produced less biased results compared to medium ICC conditions.
PMID- 29795828
TI - Type I and Type II Error Rates and Overall Accuracy of the Revised Parallel
Analysis Method for Determining the Number of Factors.
AB - Traditional parallel analysis (T-PA) estimates the number of factors by
sequentially comparing sample eigenvalues with eigenvalues for randomly generated
data. Revised parallel analysis (R-PA) sequentially compares the kth eigenvalue
for sample data to the kth eigenvalue for generated data sets, conditioned on k-
1 underlying factors. T-PA and R-PA are conceptualized as stepwise hypothesis
testing procedures and, thus, are alternatives to sequential likelihood ratio
test (LRT) methods. We assessed the accuracy of T-PA, R-PA, and LRT methods using
a Monte Carlo approach. Although no method was uniformly more accurate across all
180 conditions, the PA approaches outperformed LRT methods overall. Relative to T
PA, R-PA tended to perform better within the framework of hypothesis testing and
to evidence greater accuracy in conditions with higher factor loadings.
PMID- 29795829
TI - Developing a Measure of General Academic Ability: An Application of Maximal
Reliability and Optimal Linear Combination to High School Students' Scores.
AB - This article is concerned with developing a measure of general academic ability
(GAA) for high school graduates who apply to colleges, as well as with the
identification of optimal weights of the GAA indicators in a linear combination
that yields a composite score with maximal reliability and maximal predictive
validity, employing the framework of the popular latent variable modeling
methodology. The approach to achieving this goal is illustrated with data for
6,640 students with major in Science and 3,388 students with major in Art from
colleges in Saudi Arabia. The indicators (observed measures) of the targeted GAA
construct were selected from assessments that include the students' high school
grade and their scores on two standardized tests developed by the National Center
for Assessment in Higher Education in Saudi Arabia, General Aptitude Test (GAT)
and Standardized Achievement Admission Test (SAAT). A unidimensional measure of
GAA was developed initially, with different sets of indicators for colleges with
major in Science and for colleges with major in Art. Appropriate indicators for
colleges with major in Science were the high school grade, total score on GAT,
and four SAAT subscales on Biology, Chemistry, Physics, and Math. With respect to
colleges with major in Art, appropriate GAA indicators were the students' high
school grade and their scores on GAT-Verbal, GAT-Quantitative, and SAAT. Although
the case study is Saudi Arabia, the methods and procedures discussed in this
article have broader utility and can be used in different contexts of educational
and psychological assessment.
PMID- 29795830
TI - The Effects of Q-Matrix Design on Classification Accuracy in the Log-Linear
Cognitive Diagnosis Model.
AB - Diagnostic classification models are psychometric models that aim to classify
examinees according to their mastery or non-mastery of specified latent
characteristics. These models are well-suited for providing diagnostic feedback
on educational assessments because of their practical efficiency and increased
reliability when compared with other multidimensional measurement models. A
priori specifications of which latent characteristics or attributes are measured
by each item are a core element of the diagnostic assessment design. This item
attribute alignment, expressed in a Q-matrix, precedes and supports any inference
resulting from the application of the diagnostic classification model. This study
investigates the effects of Q-matrix design on classification accuracy for the
log-linear cognitive diagnosis model. Results indicate that classification
accuracy, reliability, and convergence rates improve when the Q-matrix contains
isolated information from each measured attribute.
PMID- 29795831
TI - Psychometric Properties of Measures of Team Diversity With Likert Data.
AB - Certain diversity among team members is beneficial to the growth of an
organization. Multiple measures have been proposed to quantify diversity,
although little is known about their psychometric properties. This article
proposes several methods to evaluate the unidimensionality and reliability of
three measures of diversity. To approximate the interval scale required by the
measures of diversity, a transformation on the Likert-item scores is proposed.
Ridge maximum likelihood is used to deal with the issue of small sample size, and
methods for evaluating the significance of the difference of two reliability
estimates with correlated samples are also developed. Results with a real data
set on entrepreneurial teams indicate that different measures of diversity may
correspond to significantly different estimates of reliability. Results also
indicate that diversity measures obtained with the transformed data tend to be
more unidimensional than their counterparts obtained from Likert data. However,
diversity measures obtained from Likert data tend to yield greater reliability
estimates. Among the three examined measures of diversity, the standard deviation
is found to yield greater and more efficient reliability estimates than the
others and is thus recommended.
PMID- 29795832
TI - A Cautionary Note on the Use of the Vale and Maurelli Method to Generate
Multivariate, Nonnormal Data for Simulation Purposes.
AB - To further understand the properties of data-generation algorithms for
multivariate, nonnormal data, two Monte Carlo simulation studies comparing the
Vale and Maurelli method and the Headrick fifth-order polynomial method were
implemented. Combinations of skewness and kurtosis found in four published
articles were run and attention was specifically paid to the quality of the
sample estimates of univariate skewness and kurtosis. In the first study, it was
found that the Vale and Maurelli algorithm yielded downward-biased estimates of
skewness and kurtosis (particularly at small samples) that were also highly
variable. This method was also prone to generate extreme sample kurtosis values
if the population kurtosis was high. The estimates obtained from Headrick's
algorithm were also biased downward, but much less so than the estimates obtained
through Vale and Maurelli and much less variable. The second study reproduced the
first simulation in the Curran, West, and Finch article using both the Vale and
Maurelli method and the Heardick method. It was found that the chi-square values
and empirical rejection rates changed depending on which data-generation method
was used, sometimes sufficiently so that some of the original conclusions of the
authors would no longer hold. In closing, recommendations are presented regarding
the relative merits of each algorithm.
PMID- 29795833
TI - Modeling Booklet Effects for Nonequivalent Group Designs in Large-Scale
Assessment.
AB - Multiple matrix designs are commonly used in large-scale assessments to
distribute test items to students. These designs comprise several booklets, each
containing a subset of the complete item pool. Besides reducing the test burden
of individual students, using various booklets allows aligning the difficulty of
the presented items to the assumed performance level of examined subgroups. While
this may improve measurement precision and students' test-taking motivation,
using several booklets might influence response behavior and thus constitute a
potential source of unwanted variation. To provide guidance to identify and model
booklet effects, this study presents statistical models accounting for booklet
effects and applies these models in a large-scale assessment setting. Three
models are derived from the Rasch model employing the generalized linear mixed
models framework. The models were applied to data from a national educational
standards assessment study for scientific competence. A total of 1,021 items were
compiled to 74 booklets distributed to a sample of 9,044 students of Grades 9 and
10. The results revealed a small but nonnegligible booklet effect. For further
large-scale assessment studies, it is recommended to examine whether booklet
effects occur and to adequately account for them in the subsequent analyses where
necessary.
PMID- 29795834
TI - Using SAS PROC MCMC for Item Response Theory Models.
AB - Interest in using Bayesian methods for estimating item response theory models has
grown at a remarkable rate in recent years. This attentiveness to Bayesian
estimation has also inspired a growth in available software such as WinBUGS, R
packages, BMIRT, MPLUS, and SAS PROC MCMC. This article intends to provide an
accessible overview of Bayesian methods in the context of item response theory to
serve as a useful guide for practitioners in estimating and interpreting item
response theory (IRT) models. Included is a description of the estimation
procedure used by SAS PROC MCMC. Syntax is provided for estimation of both
dichotomous and polytomous IRT models, as well as a discussion on how to extend
the syntax to accommodate more complex IRT models.
PMID- 29795835
TI - The Interaction of Ability Differences and Guessing When Modeling Differential
Item Functioning With the Rasch Model: Conventional and Tailored Calibration.
AB - In educational testing, differential item functioning (DIF) statistics must be
accurately estimated to ensure the appropriate items are flagged for inspection
or removal. This study showed how using the Rasch model to estimate DIF may
introduce considerable bias in the results when there are large group differences
in ability (impact) and the data follow a three-parameter logistic model. With
large group ability differences, difficult non-DIF items appeared to favor the
focal group and easy non-DIF items appeared to favor the reference group.
Correspondingly, the effect sizes for DIF items were biased. These effects were
mitigated when data were coded as missing for item-examinee encounters in which
the person measure was considerably lower than the item location. Explanation of
these results is provided by illustrating how the item response function becomes
differentially distorted by guessing depending on the groups' ability
distributions. In terms of practical implications, results suggest that
measurement practitioners should not trust the DIF estimates from the Rasch model
when there is a large difference in ability and examinees are potentially able to
answer items correctly by guessing, unless data from examinees poorly matched to
the item difficulty are coded as missing.
PMID- 29795836
TI - The Importance of the Assumption of Uncorrelated Errors in Psychometric Theory.
AB - A critical discussion of the assumption of uncorrelated errors in classical
psychometric theory and its applications is provided. It is pointed out that this
assumption is essential for a number of fundamental results and underlies the
concept of parallel tests, the Spearman-Brown's prophecy and the correction for
attenuation formulas as well as the discrepancy between observed and true
correlations, and the upper bound property of the reliability index with respect
to validity. These relationships are shown not to hold if the errors of
considered pairs of tests are correlated. The assumption of lack of error
correlation is demonstrated not to be testable using standard covariance
structure analysis for pairs of indivisible measures evaluating the same true
score with identical error variances.
PMID- 29795837
TI - Differential Item Functioning Detection Across Two Methods of Defining Group
Comparisons: Pairwise and Composite Group Comparisons.
AB - This study compares two methods of defining groups for the detection of
differential item functioning (DIF): (a) pairwise comparisons and (b) composite
group comparisons. We aim to emphasize and empirically support the notion that
the choice of pairwise versus composite group definitions in DIF is a reflection
of how one defines fairness in DIF studies. In this study, a simulation was
conducted based on data from a 60-item ACT Mathematics test (ACT; Hanson &
Beguin). The unsigned area measure method (Raju) was used as the DIF detection
method. An application to operational data was also completed in the study, as
well as a comparison of observed Type I error rates and false discovery rates
across the two methods of defining groups. Results indicate that the amount of
flagged DIF or interpretations about DIF in all conditions were not the same
across the two methods, and there may be some benefits to using composite group
approaches. The results are discussed in connection to differing definitions of
fairness. Recommendations for practice are made.
PMID- 29795838
TI - Assessing Spurious Interaction Effects in Structural Equation Modeling: A
Cautionary Note.
AB - Several studies have stressed the importance of simultaneously estimating
interaction and quadratic effects in multiple regression analyses, even if theory
only suggests an interaction effect should be present. Specifically, past studies
suggested that failing to simultaneously include quadratic effects when testing
for interaction effects could result in Type I errors, Type II errors, or
misleading interactions. Research investigating this issue has been limited to
multiple regression models. Contrarily, structural equation modeling is a more
appropriate analysis when hypotheses include latent variables. The current study
utilized Monte Carlo simulation to investigate whether quadratic effects should
be included in the latent variable interaction model. Consistent with previous
research, it was found that including latent variable quadratic effects in the
model successfully reduced the frequency of spurious interaction effects but at a
cost of low power to detect true interaction effects, inaccurate parameter
estimates, inaccurate standard error estimates, and reduced convergence rates.
Based on findings from the current study, we recommend that researchers
hypothesizing interactions between latent variables should test for these
relations using the latent variable interaction model rather than the interaction
quadratic model. If researchers are concerned about spurious interactions, then
they may want to consider including quadratic effects in the model, provided that
they have sample sizes of at least 500 and high indicator reliability. We caution
all researchers to base higher order effects models on theory.
PMID- 29795839
TI - An Alternative Way to Model Population Ability Distributions in Large-Scale
Educational Surveys.
AB - In large-scale educational surveys, a latent regression model is used to
compensate for the shortage of cognitive information. Conventionally, the
covariates in the latent regression model are principal components extracted from
background data. This operational method has several important disadvantages,
such as the handling of missing data and the high model complexity. The approach
introduced here to identify multiple groups that can account for the variation
among students is to conduct a latent class analysis (LCA). In the LCA, one or
more latent nominal variables are identified that can be used to classify
respondents with respect to their background characteristics. These
classifications are then introduced as predictors in the latent regression. The
primary goal of this study was to explore whether this approach yields similar
estimates of group means and standard deviations compared with the operational
procedure. The alternative approaches based on LCA differed regarding the number
of classes, the items used for the LCA, and whether manifest class membership
information or class membership probabilities were used as independent variables
in the latent regression. Overall, recovery of the operational approach's group
means and standard deviations was very satisfactory for all LCA approaches.
Furthermore, the posterior means and standard deviations used to generate
plausible values derived from the operational approach and the LCA approaches
correlated highly. Thus, incorporating independent variables based on an LCA of
background data into the latent regression model appears to be a viable
alternative to the operational approach.
PMID- 29795840
TI - Does Acquiescence Affect Individual Items Consistently?
AB - Previous research has found the effects of acquiescence to be generally
consistent across item aggregates within a single survey (i.e., essential tau
equivalence), but it is unknown whether this phenomenon is consistent at the
individual item level. This article evaluated the often assumed but inadequately
tested proposition that individual items are affected by acquiescence to the same
degree. We modeled an external acquiescence criterion to assess (a) whether it
affected scale items consistently and (b) whether it would be strongly correlated
with an acquiescence factor based on an assumption of tau-equivalence. The
results did not support this assumption. As further evidence, we identified a
situation in which this tau-equivalence assumption could potentially be violated.
We propose that the response style be best understood within a framework of an
acquiescence * item interaction.
PMID- 29795841
TI - Reducing Bias and Error in the Correlation Coefficient Due to Nonnormality.
AB - It is more common for educational and psychological data to be nonnormal than to
be approximately normal. This tendency may lead to bias and error in point
estimates of the Pearson correlation coefficient. In a series of Monte Carlo
simulations, the Pearson correlation was examined under conditions of normal and
nonnormal data, and it was compared with its major alternatives, including the
Spearman rank-order correlation, the bootstrap estimate, the Box-Cox
transformation family, and a general normalizing transformation (i.e., rankit),
as well as to various bias adjustments. Nonnormality caused the correlation
coefficient to be inflated by up to +.14, particularly when the nonnormality
involved heavy-tailed distributions. Traditional bias adjustments worsened this
problem, further inflating the estimate. The Spearman and rankit correlations
eliminated this inflation and provided conservative estimates. Rankit also
minimized random error for most sample sizes, except for the smallest samples (n
= 10), where bootstrapping was more effective. Overall, results justify the use
of carefully chosen alternatives to the Pearson correlation when normality is
violated.
PMID- 29795842
TI - The Evidence for a Subscore Structure in a Test of English Language Competency
for English Language Learners.
AB - How to compute and report subscores for a test that was originally designed for
reporting scores on a unidimensional scale has been a topic of interest in recent
years. In the research reported here, we describe an application of
multidimensional item response theory to identify a subscore structure in a test
designed for reporting results using a unidimensional scale. This research also
dealt with the problem of planned missing data due to low levels of item overlap
among multiple test forms. Furthermore, we provided evidence for the
generalizability of the multidimensional structure using multiple forms of the
same test. We also compared the subscores from multiple groups to show the
usefulness of the subscores. The research provides evidence that subscores can be
identified and produced to provide useful information about different constructs
for multiple examinee groups even though the test data were well fit by a
unidimensional model.
PMID- 29795843
TI - Using a Model of Analysts' Judgments to Augment an Item Calibration Process.
AB - When conducting item reviews, analysts evaluate an array of statistical and
graphical information to assess the fit of a field test (FT) item to an item
response theory model. The process can be tedious, particularly when the number
of human reviews (HR) to be completed is large. Furthermore, such a process leads
to decisions that are susceptible to human errors. A key finding from behavioral
decision-making research has shown that a parametric model of human decision
making often outperforms the decision maker himself. We exploit this finding by
seeking a model to mimic how analysts integrate FT item level statistics and
graphical performance plots to predict the analyst's assignment of the item's
status. The procedure suggests a set of rules that achieves a desired level of
classification accuracy, separating situations in which the evidence supports
firm decisions from those situations that would likely benefit from HRs.
Implementation of the decision rules accounts for an estimated 65% reduction in
calibrations requiring HRs.
PMID- 29795844
TI - Taking the Missing Propensity Into Account When Estimating Competence Scores:
Evaluation of Item Response Theory Models for Nonignorable Omissions.
AB - When competence tests are administered, subjects frequently omit items. These
missing responses pose a threat to correctly estimating the proficiency level.
Newer model-based approaches aim to take nonignorable missing data processes into
account by incorporating a latent missing propensity into the measurement model.
Two assumptions are typically made when using these models: (1) The missing
propensity is unidimensional and (2) the missing propensity and the ability are
bivariate normally distributed. These assumptions may, however, be violated in
real data sets and could, thus, pose a threat to the validity of this approach.
The present study focuses on modeling competencies in various domains, using data
from a school sample (N = 15,396) and an adult sample (N = 7,256) from the
National Educational Panel Study. Our interest was to investigate whether
violations of unidimensionality and the normal distribution assumption severely
affect the performance of the model-based approach in terms of differences in
ability estimates. We propose a model with a competence dimension, a
unidimensional missing propensity and a distributional assumption more flexible
than a multivariate normal. Using this model for ability estimation results in
different ability estimates compared with a model ignoring missing responses.
Implications for ability estimation in large-scale assessments are discussed.
PMID- 29795845
TI - Scale Reliability Evaluation With Heterogeneous Populations.
AB - A latent variable modeling approach for scale reliability evaluation in
heterogeneous populations is discussed. The method can be used for point and
interval estimation of reliability of multicomponent measuring instruments in
populations representing mixtures of an unknown number of latent classes or
subpopulations. The procedure is helpful also for evaluation of possible between
class reliability differences as well as of within-class reliability
coefficients. The estimation approach can similarly be used in empirical settings
with known class membership when distinct populations are investigated, their
number is known beforehand and membership in them is observed for the studied
subjects, or alternatively in settings where only the number of latent classes is
known. A modification and extension of the method for evaluation of maximal
reliability or coefficient alpha in heterogeneous populations are also outlined.
The discussed procedure is illustrated with numerical data.
PMID- 29795846
TI - Applying the Nominal Response Model Within a Longitudinal Framework to Construct
the Positive Family Relationships Scale.
AB - A psychometric analysis was conducted using the nominal response model under the
item response theory framework to construct the Positive Family Relationships
scale. Using data from the Fullerton Longitudinal Study, this scale was
constructed within a long-term longitudinal framework spanning middle childhood
through adolescence. Items tapping this construct were completed annually by
mothers when children were aged 9 to 17 years. The scale measures a construct
customized for each age with uniquely informative items consisting exclusively of
discriminating response categories as evaluated using the nominal response model.
By using longitudinal data, the study is innovative in implementing the method of
test equating to a psychological construct across nine assessments spanning 8
years. Future research pertaining to validity is discussed.
PMID- 29795847
TI - Detecting Test Tampering Using Item Response Theory.
AB - Test tampering, especially on tests for educational accountability, is an
unfortunate reality, necessitating that the state (or its testing vendor) perform
data forensic analyses, such as erasure analyses, to look for signs of possible
malfeasance. Few statistical approaches exist for detecting fraudulent erasures,
and those that do largely do not lend themselves to making probabilistic
statements about the likelihood of the observations. In this article, a new
erasure detection index, EDI, is developed, which uses item response theory to
compare the number of observed wrong-to-right erasures to the number expected due
to chance, conditional on the examinee's ability-level and number of erased
items. A simulation study is presented to evaluate the Type I error rate and
power of EDI under various types of fraudulent and benign erasures. Results show
that EDI with a correction for continuity yields Type I error rates that are less
than or equal to nominal levels for every condition studied, and has high power
to detect even small amounts of tampering among the students for whom tampering
is most likely.
PMID- 29795848
TI - Best Design for Multidimensional Computerized Adaptive Testing With the Bifactor
Model.
AB - Most computerized adaptive tests (CATs) have been studied using the framework of
unidimensional item response theory. However, many psychological variables are
multidimensional and might benefit from using a multidimensional approach to
CATs. This study investigated the accuracy, fidelity, and efficiency of a fully
multidimensional CAT algorithm (MCAT) with a bifactor model using simulated data.
Four item selection methods in MCAT were examined for three bifactor pattern
designs using two multidimensional item response theory models. To compare MCAT
item selection and estimation methods, a fixed test length was used. The Ds
optimality item selection improved theta estimates with respect to a general
factor, and either D- or A-optimality improved estimates of the group factors in
three bifactor pattern designs under two multidimensional item response theory
models. The MCAT model without a guessing parameter functioned better than the
MCAT model with a guessing parameter. The MAP (maximum a posteriori) estimation
method provided more accurate theta estimates than the EAP (expected a
posteriori) method under most conditions, and MAP showed lower observed standard
errors than EAP under most conditions, except for a general factor condition
using Ds-optimality item selection.
PMID- 29795849
TI - A Ratio Test of Interrater Agreement With High Specificity.
AB - Existing tests of interrater agreements have high statistical power; however,
they lack specificity. If the ratings of the two raters do not show agreement but
are not random, the current tests, some of which are based on Cohen's kappa, will
often reject the null hypothesis, leading to the wrong conclusion that agreement
is present. A new test of interrater agreement, applicable to nominal or ordinal
categories, is presented. The test statistic can be expressed as a ratio (labeled
QA , ranging from 0 to infinity) or as a proportion (labeled PA , ranging from 0
to 1). This test weighs information supporting agreement with information
supporting disagreement. This new test's effectiveness (power and specificity) is
compared with five other tests of interrater agreement in a series of Monte Carlo
simulations. The new test, although slightly less powerful than the other tests
reviewed, is the only one sensitive to agreement only. We also introduce
confidence intervals on the proportion of agreement.
PMID- 29795850
TI - Investigation of Response Changes in the GRE Revised General Test.
AB - Research on examinees' response changes on multiple-choice tests over the past 80
years has yielded some consistent findings, including that most examinees make
score gains by changing answers. This study expands the research on response
changes by focusing on a high-stakes admissions test-the Verbal Reasoning and
Quantitative Reasoning measures of the GRE revised General Test. We analyzed data
from 8,538 examinees for Quantitative and 9,140 for Verbal sections who took the
GRE revised General Test in 12 countries. The analyses yielded findings
consistent with prior research. In addition, as examinees' ability increases, the
benefit of response changing increases. The study yielded significant
implications for both test agencies and test takers. Computer adaptive tests
often do not allow the test takers to review and revise. Findings from this study
confirm the benefit of such features.
PMID- 29795851
TI - Effects of Design Properties on Parameter Estimation in Large-Scale Assessments.
AB - The selection of an appropriate booklet design is an important element of large
scale assessments of student achievement. Two design properties that are
typically optimized are the balance with respect to the positions the items are
presented and with respect to the mutual occurrence of pairs of items in the same
booklet. The purpose of this study is to investigate the effects of these two
design properties on bias and root mean square error of item parameter estimates
from the Rasch model. First, position effects are estimated using data from a
large-scale assessment study measuring the competencies of 19,107 ninth graders
in science. These results were then used for a simulation study with 1,540
booklet designs with systematically varied position balance and cluster pair
balance. The simulation results showed a small effect of position balancing on
bias and root mean square error of the item parameter estimates while the cluster
pair balance was ignorable. This null effect is actually good news for test
designers since it allows for deliberately reducing the degree of cluster pair
balance without negative effects on item parameter estimates. However, it is
recommended to try to achieve a high position balance when designing large-scale
assessment studies.
PMID- 29795852
TI - Does Matching Quality Matter in Mode Comparison Studies?
AB - This article provides a brief overview and comparison of three matching
approaches in forming comparable groups for a study comparing test administration
modes (i.e., computer-based tests [CBT] and paper-and-pencil tests [PPT]): (a) a
propensity score matching approach proposed in this article, (b) the propensity
score matching approach used by Lottridge, Nicewander, and Mitzel, and (c) a
modified approach of matched samples comparability analyses (MSCA) mentioned by
Way, Davis, and Fitzpatrick. Different matching approaches resulted in different
matched data with differing degrees of matching quality, and matched data from
each matching approach were then used in the mode comparison investigation.
Construct equivalence was examined and the level of invariance was found to be
consistent across modes for all three matching approaches. Raw-to-scale score
conversion tables were created, and the impact on CBT students' proficiency
classification was examined. The comparison of the number of CBT students whose
proficiency classification would be affected and the equality of score
distributions between modes on raw scores and scale scores across the three
matching approaches indicate that the propensity score matching approach
delineated in this article led to the most consistent evidence for the conclusion
of the mode comparison.
PMID- 29795853
TI - Intraclass Correlation Coefficients in Hierarchical Design Studies With Discrete
Response Variables: A Note on a Direct Interval Estimation Procedure.
AB - A latent variable modeling procedure that can be used to evaluate intraclass
correlation coefficients in two-level settings with discrete response variables
is discussed. The approach is readily applied when the purpose is to furnish
confidence intervals at prespecified confidence levels for these coefficients in
setups with binary or ordinal outcome measures and nesting of subjects within
higher order units. The method can aid educational and behavioral researchers in
their study of sources of observed outcome variability and model choice
considerations in multilevel settings, and is illustrated with empirical survey
data.
PMID- 29795854
TI - Accuracy of Revised and Traditional Parallel Analyses for Assessing
Dimensionality with Binary Data.
AB - Parallel analysis (PA) is a useful empirical tool for assessing the number of
factors in exploratory factor analysis. On conceptual and empirical grounds, we
argue for a revision to PA that makes it more consistent with hypothesis testing.
Using Monte Carlo methods, we evaluated the relative accuracy of the revised PA
(R-PA) and traditional PA (T-PA) methods for factor analysis of tetrachoric
correlations between items with binary responses. We manipulated five data
generation factors: number of observations, type of factor model, factor
loadings, correlation between factors, and distribution of thresholds. The R-PA
method tended to be more accurate than T-PA, although not uniformly across
conditions. R-PA tended to perform better relative to T-PA if the underlying
model (a) was unidimensional but had some unique items, (b) had highly correlated
factors, or (c) had a general factor as well as a group factor. In addition, R-PA
tended to outperform T-PA if items had higher factor loadings and sample size was
large. A major disadvantage of the T-PA method was that it frequently yielded
inflated Type I error rates.
PMID- 29795855
TI - Item Response Theory With Covariates (IRT-C): Assessing Item Recovery and
Differential Item Functioning for the Three-Parameter Logistic Model.
AB - In large-scale testing, the use of multigroup approaches is limited for assessing
differential item functioning (DIF) across multiple variables as DIF is examined
for each variable separately. In contrast, the item response theory with
covariate (IRT-C) procedure can be used to examine DIF across multiple variables
(covariates) simultaneously. To assess the utility of the IRT-C procedure, we
conducted a simulation study. Using SAT data for realistic parameters, uniform
DIF on three covariates were simulated: gender (dichotomous), race/ethnicity
(categorical), and income (continuous). Simulations were conducted across several
conditions: two test lengths (14 items, 21 items), four sample sizes (5,000,
10,000, 20,000, 40,000), and two DIF effect sizes (medium, large). It was found
that the IRT-C procedure could accurately recover the latent means and the three
parameter logistic model parameters well with a substantial sample size of
20,000. There was good control of Type I error rates to the nominal rates across
the sample sizes. Good power to detect DIF across all covariates (>.80) was
observed when the sample size was 20,000 for large DIF effect size and 40,000 for
medium DIF effect size. Practical implications for the use of the IRT-C procedure
are discussed.
PMID- 29795856
TI - The Mediated MIMIC Model for Understanding the Underlying Mechanism of DIF.
AB - Due to its flexibility, the multiple-indicator, multiple-causes (MIMIC) model has
become an increasingly popular method for the detection of differential item
functioning (DIF). In this article, we propose the mediated MIMIC model method to
uncover the underlying mechanism of DIF. This method extends the usual MIMIC
model by including one variable or multiple variables that may completely or
partially mediate the DIF effect. If complete mediation effect is found, the DIF
effect is fully accounted for. Through our simulation study, we find that the
mediated MIMIC model is very successful in detecting the mediation effect that
completely or partially accounts for DIF, while keeping the Type I error rate
well controlled for both balanced and unbalanced sample sizes between focal and
reference groups. Because it is successful in detecting such mediation effects,
the mediated MIMIC model may help explain DIF and give guidance in the revision
of a DIF item.
PMID- 29795857
TI - Alternative Models for Small Samples in Psychological Research: Applying Linear
Mixed Effects Models and Generalized Estimating Equations to Repeated Measures
Data.
AB - Unavoidable sample size issues beset psychological research that involves scarce
populations or costly laboratory procedures. When incorporating longitudinal
designs these samples are further reduced by traditional modeling techniques,
which perform listwise deletion for any instance of missing data. Moreover, these
techniques are limited in their capacity to accommodate alternative correlation
structures that are common in repeated measures studies. Researchers require
sound quantitative methods to work with limited but valuable measures without
degrading their data sets. This article provides a brief tutorial and exploration
of two alternative longitudinal modeling techniques, linear mixed effects models
and generalized estimating equations, as applied to a repeated measures study (n
= 12) of pairmate attachment and social stress in primates. Both techniques
provide comparable results, but each model offers unique information that can be
helpful when deciding the right analytic tool.
PMID- 29795858
TI - Reweighting Data in the Spirit of Tukey: Using Bayesian Posterior Probabilities
as Rasch Residuals for Studying Misfit.
AB - A new variant of the iterative "data = fit + residual" data-analytical approach
described by Mosteller and Tukey is proposed and implemented in the context of
item response theory psychometric models. Posterior probabilities from a Bayesian
mixture model of a Rasch item response theory model and an unscalable latent
class are expressed as weights for the original data. The data are weighted by
the units' posterior probabilities for the unscalable class and used for further
exploration of structures. Factor analysis models are compared with the original
data and data as reweighted by the posterior probabilities for the unscalable
class. In comparing two weighted data sets, Rasch-weighted data and data
considered unscalable, differences were evident. Pattern types are detected for
the Rasch baseline with patterns that are different patterns from random or
systematic contamination. Rasch baseline patterns are strongest near item
difficulties closest to the mean generating value of thetas. Patterns in baseline
conditions are weaker as they depart from an item difficulty of zero and move
toward extreme values. Random contamination patterns are typically flat and near
zero regardless of item difficulty. Systematic contamination using reversed Rasch
generated data produced alternate patterns to the Rasch baseline condition and in
some conditions showed an opposite effect from Rasch patterns. Differences could
be detected within residually weighted data between the Rasch-generated subtest
and contaminated subtest. Rasch subtest often had Rasch patterns while
contaminated subtest had random/flat or systematic/reversed pattern.
PMID- 29795859
TI - It Might Not Make a Big DIF: Improved Differential Test Functioning Statistics
That Account for Sampling Variability.
AB - Differential test functioning, or DTF, occurs when one or more items in a test
demonstrate differential item functioning (DIF) and the aggregate of these
effects are witnessed at the test level. In many applications, DTF can be more
important than DIF when the overall effects of DIF at the test level can be
quantified. However, optimal statistical methodology for detecting and
understanding DTF has not been developed. This article proposes improved DTF
statistics that properly account for sampling variability in item parameter
estimates while avoiding the necessity of predicting provisional latent trait
estimates to create two-step approximations. The properties of the DTF statistics
were examined with two Monte Carlo simulation studies using dichotomous and
polytomous IRT models. The simulation results revealed that the improved DTF
statistics obtained optimal and consistent statistical properties, such as
obtaining consistent Type I error rates. Next, an empirical analysis demonstrated
the application of the proposed methodology. Applied settings where the DTF
statistics can be beneficial are suggested and future DTF research areas are
proposed.
PMID- 29795860
TI - The Matching Criterion Purification for Differential Item Functioning Analyses in
a Large-Scale Assessment.
AB - The current study investigated the impact of matching criterion purification on
the accuracy of differential item functioning (DIF) detection in large-scale
assessments. The three matching approaches for DIF analyses (block-level
matching, pooled booklet matching, and equated pooled booklet matching) were
employed with the Mantel-Haenszel procedure. Five factors-the length of a test,
the proportion of items exhibiting DIF, a sample size, a ratio of a reference and
focal group, and the existence of an average ability difference between two
groups-were manipulated. The three matching approaches were used with and without
purification. Also, a systematic test form difference was considered. The results
indicated that overall, matching criterion purification in the three approaches
contributed to the improvement of power in the detection of DIF. Depending on the
psychometric characteristics of items exhibiting DIF and the existence of an
average ability difference, the amount of power improvement due to matching
criterion purification was different across the three approaches. The
purification of a matching criterion contributed to the slight reduction of Type
I error rates in the three approaches when no mean ability difference existed
between the two groups. Considering power improvement with the control of Type I
error rates, the purification of a matching criterion in the pooled booklet
matching and the equated pooled booklet matching approaches can be recommended
for DIF analyses in large-scale assessments.
PMID- 29795861
TI - Further Considerations in Using Items With Diverse Content to Measure
Acquiescence.
AB - To measure the response style of acquiescence, researchers recommend the use of
at least 15 items with heterogeneous content. Such an approach is consistent with
its theoretical definition and is a substantial improvement over traditional
methods. Nevertheless, measurement of acquiescence can be enhanced by two
additional considerations: first, to ensure that it is not confounded with item
valence, equal numbers of positively and negatively phrased items should be used;
second, the validity of acquiescence scores can be substantially improved when
derived from more than 15 items: about twice that number appears to be the
minimum. These issues are illustrated empirically and recommendations are made
for research practice.
PMID- 29795862
TI - A Latent Transition Analysis Model for Assessing Change in Cognitive Skills.
AB - Latent transition analysis (LTA) was initially developed to provide a means of
measuring change in dynamic latent variables. In this article, we illustrate the
use of a cognitive diagnostic model, the DINA model, as the measurement model in
a LTA, thereby demonstrating a means of analyzing change in cognitive skills over
time. An example is presented of an instructional treatment on a sample of
seventh-grade students in several classrooms in a Midwestern school district. In
the example, it is demonstrated how hypotheses could be framed and then tested
regarding the form of the change in different groups within the population. Both
manifest and latent groups also are defined and used to test additional
hypotheses about change specific to particular subpopulations. Results suggest
that the use of a DINA measurement model expands the utility of LTA to practical
problems in educational measurement research.
PMID- 29795863
TI - Evaluating the Impact of Guessing and Its Interactions With Other Test
Characteristics on Confidence Interval Procedures for Coefficient Alpha.
AB - The effect of guessing on the point estimate of coefficient alpha has been
studied in the literature, but the impact of guessing and its interactions with
other test characteristics on the interval estimators for coefficient alpha has
not been fully investigated. This study examined the impact of guessing and its
interactions with other test characteristics on four confidence interval (CI)
procedures for coefficient alpha in terms of coverage rate (CR), length, and the
degree of asymmetry of CI estimates. In addition, interval estimates of
coefficient alpha when data follow the essentially tau-equivalent condition were
investigated as a supplement to the case of dichotomous data with examinee
guessing. For dichotomous data with guessing, the results did not reveal salient
negative effects of guessing and its interactions with other test characteristics
(sample size, test length, coefficient alpha levels) on CR and the degree of
asymmetry, but the effect of guessing was salient as a main effect and an
interaction effect with sample size on the length of the CI estimates, making
longer CI estimates as guessing increases, especially when combined with a small
sample size. Other important effects (e.g., CI procedures on CR) are also
discussed.
PMID- 29795864
TI - Partially Compensatory Multidimensional Item Response Theory Models: Two
Alternate Model Forms.
AB - Partially compensatory models may capture the cognitive skills needed to answer
test items more realistically than compensatory models, but estimating the model
parameters may be a challenge. Data were simulated to follow two different
partially compensatory models, a model with an interaction term and a product
model. The model parameters were then estimated for both models and for the
compensatory model. Either the model used to simulate the data or the
compensatory model generally had the best fit, as indexed by information
criteria. Interfactor correlations were estimated well by both the correct model
and the compensatory model. The predicted response probabilities were most
accurate from the model used to simulate the data. Regarding item parameters,
root mean square errors seemed reasonable for the interaction model but were
quite large for some items for the product model. Thetas were recovered similarly
by all models, regardless of the model used to simulate the data.
PMID- 29795865
TI - Unidimensional IRT Item Parameter Estimates Across Equivalent Test Forms With
Confounding Specifications Within Dimensions.
AB - When constructing multiple test forms, the number of items and the total test
difficulty are often equivalent. Not all test developers match the number of
items and/or average item difficulty within subcontent areas. In this simulation
study, six test forms were constructed having an equal number of items and
average item difficulty overall. Manipulated variables were the number of items
and average item difficulty within subsets of items primarily measuring one of
two dimensions. Data sets were simulated at four levels of correlation (0, .3,
.6, and .9). Item parameters were estimated using the Rasch and two-parameter
logistic unidimensional item response theory models. Estimated discrimination and
difficulty were compared across forms and to the true item parameters. The
average unidimensional estimated discrimination was consistent across forms
having the same correlation. Forms having a larger set of easy items measuring
one dimension were estimated as being more difficult than forms having a larger
set of hard items. Estimates were also investigated within subsets of items, and
measures of bias were reported. This study encourages test developers to not only
maintain consistent test specifications across forms as a whole but also within
subcontent areas.
PMID- 29795866
TI - Automatic Coding of Short Text Responses via Clustering in Educational
Assessment.
AB - Automatic coding of short text responses opens new doors in assessment. We
implemented and integrated baseline methods of natural language processing and
statistical modelling by means of software components that are available under
open licenses. The accuracy of automatic text coding is demonstrated by using
data collected in the Programme for International Student Assessment (PISA) 2012
in Germany. Free text responses of 10 items with [Formula: see text] responses in
total were analyzed. We further examined the effect of different methods,
parameter values, and sample sizes on performance of the implemented system. The
system reached fair to good up to excellent agreement with human codings
[Formula: see text] Especially items that are solved by naming specific semantic
concepts appeared properly coded. The system performed equally well with
[Formula: see text] and somewhat poorer but still acceptable down to [Formula:
see text] Based on our findings, we discuss potential innovations for assessment
that are enabled by automatic coding of short text responses.
PMID- 29795867
TI - A Simulation Study on Methods of Correcting for the Effects of Extreme Response
Style.
AB - The impact of response styles such as extreme response style (ERS) on trait
estimation has long been a matter of concern to researchers and practitioners.
This simulation study investigated three methods that have been proposed for the
correction of trait estimates for ERS effects: (a) mixed Rasch models, (b)
multidimensional item response models, and (c) regression residuals. The methods
were compared with respect to their ability of recovering the true latent trait
levels. Data were generated according to a unidimensional model with only one
trait, a mixed Rasch model with two populations of ERS and non-ERS, and a two
dimensional model incorporating a trait and an ERS dimension. The data were
analyzed using the same models as well as linear regression where the trait
estimate is regressed on an ERS score and the resulting residual is considered
the corrected trait estimate. Over all conditions, the two-dimensional model
achieved the best trait recovery, though the difference to the unidimensional
model was rather small. Mixed Rasch models were in general inferior to the other
correction methods. When the trait and ERS showed no to weak correlations, ERS
appeared to have a minor impact on trait estimation.
PMID- 29795868
TI - On the Relationship Between Classical Test Theory and Item Response Theory: From
One to the Other and Back.
AB - The frequently neglected and often misunderstood relationship between classical
test theory and item response theory is discussed for the unidimensional case
with binary measures and no guessing. It is pointed out that popular item
response models can be directly obtained from classical test theory-based models
by accounting for the discrete nature of the observed items. Two distinct
observational equivalence approaches are outlined that render the item response
models from corresponding classical test theory-based models, and can each be
used to obtain the former from the latter models. Similarly, classical test
theory models can be furnished using the reverse application of either of those
approaches from corresponding item response models.
PMID- 29795869
TI - Testing Mediation in Structural Equation Modeling: The Effectiveness of the Test
of Joint Significance.
AB - A large number of approaches have been proposed for estimating and testing the
significance of indirect effects in mediation models. In this study, four sets of
Monte Carlo simulations involving full latent variable structural equation models
were run in order to contrast the effectiveness of the currently popular bias
corrected bootstrapping approach with the simple test of joint significance
approach. The results from these simulations demonstrate that the test of joint
significance had more power than bias-corrected bootstrapping and also yielded
more reasonable Type I errors.
PMID- 29795870
TI - Robust Coefficients Alpha and Omega and Confidence Intervals With Outlying
Observations and Missing Data: Methods and Software.
AB - Cronbach's coefficient alpha is a widely used reliability measure in social,
behavioral, and education sciences. It is reported in nearly every study that
involves measuring a construct through multiple items. With non-tau-equivalent
items, McDonald's omega has been used as a popular alternative to alpha in the
literature. Traditional estimation methods for alpha and omega often implicitly
assume that data are complete and normally distributed. This study proposes
robust procedures to estimate both alpha and omega as well as corresponding
standard errors and confidence intervals from samples that may contain potential
outlying observations and missing values. The influence of outlying observations
and missing data on the estimates of alpha and omega is investigated through two
simulation studies. Results show that the newly developed robust method yields
substantially improved alpha and omega estimates as well as better coverage rates
of confidence intervals than the conventional nonrobust method. An R package
coefficientalpha is developed and demonstrated to obtain robust estimates of
alpha and omega.
PMID- 29795871
TI - Controlling Guessing Bias in the Dichotomous Rasch Model Applied to a Large
Scale, Vertically Scaled Testing Program.
AB - Recent research has shown how the statistical bias in Rasch model difficulty
estimates induced by guessing in multiple-choice items can be eliminated. Using
vertical scaling of a high-profile national reading test, it is shown that the
dominant effect of removing such bias is a nonlinear change in the unit of scale
across the continuum. The consequence is that the proficiencies of the more
proficient students are increased relative to those of the less proficient. Not
controlling the guessing bias underestimates the progress of students across 7
years of schooling with important educational implications.
PMID- 29795872
TI - A Comparison of Composite Reliability Estimators: Coefficient Omega Confidence
Intervals in the Current Literature.
AB - Coefficient omega and alpha are both measures of the composite reliability for a
set of items. Unlike coefficient alpha, coefficient omega remains unbiased with
congeneric items with uncorrelated errors. Despite this ability, coefficient
omega is not as widely used and cited in the literature as coefficient alpha.
Reasons for coefficient omega's underutilization include a limited knowledge of
its statistical properties. However, consistent efforts to understand the
statistical properties of coefficient omega can help improve its utilization in
research efforts. Here, six approaches for estimating confidence intervals for
coefficient omega with unidimensional congeneric items were evaluated through a
Monte Carlo simulation. The evaluations were made through simulation conditions
that mimic realistic conditions that investigators are likely to face in applied
work, including items that are not normally distributed and small sample size(s).
Overall, the normal theory bootstrap confidence interval had the best performance
across all simulation conditions that included sample sizes less than 100.
However, most methods had sound coverage with sample sizes of 100 or more.
PMID- 29795873
TI - Can Reliability of Multiple Component Measuring Instruments Depend on Response
Option Presentation Mode?
AB - This article examines the possible dependency of composite reliability on
presentation format of the elements of a multi-item measuring instrument. Using
empirical data and a recent method for interval estimation of group differences
in reliability, we demonstrate that the reliability of an instrument need not be
the same when polarity of the response options for its individual components
differs across administrations of the instrument. Implications for empirical
educational, behavioral, and social research are discussed.
PMID- 29795874
TI - A Comprehensive Regression-Based Approach for Identifying Sources of Person
Misfit in Typical-Response Measures.
AB - This article proposes a general parametric item response theory approach for
identifying sources of misfit in response patterns that have been classified as
potentially inconsistent by a global person-fit index. The approach, which is
based on the weighted least squared regression of the observed responses on the
model-expected responses, can be used with a variety of unidimensional and
multidimensional models intended for binary, graded, and continuous responses and
consists of procedures for identifying (a) general deviation trends, (b) local
inconsistencies, and (c) single response inconsistencies. A free program called
REG-PERFIT that implements most of the proposed techniques has been developed,
described, and made available for interested researchers. Finally, the
functioning and usefulness of the proposed procedures is illustrated with an
empirical study based on a statistics-anxiety scale.
PMID- 29795875
TI - Convergence, Admissibility, and Fit of Alternative Confirmatory Factor Analysis
Models for MTMM Data.
AB - We compared six different analytic models for multitrait-multimethod (MTMM) data
in terms of convergence, admissibility, and model fit to 258 samples of
previously reported data. Two well-known models, the correlated trait-correlated
method (CTCM) and the correlated trait-correlated uniqueness (CTCU) models, were
fit for reference purposes in comparison to four other under- or unstudied
models, including (a) Rindskopf's reparameterization of the CTCM (CTCM-R) model,
(b) a correlated trait-constrained uncorrelated method model and two of its more
general cases, (c) a correlated trait-constrained correlated method model, and
(d) a correlated trait-uncorrelated method model. Results show that (a) the CTCM
R model often solved convergence and admissibility problems with the CTCM model
at rates equivalent to the CTCU model and (b) constrained models often provided
convergent and admissible solutions but significantly worse model fit, indicating
that they are often not plausible when analyzing real data. A follow-up
simulation study showed that the CTCM-R model also provided the most accurate
estimates of the full range of parameters relevant to a confirmatory factor
analytic model of MTMM data.
PMID- 29795876
TI - How Does Calibration Timing and Seasonality Affect Item Parameter Estimates?
AB - Continuously administered examination programs, particularly credentialing
programs that require graduation from educational programs, often experience
seasonality where distributions of examine ability may differ over time. Such
seasonality may affect the quality of important statistical processes, such as
item response theory (IRT) item calibration and equating. The lead time required
for producing pre-equated test forms in the continuous testing framework further
complicates issues. This study examines the effect of seasonality in test data on
Rasch IRT item parameter estimates. Data came from four credentialing examination
programs that represented both programs with and without seasonality, as well as
medium and low examinee volume. Results showed that calibrating items during
certain times can lead to quite poor item parameter estimates. While certain
programs could conduct IRT calibrations without waiting for the full examination
cycle to be completed, other types of programs should wait as long as possible
before calibrating items.
PMID- 29795877
TI - The Role of Measurement Quality on Practical Guidelines for Assessing Measurement
and Structural Invariance.
AB - Although differences in goodness-of-fit indices (DeltaGOFs) have been advocated
for assessing measurement invariance, studies that advanced recommended
differential cutoffs for adjudicating invariance actually utilized a very limited
range of values representing the quality of indicator variables (i.e., magnitude
of loadings). Because quality of measurement has been found to be relevant in the
context of assessing data-model fit in single-group models, this study used
simulation and population analysis methods to examine the extent to which quality
of measurement affects DeltaGOFs for tests of invariance in multiple group
models. Results show that DeltaMcDonald's NCI is minimally affected by loading
magnitude and sample size when testing invariance in the measurement model, while
differences in comparative fit index varies widely when testing both measurement
and structural variance as measurement quality changes, making it difficult to
pinpoint a common value that suggests reasonable invariance.
PMID- 29795878
TI - A Mixture Proportional Hazards Model With Random Effects for Response Times in
Tests.
AB - In this article, a new model for test response times is proposed that combines
latent class analysis and the proportional hazards model with random effects in a
similar vein as the mixture factor model. The model assumes the existence of
different latent classes. In each latent class, the response times are
distributed according to a class-specific proportional hazards model. The class
specific proportional hazards models relate the response times of each subject to
his or her work pace, which is considered as a random effect. The latent class
extension of the proportional hazards model allows for differences in response
strategies between subjects. The differences can be captured in the hazard
functions, which trace the progress individuals make over time when working on an
item. The model can be calibrated with marginal maximum likelihood estimation.
The fit of the model can either be assessed with information criteria or with a
test of model fit. In a simulation study, the performance of the proposed
approaches to model calibration and model evaluation is investigated. Finally,
the model is used for a real data set.
PMID- 29795879
TI - Effects of Interim Assessments Across the Achievement Distribution: Evidence From
an Experiment.
AB - We use data from a large-scale experiment conducted in Indiana in 2009-2010 to
examine the impact of two interim assessment programs (mCLASS and Acuity) across
the mathematics and reading achievement distributions. Specifically, we focus on
whether the use of interim assessments has a particularly strong effect on
improving outcomes for low achievers. Quantile regression is used to estimate
treatment effects across the entire achievement distribution (i.e., provide
estimates in the lower, middle, or upper tails). Results indicate that in Grades
3 to 8 (particularly third, fifth, and sixth) lower achievers seem to benefit
more from interim assessments than higher achieving students.
PMID- 29795880
TI - Testing the Difference of Correlated Agreement Coefficients for Statistical
Significance.
AB - This article addresses the problem of testing the difference between two
correlated agreement coefficients for statistical significance. A number of
authors have proposed methods for testing the difference between two correlated
kappa coefficients, which require either the use of resampling methods or the use
of advanced statistical modeling techniques. In this article, we propose a
technique similar to the classical pairwise t test for means, which is based on a
large-sample linear approximation of the agreement coefficient. We illustrate the
use of this technique with several known agreement coefficients including Cohen's
kappa, Gwet's AC1, Fleiss's generalized kappa, Conger's generalized kappa,
Krippendorff's alpha, and the Brenann-Prediger coefficient. The proposed method
is very flexible, can accommodate several types of correlation structures between
coefficients, and requires neither advanced statistical modeling skills nor
considerable computer programming experience. The validity of this method is
tested with a Monte Carlo simulation.
PMID- 29795881
TI - Assessing Validity of Measurement in Learning Disabilities Using Hierarchical
Generalized Linear Modeling: The Roles of Anxiety and Motivation.
AB - The purpose of the present studies was to test the hypothesis that the
psychometric characteristics of ability scales may be significantly distorted if
one accounts for emotional factors during test taking. Specifically, the present
studies evaluate the effects of anxiety and motivation on the item difficulties
of the Rasch model. In Study 1, the validity of a reading comprehension scale was
evaluated using the Rasch model with 60 students with learning disabilities (LD).
Item parameters were retested for the presence of anxiety and results indicated
that the scale was substantially more difficult in its presence. Study 2
replicated the findings of Study 1 using maladaptive motivation and extended with
inclusion of adaptive motivational variables in order to reverse the effect.
Results using students with and without LD indicated that the difficulty levels
of the scale was lower for students with LD, in the presence of positive
motivation, compared with a typical student group. Study 3 extended the
dichotomous hierarchical generalized linear model with polytomous data. The
measures of an ability test were adjusted for the presence of anxiety and results
indicated that differential item functioning was observed at both the global
level and the most difficult ability item. It is concluded that the difficulty
levels of a scale are heavily influenced by situational factors during testing,
such as students' entry levels of motivation and affect.
PMID- 29795882
TI - Rasch Model Parameter Estimation in the Presence of a Nonnormal Latent Trait
Using a Nonparametric Bayesian Approach.
AB - Standard approaches for estimating item response theory (IRT) model parameters
generally work under the assumption that the latent trait being measured by a set
of items follows the normal distribution. Estimation of IRT parameters in the
presence of nonnormal latent traits has been shown to generate biased person and
item parameter estimates. A number of methods, including Ramsay curve item
response theory, have been developed to reduce such bias, and have been shown to
work well for relatively large samples and long assessments. An alternative
approach to the nonnormal latent trait and IRT parameter estimation problem,
nonparametric Bayesian estimation approach, has recently been introduced into the
literature. Very early work with this method has shown that it could be an
excellent option for use when fitting the Rasch model when assumptions cannot be
made about the distribution of the model parameters. The current simulation study
was designed to extend research in this area by expanding the simulation
conditions under which it is examined and to compare the nonparametric Bayesian
estimation approach to the Ramsay curve item response theory, marginal maximum
likelihood, maximum a posteriori, and the Bayesian Markov chain Monte Carlo
estimation method. Results of the current study support that the nonparametric
Bayesian estimation approach may be a preferred option when fitting a Rasch model
in the presence of nonnormal latent traits and item difficulties, as it proved to
be most accurate in virtually all scenarios that were simulated in this study.
PMID- 29795883
TI - Exploring Rating Quality in Rater-Mediated Assessments Using Mokken Scale
Analysis.
AB - Mokken scale analysis is a probabilistic nonparametric approach that offers
statistical and graphical tools for evaluating the quality of social science
measurement without placing potentially inappropriate restrictions on the
structure of a data set. In particular, Mokken scaling provides a useful method
for evaluating important measurement properties, such as invariance, in contexts
where response processes are not well understood. Because rater-mediated
assessments involve complex interactions among many variables, including
assessment contexts, student artifacts, rubrics, individual rater
characteristics, and others, rater-assigned scores are suitable candidates for
Mokken scale analysis. The purposes of this study are to describe a suite of
indices that can be used to explore the psychometric quality of data from rater
mediated assessments and to illustrate the substantive interpretation of Mokken
based statistics and displays in this context. Techniques that are commonly used
in polytomous applications of Mokken scaling are adapted for use with rater
mediated assessments, with a focus on the substantive interpretation related to
individual raters. Overall, the findings suggest that indices of rater
monotonicity, rater scalability, and invariant rater ordering based on Mokken
scaling provide diagnostic information at the level of individual raters related
to the requirements for invariant measurement. These Mokken-based indices serve
as an additional suite of diagnostic tools for exploring the quality of data from
rater-mediated assessments that can supplement rating quality indices based on
parametric models.
PMID- 29795884
TI - Georg Rasch and Benjamin Wright's Struggle With the Unidimensional Polytomous
Model With Sufficient Statistics.
AB - This article reproduces correspondence between Georg Rasch of The University of
Copenhagen and Benjamin Wright of The University of Chicago in the period from
January 1966 to July 1967. This correspondence reveals their struggle to
operationalize a unidimensional measurement model with sufficient statistics for
responses in a set of ordered categories. The article then explains the original
approach taken by Rasch, Wright, and Andersen, and then how, from a different
tack originating in 1961 and culminating in 1978, three distinct stages of
development led to the current relatively simple and elegant form of the model.
The article shows that over this period of almost two decades, the demand for
sufficiency of a unidimensional parameter of the object of measurement, which
enabled the separation of this parameter from the parameter of the instrument,
drove the theoretical development of the model.
PMID- 29795885
TI - Question Order Affects the Measurement of Bullying Victimization Among Middle
School Students.
AB - Bullying among youth is recognized as a serious student problem, especially in
middle school. The most common approach to measuring bullying is through student
self-report surveys that ask questions about different types of bullying
victimization. Although prior studies have shown that question-order effects may
influence participant responses, no study has examined these effects with middle
school students. A randomized experiment (n = 5,951 middle school students)
testing the question-order effect found that changing the sequence of questions
can result in 45% higher prevalence rates. These findings raise questions about
the accuracy of several widely used bullying surveys.
PMID- 29795887
TI - Measurement Error Correction Formula for Cluster-Level Group Differences in
Cluster Randomized and Observational Studies.
AB - Multilevel modeling (MLM) is frequently used to detect cluster-level group
differences in cluster randomized trial and observational studies. Group
differences on the outcomes (posttest scores) are detected by controlling for the
covariate (pretest scores) as a proxy variable for unobserved factors that
predict future attributes. The pretest and posttest scores that are most often
used in MLM are total scores. In prior research, there have been concerns
regarding measurement error in the use of total scores in using MLM. In this
article, using ordinary least squares and an attenuation formula, we derive the
measurement error correction formula for cluster-level group difference estimates
from MLM in the presence of measurement error in the outcome, the covariate, or
both. Examples are provided to illustrate the correction formula in cluster
randomized and observational studies using between-cluster reliability
coefficients recently developed.
PMID- 29795886
TI - Hypothesis Testing Using Factor Score Regression: A Comparison of Four Methods.
AB - In this article, an overview is given of four methods to perform factor score
regression (FSR), namely regression FSR, Bartlett FSR, the bias avoiding method
of Skrondal and Laake, and the bias correcting method of Croon. The bias
correcting method is extended to include a reliable standard error. The four
methods are compared with each other and with structural equation modeling (SEM)
by using analytic calculations and two Monte Carlo simulation studies to examine
their finite sample characteristics. Several performance criteria are used, such
as the bias using the unstandardized and standardized parameterization,
efficiency, mean square error, standard error bias, type I error rate, and power.
The results show that the bias correcting method, with the newly developed
standard error, is the only suitable alternative for SEM. While it has a higher
standard error bias than SEM, it has a comparable bias, efficiency, mean square
error, power, and type I error rate.
PMID- 29795888
TI - Differences in Reaction to Immediate Feedback and Opportunity to Revise Answers
for Multiple-Choice and Open-Ended Questions.
AB - There are many reasons to believe that open-ended (OE) and multiple-choice (MC)
items elicit different cognitive demands of students. However, empirical evidence
that supports this view is lacking. In this study, we investigated the reactions
of test takers to an interactive assessment with immediate feedback and answer
revision opportunities for the two types of items. Eighth-grade students solved
mathematics problems, both MC and OE, with standard instructions and feedback-and
revision opportunities. An analysis of scores based on revised answers in
feedback mode revealed gains in measurement precision for OE items but not for MC
items. These results are explained through the concept of effortful engagement
the OE format encourages more mindful engagement with the items in interactive
mode. This interpretation is supported by analyses of response times and test
takers' reports.
PMID- 29795889
TI - The Effects of Including Observed Means or Latent Means as Covariates in
Multilevel Models for Cluster Randomized Trials.
AB - We investigated methods of including covariates in two-level models for cluster
randomized trials to increase power to detect the treatment effect. We compared
multilevel models that included either an observed cluster mean or a latent
cluster mean as a covariate, as well as the effect of including Level 1 deviation
scores in the model. A Monte Carlo simulation study was performed manipulating
effect sizes, cluster sizes, number of clusters, intraclass correlation of the
outcome, patterns of missing data, and the squared correlations between Level 1
and Level 2 covariates and the outcome. We found no substantial difference
between models with observed means or latent means with respect to convergence,
Type I error rates, coverage, and bias. However, coverage could fall outside of
acceptable limits if a latent mean is included as a covariate when cluster sizes
are small. In terms of statistical power, models with observed means performed
similarly to models with latent means, but better when cluster sizes were small.
A demonstration is provided using data from a study of the Tools for Getting
Along intervention.
PMID- 29795890
TI - The Impact of Ignoring the Level of Nesting Structure in Nonparametric Multilevel
Latent Class Models.
AB - The multilevel latent class model (MLCM) is a multilevel extension of a latent
class model (LCM) that is used to analyze nested structure data structure. The
nonparametric version of an MLCM assumes a discrete latent variable at a higher
level nesting structure to account for the dependency among observations nested
within a higher-level unit. In the present study, a simulation study was
conducted to investigate the impact of ignoring the higher-level nesting
structure. Three criteria-the model selection accuracy, the classification
quality, and the parameter estimation accuracy-were used to evaluate the impact
of ignoring the nested data structure. The results of the simulation study showed
that ignoring higher-level nesting structure in an MLCM resulted in the poor
performance of the Bayesian information criterion to recover the true latent
structure, the inaccurate classification of individuals into latent classes, and
the inflation of standard errors for parameter estimates, while the parameter
estimates were not biased. This article concludes with remarks on ignoring the
nested structure in nonparametric MLCMs, as well as recommendations for applied
researchers when LCM is used for data collected from a multilevel nested
structure.
PMID- 29795892
TI - Do Two or More Multicomponent Instruments Measure the Same Construct? Testing
Construct Congruence Using Latent Variable Modeling.
AB - A latent variable modeling procedure is discussed that can be used to test if two
or more homogeneous multicomponent instruments with distinct components are
measuring the same underlying construct. The method is widely applicable in scale
construction and development research and can also be of special interest in
construct validation studies. The approach can be readily utilized in empirical
settings with observed measure nonnormality and/or incomplete data sets. The
procedure is based on testing model nesting restrictions, and it can be similarly
employed to examine the collapsibility of latent variables evaluated by
multidimensional measuring instruments. The outlined method is illustrated with
two data examples.
PMID- 29795891
TI - Different Approaches to Covariate Inclusion in the Mixture Rasch Model.
AB - The present study investigates different approaches to adding covariates and the
impact in fitting mixture item response theory models. Mixture item response
theory models serve as an important methodology for tackling several psychometric
issues in test development, including the detection of latent differential item
functioning. A Monte Carlo simulation study is conducted in which data generated
according to a two-class mixture Rasch model with both dichotomous and continuous
covariates are fitted to several mixture Rasch models with misspecified
covariates to examine the effects of covariate inclusion on model parameter
estimation. In addition, both complete response data and incomplete response data
with different types of missingness are considered in the present study in order
to simulate practical assessment settings. Parameter estimation is carried out
within a Bayesian framework vis-a-vis Markov chain Monte Carlo algorithms.
PMID- 29795893
TI - Survey Satisficing Inflates Reliability and Validity Measures: An Experimental
Comparison of College and Amazon Mechanical Turk Samples.
AB - This study examined the predictors and psychometric outcomes of survey
satisficing, wherein respondents provide quick, "good enough" answers
(satisficing) rather than carefully considered answers (optimizing). We
administered surveys to university students and respondents-half of whom held
college degrees-from a for-pay survey website, and we used an experimental method
to randomly assign the participants to survey formats, which presumably differed
in task difficulty. Based on satisficing theory, we predicted that ability,
motivation, and task difficulty would predict satisficing behavior and that
satisficing would artificially inflate internal consistency reliability and both
convergent and discriminant validity correlations. Indeed, results indicated
effects for task difficulty and motivation in predicting survey satisficing, and
satisficing in the first part of the study was associated with improved internal
consistency reliability and convergent validity but also worse discriminant
validity in the second part of the study. Implications for research designs and
improvements are discussed.
PMID- 29795894
TI - Extracting Spurious Latent Classes in Growth Mixture Modeling With Nonnormal
Errors.
AB - Growth mixture modeling is generally used for two purposes: (1) to identify
mixtures of normal subgroups and (2) to approximate oddly shaped distributions by
a mixture of normal components. Often in applied research this methodology is
applied to both of these situations indistinctly: using the same fit statistics
and likelihood ratio tests. This can lead to the overextraction of latent classes
and the attribution of substantive meaning to these spurious classes. The goals
of this study are (1) to explore the performance of the Bayesian information
criterion, sample-adjusted BIC, and bootstrap likelihood ratio test in growth
mixture modeling analysis with nonnormal distributed outcome variables and (2) to
examine the effects of nonnormal time invariant covariates in the estimation of
the number of latent classes when outcome variables are normally distributed. For
both of these goals, we will include nonnormal conditions not considered
previously in the literature. Two simulation studies were conducted. Results show
that spurious classes may be selected and optimal solutions obtained in the data
analysis when the population departs from normality even when the nonnormality is
only present in time invariant covariates.
PMID- 29795895
TI - An Approach to Scoring and Equating Tests With Binary Items: Piloting With Large
Scale Assessments.
AB - This article describes an approach to test scoring, referred to as delta scoring
(D-scoring), for tests with dichotomously scored items. The D-scoring uses
information from item response theory (IRT) calibration to facilitate
computations and interpretations in the context of large-scale assessments. The D
score is computed from the examinee's response vector, which is weighted by the
expected difficulties (not "easiness") of the test items. The expected difficulty
of each item is obtained as an analytic function of its IRT parameters. The D
scores are independent of the sample of test-takers as they are based on expected
item difficulties. It is shown that the D-scale performs a good bit better than
the IRT logit scale by criteria of scale intervalness. To equate D-scales, it is
sufficient to rescale the item parameters, thus avoiding tedious and error-prone
procedures of mapping test characteristic curves under the method of IRT true
score equating, which is often used in the practice of large-scale testing. The
proposed D-scaling proved promising under its current piloting with large-scale
assessments and the hope is that it can efficiently complement IRT procedures in
the practice of large-scale testing in the field of education and psychology.
PMID- 29795896
TI - Reliability and Model Fit.
AB - The purpose of this article is to highlight the distinction between the
reliability of test scores and the fit of psychometric measurement models,
reminding readers why it is important to consider both when evaluating whether
test scores are valid for a proposed interpretation and/or use. It is often the
case that an investigator judges both the reliability of scores and the fit of a
corresponding measurement model to be either acceptable or unacceptable for a
given situation, but these are not the only possible outcomes. This article
focuses on situations in which model fit is deemed acceptable, but reliability is
not. Data were simulated based on the item characteristics of the PROMIS (Patient
Reported Outcomes Measurement Information System) anxiety item bank and analyzed
using methods from classical test theory, factor analysis, and item response
theory. Analytic techniques from different psychometric traditions were used to
illustrate that reliability and model fit are distinct, and that disagreement
among indices of reliability and model fit may provide important information
bearing on a particular validity argument, independent of the data analytic
techniques chosen for a particular research application. We conclude by
discussing the important information gleaned from the assessment of reliability
and model fit.
PMID- 29795897
TI - An Entropy-Based Measure for Assessing Fuzziness in Logistic Regression.
AB - This article introduces an entropy-based measure of data-model fit that can be
used to assess the quality of logistic regression models. Entropy has previously
been used in mixture-modeling to quantify how well individuals are classified
into latent classes. The current study proposes the use of entropy for logistic
regression models to quantify the quality of classification and separation of
group membership. Entropy complements preexisting measures of data-model fit and
provides unique information not contained in other measures. Hypothetical data
scenarios, an applied example, and Monte Carlo simulation results are used to
demonstrate the application of entropy in logistic regression. Entropy should be
used in conjunction with other measures of data-model fit to assess how well
logistic regression models classify cases into observed categories.
PMID- 29795898
TI - Evaluating Rater Accuracy in Rater-Mediated Assessments Using an Unfolding Model.
AB - The number of performance assessments continues to increase around the world, and
it is important to explore new methods for evaluating the quality of ratings
obtained from raters. This study describes an unfolding model for examining rater
accuracy. Accuracy is defined as the difference between observed and expert
ratings. Dichotomous accuracy ratings (0 = inaccurate, 1 = accurate) are unfolded
into three latent categories: inaccurate below expert ratings, accurate ratings,
and inaccurate above expert ratings. The hyperbolic cosine model (HCM) is used to
examine dichotomous accuracy ratings from a statewide writing assessment. This
study suggests that HCM is a promising approach for examining rater accuracy, and
that the HCM can provide a useful interpretive framework for evaluating the
quality of ratings obtained within the context of rater-mediated assessments.
PMID- 29795899
TI - Evaluation of Measurement Instrument Criterion Validity in Finite Mixture
Settings.
AB - A method for evaluating the validity of multicomponent measurement instruments in
heterogeneous populations is discussed. The procedure can be used for point and
interval estimation of criterion validity of linear composites in populations
representing mixtures of an unknown number of latent classes. The approach
permits also the evaluation of between-class validity differences as well as
within-class validity coefficients. The method can similarly be used with known
class membership when distinct populations are investigated, their number is
known beforehand and membership in them is observed for the studied subjects, as
well as in settings where only the number of latent classes is known. The
discussed procedure is illustrated with numerical data.
PMID- 29795900
TI - Effort in Low-Stakes Assessments: What Does It Take to Perform as Well as in a
High-Stakes Setting?
AB - Performance of students in low-stakes testing situations has been a concern and
focus of recent research. However, researchers who have examined the effect of
stakes on performance have not been able to compare low-stakes performance to
truly high-stakes performance of the same students. Results of such a comparison
are reported in this article. GRE test takers volunteered to take an additional
low-stakes test, of either verbal or quantitative reasoning as part of a research
study immediately following their operational high-stakes test. Analyses of
performance under the high- and low-stakes situations revealed that the level of
effort in the low-stakes situation (as measured by the amount of time on task)
strongly predicted the stakes effect on performance (difference between test
scores in low- and high-stakes situations). Moreover, the stakes effect virtually
disappeared for participants who spent at least one-third of the allotted time in
the low-stakes situation. For this group of test takers (more than 80% of the
total sample), the correlations between the low- and high-stakes scores
approached the upper bound possible considering the reliability of the test.
PMID- 29795901
TI - The Impact of Intraclass Correlation on the Effectiveness of Level-Specific Fit
Indices in Multilevel Structural Equation Modeling: A Monte Carlo Study.
AB - Several researchers have recommended that level-specific fit indices should be
applied to detect the lack of model fit at any level in multilevel structural
equation models. Although we concur with their view, we note that these studies
did not sufficiently consider the impact of intraclass correlation (ICC) on the
performance of level-specific fit indices. Our study proposed to fill this gap in
the methodological literature. A Monte Carlo study was conducted to investigate
the performance of (a) level-specific fit indices derived by a partially
saturated model method (e.g., [Formula: see text] and [Formula: see text]) and
(b) [Formula: see text] and [Formula: see text] in terms of their performance in
multilevel structural equation models across varying ICCs. The design factors
included intraclass correlation (ICC: ICC1 = 0.091 to ICC6 = 0.500), numbers of
groups in between-level models (NG: 50, 100, 200, and 1,000), group size (GS: 30,
50, and 100), and type of misspecification (no misspecification, between-level
misspecification, and within-level misspecification). Our simulation findings
raise a concern regarding the performance of between-level-specific partial
saturated fit indices in low ICC conditions: the performances of both [Formula:
see text] and [Formula: see text] were more influenced by ICC compared with
[Formula: see text] and SRMRB . However, when traditional cutoff values (RMSEA<=
0.06; CFI, TLI>= 0.95; SRMR<= 0.08) were applied, [Formula: see text] and
[Formula: see text] were still able to detect misspecified between-level models
even when ICC was as low as 0.091 (ICC1). On the other hand, both [Formula: see
text] and [Formula: see text] were not recommended under low ICC conditions.
PMID- 29795902
TI - Mountain or Molehill? A Simulation Study on the Impact of Response Styles.
AB - Even though there is an increasing interest in response styles, the field lacks a
systematic investigation of the bias that response styles potentially cause.
Therefore, a simulation was carried out to study this phenomenon with a focus on
applied settings (reliability, validity, scale scores). The influence of
acquiescence and extreme response style was investigated, and independent
variables were, for example, the number of reverse-keyed items. Data were
generated from a multidimensional item response model. The results indicated that
response styles may bias findings based on self-report data and that this bias
may be substantial if the attribute of interest is correlated with response
style. However, in the absence of such correlations, bias was generally very
small, especially for extreme response style and if acquiescence was controlled
for by reverse-keyed items. An empirical example was used to illustrate and
validate the simulations. In summary, it is concluded that the threat of response
styles may be smaller than feared.
PMID- 29795903
TI - Three New Methods for Analysis of Answer Changes.
AB - In a pioneering research article, Wollack and colleagues suggested the "erasure
detection index" (EDI) to detect test tampering. The EDI can be used with or
without a continuity correction and is assumed to follow the standard normal
distribution under the null hypothesis of no test tampering. When used without a
continuity correction, the EDI often has inflated Type I error rates. When used
with a continuity correction, the EDI has satisfactory Type I error rates, but
smaller power compared with the EDI without a continuity correction. This article
suggests three methods for detecting test tampering that do not rely on the
assumption of a standard normal distribution under the null hypothesis. It is
demonstrated in a detailed simulation study that the performance of each
suggested method is slightly better than that of the EDI. The EDI and the
suggested methods were applied to a real data set. The suggested methods,
although more computation intensive than the EDI, seem to be promising in
detecting test tampering.
PMID- 29795904
TI - Improving Measures via Examining the Behavior of Distractors in Multiple-Choice
Tests: Assessment and Remediation.
AB - The purpose of the present article was to illustrate, using an example from a
national assessment, the value from analyzing the behavior of distractors in
measures that engage the multiple-choice format. A secondary purpose of the
present article was to illustrate four remedial actions that can potentially
improve the measurement of the construct(s) under study. Participants were 2,248
individuals who took a national examination of chemistry. The behavior of the
distractors was analyzed by modeling their behavior within the Rasch model.
Potentially informative distractors were (a) further modeled using the partial
credit model, (b) split onto separate items and retested for model fit and
parsimony, (c) combined to form a "super" item or testlet, and (d) reexamined
after deleting low-ability individuals who likely guessed on those informative,
albeit erroneous, distractors. Results indicated that all but the item split
strategies were associated with better model fit compared with the original
model. The best fitted model, however, involved modeling and crediting
informative distractors via the partial credit model or eliminating the responses
of low-ability individuals who likely guessed on informative distractors. The
implications, advantages, and disadvantages of modeling informative distractors
for measurement purposes are discussed.
PMID- 29795905
TI - A Monte Carlo Study of an Iterative Wald Test Procedure for DIF Analysis.
AB - This study examined the performance of a proposed iterative Wald approach for
detecting differential item functioning (DIF) between two groups when
preknowledge of anchor items is absent. The iterative approach utilizes the Wald
2 approach to identify anchor items and then iteratively tests for DIF items with
the Wald-1 approach. Monte Carlo simulation was conducted across several
conditions including the number of response options, test length, sample size,
percentage of DIF items, DIF effect size, and type of cumulative DIF. Results
indicated that the iterative approach performed well for polytomous data in all
conditions, with well-controlled Type I error rates and high power. For
dichotomous data, the iterative approach also exhibited better control over Type
I error rates than the Wald-2 approach without sacrificing the power in detecting
DIF. However, inflated Type I error rates were found for the iterative approach
in conditions with dichotomous data, noncompensatory DIF, large percentage of DIF
items, and medium to large DIF effect sizes. Nevertheless, the Type I error rates
were substantially less inflated in those conditions compared with the Wald-2
approach.
PMID- 29795906
TI - Power Analysis for Models of Change in Cluster Randomized Designs.
AB - Field experiments in education frequently assign entire groups such as schools to
treatment or control conditions. These experiments incorporate sometimes a
longitudinal component where for example students are followed over time to
assess differences in the average rate of linear change, or rate of acceleration.
In this study, we provide methods for power analysis in three-level polynomial
change models for cluster randomized designs (i.e., treatment assigned to units
at the third level). Power computations take into account clustering effects at
the second and third levels, the number of measurement occasions, the impact of
sample sizes at different levels (e.g., number of schools or students), and
covariates effects. An illustrative example that shows how power is influenced by
the number of measurement occasions, and sample sizes and covariates at the
second or third levels is presented.
PMID- 29795907
TI - Psychometric Consequences of Subpopulation Item Parameter Drift.
AB - This study defines subpopulation item parameter drift (SIPD) as a change in item
parameters over time that is dependent on subpopulations of examinees, and
hypothesizes that the presence of SIPD in anchor items is associated with bias
and/or lack of invariance in three psychometric outcomes. Results show that SIPD
in anchor items is associated with a lack of invariance in dimensionality
structure of an anchor test, a lack of invariance in scaling coefficients across
subpopulations, and a lack of invariance in ability estimates. It is demonstrated
that these effects go beyond what can be understood from item parameter drift or
differential item functioning.
PMID- 29795908
TI - On the Fallibility of Principal Components in Research.
AB - The measurement error in principal components extracted from a set of fallible
measures is discussed and evaluated. It is shown that as long as one or more
measures in a given set of observed variables contains error of measurement, so
also does any principal component obtained from the set. The error variance in
any principal component is shown to be (a) bounded from below by the smallest
error variance in a variable from the analyzed set and (b) bounded from above by
the largest error variance in a variable from that set. In the case of a
unidimensional set of analyzed measures, it is pointed out that the reliability
and criterion validity of any principal component are bounded from above by these
respective coefficients of the optimal linear combination with maximal
reliability and criterion validity (for a criterion unrelated to the error terms
in the individual measures). The discussed psychometric features of principal
components are illustrated on a numerical data set.
PMID- 29795909
TI - Testing the Difference Between Reliability Coefficients Alpha and Omega.
AB - Reliable measurements are key to social science research. Multiple measures of
reliability of the total score have been developed, including coefficient alpha,
coefficient omega, the greatest lower bound reliability, and others. Among these,
the coefficient alpha has been most widely used, and it is reported in nearly
every study involving the measure of a construct through multiple items in social
and behavioral research. However, it is known that coefficient alpha
underestimates the true reliability unless the items are tau-equivalent, and
coefficient omega is deemed as a practical alternative to coefficient alpha in
estimating measurement reliability of the total score. However, many researchers
noticed that the difference between alpha and omega is minor in applications.
Since the observed differences in alpha and omega can be due to sampling errors,
the purpose of the present study, therefore, is to propose a method to evaluate
the difference of coefficient alpha ([Formula: see text]) and omega ([Formula:
see text]) statistically. In particular, the current article develops a procedure
to estimate the SE of ([Formula: see text]) and consequently the confidence
interval (CI) for ([Formula: see text]). This procedure allows us to test whether
the observed difference ([Formula: see text]) is due to sample error or [Formula:
see text] is significantly greater than [Formula: see text]. The developed
procedure is then applied to multiple real data sets from well-known scales to
empirically verify the values of ([Formula: see text]) in practice. Results
showed that in most of the comparisons the differences are significantly above
zero but cases also exist where the CIs contain zero. An R program for
calculating [Formula: see text], [Formula: see text], and the SE of ([Formula:
see text]) is also included in the present study so that the developed procedure
is easily accessible to applied researchers.
PMID- 29795910
TI - Performing Inferential Statistics Prior to Data Collection.
AB - Typically, in education and psychology research, the investigator collects data
and subsequently performs descriptive and inferential statistics. For example, a
researcher might compute group means and use the null hypothesis significance
testing procedure to draw conclusions about the populations from which the groups
were drawn. We propose an alternative inferential statistical procedure that is
performed prior to data collection rather than afterwards. To use this procedure,
the researcher specifies how close she or he desires the group means to be to
their corresponding population means and how confident she or he wishes to be
that this actually is so. We derive an equation that provides researchers with a
way to determine the sample size needed to meet the specifications concerning
closeness and confidence, regardless of the number of groups.
PMID- 29795911
TI - The Impact of Q-Matrix Designs on Diagnostic Classification Accuracy in the
Presence of Attribute Hierarchies.
AB - There is an increasing demand for assessments that can provide more fine-grained
information about examinees. In response to the demand, diagnostic measurement
provides students with feedback on their strengths and weaknesses on specific
skills by classifying them into mastery or nonmastery attribute categories. These
attributes often form a hierarchical structure because student learning and
development is a sequential process where many skills build on others. However,
it remains to be seen if we can use information from the attribute structure and
work that into the design of the diagnostic tests. The purpose of this study is
to introduce three approaches of Q-matrix design and investigate their impact on
classification results under different attribute structures. Results indicate
that the adjacent approach provides higher accuracy in a shorter test length when
compared with other Q-matrix design approaches. This study provides researchers
and practitioners guidance on how to design the Q-matrix in diagnostic tests,
which are in high demand from educators.
PMID- 29795912
TI - Heuristic Constraint Management Methods in Multidimensional Adaptive Testing.
AB - Although multidimensional adaptive testing (MAT) has been proven to be highly
advantageous with regard to measurement efficiency when several highly correlated
dimensions are measured, there are few operational assessments that use MAT. This
may be due to issues of constraint management, which is more complex in MAT than
it is in unidimensional adaptive testing. Very few studies have examined the
performance of existing constraint management methods (CMMs) in MAT. The present
article focuses on the effectiveness of two promising heuristic CMMs in MAT for
varying levels of imposed constraints and for various correlations between the
measured dimensions. Through a simulation study, the multidimensional maximum
priority index (MMPI) and multidimensional weighted penalty model (MWPM), as an
extension of the weighted penalty model, are examined with regard to measurement
precision and constraint violations. The results show that both CMMs are capable
of addressing complex constraints in MAT. However, measurement precision losses
were found to differ between the MMPI and MWPM. While the MMPI appears to be more
suitable for use in assessment situations involving few to a moderate number of
constraints, the MWPM should be used when numerous constraints are involved.
PMID- 29795913
TI - Comparative Analyses of MIRT Models and Software (BMIRT and flexMIRT).
AB - Application of MIRT modeling procedures is dependent on the quality of parameter
estimates provided by the estimation software and techniques used. This study
investigated model parameter recovery of two popular MIRT packages, BMIRT and
flexMIRT, under some common measurement conditions. These packages were
specifically selected to investigate the model parameter recovery of three item
parameter estimation techniques, namely, Bock-Aitkin EM (BA-EM), Markov chain
Monte Carlo (MCMC), and Metropolis-Hastings Robbins-Monro (MH-RM) algorithms. The
results demonstrated that all estimation techniques had similar root mean square
error values when larger sample size and higher test length were used. Depending
on the number of dimensions, sample size, and test length, each estimation
technique exhibited some strengths and weaknesses. Overall, the BA-EM technique
was found to have shorter estimation time with all test specifications.
PMID- 29795914
TI - Mixture IRT Model With a Higher-Order Structure for Latent Traits.
AB - Mixture item response theory (IRT) models have been suggested as an efficient
method of detecting the different response patterns derived from latent classes
when developing a test. In testing situations, multiple latent traits measured by
a battery of tests can exhibit a higher-order structure, and mixtures of latent
classes may occur on different orders and influence the item responses of
examinees from different classes. This study aims to develop a new class of
higher-order mixture IRT models by integrating mixture IRT models and higher
order IRT models to address these practical concerns. The proposed higher-order
mixture IRT models can accommodate both linear and nonlinear models for latent
traits and incorporate diverse item response functions. The Rasch model was
selected as the item response function, metric invariance was assumed in the
first simulation study, and multiparameter IRT models without an assumption of
metric invariance were used in the second simulation study. The results show that
the parameters can be recovered fairly well using WinBUGS with Bayesian
estimation. A larger sample size resulted in a better estimate of the model
parameters, and a longer test length yielded better individual ability recovery
and latent class membership recovery. The linear approach outperformed the
nonlinear approach in the estimation of first-order latent traits, whereas the
opposite was true for the estimation of the second-order latent trait.
Additionally, imposing identical factor loadings between the second- and first
order latent traits by fitting the mixture bifactor model resulted in biased
estimates of the first-order latent traits and item parameters. Finally, two
empirical analyses are provided as an example to illustrate the applications and
implications of the new models.
PMID- 29795915
TI - Comparing the Performance of Approaches for Testing the Homogeneity of Variance
Assumption in One-Factor ANOVA Models.
AB - Various tests to check the homogeneity of variance assumption have been proposed
in the literature, yet there is no consensus as to their robustness when the
assumption of normality does not hold. This simulation study evaluated the
performance of 14 tests for the homogeneity of variance assumption in one-way
ANOVA models in terms of Type I error control and statistical power. Seven
factors were manipulated: number of groups, average number of observations per
group, pattern of sample sizes in groups, pattern of population variances,
maximum variance ratio, population distribution shape, and nominal alpha level
for the test of variances. Overall, the Ramsey conditional, O'Brien, Brown
Forsythe, Bootstrap Brown-Forsythe, and Levene with squared deviations tests
maintained adequate Type I error control, performing better than the others
across all the conditions. The power for each of these five tests was acceptable
and the power differences were subtle. Guidelines for selecting a valid test for
assessing the tenability of this critical assumption are provided based on
average cell size.
PMID- 29795916
TI - Adjacent-Categories Mokken Models for Rater-Mediated Assessments.
AB - Molenaar extended Mokken's original probabilistic-nonparametric scaling models
for use with polytomous data. These polytomous extensions of Mokken's original
scaling procedure have facilitated the use of Mokken scale analysis as an
approach to exploring fundamental measurement properties across a variety of
domains in which polytomous ratings are used, including rater-mediated
educational assessments. Because their underlying item step response functions
(i.e., category response functions) are defined using cumulative probabilities,
polytomous Mokken models can be classified as cumulative models based on the
classifications of polytomous item response theory models proposed by several
scholars. In order to permit a closer conceptual alignment with educational
performance assessments, this study presents an adjacent-categories variation on
the polytomous monotone homogeneity and double monotonicity models. Data from a
large-scale rater-mediated writing assessment are used to illustrate the adjacent
categories approach, and results are compared with the original formulations.
Major findings suggest that the adjacent-categories models provide additional
diagnostic information related to individual raters' use of rating scale
categories that is not observed under the original formulation. Implications are
discussed in terms of methods for evaluating rating quality.
PMID- 29795917
TI - Comparing Between- and Within-Group Variances in a Two-Level Study: A Latent
Variable Modeling Approach to Evaluating Their Relationship.
AB - This note is concerned with examining the relationship between within-group and
between-group variances in two-level nested designs. A latent variable modeling
approach is outlined that permits point and interval estimation of their ratio
and allows their comparison in a multilevel study. The procedure can also be used
to test various hypotheses about the discrepancy between these two variances and
assist with their relationship interpretability in empirical investigations. The
method can also be utilized as an addendum to point and interval estimation of
the popular intraclass correlation coefficient in hierarchical designs. The
discussed approach is illustrated with a numerical example.
PMID- 29795918
TI - Assessing Change in Latent Skills Across Time With Longitudinal Cognitive
Diagnosis Modeling: An Evaluation of Model Performance.
AB - Cognitive diagnosis models are diagnostic models used to classify respondents
into homogenous groups based on multiple categorical latent variables
representing the measured cognitive attributes. This study aims to present
longitudinal models for cognitive diagnosis modeling, which can be applied to
repeated measurements in order to monitor attribute stability of individuals and
to account for respondent dependence. Models based on combining latent transition
analysis modeling and the DINA and DINO cognitive diagnosis models were developed
and then evaluated through a Monte Carlo simulation study. The study results
indicate that the proposed models provide adequate convergence and correct
classification rates.
PMID- 29795919
TI - Influence of Context on Item Parameters in Forced-Choice Personality Assessments.
AB - A fundamental assumption in computerized adaptive testing is that item parameters
are invariant with respect to context-items surrounding the administered item.
This assumption, however, may not hold in forced-choice (FC) assessments, where
explicit comparisons are made between items included in the same block. We
empirically examined the influence of context on item parameters by comparing
parameter estimates from two FC instruments. The first instrument was composed of
blocks of three items, whereas in the second, the context was manipulated by
adding one item to each block, resulting in blocks of four. The item parameter
estimates were highly similar. However, a small number of significant deviations
were observed, confirming the importance of context when designing adaptive FC
assessments. Two patterns of such deviations were identified, and methods to
reduce their occurrences in an FC computerized adaptive testing setting were
proposed. It was shown that with a small proportion of violations of the
parameter invariance assumption, score estimation remained stable.
PMID- 29795920
TI - Effect of Purification Procedures on DIF Analysis in IRTPRO.
AB - Purification of the test has been a well-accepted procedure in enhancing the
performance of tests for differential item functioning (DIF). As defined by Lord,
purification requires reestimation of ability parameters after removing DIF items
before conducting the final DIF analysis. IRTPRO 3 is a recently updated program
for analyses in item response theory, with built-in DIF tests but not
purification procedures. A simulation study was conducted to investigate the
effect of two new methods of purification. The results suggested that one of the
purification procedures showed significantly improved power and Type I error. The
procedure, which can be cumbersome by hand, can be easily applied by
practitioners by using the web-based program developed for this study.
PMID- 29795921
TI - An examination of Alternative Multidimensional Scaling Techniques.
AB - The purpose of this study is to compare alternative multidimensional scaling
(MDS) methods for constraining the stimuli on the circumference of a circle and
on the surface of a sphere. Specifically, the existing MDS-T method for plotting
the stimuli on the circumference of a circle is applied, and its extension is
proposed for constraining the stimuli on the surface of a sphere. The data
analyzed come from previous research and concerns Maslach and Jackson's burnout
syndrome and Holland's vocational personality types. The configurations for the
same data on the circle and the sphere shared similarities but also had
differences, that is, the general item-groupings were the same but most of the
differences across the two methods resulted in more meaningful interpretations
for the three-dimensional configuration. Furthermore, in most cases, items and/or
scales could be better discriminated from each other on the sphere.
PMID- 29795922
TI - Variability in the Results of Meta-Analysis as a Function of Comparing Effect
Sizes Based on Scores From Noncomparable Measures: A Simulation Study.
AB - Meta-analysis is a significant methodological advance that is increasingly
important in research synthesis. Fundamental to meta-analysis is the presumption
that effect sizes, such as the standardized mean difference (SMD), based on
scores from different measures are comparable. It has been argued that population
observed score SMDs based on scores from different measures A and B will be equal
only if the conjunction of three conditions are met: construct equivalence (CE),
equal reliabilities (ER), and the absence of differential test functioning (DTF)
in all subpopulations of the combined populations of interest. It has also been
speculated the results of a meta-analysis of SMDs might differ between
circumstances in which the SMDs included in a meta-analysis are based on measures
which all met the conjunction of these conditions and that in which the
conjunction of these conditions is violated. No previous studies have tested this
conjecture. This Monte Carlo study investigated this hypothesis. A population of
studies comparing one of five hypothetical treatments with a placebo condition
was simulated. The SMDs in these simulated studies were based on true scores from
six hypothetical measures. The scores from some of these measures met the
conjunction of CE, ER, and, the absence of DTF, while others failed to meet CE.
Three meta-analyses were conducted using both fixed effects and random effects
methods. The results suggested that the results of meta-analyses can vary to a
practically significant degree when the SMDs were based on scores from measures
failing to meet the CE condition. Implications for future research are
considered.
PMID- 29795923
TI - Perspectives on the Use of Null Hypothesis Statistical Testing. Part I: The
Mighty Frames of Scientific and Statistical Inference.
PMID- 29795924
TI - What Constitutes Science and Scientific Evidence: Roles of Null Hypothesis
Testing.
AB - We briefly discuss the philosophical basis of science, causality, and scientific
evidence, by introducing the hidden but most fundamental principle of science:
the similarity principle. The principle's use in scientific discovery is
illustrated with Simpson's paradox and other examples. In discussing the value of
null hypothesis statistical testing, the controversies in multiple regression,
and multiplicity issues in statistics, we describe how these difficult issues
should be handled based on our interpretation of the similarity principle.
PMID- 29795925
TI - Tests of Statistical Significance Made Sound.
AB - This article considers the nature and place of tests of statistical significance
(ToSS) in science, with particular reference to psychology. Despite the enormous
amount of attention given to this topic, psychology's understanding of ToSS
remains deficient. The major problem stems from a widespread and uncritical
acceptance of null hypothesis significance testing (NHST), which is an
indefensible amalgam of ideas adapted from Fisher's thinking on the subject and
from Neyman and Pearson's alternative account. To correct for the deficiencies of
the hybrid, it is suggested that psychology avail itself of two important and
more recent viewpoints on ToSS, namely the neo-Fisherian and the error
statistical perspectives. The neo-Fisherian perspective endeavors to improve on
Fisher's original account and rejects key elements of Neyman and Pearson's
alternative. In contrast, the error-statistical perspective builds on the
strengths of both statistical traditions. It is suggested that these more recent
outlooks on ToSS are a definite improvement on NHST, especially the error
statistical position. It is suggested that ToSS can play a useful, if limited,
role in psychological research. At the end, some lessons learnt from the
extensive debates about ToSS are presented.
PMID- 29795926
TI - On Some Assumptions of the Null Hypothesis Statistical Testing.
AB - Bayesian and classical statistical approaches are based on different types of
logical principles. In order to avoid mistaken inferences and misguided
interpretations, the practitioner must respect the inference rules embedded into
each statistical method. Ignoring these principles leads to the paradoxical
conclusions that the hypothesis [Formula: see text] could be less supported by
the data than a more restrictive hypothesis such as [Formula: see text], where
[Formula: see text] and [Formula: see text] are two population means. This
article intends to discuss and explicit some important assumptions inherent to
classical statistical models and null statistical hypotheses. Furthermore, the
definition of the p-value and its limitations are analyzed. An alternative
measure of evidence, the s-value, is discussed. This article presents the steps
to compute s-values and, in order to illustrate the methods, some standard
examples are analyzed and compared with p-values. The examples denunciate that p
values, as opposed to s-values, fail to hold some logical relations.
PMID- 29795927
TI - Three Insights from a Bayesian Interpretation of the One-Sided P Value.
AB - P values have been critiqued on several grounds but remain entrenched as the
dominant inferential method in the empirical sciences. In this article, we
elaborate on the fact that in many statistical models, the one-sided P value has
a direct Bayesian interpretation as the approximate posterior mass for values
lower than zero. The connection between the one-sided P value and posterior
probability mass reveals three insights: (1) P values can be interpreted as
Bayesian tests of direction, to be used only when the null hypothesis is known
from the outset to be false; (2) as a measure of evidence, P values are biased
against a point null hypothesis; and (3) with N fixed and effect size variable,
there is an approximately linear relation between P values and Bayesian point
null hypothesis tests.
PMID- 29795928
TI - An Unbiased Estimate of Global Interrater Agreement.
AB - Assessing global interrater agreement is difficult as most published indices are
affected by the presence of mixtures of agreements and disagreements. A
previously proposed method was shown to be specifically sensitive to global
agreement, excluding mixtures, but also negatively biased. Here, we propose two
alternatives in an attempt to find what makes such methods so specific. The first
method, RB , is found to be unbiased while at the same time rejecting mixtures,
is detecting agreement with good power and is little affected by unequal category
prevalence as soon as there are more than two categories.
PMID- 29795929
TI - Do Adaptive Representations of the Item-Position Effect in APM Improve Model Fit?
A Simulation Study.
AB - The item-position effect describes how an item's position within a test, that is,
the number of previous completed items, affects the response to this item.
Previously, this effect was represented by constraints reflecting simple courses,
for example, a linear increase. Due to the inflexibility of these representations
our aim was to examine whether adapted representations are more appropriate than
the existing ones. Models of confirmatory factor analysis were used for testing
the different representations. Analyses were conducted by means of simulated data
that followed the covariance pattern of Raven's Advanced Progressive Matrices
(APM) items. Since the item-position effect has been demonstrated repeatedly for
the APM, it is a very suitable measure for our investigations. Results revealed
no remarkable improvement by using an adapted representation. Possible reasons
causing these results are discussed.
PMID- 29795930
TI - Investigating Approaches to Estimating Covariate Effects in Growth Mixture
Modeling: A Simulation Study.
AB - Researchers continue to be interested in efficient, accurate methods of
estimating coefficients of covariates in mixture modeling. Including covariates
related to the latent class analysis not only may improve the ability of the
mixture model to clearly differentiate between subjects but also makes
interpretation of latent group membership more meaningful. Very few studies have
been conducted that compare the performance of various approaches to estimating
covariate effects in mixture modeling, and fewer yet have considered more
complicated models such as growth mixture models where the latent class variable
is more difficult to identify. A Monte Carlo simulation was conducted to
investigate the performance of four estimation approaches: (1) the conventional
three-step approach, (2) the one-step maximum likelihood (ML) approach, (3) the
pseudo class (PC) approach, and (4) the three-step ML approach in terms of their
ability to recover covariate effects in the logistic regression class membership
model within a growth mixture modeling framework. Results showed that when class
separation was large, the one-step ML approach and the three-step ML approach
displayed much less biased covariate effect estimates than either the
conventional three-step approach or the PC approach. When class separation was
poor, estimation of the relation between the dichotomous covariate and latent
class variable was severely affected when the new three-step ML approach was
used.
PMID- 29795931
TI - ATS-PD: An Adaptive Testing System for Psychological Disorders.
AB - The clinical assessment of mental disorders can be a time-consuming and error
prone procedure, consisting of a sequence of diagnostic hypothesis formulation
and testing aimed at restricting the set of plausible diagnoses for the patient.
In this article, we propose a novel computerized system for the adaptive testing
of psychological disorders. The proposed system combines a mathematical
representation of psychological disorders, known as the "formal psychological
assessment," with an algorithm designed for the adaptive assessment of an
individual's knowledge. The assessment algorithm is extended and adapted to the
new application domain. Testing the system on a real sample of 4,324 healthy
individuals, screened for obsessive-compulsive disorder, we demonstrate the
system's ability to support clinical testing, both by identifying the correct
critical areas for each individual and by reducing the number of posed questions
with respect to a standard written questionnaire.
PMID- 29795932
TI - Perspectives on the Use of Null Hypothesis Statistical Testing. Part III: The
Various Nuts and Bolts of Statistical and Hypothesis Testing.
PMID- 29795933
TI - What Are the Odds? Modern Relevance and Bayes Factor Solutions for MacAlister's
Problem From the 1881 Educational Times.
AB - In 1881, Donald MacAlister posed a problem in the Educational Times that remains
relevant today. The problem centers on the statistical evidence for the
effectiveness of a treatment based on a comparison between two proportions. A
brief historical sketch is followed by a discussion of two default Bayesian
solutions, one based on a one-sided test between independent rates, and one on a
one-sided test between dependent rates. We demonstrate the current-day relevance
of MacAlister's original question with a modern-day example about the
effectiveness of an educational program.
PMID- 29795934
TI - Using the Coefficient of Confidence to Make the Philosophical Switch From A
Posteriori to A Priori Inferential Statistics.
AB - There has been much controversy over the null hypothesis significance testing
procedure, with much of the criticism centered on the problem of inverse
inference. Specifically, p gives the probability of the finding (or one more
extreme) given the null hypothesis, whereas the null hypothesis significance
testing procedure involves drawing a conclusion about the null hypothesis given
the finding. Many critics have called for null hypothesis significance tests to
be replaced with confidence intervals. However, confidence intervals also suffer
from a version of the inverse inference problem. The only known solution to the
inverse inference problem is to use the famous theorem by Bayes, but this
involves commitments that many researchers are not willing to make. However, it
is possible to ask a useful question for which inverse inference is not a problem
and that leads to the computation of the coefficient of confidence. In turn, and
much more important, using the coefficient of confidence implies the desirability
of switching from the current emphasis on a posteriori inferential statistics to
an emphasis on a priori inferential statistics.
PMID- 29795935
TI - Observation-Oriented Modeling: Going Beyond "Is It All a Matter of Chance"?
AB - An alternative to null hypothesis significance testing is presented and
discussed. This approach, referred to as observation-oriented modeling, is
centered on model building in an effort to explicate the structures and processes
believed to generate a set of observations. In terms of analysis, this novel
approach complements traditional methods based on means, variances, and
covariances with methods of pattern detection and analysis. Using data from a
previously published study by Shoda et al., the basic tenets and methods of
observation-oriented modeling are demonstrated and compared with traditional
methods, particularly with regard to null hypothesis significance testing.
PMID- 29795936
TI - Neuroimaging Research: From Null-Hypothesis Falsification to Out-of-Sample
Generalization.
AB - Brain-imaging technology has boosted the quantification of neurobiological
phenomena underlying human mental operations and their disturbances. Since its
inception, drawing inference on neurophysiological effects hinged on classical
statistical methods, especially, the general linear model. The tens of thousands
of variables per brain scan were routinely tackled by independent statistical
tests on each voxel. This circumvented the curse of dimensionality in exchange
for neurobiologically imperfect observation units, a challenging multiple
comparisons problem, and limited scaling to currently growing data repositories.
Yet, the always bigger information granularity of neuroimaging data repositories
has lunched a rapidly increasing adoption of statistical learning algorithms.
These scale naturally to high-dimensional data, extract models from data rather
than prespecifying them, and are empirically evaluated for extrapolation to
unseen data. The present article portrays commonalities and differences between
long-standing classical inference and upcoming generalization inference relevant
for conducting neuroimaging research.
PMID- 29795937
TI - Three Strategies for the Critical Use of Statistical Methods in Psychological
Research.
AB - We present three strategies to replace the null hypothesis statistical
significance testing approach in psychological research: (1) visual
representation of cognitive processes and predictions, (2) visual representation
of data distributions and choice of the appropriate distribution for analysis,
and (3) model comparison. The three strategies have been proposed earlier, so we
do not claim originality. Here we propose to combine the three strategies and use
them not only as analytical and reporting tools but also to guide the design of
research. The first strategy involves a visual representation of the cognitive
processes involved in solving the task at hand in the form of a theory or model
together with a representation of a pattern of predictions for each condition.
The second approach is the GAMLSS approach, which consists of providing a visual
representation of distributions to fit the data, and choosing the best
distribution that fits the raw data for further analyses. The third strategy is
the model comparison approach, which compares the model of the researcher with
alternative models. We present a worked example in the field of reasoning, in
which we follow the three strategies.
PMID- 29795938
TI - The Effect of Rating Unfamiliar Items on Angoff Passing Scores.
AB - The Angoff standard setting method relies on content experts to review exam items
and make judgments about the performance of the minimally proficient examinee.
Unfortunately, at times content experts may have gaps in their understanding of
specific exam content. These gaps are particularly likely to occur when the
content domain is broad and/or highly technical, or when non-expert stakeholders
are included in a standard setting panel (e.g., parents, administrators, or union
representatives). When judges lack expertise regarding specific exam content, the
ratings associated with those items may be bias. This study attempts to
illustrate the impact of rating unfamiliar items on Angoff passing scores. The
study presents a comparison of Angoff ratings for typical items with those
identified by judges as containing unfamiliar content. The results indicate that
judges tend to perceive unfamiliar items as being artificially difficult
resulting in systematically lower Angoff ratings. The results suggest that when
judges are forced to rate unfamiliar items, the validity of the resulting
classification decision may be jeopardized.
PMID- 29795939
TI - Estimating True Student Growth Percentile Distributions Using Latent Regression
Multidimensional IRT Models.
AB - Student Growth Percentiles (SGPs) increasingly are being used in the United
States for inferences about student achievement growth and educator
effectiveness. Emerging research has indicated that SGPs estimated from observed
test scores have large measurement errors. As such, little is known about "true"
SGPs, which are defined in terms of nonlinear functions of latent achievement
attributes for individual students and their distributions across students. We
develop a novel framework using latent regression multidimensional item response
theory models to study distributional properties of true SGPs. We apply these
methods to several cohorts of longitudinal item response data from more than
330,000 students in a large urban metropolitan area to provide new empirical
information about true SGPs. We find that true SGPs are correlated 0.3 to 0.5
across mathematics and English language arts, and that they have nontrivial
relationships with individual student characteristics, particularly student
race/ethnicity and absenteeism. We evaluate the potential of using these
relationships to improve the accuracy of SGPs estimated from observed test
scores, finding that accuracy gains even under optimal circumstances are modest.
We also consider the properties of SGPs averaged to the teacher level, widely
used for teacher evaluations. We find that average true SGPs for individual
teachers vary substantially as a function of the characteristics of the students
they teach. We discuss implications of our findings for the estimation and
interpretation of SGPs at both the individual and aggregate levels.
PMID- 29795940
TI - Using a Multidimensional IRT Framework to Better Understand Differential Item
Functioning (DIF): A Tale of Three DIF Detection Procedures.
AB - The theoretical reason for the presence of differential item functioning (DIF) is
that data are multidimensional and two groups of examinees differ in their
underlying ability distribution for the secondary dimension(s). Therefore, the
purpose of this study was to determine how much the secondary ability
distributions must differ before DIF is detected. Two-dimensional binary data
sets were simulated using a compensatory multidimensional item response theory
(MIRT) model, incrementally varying the mean difference on the second dimension
between reference and focal group examinees while systematically increasing the
correlation between dimensions. Three different DIF detection procedures were
used to test for DIF: (1) SIBTEST, (2) Mantel-Haenszel, and (3) logistic
regression. Results indicated that even with a very small mean difference on the
secondary dimension, smaller than typically considered in previous research, DIF
will be detected. Additional analyses indicated that even with the smallest mean
difference considered in this study, 0.25, statistically significant differences
will almost always be found between reference and focal group examinees on
subtest scores consisting of items measuring the secondary dimension.
PMID- 29795941
TI - Data Integration Approaches to Longitudinal Growth Modeling.
AB - Synthesizing results from multiple studies is a daunting task during which
researchers must tackle a variety of challenges. The task is even more demanding
when studying developmental processes longitudinally and when different
instruments are used to measure constructs. Data integration methodology is an
emerging field that enables researchers to pool data drawn from multiple existing
studies. To date, these methods are not commonly utilized in the social and
behavioral sciences, even though they can be very useful for studying various
complex developmental processes. This article illustrates the use of two data
integration methods, the data fusion and the parallel analysis approaches. The
illustration makes use of six longitudinal studies of mathematics ability in
children with a goal of examining individual changes in mathematics ability and
determining differences in the trajectories based on sex and socioeconomic
status. The studies vary in their assessment of mathematics ability and in the
timing and number of measurement occasions. The advantages of using a data fusion
approach, which can allow for the fitting of more complex growth models that
might not otherwise have been possible to fit in a single data set, are
emphasized. The article concludes with a discussion of the limitations and
benefits of these approaches for research synthesis.
PMID- 29795942
TI - Correcting Model Fit Criteria for Small Sample Latent Growth Models With
Incomplete Data.
AB - To date, small sample problems with latent growth models (LGMs) have not received
the amount of attention in the literature as related mixed-effect models (MEMs).
Although many models can be interchangeably framed as a LGM or a MEM, LGMs
uniquely provide criteria to assess global data-model fit. However, previous
studies have demonstrated poor small sample performance of these global data
model fit criteria and three post hoc small sample corrections have been proposed
and shown to perform well with complete data. However, these corrections use
sample size in their computation-whose value is unclear when missing data are
accommodated with full information maximum likelihood, as is common with LGMs. A
simulation is provided to demonstrate the inadequacy of these small sample
corrections in the near ubiquitous situation in growth modeling where data are
incomplete. Then, a missing data correction for the small sample correction
equations is proposed and shown through a simulation study to perform well in
various conditions found in practice. An applied developmental psychology example
is then provided to demonstrate how disregarding missing data in small sample
correction equations can greatly affect assessment of global data-model fit.
PMID- 29795943
TI - Kappa and Rater Accuracy: Paradigms and Parameters.
AB - Drawing parallels to classical test theory, this article clarifies the difference
between rater accuracy and reliability and demonstrates how category marginal
frequencies affect rater agreement and Cohen's kappa (kappa). Category assignment
paradigms are developed: comparing raters to a standard (index) versus comparing
two raters to one another (concordance), using both nonstochastic and stochastic
category membership. Using a probability model to express category assignments in
terms of rater accuracy and random error, it is shown that observed agreement
(Po) depends only on rater accuracy and number of categories; however, expected
agreement (Pe) and kappa depend additionally on category frequencies. Moreover,
category frequencies affect Pe and kappa solely through the variance of the
category proportions, regardless of the specific frequencies underlying the
variance. Paradoxically, some judgment paradigms involving stochastic categories
are shown to yield higher kappa values than their nonstochastic counterparts.
Using the stated probability model, assignments to categories were generated for
552 combinations of paradigms, rater and category parameters, category
frequencies, and number of stimuli. Observed means and standard errors for Po,
Pe, and kappa were fully consistent with theory expectations. Guidelines for
interpretation of rater accuracy and reliability are offered, along with a
discussion of alternatives to the basic model.
PMID- 29795944
TI - A Reformulated Correlated Trait-Correlated Method Model for Multitrait
Multimethod Data Effectively Increases Convergence and Admissibility Rates.
AB - The correlated trait-correlated method (CTCM) model for the analysis of
multitrait-multimethod (MTMM) data is known to suffer convergence and
admissibility (C&A) problems. We describe a little known and seldom applied
reparameterized version of this model (CTCM-R) based on Rindskopf's
reparameterization of the simpler confirmatory factor analysis model. In a Monte
Carlo study, we compare the CTCM, CTCM-R, and the correlated trait-correlated
uniqueness (CTCU) models in terms of C&A, model fit, and parameter estimation
bias. The CTCM-R model largely avoided C&A problems associated with the more
traditional CTCM model, producing C&A solutions nearly as often as the CTCU
model, but also avoiding parameter estimation biases known to plague the CTCU
model. As such, the CTCM-R model is an attractive alternative for the analysis of
MTMM data.
PMID- 29795945
TI - Large Sample Confidence Intervals for Item Response Theory Reliability
Coefficients.
AB - In applications of item response theory (IRT), an estimate of the reliability of
the ability estimates or sum scores is often reported. However, analytical
expressions for the standard errors of the estimators of the reliability
coefficients are not available in the literature and therefore the variability
associated with the estimated reliability is typically not reported. In this
study, the asymptotic variances of the IRT marginal and test reliability
coefficient estimators are derived for dichotomous and polytomous IRT models
assuming an underlying asymptotically normally distributed item parameter
estimator. The results are used to construct confidence intervals for the
reliability coefficients. Simulations are presented which show that the
confidence intervals for the test reliability coefficient have good coverage
properties in finite samples under a variety of settings with the generalized
partial credit model and the three-parameter logistic model. Meanwhile, it is
shown that the estimator of the marginal reliability coefficient has finite
sample bias resulting in confidence intervals that do not attain the nominal
level for small sample sizes but that the bias tends to zero as the sample size
increases.
PMID- 29795946
TI - Is the Factor Observed in Investigations on the Item-Position Effect Actually the
Difficulty Factor?
AB - In confirmatory factor analysis quite similar models of measurement serve the
detection of the difficulty factor and the factor due to the item-position
effect. The item-position effect refers to the increasing dependency among the
responses to successively presented items of a test whereas the difficulty factor
is ascribed to the wide range of item difficulties. The similarity of the models
of measurement hampers the dissociation of these factors. Since the item-position
effect should theoretically be independent of the item difficulties, the
statistical ex post manipulation of the difficulties should enable the
discrimination of the two types of factors. This method was investigated in two
studies. In the first study, Advanced Progressive Matrices (APM) data of 300
participants were investigated. As expected, the factor thought to be due to the
item-position effect was observed. In the second study, using data simulated to
show the major characteristics of the APM data, the wide range of items with
various difficulties was set to zero to reduce the likelihood of detecting the
difficulty factor. Despite this reduction, however, the factor now identified as
item-position factor, was observed in virtually all simulated datasets.
PMID- 29795947
TI - Modifying Spearman's Attenuation Equation to Yield Partial Corrections for
Measurement Error-With Application to Sample Size Calculations.
AB - Spearman's correction for attenuation (measurement error) corrects a correlation
coefficient for measurement errors in either-or-both of two variables, and
follows from the assumptions of classical test theory. Spearman's equation
removes all measurement error from a correlation coefficient which translates
into "increasing the reliability of either-or-both of two variables to 1.0." In
this inquiry, Spearman's correction is modified to allow partial removal of
measurement error from either-or-both of two variables being correlated. The
practical utility of this partial correction is demonstrated in its use to
explore increasing the power of statistical tests by increasing sample size
versus increasing the reliability of the dependent variable for an experiment.
Other applied uses are mentioned.
PMID- 29795948
TI - The Impact of Validity Screening on Associations Between Self-Reports of Bullying
Victimization and Student Outcomes.
AB - Self-report surveys are widely used to measure adolescent risk behavior and
academic adjustment, with results having an impact on national policy, assessment
of school quality, and evaluation of school interventions. However, data obtained
from self-reports can be distorted when adolescents intentionally provide
inaccurate or careless responses. The current study illustrates the problem of
invalid respondents in a sample (N = 52,012) from 323 high schools that responded
to a statewide assessment of school climate. Two approaches for identifying
invalid respondents were applied, and contrasts between the valid and invalid
responses revealed differences in means, prevalence rates of student adjustment,
and associations among reports of bullying victimization and student adjustment
outcomes. The results lend additional support for the need to screen for invalid
responders in adolescent samples.
PMID- 29795950
TI - Tree-Based Global Model Tests for Polytomous Rasch Models.
AB - Psychometric measurement models are only valid if measurement invariance holds
between test takers of different groups. Global model tests, such as the well
established likelihood ratio (LR) test, are sensitive to violations of
measurement invariance, such as differential item functioning and differential
step functioning. However, these traditional approaches are only applicable when
comparing previously specified reference and focal groups, such as males and
females. Here, we propose a new framework for global model tests for polytomous
Rasch models based on a model-based recursive partitioning algorithm. With this
approach, a priori specification of reference and focal groups is no longer
necessary, because they are automatically detected in a data-driven way. The
statistical background of the new framework is introduced along with an
instructive example. A series of simulation studies illustrates and compares its
statistical properties to the well-established LR test. While both the LR test
and the new framework are sensitive to differential item functioning and
differential step functioning and respect a given significance level regardless
of true differences in the ability distributions, the new data-driven approach is
more powerful when the group structure is not known a priori-as will usually be
the case in practical applications. The usage and interpretation of the new
method are illustrated in an empirical application example. A software
implementation is freely available in the R system for statistical computing.
PMID- 29795949
TI - A Study of Reverse-Worded Matched Item Pairs Using the Generalized Partial Credit
and Nominal Response Models.
AB - The generalized partial credit model (GPCM) is often used for polytomous data;
however, the nominal response model (NRM) allows for the investigation of how
adjacent categories may discriminate differently when items are positively or
negatively worded. Ten items from three different self-reported scales were used
(anxiety, depression, and perceived stress), and authors wrote an additional item
worded in the opposite direction to pair with each original item. Sets of the
original and reverse-worded items were administered, and responses were analyzed
using the two models. The NRM fit significantly better than the GPCM, and it was
able to detect category responses that may not function well. Positively worded
items tended to be more discriminating than negatively worded items. For the
depression scale, category boundary locations tended to have a larger range for
the positively worded items than for the negatively worded items from both
models. Some pairs of items functioned comparably when reverse-worded, but others
did not. If an examinee responds in an extreme category to an item, the same
examinee is not necessarily likely to respond in an extreme category at the
opposite end of the rating scale to a similar item worded in the opposite
direction. Results of this study may support the use of scales composed of items
worded in the same direction, and particularly in the positive direction.
PMID- 29795951
TI - Examining Construct Congruence for Psychometric Tests: A Note on an Extension to
Binary Items and Nesting Effects.
AB - This article extends the procedure outlined in the article by Raykov,
Marcoulides, and Tong for testing congruence of latent constructs to the setting
of binary items and clustering effects. In this widely used setting in
contemporary educational and psychological research, the method can be used to
examine if two or more homogeneous multicomponent instruments with distinct
components measure the same construct. The approach is useful in scale
construction and development research as well as in construct validation
investigations. The discussed method is illustrated with data from a scholastic
aptitude assessment study.
PMID- 29795952
TI - Evaluation of Two Methods for Modeling Measurement Errors When Testing
Interaction Effects With Observed Composite Scores.
AB - Path models with observed composites based on multiple items (e.g., mean or sum
score of the items) are commonly used to test interaction effects. Under this
practice, researchers generally assume that the observed composites are measured
without errors. In this study, we reviewed and evaluated two alternative methods
within the structural equation modeling (SEM) framework, namely, the reliability
adjusted product indicator (RAPI) method and the latent moderated structural
equations (LMS) method, which can both flexibly take into account measurement
errors. Results showed that both these methods generally produced unbiased
estimates of the interaction effects. On the other hand, the path model-without
considering measurement errors-led to substantial bias and a low confidence
interval coverage rate of nonzero interaction effects. Other findings and
implications for future studies are discussed.
PMID- 29795954
TI - A Comparison of Methods for Estimating Relationships in the Change Between Two
Time Points for Latent Variables.
AB - Collection and analysis of longitudinal data is an important tool in
understanding growth and development over time in a whole range of human
endeavors. Ideally, researchers working in the longitudinal framework are able to
collect data at more than two points in time, as this will provide them with the
potential for a deeper understanding of the development processes under study and
a much broader array of statistical modeling options. However, in some
circumstances data collection is limited to only two time points, perhaps because
of resource limitations, issues with the context in which the data are collected,
or the nature of the trait under study. In such instances, researchers may still
want to learn about complex relationships in the data, such as the correlation
between changes in latent traits that are being measured. However, with only two
data points, standard approaches for modeling such relationships, such as growth
curve modeling, cannot be used. The current simulation study compares the
performance of two methods for estimating the correlations among changes in
latent variables between two points in time, the two-wave latent change score
model and the latent difference factor model. Results of the simulation study
showed that both methods yielded generally accurate estimates of the correlation
between changes in a latent trait, with relatively small standard errors.
Estimation bias and standard errors were lower with larger samples, larger factor
loading magnitudes, and more indicators per factor. Further comparisons between
the methods and implications of these results are discussed.
PMID- 29795953
TI - Curve of Factors Model: A Latent Growth Modeling Approach for Educational
Research.
AB - A first-order latent growth model assesses change in an unobserved construct from
a single score and is commonly used across different domains of educational
research. However, examining change using a set of multiple response scores
(e.g., scale items) affords researchers several methodological benefits not
possible when using a single score. A curve of factors (CUFFS) model assesses
change in a construct from multiple response scores but its use in the social
sciences has been limited. In this article, we advocate the CUFFS for analyzing a
construct's latent trajectory over time, with an emphasis on applying this model
to educational research. First, we present a review of longitudinal factorial
invariance, a condition necessary for ensuring that the measured construct is the
same across time points. Next, we introduce the CUFFS model, followed by an
illustration of testing factorial invariance and specifying a univariate and a
bivariate CUFFS model to longitudinal data. To facilitate implementation, we
include syntax for specifying these statistical methods using the free
statistical software R.
PMID- 29795955
TI - A Multilevel Bifactor Approach to Construct Validation of Mixed-Format Scales.
AB - Wording effects associated with positively and negatively worded items have been
found in many scales. Such effects may threaten construct validity and introduce
systematic bias in the interpretation of results. A variety of models have been
applied to address wording effects, such as the correlated uniqueness model and
the correlated traits and correlated methods model. This study presents the
multilevel bifactor approach to handling wording effects of mixed-format scales
used in a multilevel context. The Students Confident in Mathematics scale is used
to illustrate this approach. Results from comparing a series of models showed
that positive and negative wording effects were present at both the within and
the between levels. When the wording effects were ignored, the within-level
predictive validity of the Students Confident in Mathematics scale was close to
that under the multilevel bifactor model. However, at the between level, a lower
validity coefficient was observed when ignoring the wording effects. Implications
for applied researchers are discussed.
PMID- 29795956
TI - The Impact of Model Parameterization and Estimation Methods on Tests of
Measurement Invariance With Ordered Polytomous Data.
AB - Evaluations of measurement invariance provide essential construct validity
evidence-a prerequisite for seeking meaning in psychological and educational
research and ensuring fair testing procedures in high-stakes settings. However,
the quality of such evidence is partly dependent on the validity of the resulting
statistical conclusions. Type I or Type II errors can render measurement
invariance conclusions meaningless. The present study used Monte Carlo simulation
methods to compare the effects of multiple model parameterizations (linear factor
model, Tobit factor model, and categorical factor model) and estimators (maximum
likelihood [ML], robust maximum likelihood [MLR], and weighted least squares mean
and variance-adjusted [WLSMV]) on the performance of the chi-square test for the
exact-fit hypothesis and chi-square and likelihood ratio difference tests for the
equal-fit hypothesis for evaluating measurement invariance with ordered
polytomous data. The test statistics were examined under multiple generation
conditions that varied according to the degree of metric noninvariance, the size
of the sample, the magnitude of the factor loadings, and the distribution of the
observed item responses. The categorical factor model with WLSMV estimation
performed best for evaluating overall model fit, and the categorical factor model
with ML and MLR estimation performed best for evaluating change in fit. Results
from this study should be used to inform the modeling decisions of applied
researchers. However, no single analysis combination can be recommended for all
situations. Therefore, it is essential that researchers consider the context and
purpose of their study.
PMID- 29795957
TI - Using Cluster Bootstrapping to Analyze Nested Data With a Few Clusters.
AB - Cluster randomized trials involving participants nested within intact treatment
and control groups are commonly performed in various educational, psychological,
and biomedical studies. However, recruiting and retaining intact groups present
various practical, financial, and logistical challenges to evaluators and often,
cluster randomized trials are performed with a low number of clusters (~20
groups). Although multilevel models are often used to analyze nested data,
researchers may be concerned of potentially biased results due to having only a
few groups under study. Cluster bootstrapping has been suggested as an
alternative procedure when analyzing clustered data though it has seen very
little use in educational and psychological studies. Using a Monte Carlo
simulation that varied the number of clusters, average cluster size, and
intraclass correlations, we compared standard errors using cluster bootstrapping
with those derived using ordinary least squares regression and multilevel models.
Results indicate that cluster bootstrapping, though more computationally
demanding, can be used as an alternative procedure for the analysis of clustered
data when treatment effects at the group level are of primary interest.
Supplementary material showing how to perform cluster bootstrapped regressions
using R is also provided.
PMID- 29795958
TI - Exploring Incomplete Rating Designs With Mokken Scale Analysis.
AB - Recent research has explored the use of models adapted from Mokken scale analysis
as a nonparametric approach to evaluating rating quality in educational
performance assessments. A potential limiting factor to the widespread use of
these techniques is the requirement for complete data, as practical constraints
in operational assessment systems often limit the use of complete rating designs.
In order to address this challenge, this study explores the use of missing data
imputation techniques and their impact on Mokken-based rating quality indicators
related to rater monotonicity, rater scalability, and invariant rater ordering.
Simulated data and real data from a rater-mediated writing assessment were
modified to reflect varying levels of missingness, and four imputation techniques
were used to impute missing ratings. Overall, the results indicated that simple
imputation techniques based on rater and student means result in generally
accurate recovery of rater monotonicity indices and rater scalability
coefficients. However, discrepancies between violations of invariant rater
ordering in the original and imputed data are somewhat unpredictable across
imputation methods. Implications for research and practice are discussed.
PMID- 29795959
TI - Examining Measurement Invariance and Differential Item Functioning With Discrete
Latent Construct Indicators: A Note on a Multiple Testing Procedure.
AB - A latent variable modeling method for studying measurement invariance when
evaluating latent constructs with multiple binary or binary scored items with no
guessing is outlined. The approach extends the continuous indicator procedure
described by Raykov and colleagues, utilizes similarly the false discovery rate
approach to multiple testing, and permits one to locate violations of measurement
invariance in loading or threshold parameters. The discussed method does not
require selection of a reference observed variable and is directly applicable for
studying differential item functioning with one- or two-parameter item response
models. The extended procedure is illustrated on an empirical data set.
PMID- 29795960
TI - Molecular phylogeny and a new Iranian species of Caudospora (Sydowiellaceae,
Diaporthales).
AB - For the first time, molecular phylogenetic data on the peculiar diaporthalean
genus Caudospora are available. Macro- and microscopic morphology and
phylogenetic multilocus analyses of partial nuc SSU-ITS-LSU rDNA, cal, ms204,
rpb1, rpb2, tef1 and tub2 sequences revealed two distinct species of Caudospora,
which are described and illustrated by light and scanning electron microscopy.
Caudospora iranica is described as a new species from corticated dead twigs of
Quercus sp. collected in Iran. It differs from the generic type, C. taleola,
mainly by coarsely verrucose ascospores. The asexual morph of C. taleola on
natural substrate is described and illustrated. Caudospora taleola is
neotypified, and it is recorded from Iran for the first time. Phylogenetic
analyses of a multigene matrix containing a representative selection of
Diaporthales from four loci (ITS, LSU rDNA, rpb2 and tef1) revealed a placement
of Caudospora within Sydowiellaceae.
PMID- 29795961
TI - An efficient system to fund science: from proposal review to peer-to-peer
distributions.
AB - This paper presents a novel model of science funding that exploits the wisdom of
the scientific crowd. Each researcher receives an equal, unconditional part of
all available science funding on a yearly basis, but is required to individually
donate to other scientists a given fraction of all they receive. Science funding
thus moves from one scientist to the next in such a way that scientists who
receive many donations must also redistribute the most. As the funding circulates
through the scientific community it is mathematically expected to converge on a
funding distribution favored by the entire scientific community. This is achieved
without any proposal submissions or reviews. The model furthermore funds
scientists instead of projects, reducing much of the overhead and bias of the
present grant peer review system. Model validation using large-scale citation
data and funding records over the past 20 years show that the proposed model
could yield funding distributions that are similar to those of the NSF and NIH,
and the model could potentially be more fair and more equitable. We discuss
possible extensions of this approach as well as science policy implications.
PMID- 29795962
TI - Calibration to improve forward model simulation of microwave emissivity at GPM
frequencies over the U.S. Southern Great Plains.
AB - Better estimation of land surface microwave emissivity promises to improve over
land precipitation retrievals in the GPM era. Forward models of land microwave
emissivity are available but have suffered from poor parameter specification and
limited testing. Here, forward models are calibrated and the accompanying change
in predictive power is evaluated. With inputs (e.g., soil moisture) from the Noah
land surface model and applying MODIS LAI data, two microwave emissivity models
are tested, the Community Radiative Transfer Model (CRTM) and Community Microwave
Emission Model (CMEM). The calibration is conducted with the NASA Land
Information System (LIS) parameter estimation subsystem using AMSR-E based
emissivity retrievals for the calibration dataset. The extent of agreement
between the modeled and retrieved estimates is evaluated using the AMSR-E
retrievals for a separate 7-year validation period. Results indicate that
calibration can significantly improve the agreement, simulating emissivity with
an across-channel average root-mean-square-difference (RMSD) of about 0.013, or
about 20% lower than if relying on daily estimates based on climatology. The
results also indicate that calibration of the microwave emissivity model alone,
as was done in prior studies, results in as much as 12% higher across-channel
average RMSD, as compared to joint calibration of the land surface and microwave
emissivity models. It remains as future work to assess the extent to which the
improvements in emissivity estimation translate into improvements in
precipitation retrieval accuracy.
PMID- 29795963
TI - Sensory characteristics of liquids thickened with commercial thickeners to levels
specified in the International Dysphagia Diet Standardization Initiative (IDDSI)
framework.
AB - Sensory characteristics are important for the acceptance of thickened liquids,
but those of liquids thickened to the new standards put forth by the
International Dysphagia Diet Standardization Initiative (IDDSI) are unknown. This
research sought to identify and rate the perception of important sensory
properties of liquids thickened to levels specified in the IDDSI framework.
Samples were made with water, with and without added barium sulfate, and were
thickened with a cornstarch or xanthan gum based thickener. Samples were
characterized using projective mapping/ultra-flash profiling to identify
important sample attributes, and then with trained descriptive analysis panels to
characterize those attributes in non-barium and barium thickened liquids. Three
main groups of attributes were observed. Taste and flavor attributes decreased in
intensity with increasing thickener. Thickener specific attributes included
graininess and chalkiness for the cornstarch thickened samples, and slipperiness
for the xanthan gum samples. Within the same type of thickener, ratings of
thickness-related attributes (perceived viscosity, adhesiveness, manipulation,
and swallowing) at different IDDSI levels were significantly different from each
other. However, in non-barium samples, cornstarch samples were perceived as
thicker than xanthan gum samples even though they had similar apparent
viscosities at 50 s-1. On the other hand, the two thickeners had similar
perceived thickness in the barium samples even though the apparent viscosities of
cornstarch samples were higher than those of the xanthan gum samples. In
conclusion, IDDSI levels can be distinguished based on sensory properties, but
these properties may be affected by the type of thickener and medium being
thickened.
PMID- 29795965
TI - The Results of the Awards Are In!
PMID- 29795966
TI - Keys to Building a Safe and Effective Healthcare Laser Program.
PMID- 29795964
TI - Comparative Chemometric Analysis for Classification of Acids and Bases via a
Colorimetric Sensor Array.
AB - With the increasing availability of digital imaging devices, colorimetric sensor
arrays are rapidly becoming a simple, yet effective tool for the identification
and quantification of various analytes. Colorimetric arrays utilize colorimetric
data from many colorimetric sensors, with the multidimensional nature of the
resulting data necessitating the use of chemometric analysis. Herein, an 8 sensor
colorimetric array was used to analyze select acid and basic samples (0.5 - 10 M)
to determine which chemometric methods are best suited for classification
quantification of analytes within clusters. PCA, HCA, and LDA were used to
visualize the data set. All three methods showed well-separated clusters for each
of the acid or base analytes and moderate separation between analyte
concentrations, indicating that the sensor array can be used to identify and
quantify samples. Furthermore, PCA could be used to determine which sensors
showed the most effective analyte identification. LDA, KNN, and HQI were used for
identification of analyte and concentration. HQI and KNN could be used to
correctly identify the analytes in all cases, while LDA correctly identified 95
of 96 analytes correctly. Additional studies demonstrated that controlling for
solvent and image effects was unnecessary for all chemometric methods utilized in
this study.
PMID- 29795967
TI - Helicobacter pylori Eradication Using a Light-Emitting Diode and Methylene Blue.
AB - Background and aims: Eradication failures are increasing with the increasing
antibiotic resistance of Helicobacter pylori.We examined the basic effect of
methylene blue (MB) with sodium bicarbonate (NaHCO3) on H. pylori eradication
using antimicrobial chemotherapy activated by light. Material and methods: When
NaHCO3 was added to MB, the pH became basic. We smeared H. pylori on a medium
with basic MB and irradiated it using a red light-emitting diode with a
wavelength of 660 nm. The applied energy fluencies were 10 J/cm2 and 15 J/cm2.
After 4 days of culture, the effect of this intervention was determined according
to the bacterial growth area. Results: The basic effect of MB appeared between a
pH of 8.6 and 9.0. The NaHCO3 concentration was between 4% and 6%. The basic
effect at 15 J/cm2 was greater than that at 10 J/cm2. Conclusions: We concluded
that antimicrobial chemotherapy activated by light with basic MB was effective in
H. pylori eradication.
PMID- 29795968
TI - Statistical analysis of complications in laser treatment under general anesthesia
performed for pediatric inpatients.
AB - Objective: We report relationship between complications and predictive factors of
occurrence with multivariate analysis for cases of laser treatment under general
anesthesia.Study Design: Pediatric patients (330 cases, aged 1 to 15 years old)
who underwent laser treatment under inpatient general anesthesia against body
surface hemangiomas or melanocytosis were examined for the complications.
Methods: Retrospective study from medical and anesthesia records, statistical
assessment was carried out using multivariate analysis by univariate and logistic
regression analysis, and p value less than 0.05 was considered to be significant
difference. Results: Complications were confirmed in 25%, mostly due to emergence
agitation from anesthesia, and no severe complications were recognized.
Multivariate analysis of factors causing complications revealed a significant
difference in the limbs, the Q-switched Nd: YAG laser, and the anesthesia time of
60 minutes or longer. Conclusion: While the length of anesthesia time was
reported as a factor of complication occurrence in the past, there has been no
report on the extremity and the Q-switched Nd: YAG laser as significant
complication factors.
PMID- 29795969
TI - Clinical effects of photodynamic therapy as an adjunct to full-mouth ultrasonic
scaling and root planing in treatment of chronic periodontitis.
AB - Background and aims: Photodynamic therapy (PDT) is a potential strategy to
eliminate infection in the specific tissue. It uses lower-power laser to activate
a photosensitizing agent. Studies have shown the benefit of PDT in the
periodontal treatment. The aim of this study was to evaluate the periodontal
changes after applying PDT as an adjunct to one visit full-mouth SRP (scaling and
root planing) with subgingival piezoelectric ultrasonic scaler compared with full
mouth SRP alone. Methods: A split-mouth randomized clinical trial was designed.
Twenty patients with moderate to severe chronic periodontitis were treated with
subgingival piezoelectric ultrasonic device alone in control group and adjunct
treated with PDT in the test group. Probing pocket depth (PD), clinical
attachment level (CAL), plaque index (PI), gingival bleeding index (GBI) and
gingival inflammation index (GI) were evaluated at baseline, 1 month, 3 and 6
months after treatment. Only sites with PD >= 4 mm at baseline were calculated.
Results: All periodontal parameters were significantly improved in both groups at
1 month, 3 and 6 months after treatment. All parameters in test group were better
than that control group, with statistically significant differences of GBI and GI
(P < 0.05) at 3 and 6 months after treatment but no statistically significant
differences of PD, CAL and PI. Conclusions: One visit full-mouth ultrasonic SRP
seems to have good enough effort for the periodontal status till 6 months. The
adjunct treatment of PDT provided positive effect in term of GBI and GI.
PMID- 29795970
TI - Early effect of fractional CO2 laser treatment in Post-menopausal women with
vaginal atrophy.
AB - Background and Aim: Fractional CO2 lasers have been shown to provide improvement
of vulvovaginal atrophy (VVA). The aim of the current study was to assess the
early effect of a fractional CO2 laser system in treating postmenopausal women
with clinical symptoms of VVA. Methods: 28 healthy post-menopausal women (mean
age 60.1 +/- 5.55 years) with VVA-related symptoms were treated with fractional
CO2 laser 3 times, in 4-week intervals. At each study visit, VHIS score and VVA
symptom severity were recorded. Sexual function was assessed with the Female
Sexual Function Index (FSFI). Results: One month following the first laser
treatment, the mean VHIS score was significantly improved (13.89 +/- 4.25 vs.
baseline 11.93 +/- 3.82; p < 0.05), and improved further at 3 and 6 months
following all three laser treatments (16.43 +/- 4.20 and 17.46 +/- 4.07,
respectively). Almost all VVA symptoms were significantly improved at one month
following the first treatment. A further significant improvement in VVA symptoms
was noted at 3 and 6 months following the third laser treatment. Following
treatments, the FSFI score increased significantly (22.36 +/- 10.40 vs. baseline
13.78 +/- 7.70; p < 0.05), and remained significantly higher than baseline at the
3- and 6-month follow-up visits. Conclusion: CO2 laser therapy for post
menopausal women can be considered an effective therapeutic option providing
relief of symptoms already noted after one laser treatment.
PMID- 29795971
TI - CO2 laser dentin surface treatment most effectively increased ceramic shear bond
strength.
AB - Background and aims: The purpose of this study is to determine the effect of CO2
laser irradiation in comparison with sandblasting (Sb), hydrofluoric acid (Hf)
and silane coupling agent (Si) on shear bond strength (SBS) of resin cement to
CAD/CAM ceramics bonded to dentin. Material and Methods: Forty-eight (CAD/CAM)
ceramic discs were prepared and grouped by material, i.e. lithium disilicate
(Emax CAD) and zirconia ceramic (Emax ZirCAD), distributed into four different
groups: group A, lithium disilicate (Li) CO2/HF/Si; group B, Li: HF/Si; group C,
zirconia (Zr) CO2/Sb/Si; group D, Zr: Sb/Si. Results: It was shown significant
difference between irradiated and non-irradiated groups in terms of shear bond
strength for zirconia ceramics (p value = 0.039). Conclusion: CO2 laser
irradiation increases shear bond strength for both CAD/CAM ceramics bonded to
dentin.
PMID- 29795972
TI - Low Level Laser Therapy for Radial Nerve Palsy Patients : Our Experience.
AB - Background and Aims: Peripheral nerve injury is one of the frequent complaints
which is seen in the outpatient clinic of our medical institute. In previous
studies we have reported on the benefits of low level laser therapy (LLLT) for
central nerve system disorders, namely cerebrovascular accidents and cerebral
palsy. The present study is a report on our experience of the effects of LLLT for
peripheral nerve palsy. Materials and Methods: Over the past 5 years, 13 subjects
visited the out-patient clinic with the chief complaint of radial nerve palsy
caused by compression of the nerve through with abnormal positioning, and
sleeping posture at night. The patients were treated with LLLT. A 1000 mW semi
conductor laser device was used, delivering 830 nm in continuous wave at a dose
of 20.1 J/cm2 /point, and five points were treated per session (i.e., 1
treatment) twice a week for 3 months (total 24 treatments). In 6 patients LLLT
was combined with brace therapy. Results: Modified Daniels' manual muscle testing
was used to determine the effects of LLLT for the muscle power of the extensor
carpi radialis, and on completing the treatment regimen excellent improvement was
observed in 9 cases out of 13. Combination treatment (laser therapy with bracing)
resulted in 4 excellent cases out of 6 cases. Discussions with the patients
clarified that it was important for them to learn how to avoid the particular
posture that could cause them radial nerve palsy in daily life in order to have
continuous benefits from the treatment. Conclusion: The present study
demonstrated that LLLT was an effective form of treatment for radial nerve palsy.
In addition, patients were advised to avoid any incorrect posture which might
induce radial nerve palsy.
PMID- 29795974
TI - Bioavailability of Oral Hydrocortisone Corrected for Binding Proteins and
Measured by LC-MS/MS Using Serum Cortisol and Salivary Cortisone.
AB - Context: The assessment absolute bioavailability of oral hydrocortisone is
complicated by its saturable binding to cortisol binding globulin (CBG). Previous
assessment of bioavailability used a cortisol radioimmunoassay which has cross
reactivity with other steroids. Salivary cortisone is a measure of free cortisol
and LC-MS/MS is the gold standard method for measuring steroids. We here report
the absolute bioavailability of hydrocortisone calculated using serum cortisol
and salivary cortisone measured by LC-MS/MS. Methods: 14 healthy male
dexamethasone suppressed volunteers were administered 20 mg hydrocortisone either
intravenously or orally by tablet. Samples of serum and saliva were taken and
measured for cortisol and cortisone by LC-MS/MS. Serum cortisol was corrected for
saturable binding using published data and pharmacokinetic parameters derived
using the program WinNonlin. Results: The mean (95% CI) bioavailability of oral
hydrocortisone calculated from serum cortisol, unbound serum cortisol and
salivary cortisone was 1.00 (0.89-1.14); 0.88 (0.75-1.05); and 0.93 (0.83-1.05),
respectively. Conclusion: The data confirm that, after oral administration,
hydrocortisone is completely absorbed. The data derived from serum cortisol
corrected for protein binding, and that from salivary cortisone, are similar
supporting the concept that salivary cortisone reflects serum free cortisol
levels and that salivary cortisone can be used as a non-invasive method for
measuring the pharmacokinetics of hydrocortisone.
PMID- 29795973
TI - Dye laser treatment for hemorrhagic vascular lesions.
AB - Background and aims: It is generally thought that bleeding from a hemangioma is
difficult to stop. With development of the long pulse dye laser (LPDL), it has
become possible to treat hemangioma with a large blood vessel diameter. Thus, it
is effective in treating infantile hemangioma and pyogenic granuloma. Materials
and methods: Five patients who visited our hospital from July 2015 to July 2017
due to hemorrhagic hemangioma were treated using a flash lamp excitation pulse
dye laser with parameters of 7 mm spot size, 3 msec pulse width, fluence 12
14J/cm2, DCD 30 msec, and delay 30 msec. Results: The bleeding not only stopped,
but the raised lesion was flattened in all cases. Conclusions: LPDL is effective
for both infantile hemangioma and pyogenic granuloma. It not only stops bleeding,
but also treats the vascular lesions.
PMID- 29795975
TI - Balance in Positive Emotional Expressivity Across School Contexts Relates to
Kindergarteners' Adjustment.
AB - : Positive emotional expressivity has been associated with increased social
competence and decreased maladjustment in childhood. However, a few researchers
have found null or even positive associations between positive emotional
expressivity and maladjustment, which suggests that there may be nuanced
associations of positive expressivity, perhaps as a function of the social
context in which it is expressed. We examined whether observed positive emotional
expressivity balance across peer-oriented/recreational and learning contexts
predicted kindergarten children's adjustment (N = 301). Research findings: Higher
positive expressivity during lunch/recess compared to positive expressivity in
the classroom was associated with lower teacher-student conflict, externalizing
behaviors, and depressive symptoms. In addition, overall positive emotional
expressivity predicted lower externalizing behaviors as well as lower depressive
and anxiety symptoms. Practice or policy: The results suggest the importance of
assessing observed positive emotional expressivity in context as a potential
indicator of children's maladjustment risk and the need for children to adapt
their emotions to different contexts. Implications for assessing and supporting
positive emotional expression balance and training emotional regulation in school
are discussed.
PMID- 29795976
TI - Impaired Cerebral Perfusion in Multiple Sclerosis: Relevance of Endothelial
Factors.
AB - Magnetic resonance imaging techniques measuring in vivo brain perfusion and
integrity of the blood-brain barrier have developed rapidly in the past decade,
resulting in a wide range of available methods. This review first discusses their
principles, possible pitfalls, and potential for quantification and outlines
clinical application in neurological disorders. Then, we focus on the endothelial
cells of the blood-brain barrier, pointing out their contribution in regulating
vascular tone by production of vasoactive substances. Finally, the role of these
substances in brain hypoperfusion in multiple sclerosis is discussed.
PMID- 29795977
TI - Treatment of tardive dyskinesia with VMAT-2 inhibitors: a systematic review and
meta-analysis of randomized controlled trials.
AB - Aim: The aim of this study was to summarize the characteristics, efficacy, and
safety of vesicular monoamine transporter-2 (VMAT-2) inhibitors for treating
tardive dyskinesia (TD). Materials and methods: We conducted a literature search
in PubMed, Cochrane Database, and ClinicalTrials.gov, screening for systematic
reviews, meta-analyses or double-blind, randomized, placebo-controlled trials
(DBRPCTs) reporting efficacy or safety data of VMAT-2 inhibitors (tetrabenazine,
deutetrabenazine, and valbenazine) in patients with TD. A random effects meta
analysis of efficacy and safety data from DBRPCTs was performed. Results: Two
acute, 12-week DBRPCTs with deutetrabenazine 12-48 mg/day (n=413) and 4 acute, 4
6-week double-blind trials with valbenazine 12.5-100 mg/day (n=488) were meta
analyzable, without meta-analyzable, high-quality data for tetrabenazine.
Regarding reduction in total Abnormal Involuntary Movement Scale (AIMS) scores
(primary outcome), both deutetrabenazine (k=2, n=413, standardized mean
difference [SMD] =-0.40, 95% confidence interval [CI] =-0.19, -0.62, p<0.001;
weighted mean difference (WMD) =-1.44, 95% CI =-0.67, -2.19, p<0.001) and
valbenazine (k=4, n=421, SMD =-0.58, 95% CI =-0.26, -0.91, p<0.001; WMD =-2.07,
95% CI =-1.08, -3.05, p<0.001) significantly outperformed placebo. Results were
confirmed regarding responder rates (>=50% AIMS total score reduction;
deutetrabenazine: risk ratio [RR] =2.13, 95% CI =1.10, 4.12, p=0.024, number
needed-to-treat [NNT] =7, 95% CI =3, 333, p=0.046; valbenazine: RR =3.05, 95% CI
=1.81, 5.11, p<0.001, NNT =4, 95% CI =3, 6, p<0.001). Less consistent results
emerged from patient-rated global impression-based response (p=0.15) and clinical
global impression for deutetrabenazine (p=0.088), and for clinical global
impression change for valbenazine (p=0.67). In an open-label extension (OLE)
study of deutetrabenazine (<=54 weeks) and a dose-blinded valbenazine study (<=48
weeks), responder rates increased over time. With valbenazine, discontinuation
effects were studied, showing TD symptom recurrence towards baseline severity
levels within 4 weeks after valbenazine withdrawal. No increased cumulative or
specific adverse (AEs) events versus placebo (acute trials) in extension versus
acute trial data were observed. Conclusion: The 2 VMAT-2 inhibitors, valbenazine
and deutetrabenazine, are effective in treating TD, both acutely and long-term,
without concerns about increased risk of depression or suicide in the TD
population. No head-to-head comparison among VMAT-2 inhibitors and no high
quality, meta-analyzable data are available for tetrabenazine in patients with
TD.
PMID- 29795980
TI - Mathematical Model for Small Size Time Series Data of Bacterial Secondary
Metabolic Pathways.
AB - Measuring the concentrations of metabolites and estimating the reaction rates of
each reaction step consisting of metabolic pathways are significant for an
improvement in microorganisms used in maximizing the production of materials.
Although the reaction pathway must be identified for such an improvement, doing
so is not easy. Numerous reaction steps have been reported; however, the actual
reaction steps activated vary or change according to the conditions. Furthermore,
to build mathematical models for a dynamical analysis, the reaction mechanisms
and parameter values must be known; however, to date, sufficient information has
yet to be published for many cases. In addition, experimental observations are
expensive. A new mathematical approach that is applicable to small sample data,
and that requires no detailed reaction information, is strongly needed. S-system
is one such model that can use smaller samples than other ordinary differential
equation models. We propose a simplified S-system to apply minimal quantities of
samples for a dynamic analysis of the metabolic pathways. We applied the model to
the phenyl lactate production pathway of Escherichia coli. The model obtained
suggests that actually activated reaction steps and feedback are inhibitions
within the pathway.
PMID- 29795979
TI - Rapeseed protein-derived antioxidant peptide RAP alleviates renal fibrosis
through MAPK/NF-kappaB signaling pathways in diabetic nephropathy.
AB - Introduction: Kidney fibrosis is the main pathologic change in diabetic
nephropathy (DN), which is the major cause of end-stage renal disease. Current
therapeutic strategies slow down but cannot reverse the progression of renal
dysfunction in DN. Plant-derived bioactive peptides in foodstuffs are widely used
in many fields because of their potential pharmaceutical and nutraceutical
benefits. However, this type of peptide has not yet been studied in renal
fibrosis of DN. Previous studies have indicated that the peptide YWDHNNPQIR
(named RAP), a natural peptide derived from rapeseed protein, has an
antioxidative stress effect. The oxidative stress is believed to be associated
with DN. The aim of this study was to evaluate the pharmacologic effects of RAP
against renal fibrosis of DN and high glucose (HG)-induced mesangial dysfunction.
Materials and methods: Diabetes was induced by streptozotocin and high-fat diet
in C57BL/6 mice and these mice were treated by subcutaneous injection of
different doses of RAP (0.1 mg/kg and 0.5 mg/kg, every other day) or PBS for 12
weeks. Later, functional and histopathologic analyses were performed. Parallel
experiments verifying the molecular mechanism by which RAP alleviates DN were
carried out in HG-induced mesangial cells (MCs). Results: RAP improved the renal
function indices, including 24-h albuminuria, triglyceride, serum creatinine, and
blood urea nitrogen levels, but did not lower blood glucose levels in DN mice.
RAP also simultaneously attenuated extracellular matrix accumulation in DN mice
and HG-induced MCs. Furthermore, RAP reduced HG-induced cell proliferation, but
it showed no toxicity in MCs. Additionally, RAP inhibited the mitogen-activated
protein kinase (MAPK) and nuclear factor kappaB (NF-kappaB) signaling pathways.
Conclusion: RAP can attenuate fibrosis in vivo and in vitro by antagonizing the
MAPK and NF-kappaB pathways.
PMID- 29795978
TI - Computer-aided design of amino acid-based therapeutics: a review.
AB - During the last two decades, the pharmaceutical industry has progressed from
detecting small molecules to designing biologic-based therapeutics. Amino acid
based drugs are a group of biologic-based therapeutics that can effectively
combat the diseases caused by drug resistance or molecular deficiency.
Computational techniques play a key role to design and develop the amino acid
based therapeutics such as proteins, peptides and peptidomimetics. In this study,
it was attempted to discuss the various elements for computational design of
amino acid-based therapeutics. Protein design seeks to identify the properties of
amino acid sequences that fold to predetermined structures with desirable
structural and functional characteristics. Peptide drugs occupy a middle space
between proteins and small molecules and it is hoped that they can target
"undruggable" intracellular protein-protein interactions. Peptidomimetics, the
compounds that mimic the biologic characteristics of peptides, present refined
pharmacokinetic properties compared to the original peptides. Here, the
elaborated techniques that are developed to characterize the amino acid sequences
consistent with a specific structure and allow protein design are discussed.
Moreover, the key principles and recent advances in currently introduced
computational techniques for rational peptide design are spotlighted. The most
advanced computational techniques developed to design novel peptidomimetics are
also summarized.
PMID- 29795981
TI - Disrupted interhemispheric functional connectivity in chronic insomnia disorder:
a resting-state fMRI study.
AB - Background: Abnormalities in both cerebral structure and intrinsic activity have
been increasingly reported in patients with chronic insomnia disorder (CID).
However, the inter-hemispheric integration function in CID is still not well
understood. Functional homotopy reflects an essential aspect of the intrinsic
functional architecture involved in interhemispheric coordination. Methods: In
this study, voxel-mirrored homotopic connectivity (VMHC) was used to analyze the
patterns of interhemispheric intrinsic functional connectivity in patients with
CID (n=29). Results: Reduced homotopic connectivity was observed in the middle
occipital/posterior middle temporal gyrus in CID patients relative to control
subjects. Further analyses demonstrated different insomnia-related heterotopic
connectivity patterns in the right and left middle occipital/posterior middle
temporal gyrus. Furthermore, within the CID group, the connectivity coefficient
within the connectivity network of the middle occipital/posterior middle temporal
gyrus was associated with anxiety measures. Conclusion: Negative significant
findings of group differences were found in terms of both the local gray matter
density and fractional anisotropy of the white matter skeletal measures in this
study; this structural finding, together with the results of VMHC, suggested that
disruptions in the intrinsic functional architecture of interhemispheric
communication associated with CID can be observed in the absence of detectable
microstructural or local morphometric changes in white and gray matter.
PMID- 29795983
TI - Emerging Topics and Innovative Methodologies in Cannabis Research.
AB - Cannabis use is ascendant in our society, affecting the health of our citizens,
our social norms and social structures, and our economies. This special issue
brings together a diverse set of research manuscripts that push the borders of
our understanding of burgeoning cannabis use and displays innovative methods
developed to study these phenomena.
PMID- 29795982
TI - Synchronization within, and interactions between, the default mode and dorsal
attention networks in relapsing-remitting multiple sclerosis.
AB - Background and purpose: The effects of the interactions between the default mode
network (DMN) and the dorsal attention network (DAN), which present
anticorrelated behaviors, in relapsing-remitting multiple sclerosis (RRMS) are
poorly understood. This study used resting-state functional connectivity (FC) and
the Granger causality test (GCT) to examine changes in the undirected and
effective functional network connectivity (FNC) between the two networks during
the remitting phase in RRMS patients. Patients and methods: Thirty-three patients
experiencing a clinically diagnosed remitting phase of RRMS and 33 well-matched
healthy control subjects participated in this study. First, an independent
component (IC) analysis was performed to preprocess the functional magnetic
resonance imaging data and select resting-state networks. Then, an FNC analysis
and the GCT were combined to examine the temporal correlations between the ICs of
the DMN and DAN and to identify correlations with clinical markers. Results:
Compared with the healthy subjects, the RRMS patients in the remitting phase
showed the following: 1) significantly decreased FC within the DAN in the
postcentral gyrus and decreased FC within the DMN in several regions except the
parahippocampal gyrus, where increased FC was observed; 2) a relatively stable
interaction between the two anticorrelated networks as well as a driving
connectivity from the DAN to DMN (IC15); and 3) significantly positive
correlations between the connectivity coefficient of the right superior temporal
gyrus and the Modified Fatigue Impact Scale score (rho = 0.379, p = 0.036).
Conclusion: Adaptive mechanisms that maintain stable interactions might occur
between the DMN and DAN during the remitting phase in RRMS patients.
PMID- 29795984
TI - Reexamining IFN-gamma Stimulation of De Novo NAD+ in Monocyte-Derived
Macrophages.
PMID- 29795985
TI - Decreased survival in patients with carcinoma of axillary tail versus upper outer
quadrant breast cancers: a SEER population-based study.
AB - Background: Carcinoma of the axillary tail of Spence (CATS) is a poorly studied
type of breast cancer. The clinicopathological characteristics and prognostic
features of CATS are unclear. Methods: Using the Surveillance, Epidemiology, and
End Results database, we identified 149,026 patients diagnosed with upper outer
quadrant breast cancer (UOBC) (n=146,343) or CATS (n=2,683). The median follow-up
was 88 months. The primary and secondary outcomes were breast cancer-specific
survival (BCSS) and overall survival. The survival outcomes of UOBC and CATS were
compared using competing risks analysis, log-rank test, Cox proportional hazards
regression model, and propensity score matching method. Multivariate logistic
regression was utilized to present the relationship between CATS and lymph node
(LN) metastasis. Results: CATS presented a higher grade, higher negative hormone
receptor rate, and more positive nodal metastasis. The 10-year BCSS rate was
worse for CATS than for UOBC (85.1% vs 87.3%, P=0.001). The multivariate Cox
analysis showed a higher hazard ratio (HR) for CATS over UOBC (BCSS: HR =1.20,
P=0.001; overall survival: HR =1.11, P=0.019). The difference in the BCSS was
also observed in a 1:1 matched cohort (BCSS P=0.019). A subgroup analysis
revealed the inferior outcomes of CATS in the metastatic LN subgroup and the
hormone receptor-negative subgroup. The multivariate logistic regression
indicated that CATS is an independent contributing factor to LN metastasis.
Conclusion: CATS had distinct clinicopathological characteristics and was more
likely associated with LN metastasis. Compared to UOBC, CATS had adverse impacts
on BCSS.
PMID- 29795987
TI - The lncRNA myocardial infarction associated transcript-centric competing
endogenous RNA network in non-small-cell lung cancer.
AB - Background: The leading cause of death for cancer is lung cancer, of which the
majority subtype is non-small cell lung cancer (NSCLC). Recent studies have shown
long non-coding RNAs are transcribed and contribute to cancer. Previous study has
shown that a few single nucleotide polymorphisms (SNPs) in myocardial infarction
associated transcript (MIAT) were associated with some diseases or function as
competing endogenous RNA (ceRNA) in some cancer. Patients and methods: We
performed bioinformatic methods for analyzing RNA-seq and miRNA-seq data of NSCLC
from The Cancer Genome Atlas database. 1352 NSCLC patients and 1320 cancer-free
controls for genotyping, and dual luciferase reporter assay, real-time PCR are
performed in A549 and H1975 lung cancer cell lines. Results are analyzed by SPSS
v16.0. Results: In the present study, we focus on the role of over-expression
MIAT in NSCLC. We confirmed that rs1061451 T>C (allele odds ratio = 0.22; P <
0.01) was associated with NSCLC. Furthermore, we constructed MIAT-centric ceRNA
network, and three mRNAs (MYO1B, SGK1 and WNT9A) was identified as targets by
MIAT via miR-133a-5p. Conclusion: C-containing genotypes of MIAT rs1061451 were
protective factor of NSCLC, and MIAT, which may act as ceRNA via miR-133a-5p,
modulated MYO1B, SGK1 and WNT9A expression level.
PMID- 29795986
TI - MC1R variants as melanoma risk factors independent of at-risk phenotypic
characteristics: a pooled analysis from the M-SKIP project.
AB - Purpose: Melanoma represents an important public health problem, due to its high
case-fatality rate. Identification of individuals at high risk would be of major
interest to improve early diagnosis and ultimately survival. The aim of this
study was to evaluate whether MC1R variants predicted melanoma risk independently
of at-risk phenotypic characteristics. Materials and methods: Data were collected
within an international collaboration - the M-SKIP project. The present pooled
analysis included data on 3,830 single, primary, sporadic, cutaneous melanoma
cases and 2,619 controls from seven previously published case-control studies.
All the studies had information on MC1R gene variants by sequencing analysis and
on hair color, skin phototype, and freckles, ie, the phenotypic characteristics
used to define the red hair phenotype. Results: The presence of any MC1R variant
was associated with melanoma risk independently of phenotypic characteristics (OR
1.60; 95% CI 1.36-1.88). Inclusion of MC1R variants in a risk prediction model
increased melanoma predictive accuracy (area under the receiver-operating
characteristic curve) by 0.7% over a base clinical model (P=0.002), and 24% of
participants were better assessed (net reclassification index 95% CI 20%-30%).
Subgroup analysis suggested a possibly stronger role of MC1R in melanoma
prediction for participants without the red hair phenotype (net reclassification
index: 28%) compared to paler skinned participants (15%). Conclusion: The authors
suggest that measuring the MC1R genotype might result in a benefit for melanoma
prediction. The results could be a valid starting point to guide the development
of scientific protocols assessing melanoma risk prediction tools incorporating
the MC1R genotype.
PMID- 29795988
TI - Overexpression of chloride channel-3 predicts unfavorable prognosis and promotes
cellular invasion in gastric cancer.
AB - Background: Chloride channel-3 (CLC-3) has been reported to promote the
proliferation and invasion in various tumors, yet little is known about its role
in gastric cancer. In the present study, we investigated the clinical
significance of CLC-3 and its biological role in gastric cancer. Methods:
Bioinformatic analysis, immunohistochemical staining, quantitative real-time
polymerase chain reaction and Western blot assay were used to assess the
expression of CLC-3 and its clinical significance in gastric cancer. The
biological role of CLC-3 and its underlying mechanism were detected through in
vitro experiments. Results: CLC-3 was highly expressed in gastric cancer tissues
and cell lines, and high levels of CLC-3 were significantly associated with
adverse clinicopathological parameters and shorter overall survival time in
patients with gastric cancer. Functional studies revealed that silencing of CLC-3
decreased, while overexpression promoted, the proliferation, migration and
invasion of gastric cancer cells in vitro. Mechanistic studies suggested that
canonical TGF-beta/Smad signaling pathway is involved in CLC-3-induced gastric
cancer cells proliferation, migration and invasion. Conclusion: These findings
indicate the vital role of CLC-3 in gastric cancer progression and its potential
role of a therapeutic target for treatment.
PMID- 29795989
TI - Preoperative serum apolipoprotein A-I levels predict long-term survival in non
muscle-invasive bladder cancer patients.
AB - Introduction: The aim of this study was to elucidate the association between
apolipoprotein A-I (Apo A-I) and overall survival (OS) as well as cancer-specific
survival (CSS) in non-muscle-invasive bladder cancer (NMIBC) patients undergoing
transurethral resection of bladder tumor (TURBT). Patients and methods: We
retrospectively collected data of 470 eligible patients diagnosed with NMIBC and
who received TURBT between January 2004 and December 2011. Pretreatment blood
indexes were examined. The association of Apo A-I with clinicopathological
characteristics was further analyzed by dichotomizing our sample into those with
Apo A-I <= 1.19 g/L (low Apo A-I group) and those with Apo A-I > 1.19 g/L (high
Apo A-I group). OS and CSS were estimated by Kaplan-Meier analysis and the log
rank test was used to compare differences between groups. Univariate and
multivariate Cox regression analyses were plotted to assess the prognostic value
of Apo A-I in NMIBC patients. In addition, subgroup analyses were performed
according to the risk classification of the International Bladder Cancer Group.
Results: In the overall population, patients in the high Apo A-I group had
greater 5-year OS and 5-year CSS rates as compared to those in the low Apo A-I
group. Kaplan-Meier survival analysis revealed that higher albumin, Apo A-I, and
hemoglobin levels were associated with greater OS and CSS while elevated
neutrophil-lymphocyte ratio was associated with worse OS and CSS in the overall
and high-risk population rather than low- and intermediate-risk population.
Furthermore, Apo A-I was shown to be an independent predictor in the overall
population (for OS, hazard ratio [HR], 0.364, 95% confidence interval [CI], 0.221
0.598, p < 0.001; for CSS, HR, 0.328, 95% CI, 0.185-0.583, p < 0.001) and high
risk patients (for OS, HR, 0.232, 95% CI 0.121-0.443, p < 0.001; for CSS, HR,
0.269, 95% CI, 0.133-0.541, p < 0.001). Conclusion: These results suggest that
Apo A-I level could potentially serve as a useful prognostic indicator for
therapeutic decision making in NMIBC patients.
PMID- 29795990
TI - Prognostic factors for survival among patients with primary bone sarcomas of
small bones.
AB - Background: Primary bone sarcomas of the hands or feet are rare lesions and
poorly documented. Moreover, the prognostic determinants of bone sarcomas of the
hands or feet have not been reported. Materials and methods: The Surveillance,
Epidemiology, and End Results (SEER) program database was used to screen patients
with bone sarcomas of the hands or feet from 1973 to 2013, with attention paid to
chondrosarcoma, Ewing sarcoma, and osteosarcoma. The prognostic values of overall
survival (OS) and cancer-specific survival (CSS) were assessed using Cox
proportional hazards regression model with univariate and multivariate analyses.
The Kaplan-Meier method was used to obtain OS and CSS curves. Results: A total of
457 cases were selected from the SEER database. Chondrosarcoma was the most
common form of lesion in hands or feet or both, followed by Ewing sarcoma and
osteosarcoma. The 5- and 10-year OS rates of the entire group were 75.7% and
66.1%, respectively. The 5- and 10-year CSS rates were 78.7% and 73.7%,
respectively. Multivariate analysis revealed that age under 40 years, localized
stage, low grade, surgical treatment, and first primary tumor were associated
with improved OS, and decade of diagnosis, stage, grade, and surgery were
independent predictors of CSS. However, no significant differences were observed
in OS and CSS among patients with different primary tumor locations and tumor
subtypes. Additionally, the most significant prognostic factor was whether
metastasis had occurred at the time of initial diagnosis. Conclusion: Among
patients with primary bone sarcomas of the hands or feet, younger age (<40
years), localized stage, low grade, surgical treatment, and first primary tumor
are favorable factors for prolonging survival.
PMID- 29795991
TI - Gastrointestinal Lesions in African American Patients With Iron Deficiency
Anemia.
AB - Background: Iron deficiency anemia (IDA) is a frequent disorder that is
associated with many serious diseases. However, the findings of an evaluation of
IDA-associated gastrointestinal disorders are lacking among African American
patients. Aim: To determine the most prevalent gastrointestinal lesions among
African American patients with IDA especially in young men. Methods: We reviewed
medical records (n = 422) of patients referred for evaluation of IDA from 2008 to
2012. Iron deficiency anemia was diagnosed using clinical laboratory tests. The
results of esophagogastroduodenoscopy, colonoscopy, and pathology specimens along
with demographic data were abstracted and analyzed using Stata. Results: The mean
age was 61.9 years, and 50.5% were women. In total, 189 patients (45%) had gross
gastrointestinal (GI) bleeding. The most frequent diagnoses were gastritis (40%),
benign colonic lesions (13%), esophagitis (9%), gastric ulcer (6%), and
duodenitis (6%). GI bleeding was significantly more frequent in men (P = 0.001).
Benign and malignant colonic lesions were significantly more present among older
patients: 16% vs 6% (P = .005) and 5% vs 0% (P = .008), respectively. Colitis was
more prevalent in younger patients (?50): 11% vs 2% (P = .001). In patients with
gross lower GI bleeding, the top diagnoses were gastritis (25%), benign colon
tumors (10%), and duodenitis (6%). Colon cancer was diagnosed among 15 patients,
and all these patients were older than 50 years of age. Conclusions: Gastritis
and colonic lesions are most common associated lesions with IDA among African
Americans. So bidirectional endoscopy is required for unrevealing of the cause of
IDA in asymptomatic patients.
PMID- 29795992
TI - Genetically Engineered Strains: Application and Advances for 1,3-Propanediol
Production from Glycerol.
AB - 1,3-Propanediol (1,3-PD) is one of the most important chemicals widely used as
monomers for synthesis of some commercially valuable products, including
cosmetics, foods, lubricants and medicines. Although 1,3-PD can be synthesized
both chemically and biosynthetically, the latter offers more merits over chemical
approach as it is economically viable, environmentally friendly and easy to carry
out. The biosynthesis of 1,3-PD can be done by transforming glycerol or other
similar substrates using some bacteria, such as Clostridium butyricum and
Klebsiella pneumoniae. However, these natural microorganisms pose some
bottlenecks like low productivity and metabolite inhibition. To overcome these
problems, recent research efforts have been focused more on the development of
new strains by modifying the genome through different techniques, such as
mutagenesis and genetic engineering. Genetically engineered strains obtained by
various strategies cannot only gain higher yield than wild types, but also
overcome some of the barriers in production by the latter. This review paper
presents an overview on the recent advances in the technological approaches to
develop genetically engineered microorganisms for efficient biosynthesis of 1,3
PD.
PMID- 29795994
TI - Solid-State Fermentation of Carrot Pomace for the Production of Inulinase by
Penicillium oxalicum BGPUP-4.
AB - Inulinases are an important class of industrial enzymes which are used for the
production of high-fructose syrup and fructooligosaccharides. Inulin, a
polyfructan, is generally employed for the production of inulinase, which is a
very expensive substrate. A number of agroindustrial residues have been used for
cost-effective production of inulinases. In the present study, carrot pomace was
selected as a substrate for the production of inulinase by Penicillium oxalicum
BGPUP-4 in solid-state fermentation. Carrot pomace is one of the good substrates
for bioprocesses, because it is rich in soluble and insoluble carbohydrates. A
central composite rotatable design (CCRD) used in response surface methodology
was employed for the optimal production of inulinase from carrot pomace. Using
CCRD, 15 runs were practiced to optimize the range of three independent
variables: moisture content (70-90%), incubation time (4-6 days) and pH (5.0-7.0)
for inulinase production. Carrot pomace supplemented with 0.5% inulin as an
inducer, 0.2% NH4H2PO4, 0.2% NaNO3, 0.2% KH2PO4, 0.05% MgSO4.7H2O and 0.001%
FeSO4.7H2O was used for the production of inulinase in solid-state fermentation
at 30 degrees C. Inulinase production (322.10 IU per g of dry substrate) was
obtained under the optimized conditions, i.e. moisture content of 90%, incubation
time 4 days and pH=7.0. The corresponding inulinase/invertase (I/S) ratio (3.38)
was also high, which indicates the inulolytic nature of the enzyme. Multiple
correlation coefficients R for inulinase production and I/S ratio were 0.9995 and
0.9947, respectively. The R value very close to one indicates an excellent
correlation between experimental and predicted results.
PMID- 29795995
TI - Dye-Affinity Nanofibrous Membrane for Adsorption of Lysozyme: Preparation and
Performance Evaluation.
AB - Polyacrylonitrile (PAN) nanofibrous membrane was prepared by an electrospinning
technique. After heat treatment and alkaline hydrolysis, the weak ion exchange
membrane was grafted with chitosan molecule and then covalently immobilized with
a Cibacron Blue F3GA (CB). Fibre diameter, porosity and pore size of the membrane
and immobilized dye density were characterized. Furthermore, the membrane was
applied to evaluate the binding performance of lysozyme under various operating
parameters (pH, chitosan mass per volume ratio, dye concentration, ionic strength
and temperature) in batch mode. The experimental results were directly applied to
purify lysozyme from chicken egg white by membrane chromatography. The results
showed that the capture efficiency, recovery yield and purification factor were
90 and 87%, and 47-fold, respectively, in a single step. The binding capacity
remained consistent after five repeated cycles of adsorption-desorption
operations. This work demonstrates that the dye-affinity nanofibrous membrane
holds great potential for purification of lysozyme from real feedstock.
PMID- 29795996
TI - Acrylamide Mitigation in Fried Kochchi Kesel Chips Using Free and Immobilized
Fungal Asparaginase.
AB - Acrylamide is formed when food products are fried at high temperature. Food
researchers are constantly working on developing efficient methods for mitigating
acrylamide in fried foods. In the present study, asparaginase from Aspergillus
terreus was used for the pretreatment of kochchi kesel banana slices before
frying to mitigate acrylamide formation during frying. The soaking and frying
conditions were optimized using free and chitosan-immobilized asparaginase. The
optimal soaking temperature and time were found to be 60 degrees C and 20 min,
respectively. The optimal activity of free and chitosan-immobilized asparaginase
was found to be 5 U/mL. The optimal frying temperature and time for both free and
chitosan-immobilized asparaginase were found to be 180 degrees C for 25 min with
an acrylamide mass fraction of 1866 and 954 ug/kg, respectively. The kinetics and
thermodynamics of enzymatic mitigation of acrylamide in kochchi kesel chips were
also studied. It was concluded that the chitosan-immobilized asparaginase
pretreatment of kochchi kesel slices is an effective method for mitigation of
acrylamide.
PMID- 29795993
TI - Applications of Microbial Enzymes in Food Industry.
AB - The use of enzymes or microorganisms in food preparations is an age-old process.
With the advancement of technology, novel enzymes with wide range of applications
and specificity have been developed and new application areas are still being
explored. Microorganisms such as bacteria, yeast and fungi and their enzymes are
widely used in several food preparations for improving the taste and texture and
they offer huge economic benefits to industries. Microbial enzymes are the
preferred source to plants or animals due to several advantages such as easy,
cost-effective and consistent production. The present review discusses the recent
advancement in enzyme technology for food industries. A comprehensive list of
enzymes used in food processing, the microbial source of these enzymes and the
wide range of their application are discussed.
PMID- 29795997
TI - Property Improvement of alpha-Amylase from?Bacillus stearothermophilus by
Deletion of Amino Acid?Residues Arginine 179 and Glycine 180.
AB - To improve the properties of alpha-amylase from Bacillus stearothermophilus
(AmyS), a deletion mutant AmyS?R179-G180 was constructed by deleting arginine
(Arg179) and glycine (Gly180) using site-directed mutagenesis. AmyS and AmyS?R179
G180 were expressed in Bacillus subtilis and purified by ammonium sulfate
precipitation, after which the enzymatic properties were characterized and
compared. By deleting amino acids Arg179 and Gly180, the thermostability of alpha
amylase AmyS?R179-G180 was enhanced and the half-life at 100 degrees C
significantly increased from 24 to 33 min. In addition, AmyS?R179-G180 exhibited
greater acid resistance and lower calcium requirements to maintain alpha-amylase
activity. The secretory capacity of the recombinant strain was evaluated by fed
batch fermentation in a 7.5-litre fermentor in which high alpha-amylase activity
was obtained. The highest activity reached 3300 U/mL with a high productivity of
45.8 U/(mL.h).
PMID- 29795998
TI - Microencapsulation of Algal Oil Using Spray Drying Technology.
AB - This work aims at developing a process of microencapsulation of algal oil
containing >=40% docosahexaenoic acid (DHA) using spray drying technology. Purity
Gum(r) 2000 and Capsul(r), both obtained from waxy corn starch, were chosen as
the encapsulation materials. The effects of emulsification conditions on the
droplet size, stability, viscosity and surface tension, and the effects of
spraying conditions on the particle size, moisture content and surface oil
content were investigated successively. The morphology of emulsion droplets and
the microcapsules was observed by optical microscope and scanning electron
microscopy. The results showed that the produced spherical microcapsules were
smooth and free of pores, cracks, and surface indentation when shear velocity was
8.63 m/s in the first step of emulsification, homogenization pressure was
1.75.108 Pa and number of passes through homogenization unit was six for fine
emulsification, rotational speed of spray disk was 400 s-1, and air inlet
temperature was 170 degrees C. Therefore, it was concluded that the
emulsification and encapsulation of algal oil containing DHA with above process
was feasible.
PMID- 29795999
TI - Effects of Formic or Acetic Acid on the Storage Quality? of Mixed Air-Dried Corn
Stover and Cabbage Waste,?and Microbial Community Analysis.
AB - A mixture of air-dried corn stover and cabbage waste was ensiled to preserve
lignocellulosic biomass for use as biofuel. Furthermore, the effects of different
fresh mass fractions (0.3 and 0.6%) of formic or acetic acid on the mixed silage
quality were evaluated to guarantee its quality. The application of formic or
acetic acid prior to mixing the silage led to higher water-soluble carbohydrate
fractions than the negative control, indicating that both acids contributed to
preservation of water-soluble carbohydrates during storage for 170 days. The dry
matter content was also increased after storage from 90 to 170 days. It was found
that the content of neutral and acid detergent fibre, cellulose and holocellulose
(the sum of cellulose and hemicellulose) in mixed silage treated with formic or
acetic acid was significantly lower than that obtained in the negative control.
The pH and the ratio of ammoniacal nitrogen to total nitrogen in mixed silage
treated with acetic acid also significantly decreased. Furthermore, the addition
of formic or acetic acid significantly weakened the fermentation intensity of
lactic acid, depending on the ratio of lactic to acetic acid, as well as the
ratio of lactic acid to total organic acids. The number of bacterial species and
their relative abundance shifted during silage mixing, wherein microbial
communities at phylum level mainly consisted of Proteobacteria and Firmicutes.
The dominant bacteria were also observed to shift from Lactobacillus and
Enterobacter in presilage biomass to Lactobacillus and Paralactobacillus.
Specifically, Enterobacter disappeared after 130 days of storage. In conclusion,
the addition of a low dose of acetic acid to fresh mass (0.3%) could effectively
improve the fermentation quality and is conducive to the preservation of the
organic components.
PMID- 29796000
TI - In-Vessel Co-Composting of Food Waste Employing Enriched Bacterial Consortium.
AB - The aim of the present study is to develop a good initial composting mix using a
bacterial consortium and 2% lime for effective co-composting of food waste in a
60-litre in-vessel composter. In the experiment that lasted for 42 days, the food
waste was first mixed with sawdust and 2% lime (by dry mass), then one of the
reactors was inoculated with an enriched bacterial consortium, while the other
served as control. The results show that inoculation of the enriched natural
bacterial consortium effectively overcame the oil-laden co-composting mass in the
composter and increased the rate of mineralization. In addition, CO2 evolution
rate of (0.81+/-0.2) g/(kg.day), seed germination index of (105+/-3) %,
extractable ammonium mass fraction of 305.78 mg/kg, C/N ratio of 16.18, pH=7.6
and electrical conductivity of 3.12 mS/cm clearly indicate that the compost was
well matured and met the composting standard requirements. In contrast, control
treatment exhibited a delayed thermophilic phase and did not mature after 42
days, as evidenced by the maturity parameters. Therefore, a good composting mix
and potential bacterial inoculum to degrade the oil are essential for food waste
co-composting systems.
PMID- 29796001
TI - High-Throughput rRNA Gene Sequencing Reveals High?and Complex Bacterial Diversity
Associated with?Brazilian Coffee Bean Fermentation.
AB - Coffee bean fermentation is a spontaneous, on-farm process involving the action
of different microbial groups, including bacteria and fungi. In this study, high
throughput sequencing approach was employed to study the diversity and dynamics
of bacteria associated with Brazilian coffee bean fermentation. The total DNA
from fermenting coffee samples was extracted at different time points, and the
16S rRNA gene with segments around the V4 variable region was sequenced by
Illumina high-throughput platform. Using this approach, the presence of over
eighty bacterial genera was determined, many of which have been detected for the
first time during coffee bean fermentation, including Fructobacillus,
Pseudonocardia, Pedobacter, Sphingomonas and Hymenobacter. The presence of
Fructobacillus suggests an influence of these bacteria on fructose metabolism
during coffee fermentation. Temporal analysis showed a strong dominance of lactic
acid bacteria with over 97% of read sequences at the end of fermentation, mainly
represented by the Leuconostoc and Lactococcus. Metabolism of lactic acid
bacteria was associated with the high formation of lactic acid during
fermentation, as determined by HPLC analysis. The results reported in this study
confirm the underestimation of bacterial diversity associated with coffee
fermentation. New microbial groups reported in this study may be explored as
functional starter cultures for on-farm coffee processing.
PMID- 29796002
TI - Optimization of Process Parameters for the Production of gamma-Linolenic Acid by
Cunninghamella elegans CFR C07?in Submerged Fermentation.
AB - The production of gamma-linolenic acid (GLA) by the fungus Cunninghamella elegans
CFR C07 in submerged fermentation was studied. Culture parameters such as carbon
source and incubation time were optimized. Four different extraction methods
using solvents with acid washed sand, glass beads, lyophilization and Soxhlet
extraction were evaluated for improved extraction of lipids from the fungal
biomass after fermentation. The GLA production was initially optimized in 250-mL
flask and the process was demonstrated in a 3-litre fermentor. The maximum GLA
production was 882 mg/L in shake flask culture and 733 mg/L in the fermentor. The
study shows that Cunninghamella elegans CFR C07 is a potent organism for the
production of GLA under submerged conditions.
PMID- 29796003
TI - Correlation Between Protein Primary Structure and Soluble Expression Level of HSA
dAb in Escherichia coli.
AB - It is widely accepted that features such as pI, length, molecular mass and amino
acid (AA) sequence have a significant influence on protein solubility. Here, we
mainly focused on AA composition and explored those that most affected the
soluble expression level of human serum albumin (HSA) domain antibody (dAb). The
soluble expression and sequence of 65 dAb variants were analysed using clustering
and linear modelling. Certain AAs significantly affected the soluble expression
level of dAb, with the specific AA combinations being (S, R, N, D, Q), (G, R, C,
N, S) and (R, S, G); these combinations respectively affected the dAb expression
level in the broth supernatant, the level in the pellet lysate and total soluble
dAb. Among the 20 AAs, R displayed a negative influence on the soluble expression
level, whereas G and S showed positive effects. A linear model was built to
predict the soluble expression level from the sequence; this model had a
prediction accuracy of 80%. In summary, increasing the content of polar AAs,
especially G and S, and decreasing the content of R, was helpful to improve the
soluble expression level of HSA dAb.
PMID- 29796004
TI - Production of Pectinase from Bacillus sonorensis MPTD1.
AB - Seven isolates from spoiled fruits and vegetables were screened for pectinase
production using pectin agar plates and the most efficient bacterial strain,
MPTD1, was identified as Bacillus sonorensis. Optimisation of various process
parameters was done using Plackett-Burman and Box-Behnken designs and it was
found that parameters like yeast extract, K2HPO4, incubation time, NaNO3 and KCl
have a negative impact on pectinase production. Parameters like pH and MgSO4 and
pectin mass fractions have a positive impact on pectinase production. The maximum
obtained enzyme activity was 2.43 (MUM/mL)/min. This is the first report on
pectinase production by Bacillus sonorensis.
PMID- 29796005
TI - Molecular Characterization and Directed Evolution of a Metagenome-Derived l
Cysteine Sulfinate Decarboxylase.
AB - l-Cysteine sulfinate decarboxylase (CSD, EC 4.1.1.29), the rate-limiting enzyme
in taurine synthesis pathway, catalyzes l-cysteine sulfinic acid to form
hypotaurine. Identification of the novel CSD that could improve the biosynthetic
efficiency of taurine is important. An unexplored decarboxylase gene named
undec1A was identified in a previous work through sequence-based screening of
uncultured soil microorganisms. Random mutagenesis through sequential error-prone
polymerase chain reaction was used in Undec1A. A mutant Undec1A-1180, which was
obtained from mutagenesis library, had 5.62-fold higher specific activity than
Undec1A at 35 degrees C and pH=7.0. Molecular docking results indicated that
amino acid residues Ala235, Val237, Asp239, Ile267, Ala268, and Lys298 in the
Undec1A-1180 protein helped recognize and catalyze the substrate molecules of l
cysteine sulfinic acid. These results could serve as a basis for elucidating the
characteristics of the Undec1A-1180. Directed evolution technology is a
convenient way to improve the biotechnological applications of metagenome-derived
genes.
PMID- 29796007
TI - B-chronic lymphocytic leukemia showed triple transformation, to diffuse large B
cell, CD20-negative, and T-cell neoplasm during ofatumumab treatment: a case
report.
AB - Background: Chronic lymphocytic leukemia (CLL) is a mature lymphoid neoplasm
currently categorized as an indolent type of malignant lymphoma. CLL progresses
slowly over years, but it eventually transforms to a more aggressive lymphoma
such as the diffuse large B-cell (DLBCL) type, also known as Richter's syndrome.
Case presentation: We treated a 69-year-old Japanese male who was histologically
diagnosed with Richter's syndrome after 6 years of CLL. His lymphadenopathy had
systemically progressed for years, with lymphocyte counts of less than 10,000
cells/MUL and a disease status of Rai classification stage I and Binet
classification B. He had high fever and hepatosplenomegaly upon Richter's
transformation. The patient was treated with ofatumumab for refractory CLL, which
relieved his febrile lymphadenopathy. He received a total of 11 ofatumumab
courses and achieved partial remission. On the day of the 12th course of
ofatumumab, his disease relapsed with febrile lymphadenopathy. Computed
tomography revealed multiple liver masses and systemic lymphadenopathy, while a
liver biopsy confirmed T-cell lymphoma. Concomitantly, CD20-lacking CLL cells
were detected in his peripheral blood and bone marrow, and pathological
examination of his left cervical lymph node biopsy showed CD20-positive DLBCL.
The final diagnosis was three different types of lymphoma pathologies: (1) CD20
positive DLBCL of the lymph nodes, (2) CD20-lacking CLL of the peripheral blood
and bone marrow, and (3) peripheral T-cell lymphoma (PTCL) of the liver. He
received intravenous and oral dexamethasone therapy as palliative care. He died
because of the rapid progression of abdominal masses 2 months after the diagnosis
of triple transformation CLL. An autopsy revealed aggressive PTCL with aggressive
systemic involvement of the liver, spleen, gall bladder, pericardium, bone
marrow, and mediastinal-paraaortic-intraceliac lymph nodes. T-cell receptor study
of an autopsy specimen supported the diagnosis of PTCL that spread to the
intraceliac organs and lymph nodes. We concluded that his pathogenicity
progressed to a mixture of triple lymphoma as a result of double malignant
transformations, which included PTCL from CLL, CD20-negative CLL, and CD20
positive DLBCL by Richter's transformation. Conclusions: Our case provides
information on the biology of CLL, to transform from a low-grade chemosensitive
status to a malignant chemoresistant status.
PMID- 29796008
TI - Low incidence of pneumonia in COPD patients treated with inhaled corticosteroids
undergoing pulmonary rehabilitation.
AB - Background: Based on meta-analyses results, it is currently acknowledged that
there is an increased risk of pneumonia in patients with chronic obstructive
pulmonary disease (COPD) undergoing inhaled corticosteroids (ICS) treatment.
However, this is not found to be true in those with asthma. No data on this risk
are available for COPD patients involved in pulmonary rehabilitation program
(PR). Methods: For 1 year, we prospectively studied 2 cohorts of COPD patients
undergoing PR and not undergoing PR. The first group included 438 patients
undergoing PR of which 353 were treated with ICS, and 85 were treated with
bronchodilators only. The second group was comprised of 76 COPD patients who were
treated with ICS, but not PR. The control group consisted of 49 ICS-treated
patients with asthma. The diagnosis of pneumonia, when suspected, had to be
confirmed with a chest x-ray. Results: Overall, 6 cases of pneumonia were
diagnosed in the first study group: 5 ICS-treated patients and 1 patient treated
only with bronchodilators. This corresponded to a rate of 1.41 and 1.17%,
respectively, compared to a rate of 6.6% in COPD patients not treated with PR,
which was significantly higher (p = 0.029) than that in the first study group. No
case of pneumonia was registered among patients with asthma. Conclusions: These
findings suggest that a significantly lower incidence of pneumonia is found in
COPD patients treated with ICS and PR than in patients treated with ICS but not
with PR. This observation deserves to be investigated in large populations of PR
treated COPD patients, possibly in multi-centric cohort studies.
PMID- 29796009
TI - Regulation of IgE activity in inhalational tolerance via formation of IgG anti
IgE/IgE immune complexes.
AB - Background: Allergic asthma is an inflammatory disorder of the airways that
results from inappropriate production of IgE against harmless, environmental
antigens. Sequestration of free IgE using humanized IgG anti-IgE is an effective
therapy for asthma and other atopic disorders. However, the status of free IgE in
subjects who have naturally developed immune tolerance to inhaled antigens has
not been well studied. Methods: C57BL/6 mice were sensitized and challenged with
ovalbumin (OVA) for 7 days to induce allergic airway disease (AAD) or 6 weeks to
induce a state of local inhalational tolerance (LIT). Serum from AAD or LIT mice,
diluted to achieve equivalent levels of total OVA-specific IgE, was used to
sensitize rat basophil leukemia cells for allergen-mediated degranulation. Levels
of degranulation were measured in relation to serum concentrations of free IgE
and IgG anti-IgE/IgE immune complexes. Results: Serum from AAD animals induced a
greater degree of basophil degranulation than serum from LIT animals. These
results correlated with higher levels of free IgE in AAD animals, whereas LIT
mice demonstrated a significant increase in IgG anti-IgE/IgE immune complexes
relative to their diseased counterparts. Conclusions: Sequestration of free IgE
by naturally occurring IgG anti-IgE may aid in the development of immune
tolerance against inhaled allergens. The decrease in bioavailability of free IgE
may, in turn, contribute to the overall reduction of asthma symptoms via a
mechanism that mimics the therapeutic effects of humanized IgG anti-IgE.
PMID- 29796010
TI - CXCR-4 expression by circulating endothelial progenitor cells and SDF-1 serum
levels are elevated in septic patients.
AB - Background: Endothelial progenitor cell (EPC) numbers are increased in septic
patients and correlate with survival. In this study, we investigated, whether
surface expression of chemokine receptors and other receptors important for EPC
homing is upregulated by EPC from septic patients and if this is associated with
clinical outcome. Methods: Peripheral blood mononuclear cells from septic
patients (n = 30), ICU control patients (n = 11) and healthy volunteers (n = 15)
were isolated by Ficoll density gradient centrifugation. FACS-analysis was used
to measure the expression of the CXC motif chemokine receptors (CXCR)-2 and - 4,
the receptor for advanced glycation endproducts (RAGE) and the stem cell factor
receptor c-Kit. Disease severity was assessed via the Simplified Acute Physiology
Score (SAPS) II. The serum concentrations of vascular endothelial growth factor
(VEGF), stromal cell-derived factor (SDF)-1alpha and angiopoietin (Ang)-2 were
determined with Enzyme linked Immunosorbent Assays. Results: EPC from septic
patients expressed significantly more CXCR-4, c-Kit and RAGE compared to controls
and were associated with survival-probability. Significantly higher serum
concentrations of VEGF, SDF-1alpha and Ang-2 were found in septic patients. SDF
1alpha showed a significant association with survival. Conclusions: Our data
suggest that SDF-1alpha and CXCR-4 signaling could play a crucial role in EPC
homing in the course of sepsis.
PMID- 29796012
TI - Accounting for equity considerations in cost-effectiveness analysis: a systematic
review of rotavirus vaccine in low- and middle-income countries.
AB - Background: Cost-effectiveness analysis (CEA) is frequently used as an input for
guiding priority setting in health. However, CEA seldom incorporates information
about trade-offs between total health gains and equity impacts of interventions.
This study investigates to what extent equity considerations have been taken into
account in CEA in low- and middle-income countries (LMICs), using rotavirus
vaccination as a case study. Methods: Specific equity-related indicators for
vaccination were first mapped to the Guidance on Priority Setting in Health Care
(GPS-Health) checklist criteria. Economic evaluations of rotavirus vaccine in
LMICs identified via a systematic review of the literature were assessed to
explore the extent to which equity was considered in the research objectives and
analysis, and whether it was reflected in the evaluation results. Results: The
mapping process resulted in 18 unique indicators. Under the 'disease and
intervention' criteria, severity of illness was incorporated in 75% of the
articles, age distribution of the disease in 70%, and presence of comorbidities
in 5%. For the 'social groups' criteria, relative coverage reflecting wealth
based coverage inequality was taken into account in 30% of the articles,
geographic location in 27%, household income level in 8%, and sex at birth in 5%.
For the criteria of 'protection against the financial and social effects of ill
health', age weighting was incorporated in 43% of the articles, societal
perspective in 58%, caregiver's loss of productivity in 45%, and financial risk
protection in 5%. Overall, some articles incorporated the indicators in their
model inputs (20%) while the majority (80%) presented results (costs, health
outcomes, or incremental cost-effectiveness ratios) differentiated according to
the indicators. Critically, less than a fifth (17%) of articles incorporating
indicators did so due to an explicit study objective related to capturing equity
considerations. Most indicators were increasingly incorporated over time, with a
notable exception of age-weighting of DALYs. Conclusion: Integrating equity
criteria in CEA can help policy-makers better understand the distributional
impact of health interventions. This study illustrates how equity considerations
are currently being incorporated within CEA of rotavirus vaccination and
highlights the components of equity that have been used in studies in LMICs.
Areas for further improvement are identified.
PMID- 29796013
TI - Delayed double reading of whole blood clotting test (WBCT) results at 20 and 30
minutes enhances diagnosis and treatment of viper envenomation.
AB - Background: The whole blood clotting test (WBCT) is a simple test of coagulation
that is often used in the assessment, diagnosis, and therapeutic monitoring of
snakebite patients in sub-Saharan Africa. WBCT requires only a clean glass tube
and several milliliters of venous blood and is ideal for use in poorly equipped
health centers throughout the rural areas where 95% of snakebites occur. However,
questions surrounding the accuracy and reliability of the test remain unanswered
due to variations in testing conditions and a lack of comparative research with
which to validate them. This is the first study to evaluate WBCT results at both
20-min (WBCT20) and 30-min (WBCT30) reading times in the same group of snakebite
patients. Methods: In order to define the best reading time, the authors compared
the results of serial WBCT evaluation at both 20 and 30 min after collection in
23 patients treated for snake envenomation in Bembereke, northern Benin. Results:
WBCT results were identical at both reading times in patients without
coagulopathy or when coagulation was restored permanently following a single dose
of antivenom. Out of 17 patients with coagulopathy, 14 showed discrepancies
between WBCT20 and WBCT30 results in at least one pair of serial evaluations.
These could be completely contradictory results (e.g. normal clot at WBCT20 and
no clot at WBCT30) or a marked difference in the quality of the clot (e.g. no
clotting activity at WBCT20 and an unstable partial clot at WBCT30). WBCT
discrepancies were encountered most frequently in three situations: initial
normalization of hemostasis following antivenom therapy, detection of a secondary
resumption of coagulopathy, or final restoration of hemostasis after a secondary
resumption had occurred. Conclusions: This study suggests that the WBCT is robust
and that a sequential reading should improve the diagnosis and monitoring of
venom-induced coagulopathies. It also indicates the possibility of discrepancies
in the sensitivity of WBCT20 and WBCT30 for detecting the resolution or
reoccurrence of coagulopathy and identifies how these findings, if confirmed, may
be used to increase the efficacy and efficiency of antivenom treatment in the
field.
PMID- 29796011
TI - Proteomic analysis of buccal gland secretion from fasting and feeding lampreys
(Lampetra morii).
AB - Background: Previous studies have shown that lamprey buccal glands contain some
regulators related to anticoagulation, nociception, and immune responses due to
the blood sucking habit. Regrettably, the protein expression profile in the
buccal glands of feeding lampreys has never been reported yet. The present study
was performed in order to further identify more proteins which are closely
associated with lamprey feeding process. Methods: 2D-PAGE, NanoLC-MS/MS with
higher resolution, Ensembl lamprey and NCBI protein databases, as well as western
blot was used to compare the proteomics of buccal gland secretion from China
northeast lampreys (Lampetra morii) which had been fed for 0, 10, and 60 min,
respectively. Results: In the present study, the number of identified protein
species in the buccal glands of feeding groups (60 min) was increased
significantly, nearly ten times of that in the fasting group. During the feeding
stage, novel proteins emerged in the buccal gland secretion of lampreys.
According to gene ontology (GO) analysis and function predictions, these proteins
were summarized and discussed based on their potential roles during feeding
process. Furthermore, some of the identified proteins were confirmed to express
during the feeding time of lampreys. Conclusion: When lampreys attack host fishes
to suck blood and flesh, their buccal glands could secrete enough proteins to
suppress blood coagulation, nociception, oxidative stress, immune response, as
well as other adverse effects encountered during their parasitic lives. The
present study would provide clues to clarify the feeding mechanism of the
bloodsucking lampreys.
PMID- 29796014
TI - Anodal tDCS over Primary Motor Cortex Provides No Advantage to Learning Motor
Sequences via Observation.
AB - When learning a new motor skill, we benefit from watching others. It has been
suggested that observation of others' actions can build a motor representation in
the observer, and as such, physical and observational learning might share a
similar neural basis. If physical and observational learning share a similar
neural basis, then motor cortex stimulation during observational practice should
similarly enhance learning by observation as it does through physical practice.
Here, we used transcranial direct-current stimulation (tDCS) to address whether
anodal stimulation to M1 during observational training facilitates skill
acquisition. Participants learned keypress sequences across four consecutive days
of observational practice while receiving active or sham stimulation over M1. The
results demonstrated that active stimulation provided no advantage to skill
learning over sham stimulation. Further, Bayesian analyses revealed evidence in
favour of the null hypothesis across our dependent measures. Our findings
therefore provide no support for the hypothesis that excitatory M1 stimulation
can enhance observational learning in a similar manner to physical learning. More
generally, the results add to a growing literature that suggests that the effects
of tDCS tend to be small, inconsistent, and hard to replicate. Future tDCS
research should consider these factors when designing experimental procedures.
PMID- 29796015
TI - Identification of Binding Partners of Deafness-Related Protein PDZD7.
AB - PDZD7 is an important deafness gene, whose mutations are associated with
syndromic and nonsyndromic hearing loss. PDZD7 contains multiple PDZ domains that
are essential for organizing various proteins into protein complex. Several PDZD7
binding proteins have been identified, including usherin, ADGRV1, whirlin,
harmonin, SANS, and MYO7A, all belonging to USH proteins. Here, we report the
identification of novel PDZD7-binding partners through yeast two-hybrid screening
using the first two PDZ domains of PDZD7 as bait. Eleven proteins were
identified, most of which have not been reported as PDZD7-binding partners
before. Among the identified proteins, ADGRV1, gelsolin, and beta-catenin have
been shown to play important roles in hearing, whereas the functions of other
proteins in the inner ear remain elusive. We confirmed the expression of one
candidate PDZD7-binding protein, CADM1, in the mouse inner ear and evaluated the
auditory function of Cadm1 knockout mice by performing auditory brainstem
response (ABR) measurement. Unexpectedly, Cadm1 knockout mice show normal hearing
threshold, which might be explained by the possible compensation by its homologs
that are also expressed in the inner ear. Taken together, our work identified
several novel PDZD7-binding proteins, which will help us to further understand
the role of PDZD7 in hearing transduction.
PMID- 29796016
TI - ERP and Behavioral Effects of Physical and Cognitive Training on Working Memory
in Aging: A Randomized Controlled Study.
AB - Working memory (WM) performance decreases with age. A promising method to improve
WM is physical or cognitive training. The present randomized controlled study is
aimed at evaluating the effects of different training methods on WM. A sample of
141 healthy older adults (mean age 70 years) was assigned to one of four groups:
physical training, cognitive training, a social control group, and a no-contact
control group. The participants trained for four months. Before and after the
training, n-back task during an EEG recording was applied. The results show that
cognitive training enhanced the target detection rate in the 2-back task. This
was corroborated by an increased number of repeated digits in the backward digit
span test but not in other memory tests. The improvement of WM was supported by
an increased P3a prior to a correct target and an increased P3b both in nontarget
and target trials. No ERP effects in the physical and no-contact control groups
were found, while a reduction of P3a and P3b was found in the social control
group. Thus, cognitive training enhances frontal and parietal processing related
to the maintenance of a stored stimulus for subsequent matching with an upcoming
stimulus and increases allocation of cognitive resources. These results indicate
that multidomain cognitive training may increase WM capacity and neuronal
activity in older age.
PMID- 29796018
TI - Framework for Parallel Preprocessing of Microarray Data Using Hadoop.
AB - Nowadays, microarray technology has become one of the popular ways to study gene
expression and diagnosis of disease. National Center for Biology Information
(NCBI) hosts public databases containing large volumes of biological data
required to be preprocessed, since they carry high levels of noise and bias.
Robust Multiarray Average (RMA) is one of the standard and popular methods that
is utilized to preprocess the data and remove the noises. Most of the
preprocessing algorithms are time-consuming and not able to handle a large number
of datasets with thousands of experiments. Parallel processing can be used to
address the above-mentioned issues. Hadoop is a well-known and ideal distributed
file system framework that provides a parallel environment to run the experiment.
In this research, for the first time, the capability of Hadoop and statistical
power of R have been leveraged to parallelize the available preprocessing
algorithm called RMA to efficiently process microarray data. The experiment has
been run on cluster containing 5 nodes, while each node has 16 cores and 16 GB
memory. It compares efficiency and the performance of parallelized RMA using
Hadoop with parallelized RMA using affyPara package as well as sequential RMA.
The result shows the speed-up rate of the proposed approach outperforms the
sequential approach and affyPara approach.
PMID- 29796019
TI - Cinnamon in Anticancer Armamentarium: A Molecular Approach.
AB - In recent years, natural bioactive components draw a major attention for their
potent anticarcinogenic activity. Cinnamon, one of the traditional spices, most
frequently used in almost every household of tropical countries has got enormous
efficacy to combat cancer. Cinnamon as a whole and/or its active components
exhibited significant antineoplastic activity in different types of cancer. This
review has been carried out to elicit the molecular mechanisms of action of
cinnamon and its components on oncogenic regulators and related pathways. Web
sites of Google Scholar, Medline, and PubMed were searched for articles written
in English and published in peer-reviewed journals from 2003 to 2017. The
anticarcinogenic potential of cinnamon varies with the type of cancer and also
depends on the administered active compound individually or in combination with
some chemicals or even extract of cinnamon as a whole. Some of its active
components exert chemosensitization of well-known anticancer drugs. These
outstanding properties of this spice necessitate its incorporation in both
pharmaceuticals and nutraceuticals to explore possibilities of formulation of
novel drug from this spice for treatment and prevention strategy of cancer.
PMID- 29796017
TI - Changes in Resting-State Connectivity following Melody-Based Therapy in a Patient
with Aphasia.
AB - Melody-based treatments for patients with aphasia rely on the notion of preserved
musical abilities in the RH, following left hemisphere damage. However, despite
evidence for their effectiveness, the role of the RH is still an open question.
We measured changes in resting-state functional connectivity following melody
based intervention, to identify lateralization of treatment-related changes. A
patient with aphasia due to left frontal and temporal hemorrhages following
traumatic brain injuries (TBI) more than three years earlier received 48 sessions
of melody-based intervention. Behavioral measures improved and were maintained at
the 8-week posttreatment follow-up. Resting-state fMRI data collected before and
after treatment showed an increase in connectivity between motor speech control
areas (bilateral supplementary motor areas and insulae) and RH language areas
(inferior frontal gyrus pars triangularis and pars opercularis). This change,
which was specific for the RH, was greater than changes in a baseline interval
measured before treatment. No changes in RH connectivity were found in a matched
control TBI patient scanned at the same intervals. These results are compatible
with a compensatory role for RH language areas following melody-based
intervention. They further suggest that this therapy intervenes at the level of
the interface between language areas and speech motor control areas necessary for
language production.
PMID- 29796020
TI - Soil-Transmitted Helminths in Top Soils Used for Horticultural Purposes in Cape
Coast, Ghana.
AB - This paper investigated the concentrations of eggs of three helminths (roundworm,
hookworm, and whipworm) in the so-called black soils used for domestic and urban
landscaping, home gardening and as growth medium for potted plants and pot
experiments. The black soils are largely collected from active or abandoned waste
dumpsites and fallowed or vegetated idle sites in the urban fringe or rural
areas. Users buy black soils from dealers. Samples of black soils used for
various purposes and at different places were collected for analysis of helminth
eggs. The Modified EPA Method, which combines flotation and sedimentation, was
used to isolate the eggs. The results show that these black soils have
substantial loads of helminth eggs, with roundworm being dominant, followed by
hookworm. Mean concentrations of helminth eggs were 2.45 (roundworm), 1.38
(hookworm), and 0.25 (whipworm) g-1 soil, respectively. The helminth egg loads
also declined with duration of use of the black soils. It is concluded that black
soils used for horticultural purposes in Ghana can be a potential source of
helminth infestation. Therefore, treatment of black soils, regulation of black
soil market and use, and development of growth media industry should be important
components of helminth control strategy.
PMID- 29796021
TI - Association between fractional exhaled nitric oxide, sputum induction and
peripheral blood eosinophil in uncontrolled asthma.
AB - Background: The fractional exhaled nitric oxide (FeNO) and blood eosinophils are
biomarkers of eosinophilic airway inflammation used in the diagnosis and
management of asthma, although induced sputum is the gold standard test for
phenotypic asthma. Nevertheless, the clinical application of the correlation
between sputum eosinophils, FeNO and blood eosinophils is controversial.
Objective: To investigate the clinical application of the correlation between
sputum eosinophils, FeNO and blood eosinophils with uncontrolled asthmatic
patients. It also examined the relationships between these biomarkers in
bronchial reversibility and bronchial hyper-responsiveness (BHR). Methods: This
study evaluated 75 uncontrolled asthmatic patients (symptom control and future
risk of adverse outcomes). All patients underwent the following on the same day:
FeNO, spirometry, BHR or bronchodilator reversibility, sputum induction and blood
collection. Eosinophilic airway inflammation was defined as sputum eosinophils >=
2.5% or FeNO levels >= 32 parts per billion (ppb). Results: A significant
positive relationship was between percentage of sputum eosinophils and FeNO (r =
0.4556; p < 0.0001) and percentage of blood eosinophils (r = 0.3647; p = 0.0013),
and a significant negative correlation was between percentage of sputum
neutrophils and FeNO (r = - 0.3653; p = 0.0013). No relationship between FeNO and
percentage of blood eosinophils (p = 0.5801). ROC curve analysis identified FeNO
was predictive of sputum eosinophilia [area under the curve (AUC) 0.707, p =
0.004] at a cutoff point of 35.5 ppb (sensitivity = 67.3%, specificity = 73.9%).
Percentage of blood eosinophils was also highly predictive with an AUC of 0.73 (p
= 0.002) at a cut-off point of 1.5%, sensitivity and specificity were 61.5 and
78.3%, respectively. Although the sputum neutrophil percentage was correlated
with FeNO, ROC curve of these parameters did not show useful values (AUC = 0.297,
p = 0.003; AUC = 0.295, p = 0.021). Conclusions and clinical relevance: Blood
eosinophils and FeNO can accurately predict eosinophilic airway inflammation in
uncontrolled asthmatic patients. FeNO is poor surrogates for sputum neutrophils
and blood eosinophils. The FeNO level and blood eosinophils, which determine an
optimal cutoff for sputum eosinophilia, need more studies.
PMID- 29796023
TI - Translational Research in Pharmaceutical Sciences.
PMID- 29796024
TI - The Effect of a Single Dose Oral Pregabalin on Hemodynamic Changes and Duration
of Analgesia after Spinal Anesthesia in Orthopedic Surgeries of Tibial Fractures.
AB - Treatment of acute pain and hemodynamic changes after surgery is extremely
important. Various drugs for pain relief after surgery have been studied. The aim
of this study was to evaluate the effect of a single dose of oral pregabalin on
hemodynamic changes and duration of analgesia after spinal anesthesia in
orthopedic surgeries of tibia fractures. This clinical trial was carried out on
120 patients with fractures of the tibia bone in 2014 in city of Zahedan.
Sampling was conducted using randomized blocks and patients were placed into
pregabalin (150 mg PO 1 h before anesthesia) and placebo groups. Duration of
analgesia, severity of anxiety, mean arterial pressure, and pulse rate were
evaluated in both groups. The mean age of the patients was 34.8 +/- 21.7 years
and 84 patients (70%) were male and 36 (30%) were female. There were no
significant differences at baseline characteristics of the patients in two arms
of the study. After surgery, mean arterial pressure, pulse rate and anxiety, were
significantly lower in intervention group. Also the duration of analgesia was
significantly longer in intervention arm (185.3 +/- 4.9 vs 36.9 +/- 13.9, P <
0.01). Dizziness as a side effect of pregabalin was observed in 21 and 11
subjects in intervention and placebo groups, respectively (P < 0.01). The present
study showed that a single dose of oral pregabalin increases the duration of
analgesia and maintains hemodynamic stability in orthopedic surgery patients.
PMID- 29796025
TI - Relationship between Serum Methadone Concentration and Cold Pressor Pain
Sensitivity in Patients Undergoing Methadone Maintenance Therapy.
AB - Hyperalgesia is a common clinical phenomenon among opioid dependent patients on
methadone maintenance therapy (MMT) and it may be associated with undertreated
pain and/or therapeutic failure. This study aimed to investigate association
between serum methadone concentration (SMC) and cold pressor pain responses. Cold
pressor pain responses in 147 opioid dependent patients on MMT were assessed
using cold pressor test (CPT) at 0 h and at 2, 4, 8, 12, and 24 h after the dose
intake. Blood samples were collected at 24 h after the dose. Serum methadone
concentrations were measured using the Methadone ELISA kit and classified into
two categories: < 400 ng/mL and >= 400 ng/mL. Eighty-eight patients (59.9%) had
trough concentrations of < 400 ng/mL and 40.1% had trough concentrations of >=
400 ng/mL. There were significant effects of SMC on the cold pressor pain
threshold (p = 0.019). Patients with concentrations < 400 ng/mL had significantly
higher (almost 60% higher) cold pressor pain threshold (adjusted mean (95% CI) =
30.15 (24.29, 36.01) s) compared to those with concentrations of >= 400 ng/mL
(18.93 (11.77, 26.08) seconds). There was also a 20% difference in pain
tolerance, and 6% difference in cold pressor pain intensity score, neither of
which were significant statistically (p > 0.05). Our results suggest an
association of trough methadone concentration with the cold pressor pain
threshold among opioid dependent patients on MMT. It would be useful to study the
mechanisms underlying this association to help managing pain in such a
population.
PMID- 29796022
TI - Histone modifications and their role in epigenetics of atopy and allergic
diseases.
AB - This review covers basic aspects of histone modification and the role of
posttranslational histone modifications in the development of allergic diseases,
including the immune mechanisms underlying this development. Together with DNA
methylation, histone modifications (including histone acetylation, methylation,
phosphorylation, ubiquitination, etc.) represent the classical epigenetic
mechanisms. However, much less attention has been given to histone modifications
than to DNA methylation in the context of allergy. A systematic review of the
literature was undertaken to provide an unbiased and comprehensive update on the
involvement of histone modifications in allergy and the mechanisms underlying
this development. In addition to covering the growing interest in the
contribution of histone modifications in regulating the development of allergic
diseases, this review summarizes some of the evidence supporting this
contribution. There are at least two levels at which the role of histone
modifications is manifested. One is the regulation of cells that contribute to
the allergic inflammation (T cells and macrophages) and those that participate in
airway remodeling [(myo-) fibroblasts]. The other is the direct association
between histone modifications and allergic phenotypes. Inhibitors of histone
modifying enzymes may potentially be used as anti-allergic drugs. Furthermore,
epigenetic patterns may provide novel tools in the diagnosis of allergic
disorders.
PMID- 29796026
TI - A Systematic Review of Clinical Practice Guidelines for Castration-Resistant
Prostate Cancer.
AB - Cancer constitutes a huge burden on societies in countries with any level of
economic development. Prostate cancer is the first most diagnosed cancer of men
in developed countries and the forth one in developing countries in terms of
incidence rate. It is also the third incident cancer of men in Iran along with a
prevalence of about 10,000 cases. Castration-resistant prostate cancer (CRPC) is
a severe stage of the disease with a number of newly discovered treatment
options. These therapeutic alternatives including abiraterone acetate,
enzalutamide, cabazitaxel, immunotherapy with sipuleucel-T, radiopharmaceuticals
and bone-targeted therapies (zoledronic acid, denosumab) along with docetaxel
have made the decision making process complex and challenging for clinicians. In
addition to the challenges of selecting the best-fit treatment, high costs of new
pharmaceuticals and technologies necessitates the health policy-makers to develop
practice guidelines in adaptation with local resources and limitations. The aim
of this paper is to review the clinical guidelines for the management of CRPC.
For better comprehension of guideline recommendations, the main clinical trials
on new treatments were also identified. The efficacy and safety outcomes
including but not limited to overall survival, progression free survival, quality
of life and adverse effects were summarized. The guidelines of American
Urological Association (AUA), National Comprehensive Cancer Network (NCCN),
European Association of Urology (EUA), Spanish Oncology Genitourinary Group
(SOGG), Asian Oncology Summit, Saudi Oncology Society-Saudi Urology Association
combined guideline, National Institute for Health and Care Excellence (NICE) and
Canadian Urological Association-Canadian Urologic Oncology Group (CUA-CUOG) were
covered in this paper.
PMID- 29796027
TI - Effect of Combination Therapy of Methotrexate with Vitamin A in Patients with Low
Risk GTN (Gestational Trophoblastic Neoplasia).
AB - Methotrexate as a single agent chemotherapy in most women with low risk
gestational trophoblastic neoplasia (GTN) has been associated with high treatment
rate. Combination of methotrexate with Vitamin A due to reduced number of
chemotherapy regime courses is one of the treatment options for patients with low
risk GTN. Therefore, this study was performed with aim to determine the efficacy
of combination therapy of Methotrexate with Vitamin A in low risk GTN treatment.
This randomized clinical trial was performed on 49 patients with low risk
gestational trophoblastic neoplasia. The treatment group (Group A = 19 cases)
weekly received Methotrexate 50 mg/m2, and Vitamin A 200000 IU, intra-muscular,
and the control group (Group B = 30 cases) only received Methotrexate 50 mg/m2
weekly. All patients were followed up for 8 weeks. Then, treatment outcomes were
compared between two groups, and response to therapy was assessed in two groups
by evaluation of HCG serum level. P < 0.05 was considered significant.Mean of B
HCG serum level after 4 weeks in Group A and Group B was 68.5 mIu/mL and 360
mIu/mL, respectively (P = 0.018), and after 8 weeks was 1 mIu/mL and 12 mIu/mL,
respectively (P = 0.074). Combination therapy of Methotrexate and Vitamin A in
low risk GTN is associated with shorter duration of chemotherapy.
PMID- 29796028
TI - Exposure to Antineoplastic Agents Induces Cytotoxicity in Nurse Lymphocytes: Role
of Mitochondrial Damage and Oxidative Stress.
AB - Cytotoxicity and mitochondrial parameters were studied in isolated lymphocytes
and their mitochondria obtained from occupationally exposed nurses through
inhalation exposure to antineoplastic drugs and results were compared to those of
unexposed nurses. The group of occupationally exposed nurses consisted of 50
individuals ranging in age from 30 to 35 years. The control group included 50
nurses who were not occupationally exposed to the preparation and handling of
antineoplastic drugs and their anthropometric and biochemical characteristics
were similar to those of the expose group. All cytotoxicity and mitochondrial
parameters evaluated in exposed group were significantly increased (P < 0.05)
compared to the unexposed control group. Finally, the results of our study
suggest that using antioxidant, mitochondrial and lysosomal protective agents can
be promising drug candidates for the hospital staff in the risk of exposure to
exposure to antineoplastic drugs.
PMID- 29796029
TI - The Streptokinase Therapy Complications and its Associated Risk Factors in
Patients with Acute ST Elevation Myocardial Infarction.
AB - Acute myocardial infarction (AMI) is one of the main leading causes of mortality
and morbidity. Despite the progress in the treatment of AMI, streptokinase is
still being used in many countries. Because of the critical condition of patients
with AMI and complications of streptokinase therapy, this study was performed to
evaluate the pattern of adverse drug reaction (ADRs) induced by streptokinase and
its associated risk factors in patients with acute ST elevation MI. A prospective
cross-sectional study in a 14-month period was done at the university affiliated
referral cardiovascular center. The Naranjo probability scale and Food and drug
administration (FDA) criteria for severity of ADRs were performed for assessing
the ADRs. The linear and logistic regression tests were used to evaluate the
correlation between ADRs and study risk factors. During the study period, 217
patients who received streptokinase were entered. The majority of patients (n =
191) experienced at least one ADR. Six patients died in-hospital mainly because
of cardiac causes. The history of drug allergy was the main predictor in
occurring of ADRs (Odds ratio: 3.26; 95% CI: 1.48-457.6; p =0.026). The most
serious ADR was hemorrhagic stroke with a 1.4% incidence. Hypotension was one of
the most occurred ADR (n = 75). Anaphylactic shock was not detected in this
study. In summary, our study showed that the history of drug allergy is the main
predictor in occurring of ADRs by streptokinase. Furthermore, streptokinase
therapy was associated with a higher rate of hemorrhagic stroke in Iranian
population.
PMID- 29796030
TI - The Availability and Affordability of Cardiovascular Medicines for Secondary
Prevention in Tehran Province (Iran).
AB - Availability and affordability of medicines are crucial to achieving success in
prevention programs, particularly in developing countries. The aim of this study
was to determine the availability and affordability of cardiovascular medicines
for secondary prevention in Tehran province of Iran. A cross-sectional survey was
conducted in Tehran province in 2015, using the 2nd edition of the World Health
Organization/Health Action International methodology. Data on the availability
and affordability of 21 selected cardiovascular medicines were collected from the
public and private healthcare sectors. A total of 120 facilities were included in
the survey and the medicines in this survey were both original and lowest-price
generic. Lowest-price generic equivalent medicines were highly available (> 80%)
in almost all pharmacies of both public and private sectors, while the
availability of original brand medicines was highly poor in public and private
pharmacies. The median price ratios were 0.72 to 0.76 for generic medicines. The
treatment of cardiovascular diseases with lowest-price generic equivalent
medicines was generally affordable; moreover, less than a single day's wage was
adequate to purchase a month?s supply of the lowest priced generic of the
surveyed medicines. The availability of the selected generic medicines for the
secondary prevention of cardiovascular diseases is high in both public and
private sectors and they were affordable for low-paid unskilled government
workers in the province. The result of this study demonstrates that the supply
policies pertaining to generic medicines have been implemented successfully.
PMID- 29796031
TI - Vitamin D Deficiency Predicts the ST Elevation Type of Myocardial Infarction in
Patients with Acute Coronary Syndrome.
AB - According to studies, a significant association exists between the low levels of
vitamin D and cardiovascular diseases such as myocardial infarction (MI). In a
prospective case control study, 88 patients with acute coronary syndrome (ACS)
including ST elevation myocardial infarction (STEMI) and Non-STEMI were enrolled.
The plasma level of 25-hydroxy vitamin D [25(OH) D] was obtained at the time of
acute MI. To assess the association between study variables logistic regression
analysis was done. The overall rate of vitamin D deficiency was documented in
59.1% with the significantly higher prevalence rate in STEMI group (77.5% versus
43.7%; p = 0.001). In STEMI group, the plasma level of 25(OH) vitamin D was
significantly lower than non-STEMI group (13.5 +/- 7.7 versus 24.3 +/- 14.9; p =
0.001). Vitamin D deficiency was the main predictor in occurring the ST elevation
type of MI (Odd ratio: 8.1, 95% CI: 2.3 - 28.2; p = 0.001). The results of the
present study demonstrated a higher prevalence of vitamin D deficiency among ACS
patients. Furthermore, vitamin D deficiency was responsible for occurring ST
elevation type of MI among ACS patients. Large studies are needed to confirm
these findings.
PMID- 29796032
TI - Prevalence and Antimicrobial Susceptibility Patterns of ESBL, AmpC and
Carbapenemase-producing Enterobactericeae Isolated from Hospitalized Patients in
Azerbaijan, Iran.
AB - The current study was conducted to determine prevalence and antimicrobial
susceptibility patterns, ESBL, AmpC and carbapenemase- producers among clinical
isolates of Enterobacteriaceae. Three hundred and seven non-duplicative clinical
isolates were collected from hospitalized patients in five medical centers in
Azerbaijan, Iran. Initial screening for beta-lactamase production was performed
using disc agar diffusion according to Clinical Laboratory Standards Institute
(CLSI) guidelines. Phenotypic confirmatory tests was done using total ESBL/AmpC
confirm kit, KPC/MBL and OXA-48 confirm kit according to manufacturer's
instructions. The overall prevalence of ESBL, AmpC, and carbapenemase-producing
strains were 42.7% (131/307), 14.0%(43/307) and 4.9% (15/307), respectively. The
prevalence of ESBLs was 38.35%, 64.9% and 35.7% for E. coli, K. pneumoniae and E.
cloacae, respectively. Carbapenemase activity was only observed among 15 K.
pneumoniae isolates and detected phenotypes include MBL (9/57, 15.8%), KPC (4/57,
7.0%), and OXA-48 (2/57, 3.5%). Fourteen out of 307 isolates (4.6%) were
recognized to have ESBL or AmpC hyper-producer with decreased cell wall
permeability phenotype. All 4 shigella strains were positive for ESBL and 4
isolates of P. mirabilis, 2 isolates of M. morganii and 1 seratia spp. were
detected as AmpC producer. The only C. freundii strain isolated was positive for
both ESBL and AmpC. This study reveals high prevalence of multidrug-resistant
(MDR) beta-lactamase-producing Enterobactericeae reaching 89.5%. Imipenem and
meropenem showed potent antibacterial activities against all MDR beta-lactamase
producers except for carbapenemase producers. After carbapenems, amikacin,
piperacillin/tazobactam and amoxicillin/clavulanic acid were second the most
effective drugs against beta-lactamase-producing E. coli isolates.
PMID- 29796033
TI - Effect of Melissa officinalis (Lemon balm) on Sexual Dysfunction in Women: A
Double- blind, Randomized, Placebo-controlled Study.
AB - Hypoactive sexual desire disorder (HSDD) is the most prevalent female sexual
dysfunction (FSD) and its bio-psychosocial multifactorial etiology justifies its
multifaceted treatment. In Persian Medicine (PM), the weakness of the main organs
(heart, brain and liver) is one of the important causes of lack of sexual desire;
hence, their strengthening is a priority during treatment. Melissa officinalis is
one of the medicinal plants with tonic characteristics for the main organs in PM
and was used for treatment in this study. The aim of the present study was to
evaluate the efficacy and safety of M. officinalis in the improvement of HSDD in
women. Eighty nine (89) eligible women suffering from decreased sexual desire
were randomly assigned to groups. The participants received medication (500 mg of
aqueous extract of M. officinalis) or placebo 2 times a day for 4 weeks. Changes
in scores of desire, arousal, lubrication, orgasm, satisfaction and pain were
evaluated at the end of 4 weeks of treatment using the Female Sexual Function
Index (FSFI) questionnaire in the two groups. Forty three participants completed
the study. The increase in desire (P < 0.001), arousal (P < 0.001), lubrication
(P < 0.005), orgasm (P < 0.001), satisfaction (P < 0.001), pain (P < 0.002) and
FSFI total score (P < 0.001) in the M. officinalis group was significantly more
than that of the placebo group. The willingness to continue treatment was
significantly higher in the M. officinalis as compared to the placebo group (P <
0.001). M. officinalis may be a safe and effective herbal medicine for the
improvement of HSDD in women.
PMID- 29796034
TI - The Effect of Zizyphus Jujube Fruit Lotion on Breast Fissure in Breastfeeding
Women.
AB - Nipple fissure is a common problem during breastfeeding. Zizyphus Jujube Fruits
is one of the oldest medicinal plants which can heal wounds through its
antimicrobial and anti-inflammatory properties. This study aimed to determine the
effect of jujube lotion on the recovery of breast fissure. This double-blind
clinical trial recruited 100 primiparous lactating women who were randomly
divided into two groups. In Jujube group, mothers used 0.5 mL of Fruit Lotion,
and in control group mothers applied 4-5 drops of their breast milk five times a
day, after breastfeeding. Both groups were examined on the 7th and 14th days
after childbirth. The damage severity was assessed using the Amir scale and the
presence or absence of nipple discharge was recorded. A significant difference
was observed between the two groups in the extent of nipple damage before
intervention on the 3rd day after childbirth and after intervention on the 7th
and 14th days after childbirth (P = 0/02? P = 0/000). No significant difference
was observed in sore nipple discharge between the two groups before the study and
on the 7th day, while a statistically significant difference was observed between
the two groups on the 14th day (P = 0/1, P = 0/01). The finding of this study
revealed that the Zizyphus jujube fruits lotion heals nipple fissure faster and
better than breast milk.
PMID- 29796035
TI - The Effects of Berberis Vulgaris Juice on Insulin Indices in Women with Benign
Breast Disease: A Randomized Controlled Clinical Trial.
AB - The aim of this study was to investigate the effect of Berberis vulgaris (BV)
juice consumption on insulin homeostasis, glycemic profiles of patients with
benign breast disease (BBD). This parallel design, triple-blind, randomized and
placebo controlled clinical trial was conducted on 85 eligible women diagnosed
with BBD who recruited from Nour-Nejat hospital, Tabriz, Iran. Participants were
randomly allocated into either intervention group who received BV juice (480
mL/day, n = 44) or BV juice placebo at the same time (480 mL/day, n = 41). After
a 7 day run-in period, treatments were administered for the duration of 8 weeks.
Participants, care givers and those who assessed laboratory analyses were blinded
to the assignments (IRCT registry no: IRCT2012110511335N2). The relative
treatment effects of BV supplementation showed decreased serum levels of insulin
for 19%, C-peptide for 8%, homeostasis model assessment of insulin resistance
index (HOMA-IR) for 16% and glucose to insulin ratio for 22% but HOMA-B increased
44% relative to placebo group over 8 weeks BV supplementation. Although these
changes were not statistical significant, the mean changes for C-peptide and HOMA
B were significant just after adjusting for baseline data and covariates.
Administration of BV juice showed controlling effects on HOMA related indices,
consequently might have beneficial effects on insulin signaling-related functions
in women with benign breast tumor.
PMID- 29796036
TI - Study of the Effect of Memantine on Negative Sign in Patients with Schizophrenia
and Schizoaffective Disorders.
AB - Memantine, an uncompetitive antagonist of glutamate receptor of the N-methyl-D
aspartate type is approved for the treatment of moderate to severe Alzheimer
disease (1). A growing body of evidence supports a link between the glutamatergic
neurotransmission and schizophrenia (2). The aim of this study was to examine the
efficacy and safety of memantine as an adjunctive treatment for antipsychotics in
patient with psychopathology of schizophrenia and schizoaffective. In this study,
we assessed the effect of memantine on the pro-inflammatory cytokines such as
IL6, TNFalpha and CRP. In this double-blind, placebo-controlled study,
participants were assigned to receive (5-20 mg/day) memantine (n = 29) or placebo
(n = 29), in addition to continuing treatment with antipsychotic for 12 weeks.
The primary efficacy measure was the level of pro-inflammatory cytokines (TNFA,
IL6, CRP). Safety was assessed by means of physical examination, clinical
laboratory evaluation, recording of adverse event (AEs), and measure of
extrapyramidal symptoms. At end point, comparison of biomarkers (IL6, TNFalpha
and CRP) in two groups before and after treatment showed a significant decrease
of TNFalpha (P < 0.001), but the difference was not significant in CRP and IL6
level (p = 0.92 and p = 0.77, respectively). The frequency of serious AEs in the
memantine vs. placebo group was similar.
PMID- 29796037
TI - Effect of Vitamin B6 Versus Propranolol on Antipsychotic-Induced Akathisia: A
pilot Comparative Double-blind Study.
AB - Akathisia is a common adverse effect of antipsychotic drugs and is characterized
by subjective feelings of restlessness. First-line treatment usually consists of
propranolol, a beta adrenergic antagonist. However, propranolol does not seem to
be efficacious in up to 70% of patients. This study was aimed to evaluate the
effect of vitamin B6 versus propranolol on antipsychotic-induced akathisia (AIA).
This study was a comparative, double-blind, randomized trial. In the present
study, 66 adult patients with antipsychotic-induced akathisia were enrolled and
randomized into three groups, and received vitamin B6 300 mg/12 h or 600 mg/12 h
or propranolol 20 mg/12 h. The diagnosis of AIA was made by clinical examination
and its severity was assessed by the Barnes Akathisia Rating Scale. Fifty one
patients completed 5 days of the trial. The results showed that there was no
significant difference in BARS score among the different groups which means that
vitamin B6 attenuated the AIA similar to propranolol. However, there wasn't any
significant difference between high or low dose of vitamin B6. In conclusion, the
results of this trial suggest that vitamin B6 may be beneficial for ameliorating
of antipsychotic-induced akathisia.
PMID- 29796038
TI - Effect of Melatonin Administration on Sleep Quality in Sulfur Mustard Exposed
Patients with Sleep Disorders.
AB - Sulfur mustard (SM) is a toxic agent that targets several tissues. It is the
leading cause of persistent lung disease, progressive deterioration in lung
function, and mortality among injured patients. Disturbed sleep and poor quality
of sleep are common in SM-exposed patients with chronic respiratory problems.
Melatonin is an alternative medication that has been widely used to treat poor
sleep quality caused by several specific conditions. This study aimed to evaluate
the efficacy of melatonin administration in improvement of sleep quality in SM
injured patients. In this randomized, double-blind and placebo-controlled trial
study a total of 30 SM-exposed male patients were recruited. Patients received 3
mg melatonin (N = 15) or placebo (N = 15), orally in a single dose, 1 h before
bedtime for 56 consecutive days. Sleep quality was evaluated by Pittsburgh Sleep
Quality Index (PSQI); daytime sleepiness was measured by Epworth Sleepiness Scale
(ESS), and the risk of obstructive sleep apnea was determined by STOP-Bang
questionnaire. Compared with placebo, melatonin administration significantly
improved global PSQI score, particularly sleep latency (P = 0.03) and subjective
sleep quality (P = 0.004). Mean of global PSQI score was declined significantly
(P = 0.01) from 10.13 +/- 3.44 to 6.66 +/- 3.08 in melatonin group. No
differences in ESS and STOP-Bang scores were observed between two groups.
Melatonin was effective in improving global PSQI score and sleep latency, but not
daytime sleepiness and obstructive sleep apnea in SM-exposed patients. Further
long-term studies involving larger number of patients are needed before melatonin
can be safely recommended for the management of sleep disturbances in these
patients.
PMID- 29796039
TI - Initial Results of a Prospective Study and Identification of New Strategies to
Increase Traceability of Plasma-derived Medicines.
AB - Plasma medicine is an innovative and emerging field used in a broad range of
medical conditions. The present study focused on consumption and documentation
pattern of plasma-derived medicines in a teaching hospital. A two-step study was
conducted from October to December 2015. During the first phase, the patient
records receiving plasma-derived medicines including Coagulation Factor VIII, IX,
Prothrombin Complex Concentrate, Factor VIII/Von Wilberand Complex, Anti
Hepatitis B Immunoglobulin, Intravenous Immunoglobulin, Anti-Tetanus
Immunoglobulin, and Albumin were checked to assess recording details of these
medications at the time of administration. Adverse events reported with the
mentioned products were examined from traceability viewpoint. The second step
concentrated on practical strategies to improve documentation status of plasma
derived medicines in the hospital. We proposed national guideline as the first
strategy and a new barcoding system to track and identify drug information of
plasma medicines. Of the expected drug information, only generic name, dosage
from, and strength were recorded after administration. Post-marketing safety
surveillance of the plasma products was poor similarly. Unavailability of
suitable instructions was the main reason for documentation deficiency. A
guideline was designed and implemented to inform healthcare professionals about
essentials of appropriate documentation for plasma-derived medicines. Updated
results of the ongoing phase will be submitted soon. Our survey highlights the
importance of documentation as a key component of plasma-derived medicines
surveillance within the hospitals.
PMID- 29796040
TI - Evaluation of Faculty and Non-faculty Physicians' Medication Errors in
Outpatients' Prescriptions in Shiraz, Iran.
AB - This study was aimed at finding the occurrence rate of prescription errors in the
outpatients> prescriptions written by faculty and non-faculty physicians
practicing in Shiraz, Iran. In this cross-sectional study 2000 outpatient
prescriptions were randomly collected from pharmacies affiliated with Shiraz
University of Medical Sciences (SUMS) and social security insurance in Shiraz,
Iran. Patient information including age, weight, diagnosis and chief complain
were recorded. Physicians 'characteristics were extracted from prescriptions.
Prescription errors including errors in spelling, instruction, strength, dosage
form and quantity as well as drug-drug interactions and contraindications were
identified. The mean +/- SD age of patients was 37.91 +/- 21.10 years. Most of
the patients were male (77.15%) and 81.50% of patients were adults. The average
total number of drugs per prescription was 3.19 +/- 1.60. The mean +/- SD of
prescription errors was 7.38 +/- 4.06. Spelling error (26.4%), instruction error
(21.03%), and strength error (19.18%) were the most frequent prescription errors.
The mean +/- SD of prescription errors was 7.83 +/- 4.2 and 6.93 +/- 3.88 in non
faculty and faculty physicians, respectively (P < 0.05). Number of prescription
errors increased significantly as the number of prescribed drugs increased. All
prescriptions had at least one error. The rate of prescription errors was higher
in non-faculty physicians. Number of prescription errors related with the
prescribed drugs in the prescription.
PMID- 29796041
TI - Medication Interaction and Physicians' Compliance Assessment through Medication
Reconciliation Forms in a University Affiliated Hospital.
AB - Medication interactions are associated with various unwanted adverse drug
reactions. Medication Reconciliation involves a process in which a complete list
of patient's previously prescribed medications are recorded and subsequently
evaluated within the context of concomitantly prescribed medications and present
medical condition during the hospitalization. Medical records of randomly
selected 270 patients hospitalized in internal medicine, cardiovascular and
infectious diseases wards were evaluated. Drug interactions were checked by
LexiComp(r) database. Each interaction was assigned a risk rating of A, B, C, D,
or X. The progression from A to X was based on increased urgency for responding
to the data. Completed reconciliation forms were attached to patient charts for
evaluation of physicians' compliance. Drug interactions were observed in 65.2%
(176/270) of cases. The risk rating of interactions was categorized as C, D and X
in 54.2%, 32.4%, and 13.4% of cases, respectively. There was a positive
correlation between the number of prescribed medications and the rate of
interactions (p-value < 0.001, Kendall's correlation coefficient = 0.487).
Moreover, the length of hospitalization and the rate of drug interactions were
significantly correlated (p-value < 0.001, Kendall's correlation coefficient =
0.350). Cardiovascular agents constituted the largest proportion of interactions
(25%) followed by antibiotics (18%) and immunosuppressive agents (6%). In 59.6%
of cases, no corrective action was taken by the physicians. Medication
discrepancies occur commonly in hospital settings. Structured medication
reconciliation may have a positive impact on prevention of medication errors.
PMID- 29796042
TI - Assessment of Pharmacists Experiences and Attitudes Toward Professionalism and
its Challenges in Pharmacy Practice.
AB - Nowadays pharmacists should be involved in patients care and providing
pharmaceutical care more than before, but still there is a gap between standard
of care and pharmacy practice in pharmacies. In this study we aimed at evaluating
the pharmacists experiences and attitudes about ethical professional practice in
pharmacies. The study was conducted in the Tehran University of Medical Sciences,
Tehran, Iran. This study performed as a mixed method study including 12 semi
structured interviews and two focus group discussions (FGDs). All interviews and
FGDs were recorded verbatim. The study evaluates the pharmacy practice based on
the Code of Ethics for National Pharmaceutical System requirements. Our study
presents the pharmacists ethical challenges in 14 areas of practice such as lack
of proper pharmacists-patients and inter and intra-professional relationship;
poor management of medication error; lack of pharmacists awareness about their
responsibilities, professional rules and regulations; non-OTC drug dispensing
without prescription; no collaboration with custodian organizations;
dissatisfaction from profession; financial problems; mismanagement in confronting
with ads and offers of pharmaceutical companies, and conflict of interest; and
uneven drug distribution during shortage. For providing standard pharmaceutical
care modification of infra structures, educational system and regulations in
pharmaceutical system is highly recommended.
PMID- 29796044
TI - Steroids in the Management of Synkinesis after Traumatic Oculomotor Palsy in
India.
AB - Traumatic oculomotor nerve palsies may result in aberrant regeneration and
synkinesis that can impair normal facial function. We retrospectively
investigated 16 patients with traumatic oculomotor nerve palsies who were treated
with and without steroids to evaluate the rates of aberrant regeneration. The
overall rates of aberrant regeneration were similar between the two groups and in
line with other published series. Some limitations to our study may limit our
ability to detect real differences, and additional studies would be beneficial.
PMID- 29796043
TI - Similar rates of morphological evolution in domesticated and wild pigs and dogs.
AB - Background: Whether the great morphological disparity of domesticated forms is
the result of uniformly higher evolutionary rates compared to the wild
populations is debated. We provide new data on changes of skull dimensions within
historical time periods in wild and domesticated dogs and pigs to test if
domestication might lead to an accelerated tempo of evolution in comparison to
the wild conspecifics. Darwins and Haldanes were used to quantify evolutionary
rates. Comparisons with evolutionary rates in other species and concerning other
characteristics from the literature were conducted. Results: Newly gathered and
literature data show that most skull dimensions do not change faster in
domesticated breeds than in wild populations, although it is well known that
there is extensive artificial selection on skull shape in some dog breeds.
Evolutionary rates among domesticated forms and traits (e.g., production traits
in pigs, and racing speed in some horses and greyhounds) might vary greatly with
species and breeding aim. Conclusions: Our study shows that evolutionary rates in
domestication are not in any event faster than those in the wild, although they
are often perceived as such given the vast changes that appear in a relatively
short period of time. This may imply that evolution under natural conditions -
i.e., without human intervention - is not as slow as previously described, for
example by Darwin. On the other hand, our results illustrate how diverse
domestication is in tempo, mode, and processes involved.
PMID- 29796046
TI - Evaluation of a Relative Afferent Pupillary Defect using the RAPDx(r) Device
Before and After Treatment in Patients with Optic Nerve Disease.
AB - We evaluated the amplitude and latency scores in the RAPDx(r) device together
with other ophthalmic examinations, before and after treatment in four patients
with optic nerve disease. In all patients, the visual acuity (VA) and visual
field (VF) after treatment was resolved. Both scores after treatment were lower,
with reduced laterality-based differences in VA and critical flicker fusion
frequency (CFF). Even after treatment, 3 patients had laterality-based
differences in circumpapillary retinal nerve fiber layer thickness (cpRFNLT).
Both scores for evaluation of RAPD by RAPDx(r) correlated with subjective
examinations and were useful for evaluation of the efficacy of treatment.
PMID- 29796047
TI - Eyelid Myokymia with Concomitant Cerebral Tumour: A Case Report.
AB - Eyelid myokymia is a localised movement disorder of the orbicularis oculi muscle
with involuntary, fine, continuous, and undulating contractions. Although this
entity is thought to be peripheral nerve origin, it rarely occurs with an
intracranial lesion. The authors report a case of eyelid myokymia with
concomitant cerebral tumour. A 52-year-old woman had a 6-month history of left
eyelid myokymia accompanied by upper eyelid ptosis and lower eyelid reverse
ptosis. Magnetic resonance imaging showed a solid mass measuring 20 * 25 * 20 mm
in the temporal lobe of the cerebral cortex, showing isointense on T1-weighted
and hyperintense on T2-weighted images. The clinical diagnosis was cerebral
astrocytoma.
PMID- 29796045
TI - Relative Afferent Pupillary Defects in Homonymous Visual Field Defects Caused by
Stroke of the Occipital Lobe Using Pupillometer.
AB - Relative afferent pupillary defects (RAPD) may be detected in patients with
occipital lobe lesions. However, no previous report has used an objective
technique to record the abnormal pupillary light reflex in such cases. Therefore,
we measured the pupillary light reflex objectively in 15 patients with homonymous
visual field defects (HVFD) due to occipital stroke using a new pupillometer.
This study detected significantly smaller and slower pupillary light reflexes in
the contralateral eyes than in the other eyes, which is equivalent to the
presence of RAPD in patients with HVFDs caused by retrogeniculate lesions using
an objective technique. Our results confirmed those of the previous reports using
the swinging flashlight test more objectively.
PMID- 29796048
TI - The Role of Botulinum Toxin in the Management of Ophthalmoplegia Secondary to
Miller Fisher Syndrome.
AB - Miller Fisher syndrome is an acute demyelinating polyneuropathy classically
presenting with ataxia, areflexia, and ophthalmoplegia. The authors report the
case of a 27-year-old female, who presented with limb weakness and double vision
following a prodromal pharyngitis. Ophthalmic examination revealed fluctuant
ophthalmoplegia eventually consistent with bilateral sixth cranial nerve palsies,
prompting investigation for anti-ganglioside antibodies, which returned positive.
Due to disabling diplopia, the patient was treated with botulinum toxin, with a
resulting favourable reduction in the size of strabismus. Four months following
her presentation, the patient was orthophoric and resumed normal activities.
PMID- 29796049
TI - Basal Encephalocele Presenting with Bitemporal Hemianopsia in an Adult Male.
AB - Basal encephaloceles are uncommon malformations that are usually congenital in
nature, although, occasionally, they can be traumatic. When they are congenital,
they are associated with skull and facial midline defects, central nervous system
abnormalities, and possible ocular and visual problems. The authors present a
case of an adult male with a basal encephalocele who presented with a bitemporal
hemianopsia. Ocular abnormalities, visual loss, and visual field deficits have
previously been reported in patients with basal encephalocele; however, there
does not appear to be any reported cases with formal visual field testing to
demonstrate specific defects such as a bitemporal hemianopsia.
PMID- 29796050
TI - Angiographic Findings in the Tolosa-Hunt Syndrome and Resolution after
Corticosteroid Treatment.
AB - The Tolosa-Hunt syndrome is a rare clinical condition characterized by painful
opthalmoparesis associated with idiopathic granulomatous inflammation of the
orbital apex and cavernous sinus. Historically, this condition was thought to
result from arteritic changes in the internal carotid artery and cavernous sinus.
Modern digital angiographic techniques were unavailable when THS was initially
described, and few reports exist on its high-resolution angiographic findings.
Painful ophthalmoparesis, especially of the oculomotor nerve, warrants vascular
imaging because of the concern for an underlying aneurysm. Here, we describe
angiographic findings of THS which may be useful for clinicians when encountering
patients presenting with painful ophthalmoplegia.
PMID- 29796051
TI - Visual Function Improvement After Optic Nerve Sheath Fenestration in
Osteopetrosis Patients with Optic Canal Stenosis: A Report of Two Cases.
AB - The outcome of two patients with visual loss from osteopetrosis who underwent an
optic nerve sheath fenestration (ONSF) is reported. A 20-year-old male and 26
year-old female with osteopetrosis had optic nerve edema. Computed tomography and
magnetic resonance imaging demonstrated optic canals stenosis. Both patients
underwent unilateral ONSF. After ONSF, the patients experienced improvement in
visual acuity and optic nerve appearance. Therefore, when papilledema is
recognized in osteopetrosis patients, it may be reasonable to start with an ONSF
even if the optic canal seems to be stenotic because of the lower morbidity that
is associated with this procedure compared with other surgical options.
PMID- 29796052
TI - Pseudotumor Cerebri Syndrome with Resolution After Discontinuing High Vitamin A
Containing Dietary Supplement: Case Report and Review.
AB - A 24-year-old non-obese, but slightly overweight, female presented with a two
week history of progressive severe headache associated with two days of blurry
vision. Clinical exam was significant for bilateral papilledema and an enlarged
blind spot on visual field testing. Contrast enhanced MRI head revealed no space
occupying lesion. A lumbar puncture revealed an elevated opening pressure of 38
cm H2O with normal cerebrospinal fluid composition leading to a diagnosis of
pseudotumor cerebri syndrome (PTCS). The patient lacked the typical risk factors
of high body mass index or obvious antecedent medications; however, on subsequent
questioning, she was chronically ingesting a high vitamin A containing weight
loss dietary supplement (Thrive W(r) - Table 1), which we believe had caused
intracranial hypertension. Discontinuation of the diet pill and treatment with
acetazolamide led to marked improvement of her PTCS. This case highlights the
fact that non-traditional products or medications with high vitamin A may cause
pseudotumor cerebri, which treating physicians should assess for while dealing
with non-obese PTCS patients.
PMID- 29796053
TI - Ipilimumab-induced Adenohypophysitis and Orbital Apex Syndrome: Importance of
Early Diagnosis and Management.
AB - Ipilimumab is a novel anti-melanoma agent known to infrequently cause multi-organ
autoimmunity. We report a case of pituitary hypophysitis and orbital inflammation
followed by an orbital apex syndrome. A 64-year-old woman with a history of skin
melanoma, receiving ipilimumab treatment, was seen for near total loss of vision
in the right eye and proptosis. Headache of 3-month duration preceded the onset
of diplopia followed by severe loss of vision in the right eye. Neuro
ophthalmologic examination was consistent with an orbital apex syndrome.
Extensive blood work and magnetic resonance imaging of the brain and orbit
suggested an inflammatory process, rather than a metastatic lesion. Accordingly,
the patient received high-dose methylprednisolone followed by tapering oral
prednisone. At the 6-month follow-up visit, visual acuity on the right eye had
significantly improved but diplopia remained, associated with large amplitude
esotropia that improved incompletely though while on prednisone. The favourable
outcome supported a final diagnosis of ipilimumab-induced inflammatory orbital
apex syndrome and clinically silent pituitary adenohypophysitis. The case
presented herein highlights unexpected ipilimumab-associated adverse effects and
proposes the possibility of and interaction between inflammatory and immune
mechanisms.
PMID- 29796054
TI - Optical Coherence Tomography Characterization of Macular Changes Secondary to
Arteriovenous Fistula.
AB - Arteriovenous fistulas (AVFs) are abnormal shunts between the arterial and venous
vascular systems. These usually produce ocular pain, increased intraocular
pressure (IOP), and diplopia. Less frequently, they may cause retinal changes
with visual impairment. Our purpose is to illustrate different retinal
manifestations of AVF. We report the multimodal imaging study of three cases with
retinal changes due to AVF, showing neurosensory retinal detachment, macular
oedema, and macular ischemia. In conclusion, AVF may appear with different
ophthalmic alterations. While usually increased IOP and diplopia are our main
concerns, retinal study is mandatory, since a myriad of morphologic abnormalities
might be present.
PMID- 29796055
TI - Metastatic Melanoma of the Optic Nerve Sheath.
AB - A 23-year-old man with a history of metastatic melanoma developed painful vision
loss to counting fingers with enhancement of optic nerve on contrast-enhanced
magnetic resonance imaging (MRI) and received a diagnosis of optic neuritis from
an outside hospital. Despite empiric corticosteroid therapy, the patient worsened
and developed secondary central retinal vein occlusion with further deterioration
of vision. Repeat MRI demonstrated optic nerve sheath (ONS) involvement
suggestive of optic perineuritis (OPN) and an ONS biopsy confirmed a rare case of
isolated metastatic melanoma. Our case highlights the clinical and radiographic
features that can mimic OPN and delay diagnosis and treatment.
PMID- 29796056
TI - Diffusion-Weighted Magnetic Resonance Imaging in Acute Retinal Pathology.
AB - The authors describe diffusion-weighted magnetic resonance imaging (DWI) as an
accessible way to screen for acute retinal pathology in emergency setting. They
describe three proof-of-principle patients who had abrupt onset of vision loss
from acute retinal pathology where DWI was diagnostic. The deficits included
central retinal artery occlusion and acute retinitis with retinal necrosis. DWI
can be non-specific for aetiology, but it can rapidly localise the retinal
pathology and initiate early therapeutic interventions in the emergency room.
PMID- 29796057
TI - Perception and practice of breastfeeding in public in an urban community in
Accra, Ghana.
AB - Background: Reported stigmatization and confrontation (verbal and aggressive), of
women when breastfeeding in public spaces constitutes a barrier to the
recommendation to breastfeed infants on demand. While such stigma of
breastfeeding in public has been reported more commonly in Western country media,
there is no documented evidence of this stigma in developing country settings.
The current study describes community perception and experience of breastfeeding
in public in Accra, Ghana. A secondary objective is to explore factors associated
with breastfeeding in public. Methods: A mixed methods design comprising a survey
(n = 300), five Focus Group Discussions (FGD) with lactating women (n = 50), and
nine In-Depth Interviews (IDI) with adult males (n = 5) and female health workers
(n = 4) were also conducted. All data were collected between May and June, 2016
in the Ayawaso-West Sub-Metropolis, Accra. Data on sociodemographic
characteristics; obstetric and breastfeeding history; and also perception,
experience, and practice of breastfeeding in public, were collected. FGDs and IDI
data were triangulated with survey data and presented using descriptive and
analytical statistics and content analysis. Results: Majority of the survey
respondents (92%) reported ever practicing breastfeeding in public. However, some
reported feeling uncomfortable (52%), embarrassed (27%), or stigmatized (15%).
Nevertheless, 72% of respondents felt they should be able to breastfeed anywhere.
Almost all respondents (81%) reported covering their breasts when breastfeeding
in public, and 70% felt private places should be used when they breastfed in
public. Women in FGDs and IDI mentioned that they bottle feed their children in
public places as a way to overcome the challenges of breastfeeding in public.
Otherwise, they breastfeed in public because their children need to feed. Women
were more likely to breastfeed in public if they reported support from a spouse
(OR 3.99, 95% CI 1.50, 10.57) or other family members (OR 3.27, 95% CI 1.31,
8.18). Conclusions: Although women reported discomfort associated with
breastfeeding in public, the practice is common. Awareness creation is needed to
empower women to comfortably breastfeed and to sustain societal support of
breastfeeding in public.
PMID- 29796058
TI - Eg5 orchestrates porcine oocyte maturational progression by maintaining meiotic
organelle arrangement.
AB - Background: Kinesin superfamily proteins are microtubule-based molecular motors
essential for the intracellular transport of various cargos, including
organelles, proteins, and RNAs. However, their exact roles during mammalian
oocyte meiosis have not been fully clarified. Results: Herein, we investigated
the critical events during porcine oocyte meiotic maturation with the treatment
of Eg5-specific inhibitor monastrol. We found that Eg5 inhibition resulted in
oocyte meiotic failure by displaying the poor expansion of cumulus cells and
reduced rate of polar body extrusion. In the meantime, the spindle assembly and
chromosome alignment were compromised, accompanied by the decreased level of
acetylated alpha-tubulin, indicative of less stable microtubules. Impaired actin
dynamics and mitochondria integrity were also observed in Eg5-inhibited oocytes.
Additionally, inhibition of Eg5 caused the abnormal distribution of cortical
granules and ovastacin, a cortical granule component, potentially leading to the
fertilization failure. Conclusions: Our findings reveal that Eg5 possesses an
important function in porcine oocyte meiotic progression by regulating the
organelle dynamics and arrangement.
PMID- 29796059
TI - Lung Cancer Mortality in Tuscany from 1971 to 2010 and Its Connections with
Silicosis: A Space-Cohort Analysis Based on Shared Models.
AB - Lung cancer mortality in Tuscany (Italy) for males, from 1971 and 2010, is
investigated. A hierarchical Bayesian model for space-time disease mapping is
introduced. Such a model belongs to the class of shared random effect models and
exploits the birth-cohort as the relevant time dimension. It allows for
highlighting common and specific patterns of risk for each birth-cohort. The
results show that different birth-cohorts exhibit quite different spatial
patterns, even if the socioeconomic status is taken into account. In fact, there
were different occupational exposures before and after the Second World War. The
birth-cohort 1930-35 exhibits high relative risks related to particular areas.
This fact could be connected with occupational exposure to risk factors for
silicosis, perhaps a prognostic status for lung cancer.
PMID- 29796060
TI - A Biologically Inspired Approach to Frequency Domain Feature Extraction for EEG
Classification.
AB - Classification of electroencephalogram (EEG) signal is important in mental
decoding for brain-computer interfaces (BCI). We introduced a feature extraction
approach based on frequency domain analysis to improve the classification
performance on different mental tasks using single-channel EEG. This biologically
inspired method extracts the most discriminative spectral features from power
spectral densities (PSDs) of the EEG signals. We applied our method on a dataset
of six subjects who performed five different imagination tasks: (i) resting
state, (ii) mental arithmetic, (iii) imagination of left hand movement, (iv)
imagination of right hand movement, and (v) imagination of letter "A." Pairwise
and multiclass classifications were performed in single EEG channel using Linear
Discriminant Analysis and Support Vector Machines. Our method produced results
(mean classification accuracy of 83.06% for binary classification and 91.85% for
multiclassification) that are on par with the state-of-the-art methods, using
single-channel EEG with low computational cost. Among all task pairs, mental
arithmetic versus letter imagination yielded the best result (mean classification
accuracy of 90.29%), indicating that this task pair could be the most suitable
pair for a binary class BCI. This study contributes to the development of single
channel BCI, as well as finding the best task pair for user defined applications.
PMID- 29796062
TI - A life less ordinary.
PMID- 29796063
TI - Specialist not tertiary: Providing intensive care medicine in a district general
hospital.
PMID- 29796061
TI - Comparison of anti-HBV regimen with or without adefovir on hepatocellular
carcinoma development of Chronic hepatitis B patients with compensated cirrhosis:
a retrospective cohort study.
AB - Background: The impact of different anti-virus regimens on prognosis of Chronic
hepatitis B (CHB) related cirrhosis remains to be explored. We aim to investigate
whether CHB-related HCC patients receiving nucleoside analogue regimen or not
have a different prognosis. Methods: 242 CHB-related compensated cirrhosis
patients from 2008 June to 2011 December were included in our study and
attributed into groups based on their anti-virus regimens containing adefovir
(ADV) or not. The clinical parameters and virological response between ADV
containing regimen group and non-ADV containing regimen groups were reviewed and
compared. The risk of hepatocellular carcinoma (HCC) development were analyzed
and compared between two groups. Results: 127 patients received anti-virus
regimen containing ADV and 115 patients received anti-virus regimen without ADV.
The cumulative risk of HCC development among patients treated with ADV-contained
therapy was significantly lower than that observed in patients with non-ADV
contained therapy (p<0.05). Multivariate analysis indicated that ADV-containing
regimen treatment was significantly associated with lower probability of HCC
development, (hazard ratio, 0.18; 95% confidence interval range, 0.07-0.45,
p<0.05). Conclusion: Both anti-virus regimens were effective in reducing serum
HBV DNA. Regimen containing ADV decreased the incidence of HCC development in CHB
patients with compensated cirrhosis.
PMID- 29796064
TI - Cost of treating ventilator-associated pneumonia post cardiac surgery in the
National Health Service: Results from a propensity-matched cohort study.
AB - Background: Ventilator-associated pneumonia is associated with significant
morbidity, mortality and healthcare costs. Most of the cost data that are
available relate to general intensive care patients in privately remunerated
institutions. This study assessed the cost of managing ventilator-associated
pneumonia in a cardiac intensive care unit in the National Health Service in the
United Kingdom. Methods: Propensity-matched study of prospectively collected data
from the cardiac surgical database between April 2011 and December 2014 in all
patients undergoing cardiac surgery (n = 3416). Patients who were diagnosed as
developing ventilator-associated pneumonia, as per the surveillance definition
for ventilator-associated pneumonia (n = 338), were propensity score matched with
those who did not (n = 338). Costs of treating post-op cardiac surgery patients
in intensive care and cost difference if ventilator-associated pneumonia occurred
based on Healthcare Resource Group categories were assessed. Secondary outcomes
included differences in morbidity, mortality and cardiac intensive care unit and
in-hospital length of stay. Results: There were no significant differences in the
pre-operative characteristics or procedures between the groups. Ventilator
associated pneumonia developed in 10% of post-cardiac surgery patients. Post
operatively, the ventilator-associated pneumonia group required longer
ventilation (p < 0.01), more respiratory support, longer cardiac intensive care
unit (8 vs 3, p < 0.001) and in-hospital stay (16 vs 9) days. The overall cost
for post-operative recovery after cardiac surgery for ventilator-associated
pneumonia patients was L15,124 compared to L6295 for non-ventilator-associated
pneumonia (p < 0.01). The additional cost of treating patients with ventilator
associated pneumonia was L8829. Conclusion: Ventilator-associated pneumonia was
associated with significant morbidity to the patients, generating significant
costs. This cost was nearer to the lower end for the cost for general intensive
care unit patients in privately reimbursed systems.
PMID- 29796065
TI - The Rule of Threes: three factors that triple the likelihood of families
overriding first person consent for organ donation in the UK.
AB - Between 1 April 2012 and 31 March 2015, 263 of the 2244 families in the UK whose
loved ones had registered to donate organs for transplantation after their death
on the NHS Organ Donor Register chose to override this decision; an override rate
of 11.7%. Multivariable logistic regression analysis was applied to data relating
to various aspects of the family approach in order to identify factors associated
with such overrides. The factors associated with family overrides were failure to
involve the Specialist Nurse for Organ Donation in the family approach (odds
ratio 3.0), donation after circulatory death (odds ratio 2.7) and Black, Asian or
Minority Ethnicity (odds ratio 2.7). This highlights the need to further engage
with these groups in exploring donation as an end of life choice, and suggests
that there may be, from the perspective of the family, fundamental differences
between donation after brainstem death and circulatory death. It further adds to
the body of data linking involvement of the Specialist Nurse for Organ Donation
in the family approach to improved UK consent rates.
PMID- 29796066
TI - The ultrasound neck imaging for tracheostomy study: A study prompting ultrasound
screening prior to percutaneous tracheostomy procedures to improve patient
outcomes.
AB - Introduction: Percutaneous tracheostomy procedures are commonplace in intensive
care units worldwide. Haemorrhagic complications, though potentially fatal, are
thought to be under-reported. Ultrasonography use has not established itself in
these procedures. This study assesses the prevalence and characteristics of pre
tracheal blood vessels, to aid intensivists on potential procedural hazards.
Method: A retrospective, observational study was performed in the UK. Computed
tomography angiograms from January 2012 to October 2014 were randomly retrieved
and analysed for vessel data. Results: A total of 343 adult patients were
included (mean age: 65 (inter-quartile range 52-79), male: 63%). Forty-one
percent of patients demonstrated a vessel overlying percutaneous tracheostomy
insertion sites (C6-T1); veins were more common than arteries (69%, p = 0.001).
Males were more likely to display veins (78 vs. 53%, p < 0.001). Discussion: A
substantial proportion of patients exhibited pre-tracheal vessels. Front-of-neck
ultrasonography has huge potential to identify these. Based on these data, we
believe ultrasonography may be an effective screening tool for percutaneous
tracheostomy procedures to reduce complications. Further research is required to
study outcomes.
PMID- 29796067
TI - A United Kingdom Register study of in-hospital outcomes of patients receiving
extracorporeal carbon dioxide removal.
AB - Introduction: Extracorporeal membrane carbon dioxide removal may have a role in
treatment of patients with hypercapnic respiratory failure and refractory
hypoxaemia and/or hypercapnia. Methods: We report on the use, outcomes and
complications in United Kingdom intensive care units reporting patients on the
Extracorporal Life Support Organisation register. Results: Of 60 patients, 42
(70%) had primarily hypoxic respiratory failure and 18 (30%) primarily
hypercapnic respiratory failure. Use of veno-venous procedures increased compared
to arterio-venous procedures. Following extracorporeal membrane carbon dioxide
removal, ventilatory and blood gas parameters improved at 24 h. Twenty-seven
(45%) of patients died before ICU discharge, while 27 (45%) of patients were
discharged alive. The most common complications related to thrombosis or
haemorrhage. Discussion: There is limited use of extracorporeal membrane carbon
dioxide removal in UK clinical practice and outcomes reflect variability in
indications and the technology used. Usage is likely to increase with the
availability of new, simpler, technology. Further high quality evidence is
needed.
PMID- 29796068
TI - Discrepancies in measuring bladder volumes with bedside ultrasound and bladder
scanning in the intensive care unit: A pilot study.
AB - Objective: Intensive care unit patients are at risk for catheter-associated
urinary tract infection. Earlier removal of catheters may be possible with
accurate measurement of bladder volume. The purpose was to compare measured
bladder volumes with bedside ultrasound, bladder scanner, and urine volume.
Design: Prospective correlational descriptive study. Setting: Surgical/trauma
intensive care unit and medical intensive care unit. Patients: Renal dialysis
patients with less than 100 ml of urine in 24 h prior to urinary catheter removal
and patients with suspected catheter obstruction. Measurements and main results:
A physician trained in ultrasound and an advanced practice registered nurse
trained in bladder scanning measured bladder volume; each blinded to the other's
measurement. Device used first (ultrasound or bladder scanner) alternated daily.
The intensive care unit team determined need for intermittent catheterization or
treatment for suspected obstruction. Fifty-one measurements from 13 patients were
obtained with results reported in milliliters. Ultrasound measurements were a
mean volume of 72.1 +/- 127 (range: 1.7-666) and the bladder scanner measurements
were 117 +/- 131 (0-529). On six occasions in five dialysis patients, urine
volume measurement was available. The mean difference in ultrasound-urine volume
mean difference was 0.5 +/- 37.8 (range: -68 to 38.2) and the bladder scanner
urine volume was 132 +/- 167 (-72 to 397). Two patients with suspected catheter
obstructions had ultrasound, bladder scanner, urine volume measurements,
respectively: (1) 539, 51, >300 (began voiding before catheter replaced); (2)
666, 68, 1000 with catheter replacement. Conditions leading to greatest
differences were obesity, indwelling catheter and ascites. Conclusions: These
results demonstrate the inaccuracy of the bladder scanner. Ultrasound
measurements appear more accurate. To remove urinary catheters in patients with
minimal to low urine output, serial ultrasound measurements can be used to
monitor bladder volumes and return of renal function.
PMID- 29796069
TI - Barriers to the secondary use of data in critical care.
AB - Purpose: We explore the challenges of the secondary use of data in clinical
information systems which critical care units in the National Health Service
(England) are facing. Methods: We conducted an online survey of critical care
units in England regarding their practices in collecting and using clinical
information systems and data. Results: Critical care units use clinical
information systems typically independently of hospital information systems and
focus mainly on using data for auditing, management reporting and research.
Respondents reported that extracting data from their clinical information system
was difficult and that they would use stored data more if it were easier to
access. Data extraction takes time and who extracts data, the training they
receive and the tools they use affect the extraction and use of data. Conclusion:
A number of key challenges affect the secondary use of data in critical care: a
lack of integration of information systems within critical care and across
departments; barriers to accessing data; mismatched data tools and user requests.
Data are predominantly used for reporting and research with less emphasis on
using data to inform clinical practice.
PMID- 29796070
TI - Retrospective analysis of cancer patients admitted to a tertiary centre with
suspected neutropenic sepsis: Are C-reactive protein and neutrophil count useful
prognostic biomarkers?
AB - Historically, neutropenic sepsis has been associated with high mortality rates.
However, there has been limited research into cancer patients admitted with
suspected sepsis who are found to be non-neutropenic. C-reactive protein has been
shown to be raised in cancer patients for reasons other than infection and there
have been limited studies to look as its utility as a prognostic biomarker in
suspected sepsis in this population. This study looked at 749 patients admitted
to a tertiary cancer centre between January 2015 and February 2016 with suspected
sepsis. The neutrophil count and C-reactive protein level was taken in all these
patients on admission and at 72 h and compared to the primary outcome of 30-day
all-cause mortality rates and hospital length of stay. There were 49 patients who
died within 30 days (6.5%). Patients who died were found to have both higher
neutrophil counts and C-reactive protein level on admission and at 72 h compared
to survivors. Prolonged grade 4 neutropenia was shown to have higher mortality
rates. There was only weak correlation between either neutrophil counts or C
reactive protein level and length of hospital stay. This study suggests that
higher C-reactive protein level and neutrophil counts and prolonged grade 4
neutropenia are associated with higher mortality rates in cancer patients
admitted with suspected sepsis and have utility as prognostic biomarkers in this
population.
PMID- 29796071
TI - Reducing sound and light exposure to improve sleep on the adult intensive care
unit: An inclusive narrative review.
AB - Purpose: Sleep disturbance is common in intensive care units. It is associated
with detrimental psychological impacts and has potential to worsen outcome.
Irregular exposure to sound and light may disrupt circadian rhythm and cause
frequent arousals from sleep. We sought to review the efficacy of environmental
interventions to reduce sound and light exposure with the aim of improving
patient sleep on adult intensive care units. Methods: We searched both PubMed
(1966-30 May 2017) and Embase (1974-30 May 2017) for all relevant human (adult)
studies and meta-analyses published in English using search terms ((intensive
care OR critical care), AND (sleep OR sleep disorders), AND (light OR noise OR
sound)). Bibliographies were explored. Articles were included if reporting change
in patient sleep in response to an intervention to reduce disruptive intensive
care unit sound /light exposure. Results: Fifteen studies were identified. Nine
assessed mechanical interventions, four of which used polysomnography to assess
sleep. Five studies looked at environmental measures to facilitate sleep and a
further two (one already included as assessing a mechanical intervention) studied
the use of sound to promote sleep. Most studies found a positive impact of the
intervention on sleep. However, few studies used objective sleep assessments,
sample sizes were small, methodologies sometimes imperfect and analysis limited.
Data are substantially derived from specialist (neurosurgical, post-operative,
cardiothoracic and cardiological) centres. Patients were often at the 'less sick'
end of the spectrum in a variety of settings (open ward beds or side rooms).
Conclusions: Simple measures to reduce intensive care unit patient sound/light
exposure appear effective. However, larger and more inclusive high-quality
studies are required in order to identify the measures most effective in
different patient groups and any impacts on outcome.
PMID- 29796072
TI - Advanced Critical Care Practitioners - Practical experience of implementing the
Advanced Critical Care Practitioner Faculty of Intensive Care Medicine Curriculum
in a London Critical Care Unit.
AB - With a chronic shortage of doctors in intensive care, alternative roles are being
explored. One of these is the role of the Advanced Critical Care Practitioner.
The Advanced Critical Care Practitioner Curriculum was developed by the Faculty
of Intensive Care Medicine and is used to provide a structured programme of
training. The Advanced Critical Care Practitioner programme consists of an
academic and clinical component. This article outlines a practical approach of
how the programme was developed and is currently being delivered at a single
institution. This new advanced practice role offers opportunities to fill gaps in
the medical workforce, improve continuity of patient care, provide mentoring and
training for less experienced staff as well as offering a rewarding clinical
role.
PMID- 29796073
TI - Classic cases revisited - Death of a nurse and the anatomy of error.
AB - The tragic case of Mayra Cabrera who died as a result of wrong route drug
administration is notable as it was the first time a verdict of unlawful killing
was recorded against an NHS Trust. Error within medicine is a significant cause
of patient morbidity and mortality. We explore the costs of error, the dynamics
of error causation, the role of both the individual and institution in
accountability for error, as well as transferrable lessons from other industries
to reduce error.
PMID- 29796074
TI - The life and work of Antonio Maria Valsalva (1666-1723) - Popping ears and
tingling tongues.
AB - The Valsalva manoeuvre is the increase in intra-thoracic pressure achieved by
attempted expiration against a closed upper airway. The contraction of abdominal
and other accessory muscles of respiration attempt to decrease the intra-thoracic
volume, whilst the airway is closed either by the forceful apposition of the
vocal cords or else by firmly closing the lips and sealing off the nose (e.g. by
pinching it). Valsalva described this manoeuver as a way of checking the patency
of the Eustachian tube. However, it has found other uses, including as a way to
terminate episodes of supraventricular tachycardia and stopping ear popping-pain
on high altitude flights. But who was Valsalva?
PMID- 29796075
TI - An easily missed cause for low GCS in a Scottish Intensive Care Unit.
AB - A case report of a 36-year-old patient who presented with seizures, a large
thyroid and decreased consciousness level requiring admission to the intensive
care unit, where the final diagnosis had a prevalence of 2.1/100,000 and a female
to male ratio of 4:1. The final diagnosis was suggested early by a focussed
internet search. This is unusual because as clinicians we often tell the general
public to ignore an internet search. The early suggestion demonstrated that in
the right hands the use of internet searches can help us make more informed
decisions and aid patient management when combined with traditional investigative
medical practice. However, the lesson with this case is that there are many
unusual and rare causes of presentations to critical care units and as clinicians
we should keep an open mind and wide differential diagnosis so as not to miss the
rarer reasons for these presentations.
PMID- 29796077
TI - Low tidal volume ventilation.
PMID- 29796076
TI - Chronic lymphocytic inflammation with pontine perivascular enhancement responsive
to steroids with seizures and central pyrexia, in a patient requiring tracheal
intubation and mechanical ventilation: A case report.
AB - Chronic lymphocytic inflammation with pontine perivascular enhancement responsive
to steroids (CLIPPERS) has been described in multiple case reports since it was
first described in the literature in 2010. The patient described is a 54-year-old
man with no significant medical history who presented with ataxia, diplopia and
hearing and taste disturbances. His GCS reduced to the point at which he required
intubation and he was treated for 50 days on an intensive care unit. The
patient's course also includes seizures, first described in 2014, and central
pyrexia, which has not been previously described in case reports of CLIPPERS.
Intravenous methylprednisolone resulted in a rapid and significant recovery;
neurological rehabilitation is ongoing 10 months from diagnosis. The importance
of recognition of unusual radiological findings and rapid treatment cannot be
overemphasised in improving patient's long-term outcomes.
PMID- 29796078
TI - Targeted bedside echocardiography in the management of critically ill patients.
PMID- 29796080
TI - May the force be with you.
PMID- 29796079
TI - Lessons from lung ultrasound.
PMID- 29796081
TI - A factor analytic investigation of DSM-5 PTSD symptoms in a culturally diverse
sample of refugees resettled in Australia.
AB - Background: Refugees and asylum-seekers are often exposed to multiple types of
potentially traumatic events (PTEs) and report elevated rates of psychological
disorders, including posttraumatic stress disorder (PTSD). Considering this,
refugee populations merit continued research in the field of traumatic stress to
better understand the psychological impact of these experiences. The symptom
structure of PTSD underwent a major revision in the recent formulation in the
fifth edition of the Diagnostic and Statistical Manual of Mental Disorders (DSM
5), and this reformulation has yet to be comprehensively investigated in the
context of PTSD arising from traumatic events experienced by refugees. The
current study assessed the construct validity of the DSM-5 PTSD structure in a
refugee sample from a variety of cultural backgrounds alongside four alternate
models commonly identified in western populations, namely the four-factor
Dysphoria model, the five-factor Dysphoric Arousal model, and the six-factor
Anhedonia and Externalising Behaviours models. Methods: A total of 246 refugees
settled in Australia were assessed using the Harvard Trauma Questionnaire, to
measure exposure to potentially traumatic events (PTEs), and the Posttraumatic
Diagnostic Scale, to assess symptoms of PTSD based on DSM-5 criteria. All
measures were translated into Arabic, Farsi or Tamil using rigorous translation
procedures, or provided in English. Results: Findings from five confirmatory
factor analyses (CFAs) revealed that all models demonstrated acceptable model
fit. However, an examination of relative fit revealed that the DSM-5 model
provided the poorest fit overall for our sample. Instead, we found preliminary
evidence in support of the six-factor Anhedonia model, comprising the symptom
clusters of re-experiencing, avoidance, negative affect, anhedonia, dysphoric
arousal and anxious arousal, as the superior model for our data. Conclusions: Our
findings offer preliminary support for the applicability of the Anhedonia model
to a culturally diverse refugee sample, and contribute to a growing body of
studies which indicate that the DSM-5 model may not best represent the symptom
structure of PTSD found across non-western conflict-affected populations.
PMID- 29796082
TI - Towards Subject-Specific Strength Training Design through Predictive Use of
Musculoskeletal Models.
AB - Lower extremity dysfunction is often associated with hip muscle strength
deficiencies. Detailed knowledge of the muscle forces generated in the hip under
specific external loading conditions enables specific structures to be trained.
The aim of this study was to find the most effective movement type and loading
direction to enable the training of specific parts of the hip muscles using a
standing posture and a pulley system. In a novel approach to release the
predictive power of musculoskeletal modelling techniques based on inverse
dynamics, flexion/extension and ab-/adduction movements were virtually created.
To demonstrate the effectiveness of this approach, three hip orientations and an
external loading force that was systematically rotated around the body were
simulated using a state-of-the art OpenSim model in order to establish ideal
designs for training of the anterior and posterior parts of the M. gluteus medius
(GM). The external force direction as well as the hip orientation greatly
influenced the muscle forces in the different parts of the GM. No setting was
found for simultaneous training of the anterior and posterior parts with a muscle
force higher than 50% of the maximum. Importantly, this study has demonstrated
the use of musculoskeletal models as an approach to predict muscle force
variations for different strength and rehabilitation exercise variations.
PMID- 29796083
TI - Identification of two integration sites in favor of transgene expression in
Trichoderma reesei.
AB - Background: The ascomycete fungus Trichoderma reesei was widely used as a
biotechnological workhorse for production of cellulases and recombinant proteins
due to its large capacity of protein secretion. Transgenesis by random
integration of a gene of interest (GOI) into the genome of T. reesei can generate
series of strains that express different levels of the indicated transgene. The
insertion site of the GOI plays an important role in the ultimate production of
the targeted proteins. However, so far no systematic studies have been made to
identify transgene integration loci for optimal expression of the GOI in T.
reesei. Currently, only the locus of exocellobiohydrolases I encoding gene (cbh1)
is widely used as a promising integration site to lead to high expression level
of the GOI. No additional sites associated with efficient gene expression have
been characterized. Results: To search for gene integration sites that benefit
for the secreted expression of GOI, the food-and-mouth disease virus 2A protein
was applied for co-expression of an Aspergillus niger lipA gene and Discosoma sp.
DsRed1 gene in T. reesei, by random integration of the expression cassette into
the genome. We demonstrated that the fluorescent intensity of RFP (red
fluorescent protein) inside of the cell was well correlated with the secreted
lipase yields, based on which, we successfully developed a high-throughput
screening method to screen strains with relatively higher secreted expression of
the GOI (in this study, lipase). The copy number and the insertion sites of the
transgene were investigated among the selected highly expressed strains.
Eventually, in addition to cbh1 gene locus, two other genome insertion loci that
efficiently facilitate gene expression in T. reesei were identified. Conclusions:
We have successfully developed a high-throughput screening method to screen
strains with optimal expression of the indicated secreted proteins in T. reesei.
Moreover, we identified two optimal genome loci for transgene expression, which
could provide new approach to modulate gene expression levels while retaining the
indicated promoter and culture conditions.
PMID- 29796084
TI - Integrated experimental and technoeconomic evaluation of two-stage Cu-catalyzed
alkaline-oxidative pretreatment of hybrid poplar.
AB - Background: When applied to recalcitrant lignocellulosic feedstocks, multi-stage
pretreatments can provide more processing flexibility to optimize or balance
process outcomes such as increasing delignification, preserving hemicellulose,
and maximizing enzymatic hydrolysis yields. We previously reported that adding an
alkaline pre-extraction step to a copper-catalyzed alkaline hydrogen peroxide (Cu
AHP) pretreatment process resulted in improved sugar yields, but the process
still utilized relatively high chemical inputs (catalyst and H2O2) and enzyme
loadings. We hypothesized that by increasing the temperature of the alkaline pre
extraction step in water or ethanol, we could reduce the inputs required during
Cu-AHP pretreatment and enzymatic hydrolysis without significant loss in sugar
yield. We also performed technoeconomic analysis to determine if ethanol or water
was the more cost-effective solvent during alkaline pre-extraction and if the
expense associated with increasing the temperature was economically justified.
Results: After Cu-AHP pretreatment of 120 degrees C NaOH-H2O pre-extracted and
120 degrees C NaOH-EtOH pre-extracted biomass, approximately 1.4-fold more total
lignin was solubilized (78% and 74%, respectively) compared to the 30 degrees C
NaOH-H2O pre-extraction (55%) carried out in a previous study. Consequently,
increasing the temperature of the alkaline pre-extraction step to 120 degrees C
in both ethanol and water allowed us to decrease bipyridine and H2O2 during Cu
AHP and enzymes during hydrolysis with only a small reduction in sugar yields
compared to 30 degrees C alkaline pre-extraction. Technoeconomic analysis
indicated that 120 degrees C NaOH-H2O pre-extraction has the lowest installed
($246 million) and raw material ($175 million) costs compared to the other
process configurations. Conclusions: We found that by increasing the temperature
of the alkaline pre-extraction step, we could successfully lower the inputs for
pretreatment and enzymatic hydrolysis. Based on sugar yields as well as capital,
feedstock, and operating costs, 120 degrees C NaOH-H2O pre-extraction was
superior to both 120 degrees C NaOH-EtOH and 30 degrees C NaOH-H2O pre
extraction.
PMID- 29796085
TI - Determination of optimal biomass pretreatment strategies for biofuel production:
investigation of relationships between surface-exposed polysaccharides and their
enzymatic conversion using carbohydrate-binding modules.
AB - Background: Pretreatment of lignocellulosic biomass (LCB) is a key step for its
efficient bioconversion into ethanol. Determining the best pretreatment and its
parameters requires monitoring its impacts on the biomass material. Here, we used
fluorescent protein-tagged carbohydrate-binding modules method (FTCM)-depletion
assay to study the relationship between surface-exposed polysaccharides and
enzymatic hydrolysis of LCB. Results: Our results indicated that alkali extrusion
pretreatment led to the highest hydrolysis rates for alfalfa stover, cattail
stems and flax shives, despite its lower lignin removal efficiency compared to
alkali pretreatment. Corn crop residues were more sensitive to alkali
pretreatments, leading to higher hydrolysis rates. A clear relationship was
consistently observed between total surface-exposed cellulose detected by the
FTCM-depletion assay and biomass enzymatic hydrolysis. Comparison of
bioconversion yield and total composition analysis (by NREL/TP-510-42618) of LCB
prior to or after pretreatments did not show any close relationship. Lignin
removal efficiency and total cellulose content (by NREL/TP-510-42618) led to an
unreliable prediction of enzymatic polysaccharide hydrolysis. Conclusions:
Fluorescent protein-tagged carbohydrate-binding modules method (FTCM)-depletion
assay provided direct evidence that cellulose exposure is the key determinant of
hydrolysis yield. The clear and robust relationships that were observed between
the cellulose accessibility by FTCM probes and enzymatic hydrolysis rates change
could be evolved into a powerful prediction tool that might help develop optimal
biomass pretreatment strategies for biofuel production.
PMID- 29796086
TI - Effect of oxygen mass transfer rate on the production of 2,3-butanediol from
glucose and agro-industrial byproducts by Bacillus licheniformis ATCC9789.
AB - Background: 2,3-Butanediol (BD) is a largely used fossil-based platform chemical.
The yield and productivity of bio-based BD fermentative production must be
increased and cheaper substrates need to be identified, to make bio-based BD
production more competitive. As BD bioproduction occurs under microaerobic
conditions, a fine tuning and control of the oxygen transfer rate (OTR) is
crucial to maximize BD yield and productivity. Very few studies on BD
bioproduction focused on the use of non-pathogenic microorganisms and of
byproducts as substrate. The goal of this work was to optimize BD bioproduction
by the non-pathogenic strain Bacillus licheniformis ATCC9789 by (i) identifying
the ranges of volumetric and biomass-specific OTR that maximize BD yield and
productivity using standard sugar and protein sources, and (ii) performing a
preliminary evaluation of the variation in process performances and cost
resulting from the replacement of glucose with molasses, and beef extract/peptone
with chicken meat and bone meal, a byproduct of the meat production industry.
Results: OTR optimization with an expensive, standard medium containing glucose,
beef extract and peptone revealed that OTRs in the 7-15 mmol/L/h range lead to an
optimal BD yield (0.43 +/- 0.03 g/g) and productivity (0.91 +/- 0.05 g/L/h). The
corresponding optimal range of biomass-specific OTR was equal to 1.4-7.9
[Formula: see text], whereas the respiratory quotient ranged from 1.8 to 2.5. The
switch to an agro-industrial byproduct-based medium containing chicken meat and
bone meal and molasses led to a 50% decrease in both BD yield and productivity. A
preliminary economic analysis indicated that the use of the byproduct-based
medium can reduce by about 45% the BD production cost. Conclusions: A procedure
for OTR optimization was developed and implemented, leading to the identification
of a range of biomass-specific OTR and respiratory quotient to be used for the
scale-up and control of BD bioproduction by Bacillus licheniformis. The switch to
a byproduct-based medium led to a relevant decrease in BD production cost.
Further research is needed to optimize the process of BD bioproduction from the
tested byproduct-based medium.
PMID- 29796089
TI - A novel technique of harmonic tissue dissection reduces seroma formation after
modified radical mastectomy compared to conventional electrocautery: a single
blind randomized controlled trial.
AB - Background: Seroma is the most frequent postoperative complication following
breast cancer surgery. Our aim was to evaluate the effect of the harmonic focus
scalpel versus electrocautery in reducing seroma formation post-mastectomy and
axillary clearance. Methods: A prospective randomized controlled trial study was
conducted at the Department of Surgery of Suez Canal University Hospital from
April 26th 2014 to 30th June 2016. Seventy-two women, in whom a mastectomy and
axillary clearance for breast cancer were performed, were randomly allocated to
either harmonic dissection (n = 36) or electrocautery (n = 36). Results: The mean
operative time was significantly longer for harmonic dissection compared with
electrocautery (2.63 +/- 0.41 vs. 1.75 +/- 0.26 h; p < 0.0001). In addition, a
significantly smaller amount of intraoperative blood loss (69.4 +/- 25.1 vs.
255.5 +/- 41.6 ml; p = 0.002) and total drainage volume (1277.8 +/- 172.5 ml vs.
3300 +/- 167.5 ml; p = 0.002) were found in the harmonic group. Moreover, there
was a significant reduction in the time of drain removal (10.9 +/- 1.12 vs. 15.9
+/- 1.44; p = 0.001) and the incidence of seroma formation after drain removal
[8.3% vs 33.3%; p = 0.003] in the harmonic group compared with those in the
electrocautery group. Conclusion: Harmonic dissection technique leads to
significant decreases in intraoperative blood loss, total drainage volume and
postoperative seroma in terms of shorter drain duration with a minimal increase
in the operative time and better quality of life. Here, we recommend the use of
the harmonic dissection technique in mastectomy and axillary clearance.
PMID- 29796088
TI - Yeast lipids from cardoon stalks, stranded driftwood and olive tree pruning
residues as possible extra sources of oils for producing biofuels and
biochemicals.
AB - Background: Some lignocellulosic biomass feedstocks occur in Mediterranean
Countries. They are still largely unexploited and cause considerable problems due
to the lack of cost-effective harvesting, storage and disposal technologies.
Recent studies found that some basidiomycetous yeasts are able to accumulate high
amount of intracellular lipids for biorefinery processes (i.e., biofuels and
biochemicals). Accordingly, the above biomass feedstocks could be used as carbon
sources (after their pre-treatment and hydrolysis) for lipid accumulation by
oleaginous yeasts. Results: Cardoon stalks, stranded driftwood and olive tree
pruning residues were pre-treated with steam-explosion and enzymatic hydrolysis
for releasing free mono- and oligosaccharides. Lipid accumulation tests were
performed at two temperatures (20 and 25 degrees C) using Leucosporidium
creatinivorum DBVPG 4794, Naganishia adeliensis DBVPG 5195 and Solicoccozyma
terricola DBVPG 5870. S. terricola grown on cardoon stalks at 20 degrees C
exhibited the highest lipid production (13.20 g/l), a lipid yield (28.95%) close
to the maximum theoretical value and a lipid composition similar to that found in
palm oil. On the contrary, N. adeliensis grown on stranded driftwood and olive
tree pruning residues exhibited a lipid composition similar to those of olive and
almonds oils. A predictive evaluation of the physical properties of the potential
biodiesel obtainable by lipids produced by tested yeast strains has been reported
and discussed. Conclusions: Lipids produced by some basidiomycetous yeasts grown
on Mediterranean lignocellulosic biomass feedstocks could be used as
supplementary sources of oils for producing biofuels and biochemicals.
PMID- 29796087
TI - Use of bacteria for improving the lignocellulose biorefinery process: importance
of pre-erosion.
AB - Background: Biological pretreatment is an important alternative strategy for
biorefining lignocellulose and has attracted increasing attention in recent
years. However, current designs for this pretreatment mainly focus on using
various white rot fungi, overlooking the bacteria. To the best of our knowledge,
for the first time, we evaluated the potential contribution of bacteria to
lignocellulose pretreatment, with and without a physicochemical process, based on
the bacterial strain Pandoraea sp. B-6 (hereafter B-6) that was isolated from
erosive bamboo slips. Moreover, the mechanism of the improvement of reducing
sugar yield by bacteria was elucidated via analyses of the physicochemical
changes of corn stover (CS) before and after pretreatment. Results: The
digestibility of CS pretreated with B-6 was equivalent to that of untreated CS.
The recalcitrant CS surface provided fewer mediators for contact with the
extracellular enzymes of B-6. A pre-erosion strategy using a tetrahydrofuran
water co-solvent system was shown to destroy the recalcitrant CS surface. The
optimal condition for pre-erosion showed a 6.5-fold increase in enzymatic
digestibility compared with untreated CS. The pre-erosion of CS can expose more
phenolic compounds that were chelated to oxidized Mn3+ and also provided
mediators for combination with laccase, which was attributable to B-6
pretreatment. B-6 pretreatment following pre-erosion exhibited a sugar yield that
was 91.2 mg/g greater than that of pre-erosion alone and 7.5-fold higher than
that of untreated CS. This pre-erosion application was able to destroy the
recalcitrant CS surface, thus leading to a rough and porous architecture that
better facilitated the diffusion and transport of lignin derivatives. This
enhanced the ability of laccase and manganese peroxidase secreted by B-6 to
improve the efficiency of this biological pretreatment. Conclusion: Bacteria were
not found useful alone as a biological pretreatment, but they significantly
improved enzymatic digestion after lignocellulose breakdown via other
physicochemical methods. Nonetheless, phenyl or phenoxy radicals were used by
laccase and manganese peroxidase in B-6 for lignin attack or lignin
depolymerization. These particular mediators released from the recalcitrance
network of lignocellulose openings are important for the efficacy of this
bacterial pretreatment. Our findings thus offer a novel perspective on the
effective design of biological pretreatment methods for lignocellulose.
PMID- 29796091
TI - Preventing sepsis in health care - It's in your hands: A World Health
Organization call to action.
PMID- 29796090
TI - Predictors of hydrocephalus as a complication of non-traumatic subarachnoid
hemorrhage: a retrospective observational cohort study in 107 patients.
AB - Background: The predictors of shunt dependency such as amount of subarachnoid
blood, acute hydrocephalus (HC), mode of aneurysm repair, clinical grade at
admission and cerebro spinal fluid (CSF) drainage in excess of 1500 ml during the
1st week after the subarachnoid hemorrhage (SAH) have been identified as
predictors of shunt dependency. Therefore our main objective is to identify
predictors of CSF shunt dependency following non-traumatic subarachnoid
hemorrhage. Methods: We performed a retrospective study including patients from
January 1st 2012 to September 30th 2014 between 16 and 89 years old and had a non
traumatic subarachnoid hemorrhage in cranial computed tomography (CCT). We
excluded patients with the following characteristics: Patients who died 3 days
after admittance, lesions in brainstem, previous surgical treatment in another
clinic, traumatic brain injury, pregnancy and disability prior to SAH.We
performed a descriptive and comparative analysis as well as a logistic regression
with the variables that showed a significant difference (p < 0.05). Hence we
identified the variables concerning HC after non traumatic SAH and its
correlation. Results: One hundred and seven clinical files of patients with non
traumatic SAH were analyzed. Twenty one (48%) later underwent shunt treatment.
Shunt patients had significantly clinical and corroborated with doppler
ultrasonography vasospasmus (p = 0.015), OR = 5.2. The amount of subarachnoidal
blood according to modified Fisher grade was (p = 0.008) OR = 10.9.
Endovascularly treated patients were less often shunted as compared with those
undergoing surgical aneurysm repair (p = 0.004). Conclusion: Vasospasmus and a
large amount of ventricular blood seem to be a predictor concerning hydrocephalus
after non-traumatic SAH. Hence according to our results the presence of these two
variables could alert the treating physician in the decision whether an early
shunt implantation < 7 days after SAH should be necessary.
PMID- 29796092
TI - Interventions to improve hand hygiene compliance in patient care: Reflections on
three systematic reviews for the Cochrane Collaboration 2007-2017.
AB - This article presents highlights from a recently updated systematic Cochrane
review evaluating the effectiveness of interventions to improve hand hygiene
compliance in patient care. It is an advance on the two earlier reviews we
undertook on the same topic as it has, for the first time, provided very rigorous
synthesis of evidence that such interventions can improve practice. In this
article, we provide highlights from a recently updated Cochrane systematic
review. We identify omissions in the information reported and point out important
aspects of hand hygiene intervention studies that were beyond the scope of the
review. A full report of the review is available free of charge on the Cochrane
website.
PMID- 29796094
TI - Point prevalence survey of indwelling urinary catheter use and appropriateness in
patients living at home and receiving a community nursing service in Ireland.
AB - Background: Indwelling urinary catheters (IUC) are reported as the most common
indwelling device in hospitals and residential/care home settings. Aim: The aim
of this study was to assess the prevalence and appropriateness of IUCs in people
living at home and receiving a community nursing service. Methods: An IUC self
report questionnaire was sent to 66 community nurses in one community care area.
A second questionnaire was completed by the researcher on each patient (n = 80)
identified by the community nurses. Data were analysed using descriptive
statistics and the chi-square test was used to test associations between patient
demographics, catheter changes and nurses documentation. Results: The self-report
questionnaire was completed by 46 of the 52 areas (88% response rate). The
overall prevalence of IUCs was 1.9% with a higher prevalence among men (70%) and
in the over-85-years age group (31%). The mean age was 76 years (age range = 34
98 years) and duration of use was approximately four years. Routine IUC changes
were carried out by community nurses (52%), in the acute hospital (25%) or by
general practitioners (20%) and the type of catheter influenced person/location
of catheter changes (P = 0.001). Discussion: This study adds to the understanding
of IUCs in patients at home and is the first to investigate if the IUC is
appropriate in this setting. It prompted a review of current guidance and
development of standard documentation for IUC management locally to ensure that
the IUC in use is appropriate. It also suggests that there is a need for
internationally accepted, appropriate indications for long-term IUC use to
facilitate consistency and allow comparison across studies in future.
PMID- 29796095
TI - Infection prevention and control: Who is the judge, you or the guidelines?
AB - Objectives: The aim of this study was to explore the attitudes and behaviours of
registered nurses and their colleagues around the adoption of standard
precautions in order to determine strategies to promote adherence. Design: A
qualitative exploratory descriptive design used interviews and focus group to
collect data. Setting: Registered nurses and registered midwifes from a tertiary
metropolitan hospital took part in the study. Participants: A voluntary sample of
29 adults was recruited from the Australian nursing (n = 25) and midwifery (n =
4) workforce. There were six men (mean age = 36.83 years; SD = 8.93) and 23 women
(mean age = 41.36 years; SD = 10.25). Participants were recruited through
advertisement on notice boards and emails from unit managers. Results: Thematic
analysis revealed five themes but the focus here is on staff judgements which are
against the guidelines. Participants indicated that where in their judgement the
patient posed no risk and they judged themselves skilled in the procedure, they
were justified in deviating from the guidelines. Some staff judgements appeared
to be self-protecting, while others were irrational and inconsistent.
Conclusions: Despite use of standard precautions being mandated, staff often
deviated from them based on their own assessment of the situation or the patient.
Any deviance from the guidelines is of concern but especially so when staff take
it upon themselves to apply their own criteria or judgements. These results also
suggest there may be some organisational inadequacies with regards to training
and supervision of staff.
PMID- 29796096
TI - Impact of Clostridium difficile toxin gene PCR result on decisions to de-isolate
patients: Do the ends justify the means?
AB - We aimed to determine how often Clostridium difficile toxin gene PCR assay
(CDPCR)-negative patients were appropriately removed from single room contact
isolation. Hospital databases were used to collect information on glutamate
dehydrogenase (GDH)-positive, toxin-negative inpatients (February-April 2015). Of
60 CDPCR-negative patients, only two (3%) were removed from single room
isolation. At least 36% of 53 CDPCR-positive results did not influence bed
management. In conclusion, identification of C. difficile toxigenic status did
not impact significantly on decisions whether to continue single room isolation.
Cost-benefit analysis should be undertaken before CDPCR testing is introduced.
PMID- 29796093
TI - Hand hygiene compliance monitoring in anaesthetics: Feasibility and validity.
AB - Background: Hand hygiene compliance scores in the anaesthetic department of an
acute NHS hospital were persistently low. Aims: To determine the feasibility and
validity of regular accurate measurement of HHC in anaesthetics and understand
the context of care delivery, barriers and opportunities to improve compliance.
Methods: The hand hygiene compliance of one anaesthetist was observed and noted
by a senior infection control practitioner (ICP). This was compared to the World
Health Organization five moments of hand hygiene and the organisation hand
hygiene tool. Findings: In one sequence of 55 min, there were approximately 58
hand hygiene opportunities. The hand hygiene compliance rate was 16%. The
frequency and speed of actions in certain periods of care delivery made
compliance measurement difficult and potentially unreliable. During several
activities, taking time to apply alcohol gel or wash hands would have put the
patients at significant risk. Discussion: We concluded that hand hygiene
compliance monitoring by direct observation was invalid and unreliable in this
specialty. It is important that hand hygiene compliance is optimal in
anaesthetics particularly before patient contact. Interventions which reduce
environmental and patient contamination, such as cleaning the patient and
environment, could ensure anaesthetists encounter fewer micro-organisms in this
specialty.
PMID- 29796097
TI - Recipes for simulated vomitus.
AB - We developed and demonstrated the stability of recipes for simulated vomitus for
use in experiments characterizing occupational exposures to body fluid during
simulated healthcare activities. The recipes can be easily adapted to make other
simulated bodily fluids at low costs and surrogates added to facilitate
detection.
PMID- 29796098
TI - Outbreak column 21: Tuberculosis (TB): Still a nosocomial threat.
AB - This outbreak column explores the epidemiology and infection prevention guidance
on tuberculosis (TB) in the UK. The column finds that, at present, national
guidance leaves UK hospitals ill-prepared to prevent nosocomial TB transmission.
Reasons for this conclusion are as follows: (1) while TB is predominantly a
disease that affects people with 'social ills', it has the potential to infect
anyone who is sufficiently exposed; (2) nosocomial transmission is documented
throughout history; (3) future nosocomial exposures may involve less treatable
disease; and (4) current UK guidance is insufficient to prevent nosocomial
transmission and is less than that advocated by the World Health Organization and
the Centers for Disease Control and Prevention.
PMID- 29796100
TI - Diary.
PMID- 29796099
TI - Screening and diagnosis of Zika in the Emergency Department.
PMID- 29796101
TI - Introduction to the BESS/BOA PJI guidelines.
PMID- 29796102
TI - Investigation and Management of Periprosthetic Joint Infection in the Shoulder
and Elbow: Evidence and consensus based guidelines of the British Elbow and
Shoulder Society.
PMID- 29796103
TI - Does structural integrity following rotator cuff repair affect functional
outcomes and pain scores? A meta-analysis.
AB - Background: To assess whether the integrity of rotator cuff repairs has an impact
on functional outcomes, as well as pain scores, after surgery. Methods:
Systematic review and meta-analyses performed for Level Iota, IotaIota and
IotaIotaIota studies that presented functional outcome scores and radiological
assessment of integrity following rotator cuff repair. Extracted data included
patient demographics, functional outcome scores [Constant Score, University of
California at Los Angeles (UCLA) shoulder score, American Shoulder and Elbow
Surgeons (ASES) shoulder score, visual analogue scale (VAS) Pain score], as well
as assessment of repair integrity on radiological investigations. A meta-analysis
was performed using weighted means and a random effects model. Results: Twelve
studies were included in the final analysis. Average re-tear rate for the 800
included patients was 22% at a mean follow-up of 27.5 months after surgery.
Patients with intact repairs had a significantly higher Constant Score (8.61
points, p < 0.00001), UCLA shoulder score (2.96 points, p < 0.0001) and ASES
shoulder score (9.49 points, p < 0.0006). Patients with intact repairs also
reported lower pain VAS Pain scores by 0.62 points (p < 0.0004). Conclusions: Our
results show better functional outcome and pain scores in patients with intact
rotator cuffs at follow-up when compared to those that have re-torn. This
difference is equivalent to the published Minimal Clinically Important Difference
for the ASES but not Constant Scores. This review has also highlighted that
shoulder strength in patients with intact cuff repairs is likely to be greater
than in patients with a failed repair.
PMID- 29796104
TI - Ultrasound-guided injections for supraspinatus tendinopathy: corticosteroid
versus glucose prolotherapy - a randomized controlled clinical trial.
AB - Background: Subacromial corticosteroid injections are frequently performed for
pain associated with supraspinatus tendinopathy. Glucose prolotherapy has been
used clinically for multiple tendinopathies and is hypothesized to be an
alternate injection therapy for supraspinatus tendinopathy. Methods: A
prospective, randomized, double blinded clinical trial was conducted. Thirty-six
patients with supraspinatus tendinopathy were randomized into two groups: 17
received an ultrasound-guided injection of glucose into the tendinopathic parts
of the supraspinatus tendon and 19 received an ultrasound-guided injection of
corticosteroid into the subacromial bursa. Primary outcome was level of pain with
overhead activities at 3 months. Secondary outcome measures included level and
frequency of pain and function, shoulder range of motion, impingement tests,
strength and tendon changes on ultrasound. Results: Level of pain with overhead
activities was significantly reduced at the 3-month follow-up in the prolotherapy
group and at the 6-month follow-up for both the prolotherapy and corticosteroid
groups. There were no significant differences between the groups at any time
point. Conclusions: Both glucose prolotherapy and corticosteroid were generally
well tolerated; however, glucose prolotherapy offered no additional benefit over
subacromial corticosteroid injection for supraspinatus tendinopathy.
PMID- 29796105
TI - The incidence and causative organisms of infection in elective shoulder surgery.
AB - Background: Deep infection remains a serious complication of orthopaedic surgery.
Knowledge of infection rates and causative organisms is important to guide
infection control measures. The aim of the present study was to determine
infection rates and causative organisms in elective shoulder surgery. Methods:
Cases complicated by infection were identified and prospectively recorded over a
2-year period. All patients undergoing elective shoulder surgery in the
concurrent period at a single Specialist Upper Limb Unit in the UK were
identified from the hospital electronic database. Results: In total, 1574
elective shoulder cases were performed: 1359 arthroscopic (540 with implant
insertion) and 215 open (197 with implant insertion). The overall infection rate
in open surgery of 2.5% was significantly higher than arthroscopic implant cases
at 0.7% (p < 0.005). The overall infection rate in implant arthroscopic surgery
was significantly higher at 0.7% compared to 0% in non-implant related surgery.
(p < 0.05). Conclusions: Patients undergoing open shoulder surgery have a
significantly higher risk of infection compared to arthroscopic shoulder surgery.
Arthroscopic surgery with implant insertion has a statistically significantly
higher risk of developing deep infection compared to procedures with no implant
insertion. We recommend prophylactic antibiotics in open shoulder surgery and
arthroscopic shoulder surgery with implant insertion.
PMID- 29796106
TI - Simulation of bone-determined range of motion in proximal humeral fractures.
AB - Background: Predicting the outcome following fractures of the proximal humerus is
an important consideration when effectively counselling patients and planning
treatment. The purpose of the present study was to analyze different proximal
humeral fracture configurations, using a computerized simulation model, aiming to
predict the range of motion (ROM). Methods: The computer tomography scans of 79
proximal humeral fractures were analyzed using a customized software system that
simulated the range of movement at the glenohumeral joint. Four fracture patterns
were investigated: (1) head split fractures; (2) greater tuberosity fractures;
(3) lesser tuberosity fractures; and (4) combined tuberosity fractures. Results:
Intra-articular fractures had the smallest mean (SE) range of abduction and
forward flexion [34.3 degrees (6.6 degrees ) and 60.7 degrees (12.4 degrees )].
Isolated displaced greater tuberosity resulted in limited abduction but not
forward flexion [75.0 degrees (5.9 degrees ) and 118.2 degrees (4.9 degrees )].
Isolated lesser tuberosity fractures displayed a ROM comparable to that of
healthy subjects [89.3 degrees (3.3 degrees ) and 122.6 degrees (3.4 degrees )
versus 102.3 degrees (2.8 degrees ) and 96.2 degrees (3.8 degrees )]. The
reduced head inclination angle was a relatively strong predictor of a limited
range of abduction for all fracture types. Conclusions: The present study
describes a novel simulation system used to quantify the bone-determined ROM in
proximal humeral fractures and may be a useful adjunct in the diagnostic
armamentarium for proximal humeral fractures.
PMID- 29796107
TI - A 3D comparison of humeral head retroversion by sex and measurement technique.
AB - Background: Accurate humeral head reconstruction during shoulder arthroplasty is
partially dependent on correctly estimating and replicating native version. The
present study evaluated the effects of sex and measurement technique on three
dimensional (3D) humeral version measurements made using the transepicondylar,
forearm and flexion-extension axes. Methods: Fifty-two full-arm computed
tomography scans were converted to 3D models and geometry extracted to define
landmarks and coordinate systems. An anatomic humeral head osteotomy plane was
used to measure version relative to the three measurement techniques and compare
between sexes. Results: The measurement technique used had a significant affect
(p < 0.001) on the resulting version measurement. The forearm axis technique
consistently resulted in higher measured version compared to either the flexion
extension [mean (SD) males 9 degrees (4 degrees ), females 13 degrees (5
degrees ), p < 0.001] or the transepicondylar axes [mean (SD) males 8 degrees (4
degrees ), females 11 degrees (4 degrees ), p < 0.001]. Version in males was 7
degrees greater than females when referencing either the flexion-extension [p =
0.029; mean (SD) males 37.7 degrees (11 degrees ), females 30.4 degrees (13
degrees )] or transepicondylar axes [p = 0.045; mean (SD) males 39 degrees (11
degrees ), females 32 degrees (12 degrees )]. Conclusions: The choice of
measurement technique can affect the humeral version angle. These results are
important because measuring version using the epicondyles pre-operatively, and
subsequently the forearm intra-operatively, will result in approximately 10
degrees under-retroverted osteotomy. For example, 0 degrees neutral version cut
during reverse arthroplasty measured referencing the forearm results in 10
degrees anteverted osteotomy when referencing the distal humerus.
PMID- 29796108
TI - Arthroscopic repair of bony Bankart lesions in collision athletes.
AB - Background: The present study aimed to report the outcomes of acute and subacute
arthroscopic bony Bankart repairs in collision athletes. Methods: We reviewed 22
consecutive rugby players with traumatic anterior glenohumeral instability who
underwent arthroscopic bony Bankart repair within 4 months of injury over a 2
year period. All lesions were less than 25% of the glenoid bony area. Results: A
significant improvement was noted at three months and was maintained at 28 months
postoperatively. The mean Constant score improved from 61.5 to 84.1, the mean
Oxford shoulder score decreased from 26.3 to 13.6 and the mean Oxford instability
score decreased from 42.9 to 13.5. The mean satisfaction score was 8.3 out of 10
at final follow-up. All patients returned to their pre-injury sporting level.
Twenty patients (91%) remained stable and asymptomatic, although two (9%) had
recurrent instability after further traumatic sports injuries. One required a
modified Latarjet procedure, whereas the other patient sustained a soft tissue
Bankart lesion and had a revision arthroscopic repair. Conclusions: Acute and sub
acute bony Bankart lesions in collision athletes can be addressed through
arthroscopic repair with a satisfactory outcome and return to pre-injury level of
sport.
PMID- 29796110
TI - Outcomes following surgical management of complex terrible triad injuries of the
elbow: a single surgeon case series.
AB - Background: Terrible triad injuries of the elbow are complex injuries to treat
and we report our outcomes following surgical management. Methods: Twenty-two
consecutive patients who underwent fixation of terrible triad injuries between
2007 and 2012 were identified. Clinical outcomes were assessed by examination,
visual analogue scores (VAS), Oxford Elbow Score (OES), Mayo Elbow Performance
Index (MEPI) and QuickDash score (QD). Results: The mean age of patients was 47
years, with a mean follow-up of 32 months. All patients underwent lateral
ligament repair, with additional medial ligament repair in four cases. Thirteen
patients required repair of the coronoid and 18 patients required surgery to the
radial head. The mean flexion-extension arc was 113 degrees , with mean prono
supination of 136 degrees . The functional elbow scores revealed good outcomes in
the majority (mean OES of 37, MEPI of 79 and QD of 21). The overall complication
rate was 41%. In total, 23% required reoperation, with one patient requiring
fasciotomy for acute compartment syndrome and four requiring subsequent removal
of metalwork as a result of stiffness. Conclusions: Recognition of this complex
injury pattern and appropriate restoration of the joint stability are the
prerequisites. Systematic surgical approach is effective and can provide both
stability and good function, in most cases.
PMID- 29796109
TI - Normative values of isometric elbow strength in healthy adults: a systematic
review.
AB - Background: Post-traumatic deformities such as biceps tendon rupture or (peri
)articular fractures of the elbow are often related to a decrease in muscle
strength. Postoperative evaluation of these deformities requires normative values
of elbow strength. The purpose of this systematic review was to determine these
normative values of isometric elbow strength in healthy adults resulting from
studies evaluating this strength (i.e. flexion, extension, pronation and
supination strength). Methods: The databases of PubMed, EMBASE and Web of
Sciences were searched and screened for studies involving the isometric elbow
strength as measured in asymptomatic volunteers. The quality of the studies was
assessed and studies of low quality were excluded. Results: Nineteen studies met
the inclusion criteria and were of sufficiently high quality to be included in
the present review. In these studies, elbow strength was measured in a total of
1880 healthy volunteers. The experimental set-up and devices used to measure
elbow strength varied between studies. Using some assumptions, a normative values
table was assembled. Conclusions: Large standard deviations of normative values
in combination with different measurement devices used, as well as the different
measurement positions of the subjects, demonstrated that there is no consensus
about measuring the isometric elbow strength and therefore the normative values
have to be interpreted with caution.
PMID- 29796111
TI - Arthroscopic debridement for primary elbow osteoarthritis with and without
capsulectomy: a comparative cohort study.
AB - Background: Arthroscopic elbow debridement for primary osteoarthritis may be
performed with or without a joint capsulectomy. The purpose of this comparative
cohort study was to compare range of motion (ROM) and early complications between
patients with and without anterior capsulectomy. Methods: In total, 110 patients
with primary osteoarthritis of the elbow who underwent an arthroscopic
debridement for primary osteoarthritis were reviewed with a minimum of 3 months
postoperative follow-up. The first group consisted of 51 patients who had a
concomitant capsulectomy and the second group consisted of 59 patients who either
had a capsulotomy or did not have the capsule addressed. Results: There was
significantly greater pre-operative stiffness in the group who had an anterior
capsulectomy versus those who did not. A greater improvement in arc of ROM
occurred in patients who had a concomitant capsulectomy compared to patients
without (24 degrees versus 12 degrees ) (p < 0.003); however, there were no
significant differences in final ROM between groups. There were no statistically
significant differences in the incidence of complications between the groups (16%
capsulectomy versus 18% no capsulectomy). Conclusions: Elbow arthroscopy and
debridement for primary elbow osteoarthritis yields satisfactory motion at short
term follow-up with or without a capsulectomy. The incidence of early
complications was low at this tertiary referral centre, with no significant
differences between groups.
PMID- 29796112
TI - Assessing the added predictive ability of a metabolic syndrome severity score in
predicting incident cardiovascular disease and type 2 diabetes: the
Atherosclerosis Risk in Communities Study and Jackson Heart Study.
AB - Background: The severity of the metabolic syndrome (MetS) predicts future
coronary heart disease (CHD) and diabetes independent of the individual MetS
components. Our aim was to evaluate whether MetS severity conferred additional
discrimination to existing scoring systems for cardiovascular disease (CVD) and
diabetes risk. Methods: We assessed Cox proportional hazard models of CHD- and
diabetes risk among 13,141 participants of the Atherosclerosis Risk in
Communities Study and the Jackson Heart Study, using the Framingham Risk
Calculator, the American Heart Association's Atherosclerotic CVD calculator, the
American Diabetes Association diabetes risk score and an additional diabetes risk
score derived from ARIC data. We then added a MetS-severity Z-score to these
models and assessed for added risk discrimination by assessing Akaike information
criterion, c-statistic, integrated discrimination improvement (IDI) and
continuous net reclassification improvement (NRI). Results: The MetS severity
score appears to add to the predictive ability of individual CHD and diabetes
risk scores. Using the IDI, MetS improved risk prediction for diabetes but not
CHD risk. In all 4 scoring systems, MetS severity had a significant non-event
NRI, improving the ability to exclude individuals without events. Assessing
interactions between risk scores and MetS severity revealed that MetS severity
was more highly associated with disease risk among those in the lowest quintiles
of risk score, suggesting that MetS was particularly able to identify risk among
individuals judged to be of low risk by existing algorithms. Conclusions: Mets
severity improved prediction of diabetes more so than CHD. Incorporation of
multiple risk predictors into electronic health records may help in better
identifying those at high disease risk, who can then be placed earlier on
preventative therapy.
PMID- 29796113
TI - Dietary and genetic risk scores and incidence of type 2 diabetes.
AB - Background: Both lifestyle and genetic predisposition determine the development
of type 2 diabetes (T2D), and studies have indicated interactions between
specific dietary components and individual genetic variants. However, it is
unclear whether the importance of overall dietary habits, including T2D-related
food intakes, differs depending on genetic predisposition to T2D. We examined
interaction between a genetic risk score for T2D, constructed from 48 single
nucleotide polymorphisms identified in genome-wide association studies, and a
diet risk score of four foods consistently associated with T2D in epidemiological
studies (processed meat, sugar-sweetened beverages, whole grain and coffee). In
total, 25,069 individuals aged 45-74 years with genotype information and without
prevalent diabetes from the Malmo Diet and Cancer cohort (1991-1996) were
included. Diet data were collected with a modified diet history method. Results:
During 17-year follow-up, 3588 incident T2D cases were identified. Both the diet
risk score (HR in the highest risk category 1.40; 95% CI 1.26, 1.58; P trend = 6
* 10-10) and the genetic risk score (HR in the highest tertile of the genetic
risk score 1.67; 95% CI 1.54, 1.81; P trend = 7 * 10-35) were associated with
increased incidence of T2D. No significant interaction between the genetic risk
score and the diet risk score (P = 0.83) or its food components was observed. The
highest risk was seen among the 6% of the individuals with both high genetic and
dietary risk scores (HR 2.49; 95% CI 2.06, 3.01). Conclusions: The findings thus
show that both genetic heredity and dietary habits previously associated with T2D
add to the risk of T2D, but they seem to act in an independent fashion, with the
consequence that all individuals, whether at high or low genetic risk, would
benefit from favourable food choices.
PMID- 29796114
TI - Circulating tumour DNA for monitoring colorectal cancer-a prospective cohort
study to assess relationship to tissue methylation, cancer characteristics and
surgical resection.
AB - Background: Cell-free circulating tumour-derived DNA (ctDNA) can be detected by
testing for methylated BCAT1 and IKZF1 DNA, which has proven sensitivity for
colorectal cancer (CRC). A prospective correlative biomarker study between
presence of methylated BCAT1 and IKZF1 in tissue and blood was conducted in cases
with CRC to explore how detection of such ctDNA biomarkers relates to cancer
characteristics, methylation in tissue and surgical resection of the primary
cancer. Methods: Enrolled patients with invasive CRC had blood collected at
diagnosis, prior to any treatment or surgery (peri-diagnostic sample). A subgroup
of patients also had cancer and adjacent non-neoplastic tissue collected at
surgical resection, as well as a second blood sample collected within 12 months
of surgery (post-surgery sample). DNA was extracted from all samples and assayed
for methylated BCAT1 and IKZF1 to determine the degree of methylation in tissue
and the presence of ctDNA in blood. Results: Of 187 cases providing peri
diagnostic blood samples, tissue was available in 91, and 93 provided at least
one post-surgery blood sample for marker analysis. Significant methylation of
either BCAT1 or IKZF1 was seen in 86/91 (94.5%) cancer tissues, with levels
independent of stage and higher than that observed in adjacent non-neoplastic
specimens (P < 0.001). ctDNA methylated in BCAT1 or IKZF1 was detected in 116
(62.0%) cases at diagnosis and was significantly more likely to be detected with
later stage (P < 0.001) and distal tumour location (P = 0.004). Of the 91
patients who provided pre-and post-surgery blood samples, 47 patients were ctDNA
positive at diagnosis and 35 (74.5%) became negative after tumour resection.
Conclusion: This study has shown that BCAT1 and IKZF1 methylation are common
events in CRC with almost all cancer tissues showing significant levels of
methylation in the two genes. The presence of ctDNA in blood is stage-related and
show rapid reversion to negative following surgical resection. Monitoring
methylated BCAT1 and IKZF1 levels could therefore inform adequacy of surgical
resection. Trial registration: Australian New Zealand Clinical Trial Registry
number 12611000318987. Registered 25 March 2011.
PMID- 29796115
TI - miR-29c plays a suppressive role in breast cancer by targeting the
TIMP3/STAT1/FOXO1 pathway.
AB - Background: miR-29c has been associated with the progression of many cancers.
However, the function and mechanism of miR-29c have not been well investigated in
breast cancers. Methods: Real-time quantitative PCR was used to assess expression
of miR-29c and DNMT3B mRNA. Western blot and immunochemistry were used to examine
the expression of DNA methyltransferase 3B (DNMT3B) protein in breast cancer
cells and tissues. The functional roles of miR-29c in breast cancer cells such as
proliferation, migration, invasion, colony formation, and 3D growth were
evaluated using MTT, transwell chambers, soft agar, and 3D Matrigel culture,
respectively. In addition, the luciferase reporter assay was used to check if miR
29c binds the 3'UTR of DNMT3B. The effects of miR-29c on the
DNMT3B/TIMP3/STAT1/FOXO1 pathway were also examined using Western blot and methyl
specific qPCR. The specific inhibitor of STAT1, fludarabine, was used to further
check the mechanism of miR-29c function in breast cancer cells. Studies on cell
functions were carried out in DNMT3B siRNA cell lines. Results: The expression of
miR-29c was decreased with the progression of breast cancers and was closely
associated with an overall survival rate of patients. Overexpression of miR-29c
inhibited the proliferation, migration, invasion, colony formation, and growth in
3D Matrigel while knockdown of miR-29c promoted these processes in breast cancer
cells. In addition, miR-29c was found to bind 3'UTR of DNMT3B and inhibits the
expression of DNMT3B, which was elevated in breast cancers. Moreover, the protein
level of TIMP3 was reduced whereas methylation of TIMP3 was increased in miR-29c
knockdown cells compared to control. On the contrary, the protein level of TIMP3
was increased whereas methylation of TIMP3 was reduced in miR-29c-overexpressing
cells compared to control. Knockdown of DNMT3B reduced the proliferation,
migration, and invasion of breast cancer cell lines. Finally, our results showed
that miR-29c exerted its function in breast cancers by regulating the
TIMP3/STAT1/FOXO1 pathway. Conclusion: The results suggest that miR-29c plays a
significant role in suppressing the progression of breast cancers and that miR
29c may be used as a biomarker of breast cancers.
PMID- 29796116
TI - Genome-wide analysis of DNA methylation in bronchial washings.
AB - Background: The objective of this study was to discover DNA methylation
biomarkers for detecting non-small lung cancer (NSCLC) in bronchial washings and
understanding the association between DNA methylation and smoking cessation.
Methods: DNA methylation was analyzed in bronchial washing samples from 70 NSCLCs
and 53 hospital-based controls using Illumina HumanMethylation450K BeadChip.
Methylation levels in these bronchial washings were compared to those in 897
primary lung tissues of The Cancer Genome Atlas (TCGA) data. Results: Twenty-four
CpGs (p < 1.03E-07) were significantly methylated in bronchial washings from 70
NSCLC patients compared to those from 53 controls. The CpGs also had significant
methylation in the TCGA cohort. The 123 participants were divided into a training
set (N = 82) and a test set (N = 41) to build a classification model. Logistic
regression model showed the best performance for classification of lung cancer in
bronchial washing samples: the sensitivity and specificity of a marker panel
consisting of seven CpGs in TFAP2A, TBX15, PHF11, TOX2, PRR15, PDGFRA, and HOXA11
genes were 87.0 and 83.3% in the test set, respectively. The area under the curve
(AUC) was equal to 0.87 (95% confidence interval = 0.73-0.96, p < 0.001).
Methylation levels of two CpGs in RUNX3 and MIR196A1 genes were inversely
associated with duration of smoking cessation in the controls, but not in NSCLCs,
after adjusting for pack-years of smoking. Conclusions: The present study
suggests that NSCLC may be detected by analyzing methylation changes of seven
CpGs in bronchial washings. Furthermore, smoking cessation may lead to decreased
DNA methylation in nonmalignant bronchial epithelial cells in a gene-specific
manner.
PMID- 29796117
TI - Postnatal relative adrenal insufficiency results in methylation of the
glucocorticoid receptor gene in preterm infants: a retrospective cohort study.
AB - Background: To investigate the relationship between early-life stress and
glucocorticoid receptor (GR) gene methylation, which may result in long-lasting
neurodevelopmental impairment, we performed a longitudinal analysis of the
methylation ratio within the GR gene promoter 1F region using next-generation
sequencing in preterm infants.Cell-free DNA was extracted from the frozen serum
of 19 preterm birth infants at birth and at 1 and 2 months after birth. All were
admitted to the neonatal intensive care unit of Juntendo University Shizuoka
Hospital between August 2014 and May 2016 and suffered from chronic lung disease
(CLD).Through bisulfite amplicon sequencing using an Illumina Miseq system and
Bismark-0.15.0 software, we identified the rate of cytosine methylation. Results:
Patients' sex and body weight standard deviation were extracted as the associated
independent variables at birth. Sex, glucocorticoid administration for treating
CLD, and postnatal invasive procedures (surgical operation and blood sampling)
were extracted as the associated independent variables at 1 month. Methylation
rates increased significantly between postnatal 1 and 2 months at 9 of the 39 CpG
sites. Postnatal glucocorticoid administration to treat circulatory collapse was
the most-associated independent variable with a positive regression coefficient
for a change in methylation rate at these nine CpG sites. It also influenced the
methylation ratio at 22 of the 39 CpG sites at 2 months of age. The standard
deviation (SD) score at birth was extracted as an independent variable, with a
negative regression coefficient at 9 of the 22 CpG sites together with
glucocorticoid administration. Conclusions: The results of this study indicate
that a prenatal environment that results in intrauterine growth restriction and
postnatal relative adrenal insufficiency requiring glucocorticoid administration
leads to GR gene methylation. That, in turn, may result in neurodevelopmental
disabilities.
PMID- 29796118
TI - Epigenetic aging of human hematopoietic cells is not accelerated upon
transplantation into mice.
AB - Background: Transplantation of human hematopoietic stem cells into
immunodeficient mice provides a powerful in vivo model system to gain functional
insights into hematopoietic differentiation. So far, it remains unclear if
epigenetic changes of normal human hematopoiesis are recapitulated upon
engraftment into such "humanized mice." Mice have a much shorter life expectancy
than men, and therefore, we hypothesized that the xenogeneic environment might
greatly accelerate the epigenetic clock. Results: We demonstrate that genome-wide
DNA methylation patterns of normal human hematopoietic development are indeed
recapitulated upon engraftment in mice-particularly those of normal early B cell
progenitor cells. Furthermore, we tested three epigenetic aging signatures, and
none of them indicated that the murine environment accelerated age-associated DNA
methylation changes. Conclusions: Epigenetic changes of human hematopoietic
development are recapitulated in the murine transplantation model, whereas
epigenetic aging is not accelerated by the faster aging environment and seems to
occur in the cell intrinsically.
PMID- 29796121
TI - Pharmacists as cognitive authorities: Why this is important in advancing patient
care and our profession.
PMID- 29796119
TI - An epigenetic classifier for early stage lung cancer.
AB - Background: Methylated genes detected in sputum are promise biomarkers for lung
cancer. Yet the current PCR technologies for quantification of DNA methylation
and diagnostic value of the sputum biomarkers are not sufficient to be used for
lung cancer early detection. The emerging droplet digital PCR (ddPCR) is a
straightforward means for precise, direct, and absolute quantification of nucleic
acids. Here, we investigate whether ddPCR can sensitively and robustly quantify
DNA methylation in sputum for more precise diagnosis of lung cancer. Results:
First, the analytic performance of methylation-specific ddPCR (ddMSP) and
quantitative methylation-specific PCR (qMSP) is determined in methylated and
unmethylated DNA samples. Second, 29 genes, previously proposed as potential
sputum biomarkers for lung cancer, are analyzed by using ddMSP in a training set
of 127 lung cancer patients and 159 controls. ddMSP has higher sensitivity,
precision, and reproducibility for quantification of methylation compared with
qMSP (all p < 0.05). A classifier comprising four sputum methylation biomarkers
for lung cancer is developed by using ddMSP, producing 86.6% sensitivity and
90.6% specificity, independent of stage and histology of lung cancer (all p >
0.05). The classifier has higher accuracy compared with sputum cytology (88.8 vs.
70.6%, p < 0.01). The diagnostic performance is confirmed in a testing set of 89
cases and 107 controls. Conclusions: ddMSP is a robust tool for reliable
quantification of DNA methylation in sputum, and the epigenetic classifier could
help diagnose lung cancer at the early stage.
PMID- 29796120
TI - Retinoic acid-induced 2 (RAI2) is a novel tumor suppressor, and promoter region
methylation of RAI2 is a poor prognostic marker in colorectal cancer.
AB - Background: Reduced expression of retinoic acid-induced 2 (RAI2) was found in
breast cancer. The regulation and function of RAI2 in human colorectal cancer
(CRC) remain unclear. Methods: Eight CRC cell lines and 237 cases of primary CRC
were analyzed. Methylation-specific PCR (MSP), flow cytometry, xenograft mouse
model, and shRNA technique were employed. Results: RAI2 was completely methylated
in RKO, LOVO, and HCT116 cells; partially methylated in HT29 cells; and
unmethylated in SW480, SW620, DLD1, and DKO cells. RAI2 was methylated in 53.6%
(127/237) of primary colorectal cancer. Methylation of RAI2 was significantly
associated with gender (P < 0.001), TNM stage (P < 0.001), and lymph node
metastasis (P < 0.001). Analyzing by the Kaplan-Meier method, methylation of RAI2
was significantly associated with poor 5-year overall survival (OS) (P = 0.0035)
and 5-year relapse-free survival (RFS) (P = 0.0062). According to Cox
proportional hazards model analysis, RAI2 methylation was an independent poor
prognostic marker for 5-year OS (P = 0.002) and poor 5-year RFS (P = 0.022). RAI2
suppressed cell proliferation, migration, and invasion and induced cell apoptosis
in CRC. In addition, RAI2 inhibited AKT signaling in CRC cells and suppressed
human CRC cell xenograft growth in mice. Conclusion: RAI2 is frequently
methylated in human CRC, and the expression of RAI2 is regulated by promoter
region methylation. Methylation of RAI2 is an independent poor prognostic marker
of CRC. RAI2 suppresses CRC cell growth both in vitro and in vivo. RAI2
suppresses CRC by inhibiting AKT signaling.
PMID- 29796122
TI - Shared decision making and high blood pressure.
PMID- 29796123
TI - Reply from authors.
PMID- 29796124
TI - Cover: July 1909.
PMID- 29796125
TI - The pharmaceutical department: August 1917.
PMID- 29796126
TI - The pharmacist in the army: July 1918.
PMID- 29796127
TI - Women in pharmacy: June 1918.
PMID- 29796128
TI - Professional opportunity for pharmacists to integrate pharmacogenomics in
medication therapy.
PMID- 29796129
TI - Prioritizing intraprofessional collaboration for optimal patient care: A call to
action.
PMID- 29796130
TI - Top 5 primary care topics for pharmacists in 2016.
PMID- 29796133
TI - Uptake and impact of regulated pharmacy technicians in Ontario community
pharmacies.
AB - Background: Since 2010, most provincial Colleges of Pharmacists have licensed
pharmacy technicians. The colleges hoped this would give pharmacists time to
provide "expanded scope" activities such as medication reviews. Little is known,
however, about the uptake and impact of pharmacy technicians on pharmacists'
provision of such services. We address these questions using data for Ontario
community pharmacies. Methods: Data on pharmacists and pharmacy technicians were
obtained from the Ontario College of Pharmacists website in September 2016. Their
place of employment was used to calculate the number of full-time equivalent
(FTE) pharmacists and technicians employed at each community pharmacy. Pharmacy
claims data for the 12-month period ending March 31, 2016, were obtained from the
Ontario Public Drug Programs (OPDP). These data included number of MedsChecks
performed, type of MedsCheck and number of prescriptions dispensed to OPDP
beneficiaries. Results: Pharmacy technicians were employed in 24% of the
pharmacies in our sample. Technician employment rates were highest in Central
Fill pharmacies and pharmacies serving long-term care facilities. In general,
pharmacies employing 1 or fewer technician full-time equivalents (FTEs) had a
slightly higher probability of providing MedsChecks and, of those that did
provide Meds Checks Annuals, provided more of them. Pharmacies that hired 3 or
more technician FTEs were markedly less likely to provide MedsChecks.
Conclusions: Pharmacies differ in their employment of technicians and in the
apparent impact of technicians on the provision of MedsChecks. However, these
represent associations. Additional research is needed to assess the causal effect
of technician employment on the provision of MedsChecks.
PMID- 29796132
TI - Facilitating integration of regulated pharmacy technicians into community
pharmacy practice in Ontario: Results of an exploratory study.
AB - Background: The integration of regulated pharmacy technicians (RPTs) into
community pharmacy practice was intended to relieve pharmacists of certain
technical duties to facilitate greater provision of direct patient care services,
commensurate with expanded scope of practice. There is scant data available
regarding the success, value and impact of RPT integration, either in Canada or
in other jurisdictions. Methods: Pharmacists and RPTs working in community
practices were interviewed. Qualitative data were categorized using an iterative
coding process to identify themes related to barriers and facilitators to
integrating and optimizing the role of the RPT in community practice in Ontario.
Results: A total of 16 RPTs and 12 pharmacists were interviewed from community
sites in Ontario. Strategies for facilitating successful integration of RPTs into
daily workflow were identified, based on 4 major themes: environmental factors,
interpersonal factors, professional identity formation and innovative use of
delegation. Interpretation: Integration of RPTs into community practice is
complex and requires careful management, planning, training and follow-up to
ensure attainment of objectives. Simply hiring RPTs and placing them into
existing workflow patterns is generally not a successful implementation strategy.
Conclusions: Implementation strategies identified through this study can provide
employers, managers, pharmacists and RPTs with opportunities to enhance RPT
integration and optimize the role of both pharmacists and RPTs in community
practice.
PMID- 29796131
TI - Prevention and management of statin adverse effects: A practical approach for
pharmacists.
AB - Statin-associated adverse effects, primarily muscle-related symptoms, occur in up
to approximately one-third of patients in clinical practice. Recently, a Canadian
Consensus Working Group outlined 6 key principles to assess and manage patients
with goal-inhibiting statin intolerance, defined as a syndrome characterized by
symptoms or biomarker abnormalities that prevent the long-term use of and
adherence to indicated statin therapy, which includes a trial of at least 2
statins and precludes reversible causes of statin adverse effects. These
principles ensure patients are appropriately receiving a statin and aware of both
the benefits and risks of therapy. As well, they address factors that may
increase the risk of statin-associated myopathy. A thorough assessment of
patients' clinical and laboratory history should be performed in any patient
presenting with muscle symptoms on statin therapy, followed by a systematic
dechallenge/rechallenge approach. In practice, most patients with statin
intolerance due to muscle symptoms will be able to tolerate another statin. This
is of particular importance because of the relative paucity of compelling
evidence demonstrating a cardiovascular benefit with nonstatin therapies.
Pharmacists are ideally situated to provide patient education, recommend changes
to therapy and monitor patients with goal-inhibiting statin intolerance.
PMID- 29796134
TI - Mapping our profession's future.
PMID- 29796135
TI - Cross-Canada updates.
PMID- 29796136
TI - Canadian Pharmacists Conference 2018.
PMID- 29796137
TI - Effects of Habenular Stimulation Frequencies on Obstructive Sleep Apnea Induced
by Stimulation of Insular Cortex.
AB - Objective: To investigate the effects of high-frequency stimulation of the
habenula (Hb) on obstructive sleep apnea (OSA) induced by stimulation of the
insular cortex Method. After OSA was induced by stimulating the insular cortex
(Ic) with concentric stimulating electrodes at 100 Hz in rats, the Hb was
stimulated at different frequencies (50 Hz, 120 Hz, 130 Hz, and 280 Hz). The
changes of apnea events and electromyography (EMG) of the genioglossus were
compared before and after stimulation of the Hb. Results: With stimulation of the
Ic at 100 Hz, apnea events were successfully induced with disappearance of EMG of
the genioglossus. After stimulation of the Hb at 130 Hz, apnea events disappeared
with significantly increased genioglossal EMG. However, such a change failed to
be found at the stimulation frequencies of 50 Hz, 120 Hz, and 280 Hz. Conclusion:
Stimulation of the Hb at the frequency of 130 Hz could effectively inhibit OSA
events induced by stimulation of the Ic.
PMID- 29796138
TI - The Role of Migration in Maintaining the Transmission of Avian Influenza in
Waterfowl: A Multisite Multispecies Transmission Model along East Asian
Australian Flyway.
AB - Background: Migratory waterfowl annually migrate over the continents along the
routes known as flyways, serving as carriers of avian influenza virus across
distant locations. Prevalence of influenza varies with species, and there are
also geographical and temporal variations. However, the role of long-distance
migration in multispecies transmission dynamics has yet to be understood. We
constructed a mathematical model to capture the global dynamics of avian
influenza, identifying species and locations that contribute to sustaining
transmission. Methods: We devised a multisite, multispecies SIS (susceptible
infectious-susceptible) model, and estimated transmission rates within and
between species in each geographical location from prevalence data. Parameters
were directly sampled from posterior distribution under Bayesian inference
framework. We then analyzed contribution of each species in each location to the
global patterns of influenza transmission. Results: Transmission and migration
parameters were estimated by Bayesian posterior sampling. The basic reproduction
number was estimated at 1.1, slightly above the endemic threshold. Mallard was
found to be the most important host with the highest transmission potential, and
high- and middle-latitude regions appeared to act as hotspots of influenza
transmission. The local reproduction number suggested that the prevalence of
avian influenza in the Oceania region is dependent on the inflow of infected
birds from other regions. Conclusion: Mallard exhibited the highest transmission
rate among the species explored. Migration was suggested to be a key factor of
the global prevalence of avian influenza, as transmission is locally sustainable
only in the northern hemisphere, and the virus could be extinct in the Oceania
region without migration.
PMID- 29796139
TI - Pre-recorded instructional audio vs. dispatchers' conversational assistance in
telephone cardiopulmonary resuscitation: A randomized controlled simulation
study.
AB - BACKGROUND: To assess the effectiveness of the telephone chest-compression-only
cardiopulmonary resuscitation (CPR) guided by a pre-recorded instructional audio
when compared with dispatcher-assisted resuscitation. METHODS: It was a
prospective, blind, randomised controlled study involving 109 medical students
without previous CPR training. In a standardized mannequin scenario, after the
step of dispatcher-assisted cardiac arrest recognition, the participants
performed compression-only resuscitation guided over the telephone by either: (1)
the pre-recorded instructional audio (n=57); or (2) verbal dispatcher assistance
(n=52). The simulation video records were reviewed to assess the CPR performance
using a 13-item checklist. The interval from call reception to the first
compression, total number and rate of compressions, total number and duration of
pauses after the first compression were also recorded. RESULTS: There were no
significant differences between the recording-assisted and dispatcher-assisted
groups based on the overall performance score (5.6+/-2.2 vs. 5.1+/-1.9, P>0.05)
or individual criteria of the CPR performance checklist. The recording-assisted
group demonstrated significantly shorter time interval from call receipt to the
first compression (86.0+/-14.3 vs. 91.2+/-14.2 s, P<0.05), higher compression
rate (94.9+/-26.4 vs. 89.1+/-32.8 min-1) and number of compressions provided
(170.2+/-48.0 vs. 156.2+/-60.7). CONCLUSION: When provided by untrained persons
in the simulated settings, the compression-only resuscitation guided by the pre
recorded instructional audio is no less efficient than dispatcher-assisted CPR.
Future studies are warranted to further assess feasibility of using instructional
audio aid as a potential alternative to dispatcher assistance.
PMID- 29796140
TI - The effect of wilderness and medical training on injury and altitude preparedness
among backcountry hikers in Rocky Mountain National Park.
AB - BACKGROUND: The purpose of this study was to document the correlation between
medical and wilderness training with levels of preparedness for acute mountain
sickness (AMS), illness, and injury among backcountry hikers. METHODS: We
conducted a cross-sectional, convenience survey in Rocky Mountain National Park
in July and August 2015. The study group consisted of 380 hikers who completed a
written survey that collected information about demographics, wilderness
experience, altitude experience, hiking equipment, communications devices, and
trip planning. RESULTS: Factors such as wilderness training (wilderness first aid
[WFA], wilderness first responder [WFR], or wilderness emergency medical
technician [WEMT]), wilderness experience, and altitude experience all affected
hikers' emergency preparedness. Respondents with medical training were more
prepared to avoid or respond to AMS (62.3% vs. 34.3% [P<0.001]). They were also
more prepared to avoid or manage injury/illness than hikers without medical
training (37.7% vs. 20.7% [P=0.003]). Participants with wilderness training were
more likely to be prepared to avoid or respond to AMS (52.3% vs. 36.8% [P=0.025])
but not significantly more likely to be prepared to manage illness/injury (31.8%
vs. 22.0% [P<0.11]). Adjusting for experience, wilderness training, age, and
gender, we found that medical training was associated with increased preparedness
for AMS (OR 2.72; 95% CI 1.51-4.91) and injury/illness (OR 2.71; 95% CI 1.5
4.89). CONCLUSION: Medically trained hikers were more likely to be prepared to
avoid or manage AMS, medical emergencies, and injuries than their non-medically
trained counterparts. Wilderness training increased hikers' preparedness for AMS
but did not significantly alter preparedness for illness/injury.
PMID- 29796142
TI - Emergency medicine residencies structure of trainees' administrative experience:
A cross-sectional survey.
AB - BACKGROUND: While the Accreditation Council for Graduate Medical Education
(ACGME) mandates that emergency medicine residencies provide an educational
curriculum that includes administrative seminars and morbidity and mortality
conference, there is significant variation as to how administrative topics are
implemented into training programs. We seek to determine the prevalence of
dedicated administrative rotations and details about the components of the
curriculum. METHODS: In this descriptive study, a 12-question survey was
distributed via the CORD listserv; each member program was asked questions
concerning the presence of an administrative rotation and details about its
components. These responses were then analyzed with simple descriptive
statistics. RESULTS: A total of 114 of the 168 programs responded, leading to a
68% response rate. Of responders, 73% have a dedicated administrative rotation
(95% CI 64.0 to 80.4). The content areas covered by the majority of programs with
a dedicated program include performance improvement (n=68), patient safety
(n=64), ED operations (n=58), patient satisfaction (n=54), billing and coding
(n=47), and inter-professional collaboration (n=43). Experiential learning
activities include review of patient safety reports (n=66) and addressing patient
complaints (n=45). Most of the teaching on the rotation is either in-person
(n=65) and/or self-directed reading assignments (n=48). The most commonly
attended meetings during the rotation include performance improvement (n=60), ED
operations (n=59), and ED faculty (n=44). CONCLUSION: This paper provides an
overview of the most commonly covered resident administrative experiences that
can be a guide as we work to develop an ideal administrative curriculum for EM
residents.
PMID- 29796141
TI - Prevalence and associated factors of stress, anxiety and depression among
emergency medical officers in Malaysian hospitals.
AB - BACKGROUND: Demanding profession has been associated with poor psychological
health due to multiple factors such as overworking hours and night shifts. This
study is to determine prevalence and associated factors of depression, anxiety
and stress among medical officers working at emergency department in Malaysian
hospitals. METHODS: A cross-sectional study was conducted on 140 emergency
department medical officers working at general hospitals from seven Malaysia
regions. They were randomly selected and their depression, anxiety and stress
level were measured by the 21-item Depression, Anxiety, Stress Scale. RESULTS:
The highest prevalence was anxiety (28.6%) followed by depression (10.7%) and
stress (7.9%). Depression, anxiety and stress between seven hospitals were not
significantly different (P>0.05). Male medical officers significantly experienced
more anxiety symptoms than female medical officers (P=0.0022), however depression
and stress symptoms between male and female medical officers were not
significantly different (P>0.05). Depression, anxiety and stress were not
associated with age, working experience, ethnicity, marital status, number of
shifts and type of system adopted in different hospitals (P>0.05). CONCLUSION:
The prevalence of anxiety was high, whereas for depression and stress were
considerably low. Gender was the only factor significantly associated with
anxiety. Other factors were not associated with depression, anxiety and stress.
Future research should aim to gain better understanding on unique factors that
affect female and male medical officers' anxiety level in emergency setting, thus
guide authorities to chart strategic plans to remedy this condition.
PMID- 29796143
TI - Assessment of toxicology knowledge in the fourth-year medical students: Three
years of data.
AB - BACKGROUND: Pharmacology and toxicology are core content knowledge for
physicians. Medical students should demonstrate understanding of general
pharmacology and basic treatment of poisoning. The objective of this study was to
measure the knowledge of the 4th-year medical students (MS4) on these topics over
3 years. METHODS: A multiple-choice exam (15 questions) was administered to MS4
students in spring of 2010, 2011, and 2012. Questions were developed by medical
toxicologists to evaluate basic knowledge in three areas: pharmacologic effects
(PE), treatment of poisoning (TOP), and pharmacokinetics (PK). The students were
grouped by intended specialties into pharmacologic intense (anesthesia, emergency
medicine, internal medicine, pediatrics, and psychiatry), less pharmacologic
intense specialties (dermatology, OB/GYN, ophthalmology, pathology, physical
medicine and rehabilitation, radiology, and surgery) and by completion of a
pharmacology or toxicology elective. Mean group scores were compared using ANOVA.
RESULTS: Totally 332 of 401 (83%) students completed the survey. Mean scores were
stable over the three years, higher for students completing a toxicology rotation
and for students entering a pharmacologically intense specialty. CONCLUSION: The
external validity is limited to a single medical school with incomplete
participation and content was limited by the survey length. Consistent results
over the three-year period and correlation of performance with completing a
toxicology rotation and intent to enter a pharmacology intensive specialty
suggest this survey may correlate with toxicology knowledge. Implementation of
required core courses focused on toxicology may improve core content knowledge in
fourth year medical students.
PMID- 29796144
TI - Enoxaparin dosing errors in the emergency department.
AB - BACKGROUND: The study aimed to determine the frequency of enoxaparin dosing
errors for patients who had a measured emergency department (ED) weight compared
to those who did not have a measured ED weight, and to determine if demographic
variables (e.g., weight, height, age, English-speaking, race) impact the
likelihood of receiving an inappropriate dose. METHODS: This is a retrospective,
electronic chart review of patients who received a dose of enoxaparin in the ED
between January 1, 2008 and July 1, 2013. We identified all patients >18 years
who received a dose of enoxaparin while in the ED, were admitted, and had at
least one inpatient weight within the first four days of hospitalization.
Patients were excluded if they received enoxaparin for prophylaxis or a dose of
more than 1.25 mg/kg. RESULTS: A total of 1,944 patients were included. Patients
were more likely to experience an error if they did not have a measured ED
weight. Over-doses of >10 mg were more likely to occur in patients without a
measured ED weight. Patients with no documented ED weight or with a staff
estimated ED weight were more likely to experience a dosing error than those with
a patient-stated weight. Patients were more likely to experience an error if
their first inpatient weight was more than 96 kg, they were more than 175-cm
tall, or were English speaking. CONCLUSION: Dosing errors are more likely to
occur when patients are not weighed in the ED. Modifications to current workflows
to incorporate weighing those patients who receive weight-dosed medications may
be warranted.
PMID- 29796145
TI - Risk factors for ventilator-associated pneumonia in trauma patients: A
descriptive analysis.
AB - BACKGROUND: We sought to evaluate the risk factors for developing ventilator
associated pneumonia (VAP) and whether the location of intubation posed a risk in
trauma patients. METHODS: Data were retrospectively reviewed for adult trauma
patients requiring intubation for > 48 hours, admitted between 2010 and 2013.
Patients' demographics, clinical presentations and outcomes were compared
according to intubation location (prehospital intubation [PHI] vs. trauma room
[TRI]) and presence vs. absence of VAP. Multivariate regression analysis was
performed to identify predictors of VAP. RESULTS: Of 471 intubated patients, 332
patients met the inclusion criteria (124 had PHI and 208 had TRI) with a mean age
of 30.7+/-14.8 years. PHI group had lower GCS (P=0.001), respiratory rate
(P=0.001), and higher frequency of head (P=0.02) and chest injuries (P=0.04). The
rate of VAP in PHI group was comparable to the TRI group (P=0.60). Patients who
developed VAP were 6 years older, had significantly lower GCS and higher ISS,
head AIS, and higher rates of polytrauma. The overall mortality was 7.5%, and was
not associated with intubation location or pneumonia rates. In the early-VAP
group, gram-positive pathogens were more common, while gram-negative
microorganisms were more frequently encountered in the late VAP group. Logistic
regression analysis and modeling showed that the impact of the location of
intubation in predicting the risk of VAP appeared only when chest injury was
included in the models. CONCLUSION: In trauma, the risk of developing VAP is
multifactorial. However, the location of intubation and presence of chest injury
could play an important role.
PMID- 29796146
TI - Preventable readmission to intensive care unit in critically ill cancer patients.
AB - BACKGROUND: Readmission to intensive care unit (ICU) after discharge to ward has
been reported to be associated with increased hospital mortality and longer
length of stay (LOS). The objective of this study was to investigate whether ICU
readmission are preventable in critically ill cancer patients. METHODS: Data of
patients who readmitted to intensive care unit (ICU) at National Cancer
Center/Cancer Hospital of Chinese Academy of Medical Sciences (CAMS) and Peking
Union Medical College (PUMC) between January 2013 and November 2016 were
retrospectively collected and reviewed. RESULTS: A total of 39 patients were
included in the final analysis, and the overall readmission rate between 2013 and
2016 was 1.32% (39/2,961). Of 39 patients, 32 (82.1%) patients were judged as
unpreventable and 7 (17.9%) patients were preventable. There were no significant
differences in duration of mechanical ventilation, ICU LOS, hospital LOS, ICU
mortality and in-hospital mortality between patients who were unpreventable and
preventable. For 24 early readmission patients, 7 (29.2%) patients were
preventable and 17 (70.8%) patients were unpreventable. Patients who were late
readmission were all unpreventable. There was a trend that patients who were
preventable had longer 1-year survival compared with patients who were
unpreventable (100% vs. 66.8%, log rank=1.668, P=0.196). CONCLUSION: Most
readmission patients were unpreventable, and all preventable readmissions
occurred in early period after discharge to ward. There were no significant
differences in short term outcomes and 1-year survival in critically ill cancer
patients whose readmissions were preventable or not.
PMID- 29796147
TI - Feasibility study of minimally trained medical students using the Rural
Obstetrical Ultrasound Triage Exam (ROUTE) in rural Panama.
AB - BACKGROUND: Maternal and infant mortality rates reported in rural Panama are
greater than those in urban regions. Bocas del Toro is a region of Panama
inhabited by indigenous people at greater risk for pregnancy-related
complications and deaths due to geographic isolation and limited access to health
care. Portable ultrasound training programs have recently been implemented in low
resource settings to increase access to diagnostic imaging. The goal of this
study is to determine the feasibility of teaching first-year medical students the
Rural Obstetrical Ultrasound Triage Exam (ROUTE) to help identify pathology in
pregnant women of the Bocas del Toro region of Panama. METHODS: Eight first-year
medical students completed ROUTE training sessions. After training, the students
were compared to professional sonographers to evaluate their accuracy in
performing the ROUTE. Students then performed the ROUTE in mobile clinics within
Bocas del Toro. They enrolled women pregnant in their 2nd or 3rd trimesters and
measured biparietal diameter, head circumference, amniotic fluid index, fetal lie
and placental position. Any abnormal measurement would be further analyzed by the
lead physician for a potential hospital referral. RESULTS: A total of 60 women
were enrolled in the study. Four women were detected as having a possible high
risk pregnancy and thus referred to a hospital for further evaluation.
CONCLUSION: Based on our data, first-year medical students with additional
training can use the ROUTE to identify complications in pregnancy using
ultrasound in rural Panama. Additional studies are required to determine the
optimal amount of training required for proficiency.
PMID- 29796148
TI - Radial artery pseudoaneurysm diagnosed by point-of-care ultrasound five days
after transradial catheterization: A case report.
PMID- 29796149
TI - Obstructive shock secondary to fungal prosthetic aortic valve endocarditis.
PMID- 29796151
TI - 2016 Annual Report of the University of Kansas Health System Poison Control
Center.
AB - Introduction: This is the 2016 Annual Report of the University of Kansas Health
System Poison Control Center (PCC). The PCC is one of 55 certified poison control
centers in the United States and serves the state of Kansas 24-hours a day, 365
days a year, with certified specialists in poison information and medical
toxicologists. The PCC receives calls from the public, law enforcement, health
care professionals, and public health agencies. All calls to the PCC are recorded
electronically in the Toxicall(r) data management system and uploaded in near
real-time to the National Poison Data System (NPDS), which is the data repository
for all poison control centers in the United States. Methods: All encounters
reported to the PCC from January 1, 2016 to December 31, 2016 were analyzed. Data
recorded for each exposure includes caller location, age, weight, gender,
substance exposed to, nature of exposure, route of exposure, interventions,
medical outcome, disposition and location of care. Encounters were classified
further as human exposure, animal exposure, confirmed non-exposure, or
information call (no exposure reported). Results: The PCC logged 21,965 total
encounters in 2016, including 20,713 human exposure cases. The PCC received calls
from every county in Kansas. The majority of human exposure cases (50.4%, n =
10,174) were female. Approximately 67% (n = 13,903) of human exposures involved a
child (defined as age 19 years or less). Most encounters occurred at a residence
(94.0%, n = 19,476) and most calls (72.3%, n = 14,964) originated from a
residence. The majority of human exposures (n = 18,233) were acute cases
(exposures occurring over eight hours or less). Ingestion was the most common
route of exposure documented (86.3%, n = 17,882). The most common reported
substance in pediatric encounters was cosmetics/personal care products (n =
1,362), followed by household cleaning product (n = 1,301). For adult encounters,
sedatives/hypnotics/antipsychotics (n = 1,130) and analgesics (n = 1,103) were
the most frequently involved substances. Unintentional exposures were the most
common reason for exposures (81.3%, n = 16,836). Most encounters (71.1%, n =
14,732) were managed in a non-healthcare facility (i.e., a residence). Among
human exposures, 14,679 involved exposures to pharmaceutical agents while 10,176
involved exposure to non-pharmaceuticals. Medical outcomes were 32% (n = 6,582)
no effect, 19% (n = 3,911) minor effect, 8% (n = 1,623) moderate effect, and 2%
(n = 348) major effects. There were 15 deaths in 2016 reported to the PCC. Number
of exposures, calls from healthcare facilities, cases with moderate or major
medical outcomes, and deaths all increased in 2016 compared to 2015. Conclusion:
The results of the 2016 University of Kansas Health System Poison Control annual
report demonstrates that the center receives calls from the entire state of
Kansas totaling over 20,000 human exposures per year. While pediatric exposures
remain the most common, there is an increasing number of calls from healthcare
facilities and for cases with serious outcomes. The experience of the PCC is
similar to national data. This report supports the continued value of the PCC to
both public and acute health care in the state of Kansas.
PMID- 29796150
TI - High burden of atopy in immigrant families in substandard apartments in Sweden -
on the contribution of bad housing to poor health in vulnerable populations.
AB - Background: Atopic disorders are a global concern. Studies in migrant populations
can illuminate the interplay of genetic and environmental factors. Exposures
related to bad housing (indoor dampness, mould growth, crowding etc.) are likely
to play a role in how socioeconomic inequalities can turn into health disparities
for disadvantaged populations. The sizable immigrant population living in very
poor-quality housing in Malmo, Sweden, became the focus of a cross-sectional
study. Objective: To describe atopic disorders and sensitizations in a population
living in substandard housing in Malmo, Sweden, with an emphasis on their
relation to harmful exposures from the built environment. Methods: Families were
recruited via identification of any children with symptomatic airway afflictions
from health care records, and also asymptomatic children from school lists.
Interviewer-led health questionnaire data and data from self-reports about living
conditions were obtained together with data from home inspections carried out by
health communicators. Families underwent skin prick tests (SPT) against common
aeroallergens. Results: As could be expected from background demographic
information, it turned out that we effectively studied an immigrant population
inhabiting very precarious housing outside the center of Malmo. A total of 359
children from 130 families (total 650 participants) were included. Overall the
prevalence of potentially harmful environmental exposures was high (signs of
moisture or mould in more than 50% of apartments, indoor smoking in 37% of
households). Atopic disorders were common among both adults and children. SPTs
showed a spectrum of sensitizations consistent with unselected populations in
Sweden. Paternal sensitization in the SPT was associated with higher risk of
sensitization for offspring than maternal sensitization. Few statistically
significant associations of atopic sensitization with studied environmental
exposures were detected (for example objective signs of dampness /mould in
bathrooms). There were marked discrepancies between asthma diagnoses obtained
from the health records and parental reports of such diagnoses and treatment for
their children. Conclusions: The atopic burden in this selected immigrant
population was high, and results point to unmet medical needs. Health care
systems caring for such populations need to be aware of their specific health
needs; comprehensive asthma and allergy care should include consideration of
harmful environmental exposures, adhering to the precautionary principle.
PMID- 29796152
TI - Increasing Onshore Oil Production: An Unexpected Explosion in Trauma Patients.
AB - Introduction: Few data currently exist which are focused on type and severity of
onshore oil extraction-related injuries. The purpose of this study was to
evaluate injury patterns among onshore oil field operations. Methods: A
retrospective review was conducted of all trauma patients aged 18 and older with
an onshore oil field-related injury admitted to an American College of Surgeons
verified level 1 trauma center between January 1, 2003 and June 30, 2012. Data
collected included demographics, injury severity and details, hospital outcomes,
and disposition. Results: A total of 66 patients met inclusion criteria. All
patients were male, of which the majority were Caucasian (81.8%, n = 54) with an
average age of 36.5 +/- 11.8 years, injury severity score of 9.4 +/- 8.9, and
Glasgow Coma Scale score of 13.8 +/- 3.4. Extremity injuries were the most common
(43.9%, n = 29), and most were the result of being struck by an object (40.9%, n
= 27). Approximately one-third of patients (34.8%, n = 23) were admitted to the
intensive care unit. Nine patients (13.6%) required mechanical ventilation while
27 (40.9%) underwent operative treatment. The average hospital length of stay was
5.8 +/- 16.6 days, and most patients (78.8%, n = 52) were discharged home. Four
patients suffered permanent disabilities, and there were two deaths. Conclusion:
Increased domestic onshore oil production inevitably will result in higher
numbers of oil field-related traumas. By focusing on employees who are at the
greatest risk for injuries and by targeting the main causes of injuries, training
programs can lead to a decrease in injury incidence.
PMID- 29796153
TI - Head CT Guidelines Following Concussion among the Youngest Trauma Patients: Can
We Limit Radiation Exposure Following Traumatic Brain Injury?
AB - Introduction: Recent studies have provided guidelines on the use of head computed
tomography (CT) scans in pediatric trauma patients. The purpose of this study was
to identify the prevalence of these guidelines among concussed pediatric
patients. Methods: A retrospective review was conducted of patients four years or
younger with a concussion from blunt trauma. Demographics, head injury
characteristics, clinical indicators for head CT scan (severe mechanism, physical
exam findings of basilar skull fracture, non-frontal scalp hematoma, Glasgow Coma
Scale score, loss of consciousness, neurologic deficit, altered mental status,
vomiting, headache, amnesia, irritability, behavioral changes, seizures,
lethargy), CT results, and hospital course were collected. Results: One-hundred
thirty-three patients (78.2%) received a head CT scan, 7 (5.3%) of which
demonstrated fractures and/or bleeds. All patients with skull fractures and/or
bleeds had at least one clinical indicator present on arrival. Clinical
indicators that were observed more commonly in patients with positive CT findings
than in those with negative CT findings included severe mechanism (100% vs.
54.8%, respectively, p = 0.020) and signs of a basilar skull fracture (28.6% vs.
0.8%, respectively, p = 0.007). Severe mechanism alone was found to be sensitive,
but not specific, whereas signs of a basilar skull fracture, headache, behavioral
changes, and vomiting were specific, but not sensitive. No neurosurgical
procedures were necessary, and there were no deaths. Conclusion: Clinical
indicators were present in patients with positive and negative CT findings.
However, severe mechanism of injury and signs of basilar skull fracture were more
common for patients with positive CT findings.
PMID- 29796154
TI - Evaluation of Protective Equipment Used Among Motorbike Riders.
AB - Introduction: This study compared outcomes between patients injured at a
motorbike track, which requires riders to follow safety equipment guidelines, and
those involved in recreational riding where safety equipment usage is voluntary.
Methods: A retrospective review was conducted of all patients presenting with
motorbike-related injuries at an American College of Surgeons verified level-I
trauma center between January 1, 2009 and December 31, 2013. Data collected
included demographics, injury details, safety equipment use, hospitalization
details, and discharge disposition. Comparisons were made regarding protective
equipment usage. Results: Among the 115 patients admitted, more than half (54.8%,
n = 63) were injured on a motorbike track, and 45.2% (n = 52) were injured in a
recreational setting. The majority of patients were male (93.9%), Caucasian
(97.4%), and between the ages of 18 to 54 (64.4%). Helmet usage was higher among
track riders (95.2%, n = 60) than recreational riders (46.2%, n = 24, p <
0.0001). Comparisons of injury severity and outcomes between those who wore
protective equipment and those who did not were not significant. Conclusion: Even
though track riders wore protective equipment more than recreational riders,
there was no difference between the groups regarding injury severity or hospital
outcomes. These results suggested that motocross riders should not rely on
protective equipment as the only measure of injury prevention.
PMID- 29796155
TI - Elastic Abdominal Binders Reduce Cesarean Pain Postoperatively: A Randomized
Controlled Pilot Trial.
AB - Background: A potential non-pharmacologic way to reduce postoperative pain and
bleeding is using an abdominal binder during postoperative recovery. This study
aims to determine the effect an elastic abdominal binder has on postoperative
pain and hemorrhage after cesarean delivery. Methods: A randomized, single-site,
pilot trial was conducted at two prenatal care clinics and an academic hospital
in Kansas. Beginning in April 2013, 60 patients were enrolled if delivering via
cesarean. Participants were randomized to receive an abdominal binder or to a
control group (did not use binder). Pain levels were reported by questionnaire
one day after surgery using a 0 to 10 scale, with 10 being the worst pain.
Patient characteristics and blood loss were assessed by medical record review.
Results: Of the 56 patients completing the study, 29 (51.8%) were randomized to
the binder group and 27 (48.2%) were randomized to the control group. The binder
group reported significantly lower pain score (p = 0.019) and average pain score
(p = 0.024). There was no difference in body mass index, age, previous surgery,
infant birth weight, estimated blood loss, and average dose of pain medication
during the first 24 hours after the cesarean delivery between the two groups.
There was no difference in pre- and post-operative hemoglobin levels by treatment
group (p = 0.406). Conclusions: Abdominal binders may be associated with improved
postoperative pain scores but did not affect postoperative hemorrhage.
PMID- 29796156
TI - Worse than the Disease? The Rash of Lomatium Dissectum.
PMID- 29796157
TI - Metastasis of Benign Leiomyomas Outside the Uterus.
PMID- 29796158
TI - SRC/ABL inhibition disrupts CRLF2-driven signaling to induce cell death in B-cell
acute lymphoblastic leukemia.
AB - Children with B-cell precursor acute lymphoblastic leukemia (BCP-ALL)
overexpressing the CRLF2 gene (hiCRLF2) have poor prognosis. CRLF2 protein
overexpression leads to activated JAK/STAT signaling and trials are underway
using JAK inhibitors to overcome treatment failure. Pre-clinical studies
indicated limited efficacy of single JAK inhibitors, thus additional pathways
must be targeted in hiCRLF2 cells. To identify additional activated networks, we
used single-cell mass cytometry to examine 15 BCP-ALL primary patient samples. We
uncovered a coordinated signaling network downstream of CRLF2 characterized by co
activation of JAK/STAT, PI3K, and CREB pathways. This CRLF2-driven network could
be more effectively disrupted by SRC/ABL inhibition than single-agent JAK or PI3K
inhibition, and this could be demonstrated even in primary minimal residual
disease (MRD) cells. Our study suggests SCR/ABL inhibition as effective in
disrupting the cooperative functional networks present in hiCRLF2 BCP-ALL
patients, supporting further investigation of this strategy in pre-clinical
studies.
PMID- 29796159
TI - Analysis of KIT gene mutations in patients with melanoma of the head and neck
mucosa: a retrospective clinical report.
AB - Unlike their cutaneous counterparts, head and neck mucosal malignant melanomas
(HNMM) are more aggressive, and their prognostic markers have not been fully
elucidated. This study, comprising 28 patients with HNMM, aimed to establish the
relationship between different mutations and outcome, define the incidence of KIT
mutations in HNMM, and identify the correlation among therapeutic options,
histopathological findings, demographic data, and clinical response. Clinical
analysis included patient characteristics, staging, primary and palliative
treatments, and disease-free survival and overall survival (OS). Progression-free
survival and OS were analyzed. Paraffin blocks were selected following histologic
analyses, enabling DNA extraction. PCR amplification of exons 9, 11, 13, and 17,
with different DNA concentrations, was performed. Patients were predominantly
females (57%) and aged 27-85 years. All patients underwent surgery; 17 received
adjuvant radiotherapy, and recurrences occurred in 82% patients. Oncologic
mutations in KIT were found in 7 of 7 tumors, 3 in exon 9, 3 in exon 11, and 1 in
exon 13. Predictive factors for recurrence were mitotic rate, vascular invasion,
and perineural spread. There were no significant differences in DFS and OS
according to KIT mutation. Our study results suggest that some patients might
benefit from appropriate targeted therapy with kinase inhibitors.
PMID- 29796160
TI - Comprehensive molecular analysis based on somatic copy number alterations in
intramucosal colorectal neoplasias and early invasive colorectal cancers.
AB - It is unclear whether somatic copy number alterations (SCNAs) contribute to the
development of colorectal cancer (CRC). Here, we aimed to identify the molecular
profiles of early colorectal carcinogenesis based on SCNAs and determine the
associations of other molecular abnormalities for the detection of neoplasia in
both intramucosal neoplasia (IMN) and invasive CRC with invasion into the
muscular layer without metastasis (early invasive CRC). A single nucleotide
polymorphism array was used to examine 100 colorectal IMNs (low-grade adenoma
[LGA], 40; high-grade adenoma [HGA], 25; intramucosal adenocarcinoma [IMA], 35)
and early invasive CRC (20 tumors). In addition, genetic mutations (KRAS, BRAF),
TP53 overexpression, microsatellite instability (MSI), and DNA methylation (low,
intermediate, high) were examined. Hierarchical clustering analysis based on the
SCNA pattern was carried out to identify molecular profiles in IMNs and early
invasive CRC. Colorectal tumors were classified into three subgroups based on
SCNA patterns. Subgroup 1 was characterized by multiple SCNAs, subgroup 3 was
closely associated with infrequent SCNAs, and subgroup 2 was an intermediate
subgroup in SCNA pattern between subgroups 1 and 3. Although mutations in KRAS
were commonly found in all three subgroups, overexpression of TP53 was observed
primarily in subgroup 1 and 2. DNA methylation showed a low/intermediate type.
Finally, no MSI was detected. Each subgroup was correlated with histology
(subgroup 1, early invasive CRC; subgroup 2, LGA; subgroups 2 and 3, HGA and
IMA). Considerable SCNAs may be required for acquisition of invasive ability in
CRC. Our results provide novel insights into early CRC.
PMID- 29796161
TI - Involvement of SNPs in miR-3117 and miR-3689d2 in childhood acute lymphoblastic
leukemia risk.
AB - Acute lymphoblastic leukemia (ALL) is the most common cancer in children.
Numerous studies have shown that microRNAs (miRNAs) could play a role in this
disease. Nowadays, more than 2500 miRNAs have been described, that regulate more
than 50% of genes, including those involved in B-cell maturation, differentiation
and proliferation. Genetic variants in miRNAs can alter their own levels or
function, affecting their target gene expression, and then, may affect ALL risk.
Therefore, the aim of this study was to determine the role of miRNA genetic
variants in B-ALL susceptibility. We analyzed all variants in pre-miRNAs (MAF >
1%) in two independent cohorts from Spain and Slovenia and inferred their
functional effect by in silico analysis. SNPs rs12402181 in miR-3117 and
rs62571442 in miR-3689d2 were associated with ALL risk in both cohorts, possibly
through their effect on MAPK signalling pathway. These SNPs could be novel
markers for ALL susceptibility.
PMID- 29796162
TI - YdjC chitooligosaccharide deacetylase homolog induces keratin reorganization in
lung cancer cells: involvement of interaction between YDJC and CDC16.
AB - Lung cancer is a fatal disease with a high mortality rate. The perinuclear
reorganization of keratin 8 (K8) is an important biochemical phenomenon
reflecting changes in the physical properties of metastatic cancer. However,
there is not much of information about the regulatory molecules involved in
phosphorylation and perinuclear reorganization of K8. In this study, we
investigated the role and molecular mechanisms of YdjC chitooligosaccha- ride
deacetylase homolog (YDJC) in sphingosylphosphorylcholine (SPC)-induced
phosphorylation and reorganization of K8, and migration and invasion (SPC-induced
events). SPC induced expression of YDJC in a dose- and time-dependent manner.
Gene silencing of YDJC suppressed SPC-induced events. YDJC overexpression induced
the SPC-induced events. YDJC deacetylase dominant negative mutant (YDJCD13A) did
not induce SPC-induced events. YDJC siRNA reduced ERK activation and
overexpression of YDJC induced ERK activation. The siRNA of ERK1 or ERK2
suppressed YDJC-induced phosphorylation and reorganization of K8, and migration
and invasion. Co-immunoprecipitation revealed that YDJC binds to CDC16.
Interestingly, CDC16 siRNA induced SPC-induced events. Overexpression of CDC16
blocked SPC-induced events. KMPLOT analysis based on public microarray data
revealed the poor prognosis of lung cancer patients with high expression of YDJC
compared with patients with low expression of YDJC. The collective results
indicate that YDJC is involved in SPC-induced events in A549 lung cancer cells by
interacting with CDC16. YDJC overexpression might be involved in the progression
of lung cancer. These results also suggest that suppression of YDJC or boosting
of CDC16 interaction with YDJC might be a novel way to prevent progression of
lung cancer.
PMID- 29796163
TI - Disturbed alternative splicing of FIR (PUF60) directed cyclin E overexpression in
esophageal cancers.
AB - Overexpression of alternative splicing of far upstream element binding protein 1
(FUBP1) interacting repressor (FIR; poly(U) binding splicing factor 60 [PUF60])
and cyclin E were detected in esophageal squamous cell carcinomas (ESCC).
Accordingly, the expression of FBW7 was examined by which cyclin E is degraded as
a substrate via the proteasome system. Expectedly, FBW7 expression was decreased
significantly in ESCC. Conversely, c-myc gene transcriptional repressor FIR
(alias PUF60; U2AF-related protein) and its alternative splicing variant form
(FIRDeltaexon2) were overexpressed in ESCC. Further, anticancer drugs (cis
diaminedichloroplatinum/cisplatin [CDDP] or 5-fluorouracil [5-FU]) and knockdown
of FIR by small interfering RNA (siRNA) increased cyclin E while knockdown of
FIRDeltaexon2 by siRNA decreased cyclin E expression in ESCC cell lines (TE1,
TE2, and T.Tn) or cervical SCC cells (HeLa cells). Especially, knockdown of
SAP155 (SF3b1), a splicing factor required for proper alternative splicing of FIR
pre-mRNA, decreased cyclin E. Therefore, disturbed alternative splicing of FIR
generated FIR/FIRDeltaexon2 with cyclin E overexpression in esophageal cancers,
indicating that SAP155 siRNA potentially rescued FBW7 function by reducing
expression of FIR and/or FIRDeltaexon2. Remarkably, Three-dimensional structure
analysis revealed the hypothetical inhibitory mechanism of FBW7 function by
FIR/FIRDeltaexon2, a novel mechanism of cyclin E overexpression by
FIR/FIRDeltaexon2-FBW7 interaction was discussed. Clinically, elevated FIR
expression potentially is an indicator of the number of lymph metastases and anti
FIR/FIRDeltaexon2 antibodies in sera as cancer diagnosis, indicating chemical
inhibitors of FIR/FIRDeltaexon2-FBW7 interaction could be potential candidate
drugs for cancer therapy. In conclusion, elevated cyclin E expression was, in
part, induced owing to potential FIR/FIRDeltaexon2-FBW7 interaction in ESCC.
PMID- 29796166
TI - Carbon ion radiotherapy for inoperable pediatric osteosarcoma.
AB - Background: Unresectable pediatric osteosarcoma has poor outcomes with
conventional treatments. Results: Twenty-six patients aged 11-20 years (median
16) had inoperable osteosarcoma of the trunk (24 pelvic, 1 mediastinal and 1
paravertebral) without any other lesion at initial examination. There were 22
primary, 1 locally recurrent and 3 metastatic cases. Median CIRT dose was 70.4 Gy
RBE (relative biological effectiveness) delivered in 16 fractions. Median follow
up was 32.7 months. Overall survival was 50.0% and 41.7% at 3 and 5 years,
respectively. Ten patients survived for more than 5 years (range 5-20.7 years).
Local control was 69.9% and 62.9% at 3 and 5 years, respectively and progression
free survival was 34.6% at 3 and 5 years. Only largest tumor diameter correlated
with 5-year overall survival and local control. There were 4 grade 3-4 CIRT
related late toxicities, 1 case of bone fracture and no treatment-related
mortalities. All patients (except 1) were able to ambulate after CIRT.
Conclusions: CIRT was safe and efficacious in the treatment of inoperable
pediatric osteosarcoma with improved local control and overall survival compared
to conventional treatments. Methods: We retrospectively reviewed the records of
pediatric and adolescent patients who received carbon ion radiotherapy (CIRT) for
inoperable osteosarcoma between 1996 and 2014.
PMID- 29796164
TI - Using antibody directed phototherapy to target oesophageal adenocarcinoma with
heterogeneous HER2 expression.
AB - Early oesophageal adenocarcinoma (OA) and pre-neoplastic dysplasia may be treated
with endoscopic resection and ablative techniques such as photodynamic therapy
(PDT). Though effective, discrete areas of disease may be missed leading to
recurrence. PDT further suffers from the side effects of off-target
photosensitivity. A tumour specific and light targeted therapeutic agent with
optimised pharmacokinetics could be used to destroy residual cancerous cells left
behind after resection. A small molecule antibody-photosensitizer conjugate was
developed targeting human epidermal growth factor receptor 2 (HER2). This was
tested in an in vivo mouse model of human OA using a xenograft flank model with
clinically relevant low level HER2 expression and heterogeneity. In vitro we
demonstrate selective binding of the conjugate to tumour versus normal tissue.
Light dependent cytotoxicity of the phototherapy agent in vitro was observed. In
an in vivo OA mouse xenograft model the phototherapy agent had desirable
pharmacokinetic properties for tumour uptake and blood clearance time. PDT
treatment caused tumour growth arrest in all the tumours despite the tumours
having a clinically defined low/negative HER2 expression level. This new
phototherapy agent shows therapeutic potential for treatment of both HER2
positive and borderline/negative OA.
PMID- 29796167
TI - Combination of two anti-tubulin agents, eribulin and paclitaxel, enhances anti
tumor effects on triple-negative breast cancer through mesenchymal-epithelial
transition.
AB - Improved prognosis for triple-negative breast cancer (TNBC) has currently
plateaued and the development of novel therapeutic strategies is required.
Therefore, we aimed to explore the anti-tumor effect of eribulin and paclitaxel
combination therapy for TNBC. The effect of eribulin and paclitaxel in
combination was tested, with both concurrent and sequential administration, using
four TNBC cell lines (MDA-MB-231, Hs578T, MDA-MB-157, and Mx-1) in vitro and in
an MDA-MB-231 BALB/c-nu/nu mouse xenograft model. The expression of epithelial
mesenchymal phenotypic markers was analyzed by western blotting and
immunohistochemical analyses. Simultaneous administration of eribulin and
paclitaxel resulted in a synergistic anti-tumor effect with MDA-MB-231 and Hs578T
cells, but not MDA-MB-157 and Mx-1 cells, in vitro. Moreover, pre-treatment with
one drug significantly enhanced sensitivity to the subsequently administrated
second drug in MDA-MB-231 and Hs578T cells. Eribulin increased E-cadherin
expression and decreased the expression of mesenchymal markers in MDA-MB-231 and
Hs578T cells. In contrast, paclitaxel increased the expression of mesenchymal
markers. When epithelial-mesenchymal transition was induced by TGF-beta1,
eribulin sensitivity was enhanced. In contrast, a TGF-beta receptor kinase
inhibitor decreased eribulin sensitivity. In MDA-MB-231 tumor-bearing mice,
concurrent administration of low doses of eribulin and paclitaxel significantly
inhibited tumor growth compared to that with either monotherapy. Moreover, single
administration of eribulin before the initiation of paclitaxel treatment
decreased vimentin expression and reduced the average tumor volume in a mouse
xenograft model. Eribulin and paclitaxel show synergistic anti-tumor effect by
altering the epithelial-mesenchymal phenotype. This combination therapy could
represent a novel therapeutic strategy for TNBC.
PMID- 29796168
TI - Bromodomain protein BRD4 inhibitor JQ1 regulates potential prognostic molecules
in advanced renal cell carcinoma.
AB - Sunitinib is a standard molecular-targeted drug used as a first-line treatment
for metastatic clear cell renal cell carcinoma (ccRCC); however, resistance to
sunitinib has become a major problem in medical practice. Recently, bromodomain
containing 4 (BRD4), a member of the bromodomain family proteins, was identified
as a promising therapeutic target, and its inhibitor JQ1 has been shown to have
inhibitory effects in various human cancers. However, the anti-cancer effects of
JQ1 in ccRCC, particularly sunitinib-resistant ccRCC, are still unclear. Here, we
aimed to elucidate the anti-cancer effects of JQ1 and the mechanisms underlying
BRD4 inhibition in sunitinib-sensitive and -resistant ccRCCs. Analysis of The
Cancer Genome Atlas (TCGA) ccRCC cohort showed that patients with high BRD4
expression had shorter overall survival than those with low expression. JQ1
treatment significantly inhibited tumor growth of sunitinib-sensitive and
resistant ccRCC cells in part through MYC regulation. Based on RNA sequencing
analyses of ccRCC cells treated with JQ1 to elucidate the mechanisms other than
MYC regulation, we identified several oncogenes that may be potential therapeutic
targets or prognostic markers; patients with high expression of SCG5, SPOCD1,
RGS19, and ARHGAP22 had poorer overall survival than those with low expression in
TCGA ccRCC cohort. Chromatin immunoprecipitation assays revealed that these
oncogenes may be promising BRD4 targets, particularly in sunitinib-resistant
ccRCC cells. These results identified SCG5, SPOCD1, RGS19, and ARHGAP22 as
potential prognostic markers and showed that BRD4 inhibition may have
applications as a potential therapeutic approach in sunitinib-sensitive and
resistant ccRCC.
PMID- 29796165
TI - Preclinical evaluation of a GFRA1 targeted antibody-drug conjugate in breast
cancer.
AB - Despite recent advances in treatment, breast cancer remains the second-most
common cause of cancer death among American women. A greater understanding of the
molecular characteristics of breast tumors could ultimately lead to improved
tumor-targeted treatment options, particularly for subsets of breast cancer
patients with unmet needs. Using an unbiased genomics approach to uncover
membrane-localized tumor-associated antigens (TAAs), we have identified glial
cell line derived neurotrophic factor (GDNF) family receptor alpha 1 (GFRA1) as a
breast cancer TAA. Immunohistochemistry (IHC) revealed that GFRA1 displays a
limited normal tissue expression profile coupled with overexpression in specific
breast cancer subsets. The cell surface localization as determined by
fluorescence-activated cell sorting (FACS) and the rapid internalization kinetics
of GFRA1 makes it an ideal target for therapeutic exploitation as an antibody
drug conjugate (ADC). Here, we describe the development of a
pyrrolobenzodiazepine (PBD)-armed, GFRA1-targeted ADC that demonstrates
cytotoxicity in GFRA1-positive cell lines and patient-derived xenograft (PDX)
models. The safety profile of the rat cross-reactive GFRA1-PBD was assessed in a
rat toxicology study to find transient cellularity reductions in the bone marrow
and peripheral blood, consistent with known off-target effects of PBD ADC's.
These studies reveal no evidence of on-target toxicity and support further
evaluation of GFRA1-PBD in GFRA1-positive tumors.
PMID- 29796169
TI - Aberrant ATRX protein expression is associated with poor overall survival in NF1
MPNST.
AB - Malignant Peripheral Nerve Sheath Tumors (MPNSTs) are aggressive soft tissue
sarcomas that can occur sporadically or in the setting of the Neurofibromatosis
type 1 (NF1) cancer predisposition syndrome. These tumors carry a dismal overall
survival. Previous work in our lab had identified ATRX chromatin remodeler
(ATRX), previously termed, Alpha Thalassemia/Mental Retardation Syndrome X Linked
as a gene mutated in a subset of MPNSTs. Given the great need for novel
biomarkers and therapeutic targets for MPNSTs, we sought to determine the
expression of ATRX in a larger subset of sporadic and NF1 associated MPNSTs (NF1
MPNSTs). We performed immunohistochemistry (IHC) on 74 MPNSTs (43 NF1-associated
and 31 sporadic), 21 plexiform neurofibromas, and 9 atypical neurofibromas. Using
this approach, we have demonstrated that 58% (43/74) of MPNSTs have aberrant ATRX
expression (<80% nuclear expression) compared to only 7% (2/30) of benign
(plexiform and atypical) neurofibromas. Second, we demonstrated that 65% (28/43)
of NF1-MPNSTs displayed aberrant ATRX expression as did 48% (15/31) of sporadic
MPNSTs. Finally, we show that aberrant ATRX expression was associated with a
significantly decreased overall survival for patients with NF1-MPNST (median OS
of 17.9 months for aberrant expression and median OS not met (>120 months) for
intact expression, p = 0.0276). In summary, we demonstrate that ATRX is
aberrantly expressed in the majority of NF1-MPNSTs, but not plexiform or atypical
neurofibromas. Additionally, aberrant ATRX expression is associated with
decreased overall survival in NF1-MPNST, but not sporadic MPNST and may serve as
a prognostic marker for patients with NF1-MPNST.
PMID- 29796171
TI - Risk-stratified surveillance protocol improves cost-effectiveness after radical
nephroureterectomy in patients with upper tract urothelial carcinoma.
AB - Objectives: To develop a surveillance protocol with improved cost-effectiveness
after radical nephroureterectomy (RNU), as the cost-effectiveness of oncological
surveillance after RNU remains unclear. Results: Of 426 patients, 109 (26%) and
113 (27%) experienced visceral and intravesical recurrences, respectively. The
pathology-based protocol found significant differences in recurrence-free
survival in the visceral recurrence but not in the intravesical recurrence. The
medical costs per visceral recurrence detected were high, especially in normal
risk (<= pT2N0, LVI-, SM-) patients. We developed a risk score associated with
visceral recurrence using Cox regression analysis. The risk score-based protocol
was significantly more cost-effective than the pathology-based protocol.
Estimated cost differences reached $747,929 per recurrence detected, a suggested
55% reduction. Materials and Methods: We retrospectively evaluated 426 patients
with RNU for upper tract urothelial carcinoma (UTUC) without distant metastasis
at 4 hospitals. Patients with routine oncological follow-up were stratified into
normal-, high- and very high-risk groups according to a pathology-based protocol
utilizing pathological stage, lymphovascular invasion (LVI) and surgical margin
(SM). Cost-effectiveness of the pathology-based protocol was evaluated, and a
risk score-based protocol was developed to optimize cost-effectiveness. Risk
scores were calculated by summing up risk factors independently associated with
recurrence-free survival. Patients were stratified by low-, intermediate- and
high-risk score. Estimated cost per recurrence detected by pathology-based and
risk score-based protocols was compared. Conclusions: A risk score-stratified
surveillance protocol has the potential to reduce over investigation during
follow-up, making surveillance more cost-effective.
PMID- 29796170
TI - Targeting the spliceosome for cutaneous squamous cell carcinoma therapy: a role
for c-MYC and wild-type p53 in determining the degree of tumour selectivity.
AB - We show that suppression of the spliceosome has potential for the treatment of
cutaneous squamous cell carcinoma (cSCC). The small-molecule inhibitors of the
spliceosome at the most advanced stage of development target the splicing factor
SF3B1/SF3b155. The majority of cSCC cell lines are more sensitive than normal
skin cells to death induced by the SF3B1 inhibitor pladienolide B. Knockdown of
SF3B1 and a range of other splicing factors with diverse roles in the spliceosome
can also selectively kill cSCC cells. We demonstrate that endogenous c-MYC
participates in conferring sensitivity to spliceosome inhibition. c-MYC
expression is elevated in cSCC lines and its knockdown reduces alterations in
mRNA splicing and attenuates cell death caused by interference with the
spliceosome. In addition, this study provides further support for a key role of
the p53 pathway in the response to spliceosome disruption. SF3B1 inhibition
causes wild-type p53 upregulation associated with altered mRNA splicing and
reduced protein expression of both principal p53 negative regulators MDMX/MDM4
and MDM2. We observed that wild-type p53 can promote pladienolide B-induced death
in tumour cells. However, p53 is commonly inactivated by mutation in cSCCs and
p53 participates in killing normal skin cells at high concentrations of
pladienolide B. This may limit the therapeutic window of SF3B1 inhibitors for
cSCC. We provide evidence that, while suppression of SF3B1 has promise for
treating cSCCs with mutant p53, inhibitors which target the spliceosome through
SF3B1-independent mechanisms could have greater cSCC selectivity as a consequence
of reduced p53 upregulation in normal cells.
PMID- 29796172
TI - Oncodriver inhibition and CD4+ Th1 cytokines cooperate through Stat1 activation
to induce tumor senescence and apoptosis in HER2+ and triple negative breast
cancer: implications for combining immune and targeted therapies.
AB - In patients with HER2-expressing breast cancer many develop resistance to HER2
targeted therapies. We show that high and intermediate HER2-expressing cancer
cell lines are driven toward apoptosis and tumor senescence when treated with
either CD4+ Th1 cells, or Th1 cytokines TNF-alpha and IFN-gamma, in a dose
dependent manner. Depletion of HER2 activity by either siRNA or trastuzumab and
pertuzumab, and subsequent treatment with either anti-HER2 Th1 cells or TNF-alpha
and IFN-gamma resulted in synergistic increased tumor senescence and apoptosis in
cells both sensitive and cells resistant to trastuzumab which was inhibited by
neutralizing anti-TNF-alpha and IFN-gamma. Th1 cytokines induced minimal
senescence or apoptosis in triple negative breast cancer cells (TNBC); however,
inhibition of EGFR in combination with Th1 cytokines sensitized those cells
causing both senescence and apoptosis. TNF-alpha and IFN-gamma led to increased
Stat1 phosphorylation through serine and tyrosine sites and a compensatory
reduction in Stat3 activation. Single agent IFN-gamma enhanced Stat1
phosphorylation on tyrosine 701 and similar effects were observed in combination
with TNF-alpha and EGFR inhibition. These results demonstrate Th1 cytokines and
anti-oncodriver blockade cooperate in causing tumor senescence and apoptosis in
TNBC and HER2-expressing breast cancer, suggesting these combinations could be
explored as non-cross-reactive therapy preventing recurrence in breast cancer.
PMID- 29796174
TI - Abundance of TRAIL attenuated by HIF2alpha and c-FLIP affects malignancy in renal
cell carcinomas.
AB - Dormant cancer cells are starvation-resistant leading to problems in the
management of cancer. In renal cell carcinomas (RCCs), starvation-resistant cells
are resistant to various currently available therapies. However, targeting
hypoxia inducible factor 2-alpha (HIF2-alpha) induces cell death in dormant
like/starvation-resistant RCCs. This study showed that the apoptotic cell death
caused by tumor necrosis factor (TNF)-related apoptosis-induced ligand
(TNFSF10/TRAIL) was attenuated by CASP8 and FADD-like apoptosis regulator
(CFLAR/c-FLIP) following HIF2-alpha activation, despite the high expression of
TRAIL in such RCCs. Knockdowns of TRAIL averted apoptotic cell death caused by
HIF2-alpha inhibition in starvation-resistant RCCs. Knockdowns of both HIF2-alpha
and c-FLIP augmented apoptotic cell death, whereas overexpression of c-FLIP
completely averted apoptosis. In addition, high abundance of TRAIL was correlated
with poor prognosis in patients with RCC, suggesting that TRAIL, followed by HIF2
alpha and c-FLIP, play a role in the survival and/or progression of malignant
RCCs.
PMID- 29796173
TI - Metabolomics based predictive classifier for early detection of pancreatic ductal
adenocarcinoma.
AB - The availability of robust classification algorithms for the identification of
high risk individuals with resectable disease is critical to improving early
detection strategies and ultimately increasing survival rates in PC. We leveraged
high quality biospecimens with extensive clinical annotations from patients that
received treatment at the Medstar-Georgetown University hospital. We used a high
resolution mass spectrometry based global tissue profiling approach in
conjunction with multivariate analysis for developing a classification algorithm
that would predict early stage PC with high accuracy. The candidate biomarkers
were annotated using tandem mass spectrometry. We delineated a six metabolite
panel that could discriminate early stage PDAC from benign pancreatic disease
with >95% accuracy of classification (Specificity = 0.85, Sensitivity = 0.9).
Subsequently, we used multiple reaction monitoring mass spectrometry for
evaluation of this panel in plasma samples obtained from the same patients. The
pattern of expression of these metabolites in plasma was found to be discordant
as compared to that in tissue. Taken together, our results show the value of
using a metabolomics approach for developing highly predictive panels for
classification of early stage PDAC. Future investigations will likely lead to the
development of validated biomarker panels with potential for clinical translation
in conjunction with CA-19-9 and/or other biomarkers.
PMID- 29796175
TI - A targeted transforming growth factor-beta (TGF-beta) blocker, TTB, inhibits
tumor growth and metastasis.
AB - Transforming growth factor beta (TGF-beta) promotes cancer growth in late stage
cancers. To inhibit the TGF-beta pathway, we investigated a tumor-targeting TGF
beta receptor blocker, TTB, and its role in tumor progress. The targeted TTB
comprised of the extracellular domain of the TGF-beta receptor II, the endoglin
domain of TGF-beta receptor III, and the human immuno-globin IgG1 constant
fragment (Fc). To enhance tumor microenvironment targeting, a RGD peptide was
fused at the N-terminal of TTB. The targeted TTB exhibited potent TGF-beta
neutralization activities, and inhibited cancer cell migration and invasion as
well as colony formation. In xenograft models, the TTB had potent tumor
inhibition activities. The TTB also attenuated the TGF-beta1-induced Smad2
phosphorylation and epithelial to mesenchymal transformation (EMT), and
suppressed breast cancer metastasis. Thus, the TTB is an effective TGF-beta
blocker with a potential for blocking excessive TGF-beta induced pathogenesis in
vivo.
PMID- 29796176
TI - Association of increased primary breast tumor AGR2 with decreased disease
specific survival.
AB - Objective: Tumor expression of Anterior Gradient 2 (AGR2), an endoplasmic
reticulum protein disulfide isomerase, was associated with decreased breast
cancer survival. We aimed to validate the association of tumor AGR2 mRNA
expression with disease-specific survival (DSS) and identify differentially
expressed signaling pathways between high and low AGR2 expression tumor groups.
Methods: Primary tumor mRNA expression data from the METABRIC study was used to
evaluate AGR2 expression as a prognostic factor for DSS while adjusting for
survival-determining confounders using Cox proportional-hazards regression.
Differentially expressed genes and signaling pathway differences between high and
low AGR2 groups were determined by modular enrichment analyses using DAVID and
Ingenuity Pathway Analysis. Results: Increased tumor AGR2 mRNA expression was
associated with decreased DSS among 1,341 women (per each standard deviation
increase of AGR2 expression: HR 1.14, 95% CI: 1.01-1.29, P = 0.03). Pathway
analyses supported prior experimental studies showing that estrogen receptor 1
(ESR1) regulated AGR2 expression. Canonical signaling pathways significantly
differentially represented between high and low AGR2 groups included those
involved in inflammation and immunity. Conclusion: Increased primary tumor AGR2
expression was associated with decreased DSS. Pathway analyses suggested that
increased AGR2 was associated with endoplasmic reticular homeostasis, possibly
allowing tumor cells to overcome hypoxic stress and meet the increased protein
demand of tumorigenesis, thereby preventing unfolded protein response-mediated
apoptosis.
PMID- 29796177
TI - Differential prognostic impact of interleukin-34 mRNA expression and infiltrating
immune cell composition in intrinsic breast cancer subtypes.
AB - Interleukin-34 (IL-34) is a ligand for the CSF-1R and has also two additional
receptors, PTPRZ1 and syndecan-1. IL-34 plays a role in innate immunity,
inflammation, and cancer. However, the role of IL-34 in breast cancer is still
ill-defined. We analyzed IL-34 mRNA expression in breast cancer cell lines and
breast cancer patients and applied established computational approaches
(CIBERSORT, ESTIMATE, TIMER, TCIA), to analyze gene expression data from The
Cancer Genome Atlas (TCGA). Expression of IL-34 was associated with a favorable
prognosis in luminal and HER2 but not basal breast cancer patients. Gene
expression of CSF-1 and CSF-1R was strongly associated with myeloid cell
infiltration, while we found no or only weak correlations between IL-34, PTPRZ1,
syndecan-1 and myeloid cells. In vitro experiments showed that tyrosine
phosphorylation of CSF-1R, ERK, and FAK and cell migration are differentially
regulated by IL-34 and CSF-1 in breast cancer cell lines. Collectively, our data
suggest that correlation of IL-34 gene expression with survival is dependent on
the molecular breast cancer subtype. Furthermore, IL-34 is not associated with
myeloid cell infiltration and directly regulates breast cancer cell migration and
signaling.
PMID- 29796179
TI - Preoperative tumor size is associated with deep myometrial invasion and lymph
node metastases and is a negative prognostic indicator for patients with
endometrial carcinoma.
AB - We examined the usefulness of evaluating tumor size determined using preoperative
magnetic resonance imaging (MRI) for prognosis in patients with endometrial
carcinoma (EC). Patients (N = 184) with EC who underwent surgery at Shimane
University Hospital between 1997 and 2013 were enrolled. We investigated the
association between the tumor size of EC assessed prior to surgery by MRI
(anteroposterior [AP], transverse [TV], and craniocaudal [CC] diameters) and
various clinical parameters including deep myometrial invasion and lymph node
metastases. We subsequently examined the prognostic significance of tumor size in
patients with EC. Survival analysis was performed using the Kaplan-Meier method,
and prognostic factors were evaluated using the Cox's proportional hazards
regression model. Multivariate analysis identified increased AP diameter as an
independent negative prognostic factor for overall survival (OS) (P = 0.037). A
long AP diameter has prognostic value and the potential to be a predictive marker
for surgical outcomes in patients with EC. Furthermore, AP diameter exhibited the
greatest area under the curve (AUC) (0.727) for deep myometrial invasion, and CC
diameter had the greatest AUC for lymph node metastases (0.854). Evaluation of
tumor size parameters may aid in the identification of high-risk populations,
which could improve treatment selection and patient outcomes.
PMID- 29796178
TI - SB202190 inhibits endothelial cell apoptosis via induction of autophagy and heme
oxygenase-1.
AB - Activation of the p38 mitogen-activated protein kinase (MAPK) pathway has been
implicated in various detrimental events finally leading to endothelial
dysfunction. The present study therefore investigates the impact of the p38 MAPK
inhibitor SB202190 on the expression of the cytoprotective enzyme heme oxygenase
1 (HO-1) as well as metabolic activity, apoptosis and autophagy of endothelial
cells. Using human umbilical vein endothelial cells (HUVEC) SB202190 was found to
cause a time- and concentration-dependent induction of HO-1 protein. Induction of
HO-1 protein expression was mimicked by SB203580, another p38 MAPK inhibitor, but
not by SB202474, an inactive structural analogue of p38 MAPK inhibitors. HO-1
induction by both SB202190 and SB203580 was also demonstrated by analysis of mRNA
expression. On the functional level, SB202190 was shown to increase metabolic
activity and autophagy of HUVEC along with diminishing basal apoptosis. Treatment
of cells with tin protoporphyrin IX (SnPPIX), a well-characterised HO-1 enzymatic
inhibitor, or HO-1 siRNA left SB202190-modulated metabolic activity and autophagy
virtually unaltered but caused a significant reversal of the anti-apoptotic
action of SB202190. Conversely, however, HO-1 expression by SB202190 became
completely suppressed by the autophagy inhibitor bafilomycin A1. Bafilomycin A1
likewise fully reversed effects of SB202190 on metabolic activity and apoptosis,
albeit significantly inducing apoptosis per se. Collectively, this work
demonstrates SB202190 to confer upstream induction of autophagy followed by HO-1
induction resulting in potential protective effects against apoptosis. On the
other hand, our data oppose HO-1 to contribute to SB202190-mediated increases in
metabolic activity and autophagy, respectively.
PMID- 29796180
TI - Gene expression profiling in prognosis of distant recurrence in HR-positive and
HER2-negative breast cancer patients.
AB - There had been several studies using gene-expression profiling in predicting
distant recurrence in breast cancer. In this study, we developed an 18-gene
classifier (18-GC) to predict distant recurrence of breast cancer and compared it
with the 21-gene panel (Oncotype DX(r), ODx) in performance. Included were 224
breast cancer patients with positive hormonal receptor (HR+) and negative human
epidermal growth factor receptor 2 (HER2-). We compared the demographic,
clinical, and survival information of the patients, and further compared the
prediction of recurrence risk obtained by using the 18-GC with that by ODx. To
have the best combined sensitivity and specificity, receiver operating
characteristics (ROC) curve analysis was performed to determine the cutoff values
for several breakpoint scores. For the new 18-GC, a breakpoint score of 21 was
adopted to produce a combined highest sensitivity (95%) and specificity (39%) in
detecting distant recurrence. At this breakpoint score, 164 of the 224 patients
were classified by the 18-GC in the same risk level as by ODx, giving a
concordance rate of 73%. Along with patient age and tumor stage, this 18-GC was
found to be an independent significant prognostic factor of distant metastasis of
breast cancer. We have thus created a new gene panel assay for prediction of
distant recurrence in HR+ and HER2- breast cancer patients. With a high
concordance rate with ODx, this new assay may serve as a good tool for individual
breast cancer patients to make an informed decision on whether adjuvant
chemotherapy should be performed post-surgery.
PMID- 29796182
TI - DGC-specific RHOA mutations maintained cancer cell survival and promoted cell
migration via ROCK inactivation.
AB - RHOA missense mutations exist specifically in diffuse type gastric cancers (DGC)
and are considered one of the DGC driver genes, but it is not fully understood
how RHOA mutations contribute to DGC development. Here we examined how RHOA
mutations affect cancer cell survival and cell motility. We revealed that cell
survival was maintained by specific mutation sites, namely G17, Y42, and L57.
Because these functional mutations suppressed MLC2 phosphorylation and actin
stress fiber formation, we realized they act in a dominant-negative fashion
against the ROCK pathway. Through the same inactivating mechanism that maintained
cell survival, RHOA mutations also increased cell migration activity. Cell
survival and migration studies on CLDN18-ARHGAP (CLG) fusions, which are known to
be mutually exclusive to RHOA mutations, showed that CLG fusions complemented
cell survival under RHOA knockdown condition and also induced cell migration.
Site-directed mutagenesis analysis revealed the importance of the GAP domain and
indicated that CLG fusions maintained RHOA in the inactive form. Taken together,
these findings show that the inactivation of ROCK would be a key step in DGC
development, so ROCK activation might provide novel therapeutic opportunities.
PMID- 29796181
TI - RPGR protein complex regulates proteasome activity and mediates store-operated
calcium entry.
AB - Ciliopathies are a group of genetically heterogeneous disorders, characterized by
defects in cilia genesis or maintenance. Mutations in the RPGR gene and its
interacting partners, RPGRIP1 and RPGRIP1L, cause ciliopathies, but the function
of their proteins remains unclear. Here we show that knockdown (KD) of RPGR,
RPGRIP1 or RPGRIP1L in hTERT-RPE1 cells results in abnormal actin cytoskeleton
organization. The actin cytoskeleton rearrangement is regulated by the small
GTPase RhoA via the planar cell polarity (PCP) pathway. RhoA activity was
upregulated in the absence of RPGR, RPGRIP1 or RPGRIP1L proteins. In RPGR,
RPGRIP1 or RPGRIP1L KD cells, we observed increased levels of DVl2 and DVl3
proteins, the core components of the PCP pathway, due to impaired proteasomal
activity. RPGR, RPGRIP1 or RPGRIP1L KD cells treated with thapsigargin (TG), an
inhibitor of sarcoendoplasmic reticulum Ca2+- ATPases, showed impaired store
operated Ca2+ entry (SOCE), which is mediated by STIM1 and Orai1 proteins. STIM1
was not localized to the ER-PM junction upon ER store depletion in RPGR, RPGRIP1
or RPGRIP1L KD cells. Our results demonstrate that the RPGR protein complex is
required for regulating proteasomal activity and for modulating SOCE, which may
contribute to the ciliopathy phenotype.
PMID- 29796183
TI - PKD1 is a potential biomarker and therapeutic target in triple-negative breast
cancer.
AB - Protein Kinase D1 (PKD1) is a serine/threonine kinase encoded by the PRKD1 gene.
PKD1 has been previously shown to be a prognostic factor in ERalpha+ tamoxifen
resistant breast tumors and PKD1 overexpression confers estrogen independence to
ERalpha+ MCF7 cells. In the present study, our goal was to determine whether PKD1
is a prognostic factor and/or a relevant therapeutic target in breast cancer. We
analyzed PRKD1 mRNA levels in 527 primary breast tumors. We found that high PRKD1
mRNA levels were significantly and independently associated with a low metastasis
free survival in the whole breast cancer population and in the triple-negative
breast cancer (TNBC) subtype specifically. High PRKD1 mRNA levels were also
associated with a low overall survival in TNBC. We identified novel PKD1
inhibitors and assessed their antitumor activity in vitro in TNBC cell lines and
in vivo in a TNBC patient-derived xenograft (PDX) model. Pharmacological
inhibition and siRNA-mediated depletion of PKD1 reduced colony formation in MDA
MB-436 TNBC cells. PKD1 inhibition also reduced tumor growth in vivo in a TNBC
PDX model. Together, these results establish PKD1 as a poor prognostic factor and
a potential therapeutic target in TNBC.
PMID- 29796186
TI - miR-34a, miR-424 and miR-513 inhibit MMSET expression to repress endometrial
cancer cell invasion and sphere formation.
AB - Although the oncogene MMSET (also known as NSD2 or WHSC1) has an essential role
in malignancies, its impact on human endometrial cancer (EC) metastasis and the
molecular mechanism of MMSET regulation are largely unknown. We report that MMSET
was markedly upregulated in EC cell lines and EC tissues, and was significantly
associated with poor survival in EC. MMSET overexpression greatly promoted EC
cell invasion and sphere formation, whereas inhibition of MMSET reduced EC cell
invasion and sphere formation. Importantly, Twist1 was required for MMSET-induced
EC cell invasion and sphere formation. Moreover, we demonstrate that miR-34a, miR
424 and miR-513 directly modulate MMSET expression to attenuate the invasion and
sphere formation capacity of EC cells. miR-34a, miR-424 and miR-513 were down
regulated in EC compared with normal tissue, and reduced expression of miR-34a,
miR-424 and miR-513 was clinically associated with a poorer prognosis in EC
patients. Furthermore, specific inhibition of MMET with BIX-01294 led to
decreased EC cell invasion and impaired sphere formation. These findings suggest
a pro-metastatic role for MMSET in EC and reveal that the repression of miR-34a,
miR-424 and miR-513 contributes to the overexpression of MMSET during EC
metastasis.
PMID- 29796185
TI - Chloride intracellular channel 1 as a switch among tumor behaviors in human
esophageal squamous cell carcinoma.
AB - Background: Recent studies have reported important roles for chloride
intracellular channel 1 (CLIC1) in various cancers; however, its involvement in
esophageal squamous cell carcinoma (ESCC) remains unclear. The aim of the present
study was to investigate the role of CLIC1 in human ESCC. Methods: CLIC1
expression in human ESCC cell lines was analyzed by Western blotting. Knockdown
experiments were conducted with CLIC1 siRNA, and their effects on cell
proliferation, the cell cycle, apoptosis, migration, and invasion were analyzed.
The gene expression profiles of cells were analyzed using a microarray analysis.
An immunohistochemical analysis was performed on 61 primary tumor samples
obtained from ESCC patients who underwent esophagectomy. Results: ESCC cells
strongly expressed CLIC1. The depletion of CLIC1 using siRNA inhibited cell
proliferation, induced apoptosis, and promoted cell migration and invasion. The
results of the microarray analysis revealed that the depletion of CLIC1 regulated
apoptosis via the TLR2/JNK pathway. Immunohistochemistry showed that CLIC1 was
present in the cytoplasm of carcinoma cells, and that the very strong or very
weak expression of CLIC1 was an independent poor prognostic factor. Conclusions:
The present results suggest that the very strong expression of CLIC1 enhances
tumor survival, while its very weak expression promotes cellular movement. The
present study provides an insight into the role of CLIC1 as a switch among tumor
behaviors in ESCC.
PMID- 29796187
TI - Cytokeratin 8/18 protects breast cancer cell lines from TRAIL-induced apoptosis.
AB - TNF-related apoptosis inducing ligand (TRAIL) induces apoptosis by engaging its
death receptors (DRs) 4 and/or 5 on targeted cells. Clinical attempts to
stimulate this apoptotic pathway for cancer therapy, including the use of
recombinant human TRAIL (rhTRAIL) or receptor agonistic antibodies, have been
underway for over a decade. Unfortunately, these agents have only shown limited
therapeutic effects due largely to tumor resistance arising from mechanisms yet
to be defined. Here we show that intermediate filament proteins, keratin 8 and
keratin 18 (K8/K18), negatively regulate TRAIL induced apoptosis. K8/K18 protein
levels are consistently higher in TRAIL-resistant cells compared to TRAIL
sensitive cells in a panel of breast cancer cell lines. Blockade of K8 increased
expression of DR5 on the surface of targeted cells and sensitized the cells to
TRAIL-induced apoptosis. Conversely, ectopic expression of K8/K18 downregulated
DR5 protein expression. K8/K18 appears to negatively regulate apoptosis signaling
via DR5 in breast cancer cells. Our findings warrant additional studies to
determine if K8/K18 could be a predictor of tumor resistance to DR5-targeted
therapies.
PMID- 29796184
TI - MAP4K4 controlled integrin beta1 activation and c-Met endocytosis are associated
with invasive behavior of medulloblastoma cells.
AB - Local tissue infiltration of Medulloblastoma (MB) tumor cells precedes metastatic
disease but little is still known about intrinsic regulation of migration and
invasion in these cells. We found that MAP4K4, a pro-migratory Ser/Thr kinase, is
overexpressed in 30% of primary MB tumors and that increased expression is
particularly associated with the frequently metastatic SHH beta subtype. MAP4K4
is a driver of migration and invasion downstream of c-Met, which is
transcriptionally up-regulated in SHH MB. Consistently, depletion of MAP4K4 in MB
tumor cells restricts HGF-driven matrix invasion in vitro and brain tissue
infiltration ex vivo. We show that these pro-migratory functions of MAP4K4
involve the activation of the integrin beta-1 adhesion receptor and are
associated with increased endocytic uptake. The consequent enhanced recycling of
c-Met caused by MAP4K4 results in the accumulation of activated c-Met in
cytosolic vesicles, which is required for sustained signaling and downstream
pathway activation. The parallel increase of c-Met and MAP4K4 expression in SHH
MB could predict an increased potential of these tumors to infiltrate brain
tissue and cause metastatic disease. Molecular targeting of the underlying
accelerated endocytosis and receptor recycling could represent a novel approach
to block pro-migratory effector functions of MAP4K4 in metastatic cancers.
PMID- 29796188
TI - The effects of restricted glycolysis on stem-cell like characteristics of breast
cancer cells.
AB - Altered glycolysis is a characteristic of many cancers, and can also be
associated with changes in stem cell-like cancer (SCLC) cell populations. We
therefore set out to directly examine the effect of glycolysis on SCLC cell
phenotype, using a model where glycolysis is stably reduced by adapting the cells
to a sugar source other than glucose. Restricting glycolysis using this approach
consistently resulted in cells with increased oncogenic potential; including an
increase in SCLC cells, proliferation in 3D matrigel, invasiveness,
chemoresistance, and altered global gene expression. Tumorigenicity in vivo was
also markedly increased. SCLC cells exhibited increased dependence upon alternate
metabolic pathways. They also became c-KIT dependent, indicating that their
apparent state of maturation is regulated by glycolysis. Single-cell mRNA
sequencing identified altered networks of metabolic-, stem- and signaling- gene
expression within SCLC-enriched populations in response to glycolytic
restriction. Therefore, reduced glycolysis, which may occur in niches within
tumors where glucose availability is limiting, can promote tumor aggressiveness
by increasing SCLC cell populations, but can also introduce novel, potentially
exploitable, vulnerabilities in SCLC cells.
PMID- 29796189
TI - Treatment of ovarian cancer by targeting the tumor stem cell-associated
carbohydrate antigen, Sialyl-Thomsen-nouveau.
AB - Recurrent ovarian cancer (OvCa) is thought to result in part from the inability
to eliminate rare quiescent cancer stem cells (CSCs) that survive cytotoxic
chemotherapy and drive tumor resurgence. The Sialyl-Thomsen-nouveau antigen (STn)
is a carbohydrate moiety present on protein markers of CSCs in pancreatic, colon,
and gastric malignancies. We have demonstrated that human OvCa cell lines contain
varying levels of cells that independently express either STn or the ovarian CSC
marker CD133. Here we determine co-expression of STn and CD133 in a subset of
human OvCa cell lines. Analyses of colony and sphere forming capacity and of
response to standard-of-care cytotoxic therapy suggest a subset of OvCa STn+
cells display some CSC features. The effect of the anti-STn antibody-drug
conjugates (ADCs) S3F-CL-MMAE and 2G12-2B2-CL-MMAE on OvCa cell viability in
vitro and in vivo was also assessed. Treatment with S3F-CL-MMAE reduced the
viability of two of three OvCa cell lines in vitro and exposure to either S3F-CL
MMAE or 2G12-2B2-CL-MMAE reduced OVCAR3-derived xenograft volume in vivo,
depleting STn+ tumor cells. In summary, STn+ cells demonstrate some stem-like
properties and specific therapeutic targeting of STn in ovarian tumors may be an
effective clinical strategy to eliminate both STn+ CSC and STn+ non-CSC
populations.
PMID- 29796192
TI - The recognition of Chronobiology in Science.
PMID- 29796191
TI - A case of ALK-rearranged non-small cell lung cancer that responded to ceritinib
after development of resistance to alectinib.
AB - The second-generation anaplastic lymphoma kinase (ALK) tyrosine kinase inhibitors
(TKIs) alectinib and ceritinib are standard treatment options for patients with
non-small cell lung cancer (NSCLC) positive for ALK fusion genes. However, almost
all patients eventually develop resistance to these drugs. We here report a case
of ALK-rearranged NSCLC that developed resistance to alectinib but remained
sensitive to ceritinib. The L1196M mutation within the ALK fusion gene was
detected after failure of consecutive treatment with crizotinib and alectinib,
but no other mechanism underlying acquired resistance to ALK-TKIs was found to be
operative. Given the increasing application of ALK-TKIs to the treatment of
patients with ALK-rearranged NSCLC, further clinical evaluation is warranted to
provide a better understanding of the mechanisms of acquired resistance to these
agents and to inform treatment strategies for such tumors harboring secondary
mutations.
PMID- 29796190
TI - Cancer stem cells of hepatocellular carcinoma.
AB - Hepatocellular carcinoma is a malignant tumor arising from hepatocytes. The
hepatocellular carcinoma is dictated by a subset of cells with stem cell-like
features. These cells are apoptosis-resistant and have particular biomarkers,
which serve as seeds in different stages of tumorigenesis including initiation,
progression, metastasis, and relapse of hepatocellular carcinoma. Signaling
pathways of cancer stem cells are novel targets for the radical intervention of
hepatocellular carcinoma.
PMID- 29796193
TI - Effects of acute sleep deprivation and caffeine supplementation on anaerobic
performance.
AB - Purpose: Athletes involved in team sports may be subject to varying degrees of
sleep deprivation either before or after training and competition. Despite the
belief among athletes and coaches of the importance of adequate sleep for ensuing
performance, the effect of sleep loss on team-sport anaerobic performance remains
unclear. There is conflicting evidence in the scientific literature as to the
impact of acute sleep deprivation and caffeine supplementation on anaerobic
performance indices. The purpose of this study is to investigate the effect of 24
hours of acute sleep deprivation on anaerobic performance and the effect of
caffeine supplementation on anaerobic performance in the sleep deprived state.
Methods: 11 club level games players (n=11, 25+/-4 yr, 178+/-7.5 cm, 80.2+/-10.4
kg, 15.1+/-5.6% body fat) participated in a repeated measures double-blinded
placebo control trial. Following familiarisation, each participant returned for
testing on three separate occasions. One of the testing sessions took place
following a night of normal sleep and the other two sessions took place following
24 hours of sleep deprivation with supplementation of either placebo or 6 mg.kg-
1 of caffeine. During each testing session participants performed the vertical
jump height, 20-m straight sprint, Illinois speed agility test and 5-m shuttle
run. Results: No significant differences were detected comparing non sleep
deprived and sleep deprived interventions in any of the assessed outcome
measures. There were also no significant differences observed in any of the
outcome measures when comparing caffeine and placebo data in the sleep deprived
state. Conclusion: In this cohort of athletes, a 24-h period of acute sleep
deprivation did not have any significant impact on anaerobic performance.
Caffeine also did not have any effect of on anaerobic performance in the sleep
deprived state.
PMID- 29796194
TI - Ambulatory positional obstructive sleep apnea syndrome.
AB - Objective: To establish the prevalence of positional (PP) OSA patients using self
administered home-based respiratory polygraphy (RP). Materials and Methods: 52
month retrospective study based on RP records. Results: 200 PR records: 70.5% men
29.5% women. 76% were diagnosed with OSA and 54.6% with PP OSA. There were no
significant differences in Epworth Sleepiness Scale, apnea hypopnea index and
oxygen desaturation index. PP OSA patients were younger, had a lower BMI (30.3+/
0.9 vs. 35.3+/-1.2) (p<0.0001), and the time they spent with oxygen saturation
<90% (T<90) was lower (8.8 vs. 28.7+/-6.7, p=0.0038). The PP OSA group spent 43%
of total recording time in the supine position. Conclusions: The prevalence of PP
OSA patients studied with RP is similar to the one described by sleep
laboratories. They have lower BMI, present mostly mild OSA with less
desaturation, and are less likely to receive CPAP therapy.
PMID- 29796195
TI - Efficiency of the Ocluch(c)MAD in the treatment of patients with OSAS and its
association with craniofacial morphology.
AB - Objective: This study uses polysomnography and the Epworth sleepiness scale to
assess the efficiency of the Ocluch(c)MAD in patients with Obstructive sleep
apnea-hypopnea syndrome (OSAS), on overall respiratory disturbance indices (RDI),
supine respiratory disturbance index (SRDI), minimum oxygen saturation,
microarousals, CT90 (or ID90), sleep efficacy and snoring. These data are
associated with skeletal class and facial biotype in order to establish
predictive parameters for its effectiveness according to craniofacial morphology.
Methods: 22 adult patients (between 38 and 60 years of age) of both sexes (7
women, 15 men) diagnosed with OSAS in the Hospital de Carabineros de Chile
(HOSCAR) Neurology Unit were recruited and given the Ocluch(c) MAD in the
hospital's dental clinic, for its use during a three-month period. Patients were
assess at the beginning and in the end of this period. Results: 87.5% of patients
with mild OSAS achieved the success criterion and normalization; 71.5% of
patients with moderate OSAS achieved the success criterion and 33.3% achieved
normalization; 85.7% of patients with severe OSAS achieved the success criterion
and 57.1% achieved normalization. All class I and mesofacial patients achieved
normalization, but class II patients had the greatest proportional improvement.
Conclusions: The Ocluch MAD is an efficient low-cost alternative that should be
considered among the therapeutic arsenal for a multidisciplinary approach to
treating this disease.
PMID- 29796196
TI - Sleep respiratory disturbances during the ascent to Mount Aconcagua.
AB - Introduction: Mountaineers exposed to hypobaric hypoxia (HH) show high-altitude
periodic breathing (PB). Objective: To analyze high-altitude PB during the ascent
of Mount Aconcagua (Argentina). Materials and Methods: Descriptive study in
healthy volunteers using respiratory polygraphy (RP) at different altitudes.
Results: We studied 8 andinist, mean age: 36 years old (25-51), body mass index
(BMI) of 23.6 (20.9-28.7) and 22.77 (20.9-27.7) upon return, p<0.01. RP without
PB showed a lower Oxygen Desaturation Index (ODI) and a lower Apnea-Hypopnea
Index (AHI); 5.43 (0 - 20) versus 45.95 (2-122) p<0.001 and 3.9 (0-15.5) versus
44.35 (4-115) p<0.001. AHI increased with altitude at the expense of central
apneas and hypopneas: p<0.05. Conclusion: High-altitude PB is frequent above
2,581m.a.s.l. And it is characterized by short cycles. None of the mountaineers
showed PB at baseline; however, high-altitude PB occurred in all subjects above
4,900 m.a.s.l.
PMID- 29796197
TI - A rare differential diagnosis of excessive daytime sleepiness - Artery of
Percheron territory infarct.
AB - Stroke is an example of neurological diseases that can commonly drives Excessive
Daytime Sleepiness (EDS). Extensive strokes with brain edema can leave a brain
herniation and coma. Other causes of EDS after stroke are strategic lesions at
Thalamus and brainstein. A 56-year-old man, right handed, with hypertension and
hypercholesterolemia was admitted at Emergency Room due to 5 days onset of EDS,
memory impairment, and left-sided weakness. A brain magnetic resonance imaging
showed paramedian thalamic hyperintensity with rostral midbrain hyperintensity
extending along the pial surface of the interpeduncular fossa. The artery of
Percheron (AP) is an unusual anatomical variation that originates from the
posterior cerebral artery and irrigates the paramedian regions of the thalamus
and part of the midbrain. It is important the clinical suspicions with detailed
drowsy patients history. Awareness of the clinical and neuroimaging features of
this stroke syndrome is essential for timely diagnosis and appropriate
management.
PMID- 29796198
TI - Reproducibility and predictors of the apnea hypopnea index across multiple
nights.
AB - Background: Attended polysomnography (PSG) is the standard diagnostic test for
sleep apnea (SA). However, due to internight variability in SA, a single night
PSG may not accurately reflect the true severity of SA. Although internight
variability is a well-known phenomenon, its root causes have not been fully
elucidated. The objective of this study was to determine factors associated with
internight variability in the apnea-hypopnea index (AHI) and its magnitude in the
home environment. Methods: Each participant had a full overnight PSG simultaneous
with a validated portable sleep apnea monitoring device (BresoDx(r)) followed by
two overnight home tests using the portable monitor only. Patients were
stratified into those with variable AHI and consistent AHI (AHI difference >=10
or <10 between any 2 nights, respectively). Demographics, sleepiness, sleep test
variable, and supine-predominant SA (supine-SA) were examined for any association
with variable AHI. Results: Forty patients completed the protocol. The
correlation between PSG and simultaneous BresoDx derived AHIs was 93.4%. Inter
class correlation between the three nights' AHIs was 89.2%. Over two-thirds
(67.5%) of patients had consistent AHIs across the three nights while 32.5% had
variable AHI. AHI variability was significantly associated with supine-SA
(p=0.0014) and correlated with first night's AHI (r=0.664, p<0.001). None of the
other variable, including BMI, sleepiness, gender, or test duration were
associated with internight variability. Conclusion: Although portable monitoring
was highly reproducible over three nights in the majority of participants, one
third had a variable AHI. Supine-SA and high AHI on the first night were
predictors of high internight variability.
PMID- 29796199
TI - Narcolepsy with cataplexy and hyperthyroidism sudden appeared after H1N1
vaccination.
AB - Narcolepsy type 1 (NT1) is a chronic sleep disorder, characterized by excessive
daytime sleepiness, cataplexy and fragmented nocturnal sleep. It is caused by a
hypocretin deficiency due to a significant reduction of the neurons producing it.
In the last years, it has been postulated that an autoimmune mechanism would be
responsible for the destruction of these neurons in those genetically predisposed
patients. The increased incidence of narcolepsy after the pandemic H1N1 influenza
vaccination campaign in 2009-2010 is known. We present below the case of an adult
patient who, 10 days after receiving H1N1 vaccination, suffers a traffic accident
after falling asleep. Subsequent studies revealed hyperthyroidism due to Graves
disease. In spite of the treatment, the patient persisted with daily and
disabling daytime sleepiness, sleep attacks and episodes of generalized muscle
atony with preservation of consciousness. A nocturnal polysomnography and
multiple sleep latency test (MSLT) were performed with a diagnosis of NT1. The
particularity of this case is the presentation of 2 autoimmune diseases triggered
by an H1N1 vaccine without adjuvant, so far there is only evidence of NT1
associated with vaccines with adjuvant and viral infection. The association of
both entities has made us reflect on the autoimmune mechanism, reinforcing the
theory of its role in the onset of the disease.
PMID- 29796200
TI - Development of the athlete sleep behavior questionnaire: A tool for identifying
maladaptive sleep practices in elite athletes.
AB - Introduction: Existing sleep questionnaires to assess sleep behaviors may not be
sensitive in determining the unique sleep challenges faced by elite athletes. The
purpose of the current study was to develop and validate the Athlete Sleep
Behavior Questionnaire (ASBQ) to be used as a practical tool for support staff
working with elite athletes. Methods: 564 participants (242 athletes, 322 non
athletes) completed the 18-item ASBQ and three previously validated
questionnaires; the Sleep Hygiene Index (SHI), the Epworth Sleepiness Scale (ESS)
and the Pittsburgh Sleep Quality Index (PSQI). A cohort of the studied population
performed the ASBQ twice in one week to assess test-retest reliability, and also
performed sleep monitoring via wrist-actigraphy. Results: Comparison of the ASBQ
with existing sleep questionnaires resulted in moderate to large correlations
(r=0.32 - 0.69). There was a significant difference between athletes and non
athletes for the ASBQ global score (44+/-6 vs. 41+/-6, respectively, p<0.01) and
for the PSQI, but not for the SHI or the ESS. The reliability of the ASBQ was
acceptable (ICC=0.87) when re-tested within 7 days. There was a moderate
relationship between ASBQ and total sleep time (r=-0.42). Conclusion: The ASBQ is
a valid and reliable tool that can differentiate the sleep practices between
athletes and non-athletes, and offers a practical instrument for practitioners
and/or researchers wanting to evaluate the sleep behaviors of elite athletes. The
ASBQ may provide information on areas where improvements to individual athletes'
sleep habits could be made.
PMID- 29796201
TI - Apnea-hypopnea index in sleep studies and the risk of over-simplification.
AB - According to recent reports, sleep disorders affect 30% of the adult population
and 5-10% of children. Obstructive Sleep Apnea Hypopnea Syndrome (OSA) has a
considerable epidemiological impact and demand for consultation is growing in our
community. Therefore, it is necessary to know the principles of interpretation of
diagnostic methods. A suspicion of OSA requires confirmation. According to the
guidelines of the Argentine Association of Respiratory Medicine, polysomnography
(PSG) is the gold standard for OSA diagnosis, while home sleep testing (HST) can
be accepted as a comparatively effective method depending on the clinical
situation of the patient. This article questions the use of AHI (apnea-hypopnea
index) as the only measurement needed to diagnose OSA and assess its severity. In
fact, it is surprising that, despite the large mass of data analyzed during sleep
studies, current practices only focus on AHI. More than four decades have passed
since OSA was first described. Our tendency to oversimplify complex conditions
may prevent us from gaining a deeper and more thorough understanding of OSA. The
development and validation of OSA severity scoring systems based on multiple
parameters is still a pending issue.
PMID- 29796203
TI - Otorhinolaryngology articles in the Malaysian Family Physician.
PMID- 29796202
TI - Acute response to 7-day therapy with CPAP in patients with moderate to severe
obstructive sleep apnea and cardiac arrhytmia.
AB - Introduction: Obstructive Sleep Apnea (OSA) has been associated with an elevated
risk of cardiac arrhythmia. Continuous positive airway pressure (CPAP) is the
selected treatment for moderate to severe OSA and could improve arrhythmias in
the long term. However, the acute effect of CPAP has not been studied in detail.
Methods: We conducted a prospective study with 25 patients with moderate to
severe OSA diagnosed by home respiratory polygraphy (RP) and arrhythmia and/or
pauses in 24-hour Holter ECG. We analyzed inflammatory parameters and the rate of
arrhythmias/pauses after 7 days of auto-adjusting CPAP. Results: 92.5% of the
patients were men with a mean age of 61.7+/-1.9 years. Body mass index (BMI) was
59.5+/-2.2 kg/m2, with a mean apnea hypopnea index (AHI) of 37.7+/-3.8
events/hour (ev/h), and a residual AHI (AHIr) of 5.3+/-0.53 ev/h. After short
treatment with CPAP we observed a tendency to improvement in both the severity
and number of ventricular extrasystoles (VE) (1595.0+/-850.3 vs. 926.4+/-434.5
respectively), pauses and the inflammatory parameters (CRP 3.9+/-3.1 vs. 1.7+/
1.2, glycemia 131.4+/-11.6 vs. 121.9+/-9.8, HOMA 24.4+/-3.1 vs. 21.7+/-2.8,
insulin 7.6+/-1.4 vs. 7.2+/-1.2 (p>0.5). Conclusion: We didn't find significant
changes in pauses, VE and inflammatory parameters with CPAP short therapy in CPAP
naive patients recently diagnosed with OSA.
PMID- 29796204
TI - Hypertension and its association with Anthropometric indices among students in a
public university.
AB - Introduction: The present study aimed to determine the prevalence of hypertension
and its association with nutritional status (body mass index, body fat
percentage, and visceral fat) among students in a public university in Sarawak,
Malaysia. Methods: This was a cross-sectional study among undergraduate students
aged 18 years old and above. Anthropometric measurements, such as weight, height,
body composition, and blood pressure measurements, were collected. Hypertension
was defined as equal or more than 140/90 mmHg. Statistical analyses were done
using IBM SPSS version 20. Results: A total of 354 respondents participated in
the study. Mean age for the respondents was 21 years (SD 1.18 years). About 40%
of the respondents were overweight or obese. Prevalence of hypertension was 8.2%.
Mean systolic blood pressure was 119.1mmHg (SD14.36mmHg), and the mean diastolic
blood pressure was 72.6mmHg (SD 9.73mmHg). There is a significant association
between male gender (odds ratio =3.519, 95% CI is 1.886-6.566), body fat
percentage (odds ratio = 1.944, 95% CI is 1.050-3.601), visceral fat (odds ratio
= 2.830, 95% CI is 1.346-5.951), and family history of hypertension (odds ratio=
2.366, 95% CI is 1.334-4.194) and hypertension. Conclusion: The prevalence of
hypertension was less than 10% and is associated with male gender, body
composition, and family history of hypertension.
PMID- 29796205
TI - Non-Adherence to recommended Pap smear screening guidelines and its associated
factors among women attending health clinic in Malaysia.
AB - Introduction: Cervical cancer ranks as the second most frequent cancer among
women in Malaysia. Although a cervical screening program has been introduced
since 1960s and is provided free of charge in all government health facilities,
the coverage and adherence rate to recommendation among Malaysian women remains
low. Objectives: To determine the proportion of non-adherence to Pap smear
screening guidelines and its associated factors among women attending a health
clinic in Malaysia. Method: A cross sectional study involving 316 women aged 20
to 65 years who had undergone a Pap smear. Universal sampling method was applied
to select participants among women who attended the selected health clinic from
January 2013 to May 2013. A self-administered questionnaire was used to obtain
the socio-demographic characteristics, socio-health data and perceptions about
cervical cancer and Pap smear screening. The data was analysed using descriptive
statistics and multiple logistic regression. Result: The proportion of non
adherence to Pap smear screening was 90.5%. Age, marital status, duration of
marriage, education level, employment, household income and number of children
were not significantly associated with non-adherence. Perceived severity,
perceived susceptibility, perceived benefit, perceived barrier and cues to action
did not show significant association with non-adherence to Pap smear screening.
Conclusion: Non-adherence to Pap smear screening was high among Malaysian women.
Measures should be taken to increase Pap smear screening coverage in our country.
Other factors beyond Health Belief Model that influence Pap smear screening
practice among Malaysian women should be explored.
PMID- 29796206
TI - The views and experiences of Malaysian primary care doctors in managing patients
with chronic low back pain: a qualitative study.
AB - Background: Chronic low back pain (CLBP) is a common and often difficult to treat
condition in the primary care setting. Research involving in-depth exploration on
the views and experiences faced by primary care doctors in managing patients with
CLBP in Malaysia is limited. Objective: To explore the primary care
practitioners' views and experiences in managing patients with CLBP. Study
design: A qualitative approach was employed using focus group discussions (FGD)
at an academic primary care clinic in Kuala Lumpur, Malaysia. Twenty-three
primary care doctors were purposively selected. Data were collected through audio
recorded interviews, which were transcribed verbatim and checked for accuracy.
Data saturation was reached by the third FGD. An additional FGD was included to
ensure completeness. A thematic approach using the one sheet of paper (OSOP)
method was used to analyse the data. Results: Participants view managing patients
with CLBP as challenging. This is mainly due to the difficulty in balancing the
doctors' expectations with the patients' perceived expectations during
consultation. Barriers identified include lack of awareness and conflicting views
regarding the usefulness of the local clinical practice guideline (CPG) in
clinical practice. Other barriers include time constraints and perceived lack of
support from multidisciplinary teams in managing these patients. Conclusion:
Managing patients with CLBP is still a challenge for Malaysian primary care
doctors. Any intervention should target identified barriers to improve the
management of patients with CLBP.
PMID- 29796207
TI - Management of rhinosinusitis in adults in primary care.
AB - Rhinosinusitis is a common health problem encountered in primary care. It is due
to mucosal inflammation of the nose and paranasal sinuses. Less than 2% of the
cases are associated with bacterial infections. Diagnosis is based on clinical
symptoms and supported by nasal endoscopy and imaging studies. Intranasal
corticosteroids and normal saline irrigation are important treatments.
Antibiotics are seldom indicated.
PMID- 29796208
TI - A food bolus obstructing the oesophagus in a patient with infantile cerebral
palsy.
AB - Introduction: A foreign body (FB) in the upper aerodigestive tract is a fairly
common encounter. Fish bones are the commonest FB seen in adults. The commonest
presentation is odynophagia. Usually, the patient will point at the level of FB
on the neck to indicate the location. Methods: Clinical report. Results: This
case report describes a large FB in an adult with underlying infantile cerebral
palsy. Besides dysphagia, it was associated with drooling of saliva and pain in
the throat region. Conclusion: FB ingestion with complete obstruction of the
oesophagus is an emergency. It may cause total dysphagia as the passage of food
is completely blocked.
PMID- 29796209
TI - Lemierre's syndrome: A persistent unusual neck pain and swelling.
AB - Lemierre's syndrome is a systemic complication commonly caused by oropharyngeal
infection by Fusobacterium species, which manifests itself as an internal jugular
vein thrombosis formation. It is a rare occurrence nowadays with the availability
of broad spectrum antibiotics for treatment. Most cases in the literature
presented with a life-threatening condition. We are reporting a case of
Lemierre's syndrome that presented with persistent neck pain and swelling,
initially diagnosed as cervical lymphadenitis.
PMID- 29796210
TI - Bilateral peritonsillar abscess: A rare emergency.
AB - Peritonsillar abscess, or quinsy, is a rare complication of acute tonsillitis. It
usually presents with odynophagia, trismus, and muffled voice, reflecting the
space-occupying lesion in the oral cavity. Examination reveals a unilateral
swelling on either side of the soft palate, which drains thick pus after an
incision is made. It is regarded as an emergency as an upper airway obstruction
can develop. Bilateral peritonsillar abscess is a rare presentation and results
in catastrophic sequelae. We present a case of bilateral peritonsillar abscess
that was initially referred by a primary care centre facing a dilemma in
diagnosis. Prompt diagnosis and fast drainage are warranted to avoid unwanted
morbidity, and, also, mortality.
PMID- 29796211
TI - Glomus tympanicum.
AB - Glomus tympanicum is a tumour classified under the group glomus tumours, and is
also known as paragangliomas. It is thought to commonly occur in women in the
fifth to sixth decades of life. Here, we report a case of a 77-year-old lady with
multiple co-morbids and a diagnosis of glomus tympanicum presenting to us. Her
symptoms included pulsatile tinnitus, and reduced hearing, and the management of
the case was done with consideration for her underlying multiple co-morbidities.
This paper also describes the best modality of treatment for this patient with
regard to her background history. The treatment goal was to improve her quality
of life and control the disease.
PMID- 29796212
TI - Hypopigmented patches in an 8-year-old boy.
PMID- 29796213
TI - The man with sweaty palms and soles.
PMID- 29796214
TI - A child with coated tonsils.
PMID- 29796215
TI - Single rare central lesion with triple common aerodigestive symptoms.
PMID- 29796217
TI - Trans-chalcone enhances insulin sensitivity through the miR-34a/SIRT1 pathway.
AB - Objectives: Trans-chalcone as the parent member of the chalcone series reduces
circulating levels of insulin and glucose. However, the cellular mechanism of
these effects is poorly understood. Sirtuin 1 (SIRT1) as a direct target of miR
34a controls homeostasis of glucose, and also improves insulin sensitivity.
Therefore, the present study for the first time investigated the influence of
trans-chalcone on the miR-34a/SIRT1 pathway as a possible mechanism for its
hypoglycemic and hypoinsulinemic effects. Materials and Methods: In this study,
thirty male rats were randomly divided into three groups (n=10): solvent control
(NS), oral administration of trans-chalcone for 2 (N2T) and 6 weeks (N6T) groups.
Then, hepatic levels of miR-34a and SIRT1 were measured through the qRT-PCR
method. Results: Trans-chalcone reduced food intake, body weight gain, and serum
glucose as well as insulin levels. Also, this chalcone inhibited hepatic miR-34a
expression and significantly elevated SIRT1 mRNA level. Conclusion: Trans
chalcone as an insulin-sensitizing chalcone partly acts through the miR-34a/SIRT1
pathway.
PMID- 29796216
TI - Medicinal herbs in the treatment of neuropathic pain: a review.
AB - Chronic neuropathic pain is a common significant and debilitating problem that
presents a major challenge to health-care. Despite the large number of available
drugs, there are no curative conventional treatments for neuropathic pain.
Nowadays, more attention has been focused on the herbal formulation in the field
of drug discovery. Therefore, we performed an extensive review about herbal drugs
and plants that exhibited protective effects on neuropathic pain. In this review,
the beneficial effects of each plant in different neuropathic pain model, either
in animals or in patients are reported. Moreover, the possible involved
mechanisms for the protective effects are discussed. The more common plants which
are used for the treatment of neuropathic pain are included as: Acorus calamus,
Artemisia dracunculus, Butea monosperma, Citrullus colocynthis, Curcuma longa,
Crocus sativus, Elaeagnus angustifolia, Ginkgo biloba, Mitragyna speciosa,
Momordica charantia, Nigella sativa, Ocimum sanctum, Phyllanthus amarus, Pterodon
pubescens Benth, Rubia cordifolia and Salvia officinalis. Furthermore, the most
pathways which are known to be involved in pain relief by means of herbal
remedies are anti-oxidant activity, anti-inflammatory, anti-apoptotic,
neuroprotective and calcium inhibitory actions. In conclusion, this review
suggests that some herbal plants can be suitable candidates for the treatment of
neuropathic pain.
PMID- 29796218
TI - 5-BDBD ameliorates an OVA-induced allergic asthma by the reduction of Th2
cytokines production.
AB - Objectives: P2X4R is expressed in immunocyte and lung tissues. It has been a
focus in inflammatory responses recently. This study investigated whether
blockage of P2X4R attenuates allergic inflammation by modulating T cell response
in ovalbumin-sensitized mice. Materials and Methods: Ovalbumin was used to
sensitize and challenge for a mouse model. Intranasal application of 5-BDBD,
P2X4R antagonist, were performed 3 hr before each airway allergen challenge. The
lung was evaluated for P2X4R by real-time PCR and immunofluorescence. Th1/Th2
cytokines in bronchoalveolar lavage fluid were measured by ELISA. T-bet, Gata-3,
and p-p38 MAPK were measured by Western blot or real-time PCR. Results: P2X4R was
overexpressed in the lung after allergen challenge compared with the control
group. Blockage of P2X4R decreased inflammation in the lung, IL-4 expression was
reduced as well as IL-5; IFN-gamma expression was elevated in BALF in ovalbumin
sensitized mice. Moreover, blockage of P2X4R inhibited ovalbumin-induced
increased Gata-3 level and decreased T-bet level. Conclusion: These findings
suggest that 5-BDBD ameliorates an ovalbumin-induced asthmatic attack by the
downregulation of cytokines related to the Th2 cell.
PMID- 29796219
TI - Deep brain stimulation in a rat model of post-traumatic stress disorder modifies
forebrain neuronal activity and serum corticosterone.
AB - Objectives: Post-traumatic stress disorder (PTSD), one of the most devastating
kinds of anxiety disorders, is the consequence of a traumatic event followed by
intense fear. In rats with contextual fear conditioning (CFC), a model of PTSD
caused by CFC (electrical foot shock chamber), deep brain stimulation (DBS)
alleviates CFC abnormalities. Materials and Methods: Forty Male Wistar rats (220
250 g) were divided into 5 groups (n=8) and underwent stereotactic surgery to
implant electrodes in the right basolateral nucleus of the amygdala (BLn). After
7 days, some animals received a foot shock, followed by another 7-day treatment
schedule (DBS treatment). Next, freezing behavior was measured as a predicted
response in the absence of the foot shock (re-exposure time). Blood serum
corticosterone levels and amygdala c-Fos protein expression were assessed using
Enzyme-linked immunosorbent assay (ELISA) and Western blot, respectively.
Furthermore, freezing behaviors by re-exposure time test and general anxiety by
elevated plus-maze (EPM) were evaluated. Results: PTSD decreased serum
corticosterone levels and increased both amygdala c-Fos expression and freezing
behaviors. Therefore, DBS treatment significantly (P<0.001) enhanced serum
corticosterone levels and could significantly (P<0.001) reduce both c-Fos protein
expression and freezing behaviors' duration. However, DBS treatment has no effect
on the general anxiety in PTSD rats. Conclusion: We argue that these outcomes
might demonstrate the mechanism of DBS treatment, a complete therapeutic
strategy, in PTSD patients.
PMID- 29796220
TI - Evaluation of nicotinic receptor of pedunculopontine tegmental nucleus in central
cardiovascular regulation in anesthetized rat.
AB - Objectives: Cholinergic neurons are important neurons in the Pedunculopontine
tegmental nucleus (PPT). In this study, nicotinic receptor of the PPT in central
cardiovascular regulation in the anesthetized rat was evaluated. Materials and
Methods: Saline, acetylcholine (Ach; doses: 90 and 150 nmol), hexamethonium
(Hexa; doses: 100 and 300 nmol) and higher doses of Hexa (300 nmol) + Ach (150
nmol) microinjected into the PPT. The femoral artery was cannulated and
cardiovascular responses were continuously recorded by a power lab system. After
injection of drugs, peak changes of mean arterial pressure (?MAP), systolic blood
pressure (?SBP) and heart rate (?HR) calculated and compared with saline group.
Results: The ?SBP and ?MAP significantly decreased by two doses of Ach (P<0.05 to
P<0.001) but ?HR did not change. Two doses 100 (P<0.05) and 300 nmol (P<0.01) of
Hexa significantly increased ?HR but did not alter the ?MAP or ?SBP. Co-injection
of Hexa + Ach significantly strengthened the ?HR induced by Hexa alone (P<0.01)
but did not affect ?MAP or ?SBP. Conclusion: These results indicate that
nicotinic receptor of the PPT has an inhibitory effect on ?HR with no significant
effect on ?MAP or ?SBP.
PMID- 29796222
TI - Development of monoclonal antibodies against axenic amastigotes of Leishmania
infantum strain in Iran: implication for diagnosis of Kala-azar.
AB - Objectives: Leishmaniasis is endemic in 88 countries. Amastigote forms of
Leishmania are experts at exploiting host cell processes to establish infection.
Monoclonal antibodies are key reagents used in the diagnosis of infectious and
non-infectious diseases. The aim of this study was to produce monoclonal
antibodies against axenic amastigotes of the Leishmaniainfantum strain in Iran.
Materials and Methods: First, standard strains were cultured and axenic
amastigote antigens of L. infantum were obtained. Since then, BALB/c smice were
immunized and antibody titers were determined. For hybridoma cell formation,
lymphocytes isolated from spleen of immunized mice and myeloma cells were fused
at a ratio of 10 to 1 in the presence of polyethylene glycol, followed by
limiting dilution for the isolation of monoclones. Subsequently, antibody
isotypes were determined by using the isotyping kit. The best clone was injected
intraperitoneally to pristane-primed mice for large scale production of
monoclonal antibodies. The specificity of antibody was determined with Western
blotting. Results: Approximately 25 positive monoclones were obtained, of which
four hybrids producing anti-amastigotes L. infantum monoclonal antibodies with
high optical density (OD), selected and designated as 8D2 FVI6, 8D2 FVI3, 6G2 FV4
and 6G2 FV3. Results from isotype determination showed the IgG2b sub-class in
6G2FV2 and 8D2FVI6 monoclones. Conclusion: This study produced monoclonal
antibody against amastigotes of Iranian strain of L. infantum for the first time.
These antibodies have reactivity against Iranian strain of L. infantum and can be
used in the diagnosis of Kala-azar.
PMID- 29796221
TI - Can crocin play a preventive role in Wistar rats with carbon tetrachloride
induced nephrotoxicity?
AB - Objectives: To investigate protective role of crocin by attempting to create
nephrotoxicity with carbon tetrachloride. Materials and Methods: Ethics committee
approval was obtained and 50 male Wistar rats were randomly divided into 5 groups
that included 10 rats each: Control, Corn oil, Crocin, Carbon tetrachloride
(CCl4), and Crocin + Carbon tetrachloride. Following the experiments, the rats
were decapitated under anesthesia and incised kidney tissues were subjected to
biochemical and histological examinations. Results: In the CCl4 administered
group, MDA, TOS, Bun, and creatinine levels increased, GSH, SOD, CAT, and TAS
levels decreased (P<=0.05), glomerular collapse in kidney sections, narrowing and
local occlusion in Bowman's space in certain glomeruli, inflammatory cell
infiltration and congestion were observed when compared to all other groups.
There was a significant decrease in increased MDA, TOS, Bun, and creatinine
levels, and a significant increase in decreased GSH, SOD, CAT, and TAS levels in
CCl4 + crocin administered group compared to the CCl4 group (P<=0.05), local
minimal glomerular damage, tubular damage, inflammatory infiltration, and
vascular collagen symptoms were observed in kidney sections, however significant
improvement was observed in damage findings when compared to the CCl4 group.
Conclusion: At this dose and time interval, against a highly toxic chemical such
as CCl4, crocin was able to suppress oxidative stress by playing a protective
role in the kidney tissue.
PMID- 29796223
TI - The effect of intracerebroventricular injection of CGRP on pain behavioral
responses and monoamines concentrations in the periaqueductal gray area in rat.
AB - Objectives: Calcitonin gene related peptide (CGRP) receptors are widely
distributed in the central nervous system. The aim of this study was to
investigate the effects of intracerebroventricular (ICV) injection of CGRP on
pain behavioral responses and on levels of monoamines in the periaqueductal gray
area (PAG) during the formalin test in rats. Materials and Methods: Twenty-four
male rats were studied in four groups (n=6). CGRP was injected into the left
cerebral ventricle (1.5 nmol, 5 ul). After 20 min, formalin (2.5%) was
subcutaneously injected into the right hind paw. Behavior nociceptive score was
recorded up to 60 min. During the formalin test, the PAG was subjected to
microdialysis and levels of norepinephrine, 3-methoxy-4-hydroxyphenyl-glycol
(HMPG), dopamine, 3,4-dihydroxyphenylacetic acid (DOPAC), serotonin and 5
hydroxyindole-acetic acid (HIAA) were measured by HPLC. Results: ICV injection of
CGRP lead to a significant pain reduction in acute, middle and chronic phases of
the formalin test. Dialysate concentrations of norepinephrine, HMPG, dopamine,
DOPAC, serotonin and HIAA in the PGA area showed an increase in acute phase,
middle phase and beginning of the chronic phase of the formalin test. Conclusion:
CGRP significantly reduced pain by increased concentrations of monoamines and
their metabolites in dialysates from PAG when injected ICV to rats.
PMID- 29796224
TI - Association between biofilm production, adhesion genes and drugs resistance in
different SCCmec types of methicillin resistant Staphylococcus aureus strains
isolated from several major hospitals of Iran.
AB - Objectives: The ability of bacteria to produce biofilm and adhesion makes them
more resistant to antibiotics. The current study aims to evaluate the biofilm
formation by Staphylococcus aureus and to determine the prevalence of adhesion
genes, also their correlation with drug resistance. Materials and Methods: A
total of 96 MRSA were collected from hospitals of Iran's western provinces during
2012 to 2013. The presence of ica A, B, C, D, clfA, cna, fnbA, mecA genes were
determined by PCR technique. Biofilm formation was studied by microtiter plate
assay, the clonal relations of the strains were examined by SCCmec and Spa
typing. Results: The results demonstrated that 96 % of isolates were biofilm
producers. The distributions of biofilm formation between isolates were 4.2%,
54.2%, 35.4% as high, moderate and weak, respectivelly. The highest biofilm
production was observed from blood culture isolates. All virulent genes icaA,B,
C, D, clfA, cna, fnbA were observed in moderate and weak biofilm formation
isolates. Among high biofilm formation isolates, icaB and cna genes were not
seen. Statistical analysis showed that there was a significant correlation
between ica, fnbA and the biofilm production, but there was not a significant
correlation between the type of samples and drug resistance, spa type and SCCmec
type with biofilm production (P>0.05). Frequency of All virulent genes in type
III SCCmec was higher than other types. Conclusion: The majority of MRSA isolates
were biofilm producers and blood isolates ranked as the great biofilm producer.
In these isolates ica D and fnbA genes are correlated with biofilm production.
PMID- 29796225
TI - The protective effects of naringin against 5-fluorouracil-induced hepatotoxicity
and nephrotoxicity in rats.
AB - Objectives: 5-fluorouracil-induced (5-FU), an anticarcinogenic agent, is reported
to have side-effects that include hepatotoxicity and nephrotoxicity. The study
objective was to investigate the protective effects of naringin on 5-FU-induced
hepatotoxicity and nephrotoxicity. Materials and Methods: Thirty rodents were
assigned to three groups. The control group received 1 ml of intragastric
distilled water for 14 days. The 5-FU group received 1 ml of distilled water for
14 days as a placebo. On day 9, this same group received a 20 mg/kg dose of 5-FU
administered intraperitoneally(IP) for a further five days. The naringin+5-FU
group received a 100 mg/kg dose of naringin (IP) for 14 days. On day 9, 20 mg/kg
of 5-FU was administered (IP) to this group for a further five days. On day 15,
the rats were decapitated, and blood and renal and hepatic tissues were taken.
Results: It was determined that serum creatinine, BUN, AST, ALT, ALP, and LDH
levels, as well as cytokine levels in the liver and kidney tissues were
significantly elevated in the 5-FU group, compared to the control group. The
comparative values were similar in the control and naringin+5-FU groups. When the
liver tissue was examined histopathologically, in the control group it was found
to be normal in structure. However, necrosis was observed in the hepatocytes of
the pericentric region in the 5-FU group. 8-OHdG cell density was significantly
elevated in the 5-FU group, compared to the control and naringin+5-FU groups.
Conclusion: Naringin was observed to have a protective effect on 5-FU-induced
liver and kidney damage.
PMID- 29796226
TI - DNA protection, antioxidant and xanthine oxidase inhibition activities of
polyphenol-enriched fraction of Berberis integerrima Bunge fruits.
AB - Objectives: The aim of this study was to prepare fraction and determine the
biological activities of the polyphenol-enriched fraction of Berberis integerrima
Bunge fruits. Materials and Methods: In this assay fraction was extracted by
column chromatography, using Amberlite column as the stationary phase. Phenol and
flavonoids in the extract and fraction were analyzed by high performance liquid
chromatography (HPLC). DNA protection ability, antioxidant and xanthine oxidase
inhibition capacities of this fraction were also examined. Results: Phenol and
flavonoid content measurement and HPLC analyses of this fraction confirmed that
phenol and flavonoids were increased in fraction in comparison to extract (before
using Amberlite column). In antioxidant measurement assay, the trolox equivalent
values were 1.05+/- 0.04 and 0.8+/-0.11 in oxygen radical absorbance capacity
(ORAC) and the EC50 values for cellular antioxidant activity were 55.51+/-0.21
and 95.67+/-0.13 ug/ml for quercetin and the fraction, respectively. The xanthine
oxidase inhibition percentages were 97.6+/-0.003 and 90.2 6+/-0.003 in 100 ug/ml
concentration of fraction and vitamin C respectively. Comet assay analysis showed
that this fraction protects human lymphocytes against H2O2-induced DNA damages at
12.5 to 100 ug/ml concentrations. Conclusion: This study suggests that Amberlite
column as the stationary phase help to improve phenolic compound in separating
fractions. The results showed that B. integerrima fruits are rich in phenolic
compounds and they are potent antioxidants with protective effects on oxidative
damages. They might be used as functional ingredients in food and supplements.
PMID- 29796227
TI - Effect of maternal fluoxetine exposure on lung, heart, and kidney development in
rat neonates.
AB - Objectives: Depression during pregnancy negatively affects fetal development.
Fluoxetine as a selective serotonin reuptake inhibitor (SSRIs) is used for
treatment of gestational depression. This study is trying to determine the
effects of fluoxetine on the renal, heart and lung development. Materials and
Methods: Fifteen pregnant rats were treated with fluoxetine at 7 mg/kg from days
0 to 21 of gestation. Immediately after born, heart and kidney samples were
evaluated for genes expression and histological assessment. Lung sample were
fixed for immunohistochemical study. Results: The gene expression of BMP7 and
WNT4 were reduced in the kidney of fluoxetine-treated group (P-value<0.05), but
in the heart of both groups no significant difference was found in gene
expression (P-value>0.05). Histological assessment showed that the glomeruli of
the kidneys in treated group are more primordial compared to control. There was a
developmental deficiency in Bowman's capsule, and the capsular space was not
clear. The arrangements of the filaments, the position of the nucleus and cells
morphology were normal in the hearts of both groups. Immunohistochemical analysis
demonstrated that in the fluoxetine-exposed group HoxB5 is more expressed in the
mesenchymal cells, but in the control group the expression is limited to alveolar
cells. Conclusion: According to developmental changes in kidney, heart and lung,
fluoxetine affects neonatal growth during pregnancy, which may lead to delay of
some organs growth. So, it is essential to survey the roles of antidepressant
drugs on fatal and neonatal development during pregnancy.
PMID- 29796228
TI - Effects of salidroside on rat CYP enzymes by a cocktail of probe drugs.
AB - Objectives: In this study, we aimed to evaluate the effect of salidroside on the
activities of the different drug-metabolizing enzymes CYP1A2, CYP2B6, CYP2C9,
CYP2D6 and CYP3A4 in rats, in which a specific probe drug was used for each
enzyme. Materials and Methods: After pretreatment with salidroside, five probe
drugs were simultaneously administered to rats by gavage. The given dose was 2.0
mg/kg for phenacetin (CYP1A2 activity), 4.0 mg/kg for bupropion (CYP2B6
activity), 2.0 mg/kg for losartan (CYP2C9 activity), 8.0 mg/kg for metoprolol
(CYP2D6 activity) and 1.0 mg/kg for midazolam (CYP3A4 activity). Then, an ultra
performance liquid chromatography-tandem mass spectrometry (UPLC-MS/MS) was used
to analyze the concentrations of rats' blood, which were collected at different
corresponding times. Results: Our data showed that salidroside exhibited an
inductive effect on CYP1A2, CYP2B6, CYP2C9 and CYP3A4 activities by changing the
main pharmacokinetic parameters (t1/2, CL/F, Cmax and AUC(0-infinity)) of the
four probe drugs in rats. However, no significant changes in CYP2D6 activity were
observed. Conclusion: In a word, the results displayed that salidroside could
induce the activities of CYP1A2, CYP2B6, CYP2C9 and CYP3A4, which may influence
the disposition of the drugs that are mainly metabolized by these pathways. Our
research can provide the basis for the study of related herb-drug interactions in
clinic.
PMID- 29796229
TI - Inhibition of breast cancer metastasis by co-transfection of miR-31/193b-mimics.
AB - Objectives: Various studies have been conducted to reduce the metastatic behavior
of cancerous cells. In this regard, ectopic expression of anti-metastatic
microRNAs by miR-mimic and miR-restoration-based therapies could bring new
insights to the field. In the present study, the consequences of co-transfecting
breast cancer cell lines with miR-193b and miR-31 were investigated via invasion
and migration assays. Materials and Methods: Double stranded oligonucleotide of
mature miR-193b-3p and miR-31-5p were cloned into pcDNA 6.2gw/EmGFP plasmid. The
resulting plasmids were used for transfection. Real time-PCR was performed to
assess the expression of miR-193b and miR-31 as well as Ras homolog gene family
member A (RhoA) and urokinase-type plasminogen activator (uPA) as miR targets.
Scratch, Transwell migration and Matrigel invasion assays were carried out to
assess the extent of migration and invasion of cell lines. Results: The most
significant increase in expression of miRs belonged to the single transfection of
mimic-miRs in MDA-MB231. Although the co-transfection was not as successful as
single transfection in miR expression, it was significantly more effective in
inhibition of the cells invasive potential. Conclusion: Although the miR
restoration therapy based on co-transfection of two miRs could be less effective
in expression of each miRNA, the resulting decrease in metastatic behavior of the
cells is more significant due to collective effect of co-transfection to decrease
target gene expression. Our results revealed that employing this sort of
combinatorial strategies could lead to more efficient reduction in metastatic
behavior. It seems that using this strategy would bring about more successful
therapeutic outcomes.
PMID- 29796230
TI - The effects of vitamin B12 on the brain damages caused by methamphetamine in
mice.
AB - Objectives: Methamphetamine (METH) is a powerful stimulant drug that directly
affects the brain and induces neurological deficits. B12 is a water-soluble
vitamin (vit) that is reported to attenuate neuronal degeneration. The goal of
the present study is to investigate the effect of vitamin B12 on METH's
neurodegenerative changes. Materials and Methods: Two groups of 6 animals
received METH (10 mg/kg, interaperitoneally (IP)) four times with a 2 hr
interval. Thirty mins before METH administration, vit B12 (1 mg/kg) or normal
saline were injected IP. Animals were sacrificed 3 days after the last
administration. Caspase proteins levels were measured by Western blotting. Also,
samples were examined by TUNEL assay to detect the presence of DNA fragmentation.
Reduced glutathione (GSH) was also determined by the Ellman method. Results: The
pathological findings showed that vit B12 attenuates the gliosis induced by METH.
Vit B12 administration also significantly decreased the apoptotic index in the
striatum and the cerebral cortex (P<0.001). It also reduced caspase markers
compared to the control (P<0.01 and P<0.001, respectively). Interestingly, co
administration of METH and Vit B12 elevates the levels of GSH in both regions of
the brain and returned it to normal levels compared to the METH group.
Conclusion: The current study suggests that parenteral vit B12 at safe doses may
be a promising treatment for METH-induced brain damage via inhibition of neuron
apoptosis and increasing the reduced GSH level. Research focusing on the
mechanisms involved in the protective responses of vit B12 can be helpful in
providing a novel therapeutic agent against METH-induced neurotoxicity.
PMID- 29796231
TI - Linear Phase Sharp Transition BPF to Detect Noninvasive Maternal and Fetal Heart
Rate.
AB - Fetal heart rate (FHR) detection can be monitored using either direct fetal scalp
electrode recording (invasive) or by indirect noninvasive technique. Weeks before
delivery, the invasive method poses a risk factor to the fetus, while the latter
provides accurate fetal ECG (FECG) information which can help diagnose fetal's
well-being. Our technique employs variable order linear phase sharp transition
(LPST) FIR band-pass filter which shows improved stopband attenuation at higher
filter orders. The fetal frequency fiduciary edges form the band edges of the
filter characterized by varying amounts of overlap of maternal ECG (MECG)
spectrum. The one with the minimum maternal spectrum overlap was found to be
optimum with no power line interference and maximum fetal heart beats being
detected. The improved filtering is reflected in the enhancement of the
performance of the fetal QRS detector (FQRS). The improvement has also occurred
in fetal heart rate obtained using our algorithm which is in close agreement with
the true reference (i.e., invasive fetal scalp ECG). The performance parameters
of the FQRS detector such as sensitivity (Se), positive predictive value (PPV),
and accuracy (F1) were found to improve even for lower filter order. The same
technique was extended to evaluate maternal QRS detector (MQRS) and found to
yield satisfactory maternal heart rate (MHR) results.
PMID- 29796232
TI - Earable RCC: Development of an Earphone-Type Reliable Chewing-Count Measurement
Device.
AB - Gastric cancer patients having undergone gastrectomy are at a high risk of
becoming malnourished owing to decreased gastric function. To prevent
malnutrition, patients need to thoroughly chew a mouthful of food at least 30
times. For these gastrectomy patients requiring dietary support, we developed a
chewing-count measurement device named earable RCC using an earphone-type sensor.
Experiments to evaluate the performance of this device were conducted on six
healthy volunteers who participated in "gum-chewing tests" and "almond-eating
tests." The precision calculated based on the results was >=0.958, indicating
that the earphone-type chewing-count measurement device could experimentally
distinguish chewing from other actions. In addition, the recall calculated from
the test results was >=0.937, showing that the device does not miss chewing
actions and can accurately count the number of chews with high probability at the
timing of chewing. The experimental results also imply that earphone-type sensors
may be used to measure swallowing, occlusal force, and tongue motion. Our future
plans include clinical testing of the earphone-type chewing-count measurement
device to determine its utility in patients who have undergone gastrectomy. We
also intend to expand the application of this device for use in other patients to
aid in dementia prevention and dietary support.
PMID- 29796233
TI - A Study on Secure Medical-Contents Strategies with DRM Based on Cloud Computing.
AB - Many hospitals and medical clinics have been using a wearable sensor in its
health care system because the wearable sensor, which is able to measure the
patients' biometric information, has been developed to analyze their patients
remotely. The measured information is saved to a server in a medical center, and
the server keeps the medical information, which also involves personal
information, on a cloud system. The server and network devices are used by
connecting each other, and sensitive medical records are dealt with remotely.
However, these days, the attackers, who try to attack the server or the network
systems, are increasing. In addition, the server and the network system have a
weak protection and security policy against the attackers. In this paper, it is
suggested that security compliance of medical contents should be followed to
improve the level of security. As a result, the medical contents are kept safely.
PMID- 29796234
TI - Advancements of Medical Image Enhancement in Healthcare Applications.
PMID- 29796235
TI - Evoked Hemodynamic Response Estimation to Auditory Stimulus Using Recursive Least
Squares Adaptive Filtering with Multidistance Measurement of Near-Infrared
Spectroscopy.
AB - The performance of functional near-infrared spectroscopy (fNIRS) is sometimes
degraded by the interference caused by the physical or the systemic physiological
activities. Several interferences presented during fNIRS recordings are mainly
induced by cardiac pulse, breathing, and spontaneous physiological low-frequency
oscillations. In previous work, we introduced a multidistance measurement to
reduce physiological interference based on recursive least squares (RLS) adaptive
filtering. Monte Carlo simulations have been implemented to evaluate the
performance of RLS adaptive filtering. However, its suitability and performance
on human data still remain to be evaluated. Here, we address the issue of how to
detect evoked hemodynamic response to auditory stimulus using RLS adaptive
filtering method. A multidistance probe based on continuous wave fNIRS is devised
to achieve the fNIRS measurement and further study the brain functional
activation. This study verifies our previous findings that RLS adaptive filtering
is an effective method to suppress global interference and also provides a
practical way for real-time detecting brain activity based on multidistance
measurement.
PMID- 29796236
TI - A Sorting Statistic with Application in Neurological Magnetic Resonance Imaging
of Autism.
AB - Effect size refers to the assessment of the extent of differences between two
groups of samples on a single measurement. Assessing effect size in medical
research is typically accomplished with Cohen's d statistic. Cohen's d statistic
assumes that average values are good estimators of the position of a distribution
of numbers and also assumes Gaussian (or bell-shaped) underlying data
distributions. In this paper, we present an alternative evaluative statistic that
can quantify differences between two data distributions in a manner that is
similar to traditional effect size calculations; however, the proposed approach
avoids making assumptions regarding the shape of the underlying data
distribution. The proposed sorting statistic is compared with Cohen's d statistic
and is demonstrated to be capable of identifying feature measurements of
potential interest for which Cohen's d statistic implies the measurement would be
of little use. This proposed sorting statistic has been evaluated on a large
clinical autism dataset from Boston Children's Hospital, Harvard Medical School,
demonstrating that it can potentially play a constructive role in future
healthcare technologies.
PMID- 29796239
TI - Time to reappraise the therapeutic place of celecoxib.
PMID- 29796238
TI - Robot-based intervention may reduce delay in the production of intransitive
gestures in Chinese-speaking preschoolers with autism spectrum disorder.
AB - Background: Past studies have shown that robot-based intervention was effective
in improving gestural use in children with autism spectrum disorders (ASD). The
present study examined whether children with ASD could catch up to the level of
gestural production found in age-matched children with typical development and
whether they showed an increase in verbal imitation after the completion of robot
based training. We also explored the cognitive and motor skills associated with
gestural learning. Methods: Children with ASD were randomly assigned to two
groups. Four- to 6-year-old children with ASD in the intervention group (N = 15)
received four 30-min robot-based gestural training sessions. In each session, a
social robot, NAO, narrated five stories and gestured (e.g., both hands clapping
for an awesome expression). Children with ASD were told to imitate the gestures
during training. Age-matched children with ASD in the wait-list control group (N
= 15) and age-matched children with typical development (N = 15) received the
gestural training after the completion of research. Standardized pretests and
posttests (both immediate and delayed) were administered to assess the accuracy
and appropriateness of gestural production in both training and novel stories.
Children's language and communication abilities, gestural recognition skills,
fine motor proficiencies, and attention skills were also examined. Results:
Children with ASD in the intervention condition were more likely to produce
accurate or appropriate intransitive gestures in training and novel stories than
those in the wait-list control. The positive learning outcomes were maintained in
the delayed posttests. The level of gestural production accuracy in children with
ASD in the delayed posttest of novel stories was comparable to that in children
with typical development, suggesting that children with ASD could catch up to the
level of gestural production found in children with typical development. Children
with ASD in the intervention condition were also more likely to produce verbal
markers while gesturing than those in the wait-list control. Gestural recognition
skills were found to significantly predict the learning of gestural production
accuracy in the children with ASD, with such relation partially mediated via
spontaneous imitation. Conclusions: Robot-based intervention may reduce the
gestural delay in children with ASD in their early childhood.
PMID- 29796240
TI - Interleukin 23 in the skin: role in psoriasis pathogenesis and selective
interleukin 23 blockade as treatment.
AB - Plaque psoriasis is one of the most common autoimmune skin diseases and is
characterized by erythematous, scaly plaques. Many highly effective, targeted
therapies have been developed as a result of an improved understanding of the
pathogenesis of psoriasis. Using agents that target the central interleukin (IL)
23/IL-17 immune axis, this once difficult-to-treat disease is now among the most
effectively treated autoimmune diseases with major clinical improvements possible
in around 90% of patients. In this article, we outline the immune mechanisms
responsible for the development of psoriasis and provide an overview of the novel
IL-23 antagonists being used to manage this chronic skin disease.
PMID- 29796237
TI - Self-reported sex differences in high-functioning adults with autism: a meta
analysis.
AB - Background: Sex differences in autistic symptomatology are believed to contribute
to the mis- and missed diagnosis of many girls and women with an autism spectrum
condition (ASC). Whilst recent years have seen the emergence of clinical and
empirical reports delineating the profile of young autistic girls, recognition of
sex differences in symptomatology in adulthood is far more limited. Methods: We
chose here to focus on symptomatology as reported using a screening instrument,
the Ritvo Autism Asperger Diagnostic Scale-Revised (RAADS-R). In a meta-analysis,
we pooled and analysed RAADS-R data from a number of experimental groups.
Analysis of variance (ANOVA) searched for the presence of main effects of Sex and
Diagnosis and for interactions between these factors in our sample of autistic
and non-autistic adults. Results: In social relatedness and circumscribed
interests, main effects of Diagnosis revealed that as expected, autistic adults
reported significantly greater lifetime prevalence of symptoms in these domains;
an effect of Sex, in circumscribed interests, also suggested that males generally
reported more prevalent symptoms than females. An interaction of Sex and
Diagnosis in language symptomatology revealed that a normative sex difference in
language difficulties was attenuated in autism. An interaction of Sex and
Diagnosis in the sensorimotor domain revealed the opposite picture: a lack of sex
differences between typically developing men and women and a greater prevalence
of sensorimotor symptoms in autistic women than autistic men. Conclusions: We
discuss the literature on childhood sex differences in relation to those which
emerged in our adult sample. Where childhood sex differences fail to persist in
adulthood, several interpretations exist, and we discuss, for example, an
inherent sampling bias that may mean that only autistic women most similar to the
male presentation are diagnosed. The finding that sensorimotor symptomatology is
more highly reported by autistic women is a finding requiring objective
confirmation, given its potential importance in diagnosis.
PMID- 29796241
TI - Pupillary Responses to Illusions of Brightness in Autism Spectrum Disorder.
AB - Previous studies indicate that individuals with autism spectrum disorder (ASD) do
not experience optical illusions in the same manner as individuals with typical
development. This study uses pupillary responses as an objective measure of
perception of visual illusions, with the hypothesis that adults with ASD will
show weaker pupillary constrictions to the illusions than adults without ASD. An
eye-tracker was used to investigate the spontaneous pupillary changes to
brightness illusions in adults diagnosed with ASD (N = 11) and in a control group
(N = 24). Contrary to the hypothesis, the ASD group showed similar pupillary
constrictions to the illusory bright stimuli as the control group. Therefore,
this study does not support the idea that individuals with ASD have a veridical
perception of these types of illusions and instead suggest that atypical
perception of illusions does not constitute a universal characteristic of aspect
of high-functioning individuals with ASD.
PMID- 29796242
TI - Improved bioactivity of GUMMETAL(r), Ti59Nb36Ta2Zr3O0.3, via formation of
nanostructured surfaces.
AB - The leading reason for implant revision surgery globally is lack of implant
integration with surrounding bone. A new titanium alloy GUMMETAL(r)
(Ti59Nb36Ta2Zr3O0.3) is currently used in biomedical devices and has a Young's
modulus that is better matched to bone. The surface was subject to NaOH, CaCl2,
heat and water treatment (BioGum) after which the surfaces were evaluated using
atomic force microscope, scanning electron microscope, X-ray diffractometer and
elemental analysis using energy dispersive X-ray. To demonstrate enhanced bone
bonding ability and cytocompatibility, apatite formation in simulated body fluid
and in vitro stem cell attachment, proliferation and cytoskeleton organisation
were examined. The formation of a ~200 nm nanoscale needle-like calcium titanate
network on the surface following treatment was revealed and upon soaking in
simulated body fluid, the formation of a ~5 um layer of apatite. Metabolic
activity of rat bone marrow stem cells on BioGum was increased in comparison to
control and the cell number appeared greater, with more elongated morphology as
early as 2 h post-seeding. This positions the modification as a simple and
potentially universal technology for the improvement of implant integration.
PMID- 29796243
TI - The dorsoventral patterning of Musca domestica embryos: insights into BMP/Dpp
evolution from the base of the lower cyclorraphan flies.
AB - Background: In the last few years, accumulated information has indicated that the
evolution of an extra-embryonic membrane in dipterans was accompanied by changes
in the gene regulatory network controlled by the BMP/Dpp pathway, which is
responsible for dorsal patterning in these insects. However, only comparative
analysis of gene expression levels between distant species with two extra
embryonic membranes, like A. gambiae or C. albipunctata, and D. melanogaster, has
been conducted. Analysis of gene expression in ancestral species, which evolved
closer to the amnioserosa origin, could provide new insights into the evolution
of dorsoventral patterning in dipterans. Results: Here we describe the spatial
expression of several key and downstream elements of the Dpp pathway and show the
compared patterns of expression between Musca and Drosophila embryos, both
dipterans with amnioserosa. Most of the analyzed gene showed a high degree of
expression conservation, however, we found several differences in the gene
expression pattern of M. domestica orthologs for sog and tolloid. Bioinformatics
analysis of the promoter of both genes indicated that the variations could be
related to the gain of several binding sites for the transcriptional factor
Dorsal in the Md.tld promoter and Snail in the Md.sog enhancer. These altered
expressions could explain the unclear formation of the pMad gradient in the M.
domestica embryo, compared to the formation of the gradient in D. melanogaster.
Conclusion: Gene expression changes during the dorsal-ventral patterning in
insects contribute to the differentiation of extra-embryonic tissues as a
consequence of changes in the gene regulatory network controlled by BMP/Dpp. In
this work, in early M. domestica embryos, we identified the expression pattern of
several genes members involved in the dorsoventral specification of the embryo.
We believe that these data can contribute to understanding the evolution of the
BMP/Dpp pathway, the regulation of BMP ligands, and the formation of a Dpp
gradient in higher cyclorraphan flies.
PMID- 29796245
TI - The role of basal insulin and GLP-1 receptor agonist combination products in the
management of type 2 diabetes.
AB - The prevalence of type 2 diabetes necessitates the development of new treatment
options to individualize therapy. Basal insulin has been a standard treatment
option for years, while glucagon-like peptide-1 receptor agonists (GLP-1 RAs)
have grown in use over the past decade due to glucose-lowering efficacy and
weight loss potential. There are two new combination injectable products that
have recently been approved combining basal insulins with GLP-1 RAs in single pen
injector devices. United States guidelines recently emphasize the option to use
combination injectable therapy with GLP-1 RAs and basal insulin once the basal
insulin has been optimally titrated as a second- or third-line agent in addition
to metformin without reaching the goal A1c. Insulin glargine/lixisenatide 100/33
(IGlarLixi) can be dosed between 15 and 60 units once daily from a single pen
injector device. Insulin degludec/liraglutide 100/3.6 (IDegLira) can be dosed
between 10 and 50 units once daily, also from a single pen-injector device.
Maximum doses, while measured in units, correspond to limits defined by each
individual GLP-1 RA. The dual use of basal insulin plus GLP-1 RA is non-inferior
compared with basal insulin plus a single injection of prandial insulin at the
largest meal and compared with twice daily-dosed premixed insulins; and this
combination is associated with weight loss and less hypoglycemia. These new
combination products could help providers effectively and efficiently follow
clinical practice guidelines while enhancing patient adherence with injectable
medications.
PMID- 29796244
TI - 9- and 13-HODE regulate fatty acid binding protein-4 in human macrophages, but
does not involve HODE/GPR132 axis in PPAR-gamma regulation of FABP4.
AB - Background: Both activation of monocytes and increased serum fatty acid binding
protein-4 (FABP4) occur in diabetes and are associated with increased
atherosclerosis. The oxidized lipid, 9-hydroxyoctadecadienoic acid (9-HODE)
increases FABP4 in macrophages, and is a ligand for G protein-coupled receptor
132 (GPR132). We investigated the involvement of GPR132 in mediating the 9-, 13
HODE stimulation of FABP4 secretion, and whether GPR132 expression is increased
in monocytes from patients with type 2 diabetes. Methods: The effects of siRNA
silencing of GPR132 gene and of the PPAR-gamma antagonist T0070907 were studied
in THP-1 cells. Serum levels of FABP4 and other adipokines were measured in
patients with diabetes, and monocyte subpopulations were analyzed using flow
cytometry. GPR132 mRNA was quantified in isolated CD14+ cells. Results: 9-HODE
and 13-HODE increased FABP4 expression in THP-1 monocytes and macrophages, and
also increased GPR132 expression. Silencing of GPR132 did not influence the
increase in FABP4 with 9-HODE, 13-HODE, or rosiglitazone (ROSI). By contrast,
T0070907 inhibited the effect of all three ligands on FABP4 expression. Diabetic
subjects had increased serum FABP4, and activated monocytes. They also expressed
higher levels of GPR132 mRNA in CD14+ cells. Conclusions: We conclude that GPR132
is an independent monocyte activation marker in diabetes, but does not contribute
to PPAR-gamma-mediated induction of FABP4 by HODEs.
PMID- 29796246
TI - Pharmacotherapy of type1 diabetes in children and adolescents: more than insulin?
AB - For paediatric patients with type 1 diabetes, intensified insulin therapy with
either multiple daily injection or insulin pump therapy is currently the only
method of treatment. To optimize this therapy, insulin analogues are fixed parts
of all therapy regimens. New ultra-rapid insulins seem to be beneficial not only
in adults but also in this age group. New developments in long-acting analogues
have demonstrated safety and will be regular in paediatrics, we hope, soon.
Furthermore, the psychosocial approach for consideration of real-life aspects
becomes more the focus of therapeutic regimens and is implemented into
international guidelines. Technical improvements, such as continuous glucose
monitoring, particularly in combination with pump therapy, support the great
success of rapid-acting analogues by reducing hypoglycaemias. Non-insulin agents
such as SGLT2-inhibitors show beneficial aspects in people with type 1 diabetes.
For outpatient care with these currently off-label-used drugs, special training
for measurement of ketones should be imperative.
PMID- 29796247
TI - Managing acute pain in patients who report lactose intolerance: the safety of an
old excipient re-examined.
AB - Lactose intolerance is exceedingly common, reportedly affecting up to 70% of the
world's population, leading to both abdominal and systemic symptoms. Current
treatment focuses predominantly on restricting dietary consumption of lactose.
Given lactose is one of the most commonly used excipients in the pharmaceutical
industry, consideration must be given to the lactose content and therefore safety
of pharmaceutical preparations prescribed for patients with lactose intolerance.
This article summarizes the current literature examining the likelihood of
inducing adverse effects through the administration of lactose-containing
pharmaceutical preparations in patients reporting lactose intolerance, describes
how to assess this risk on an individual patient basis and reviews suitable
analgesic options for this population. A case study is presented detailing a
patient reporting lactose intolerance who insists on treatment with the lactose
free product codeine/ibuprofen (Nurofen Plus) rather than other codeine-free
analgesics. It is important to assess the likelihood of lactose as an excipient
inducing symptoms in this scenario, as reluctance to cease codeine could suggest
codeine dependence, an issue that is becoming increasingly common in countries
such as Australia and Canada. Given codeine dependence is associated with serious
sequelae including hospitalization and death, the patient must either be
reassured the lactose component in their prescribed analgesics will not induce
symptoms or an alternative treatment strategy must be confirmed. General
recommendations applying theory from the literature to the management of acute
pain in lactose-intolerant patients are discussed and specific treatment options
are outlined. Although large inter-individual variability is reported, most
lactose-intolerant patients can tolerate the small quantities of lactose found in
pharmaceutical preparations. Cumulative lactose exposure can be assessed in
patients taking multiple medications while also consuming lactose in the diet. In
those sensitive to small quantities of lactose, lactase supplements can be
trailed. Additionally, for the analgesic drug classes employed for the management
of acute pain, lactose-free formulations, including most oral liquids and
dispersible tablets and some oral tablets and capsules, are available.
PMID- 29796249
TI - Designing a novel antacid for sensitive populations.
PMID- 29796250
TI - Spinal manipulation in the treatment of patients with MRI-confirmed lumbar disc
herniation and sacroiliac joint hypomobility: a quasi-experimental study.
AB - Background: To investigate the effect of lumbar and sacroiliac joint (SIJ)
manipulation on pain and functional disability in patients with lumbar disc
herniation (LDH) concomitant with SIJ hypomobility. Methods: Twenty patients aged
between 20 and 50 years with MRI-confirmed LDH who also had SIJ hypomobility
participated in the trial in 2010. Patients who had sequestrated disc herniation
were excluded. All patients received five sessions of spinal manipulative therapy
(SMT) for the SIJ and lumbar spine during a 2-week period. Back and leg pain
intensity and functional disability level were measured with a numerical rating
scale (NRS) and the Oswestry Disability Index (ODI) at baseline, immediately
after the 5th session, and 1 month after baseline. Results: A significantly
greater mean improvement in back and leg pain was observed in the 5th sessions
and 1 month after SMT. Mean changes in ODI in the 5th session and 1 month after
treatment also showed significant improvement. The MCIC for NRS and ODI scores in
the present study were considered 20 and 6 points, respectively. Therefore, the
mentioned improvements were not clinically significant in the 5th session or at 1
month follow-up. Conclusion: Five sessions of lumbar and SIJ manipulation can
potentially improve pain and functional disability in patients with MRI-confirmed
LDH and concomitant SIJ hypomobility. Trial registration: Irct.ir (Identifier:
IRCT2017011924149N33), registered 19 February 2017 (retrospectively registered).
PMID- 29796251
TI - How long is enough? Identification of product dry-time as a primary driver of
alcohol-based hand rub efficacy.
AB - Background: The World Health Organization has called for the development of
improved methodologies to evaluate alcohol-based handrub (ABHR) efficacy,
including evaluation at "short application times and volumes that reflect actual
use in healthcare facilities". The objective of this study was to investigate
variables influencing ABHR efficacy, under test conditions reflective of clinical
use. Methods: The test product (60% V/V 2-propanol) was evaluated according to a
modified EN 1500 methodology, where application volumes of 1 mL, 2 mL, and 3 mL
were rubbed until dry. Statistical analyses were performed to investigate the
relative influences of product volume, hand size, and product dry-time on
efficacy, and hand size and hand contamination on product dry-time. Results: Mean
log10 reduction factors (SD) were 1.99 (0.66), 2.96 (0.84) and 3.28 (0.96); and
mean dry-times (SD) were 24 s (7 s), 50 s (14 s), and 67 s (20 s) at application
volumes of 1 mL, 2 mL, and 3 mL, respectively (p <= 0.030). When data were
examined at the individual volunteer level, there was a statistically significant
correlation between dry-time and log reduction factor (p < 0.0001), independent
of application volume. There was also a statistically significant correlation
between hand surface area and dry-times (p = 0.047), but no correlation between
hand surface area and efficacy (p = 0.698). Conclusions: When keeping other
variables such as alcohol type and concentration constant, product dry-time
appears to be the primary driver of ABHR efficacy suggesting that dosing should
be customized to each individual and focus on achieving a product dry-time
delivering adequate efficacy.
PMID- 29796252
TI - Dynamics of colistin and tobramycin resistance among Enterobacter cloacae during
prolonged use of selective decontamination of the digestive tract.
AB - Background: A high prevalence of colistin resistance among E. cloacae isolates in
two intensive care units (ICU) (of 16 and 6 beds) using selective digestive
decontamination (SDD) since 1990 instigated a retrospective and prospective
investigation to quantify the role of clonal transmission. SDD is topical
application of colistin and tobramycin and systemic use of cefotaxime during the
first days of ICU-admission. Methods: Multi-resistant E. cloacae (MREb) was
defined as ESBL production and/or tobramycin non-susceptibility and/or colistin
non-susceptibility. Incidence of acquisition and prevalence of carriage with MREb
was determined from microbiological culture results. Results: Colistin-resistant
E. cloacae was first detected in November 2009 and carriage was demonstrated in
141 patients until October 2014. Mean incidence of MREb acquisition was 4.61 and
1.86 per 1000 days at risk in ICUs 1 and 2, respectively, and the mean monthly
prevalence of MREb in both ICUs was 7.0 and 3.1%, respectively, without a
discernible trend in time. Conversion rates from carriage of colistin-susceptible
to resistant E. cloacae were 0.20 and 0.13 per 1000 patient days, respectively.
Whole genome sequencing of 149 isolates revealed eight clusters, with the number
of SNPs of the largest two clusters ranging between 0 and 116 for cluster 1 (n =
49 isolates), and 0 and 27 for cluster 2 (n = 36 isolates), among isolates
derived between 2009 and 2014. Conclusions: This study demonstrates a stable low
level endemicity of MREb in two Dutch ICUs with prolonged use of SDD, which was
characterized by the persistent presence of two clusters, suggesting incidental
clonal transmission.
PMID- 29796253
TI - Interferon-gamma acts as a regulator in the trade-off between phagocytosis and
production performance in dwarf chickens.
AB - Background: Interferon-gamma (IFN-gamma) is critical for innate and adaptive
immunity against viral and bacterial infections. IFN-gamma reportedly affects the
phagocytic ability of monocytes and macrophages as well as regulates pituitary
function in humans and mice. The present study analyzed the impact of IFN-gamma
on monocyte and macrophage phagocytosis, production performance, and pituitary
function in vivo and in vitro (in dwarf chickens). IFN-gamma was injected into
dwarf chickens through a vein, and then, the laying rate, average egg weight, and
levels of follicle-stimulating hormone (FSH) and IFN-gamma were measured in
treatment and control groups. For the in vitro experiment, the pituitary tissues
were supplemented with IFN-gamma, and the mRNA expression levels of follicle
stimulating hormone beta subunit (FSH-beta), interferon gamma receptor 1
(IFNGR1), and interferon gamma receptor 2 (IFNGR2) in the pituitary were
assessed. Results: Monocyte and macrophage phagocytosis product (PP) was
decreased by IFN-gamma treatment in a dose-dependent manner in vitro. In the in
vivo experiment, the level of IFN-gamma in the treatment group was higher than
that in the control group at 7 d (P < 0.05), 14 d (P < 0.01), and 21 d (P < 0.01)
post-injection. Compared with the control group, monocyte and macrophage PP was
lower in the treatment group after injection (P < 0.01). The laying rate was
higher in the treatment group than in the control group at 2 and 3 wk post
injection (P < 0.05). There was a significant difference between the treatment
and control groups in the levels of FSH at 1, 3, 7, and 14 d post-injection (P <
0.01). In the in vitro experiment, increased mRNA expression levels of FSH-beta,
IFNGR1, and IFNGR2 were observed in the treatment group after stimulation with
100 U/mL IFN-gamma for 24 h compared to those in the control group (P < 0.05).
Conclusions: IFN-gamma inhibited the phagocytosis of monocytes and macrophages;
up-regulated the mRNA expression levels of the FSH-beta, IFNGR1, and IFNGR2;
enhanced the secretion of FSH; and improved the laying rate. IFN-gamma might be
an important regulator in the trade-off between the immune effect and production
performance in dwarf chickens.
PMID- 29796248
TI - Safety of antipsychotics for the treatment of schizophrenia: a focus on the
adverse effects of clozapine.
AB - Clozapine, a dibenzodiazepine developed in 1961, is a multireceptorial atypical
antipsychotic approved for the treatment of resistant schizophrenia. Since its
introduction, it has remained the drug of choice in treatment-resistant
schizophrenia, despite a wide range of adverse effects, as it is a very effective
drug in everyday clinical practice. However, clozapine is not considered as a top
of-the-line treatment because it may often be difficult for some patients to
tolerate as some adverse effects can be particularly bothersome (i.e. sedation,
weight gain, sialorrhea etc.) and it has some other potentially dangerous and
life-threatening side effects (i.e. myocarditis, seizures, agranulocytosis or
granulocytopenia, gastrointestinal hypomotility etc.). As poor treatment
adherence in patients with resistant schizophrenia may increase the risk of a
psychotic relapse, which may further lead to impaired social and cognitive
functioning, psychiatric hospitalizations and increased treatment costs,
clozapine adverse effects are a common reason for discontinuing this medication.
Therefore, every effort should be made to monitor and minimize these adverse
effects in order to improve their early detection and management. The aim of this
paper is to briefly summarize and provide an update on major clozapine adverse
effects, especially focusing on those that are severe and potentially life
threatening, even if most of the latter are relatively uncommon.
PMID- 29796255
TI - Alleviation of mycotoxin biodegradation agent on zearalenone and deoxynivalenol
toxicosis in immature gilts.
AB - Background: The current study was carried out to evaluate the effects of
mycotoxin biodegradation agent (MBA, composed of Bacillus subtilis ANSB01G and
Devosia sp. ANSB714) on relieving zearalenone (ZEA) and deoxynivalenol (DON)
toxicosis in immature gilts. Methods: A total of forty pre-pubertal female gilts
(61.42 +/- 1.18 kg) were randomly allocated to four diet treatments: CO (positive
control); MO (negative control, ZEA 596.86 MUg/kg feed and DON 796 MUg/kg feed);
COA (CO + 2 g MBA/kg feed); MOA (MO + 2 g MBA/kg feed). Each treatment contained
10 replicates with 1 gilt per replicate. Gilts were housed in an environmentally
controlled room with the partially slatted floor. Results: During the entire
experimental period of 28 d, average daily gain (ADG) and average daily feed
intake (ADFI) of gilts in MO group was significantly reduced compared with those
in CO group. The vulva size of gilts was significantly higher in MO group than CO
group. In addition, significant increases in the plasma levels of IgA, IgG, IL-8,
IL-10 and PRL were determined in MO group compared with that in CO group. ZEA and
DON in the diet up-regulated apoptotic caspase-3 in ovaries and uteri, along with
down-regulated the anti-apoptotic protein Bcl-2 in ovaries. The supplementation
of MBA into diets co-contaminated with ZEA and DON significantly increased ADG,
decreased the vulva sizes, reduced the levels of IgG, IL-8 and PRL in plasma, and
regulated apoptosis in ovaries and uteri of gilts. Conclusions: The present
results indicated that feeding diet contaminated with ZEA and DON simultaneously
(596.86 MUg/kg + 796 MUg/kg) had detrimental effects on growth performance,
plasma immune function and reproductive status of gilts. And MBA could reduce the
negative impacts of these two toxins, believed as a promising feed additive for
mitigating toxicosis of ZEA and DON at low levels in gilts.
PMID- 29796258
TI - Guest editorial for May issue.
PMID- 29796254
TI - Integrative analysis of indirect calorimetry and metabolomics profiling reveals
alterations in energy metabolism between fed and fasted pigs.
AB - Background: Fasting is a simple metabolic strategy that is used to estimate the
maintenance energy requirement where the energy supply for basic physiological
functions is provided by the mobilization of body reserves. However, the
underlying metabolic components of maintenance energy expenditure are not clear.
This study investigated the differences in heat production (HP), respiratory
quotient (RQ) and plasma metabolites in pigs in the fed and fasted state, using
the techniques of indirect calorimetry and metabolomics. Methods: Nine barrows
(45.2 +/- 1.7 kg BW) were fed corn-soybean based meal diets and were kept in
metabolism crates for a period of 14 d. After 7 d adaptation, pigs were
transferred to respiratory chambers to determine HP and RQ based on indirect
calorimetry. Pigs were fed the diet at 2,400 kJ ME/(kg BW0.6.d) during d 8 to 12.
The last 2 d were divided into 24 h fasting and 48 h fasting treatment,
respectively. Plasma samples of each pig were collected from the anterior vena
cava during the last 3 d (1 d while pigs were fed and 2 d during which they were
fasted). The metabolites of plasma were determined by high-resolution mass
spectrometry using a metabolomics approach. Results: Indirect calorimetry
analysis revealed that HP and RQ were no significant difference between 24 h
fasting and 48 h fasting, which were lower than those of fed state (P < 0.01).
The nitrogen concentration of urine tended to decrease with fasting (P = 0.054).
Metabolomics analysis between the fed and fasted state revealed differences in 15
compounds, most of which were not significantly different between 24 h fasting
and 48 h fasting. Identified compounds were enriched in metabolic pathways
related to linoleic acid metabolism, amino acid metabolism, sphingolipid
metabolism, and pantothenate and CoA biosynthesis. Conclusion: These results
suggest that the decreases in HP and RQ of growing pigs under fasting conditions
were associated with the alterations of linoleic acid metabolism and amino acid
metabolism. The integrative analysis also revealed that growing pigs under a 24-h
fasting were more appropriate than a 48-h fasting to investigate the metabolic
components of maintenance energy expenditure.
PMID- 29796256
TI - Association of residual feed intake with abundance of ruminal bacteria and
biopolymer hydrolyzing enzyme activities during the peripartal period and early
lactation in Holstein dairy cows.
AB - Background: Residual feed intake (RFI) in dairy cattle typically calculated at
peak lactation is a measure of feed efficiency independent of milk production
level. The objective of this study was to evaluate differences in ruminal
bacteria, biopolymer hydrolyzing enzyme activities, and overall performance
between the most- and the least-efficient dairy cows during the peripartal
period. Twenty multiparous Holstein dairy cows with daily ad libitum access to a
total mixed ration from d - 10 to d 60 relative to the calving date were used.
Cows were classified into most-efficient (i.e. with low RFI, n = 10) and least
efficient (i.e. with high RFI, n = 10) based on a linear regression model
involving dry matter intake (DMI), fat-corrected milk (FCM), changes in body
weight (BW), and metabolic BW. Results: The most-efficient cows had ~ 2.6 kg/d
lower DMI at wk 4, 6, 7, and 8 compared with the least-efficient cows. In
addition, the most-efficient cows had greater relative abundance of total ruminal
bacterial community during the peripartal period. Compared with the least
efficient cows, the most-efficient cows had 4-fold greater relative abundance of
Succinivibrio dextrinosolvens at d - 10 and d 10 around parturition and tended to
have greater abundance of Fibrobacter succinogenes and Megaspheara elsdenii. In
contrast, the relative abundance of Butyrivibrio proteoclasticus and
Streptococcus bovis was lower and Succinimonas amylolytica and Prevotella
bryantii tended to be lower in the most-efficient cows around calving. During the
peripartal period, the most-efficient cows had lower enzymatic activities of
cellulase, amylase, and protease compared with the least-efficient cows.
Conclusions: The results suggest that shifts in ruminal bacteria and digestive
enzyme activities during the peripartal period could, at least in part, be part
of the mechanism associated with better feed efficiency in dairy cows.
PMID- 29796261
TI - Listening to and letting pain speak: poetic reflections.
AB - The humanities invite opportunities for people to describe through their
metaphors, symbols and language a means in which to interpret their pain and
reinterpret their new lived experiences. The patient and family all live with
pain and can only use their pain narratives of that experience to confront or
even to begin to understand the quantifiable discipline of medicine. The patient
and family narratives act to retain meaning within a lived pained experience.
These narratives add meaning to the person as a stay against only having a
clinical-pathological understanding of what is happening to our body and as a
person. We need to understand the pathology pain while also being mindful of
suffering. In this article, the theoretical and scientific approach to pain
research and clinical practice intersects with the philosophical, ontological and
reflective lived experience of the person living with pain. Through unique pain
narratives, poetry and stories as a means of offering empathy and understanding
as healing, the humanities in medicine bring into meaning another kind of therapy
equal to the evidence-based medicine clinicians and researchers use to seek a
cure. In this way, the medical humanities are addressing the person's healing
through the reduction of suffering and isolation by letting pain speak while
others can focus in on their medical knowledge/practice and research while
'finding' a cure. Listening to pain opens-up to the possibility that much can be
learned through multiple expressions of the pain narrative. This article provides
an invitation to learn how we might articulate and listen to pain carefully and
differently.
PMID- 29796259
TI - Analgesic reduction during an interdisciplinary pain management programme:
treatment effects and processes of change.
AB - Long-term use of opioid medication is associated with a host of negative effects
on health and quality of life. Guidelines state that people with chronic pain
taking high doses of opioids without benefit should be supported to discontinue
them. Little research has investigated psychological processes associated with
analgesic use and tapering. This study investigated (1) analgesic use pre- and
post-participation in an interdisciplinary pain management programme and its
relationship to functioning and (2) psychological processes associated with
analgesic use. Opioid use was associated with poorer functioning at baseline.
Participating in an interdisciplinary pain management programme was associated
with reductions in opioid dose and number of classes of analgesics used.
Reductions in analgesic use were associated with improvements in functioning.
Psychological inflexibility was associated with using higher doses of opioid
medication and with using a greater number of classes of analgesics.
Psychological flexibility appears relevant in explaining analgesic use. Future
research could focus on targeting this process to improve tapering outcomes.
PMID- 29796260
TI - Understanding the link between feelings of mental defeat, self-efficacy and the
experience of chronic pain.
AB - Objectives: 'Mental defeat' (MD) has been identified among people with chronic
pain as a type of self-processing related to social role and rank. Research has
linked it to anxiety, pain interference and functional disability. The
relationship between MD and other cognitive constructs, such as hopelessness and
depression, remains poorly understood. This study considers the association
between MD, pain symptomatology and self-efficacy in the context of other
cognitive factors. Methods: In total, 59 participants completed a questionnaire
pack assessing anxiety, depression, hopelessness, pain catastrophising and MD in
order to examine the relationship with pain symptomatology and self-efficacy.
Results: Linear multiple regression analyses showed that anxiety was most
strongly associated with pain symptomatology, accounting for 26% of the variance,
while catastrophising showed the strongest association with sensory pain and MD
the strongest association with affective pain. Finally, MD was found to be
strongly associated with pain-related self-efficacy, accounting for 47% of the
variance. Conclusion: This research has demonstrated the potential importance of
assessing MD in chronic pain patients, suggesting that targeting these cognitions
during interventions and therapy could be valuable. Furthermore, the study
indicates that MD differs from related cognitive constructs involved in pain,
such as depression, hopelessness and catastrophising.
PMID- 29796262
TI - Evaluation of uptake and effect on patient-reported outcomes of a clinician and
patient co-led chronic musculoskeletal pain self-management programme provided by
the UK National Health Service.
AB - In the United Kingdom, chronic pain affects approximately 28 million adults,
creating significant healthcare and socio-economic costs. The aim was to
establish whether a programme designed to use best evidence of content and
delivery will be used by patients with significant musculoskeletal pain problems.
Of 528 patients recruited, 376 participated in a 7-week-long group-based self
management programme (SMP) co-delivered by clinical and lay tutors. Of these, 308
patients (mean age, 53 years; 69% females, 94% White) completed at least five SMP
sessions. Six months after pre-course assessment, participants reported
significantly improved patient activation and health status, lower depression and
anxiety scores, decreased pain severity and interference, and improved self
management skills. There were no improvements in health state and pain self
efficacy. Uptake rate was 71% and completion 82%. The results should be of value
to commissioners of pathways of care for the large numbers of patients attending
the English NHS for chronic musculoskeletal pain.
PMID- 29796263
TI - Five years of a community pain service solution-focused pain management
programme: extended data and reflections.
AB - 1. This article aims to provide a long-term update 2 years on from our initial
paper and summarises service evaluation data collected from 21 pain management
programmes, using a solution-focused approach in a community pain service. 2. An
examination of the larger baseline and subsequent follow-up data suggests an
improvement in participants' function, pain self-efficacy and mental well-being
following CPS PMP, and corroborates the findings of the earlier dataset. 3.
Additional findings suggest that CPS PMP may help pain interfere less in
participants' lives (post-PMP and at 10-week follow-up) and that improvements in
mental well-being and self-efficacy are maintained on a long-term basis (at 6-
and 12-month follow-up). Limitations of the data are discussed. 4. The article
also discusses the nature of community healthcare services for chronic pain,
including how we view PMP treatment intensity, deliver cost effectiveness,
measure outcomes and support patients post-PMP. 5. It is suggested that
effective, meaningful PMPs can be facilitated successfully by multi-professional
teams in the community, at arguably lower cost than secondary/tertiary settings,
while enabling convenient and long-lasting integration of self-management into
people's lives.
PMID- 29796264
TI - Using patient experiences to develop services for chronic pain.
AB - Purpose: The aim of this study was to improve the overall experience for patients
using chronic pain services at a large teaching hospital in England. Experience
based co-design methodology was used to gain a greater understanding of patients'
experiences and to produce a list of priorities for change when improving the
patient experience. Method: A total of seven video-recorded patient interviews
were conducted to capture a range of patient experiences of using the chronic
pain service. The interviews were analysed to identify 'touchpoints' which are
areas in which patients experienced a heightened emotional response to their
interaction with the service or staff. A short trigger film was compiled to
illustrate these touchpoints to staff and gain their commitment to improve
patients' experiences when using the service. A patient experience event was held
at which patients discussed the touchpoints and identified the most significant
areas for change that would improve their experiences of using the chronic pain
service. Results: A wide range of touchpoints were identified. The lack of
information provided before arriving for a procedure and the need for a short
debrief after clinic were prioritised for improvement. Patients valued the
development of good relationships with clinic staff and feeling properly listened
to for the first time. The patient experience event allowed the key points
patients would like to know before a procedure, to be drawn up in a list, which
could be passed onto staff. Conclusion: This study featured collaboration between
patients and staff to improve patients' experiences of using chronic pain
services. Through patient participation, a comprehensive list of recommendations
for service improvement was produced, and possible solutions were identified. The
involvement of patients in driving change and re-designing services is shaping a
more patient-centred chronic pain clinic and improving the experience for all the
patients who use the service.
PMID- 29796265
TI - Clinical efficacy of 12-h metronidazole dosing regimens in patients with
anaerobic or mixed anaerobic infections.
AB - : Traditional metronidazole dosing regimens utilize an every 8 h dosing strategy
to treat anaerobic and mixed anaerobic infections. However, pharmacokinetic data
demonstrate that the half-life of metronidazole is 8-12 h and blood levels at 12
h exceed the in vitro minimum inhibitory concentration (MIC) for most anaerobic
infections. The primary objective of this study was to evaluate the frequency of
clinical cure among patients who received metronidazole every 12 h compared with
those who received an every 8 h frequency. Secondary endpoints included duration
of antibiotics, hospital length of stay, escalation of antibiotic therapy,
microbiologic cure, and mortality. Methods: This retrospective, single-center,
pre-post intervention study of 200 patients between June 2014 to July 2016.
Results: No significant differences in clinical cure for every 12 h versus every
8 h metronidazole dosing regimens (85% for both groups, p = 1.00) were found.
There were no differences in any of the secondary endpoints, with a mean duration
of antibiotic therapy being 5.9 versus 5.8 days and a hospital length of stay
averaging 8.1 versus 6.7 days for the 12- and 8-h dosing groups, respectively (p
> 0.05). Discussion: Findings validate pharmacokinetic data suggesting that an
extended metronidazole dosing interval effectively treats anaerobic infections.
PMID- 29796266
TI - Inside help: An integrative review of champions in healthcare-related
implementation.
AB - Background/aims: The idea that champions are crucial to effective healthcare
related implementation has gained broad acceptance; yet the champion construct
has been hampered by inconsistent use across the published literature. This
integrative review sought to establish the current state of the literature on
champions in healthcare settings and bring greater clarity to this important
construct. Methods: This integrative review was limited to research articles in
peer-reviewed, English-language journals published from 1980 to 2016. Searches
were conducted on the online MEDLINE database via OVID and PubMed using the
keyword "champion." Several additional terms often describe champions and were
also included as keywords: implementation leader, opinion leader, facilitator,
and change agent. Bibliographies of full-text articles that met inclusion
criteria were reviewed for additional references not yet identified via the main
strategy of conducting keyword searches in MEDLINE. A five-member team abstracted
all full-text articles meeting inclusion criteria. Results: The final dataset for
the integrative review consisted of 199 unique articles. Use of the term champion
varied widely across the articles with respect to topic, specific job positions,
or broader organizational roles. The most common method for operationalizing
champion for purposes of analysis was the use of a dichotomous variable
designating champion presence or absence. Four studies randomly allocated of the
presence or absence of champions. Conclusions: The number of published champion
related articles has markedly increased: more articles were published during the
last two years of this review (i.e. 2015-2016) than during its first 30 years
(i.e. 1980-2009).The number of champion-related articles has continued to
increase sharply since the year 2000. Individual studies consistently found that
champions were important positive influences on implementation effectiveness.
Although few in number, the randomized trials of champions that have been
conducted demonstrate the feasibility of using experimental design to study the
effects of champions in healthcare.
PMID- 29796267
TI - Physical activity mediates the association between personality and biomarkers of
inflammation.
AB - Objectives: The current study investigated whether personality traits and facets
were associated with interleukin-6, C-reactive protein, and fibrinogen, and
whether physical activity mediated the relationship between personality and
biomarkers of inflammation. Methods: Personality was assessed in the Midlife
Development in the United States study using the Multi-Dimensional Personality
Questionnaire and Midlife Development Inventory personality scale. Data were
included from 960 participants (mean age = 57.86 years, standard deviation =
11.46). Personality was assessed from 2004 to 2009. Serum levels of interleukin
6, fibrinogen, and C-reactive protein were assessed in 2005-2009 as part of the
Midlife Development in the United States biomarkers subproject. Results: Lower
neuroticism was associated with elevated interleukin-6, and achievement was
associated with lower fibrinogen. Higher physical activity was associated with
lower interleukin-6 and C-reactive protein. Mediation models suggested that
physical activity mediated the associations between achievement and both
interleukin-6 and C-reactive protein. Discussion: Physical activity is an
important factor in the Health Behavior Model of personality and explains some of
the associations between personality and inflammation. These findings contribute
to the fields of aging and health by linking individual difference factors to
markers of inflammation, and showing that these processes may function partially
through specific behaviors, in this case physical activity.
PMID- 29796268
TI - First report of African tick-bite fever in a South American traveler.
AB - We report a clinical case of African tick-bite fever in a Brazilian traveler
right after his return from South Africa. Definitive diagnosis was supported by
seroconversion between acute-phase and convalescent-phase serum samples,
detection of rickettsial DNA in skin lesions, and in vitro culture of Rickettsia
africae from the patient's skin. Most of the previous reported cases of African
tick-bite fever were confirmed solely by serological or/and molecular methods.
Through this first confirmed case of African tick-bite fever in Brazil, it is
quite possible that other cases are occurring unnoticed by the health
authorities, requiring a greater vigilance in traveler's medicine in South
America.
PMID- 29796269
TI - Intra- and extracapsular synovial chondromatosis of the temporomandibular joint:
Rare case and review of the literature.
AB - Synovial chondromatosis is a benign disease which most commonly appears in large
joints and only rarely affects the temporomandibular joint. The diagnosis is
quite difficult due to the fact that a large swelling in the preauricular area
and the radiographic findings may be misdiagnosed as other benign or malignant
diseases. We report an unusual case of intra- and extracapsular chondromatosis of
25 osteochondral loose bodies in the right temporomandibular joint.
PMID- 29796270
TI - Successful use of balloon tamponade in the management of postpartum hemorrhage in
a case of bicornuate uterus.
AB - Worldwide 50%-70% of all cases of maternal morbidity have been attributed to
postpartum hemorrhage. This report discusses a case of bicornuate uterus in a
term pregnancy. The delivery was by cesarean section, which was followed by
massive postpartum hemorrhage, managed successfully with balloon tamponade. This
is the second reported case of successful management of post partum hemorrhage in
a bicornuate uterus with balloon tamponade. A 22-year-old primigravida at 39
weeks of gestational age presented with vaginal leaking of clear fluid. Cesarean
section was done due to meconium stained liquor in early labor; with an
incidental finding of bicornuate uterus followed by massive postpartum hemorrhage
managed successfully with balloon tamponade. The use of uterine balloon tamponade
as an effective method to control postpartum hemorrhage has been studied
extensively, but use in cases of hemorrhage associated with uterine malformations
has not been reported much in literature. Use of uterine balloon tamponade in
case of uterine malformations has been highlighted in our case as an effective
non-surgical method to control hemorrhage.
PMID- 29796271
TI - Ceruminous adenoma mimicking otitis externa.
AB - Ceruminous adenoma is an extremely rare condition that arises in the external
auditory canal. The right ear canal in a 32-year-old man was obstructed by a
ceruminous adenoma mimicking otitis externa and its symptoms. The lesion was
resected under microscopic view using a transcanal approach. There were no tumor
related symptoms postoperatively and he has been disease free for 1 year after
surgery.
PMID- 29796272
TI - Symptomatic recurrent varicose veins due to primary avalvular varicose anomalies
(PAVA): A previously unreported cause of recurrence.
AB - A 56-year-old woman presented in 2006 with symptomatic primary varicose veins in
her right leg. Venous duplex ultrasonography at that time showed what appeared to
be "neovascular tissue" around the saphenofemoral junction. However, there had
been no previous trauma or surgery in this area. This appearance has subsequently
been described as primary avalvular varicose anomalies. She underwent endovenous
treatment at that time. In 2018, she presented with symptomatic recurrent
varicose veins of the same leg. Venous duplex ultrasonography showed successful
ablation of the great saphenous and anterior accessory saphenous veins. All of
the recurrent venous reflux was arising from the primary avalvular varicose
anomalies. This report shows that primary avalvular varicose anomalies is a
previously unreported cause of recurrent varicose veins and leads us to suggest
that if found, treatment of the primary avalvular varicose anomalies should be
considered at the primary procedure.
PMID- 29796274
TI - Cryptococcal pleural infection in a recurrent pleural effusion: a case report.
AB - Cryptococcal pleural infection is rare with about 50 cases reported. It tends to
occur in immunocompromised individuals. We describe a 38-year-old male who
presented with a lymphocytic exudative right pleural effusion and a raised
pleural fluid adenosine deaminase (ADA) level. He was initially treated for
pleural tuberculosis, but presented again with worsening pleural effusion 6 weeks
later. A thoracoscopic pleural biopsy revealed chronic nodular granulomatous
pleuritis with cryptococcal organisms present. The repeat pleural fluid culture
was positive for Cryptococcus neoformans. He was started on intravenous
amphotericin B and oral flucytosine for 1 week, and then continued on oral
fluconazole. He was subsequently diagnosed to have acute myeloid leukaemia. His
peripheral blood film showed presence of blast cells (33%), with flow cytometry
showing increased myeloblast population. Lymphocytic exudative pleural effusions
with raised ADA levels in an immunocompromised patient can be due to
opportunistic fungal infections.
PMID- 29796273
TI - Bilateral anterior interosseous nerve syndrome with 6-year interval.
AB - Flexor pollicis longus paralysis related to idiopathic anterior interosseous
nerve syndrome is well known, but few reports exist on bilateral disease. A 24
year-old man with no personal or family history of neurological disease developed
isolated total loss of active flexion of the right thumb's interphalangeal joint
after undergoing a wrist arthroscopy. Surgical exploration 5 weeks after onset
showed flexor pollicis longus tendon to be intact; anterior interosseous nerve
decompression was done with no abnormalities found. Because of persistent
paralysis, electromyography was performed showing findings consistent with
anterior interosseous nerve syndrome. After 7 months without recovery, the
patient underwent tendon transfer. After 6 years, the patient presented with left
sided isolated flexor pollicis longus paralysis and electromyography indicated
anterior interosseous nerve syndrome. Examination 9 months after onset showed
persistent complete flexor pollicis longus paralysis but by 15 months spontaneous
complete recovery had occurred. Anterior interosseous nerve syndrome can occur
bilaterally and is likely to resolve completely without intervention but recovery
may take longer than a year.
PMID- 29796275
TI - Eosinophilia as a treatable trait in three patients with asthma and COPD.
AB - The combination of asthma and chronic obstructive pulmonary disease (COPD) in an
individual can present significant challenges to achieving satisfactory outcomes.
More recently, the concepts of precision medicine and treatable traits have
arisen as promising tools to improve care for this group. In this series, we
present three cases of patients with features of both asthma and COPD in addition
to peripheral blood eosinophilia. The novel implementation of personalized
management of the individual based on this treatable trait (eosinophilia)
resulted in significant benefits. These benefits included improvement in
symptoms, lung function, and a marked decline in critical care admissions and
exacerbation rates.
PMID- 29796276
TI - Successful treatment by tolvaptan of the syndrome of inappropriate antidiuretic
hormone secretion that may be associated with chemotherapy-induced tumour lysis
in a patient with small-cell lung carcinoma.
AB - Here, we report the case of a patient with small-cell lung carcinoma (SCLC) who
developed the syndrome of inappropriate antidiuretic hormone secretion (SIADH).
This syndrome may be associated with chemotherapy-induced tumour lysis. Our
patient was successfully treated with tolvaptan. A 70-year-old man was diagnosed
with SCLC and was treated with carboplatin and etoposide. Episodes of
hyponatremia occurred after every four cycles of chemotherapy that achieved
tumour reduction; however, the hyponatremia was improved by temporary
administration of tolvaptan. In SIADH associated with chemotherapy-induced tumour
lysis, tolvaptan may improve hyponatremia and enable the continued administration
of effective chemotherapy.
PMID- 29796277
TI - Facial flushing on upper limb exertion: a rare presentation of superior vena cava
obstruction.
AB - Infection remains a significant problem for patients with cardiac-implantable
electronic devices (CIEDs) but can be difficult to diagnose. We describe an
unusual presentation of CIED infection in a patient with abandoned pacemaker
leads. A 27-year-old male presented with facial flushing on upper but not lower
limb exertion due to superior vena cava (SVC) obstruction, as well as pleuritic
chest pain due to septic emboli. This was successfully treated with antibiotics
and complete endovascular extraction of the pacemaker leads. Upper limb
exertional facial flushing may be a useful clinical sign for the diagnosis of SVC
obstruction. This case report also describes a rare presentation of CIED
infection.
PMID- 29796278
TI - Pleuroparenchymal fibroelastosis after haematopoietic stem cell transplantation
without graft-versus-host disease findings.
AB - Although rare, pleuroparenchymal fibroelastosis (PPFE) is a serious late-onset
complication of haematopoietic stem cell transplantation (HSCT). It remains
unclear whether graft-versus-host disease (GVHD) is involved in the development
of PPFE. We report the case of a patient with PPFE after HSCT. The patient
experienced pneumothorax repeatedly despite surgical treatment. A surgical
specimen demonstrated PPFE findings, without evidence of GVHD. In this case,
development of PPFE was not associated with GVHD, and immunosuppressive therapy
did not improve pulmonary function. Surgical biopsy is recommended for precise
treatment and elucidation of pathogenesis in each suspected PPFE patient.
PMID- 29796279
TI - Orthodeoxia as a presentation of intravascular large B cell lymphoma.
AB - Intravascular large B cell lymphoma (IVLBCL) is a rare and aggressive subtype of
diffuse large B cell lymphoma, of which clinical presentations are highly
variable among geographical areas. A case series of IVLBCL patients from Asian
countries reported the disease to be more aggressive and associated with
hemophagocytic syndrome than in cases from Western countries. Although published
articles recently revealed hypoxemia as a presentation in IVLBCL patients,
orthodeoxia has never been documented. A 71-year-old man presented with prolonged
fever, cough, exertional dyspnoea, and orthodeoxia, later developing hypoxemic
respiratory failure and refractory septic shock. Eventually, IVLBCL was diagnosed
by random skin biopsy and bone marrow biopsy because of a high index of
suspicion. We demonstrated the first case of orthodeoxia as an initial
presentation of IVLBCL, clinically compatible with Asian-variant IVLBCL, which is
commonly fatal and diagnostically challenging.
PMID- 29796280
TI - Summer at the beach: spatio-temporal patterns of white shark occurrence along the
inshore areas of False Bay, South Africa.
AB - Background: Understanding white shark (Carcharodon carcharias) habitat use in
coastal areas adjacent to large cities, is an important step when formulating
potential solutions to the conservation conflict that exists between humans and
large predatory sharks. In this study, we present the findings of a 2.5-year
study of white shark occurrence and movement patterns adjacent to the City of
Cape Town in False Bay, South Africa, with a focus on spring and summer months.
Fifty-one white sharks were monitored annually at three offshore and twelve
inshore sites by VR2 acoustic receivers, over 975 days from 1 May 2005 to 31
December 2007. Results: Occurrence patterns at inshore sites during spring and
summer were analysed using a generalized additive mixed model (GAMM) with a
spatial term (longitude, latitude), time of day and year included as explanatory
variables for site use. We found that sharks occurred more frequently at inshore
sites along the northern and northwestern shores, compared to the rest of the
bay, and they transitioned most frequently between four adjacent beach sites that
encompass the most popular recreational water use areas in Cape Town. There was
significant diel variation, with higher shark occurrence around midday, and a
peak in shark occurrence in 2005, when human-shark interactions also peaked.
However, we found no effect of shark size on occurrence patterns at inshore
sites. Conclusions: White sharks showed the highest levels of occurrence at
specific inshore sites between Muizenberg and Strandfontein beach, and thus
inclusion of these sites within False Bay's marine protected area (MPA) network
or recognition as Ecological or Biological Significant Areas (EBSAs) should be a
future consideration. These insights into white shark habitat use at inshore
sites in False Bay are important for successfully applying the principles of
marine spatial planning (MSP) and for making science-based policy decisions.
Furthermore, this information can be used to reduce potential shark-human
conflict by incorporating it into future shark safety education campaigns.
PMID- 29796283
TI - A case of decompression illness not responding to hyperbaric oxygen.
AB - Background: The case reinforces the importance of stepping back and looking at
every possibility along with multiple co-existing pathologies. It takes into
account the thought process of multiple systems and a multidisciplinary team
approach. Learning points to take are that decompression illness can present
atypically, but one must exclude other causes. Case presentation: We present the
case of a 42-year-old male from the West Midlands, UK, who attended the emergency
department post-scuba diving with confusion, light-headedness, left arm weakness,
and bilateral paraesthesia of the hands. Post-diving, he displayed typical
symptoms of decompression illness. He attended the hyperbaric decompression
chamber before attending the emergency department but to no resolve. A computed
tomography of the head showed no signs of intracranial pathology. He had another
session in the hyperbaric oxygen chamber but to no success. Upon admission, his
blood showed polycythaemia. His saturation had dropped to 91% on room air, and a
computed tomography pulmonary angiogram revealed no obvious cause. A magnetic
resonance imaging of his head revealed some deep periventricular ischaemic
changes, old and new, however no signs of gas embolism or poor flow. A bubble
echo confirmed a patent foramen ovale. A leptospirosis and a vasculitis screen
were both negative. Symptoms had slowly improved but he was left with a left arm
motor weakness, and the team was left puzzled as to what could have caused his
signs and symptoms. Through a diagnosis of exclusion, decompression sickness was
the conclusive diagnosis. The patient made a full recovery. Conclusions:
Decompression illness results as a sudden decrease in pressures during underwater
ascent; it is caused by nitrogen bubbles forming in tissue. Additionally, a
patent foramen ovale allows arterial gas emboli to cause further harm. Type 2
decompression sickness is the more severe form and includes neurological,
respiratory, and cardiovascular symptoms.
PMID- 29796281
TI - Emotion dysregulation in attention-deficit/hyperactivity disorder and borderline
personality disorder.
AB - There is ongoing debate on the overlap between Attention-Deficit/Hyperactivity
Disorder (ADHD) and Borderline Personality Disorder (BPD), particularly regarding
emotion dysregulation (ED). In this paper, we present a narrative review of the
available evidence on the association of these two disorders from several
standpoints. First, we discuss the unique and shared diagnostic criteria for ADHD
and BPD, focusing particularly on ED. We consider the methodology of ecological
momentary assessment and discuss why this approach could be an alternative and
more accurate way to qualitatively distinguish between ADHD and BPD. We summarise
key findings on the genetic and environmental risk factors for ADHD and BPD and
the extent to which there are shared or unique aetiological and neurobiological
risk factors. Finally, we discuss the clinical relevance of considering both
disorders in the assessment of patients presenting with trait-like behavioural
syndromes, distinguishing the two conditions and implications for treatment.
PMID- 29796282
TI - Negative urgency partially accounts for the relationship between major depressive
disorder and marijuana problems.
AB - Background: To goal of this study was to better understand mechanisms underlying
associations between Major Depressive Disorder (MDD) and marijuana use and
problems. Specifically, it was hypothesized that negative urgency (NU), the
tendency to act rashly while experiencing negative mood states, would uniquely
(compared to other impulsivity traits: positive urgency, sensation seeking,
premeditation, and perseverance) account for the relationship between MDD and
marijuana use and problems. Methods: Data were collected from a sample (N = 357)
of veterans (M age = 33.63) recruited from a Veterans Affairs hospital who used
marijuana at least once in their lifetime. Participants completed the SCID-NP to
assess MDD, a marijuana problems scale, a Time-Line Follow-back to assess six
month marijuana use, and the UPPS-P Impulsive Behavior Scale for impulsivity.
Results: Path analysis was conducted using bootstrapped (k = 20,000) and bias
corrected 95% confidence intervals (CIs) to estimate mediation (indirect)
effects, controlling for age, sex, and race. Analyses revealed a significant
direct effect of MDD on NU and NU on marijuana problems. Regarding mediational
analyses, there was a significant indirect effect of MDD on marijuana problems
via NU. The direct effect of MDD on marijuana problems was reduced, but remained
significant, suggesting partial mediation. No other impulsivity scales accounted
for the relationship between MDD and marijuana problems. In predicting marijuana
use, there were no significant indirect effects for any impulsivity traits,
including NU, despite significant bivariate associations between use and NU and
MDD. Conclusions: Results suggest that high levels of NU may partially explain
associations between MDD and marijuana problems, but not marijuana use. No other
facets of impulsivity accounted for the relationship between MDD and marijuana
use or problems, underscoring the specificity of NU as a putative mechanism and
the importance of assessing NU in treatment settings.
PMID- 29796284
TI - Provider preferences for postoperative analgesia in obese and non-obese patients
undergoing ambulatory surgery.
AB - Background: Few guidelines exist on safe prescription of postoperative analgesia
to obese patients undergoing ambulatory surgery. This study examines the
preferences of providers in the standard treatment of postoperative pain in the
ambulatory setting. Methods: Providers from five academic medical centers within
a single US city were surveyed from May-September 2015. They were asked to
provide their preferred postoperative analgesic routine based upon the predicted
severity of pain for obese and non-obese patients. McNemar's tests for paired
observations were performed to compare prescribing preferences for obese vs. non
obese patients. Fisher's exact tests were performed to compare preferences based
on experience: > 15 years vs. <=15 years in practice, and attending vs. resident
physicians. Results: A total of 452 providers responded out of a possible 695.
For mild pain, 119 (26.4%) respondents prefer an opioid for obese patients vs.
140 (31.1%) for non-obese (p = 0.002); for moderate pain, 329 (72.7%) for obese
patients vs. 348 (77.0%) for non-obese (p = 0.011); for severe pain, 398 (88.1%)
for obese patients vs. 423 (93.6%) for non-obese (p < 0.001). Less experienced
physicians are more likely to prefer an opioid for obese patients with moderate
pain: 70 (62.0%) attending physicians with > 15 years in practice vs. 86 (74.5%)
with <=15 years (p = 0.047), and 177 (68.0%) attending physicians vs. 129 (83.0%)
residents (p = 0.002). Conclusions: While there is a trend to prescribe less
opioid analgesics to obese patients undergoing ambulatory surgery, these
medications may still be over-prescribed. Less experienced physicians reported
prescribing opioids to obese patients more frequently than more experienced
physicians.
PMID- 29796285
TI - Myelodysplastic syndrome in an infant with constitutional pure duplication 1q41
qter.
AB - We report on a Japanese female infant as the fourth patient with the
constitutional pure duplication 1q41-qter confirmed by chromosomal microarray and
as the first who developed myelodysplastic syndrome (MDS) among those with the
constitutional 1q duplication. Common clinical features of the constitutional
pure duplication 1q41-qter include developmental delay, craniofacial
characteristics, foot malformation, hypertrichosis, and respiratory
insufficiency. The association between MDS and the duplication of the genes in
the 1q41-qter region remains unknown.
PMID- 29796286
TI - A novel 8-bp duplication in ADAT3 causes mild intellectual disability.
AB - Inosine is a base located at wobble position 34 of the tRNA anticodon stem-loop,
enabling the recognition of more than one codon in the translation process. A
heterodimer consists of ADAT3 and ADAT2 and is involved in the adenosine-to
inosine conversion in tRNA. Here, we report the second novel ADAT3 mutation in a
patient with microcephaly, intellectual disability, and hyperactivity. These
findings constitute a second mutation and expand the clinical spectrum of
extremely rare ADAT3 mutations.
PMID- 29796288
TI - Flock composition, breeding strategies and farmers' traits of interest evaluation
of Wollo highland sheep and their F1 crosses.
AB - Background: Sheep production is a major component of the livestock sector in
Ethiopia. The country owing to the large population of 30.70 million estimated
numbers of sheep in the country and out of which about 72.14% are females, and
27.86% are males with diverse genetic resources. The real value of indigenous
breeds was often under-estimated mostly due to their poor appearance and
relatively low productivity. Developing countries in most cases opt for exotic
breeds to increase animal productivity through crossbreeding or breed
substitution without properly investigating the production potential of the
indigenous breeds. The main objective of the research was to identify sheep flock
composition and structure, farmers' traits of interest and breeding objective of
Wollo highland sheep, and their F1 crossbreed progenies. Results: Smallholder
farmers' flock synthesized from breeding ewes, breeding rams, pre-weaned ewe
lambs, pre-weaned ram lambs, unproductive ewes, castrated and fattened rams, with
the percentage coverage of 29.2, 13.3, 15.5, 16.5, 12.4, and 12.5%, respectively.
The maximum number of flock size was 289.0 sheep per flock and higher in the
third stratum. The off-take rate percentage of the three strata presented as
21.9% in 1st stratum, 12% in the 2nd stratum, and 16.4% in the 3rd stratum and
higher off-take rate recorded in the first stratum. Sheep producer's traits of
interest ranked by growth rate (first), body size (second) and marketing value
was third rank. Communal breeding (random mating), village based controlled
breeding, mixed type and private ram controlled breeding practice were comprised
of 39.7, 61.7, 52 and 71.3%, respectively. The percentages of ewes per flock
composition were presented as 36.5, 27.1 and 25.5%, respectively in the 3rd
stratum, 2nd stratum and 3rd stratum in the order of their importance's.
Conclusion: Genetic improvement practices at smallholder sheep producers
situation was showing promising outcome with indigenous Washera F1 crossbred
lambs and which designated for weaning rate, body size, marketing age, age at
first lambing, good temperament and large litter size in the order of their rank.
The contemporary breeding practice tendency indicated that, reduced flock size to
improve flock productivity via crossbreeding practices.
PMID- 29796287
TI - Effect of adjusting the combination of budesonide/formoterol on the alleviation
of asthma symptoms.
AB - Background: The combination of budesonide + formoterol (BFC) offers the
advantages of dose adjustment in a single inhaler according to asthma symptoms.
We analyzed the relationship between asthma symptoms in terms of peak expiratory
flow (PEF) and dose adjustment by the patient. Methods: Twenty-eight patients
with asthma who used BFC for alleviation of their symptoms (12 men, 16 women; 60
years old) were instructed that the inhaled BFC dose could be increased to a
maximum of 8 inhalations per day according to symptom severity. Patients measured
and recorded PEF every morning and evening in their asthma diary along with their
symptoms and the dose of drugs taken. Results: Sixteen of the 28 patients
increased their dose for asthma symptoms. The time to recovery from the asthma
symptoms was significantly shorter when cough was the only symptom present
compared with dyspnea or wheeze (1.4 vs. 5.3 or 6.6 days, p < 0.05) and when they
had only one symptom compared with two or three symptoms (1.3 vs. 5.7 or 10.5, p
< 0.01). The relationship between PEF (% of personal best) when the dose was
increased (Y) and the days for the increased dose to achieve a PEF greater than
PEF in the symptom-free state (X) was determined to be Y = - 0.591X + 89.2 (r2 =
0.299, p < 0.001). Conclusion: As a guide for increasing the BFC dose when
patients with mild asthma have asthma symptoms, the dose should be increased when
cough is present or PEF is decreased to 88.9% (i.e., X = 0.5).
PMID- 29796289
TI - Clinical efficacy of teriflunomide over a fixed 2-year duration in the TOWER
study.
AB - Patients enrolled in the phase 3 TOWER study (NCT00751881) of teriflunomide had
variable treatment durations (48-173 weeks). This has led to challenges when
interpreting results in the context of other phase 3 trials of disease-modifying
therapies for multiple sclerosis, which typically have a fixed 2-year duration.
This communication reports clinical outcomes in TOWER over a fixed 2-year period.
Reductions in annualised relapse rates and 12-week confirmed disability worsening
associated with teriflunomide were comparable between overall intent-to-treat and
fixed 2-year study populations in TOWER. Consistency in outcomes supports the
inclusion of TOWER data in comparative analyses with other disease-modifying
therapies. ClinicalTrials.gov: NCT00751881.
PMID- 29796290
TI - Addressing the targeting range of the ABILHAND-56 in relapsing-remitting multiple
sclerosis: A mixed methods psychometric study.
AB - Background: ABILHAND, a manual ability patient-reported outcome instrument
originally developed for stroke patients, has been used in multiple sclerosis
clinical trials; however, psychometric analyses indicated the measure's limited
measurement range and precision in higher-functioning multiple sclerosis
patients. Objective: The purpose of this study was to identify candidate items to
expand the measurement range of the ABILHAND-56, thus improving its ability to
detect differences in manual ability in higher-functioning multiple sclerosis
patients. Methods: A step-wise mixed methods design strategy was used, comprising
two waves of patient interviews, a combination of qualitative (concept
elicitation and cognitive debriefing) and quantitative (Rasch measurement theory)
analytic techniques, and consultation interviews with three clinical neurologists
specializing in multiple sclerosis. Results: Original ABILHAND was well
understood in this context of use. Eighty-two new manual ability concepts were
identified. Draft supplementary items were generated and refined with patient and
neurologist input. Rasch measurement theory psychometric analysis indicated
supplementary items improved targeting to higher-functioning multiple sclerosis
patients and measurement precision. The final pool of Early Multiple Sclerosis
Manual Ability items comprises 20 items. Conclusion: The synthesis of qualitative
and quantitative methods used in this study improves the ABILHAND content
validity to more effectively identify manual ability changes in early multiple
sclerosis and potentially help determine treatment effect in higher-functioning
patients in clinical trials.
PMID- 29796291
TI - Daily rhythmicity in coastal microbial mats.
AB - Cyanobacteria are major primary producers in coastal microbial mats and provide
biochemical energy, organic carbon, and bound nitrogen to the mat community
through oxygenic photosynthesis and dinitrogen fixation. In order to anticipate
the specific requirements to optimize their metabolism and growth during a day
and-night cycle, Cyanobacteria possess a unique molecular timing mechanism known
as the circadian clock that is well-studied under laboratory conditions but
little is known about its function in a natural complex community. Here, we
investigated daily rhythmicity of gene expression in a coastal microbial mat
community sampled at 6 time points during a 24-h period. In order to identify
diel expressed genes, meta-transcriptome data was fitted to periodic functions.
Out of 24,035 conserved gene transcript clusters, approximately 7% revealed a
significant rhythmic expression pattern. These rhythmic genes were assigned to
phototrophic micro-eukaryotes, Cyanobacteria but also to Proteobacteria and
Bacteroidetes. Analysis of MG-RAST annotated genes and mRNA recruitment analysis
of two cyanobacterial and three proteobacterial microbial mat members confirmed
that homologs of the cyanobacterial circadian clock genes were also found in
other bacterial members of the microbial mat community. These results suggest
that various microbial mat members other than Cyanobacteria have their own
molecular clock, which can be entrained by a cocktail of Zeitgebers such as
light, temperature or metabolites from neighboring species. Hence, microbial mats
can be compared to a complex organism consisting of multiple sub-systems that
have to be entrained in a cooperative way such that the corpus functions
optimally.
PMID- 29796292
TI - A pilot randomised controlled trial investigating a mindfulness-based stress
reduction (MBSR) intervention in individuals with pulmonary arterial hypertension
(PAH): the PATHWAYS study.
AB - Background: Pulmonary arterial hypertension (PAH) is an uncommon condition with
progressive heart failure and premature death. Treatment costs up to L120,000 per
patient per year, and the psychological burden of PAH is substantial. Mindfulness
based stress reduction (MBSR) is an intervention with the potential to reduce
this burden, but to date, it has not been applied to people with pulmonary
hypertension. We wished to determine whether a trial of MBSR for people with PAH
would be feasible. Methods: A customised gentle MBSR programme of eight sessions
was developed for people with physical disability due to PAH, and they were
randomised to group-based MBSR or treatment as usual. The completeness of outcome
measures including Beck Anxiety Index, Beck Depression Inventory and standard
physical assessment at 3 months after randomisation were recorded. Health care
utilisation was measured. Attendance at the sessions and the costs involved in
delivering the intervention were assessed. Semi-structured interviews were
conducted to explore the acceptability of the MBSR intervention and when
appropriate the reasons for trial non-participation. Results: Fifty-two patients
were recruited, but only 34 were randomised due to patients finding it difficult
to travel to sessions. Twenty-two completed all questionnaires and attended all
clinics, both routine and additional in order to collect outcomes measures. The
MSBR sessions were delivered in Bristol, Cardiff and London, costing, on average,
between L2234 (Cardiff) and L4128 (London) per patient to deliver. Attendance at
each session averaged between two patients in Bristol and Cardiff and three in
London. For those receiving treatment as usual, clinician blinding was
achievable. Interviews revealed that people who attended MBSR found it
interesting and helpful in managing their symptoms and minimising the
psychological component of their disease. Conclusions: We found that attendance
at group MBSR was poor in people with chronic PAH within the context of a trial.
Achieving better MBSR intervention attendance or use of an Internet-based
programme might maximise the benefit of MBSR.
PMID- 29796293
TI - Intensive therapy after botulinum toxin in adults with spasticity after stroke
versus botulinum toxin alone or therapy alone: a pilot, feasibility randomized
trial.
AB - Background: Botulinum toxin-A is provided for adults with post-stroke spasticity.
Following injection, there is a variation in the rehabilitation therapy type and
amount provided. The purpose of this study was to determine if it is feasible to
add intensive therapy to botulinum toxin-A injections for adults with spasticity
and whether it is likely to be beneficial. Methods: Randomized trial with
concealed allocation, assessor blinding, and intention to treat analysis. Thirty
seven adults (n = 3 incomplete or lost follow-up) with spasticity in the upper or
lower limb were allocated to one of three groups: experimental group received a
single dose of botulinum toxin-A plus an intensive therapy for 8 weeks, control
group 1 received a single dose of botulinum toxin-A only, and control group 2
received intensive therapy only for 8 weeks. Feasibility was measured by
examining recruitment, intervention (adherence, acceptability, safety), and
measurement. Benefit was measured as goal achievement (Goal Attainment Scale),
upper limb activity (Box and Block Test), walking (6-min walk test) and
spasticity (Tardieu scale), at baseline (week 0), immediately after (week 8), and
at three months (week 12). Results: Overall recruitment fraction for the trial
was 37% (eligibility fraction 39%, enrolment fraction 95%). The 26 participants
allocated to receive intensive rehabilitation attended 97% of clinic-based
sessions (mean 11 +/- 2 h) and an averaged 58% (mean 52 +/- 32 h) of prescribed
90 h of independent practice. There were no study-related adverse events
reported. Although participants in all groups increased their goal attainment,
there were no between-group differences for this or other outcomes at week 8 or
12. Conclusion: Providing intensive therapy following botulinum toxin-A is
feasible for adults with neurological spasticity. The study methods are
appropriate for a future trial. A future trial would require 134 participants to
detect a between-group difference of 7 points on Goal Attainment Scale t-scores
with an alpha of 0.05 and power of 80%. Trial registration: ACTRN12612000091808.
Registered 18/01/2012, retrospective.
PMID- 29796294
TI - Continuous, real-time monitoring of neonatal position and temperature during
Kangaroo Mother Care using a wearable sensor: a techno-feasibility pilot study.
AB - Background: Remote biomonitoring of vital parameters in hospitals and homes has
the potential to improve coverage and quality of maternal and neonatal health.
Wearable sensors coupled with modern information and communication technology now
offer an opportunity to monitor temperatures and kangaroo mother care (KMC)
adherence in a continuous and real-time manner remotely for several days'
duration in hospital and home settings. Using an innovative remote biomonitoring
device to measure both temperature and baby position, we undertook a techno
feasibility study in preparation for a clinical trial. Methods: We designed and
developed a wearable sensor for tracking KMC adherence and neonatal temperature,
using social innovation design principles. After screening mother-infant dyads
using clinical and logistic eligibility criteria, we piloted this wearable sensor
along with a gateway device and the commercial cellular network. The dyads were
recruited during hospitalization and followed up in the hospital and home phases
for several days. Simple descriptive statistical analysis was undertaken.
Results: Recruitment rate was 50% (6/12), and consenting rate was 83% (5/6)
during a 2-month period. These five neonates contributed a total of 39 study days
(15 hospital days and 24 home days). Their mean [+/- standard deviation (S.D.)]
birth weight was 1490 (+/- 244) g.The mean (+/- S.D.) of the vital signs for the
five babies was temperature [36.5 degrees C (+/- 0.3)], heart rate [146.5/min
(+/- 14)], and oxygen saturation [94% (+/- 4)]. No severe or moderate side
effects were noted; one baby developed mild dermatitis under the device that was
transient and self-limiting, yielding an incidence proportion of 20% and
incidence rate of 2.6/100 person-days.None of the mothers reported any discomfort
with the use of the device. Temperatures detected from 81 paired readings
revealed that those from the wearable sensor were 0.2 degrees C lower than those
detected by clinical thermometers [36.4 (+/- 0.7) vs 36.6 (+/- 0.3); <
0.001].There was also iterative feedback that was useful for hardware and
software design specifications of the wearable sensor, the gateway device, and
the analytics platform. Lastly, lessons were learnt with regard to the logistics
of research team interactions with healthcare professionals and study
participants during the hospitalization and post-discharge home phases of the
study. Conclusions: The pilot study has shown that it is feasible and acceptable
to track KMC adherence as well as maternal and newborn temperatures in a
potentially safe manner on a real-time mode for several days' duration during
hospitalization and home phases. The pilot has also helped inform modifications
in clinical monitoring, technological modifications, and logistics planning in
preparation for the definitive clinical trial. Trial registration: Clinical
Trials Registry of India, CTRI/2017/09/009789.
PMID- 29796295
TI - Diagnostic and therapeutic yield of a patient-controlled portable EEG device with
dry electrodes for home-monitoring neurological outpatients-rationale and
protocol of the HOMEONE pilot study.
AB - Background: The HOMEONE study is part of the larger HOME project, which aims to
provide evidence of diagnostic and therapeutic yield ("change of management") of
a patient-controlled portable EEG device with dry electrodes for the purposes of
EEG home-monitoring neurological outpatients. Methods: The HOMEONE study is the
first step in the process of investigating whether outpatient EEG home-monitoring
changes the diagnosis and treatment of patients in comparison to conventional EEG
("change of management"). Both EEG devices (conventional and portable) will be
systematically compared via a two-phase intra-individual assessment.In the first
phase (pilot study phase), both EEG devices will be used within neurologist
practices (all other things being equal). This pilot study (involving 130
patients) will evaluate the technical usability and efficacy of the new portable
dry electrode EEG recorder in comparison to conventional EEG devices. Judgements
will be based on technical assessments and EEG record examinations of private
practitioners and two experienced neurologists (percent of concordant readings
and kappa values).The second phase (feasibility study phase) aims to assess
patients' acceptability and feasibility of the EEG home-monitoring and will
provide insights into the extent diagnostic and therapeutic yields can be
expected.For this purpose, a conventional EEG will be recorded in neurologist
practices. Thereafter, the practice staff will instruct the patients on how the
portable EEG device functions. The patients will subsequently use the devices in
their home environment.The evaluation will compare the before and after
documented diagnostic findings and the therapeutic consequences of the private
practitioners with those of two experienced neurologists. Discussion: To the best
of our knowledge, this will be the first study of its kind to examine new
approaches to diagnosing unclear consciousness disorders or other disorders of
the CNS or the cardiovascular system through the use of a patient-controlled
portable EEG device with dry electrodes for the purpose of home-monitoring
neurological outpatients. If the two phases of the HOMEONE study provide
sufficient evidence of diagnostic and therapeutic yields, this would justify
(indication-specific) full-scale randomized controlled trials or observational
studies. Trial registration: DRKS DRKS00012685. Registered 9 August 2017,
retrospectively registered.
PMID- 29796296
TI - Prevalence and factors influencing modern contraceptive use among HIV-positive
women in Kilimanjaro region, northern Tanzania.
AB - Background: Mother-to-Child-Transmission (MTCT) of HIV is still a public health
problem in sub-Saharan Africa. The region has a high unmet need for family
planning and high unplanned pregnancy rates among HIV-positive women. Most
efforts to prevent MTCT of HIV have focused on the third prong, a strategy which
offers antiretroviral (ARV) drugs to HIV-infected pregnant women and their
exposed infants. However, the effective use of contraceptives to prevent
unplanned pregnancies among women living with HIV is more effective in reducing
HIV MTCT. This study aimed at determining the prevalence and factors influencing
modern contraceptive use among HIV-positive women in northern Tanzania. Methods:
This was a cross-sectional study conducted between January and June 2014 in three
selected districts of Kilimanjaro region, Tanzania. Data were collected during
face-to-face interviews with HIV-positive women attending Care and Treatment
Clinics (CTC) in the selected districts. Multivariate logistic regression
analysis was used to determine independent predictors of modern contraceptive
use. Results: In total 672 HIV-positive women were enrolled. Their mean age was
36.4 years (+/-7.7). Fifty four percent (362) were currently using modern
contraceptives, and the most common method used was male condoms 76% (275)
followed by Depo-Provera 28% (101). A total of 33% (121) of the users reported
dual contraceptive use. Women with primary education [Adjusted Odds Ratio (AOR) =
7.54, 95% Confidence Interval (CI): 1.51-17.48, P = 0.014]; post-secondary [AOR =
6.23, 95% CI: 1.14-14.07, P = 0.035]; not currently on ARVs [AOR = 11.29, 95% CI:
2.60-19.94, P = 0.001]; currently sexually active [AOR = 8.40, 95% CI: 4.47
15.78, P < 0.001]; ever discussed contraceptive use with partner [AOR = 3.68, 95%
CI: 1.67-8.11, P = 0.001]; and being counseled on dual contraceptive use at CTC
[AOR = 2.94, 95% CI: 1.66-5.23, P < 0.001]; had significantly higher odds of
currently using modern contraceptive methods. Conclusions: Given the population
studied, the prevalence of modern contraceptive use was low. Strategies are
required to increase the use of dual and long-term contraceptive methods among
women who do not want more children in order to reduce MTCT, and to improve
maternal and child health in the region. Programme managers and health care
providers need to identify counseling strategies that are specific to HIV
positive women that not only impart knowledge on contraceptives, but also address
the issue of responsibility for influencing HIV transmission in the community.
PMID- 29796297
TI - Genomic insights into nitrofurantoin resistance mechanisms and epidemiology in
clinical Enterobacteriaceae.
AB - Aim: Multidrug-resistant enterobacteria are highly associated with invasive
devices and intensive care units. Increasing resistance to carbapenems is leading
to the use of older and neglected antibiotics such as nitrofurantoin (NFT). The
genomics of NFT resistance was investigated. Results & conclusion: High-level
resistance to NFT (minimum inhibitory concentration >=128-512 mg/l) was recorded
in 31/36 isolates (89.6%), many of which were from intensive care units (n = 20),
urine (n = 17) or invasive procedures (n = 10). Efflux pump inhibitors had little
effect on NFT's minimum inhibitory concentrations albeit oqxAB was prevalent in
most isolates (n = 32). Various species- and clone-specific mutations mediating
high-level NFT resistance were detected in nfsA, nfsB and ribE proteins through
comparative genomics. Global phylogenomics showed local and independent emergence
of NFT resistance in Enterobacteriaceae. NFT stewardship is advised.
PMID- 29796298
TI - Gazing into smoldering volcanoes: precision cardiac imaging.
PMID- 29796299
TI - Novel approaches to CMV after HCT: report from the 27th European Congress of
Clinical Microbiology and Infectious Diseases, Vienna, Austria, 22-25 April 2017.
PMID- 29796300
TI - Comparison of apixaban and rivaroxaban for anticoagulant effect after lumbar
spine surgery: a single-center report.
AB - Aim: To compare efficacy and safety of anticoagulants apixaban and rivaroxaban
after lumbar spine surgery retrospectively. Methods: In this study comprising 480
patients, lower-limb swelling, deep venous thrombosis, pulmonary embolism, blood
loss, ecchymosis and D-dimer changes were observed. Results: The changes in
perimeter of the legs were tested, and significant differences were noted 10 cm
above the patella, but no significant differences 10 cm below the patella. Twelve
thrombotic events occurred in the apixaban group and nine in rivaroxaban group.
No significant difference in the incidences of thromboembolic events (p = 1.00).
Compared with rivaroxaban, there was significantly less bleeding in the apixaban
group (p = 0.03). Conclusion: Apixaban and rivaroxaban were equally effective
anticoagulation therapies that exhibited similar preventive effects against
postoperative venous thromboembolism after lumbar spine surgery.
PMID- 29796301
TI - Functional variants of TIM-3/HAVCR2 3'UTR in lymphoblastoid cell lines.
AB - Aim: Variants of TIM-3/HAVCR2 3'UTR miRNA binding sites are significantly
associated with cancer; however, roles in post-transcriptional regulation have
not been elucidated. Methods: The regulatory and coding region single nucleotide
polymorphisms (SNPs) of TIM-3/HAVCR2 were identified using an online database.
Single nucleotide polymorphism Function Prediction was used to predict potential
functional relevance of miRNA binding sites. Results: The analysis indicated
rs9313439, rs4704846, rs3087616 and rs1036199 affect possible miRNA binding sites
in TIM-3/HAVCR2 3'UTR. We used additional data on genotypes and limited minor
allele frequency >5% in the HapMap populations. Only rs3087616 and rs4704846 were
significantly associated with TIM-3/HAVCR2. Conclusion: Both rs3087616 and
rs4704846 could be putative variants mediating post-transcriptional regulation of
the TIM-3/HAVCR2. Deeper understanding of how 3'UTR variants influence the
activity by TIM-3/HAVCR2 for therapy against cancer.
PMID- 29796302
TI - Prospective single center trial of next-generation sequencing analysis in
metastatic renal cell cancer: the MORE-TRIAL.
AB - Aim: Targeted therapies have substantially improved the survival of patients with
metastatic clear cell renal cell cancer. No prognostic or predictive biomarkers
are available. Comprehensive genetic profiling offers the opportunity to define
prognostic and predictive signatures aiming at a more personalized approach to
treatment. Methods: In this prospectively conducted cohort study, tumor tissue
and liquid biopsies are sampled at baseline and upon first and second progression
under systemic treatment. Samples will be analyzed by whole-exome sequencing to
generate prognostic and predictive patterns for systemic therapies. Discussion:
This study is aiming at exploring genetic profiles with prognostic and predictive
value in metastatic renal cell cancer patients. Clonal evolution facilitating
resistance to systemic treatment will be investigated by repeat biopsies.
PMID- 29796304
TI - Fully electronic urine dipstick probe for combinatorial detection of inflammatory
biomarkers.
AB - Aim: An electrochemical urine dipstick probe biosensor has been demonstrated
using molybdenum electrodes on nanoporous polyamide substrate for the
quantitative detection of two inflammatory protein biomarkers, CRP and IL-6.
Materials & methods: The electrode interface was characterized using zeta
potential and Fourier transform infrared spectroscopy. Detection of biomarkers
was demonstrated by measuring impedance changes associated with the dose
concentrations of the two biomarkers. A proof of feasibility of point-of-care
implementation of the biosensor was demonstrated using a portable electronics
platform. Results & conclusion: Limit of detection of 1 pg/ml was achieved for
CRP and IL-6 in human urine and synthetic urine buffers. The developed portable
hardware demonstrated close correlation with benchtop equipment results.
PMID- 29796303
TI - Latest development on RNA-based drugs and vaccines.
AB - Drugs and vaccines based on mRNA and RNA viruses show great potential and direct
translation in the cytoplasm eliminates chromosomal integration. Limitations are
associated with delivery and stability issues related to RNA degradation.
Clinical trials on RNA-based drugs have been conducted in various disease areas.
Likewise, RNA-based vaccines for viral infections and various cancers have been
subjected to preclinical and clinical studies. RNA delivery and stability
improvements include RNA structure modifications, targeting dendritic cells and
employing self-amplifying RNA. Single-stranded RNA viruses possess self
amplifying RNA, which can provide extreme RNA replication in the cytoplasm to
support RNA-based drug and vaccine development. Although oligonucleotide-based
approaches have demonstrated potential, the focus here is on mRNA- and RNA virus
based methods.
PMID- 29796305
TI - Prognostic significance of further axillary dissection in breast cancer patients
with micrometastases & the number of micrometastases: a SEER population-based
analysis.
AB - Aim: To investigate the benefits of axillary dissection in patients with
micrometastases. Methods: A review of data from the Surveillance, Epidemiology,
and End Results database was performed from 2004 to 2013. Kaplan-Meier curves,
Cox regression models, and propensity score matching were utilized to
comprehensively evaluate the cohort. Results: Multivariate analysis after
propensity score matching showed that patients with one to two micrometastases
did not substantially benefit from axillary lymph node dissection in breast
cancer-specific survival (p = 0.725). However, a subgroup analysis indicated that
axillary dissection may benefit estrogen receptor-negative patients. Moreover,
patients who carried three micrometastases had a significantly lower crude hazard
ratio in breast cancer-specific survival. Conclusion: Axillary lymph node
dissection may have advantages in high-risk micrometastatic patients. Patients
with three micrometastases should be treated with caution.
PMID- 29796307
TI - Immortalized common marmoset (Callithrix jacchus) hepatic progenitor cells
possess bipotentiality in vitro and in vivo.
AB - Common marmoset (Callithrix jacchus) is emerging as a clinically relevant
nonhuman primate model for various diseases, but is hindered by the availability
of marmoset cell lines, which are critical for understanding the disease
pathogenesis and drug/toxicological screening prior to animal testing. Here we
describe the generation of immortalized marmoset hepatic progenitor cells (MHPCs)
by lentivirus-mediated transfer of the simian virus 40 large T antigen gene in
fetal liver polygonal cells. MHPCs proliferate indefinitely in vitro without
chromosomal alteration and telomere shortening. These cells possess hepatic
progenitor cell-specific gene expression profiles with potential to differentiate
into both hepatocytic and cholangiocytic lineages in vitro and in vivo and also
can be genetically modified. Importantly, injected MHPCs repopulated the injured
liver of fumarylacetoacetate hydrolase (Fah)-deficient mice with hepatocyte-like
cells. MHPCs also engraft as cholangiocytes into bile ducts of 3,5
diethoxycarbonyl-1,4-dihydrocollidine (DDC)-induced bile ductular injured mice.
MHPCs provide a tool to enable efficient derivation and genetic modification of
both hepatocytes and cholangiocytes for use in disease modeling, tissue
engineering, and drug screening.
PMID- 29796306
TI - Quantitative translational modeling to facilitate preclinical to clinical
efficacy & toxicity translation in oncology.
AB - Significant scientific advances in biomedical research have expanded our
knowledge of the molecular basis of carcinogenesis, mechanisms of cancer growth,
and the importance of the cancer immunity cycle. However, despite scientific
advances in the understanding of cancer biology, the success rate of oncology
drug development remains the lowest among all therapeutic areas. In this review,
some of the key translational drug development objectives in oncology will be
outlined. The literature evidence of how mathematical modeling could be used to
build a unifying framework to answer these questions will be summarized with
recommendations on the strategies for building such a mathematical framework to
facilitate the prediction of clinical efficacy and toxicity of investigational
antineoplastic agents. Together, the literature evidence suggests that a rigorous
and unifying preclinical to clinical translational framework based on
mathematical models is extremely valuable for making go/no-go decisions in
preclinical development, and for planning early clinical studies.
PMID- 29796308
TI - The prevalence of patient engagement in published trials: a systematic review.
AB - Plain English summary: With the growing movement to engage patients in research,
questions are being asked about who is engaging patients and how they are being
engaged. Internationally, research groups are supporting and funding patient
oriented research studies that engage patients in the identification of research
priorities and the design, conduct and uptake of research. As we move forward, we
need to know what meaningful patient engagement looks like, how it benefits
research and clinical practice, and what are the barriers to patient
engagement?We conducted a review of the published literature looking for trials
that report engaging patients in the research. We included both randomized
controlled trials and non-randomized comparative trials. We looked at these
trials for important study characteristics, including how patients were engaged,
to better understand the practices used in trials. Importantly, we also discuss
the number of trials reporting patient engagement practices relative to all
published trials. We found that very few trials report any patient engagement
activities even though it is widely supported by many major funding
organizations. The findings of our work will advance patient-oriented research by
showing how patients can be engaged and by stressing that patient engagement
practices need to be better reported. Abstract: Background: Patient-Oriented
Research (POR) is research informed by patients and is centred on what is of
importance to them. A fundamental component of POR is that patients are included
as an integral part of the research process from conception to dissemination and
implementation, and by extension, across the research continuum from basic
research to pragmatic trials [J Comp Eff Res 2012, 1:181-94, JAMA 2012, 307:1587
8]. Since POR's inception, questions have been raised as to how best to achieve
this goal.We conducted a systematic review of randomized controlled trials and
non-randomized comparative trials that report engaging patients in their
research. Our main goal was to describe the characteristics of published trials
engaging patients in research, and to identify the extent of patient engagement
activities reported in these trials. Methods: The MEDLINE(r), EMBASE(r), Cinahl,
PsycINFO, Cochrane Methodology Registry, and Pubmed were searched from May 2011
to June 16th, 2016. Title, abstract and full text screening of all reports were
conducted independently by two reviewers. Data were extracted from included
trials by one reviewer and verified by a second. All trials that report patient
engagement for the purposes of research were included. Results: Of the 9490
citations retrieved, 2777 were reviewed at full text, of which 23 trials were
included. Out of the 23 trials, 17 were randomized control trials, and six were
non-randomized comparative trials. The majority of these trials (83%, 19/23)
originated in the United States and United Kingdom. The trials engaged a range of
2-24 patients/ community representatives per study. Engagement of children and
minorities occurred in 13% (3/23) and 26% (6/23) of trials; respectively.
Engagement was identified in the development of the research question, the
selection of study outcomes, and the dissemination and implementation of results.
Conclusions: The prevalence of patient engagement in patient-oriented
interventional research is very poor with 23 trials reporting activities engaging
patients. Research dedicated to determining the best practice for meaningful
engagement is still needed, but adequate reporting measures also need to be
defined.
PMID- 29796309
TI - Deubiquitinase function of A20 maintains and repairs endothelial barrier after
lung vascular injury.
AB - Vascular endothelial cadherin (VE-cad) expression at endothelial adherens
junctions (AJs) regulates vascular homeostasis. Here we show that endothelial A20
is required for VE-cad expression at AJs to maintain and repair the injured
endothelial barrier. In endothelial cell (EC)-restricted Tnfaip3 (A20) knockout
(A20?EC ) mice, LPS challenge caused uncontrolled lung vascular leak and
persistent sequestration of polymorphonuclear neutrophil (PMNs). Importantly,
A20?EC mice exhibited drastically reduced VE-cad expression in lungs compared
with wild-type counterparts. Endothelial expression of wild-type A20 but not the
deubiquitinase-inactive A20 mutant (A20C103A) prevented VE-cad ubiquitination,
restored VE-cad expression, and suppressed lung vascular leak in A20?EC mice.
Interestingly, IRAK-M-mediated nuclear factor-kappaB (NF-kappaB) signaling
downstream of TLR4 was required for A20 expression in ECs. interleukin-1 receptor
associated kinase M (IRAK-M) knockdown suppressed basal and LPS-induced A20
expression in ECs. Further, in vivo silencing of IRAK-M in mouse lung vascular
ECs through the CRISPR-Cas9 system prevented expression of A20 and VE-cad while
augmenting lung vascular leak. These results suggest that targeting of
endothelial A20 is a potential therapeutic strategy to restore endothelial
barrier integrity in the setting of acute lung injury.
PMID- 29796310
TI - Immune system correlates of extensive limb swelling in response to conjugated
pneumococcal vaccination.
AB - Pneumococcal conjugate vaccine (PCV) is recommended for adults with chronic
disease. Extensive limb swelling (ELS) is an acute vigorous local inflammatory
reaction following vaccination. Predisposing immune system correlates and the
influence of ELS on vaccine responses are not known. Here, we report a case of a
67-year-old woman with a history of multiple pneumonias who had a detailed
immunological work-up pre-vaccination because of suspected immunodeficiency. Four
days following a first vaccination with PCV13 she developed ELS-mimicking
erysipelas. Treatment with 20 mg cortisone completely alleviated symptoms within
2 days. Skin biopsy showed a dense dermal and subdermal infiltration dominated by
CD4+ T cells and macrophages. In a multiplexed serotype-specific measurement of
the anti-pneumococcal IgG response, the patient showed very broad and strong
vaccine responses. Pre-vaccination titers were low for the vaccine serotypes. We
did not find in vivo nor in vitro evidence of an excessive T cell response to the
diphtheria-derived PCV carrier protein. However, we could demonstrate a high
antibody titer to a non-vaccine serotype, indicating in vivo pre-exposure to
pneumococcus bacteria. Thus, traces of pneumococcal proteins included in PCV13
may have boosted pre-existing pneumococcus-specific T helper cells, which
subsequently orchestrated ELS. Our case raises awareness for the risk of vaccine
induced ELS, especially in patients with a history of recurrent pneumococcal
disease and thus partial immunity.
PMID- 29796311
TI - Role of Direct Antiviral Agents in Treatment of Chronic Hepatitis C Infection in
Renal Transplant Recipients.
AB - Background: Since the introduction of direct antiviral agents (DAAs), morbidity
of HCV has considerably decreased but still no guidelines have been formulated in
renal transplant recipients (RTRs). We studied efficacy and tolerability of
direct antiviral agents in RTRs. Methods: This prospective observational study
was conducted at Army Hospital Research & Referral, Delhi, from June 2016 to May
2017. Forty-five HCV infected RTRs with stable graft function were included.
Results: Median time between renal transplantation and the start of anti-HCV
therapy was 36 months (1-120 months). The majority (66.7%) were infected with
genotype 3. Baseline median HCV RNA level was 542648 IU/ml (1189-55028534 IU/ml).
Sofosbuvir-Ribavirin combination (24 weeks) was given to 30 patients including 3
cirrhotics, Ledipasvir-Sofosbuvir combination to 8 patients, and Daclatasvir
Sofosbuvir combination to 7 patients, including 2 cirrhotics. Rapid virological
response was observed in 29 patients treated with Sofosbuvir/Ribavirin, all 8
patients on Sofosbuvir/Ledipasvir, and all 7 patients on Sofosbuvir/Daclatasvir.
End treatment response and sustained virological response (12 weeks) were
achieved in all patients irrespective of genotype or treatment regimen. Decrease
in mean HCV RNA level and transaminase level was statistically significant (p <
0.01). Ribavirin was significantly associated with anaemia (p = 0.032).
Conclusions: DAA regimens are well tolerated and highly efficacious. Response to
DAA is good irrespective of genotype, drug combination, initial HCV RNA level,
age or sex of patient, or graft age. However, Sofosbuvir/Ledipasvir and
Sofosbuvir/Daclatasvir combination is preferable.
PMID- 29796312
TI - Granulosa Cell Tumor of the Ovary: A Retrospective Study of 31 Cases and a Review
of the Literature.
AB - Background: Adult granulosa cell tumors (AGCTs) are the most common sex cord
stromal tumors. Unlike epithelial ovarian tumors, they occur in young women and
are usually detected at an early stage. The aim of this study was to report the
clinical and pathological characteristics of AGCT patients and to identify the
prognostic factors. Methods: All cases of AGCTs, treated at Salah Azaiz Institute
between 1995 and 2010, were retrospectively included. Kaplan-Meier's statistical
method was used to assess the relapse-free survival and the overall survival.
Results: The final cohort included 31 patients with AGCT. The mean age was 53
years (35-73 years). Patients mainly presented with abdominal mass and/or pain
(61%, n = 19). Mean tumor size was 20 cm. The majority of patients had a stage I
disease (61%, n = 19). Two among 3 patients with stage IV disease had liver
metastasis. Mitotic index was low in 45% of cases (n = 14). Surgical treatment
was optimal in almost all cases (90%, n = 28). The median follow-up time was 14
years (1-184 months). Ten patients relapsed (32%) with a median RFS of 8.4 years
(6.8-9.9 years). Mean overall survival was 13 years (11-15 years). Stage I
disease and low-to-intermediate mitotic index were associated with a better
prognosis in univariate analysis (resp., p = 0.05 and p = 0.02) but were not
independent prognostic factors. Conclusion: GCTs have a long natural history with
common late relapses. Hence, long active follow-up is recommended. In Tunisian
patients, hepatic metastases were more frequent than occidental series. The
prognosis remains good and initial staging at diagnosis is an important
prognostic factor.
PMID- 29796314
TI - Clinical Features of Idiopathic Parotid Pain Triggered by the First Bite in
Japanese Patients with Type 2 Diabetes: A Case Study of Nine Patients.
AB - Objective: First bite syndrome, characterized by pain in the parotid region after
the first bite of each meal, predominantly develops in patients who have had head
and neck surgery. Idiopathic parotid pain (IPP) that mimics first bite syndrome
may present in patients without a history of surgery or evidence of an underlying
tumor, but its clinical features are unclear. This study characterized the
clinical characteristics of IPP in patients with diabetes. Study Design: A
retrospective case review involving the clinical findings and pain
characteristics of nine patients with IPP and diabetes who presented to our
department between 2013 and 2016. Results: All the patients were men diagnosed
with type 2 diabetes (median age, 43 years). IPP developed unilaterally in seven
patients and bilaterally in two. The median intensity of the first bite pain was
8 on a numerical rating scale of 0-10. The trigger factor was gustatory stimuli,
and the trigger area was the posterior section of the tongue. Postprandial pain
occurred within 1-10 min after meals in six patients. Conclusions: IPP may be
considered a separate disorder, in which the pain characteristics are similar to
those of first bite syndrome but the clinical features and pathophysiology are
different.
PMID- 29796313
TI - Microvascular Anastomosis Training in Neurosurgery: A Review.
AB - Cerebrovascular diseases are among the most widespread diseases in the world,
which largely determine the structure of morbidity and mortality rates.
Microvascular anastomosis techniques are important for revascularization
surgeries on brachiocephalic and carotid arteries and complex cerebral aneurysms
and even during resection of brain tumors that obstruct major cerebral arteries.
Training in microvascular surgery became even more difficult with less case
exposure and growth of the use of endovascular techniques. In this text we will
briefly discuss the history of microvascular surgery, review current literature
on simulation models with the emphasis on their merits and shortcomings, and
describe the views and opinions on the future of the microvascular training in
neurosurgery. In "dry" microsurgical training, various models created from
artificial materials that simulate biological tissues are used. The next stage in
training more experienced surgeons is to work with nonliving tissue models.
Microvascular training using live models is considered to be the most relevant
due to presence of the blood flow. Training on laboratory animals has high
indicators of face and constructive validity. One of the future directions in the
development of microsurgical techniques is the use of robotic systems. Robotic
systems may play a role in teaching future generations of microsurgeons. Modern
technologies allow access to highly accurate learning environments that are
extremely similar to real environment. Additionally, assessment of microsurgical
skills should become a fundamental part of the current evaluation of competence
within a microneurosurgical training program. Such an assessment tool could be
utilized to ensure a constant level of surgical competence within the
recertification process. It is important that this evaluation be based on
validated models.
PMID- 29796315
TI - The Influence of Video Game Training with and without Subpatelar Bandage in
Mobility and Gait Speed on Elderly Female Fallers.
AB - Objectives: The aim of the study was to investigate the effect of balance
training with Nintendo Wii technology, with and without the use of additional
sensory information (subpatellar bandage), in the functional mobility and gait
speed of elderly female fallers. Methods: Twenty elderly women were divided into
two groups: group I: trained with the use of the Nintendo Wii; group II: trained
using the Nintendo Wii and the addition of sensory information (subpatellar
bandage). The functional mobility was assessed with the Timed up and Go test
(TUG) and gait speed with the 10 m test. The tests were carried out with and
without the use of the subpatellar bandage. The training was carried out within
sessions of 30 minutes, twice a week, using three different games (Penguin Slide,
Table Tilt, and Tightrope). Results: There was an increase in the gait speed and
a decrease in the TUG time in both groups, independently of the sensory condition
used (p < 0.05). In the short term, the subpatellar bandage improved the TUG time
(p < 0.05) and the gait speed (p < 0.01). Conclusion: The training for postural
balance with virtual reality was effective for improving functional mobility and
gait speed of elderly female fallers. The subpatellar bandage did not maximize
the effect of training.
PMID- 29796317
TI - Anesthetic Implications for Cesarean Section in a Parturient with Complex
Congenital Cyanotic Heart Disease.
AB - The discordance between increased physiological demand during pregnancy and
congenital cardiac pathology of a parturient is a perilous threat to the maternal
fetal well-being. Early involvement of a multidisciplinary team is essential in
improving peripartum morbidity and mortality. Designing the most appropriate
anesthetic care will require a concerted effort, with inputs from the
obstetricians, obstetric and cardiac anesthesiologists, cardiologists,
neonatologists, and cardiothoracic surgeons. We report the multidisciplinary
peripartum care and anesthetic management for cesarean section (CS) of a 28-year
old primigravida who has partially corrected transposition of the great arteries,
atrial and ventricular septal defect, dextrocardia, right ventricle hypoplasia,
and tricuspid atresia.
PMID- 29796316
TI - Effect of N-Acetylcysteine on Dyslipidemia and Carbohydrate Metabolism in STZ
Induced Diabetic Rats.
AB - Background: Type 1 diabetes mellitus (T1DM) is characterized by insulin-deficient
production leading to hyperglycemia, which is associated with diabetic
complications such as cardiovascular diseases. Antioxidants have been proving a
good alternative to diabetic complications, with N-acetylcysteine (NAC) having
antioxidant characteristics. The aim of this study was to assess the effect of
NAC on the lipid profile and the atherogenic index (AI) in streptozotocin- (STZ-)
induced diabetic rats. Method: 32 male Wistar rats (60 days of age) weighting +/
250 g were randomly distributed into four groups (n = 8): CTRL: control rats;
CTRL+NAC: control rats treated with NAC; DM: diabetic rats; DM+NAC: diabetic rats
treated with NAC. T1DM was induced using STZ (60 mg/kg, ip; single dose), and NAC
(25 mg/kg/day) was administrated by gavage, for 37 days. The animals received
chow and water ad libitum. After the experimental period, blood and cardiac
tissue samples were collected to analyze energetic metabolism, lipid profile, and
AI. Results: NAC decreased (p < 0.01) glycemia, energy intake, carbohydrate, and
protein consumption in diabetic rats (DM+NAC), when compared with DM, while the
alimentary efficiency was improved (p < 0.01) in treated diabetic rats (DM+NAC).
Diabetic rats treated with NAC decreased (p < 0.01) lipid profile and AI in
diabetic rats (DM+NAC) when compared to DM. Conclusion: NAC improves lipid
profile and decreases AI in STZ-induced diabetic rats.
PMID- 29796318
TI - Transcatheter Aortic Valve Replacement Incidentalomas: A Multimodality Imaging
Case of Giant Right Coronary Aneurysm.
AB - Giant coronary artery aneurysms (CAAs) are defined as having a diameter of
greater than 2 cm. We report a case of an 82-year-old male with severe aortic
stenosis incidentally diagnosed with giant right coronary artery aneurysm (gRCAA)
while undergoing evaluation for transcather aortic valve replacement (TAVR). It
was causing a mass effect on the right cardiac chambers but was otherwise
asymptomatic. Our patient was successfully treated with surgical excision of
aneurysm with concomitant coronary artery bypass grafting (CABG) and surgical
aortic valve replacement (SAVR). The patient remained stable at discharge and on
serial follow-ups for two years. In conclusion, due to the associated
complication and increased risk of mortality with giant coronary aneurysms, we
recommend surgical approach instead of medical management alone. We also call for
evidence-based recommendations and guidelines for management of TAVR
incidentalomas.
PMID- 29796319
TI - Fifteen-Year Follow-Up of a Case of Surgical Retreatment of a Single Gingival
Recession.
AB - Purpose: The aim of the present case report was to describe the retreatment of
the single gingival recession in aesthetic area, in the presence of scar
formation and consequent impairment of aesthetic appearance. Methods: A young
patient with one single recession of 4 mm of 2.1 was treated with coronally
advanced flap and subepithelial connective tissue graft, through a microsurgical
approach that aimed at the removal of the scarred fibrous tissue. The
intervention was performed using a surgical microscope as a magnification device.
Results: Fifteen years after the surgical treatment, a substantial stable
resolution of the gingival recession could be observed. Moreover, a further
improvement of the aesthetic appearance could be observed. Conclusions: This case
report suggests that periodontal microsurgery could be an effective approach for
the retreatment of gingival recessions and, in long-term evaluation, to reduce
the aesthetic problem due to the presence of scar formation. Further studies with
a larger sample size are needed to better evaluate its efficacy.
PMID- 29796320
TI - Clinical and Radiographic Success of Selective Caries Removal to Firm Dentin in
Primary Teeth: 18-Month Follow-Up.
AB - The selective caries removal is increasingly spreading in daily clinical practice
because this minimally invasive technique treats deep carious lesion and
decreases the risk of pulp exposure. This case report was aimed at describing the
selective removal to firm dentin on the primary mandibular left first molar of a
girl aged 7 years and 6 months. The Mineral Trioxide Aggregate (MTA AngelusTM)
was used as liner, and the tooth was definitively restored with resin-modified
glass ionomer cement (VitremerTM). The clinical and radiographic following-up was
performed at 6, 12, and 18 months after treatment. The treatment showed
satisfactory results after 18-month following-up, suggesting that this minimally
invasive approach for carious lesion removal can replace the total removal, when
properly indicated. Notwithstanding, further randomized clinical trials with
longer following-up periods are still necessary.
PMID- 29796321
TI - Mistaken Diabetic Ulcers: A Case of Bilateral Foot Verrucous Carcinoma.
AB - Verrucous carcinoma (VC) is a rare, low-grade, and well-differentiated variant of
squamous cell carcinoma. These tumors are slow-growing and exophytic and have a
negligible incidence of metastasis. Treatment is complete surgical resection,
ideally by Mohs micrographic surgery, to ensure adequate clear margins. Cutaneous
VC predominantly occurs on the plantar surface of the foot and rarely occurs in
multiple sites. This case study describes the fourth reported occurrence of
bilateral VC of the feet in a woman with chronic diabetic foot ulcers. The case
provides further support for persistent wounds contributing to the development of
this lesion and describes their role in the characteristic delay in diagnosis of
VC.
PMID- 29796322
TI - A Supernumerary Nipple-Like Clinical Presentation of Lymphangioma Circumscriptum.
AB - Lymphangioma circumscriptum is a superficially localized variant of lymphangioma.
The characteristic clinical presentation is a "frogspawn" grouping of vesicles or
papulovesicles on the proximal limb or limb girdle areas. Though most
lymphangiomas develop congenitally, the lymphangioma circumscriptum subtype is
known to present in adults. We report a case of lymphangioma circumscriptum on
the left inframammary area of an African American female with an unusual
supernumerary nipple-like clinical presentation. Our patient presented with a
firm, smooth, hypopigmented papule, and the clinical diagnosis of keloid was made
initially. However, she returned reporting growth of the lesion and was noted to
have a firm, exophytic, lobulated, pink to skin-colored nodule. Histopathological
examination demonstrated dilated lymphatic vessels, consistent with the diagnosis
of lymphangioma. The presentation as a firm, hypopigmented papule and later
exophytic, lobulated, skin-colored nodule in our case represents a clinical
presentation of lymphangioma circumscriptum not previously described in the
literature. Correct diagnosis in lymphangioma circumscriptum is vital, as
recurrence following surgical resection and secondary development of
lymphangiosarcoma and squamous cell carcinoma following treatment with radiation
have been reported. Thus, it is important to consider lymphangioma circumscriptum
in the differential of similar lesions in the future to allow appropriate
diagnosis, treatment, and monitoring.
PMID- 29796323
TI - Identification of a Novel Mutation in a Family with Pseudohypoparathyroidism Type
1a.
AB - Introduction: Pseudohypoparathyroidism type 1a is caused by GNAS mutations
leading to target organ resistance to multiple hormones rather than parathyroid
hormone, resulting not only in hypocalcemia, but also in Albright's hereditary
osteodystrophy phenotype. Materials and Methods: DNA sequencing of the GNAS gene
identified a novel heterozygous mutation in peripheral blood leukocytes in the
family presented in this case report. Results: We present a case of a 25-year-old
woman with pseudohypoparathyroidism type 1a admitted with seizures, whose family
presents an autosomal dominant transmission of a novel heterozygous GNAS mutation
(c.524_530+3del). Conclusion: Pseudohypoparathyroidism type 1a is mostly caused
by inactivating GNAS mutations that have been gradually reported in the
literature that lead to a typical and complex clinical phenotype and resistance
to multiple hormones. The deletion caused by the mutation identified in the
presented case has not been reported previously.
PMID- 29796324
TI - A Case of Pneumothorax after Treatment with Lenvatinib for Anaplastic Thyroid
Cancer with Lung Metastasis.
AB - A 63-year-old man was diagnosed with multiple lung metastases from anaplastic
thyroid cancer and received lenvatinib. Follow-up computed tomography on day 34
of lenvatinib treatment showed pneumothorax. The pneumothorax was temporarily
improved with chest drainage. However, pleurodesis was performed to treat a
relapse of the pneumothorax. Pneumothorax during chemotherapy for a malignant
tumor is considered a relatively rare complication. This case is the first
documentation that pneumothorax may develop during lenvatinib treatment. The
possible development of pneumothorax should be considered when lenvatinib is used
in patients with lung metastasis.
PMID- 29796325
TI - Patient with Marfan Syndrome and a Novel Variant in FBN1 Presenting with
Bilateral Popliteal Artery Aneurysm.
AB - We present a 43-year-old man with aortic root dilation, mitral valve prolapse,
and marfanoid appearance, who presented with acute onset left leg pain. He
underwent a Doppler ultrasound that revealed left popliteal artery aneurysm with
thrombus. CT angiogram showed bilateral popliteal artery aneurysms. After
repairing of his left popliteal artery aneurysm, he was sent for genetic
evaluation. He was diagnosed with Marfan syndrome (MFS) based on the revised
Ghent criteria and then underwent FBN1 sequencing and deletion/duplication
analysis, which detected a novel pathogenic variant in gene FBN1, denoted by
c.5872 T>A (p.Cys1958Ser). MFS is a connective tissue disorder with an autosomal
dominant inheritance due to pathogenic variants in FBN1 that encodes Fibrillin-1,
a major element of the extracellular matrix, and connective tissue throughout the
body. MFS involves multiple systems, most commonly the cardiovascular,
musculoskeletal, and visual systems. In our case we present a rare finding of
bilateral popliteal artery aneurysms in a male patient with MFS.
PMID- 29796326
TI - Castleman's Disease and Posttransplant Lymphoproliferative Disorder after Liver
Transplant: 3-Year Follow-Up.
AB - A 59-year-old male with a history of hepatitis C cirrhosis and history of
hepatitis B exposure presented 8 months after orthotopic liver transplant (LT)
with fever, fatigue, myalgia, night sweats, nonproductive cough, and shortness of
breath. Bone marrow biopsy for pancytopenia was positive for Epstein-Barr virus
(EBV) DNA. Lymph node biopsy for lymphadenopathy on imaging showed human herpes
virus 8 (HHV8) associated Castleman's disease. Treatment included valganciclovir,
rituximab, and prednisone taper with eventual discontinuation. Quantitative HHV8
DNA was initially 611,000 DNA copies/mL and was later undetectable at 6 months
following treatment and remained undetectable at 3-year follow-up.
PMID- 29796327
TI - Effective Immunotherapy in Bone Marrow Metastatic Melanoma Presenting with
Disseminated Intravascular Coagulopathy.
AB - Malignant melanoma is responsible for the majority of skin cancer deaths and is
increasing in prevalence. Bone marrow (BM) involvement by melanoma is rare in the
absence of widespread visceral disease. Here, we report the case of a 30-year-old
female who presented to the hospital with back pain, low-grade fever, and easy
bruising. She was found to be bicytopenic and in disseminated intravascular
coagulopathy (DIC). Surprisingly, BM biopsy showed extensive involvement by
metastatic malignant melanoma in the absence of visceral or brain metastasis. The
unique presentation of this case and the challenge of management of a potentially
treatable cancer in a critically ill patient are discussed, alongside a review of
published cases of metastatic melanoma in the BM and an exploration of currently
available treatment options. The excellent response of our patient to combined
immune checkpoint inhibitors has yet to be paralleled in the available
literature.
PMID- 29796328
TI - Upper Extremity Compartment Syndrome in a Patient with Acute Gout Attack but
without Trauma or Other Typical Causes.
AB - We report the case of a 30-year-old Polynesian male with a severe gout flare of
multiple joints and simultaneous acute compartment syndrome (ACS) of his right
forearm and hand without trauma or other typical causes. He had a long history of
gout flares, but none were known to be associated with compartment syndrome. He
also had concurrent infections in his right elbow joint and olecranon bursa. A
few days prior to this episode of ACS, high pain and swelling occurred in his
right upper extremity after a minimal workout with light weights. A similar
episode occurred seven months prior and was attributed to a gout flare. Unlike
past flares that resolved with colchicine and/or anti-inflammatory medications,
his current upper extremity pain/swelling worsened and became severe. Hand and
forearm fasciotomies were performed. Workup included general medicine,
rheumatology and infectious disease consultations, myriad blood tests, and
imaging studies including Doppler ultrasound and CT angiography. Additional
clinical history suggested that he had previously unrecognized recurrent
exertional compartment syndrome that led to the episode of ACS reported here.
Chronic exertional compartment syndrome (CECS) presents a difficult diagnosis
when presented with multiple symptoms concurrently. This case provides an example
of one such diagnosis.
PMID- 29796329
TI - Ectopic Intratracheal Thyroid: A Rare Cause of Airway Obstruction.
AB - Ectopic intratracheal thyroid tissue (EITT) is a rare abnormality with only
limited cases reported so far. The presenting symptoms can be very similar to
those of bronchial asthma. We discuss the case of a 29-year-old man with
subglottic ectopic thyroid, with a history of thyroid surgery for goiter, which
has been managed with laser-assisted endoscopic approach. We have also included
presenting symptoms, pathophysiology, diagnosis, and management of EITT. We aim
to include EITT in the differentials of airway obstruction, particularly in those
patients who have goiter or previous thyroid surgeries.
PMID- 29796330
TI - Combined Surgical Approach for Obstructive Sleep Apnea Patient.
AB - Obstructive sleep apnea (OSA) is a disease that is associated with high morbidity
and mortality and can significantly impact the quality of life in a patient. OSA
is strongly associated with obesity, and literature showed that weight loss will
lead to improvement in OSA. The gold standard treatment for OSA is continuous
positive airway pressure (CPAP). However, other methods of treatment are
available. One of these methods is multilevel sleep surgery (MLS). Literature
showed that bariatric surgery can also improve OSA. A common question is which
surgical procedure of these two should be performed first. We present a 5-year
follow-up of a patient who underwent simultaneously bariatric surgery and MLS.
His apnea-hypopnea index (AHI) decreased from 53 episodes per hour to 5.2 per
hour within the first 18 months, which was measured via a level 3
polysomnography. Five years after the surgery, a repeat level 3 polysomnography
showed an AHI of 6.8 episodes per hour, and the patient is asymptomatic. The
patient maintained his weight and did not use CPAP after the combined surgery
during the five-year period.
PMID- 29796331
TI - A Rare Incidental Finding of a Foreign Body in the Nasopharynx during
Adenotonsillectomy.
AB - Diverse foreign bodies may become lodged in the aerodigestive tract, and the
discovery of such foreign bodies is an expected scenario for health-care
practitioners. The foreign body insertion may be accidental or deliberate, and
the object may be organic or inorganic. Most accidental foreign body aspirations
occur in children, and some such cases are potential threats that go unnoticed.
Very few cases of foreign bodies in the nasopharynx have been reported. Herein,
we describe an unusual case in which a foreign body in a child's nasopharynx went
unnoticed for 1 year and was detected intraoperatively.
PMID- 29796332
TI - Ileocecal Fistula Caused by Multiple Foreign Magnetic Bodies Ingestion.
AB - The incidence of accidental foreign body (FBs) ingestion is 100,000 cases/year in
the US, with over than 80% of cases occurring in children below 5 years of age.
Although a single FB may pass spontaneously and uneventfully through the
digestive tract, the ingestion of multiple magnetics can cause serious morbidity
due to proximate attraction through the intestinal wall. Morbidity and mortality
depend on a prompt and correct diagnosis which is often difficult and delayed due
to the patient's age and because the accidental ingestion may go unnoticed. We
report our experience in the treatment of an 11-year-old child who presented to
the emergency department with increasing abdominal pain, vomiting, diarrhea, and
fever. Surgery evidenced an ileocecal fistula secondary to multiple magnetic FB
ingestion with attraction by both sides of the intestinal wall. A 5-centimeter
ileal resection was performed, and the cecal fistula was closed with a
longitudinal manual suture. The child was discharged at postoperative day 8.
After one year, the patient's clinical condition was good.
PMID- 29796333
TI - Transanal Drainage of Coloanal Anastomotic Leaks.
AB - The conventional operative intervention for leaks following coloanal anastomoses
has been proximal fecal diversion with or without take-down of anastomosis. A few
of these cases are also amenable to percutaneous drainage. Ostomies created in
this situation are often permanent, specifically in cases where coloanal
anastomoses are taken down at the time of reoperation. We present two patients
who developed perianastomotic pelvic abscesses that were treated with transanal
large bore catheter drainage resulting in successful salvage of coloanal
anastomoses without the need for a laparotomy or ostomy creation. We propose this
to be an effective therapeutic approach to leaks involving low coloanal
anastomoses in the absence of generalized peritonitis.
PMID- 29796334
TI - The Effect of Comorbidities and Age on Functional Outcomes After Total Knee
Arthroplasty in the Octogenarian: A Matched Cohort Study.
AB - Introduction: According to the World Health Organization data repository, the
average life expectancy at birth for Singapore in 2015 has risen to 83.4 years,
and many octogenarians (OG) remain active socioeconomically. The aim of this
study is to compare the improvement and the impact of comorbidities on functional
recovery after total knee arthroplasty (TKA) between OG and their younger
counterparts. Methods: This is a retrospective study of prospectively collected
data from a single institution arthroplasty register. Between January 2006 and
December 2011, 209 OG with primary knee osteoarthritis underwent TKA. Each OG
(mean age 82 +/- 2.1) was then carefully matched to a younger control group (YG,
mean age 66 +/- 4.5). Their postoperative outcomes measured include Oxford Knee
Score (OKS), SF36-Physical Function (SF-PF), and knee society rating score
comprising of Knee Score (KS) and Function Score (FS). Their respective degrees
of improvement were compared and adjusted for their baseline comorbidities,
measured using the Deyo-Charlson Comorbidity Index (D-CCI). Results: There were
more comorbidities among the OG (P < .05). Both groups saw the largest
improvement (P < .05) during the first 6 months. There was no statistical
significance between their improvement for OKS, KS, and FS between baseline and 6
months and OKS, FS, and SF-PF between 6 and 24 months. Adjusted for D-CCI using
linear regression, the above results remained largely unchanged. Discussion: In
our study, both groups showed significant improvement across all functional
outcomes, especially during the first 6 months. The improvement observed in OG at
6 and 24 months was comparable to that of YG, despite an overall higher baseline
D-CCI. Conclusions: Total knee arthroplasty is a viable treatment option for the
OG, offering good functional outcomes and results at 6 and 24 months when
compared to their younger counterparts.
PMID- 29796335
TI - TALEN-Mediated FLAG-Tagging of Endogenous Histone Methyltransferase DOT1L.
AB - Histone modification including H3 lysine 79 methylation (H3K79me) plays a key
role during gene transcription and DNA damage repair. DOT1L, the sole
methyltransferase for three states of H3K79me, is implicated in leukemia, co
lorectal cancer, and dilated cardiomyopathy. However, understanding of DOT1L and
H3K79me in these pathways and disease pathogenesis has been limited due to the
difficulty of working with DOT1L protein. For instance, locus-specific or genome
wide binding sites of DOT1L revealed by chromatin immunoprecipitation (ChIP)
based methods are necessary for inferring its functions, but high-quality ChIP
grade antibodies are currently not available. Herein we have developed a knock-in
approach to tag endogenous DOT1L with 3 * Flag at its C-terminal domain to follow
functional analyses. The knock-in was facilitated by using TALENs to induce a
targeted double-strand break at the endogenous DOTIL to stimulate local
homologous recombination at that site. The single cell colonies with successful
knock-in were isolated and verified by different methods. We also demonstrated
that tagged DOT1L maintains its normal function in terms of methylation and that
the engineered cells would be very useful for further studies.
PMID- 29796336
TI - Fair Inference on Outcomes.
AB - In this paper, we consider the problem of fair statistical inference involving
outcome variables. Examples include classification and regression problems, and
estimating treatment effects in randomized trials or observational data. The
issue of fairness arises in such problems where some covariates or treatments are
"sensitive," in the sense of having potential of creating discrimination. In this
paper, we argue that the presence of discrimination can be formalized in a
sensible way as the presence of an effect of a sensitive covariate on the outcome
along certain causal pathways, a view which generalizes (Pearl 2009). A fair
outcome model can then be learned by solving a constrained optimization problem.
We discuss a number of complications that arise in classical statistical
inference due to this view and provide workarounds based on recent work in causal
and semi-parametric inference.
PMID- 29796337
TI - Understanding metabolic changes in aging bone marrow.
AB - Background: Aging is associated with complex molecular alterations at the
cellular level. Bone marrow exhibits distinct phenotypic, genetic and epigenetic
alterations with aging. Metabolic changes in the bone marrow related to aging
have not been studied. Methods: In this study, we characterized the metabolome
and transcriptome of aging murine bone marrow and compared it with bone marrow
from young healthy mice and chemotherapy treated mice; chemotherapy treatment is
known to induce age-related changes in hematopoiesis. Results: The metabolome of
the aging bone marrow exhibited a signature of suppressed fatty-acid oxidation:
accumulation of free fatty acids, reduced acyl-carnitines and low beta-hydroxy
butyric acid. The aged bone marrow also exhibited a significant reduction in
amino acid and nucleic acid pool. The transcriptome of the aging bone marrow
revealed a signature of oxidative stress, known to be associated with
mitochondrial dysfunction. Lastly, the metabolic and transcriptomic profiles of
the bone marrow of chemotherapy treated mice did not show broad age-related
changes but rather mostly resembled young healthy mice, suggestive of a lack of
'metabolic aging' with chemotherapy exposure. Conclusion: Our results revealed
broad changes in lipids, amino acids, and nucleotides in aging marrow tissue.
Together, these data provide a rich resource for the study of metabolic changes
associated with aging in bone marrow.
PMID- 29796338
TI - Tai Chi for Reducing Dual-task Gait Variability, a Potential Mediator of Fall
Risk in Parkinson's Disease: A Pilot Randomized Controlled Trial.
AB - Objectives: To assess the feasibility and inform design features of a fully
powered randomized controlled trial (RCT) evaluating the effects of Tai Chi (TC)
in Parkinson's disease (PD) and to select outcomes most responsive to TC assessed
during off-medication states. Design: Two-arm, wait-list controlled RCT.
Settings: Tertiary care hospital. Subjects: Thirty-two subjects aged 40-75
diagnosed with idiopathic PD within 10 years. Interventions: Six-month TC
intervention added to usual care (UC) versus UC alone. Outcome Measures: Primary
outcomes were feasibility-related (recruitment rate, adherence, and compliance).
Change in dual-task (DT) gait stride-time variability (STV) from baseline to 6
months was defined, a priori, as the clinical outcome measure of primary
interest. Other outcomes included: PD motor symptom progression (Unified
Parkinson's Disease Rating Scale [UPDRS]), PD-related quality of life (PDQ-39),
executive function (Trail Making Test), balance confidence (Activity-Specific
Balance Confidence Scale, ABC), and Timed Up and Go test (TUG). All clinical
assessments were made in the off-state for PD medications. Results: Thirty-two
subjects were enrolled into 3 sequential cohorts over 417 days at an average rate
of 0.08 subjects per day. Seventy-five percent (12/16) in the TC group vs 94%
(15/16) in the UC group completed the primary 6-month follow-up assessment. Mean
TC exposure hours overall: 52. No AEs occurred during or as a direct result of TC
exercise. Statistically nonsignificant improvements were observed in the TC group
at 6 months in DT gait STV (TC [20.1%] vs UC [-0.1%] group [effect size 0.49; P =
.47]), ABC, TUG, and PDQ-39. UPDRS progression was modest and very similar in TC
and UC groups. Conclusions: Conducting an RCT of TC for PD is feasible, though
measures to improve recruitment and adherence rates are needed. DT gait STV is a
sensitive and logical outcome for evaluating the combined cognitive-motor effects
of TC in PD.
PMID- 29796339
TI - Evaluation of Cytotoxic and Genotoxic Effects of Extremely Low-frequency
Electromagnetic Field on Mesenchymal Stromal Cells.
AB - Background: Interest in the use of extremely low-frequency (ELF) electromagnetic
field (EMF) for the treatment of pain and inflammation is increasing due to the
ability of this promising therapy to compete with pharmaceuticals without the
adverse effects caused by drugs. However, there continues to be concerns
regarding cytotoxic and genotoxic effects that may occur as a result of exposure
to EMF. Objective: To investigate this concern, we tested the effect of our known
therapeutic 5 Hz, 0.4 milliTesla (mT) EMF on a human mesenchymal stromal cell
(hMSC) line to determine whether ELF-EMF exposure would cause cytotoxic or
genotoxic effects. Methods: Treated samples along with controls were exposed to 5
Hz, 0.4 mT ELF-EMF for 20 min/day, 3*/week for 2 weeks and then assayed for cell
viability, proliferation rates, and chromosome breaks. Results: Cytogenetic
analysis of the viability and proliferation rates along with analysis of
morphological genome stability showed no cytotoxicity, and no chromosome breaks
per karyotype analysis-therefore no genotoxicity. Conclusion: Exposure to an ELF
EMF of 5 Hz, 0.4 mT for 20 min/day, 3*/week for 2 weeks does not cause cytotoxic
or genotoxic effects in hMSCs.
PMID- 29796340
TI - The Independent Specialty Medical Advocate Model of Patient Navigation and
Intermediate Health Outcomes in Newly Diagnosed Cancer Patients.
AB - Background: Navigation programs are generally characterized as providing patient
centered support and guidance intended to help patients and family members
overcome barriers such as timely diagnosis resolution, patient satisfaction,
coping with primary and adjuvant treatment, management of side effects, and
patient engagement in the healthcare process. The aim of this study was to
examine the associations between the Independent Specialty Medical Advocate
(ISMA) model of patient navigation and intermediate patient health outcomes for
newly diagnosed cancer patients. Methods: A pre-post intervention study was
conducted in 26 newly diagnosed cancer patients recruited from a national
partnership between the LIVESTRONG Cancer Navigation Service Program and the
NavigateCancer Foundation between April 2013 and December 2015. Participants
received a 1-hour initial telephone consultation, and then a navigation care plan
was developed for the 6-week study period. A paired t test was conducted to
assess changes in intermediate health outcomes at baseline and 6 weeks after
study intervention. Results: The majority of study participants were males (62%),
married (50%), and Caucasian (69%). Overall, there was a statistically
significant reduction in anxiety at 6 weeks postintervention (mean, 2.48; SD,
0.62; P <.05) compared with baseline (mean, 2.92; SD, 0.82) and in depression at
6 weeks postintervention (mean, 2.00; SD, 0.81; P <.05) compared with baseline
(mean, 2.45; SD, 0.19). Conclusion: The ISMA model of patient navigation appears
to be associated with significant reduction in anxiety and depression. Further
studies are needed to evaluate the ISMA model of patient navigation on long-term
patient outcomes.
PMID- 29796341
TI - Tetrapod tracks in Permo-Triassic eolian beds of southern Brazil (Parana Basin).
AB - Tetrapod tracks in eolianites are widespread in the fossil record since the late
Paleozoic. Among these ichnofaunas, the ichnogenus Chelichnus is the most
representative of the Permian tetrapod ichnological record of eolian deposits of
Europe, North America and South America, where the Chelichnus Ichnofacies often
occurs. In this contribution, we describe five sets of tracks (one of which is
preserved in cross-section), representing the first occurrence of Dicynodontipus
and Chelichnus in the "Piramboia Formation" of southern Brazil. This unit
represents a humid desert in southwestern Pangea and its lower and upper contacts
lead us to consider its age as Lopingian-Induan. The five sets of tracks studied
were compared with several ichnotaxa and body fossils with appendicular elements
preserved, allowing us to attribute these tracks to dicynodonts and other
indeterminate therapsids. Even though the "Piramboia Formation" track record is
sparse and sub-optimally preserved, it is an important key to better understand
the occupation of arid environments by tetrapods across the Permo-Triassic
boundary.
PMID- 29796342
TI - Effect of elevated temperature on membrane lipid saturation in Antarctic
notothenioid fish.
AB - Homeoviscous adaptation (HVA) is a key cellular response by which fish protect
their membranes against thermal stress. We investigated evolutionary HVA (long
time scale) in Antarctic and non-Antarctic fish. Membrane lipid composition was
determined for four Perciformes fish: two closely related Antarctic notothenioid
species (Trematomus bernacchii and Pagothenia borchgrevinki); a diversified
related notothenioid Antarctic icefish (Chionodraco hamatus); and a New Zealand
species (Notolabrus celidotus). The membrane lipid compositions were consistent
across the three Antarctic species and these were significantly different from
that of the New Zealand species. Furthermore, acclimatory HVA (short time periods
with seasonal changes) was investigated to determine whether stenothermal
Antarctic fish, which evolved in the cold, stable environment of the Southern
Ocean, have lost the acclimatory capacity to modulate their membrane saturation
states, making them vulnerable to anthropogenic global warming. We compared liver
membrane lipid composition in two closely related Antarctic fish species
acclimated at 0 degrees C (control temperature), 4 degrees C for a period of 14
days in T. bernacchii and 28 days for P. borchgrevinki, and 6 degrees C for 7
days in both species. Thermal acclimation at 4 degrees C did not result in
changed membrane saturation states in either Antarctic species. Despite this,
membrane functions were not compromised, as indicated by declining serum
osmolality, implying positive compensation by enhanced hypo-osmoregulation.
Increasing the temperature to 6 degrees C did not change the membrane lipids of
P. borchgrevinki. However, in T. bernacchii, thermal acclimation at 6 degrees C
resulted in an increase of membrane saturated fatty acids and a decline in
unsaturated fatty acids. This is the first study to show a homeoviscous response
to higher temperatures in an Antarctic fish, although for only one of the two
species examined.
PMID- 29796343
TI - Larval exposure to the neonicotinoid imidacloprid impacts adult size in the
farmland butterfly Pieris brassicae.
AB - Populations of farmland butterflies have been suffering from substantial
population declines in recent decades. These declines have been correlated with
neonicotinoid usage both in Europe and North America but experimental evidence
linking these correlations is lacking. The potential for non-target butterflies
to be exposed to trace levels of neonicotinoids is high, due to the widespread
contamination of agricultural soils and wild plants in field margins. Here we
provide experimental evidence that field realistic, sub-lethal exposure to the
neonicotinoid imidacloprid negatively impacts the development of the common
farmland butterfly Pieris brassicae. Cabbage plants were watered with either 0,
1, 10, 100 or 200 parts per billion imidacloprid, to represent field margin
plants growing in contaminated agricultural soils and these were fed to P.
brassicae larvae. The approximate digestibility (AD) of the cabbage as well as
behavioural responses by the larvae to simulated predator attacks were measured
but neither were affected by neonicotinoid treatment. However, the duration of
pupation and the size of the adult butterflies were both significantly reduced in
the exposed butterflies compared to the controls, suggesting that adult fitness
is compromised through exposure to this neonicotinoid.
PMID- 29796344
TI - Effects of sandfish (Holothuria scabra) removal on shallow-water sediments in
Fiji.
AB - Sea cucumbers play an important role in the recycling and remineralization of
organic matter (OM) in reef sands through feeding, excretion, and bioturbation
processes. Growing demand from Asian markets has driven the overexploitation of
these animals globally. The implications of sea cucumber fisheries for shallow
coastal ecosystems and their management remain poorly understood. To address this
knowledge gap, the current study manipulated densities of Holothuria scabra
within enclosures on a reef flat in Fiji, between August 2015 and February 2016,
to study the effects of sea cucumber removal on sedimentary function as a
biocatalytic filter system. Three treatments were investigated: (i) high density
(350 g m-2 wet weight; ca. 15 individuals); (ii) natural density (60 g m-2; ca. 3
individuals); and (iii) exclusion (0 g m-2). Quantity of sediment reworked
through ingestion by H. scabra, grain size distribution, O2 penetration depth,
and sedimentary oxygen consumption (SOC) were quantified within each treatment.
Findings revealed that the natural population of H. scabra at the study site can
rework ca. 10,590 kg dry sediment 1,000 m-2 year-1; more than twice the turnover
rate recorded for H. atra and Stichopus chloronotus. There was a shift towards
finer fraction grains in the high treatment. In the exclusion treatment, the O2
penetration depth decreased by 63% following a 6 degrees C increase in water
temperature over the course of two months, while in the high treatment no such
change was observed. SOC rates increased ca. two-fold in the exclusion treatment
within the first month, and were consistently higher than in the high treatment.
These results suggest that the removal of sea cucumbers can reduce the capacity
of sediments to buffer OM pulses, impeding the function and productivity of
shallow coastal ecosystems.
PMID- 29796345
TI - Diagnostic accuracy of Onen's Alternative Grading System combined with Doppler
evaluation of ureteral jets as an alternative in the diagnosis of obstructive
hydronephrosis in children.
AB - Introduction: Ureteropelvic junction obstruction (UPJO) is a common congenital
anomaly leading to varying degrees of hydronephrosis (HN), ranging from no
apparent effect on the renal function to atrophy. Evaluation of these children is
based on Diuretic Renal Scintigraphy (DRS) and Ultrasonography (US). Recent
studies have suggested that new parameters of conventional and color Doppler
ultrasonography (CDUS) may be useful in discriminating which kidneys are
obstructed. The present study aims to assess the diagnostic accuracy of such
parameters in the diagnosis of obstruction in children with UPJO. Methods: We
evaluated 44 patients (33 boys) with a mean age of 6.53 +/- 4.39 years diagnosed
with unilateral high-grade hydronephrosis (SFU grades 3 and 4). All underwent DRS
and index tests (conventional US and CDUS to evaluate ureteral jets frequency)
within a maximum interval of two weeks. Hydronephrotic units were reclassified
according to the alternative grading system (AGS) proposed by Onen et al.
Obstruction in the DRS was defined as a differential renal function <40% on the
affected side and/or features indicating poor drainage function like T1/2 >20
minutes after the administration of furosemide, and a plateau or ascending
pattern of the excretion curve. Results: Nineteen hydronephrotic units (43.1%)
were obstructed. Some degree of cortical atrophy-grades 3 (segmental) or 4
(diffuse)-was present in those obstructed units. AGS grades had 100% sensitivity,
76% of specificity and 86.4% of accuracy. The absence of ureteral jets had a
sensitivity of 73.68%, a specificity of 100% with an accuracy of 88.6%. When we
analyzed the two aspects together and considered obstructed the renal units
classified as AGS grade 3 or 4 with no jets, sensitivity increased to 78.9%,
accuracy to 92%, remaining with a maximum specificity of 100%. These features
combined would allow us to avoid performing DRS in 61% of our patients, leaving
more invasive tests to inconclusive cases. Conclusions: Although DRS remains the
mainstay to distinguishing obstructive from non-obstructive kidneys, grade of
hydronephrosis and frequency of ureteral jets, independently or in combination
may be a reliable alternative in the mostly cases.This alternative approach has
high accuracy, it is less invasive, easily reproducible and may play a role in
the diagnosis of obstruction in pediatric population.
PMID- 29796346
TI - Association of epilepsy and asthma: a population-based retrospective cohort
study.
AB - Background: Epidemiologic data supporting the epilepsy-asthma association are
insufficient. Therefore, we examined this association in this study. Methods: By
using claims data from the National Health Insurance Research Database (Taiwan),
we executed a retrospective cohort analysis. Analysis 1 entailed comparing
150,827 patients diagnosed as having incident asthma during 1996-2013 with
disease-free controls who were selected randomly during the same period,
frequency matched in terms of age and sex. Similarly, analysis 2 entailed
comparing 25,274 patients newly diagnosed as having epilepsy with sex- and age
matched controls who were selected randomly. At the end of 2013, we evaluated in
analysis 1 the epilepsy incidence and risk and evaluated in analysis 2 the asthma
incidence and risk. We applied Kaplan-Meier analysis to derive plots of the
proportion of asthma-free seizures. Results: In analysis 1, the asthma group
exhibited a higher epilepsy incidence than did the control group (3.05 versus
2.26 per 1,000 person-years; adjusted hazard ratio: 1.39, 95% CI [1.33-1.45]). We
also noted a greater risk of subsequent epilepsy in women and girls. In analysis
2, we determined that the asthma incidence between the control and epilepsy
groups did not differ significantly; however, some age subgroups including
children and individuals in their 30s had an increased risk. A negative
association was found in adolescents. The Kaplan-Meier analysis revealed epilepsy
to be positively associated with subsequent onset of asthma within seven years of
epilepsy diagnosis. Discussion: Asthma may be associated with high epilepsy risk,
and epilepsy may be associated with high asthma risk among children and
individuals in their 30s. Nevertheless, people with epilepsy in other age
subgroups should be aware of the possibility of developing asthma within seven
years of epilepsy diagnosis.
PMID- 29796348
TI - A quantitative approach to determine the taxonomic identity and ontogeny of the
pycnodontiform fish Pycnodus (Neopterygii, Actinopterygii) from the Eocene of
Bolca Lagerstatte, Italy.
AB - Background: The pycnodontiform fish Pycnodus is one of the representatives of the
highly diverse actinopterygian fish fauna from the early Eocene Bolca
Lagerstatte, representing one of the youngest and thus last occurrences of this
extinct neopterygian clade. This genus has historically been used as a
wastebasket taxon in regards to poorly known pycnodontiform fossils. Authors have
argued over the specific status of the Bolca Lagerstatte Pycnodus in terms of how
many species are contained within the genus with some arguing for multiple
species and others suggesting lumping all Bolca specimens together into one
species. Methods: Here, we use a quantitative approach performing biometric and
geometric morphometric analyses on 52 specimens of Pycnodus in order to determine
if the morphological variability within the sample might be related to inter- or
intraspecific variation. Results: The analyses revealed that the variations of
body shape, morphometric and meristic characters cannot be used to distinguish
different morphotypes. On the contrary, our results show a remarkable link
between shape and size, related to ontogeny. Discussion: Differences in body
shape of small (juvenile) and large (adult) individuals is probably related to
different microhabitats occupation on the Bolca reef with juveniles sheltering
within crevices on the reef and adults being more powerful swimmers that swim
above the coral. Taxonomically, we suggest that the Bolca Pycnodus should be
referred to strictly as Pycnodus apodus as this was the name given to the
holotype. Additionally, an overview of species assigned to Pycnodus is given.
PMID- 29796347
TI - Helicobacter pylori genetic diversification in the Mongolian gerbil model.
AB - Helicobacter pylori requires genetic agility to infect new hosts and establish
long-term colonization of changing gastric environments. In this study, we
analyzed H. pylori genetic adaptation in the Mongolian gerbil model. This model
is of particular interest because H. pylori-infected gerbils develop a high level
of gastric inflammation and often develop gastric adenocarcinoma or gastric
ulceration. We analyzed the whole genome sequences of H. pylori strains cultured
from experimentally infected gerbils, in comparison to the genome sequence of the
input strain. The mean annualized single nucleotide polymorphism (SNP) rate per
site was 1.5e-5, which is similar to the rates detected previously in H. pylori
infected humans. Many of the mutations occurred within or upstream of genes
associated with iron-related functions (fur, tonB1, fecA2, fecA3, and frpB3) or
encoding outer membrane proteins (alpA, oipA, fecA2, fecA3, frpB3 and cagY). Most
of the SNPs within coding regions (86%) were non-synonymous mutations. Several
deletion or insertion mutations led to disruption of open reading frames,
suggesting that the corresponding gene products are not required or are
deleterious during chronic H. pylori colonization of the gerbil stomach. Five
variants (three SNPs and two deletions) were detected in isolates from multiple
animals, which suggests that these mutations conferred a selective advantage. One
of the mutations (FurR88H) detected in isolates from multiple animals was
previously shown to confer increased resistance to oxidative stress, and we now
show that this SNP also confers a survival advantage when H. pylori is co
cultured with neutrophils. Collectively, these analyses allow the identification
of mutations that are positively selected during H. pylori colonization of the
gerbil model.
PMID- 29796350
TI - I understand you feel that way, but I feel this way: the benefits of I-language
and communicating perspective during conflict.
AB - Using hypothetical scenarios, we provided participants with potential opening
statements to a conflict discussion that varied on I/you language and
communicated perspective. Participants rated the likelihood that the recipient of
the statement would react in a defensive manner. Using I-language and
communicating perspective were both found to reduce perceptions of hostility.
Statements that communicated both self- and other-perspective using I-language
(e.g. 'I understand why you might feel that way, but I feel this way, so I think
the situation is unfair') were rated as the best strategy to open a conflict
discussion. Simple acts of initial language use can reduce the chances that
conflict discussion will descend into a downward spiral of hostility.
PMID- 29796351
TI - Multimodal Imaging in Extratemporal Epilepsy Surgery.
AB - Neuroimaging is crucial for the evaluation of patients considered for resective
epilepsy surgery. Multimodal image fusion is a new tool to integrate all
available localizing information on the individual epileptogenic network in a
three-dimensional (3D) manner to plan invasive EEG recordings and delineate the
epileptogenic zone from the eloquent cortex for the neurosurgical planning of a
tailored resection. Here, we illustrate the multimodal fusion of images from
different modalities in a patient with medically intractable non-lesional frontal
lobe epilepsy who underwent partial frontal lobe resection, rendering him seizure
free.
PMID- 29796349
TI - Fitness consequences of fish circadian behavioural variation in exploited marine
environments.
AB - The selective properties of fishing that influence behavioural traits have
recently gained interest. Recent acoustic tracking experiments have revealed
between-individual differences in the circadian behavioural traits of marine free
living fish; these differences are consistent across time and ecological contexts
and generate different chronotypes. Here, we hypothesised that the directional
selection resulting from fishing influences the wild circadian behavioural
variation and affects differently to individuals in the same population differing
in certain traits such as awakening time or rest onset time. We developed a
spatially explicit social-ecological individual-based model (IBM) to test this
hypothesis. The parametrisation of our IBM was fully based on empirical data;
which represent a fishery formed by patchily distributed diurnal resident fish
that are exploited by a fleet of mobile boats (mostly bottom fisheries). We ran
our IBM with and without the observed circadian behavioural variation and
estimated selection gradients as a quantitative measure of trait change. Our
simulations revealed significant and strong selection gradients against early
riser chronotypes when compared with other behavioural and life-history traits.
Significant selection gradients were consistent across a wide range of fishing
effort scenarios. Our theoretical findings enhance our understanding of the
selective properties of fishing by bridging the gaps among three traditionally
separated fields: fisheries science, behavioural ecology and chronobiology. We
derive some general predictions from our theoretical findings and outline a list
of empirical research needs that are required to further understand the causes
and consequences of circadian behavioural variation in marine fish.
PMID- 29796352
TI - Why We Need a Single Definition of Disruptive Behavior.
AB - Disruptive behavior is known to produce a wide range of negative effects in
healthcare, such as impacting patient safety, lowering employee morale, and
decreasing employee retention. Healthcare organizations have worked towards
eliminating disruptive behavior; however, despite countless interventions, the
issue continues to be a problem today. Why then does the issue of disruptive
behavior persist? We argue that one reason is the multiple ways disruptive
behavior can be described, henceforth defined as the "plurality of terms", which
can make it difficult to collect relevant data by doing a simple literature
search. Hence, we believe having a single definition for "disruptive behavior"
will improve the meta-analysis on disruptive behavior research.
PMID- 29796353
TI - The Current Trend of the Translational Research Paradigm.
AB - The translational research paradigm is a process of discovering basic science
concepts and applying the knowledge in clinical practice, aiming to improve
patient care. The stages involved in the paradigm form a complex network of
shared knowledge amongst research collaborators, including patients. This nature
of the paradigm allows those involved to work together effectively. However, the
translational research paradigm is often overlooked by many scientists,
educators, and research institutions. Hence, a large amount of comprehensive and
hugely invested research projects fail to make a scientific impact. We aim to
outline and describe this paradigm in order to aid in the successful translation
of effective research.
PMID- 29796354
TI - A Gender-based Comparison in Health Behaviors and State of Happiness among
University Students.
AB - Objective The presence of good healthy behaviors among university students is
imperative for their future life. This study aimed to compare positive health
behaviors and state of happiness between the two genders of Bahria University
(BU), Karachi, Pakistan. Subjects and methods This cross-sectional study was
conducted at BU, Karachi, Pakistan. A total 813 students participated in this
study. The health questionnaire, adapted from Health and Behavior Survey and the
Subjective Happiness Scale, was used to assess self-reported happiness. The data
were analyzed using IBM SPSS version 22. Results Overall self-reported health
behaviors were found to be more prevalent in females as compared to males, but
males reported a better self-reported general health (p = 0.012). Testicular and
breast self-examination was not common in both genders. Smoking was found to be
more common in males (p < 0.01). Males exhibited habit of regular breakfast (p =
0.013) whereas females showed a tendency to avoid food rich in cholesterol and
fat (p < 0.01) and the practice of consuming food rich in fiber was found to be
more prevalent among females (p < 0.01) and they showed urge to lose weight (p <
0.01). Sleep disturbance was found in both genders, more so in females (p =
0.012). Consciousness about dental hygiene was common in females (p < 0.01). As
compared to the females, more males strongly believe that they are very happy in
life (p < 0.01), and they make the most out of everything in life (p < 0.01).
Conclusion There was a diverse response to positive health behaviors and state of
happiness in both genders.
PMID- 29796355
TI - Fenestration of the Proximal Left Ovarian Vein.
AB - A fenestration in the left ovarian vein was found in a fresh-frozen female
cadaver. The opening did not have any vessels or additional anatomical structures
passing through it. The ovarian vein is also referred to as the female gonadal
vein. This type of anatomical variation is clinically relevant in procedures that
deal with the manipulation of the gonadal veins, specifically conditions such as
ovarian vein thrombosis, ovarian vein stenosis, and pelvic congestion syndrome.
PMID- 29796357
TI - Academic Life in Emergency Medicine (ALiEM) Blog and Podcast Watch: Infectious
Disease Emergencies.
AB - To address the needs for curation of online educational content as well as the
development of a nationally available curriculum that meets individualized
interactive instruction, the Academic Life in Emergency Medicine (ALiEM) Approved
Instructional Resources (AIR) Series and AIR-Pro Series were created in 2014 and
2015, respectively. Using an expert-based, crowd-sourced approach, these two
programs identify trustworthy, high-quality, educational blog, and podcast
content. Here, we summarize the accredited posts that met our a priori determined
quality criteria and evaluated by eight attending physicians.
PMID- 29796356
TI - MicroRNAs as Potential Serum Biomarkers for Early Detection of Ectopic Pregnancy.
AB - Diagnosis of ectopic pregnancy relies on both ultrasound findings and human
chorionic gonadotropin (hCG) measurements but due to the need for serial tests,
tubal rupture and death represent major maternal and fetal risks. Early detection
of ectopic pregnancy is essential and thus a noninvasive diagnostic tool seems
crucial for the prevention of adverse effects since studies suggest there is a
specific relationship between ectopic pregnancy and increasing microRNA factors.
Human fluids in women with ectopic pregnancy reveal a particular change in
comparison to healthy women. In addition to certain placental microRNAs
circulating through plasma that present a specific concentration and serum
profile, microRNAs seem to be possible biomarkers for the detection of pregnancy
complications linked to placental pathologies. The aim of this study is to review
current literature considering the expression levels of several circulating
microRNAs that have shown to be novel potential biomarkers for the diagnosis of
tubal ectopic pregnancy.
PMID- 29796359
TI - Acute Appendicitis in Young Children: A Persistent Diagnostic Challenge for
Clinicians.
AB - Acute appendicitis is a grave and life-threatening condition in children,
accounting for one to two cases per 10,000 in children less than four years' old.
Prompt diagnosis and management are imperative to prevent serious complications,
such as abscess formation, perforation, bowel obstruction, peritonitis, and
sepsis. In young children, however, the diagnosis of this condition is
challenging. The delayed utilization of imaging may further delay the diagnosis
due to concerns of exposure to ionizing radiation. Even with a prompt diagnosis,
controversy persists regarding medical versus operative management in these young
patients. We report a case of a 21-month-old female who presented with fever, non
bilious, non-bloody emesis, and decreased tolerance for liquids and solids. The
initial physical exam and imaging were suggestive of non-obstructive bowel
distention. The patient was admitted to the pediatric floor. Overnight, the
patient's condition deteriorated severely and became septic. Repeat imaging
revealed a 9-cm appendicular mass and a ruptured appendix. Antibiotic coverage
was then broadened and the patient was transferred to the critical care unit for
more intensive management. The patient's septic condition improved over the
upcoming few days and the parents elected to perform an elective appendectomy
following resolution of the condition. Atypical presentations are common in this
population. The difficulty in obtaining a reliable history and physical
examination findings makes the diagnosis even more challenging. Moreover,
concerns with radiation exposure may delay the diagnosis and increase the risk of
perforation and peritonitis. Thus, clinicians should have a high index of
suspicion for acute appendicitis, particularly in young children, as this
condition is commonly missed on initial presentation.
PMID- 29796358
TI - Daily Tracking of Glioblastoma Resection Cavity, Cerebral Edema, and Tumor Volume
with MRI-Guided Radiation Therapy.
AB - Radiation therapy (RT) plays a critical role in the treatment of glioblastoma.
Studies of brain imaging during RT for glioblastoma have demonstrated changes in
the brain during RT. However, frequent or daily utilization of standalone
magnetic resonance imaging (MRI) scans during RT have limited feasibility. The
recent release of the tri-cobalt-60 MRI-guided RT (MR-IGRT) device (ViewRay
MRIdian, Cleveland, OH) allows for daily brain MRI for the RT setup. Daily MRI of
three postoperative patients undergoing RT and temozolomide for glioblastoma over
a six-week course allowed for the identification of changes to the cavity, edema,
and visible tumor on a daily basis. The volumes and dimensions of the resection
cavities, edema, and T2-hyperintense tumor were measured. A general trend of
daily decreases in cavity measurements was observed in all patients. For the one
patient with edema, a trend of daily increases followed by a trend of daily
decreases were observed. These results suggest that daily MRI could be used for
onboard resimulation and adaptive RT for future fluctuations in the sizes of
brain tumors, cavities, or cystic components. This could improve tumor targeting
and reduce RT of healthy brain tissue.
PMID- 29796360
TI - Increased Cancer Risk in Younger Patients with Thyroid Nodules Diagnosed as
Atypia of Undetermined Significance.
AB - BACKGROUND: The objective of this study was to determine if patient age and/or
gender significantly alter the risk of thyroid malignancy in the Bethesda System
for Reporting Thyroid Cytopathology (BSRTC) diagnostic categories. METHODS: A
retrospective review of 291 sequential patients that underwent thyroid nodule
fine needle aspiration biopsy (FNAB) and subsequent surgery at a single center
was carried out. Cases were grouped according to age (55 years and older versus
younger than 55 years) and gender. The cancer risk was calculated for each BSRTC
diagnostic group. A p-value <0.05 was not considered statistically significant.
RESULTS: The study population was composed of 291 patients (227 females and 64
males). Histopathology diagnosed cancer in 113 cases (39%). The cancer risk was
significantly increased in cases with a BSRTC diagnosis of atypia of undetermined
significance/follicular lesion of undetermined significance (AUS/FLUS) in
patients younger than 55 years of age (36.8% vs 7.4%, p=0.0082). CONCLUSIONS:
Though thyroid cancer was significantly more common in males (p=0.021), gender
did not significantly influence specific BRSTC diagnostic category cancer risk
estimation. A BSRTC AUS/FLUS diagnosis is associated with an increased cancer
risk in younger patients.
PMID- 29796361
TI - Strongyloides Hyperinfection and Miliary Tuberculosis Presenting with Syndrome of
Inappropriate Antidiuretic Hormone Secretion in a Malnourished Patient.
AB - Strongyloides stercoralis (S. stercoralis) is an intestinal nematode endemic to
tropical regions. An accelerated infection, known as a hyperinfection, occurs in
immunocompromised patients, most commonly those treated chronically with
glucocorticoids or those who have human T cell leukemia virus-1 (HTLV-1)
infection. We describe a 67-year-old Hispanic female who presented with
complaints of decreased oral intake and fatigue since three months. Hyponatremia
on initial presentation was attributed to syndrome of inappropriate antidiuretic
hormone (SIADH) secretion and managed with fluid restriction. Computed tomography
(CT) of the chest revealed multiple pulmonary nodules suggestive of miliary
tuberculosis, however, sputum acid-fast bacilli (AFB) smears were negative.
Fiberoptic bronchoscopy with bronchoalveolar lavage (BAL) was performed and
specimens sent for AFB testing. A concurrent endoscopy with biopsy was done to
evaluate dysphagia. Both respiratory and gastrointestinal (GI) specimens were
positive for Strongyloides stercoralis. Treatment with ivermectin and
prophylactic antibiotics was started. The patient developed septic shock and had
multiple episodes of gastrointestinal bleeding. Despite aggressive management,
she expired. Subsequently, cultures for Mycobacterium tuberculosis (MTB) were
positive and the autopsy demonstrated evidence of MTB infection in the lungs,
liver, and lymph nodes. This case illustrates the importance of considering co
infection with Strongyloides stercoralis in patients with MTB, both associated
with depressed cellular immunity.
PMID- 29796362
TI - Small Bowel Obstruction due to Non-steroidal Anti-inflammatory Drug-induced
Diaphragm Disease: A Case Report.
AB - Small bowel obstruction (SBO) is a common illness encountered by general
surgeons. However, obstruction caused by diaphragm disease induced by non
steroidal anti-inflammatory drug (NSAID) is exceedingly rare. The diagnosis is
challenging as the signs and symptoms are neither sensitive nor specific. We
report the case of a 59-year-old male who presented with SBO secondary to this
uncommon condition. We hope to raise awareness of this unusual entity.
PMID- 29796363
TI - Chilaiditi's Sign Associated with Acute Colonic Pseudo-obstruction: A
Radiological Diagnosis.
AB - Chilaiditi's sign is a rare radiological anomaly of hepato-diaphragmatic
interposition of the bowel. We report a case of Chilaiditi's sign associated with
acute colonic pseudo-obstruction. A 90-year-old male was admitted for
hypertensive emergency. His physical examination showed a distended abdomen,
decreased bowel sounds, and right upper quadrant tenderness. A chest radiograph
demonstrated marked elevation of the right diaphragm and interposition of the
hepatic flexure of the colon between the diaphragm and the liver, along with
marked gaseous distension up to 9 cm in the ascending colon without any small
bowel distension. The patient was managed conservatively with bowel rest, stool
softeners, enemas, and intravenous (IV) hydration. The patient improved
clinically with resolution of colonic distension. Chilaiditi's sign and
Chilaiditi syndrome are rare entities and therefore are often misdiagnosed and
mismanaged. Awareness of the radiological sign, the syndrome itself, and the
association with acute colonic pseudo-obstruction is important for all care
providers so that they can opt for more conservative management strategies
instead of unnecessary interventions including surgeries.
PMID- 29796364
TI - Pregnancy-related Hemophagocytic Lymphohistiocytosis Associated with Herpes
Simplex Virus-2 Infection: A Diagnostic Dilemma.
AB - Hemophagocytic lymphohistiocytosis (HLH) is a severe inflammatory disorder
characterized by the uncontrolled proliferation of lymphocytes and histiocytes
with hemophagocytic activity in the bone marrow. To our knowledge, there have
been a few reported cases of pregnancy-related HLH. This case highlights the
importance of considering HLH in a pregnant woman along with other diagnoses,
such as HELLP (which stands for hemolysis, elevated liver enzyme levels, and low
platelet levels) syndrome and hemolytic anemias. It points to the challenges of
diagnosing and managing pregnancy-related HLH due to a similarity in presentation
with other conditions.
PMID- 29796366
TI - Evaluation of the Multi-Angle Implementation of Atmospheric Correction (MAIAC)
Aerosol Algorithm through Intercomparison with VIIRS Aerosol Products and
AERONET.
AB - The Multi-Angle Implementation of Atmospheric Correction (MAIAC) algorithm is
under evaluation for use in conjunction with the Geostationary Coastal and Air
Pollution Events (GEO-CAPE) mission. Column aerosol optical thickness (AOT) data
from MAIAC are compared against corresponding data from the Visible Infrared
Imaging Radiometer Suite (VIIRS) instrument over North America during 2013.
Product coverage and retrieval strategy, along with regional variations in AOT
through comparison of both matched and un-matched seasonally gridded data are
reviewed. MAIAC shows extended coverage over parts of the continent when compared
to VIIRS, owing to its pixel selection process and ability to retrieve aerosol
information over brighter surfaces. To estimate data accuracy, both products are
compared with AERONET Level 2 measurements to determine the amount of error
present and discover if there is any dependency on viewing geometry and/or
surface characteristics. Results suggest that MAIAC performs well over this
region with a relatively small bias of -0.01; however there is a tendency for
greater negative biases over bright surfaces and at larger scattering angles.
Additional analysis over an expanded area and longer time period are likely
needed to determine a comprehensive assessment of the products capability over
the Western Hemisphere.
PMID- 29796365
TI - Maxillary Teeth Abscesses Result in Atypical Liver Abscesses.
AB - Hepatic liver abscesses are often misdiagnosed on initial presentation because
pyogenic liver lesions are a rare occurrence in the United States. This leads to
a delay in proper treatment and results in increasing morbidity and mortality.
Our case report demonstrates the atypical presentation of a hepatic liver abscess
in the elderly. The source of infection was found to be periapical abscesses of
the teeth, which subsequently seeded the portal blood stream of our patient. Our
findings validate the potential hazard of Viridans streptococci and illustrate
how untreated dental infections can serve as a reservoir for a systemic
infection.
PMID- 29796367
TI - Recognizing Our Experts: Global Spine Journal Partners with Publons to Establish
Reviewers' Platform.
PMID- 29796368
TI - Adverse Outcomes and Prediction of Cardiopulmonary Complications in Elective
Spine Surgery.
AB - Study Design: Retrospective cohort study. Objectives: The purpose of this study
was to report incidence of cardiopulmonary complications in elective spine
surgery, demographic and surgical predictors, and outcomes. Understanding the
risks and predictors of these sentinel events is important for risk evaluation,
allocation of hospital resources, and counseling patients. Methods: A
retrospective review of the National Surgical Quality Improvement Program (NSQIP)
was performed on 60 964 patients undergoing elective spine surgery (any region;
laminectomy, arthrodesis, discectomy, or laminoplasty) between 2011 and 2013.
Incidence of myocardial infarction, cardiac arrest, unplanned reintubation, on
ventilator >48 hours, perioperative pneumonia, and pulmonary embolism was
measured. Demographic and surgical predictors of cardiopulmonary complications
and associated outcomes (length of stay, discharge disposition, and mortality)
were measured using binary logistic regression controlling for confounders.
Results: Incidence rates per 1000 elective spine patients were 2.1 myocardial
infarctions, 1.3 cardiac arrests, 4.3 unplanned intubations, 3.5 on ventilator
>48 hours, 6.1 perioperative pneumonia, and 3.7 pulmonary embolisms. In analysis
of procedure, diagnosis, and approach risk factors, thoracic cavity (odds ratio =
2.47; confidence interval = 1.95-3.12), scoliosis diagnosis, and combined
approach (odds ratio = 1.51; confidence interval = 1.15-1.96) independently added
the most risk for cardiopulmonary complication. Cardiac arrest had the highest
mortality rate (34.57%). Being on ventilator greater than 48 hours resulted in
the greatest increase to length of stay (17.58 days). Conclusions: Expected risk
factors seen in the Revised Cardiac Risk Index were applicable in the context of
spine surgery. Surgical planning should take into account patients who are at
higher risk for cardiopulmonary complications and the implications they have on
patient outcome.
PMID- 29796369
TI - Clinically Significant Thromboembolic Disease in Adult Spinal Deformity Surgery:
Incidence and Risk Factors in 737 Patients.
AB - Study Design: Retrospective cohort study. Objectives: Describe the rate and risk
factors for venous thromboembolic events (VTEs; defined as deep venous thrombosis
[DVT] and/or pulmonary embolism [PE]) in adult spinal deformity (ASD) surgery.
Methods: ASD patients with VTE were identified in a prospective, multicenter
database. Complications, revision, and mortality rate were examined. Patient
demographics, operative details, and radiographic and clinical outcomes were
compared with a non-VTE group. Multivariate binary regression model was used to
identify predictors of VTE. Results: A total of 737 patients were identified, 32
(4.3%) had VTE (DVT = 14; PE = 18). At baseline, VTE patients were less likely to
be employed in jobs requiring physical labor (59.4% vs 79.7%, P < .01) and more
likely to have osteoporosis (29% vs 15.1%, P = .037) and liver disease (6.5% vs
1.4%, P = .027). Patients with VTE had a larger preoperative sagittal vertical
axis (SVA; 93 mm vs 55 mm, P < .01) and underwent larger SVA corrections. VTE was
associated with a combined anterior/posterior approach (45% vs 25%, P = .028).
VTE patients had a longer hospital stay (10 vs 7 days, P < .05) and higher
mortality rate (6.3% vs 0.7%, P < .01). Multivariate analysis demonstrated
osteoporosis, lack of physical labor, and increased SVA correction were
independent predictors of VTE (r2 = .11, area under the curve = 0.74, P < .05).
Conclusions: The incidence of VTE in ASD is 4.3% with a DVT rate of 1.9% and PE
rate of 2.4%. Osteoporosis, lack of physical labor, and increased SVA correction
were independent predictors of VTE. Patients with VTE had a higher mortality rate
compared with non-VTE patients.
PMID- 29796370
TI - Immediate Postoperative Pain Scores Predict Neck Pain Profile up to 1 Year
Following Anterior Cervical Discectomy and Fusion.
AB - Study Design: Retrospective cohort review. Objective: To assess whether immediate
postoperative neck pain scores accurately predict 12-month visual analog scale
neck pain (VAS-NP) outcomes following Anterior Cervical Discectomy and Fusion
surgery (ACDF). Methods: This was a retrospective study of 82 patients undergoing
elective ACDF surgery at a major academic medical center. Patient reported
outcomes measures VAS-NP scores were recorded on the first postoperative day,
then at 6-weeks, 3, 6, and 12-months after surgery. Multivariate correlation and
logistic regression methods were utilized to determine whether immediate
postoperative VAS-NP score accurately predicted 1-year patient reported VAS-NP
Scores. Results: Overall, 46.3% male, 25.6% were smokers, and the mean age and
body mass index (BMI) were 53.7 years and 28.28 kg/m2, respectively. There were
significant correlations between immediate postoperative pain scores and neck
pain scores at 6 weeks VAS-NP (P = .0015), 6 months VAS-NP (P = .0333), and 12
months VAS-NP (P = .0247) after surgery. Furthermore, immediate postoperative
pain score is an independent predictor of 6 weeks, 6 months, and 1 year VAS-NP
scores. Conclusion: Our study suggests that immediate postoperative patient
reported neck pain scores accurately predicts and correlates with 12-month VAS-NP
scores after an ACDF procedure. Patients with high neck pain scores after surgery
are more likely to report persistent neck pain 12 months after index surgery.
PMID- 29796371
TI - Influence of Gender on Health-Related Quality of Life and Disability at 1 Year
After Surgery for Thoracolumbar Burst Fractures.
AB - Study Design: Case series. Objective: Thoracolumbar burst fractures (TLBF) are
the most frequent type of spinal fractures. Approximately half of the patients
are neurologically intact and their treatment is still debatable. Gender could
influence outcome after surgical procedures, but this is still unclear in
patients sustaining a spinal fracture. The aim of this study was to investigate
how gender influences health-related quality of life (HRQOL) and disability in
patients operated on for TLBF. Methods: We identified 44 neurologically intact
patients from a consecutive series of patients treated surgically for a single
level traumatic burst fracture (AOSpine Subaxial Classification System A3) in the
thoracolumbar transition area (Th12-L2). At 1 year after surgery, they were
evaluated using the SF-36v2 questionnaire to assess HRQOL and Oswestry Disability
Index (ODI) questionnaire to evaluate disability. Results: Male patients scored
higher in each item of the SF-36v2, with significant differences (P < .05) for
Physical Function (PF), Bodily Pain (BP), and Social Function (SF). Male patients
also had lower disability scores. Overall ODI score had a strong correlation with
Physical Function, Role-Physical, Bodily Pain, Vitality, Mental Health, and
overall Physical Component Summary (PCS) of the SF-36 in women, but only with
Physical Function, Role-Physical, Role-Emotional, and PCS in men. Conclusions: In
this study, male patients reported better outcomes at 1 year after surgery for
TLBF than women. Disability strongly correlated with the overall HRQOL, physical
and mental health in women, but not in men. We found gender-related differences
favoring men after surgical interventions for spinal fractures.
PMID- 29796372
TI - National Trends in Demographics and Outcomes Following Cervical Fusion for
Cervical Spondylotic Myelopathy.
AB - Study Design: Retrospective trends analysis. Objectives: Cervical fusion is a
common adjunctive surgical modality used in the treatment of cervical spondylotic
myelopathy (CSM). The purpose of this study was to quantify national trends in
patient demographics, hospital characteristics, and outcomes in the surgical
management of CSM. Methods: This was a retrospective study that used the National
Inpatient Sample. The sample included all patients over 18 years of age with a
diagnosis of CSM who underwent cervical fusion from 2003 to 2013. The outcome
measures were in-hospital mortality, length of stay, and hospital charges. Chi
square tests were performed to compare categorical variables. Independent t tests
were performed to compare continuous variables. Results: We identified 62 970
patients with CSM who underwent cervical fusion from 2003 to 2013. The number of
fusions performed per year in the treatment of CSM increased from 3879 to 8181.
The average age of all fusion patients increased from 58.2 to 60.6 years (P <
.001). Length of stay did not change significantly from a mean of 3.7 days. In
hospital mortality decreased from 0.6% to 0.3% (P < .01). Hospital charges
increased from $49 445 to $92 040 (P < .001). Conclusions: This study showed a
dramatic increase in cervical fusions to treat CSM from 2003 to 2013 concomitant
with increasing age of the patient population. Despite increases in average age
and number of comorbidities, length of stay remained constant and a decrease in
mortality was seen across the study period. However, hospital charges increased
dramatically.
PMID- 29796373
TI - Patterns of Cervical Disc Degeneration: Analysis of Magnetic Resonance Imaging of
Over 1000 Symptomatic Subjects.
AB - Study Design: Cross-sectional study. Objectives: The aim of this study was to
evaluate cervical disc degeneration on magnetic resonance imaging (MRI) in a
large population of symptomatic patients and to provide baseline data on the
pattern of degeneration in order to understand how the cervical spine ages.
Methods: We performed a cross-sectional study of 1059 patients who underwent
upright cervical MRI for neck pain with and without neurological symptoms. A
total of 6354 cervical discs from C2/3 to C7/T1 were evaluated. Cervical disc
degeneration was evaluated on T2-weighted MRI and graded into 4 categories
(Grades 0-III). Positive degeneration was defined as greater than Grade II. The
correlation between age and total grade of degeneration of each patient was
evaluated, as well as the prevalence and pattern of degeneration. Results: The
average number of degenerated disc levels and the total grade of cervical disc
degeneration significantly increase with age. In the patient group with 1-level
degeneration, C5/6 was the most common degenerated level followed by C4/5 and
C6/7. In the group with 2-level degeneration, C5/6 & C6/7 was most common
followed by C4/5 & C5/6 and C3/4 & C4/5. Skip level degeneration was
significantly rarer than contiguous level degeneration, and C7/T1 and C2/3 were
the most unlikely to degenerate in multilevel degeneration. Conclusion: Disc
degeneration is most common in the middle cervical spine (C5/6) and progresses to
contiguous levels, except for C7/T1 and C2/3. This pattern may play a role in
adjacent-level disc degeneration associated with spinal fusion.
PMID- 29796375
TI - Predictors for Non-Home Patient Discharge Following Elective Adult Spinal
Deformity Surgery.
AB - Study Design: Retrospective cohort study. Objectives: Adult spinal deformity
(ASD) surgery encompasses a wide variety of spinal disorders and is associated
with a morbidity rate between 20% and 80%. The utilization of spinal surgery has
increased and this trend is expected to continue. To effectively deal with an
increasing patient volume, identifying variables associated with patient
discharge destination can expedite placement and reduce length of stay. Methods:
The 2013-2014 American College of Surgeons National Surgical Quality Improvement
Program database was queried using Current Procedural Terminology and
International Classification of Diseases, Ninth Revision diagnosis codes relevant
to ASD. Patients were divided based on discharge destination. Bivariate and
multivariate logistic regression analyses were employed to identify predictors
for patient discharge destination and hospital length of stay. Results: A total
of 4552 patients met inclusion criteria, of which 1102 (24.2%) had non-home
discharge. Multivariate regression revealed total relative value unit (odds ratio
[OR] = 1.01, 95% confidence interval [CI] = 1.00-1.01); female sex (OR = 1.54,
95% CI = 1.32-1.81); American Indian, Alaska Native, Asian, Native Hawaiian, or
Pacific Islander versus black race (OR = 0.52, 95% CI = 0.35-0.78, P = .002); age
>=65 years (OR = 3.72, 95% CI = 3.19-4.35); obesity (OR = 1.18, 95% CI = 1.01
1.38, P = .034); partially/totally functionally dependent (OR = 2.11, 95% CI =
1.49-2.99); osteotomy (OR = 1.42, 95% CI = 1.12-1.80, P = .004) pelvis fixation
(OR = 2.38, 95% CI = 1.82-3.11); operation time >=4 hours (OR = 1.74, 95% CI =
1.47-2.05); recent weight loss (OR = 7.66, 95% CI = 1.52-38.65; P = .014); and
American Society of Anesthesiologists class >=3 (OR = 1.80, 95% CI = 1.53-2.11)
as predictors of non-home discharge. P values were <.001 unless otherwise noted.
Additionally, multivariate regression found non-home discharge to be a
significant variable in prolonged length of stay. Conclusions: The authors
suggest these results can be used to inform patients preoperatively of expected
discharge destination, anticipate patient discharge needs postoperatively, and
reduce health care costs and morbidity associated with prolonged LOS.
PMID- 29796374
TI - Predictive Factors for Percutaneous Endoscopic Gastrostomy Tube Placement After
Anterior Cervical Fusion.
AB - Study Design: Retrospective case-control study. Objectives: To identify incidence
and risk factors for percutaneous endoscopic gastrostomy (PEG) tube placement
after anterior cervical fusion (ACF). Methods: Adult patients undergoing elective
ACF with/without corpectomy for spondylosis from 2002 to 2011 were identified
using the Nationwide Inpatient Sample database. The primary outcome measure was
PEG tube placement; secondary outcomes included in-hospital mortality, total
hospital charges, and discharge disposition. Multiple regression analyses were
conducted to identify independent predictors of PEG tube placement. Results: Of
164 097 patients, 217 (0.13%) required a PEG tube. Patients needing PEG tube
placement were older (69 vs 52 years; P < .001) and more likely to be male (65%
vs 46.6%; P < .001) when compared with control patients. After regression
analysis, age over 65 year (odds ratio [OR] = 4.16; P < .001) was the strongest
independent predictor for PEG tube placement; other associated factors included
male gender (OR = 2.14; P < .001), congestive heart failure (OR = 4.11; P <
.001), anemia (OR = 3.52; P < .001), alcohol abuse (OR = 2.80; P = .009), renal
failure (OR = 2.25; P = .003), chronic lung disease (OR = 1.78; P < .001),
corpectomy (OR = 2.16; P < .001), and fusion of >=3 segments (OR = 1.74; P <
.001). Mortality rate for patients requiring PEG tube placement was 5.1% versus
0.05% for controls (P < .001); average hospital charges were $134 379 versus $39
519 (P < .001), and nonroutine discharges were seen in 89.3% versus only 6.4% for
controls (P < .001). Conclusions: The incidence of PEG tube placement after ACF
was 0.13% in this study. Identified risk factors included age >65, corpectomy,
fusion of >=3 segments, and various comorbidities. Additionally, there may be
increased risk of in-hospital mortality, hospital charges, and nonroutine
discharges among these patients.
PMID- 29796376
TI - Publication Rate of Podium Presentations From the North American Spine Society
Annual Meetings.
AB - Study Design: Observational study. Objectives: To determine the publication rate
of podium presentations from the North American Spine Society (NASS) annual
meetings from the years 2009 to 2011. Methods: In April 2015, a PubMed search was
conducted using titles from the paper presentations as well as the authors. Of
the search results that were found, the specific scientific journal in which the
article was published was recorded. We analyzed further the top 4 destination
journals and trends in publications in these journals over the study period. No
study funding was obtained for this research, and there are no potential
conflicts of interest or associated biases. Results: Over the study period, 671
paper presentations were available and 342 were published (51% publication rate).
The highest publication rate was from the 2011 annual meeting, with 55.3%, and
the lowest year was 2010, with a rate of 46.43%. Spine (32.75%), The Spine
Journal (19.01%), Journal of Neurosurgery Spine (7.31%), and European Spine
Journal (6.73%) were the top 4 destination journals. Over the study period, we
found a significant decrease in publication rate in Spine (P = .001) and a
significant increase in publication rate in The Spine Journal (P = .003). There
were no significant difference in publication rate over the study period in
Journal of Neurosurgery Spine (P = .15) or European Spine Journal (P = .23).
Conclusions: This is the first study to our knowledge evaluating the publication
rate of podium presentations from recent North American Spine Society annual
meetings. We found an overall publication rate of 51%.
PMID- 29796377
TI - The Prognostic Accuracy of Suggested Predictors of Failure of Medical Management
in Patients With Nontuberculous Spinal Epidural Abscess.
AB - Study Design: Retrospective cohort study. Objectives: To test the external
validity of the 2 published prediction criteria for failure of medical management
in patients with spinal epidural abscess (SEA). Methods: Patients with SEA over a
10-year period at a tertiary care center were identified using ICD-10
(International Classification of Diseases, 10th Revision) diagnostic codes;
electronic and paper charts were reviewed. The incidence of SEA and the
proportion of patients with SEA that were treated medically were calculated. The
rate of failure of medical management was determined. The published prediction
models were applied to our data to determine how predictive they were of failure
in our cohort. Results: A total of 550 patients were identified using ICD-10
codes, 160 of whom had a magnetic resonance imaging-confirmed diagnosis of SEA.
The incidence of SEA was 16 patients per year. Seventy-five patients were found
to be intentionally managed medically and were included in the analysis. Thirteen
of these 75 patients failed medical management (17%). Based on the published
prediction criteria, 26% (Kim et al) and 45% (Patel et al) of our patients were
expected to fail. Conclusions: Published prediction models for failure of medical
management of SEA were not valid in our cohort. However, once calibrated to our
cohort, Patel's model consisting of positive blood culture, presence of diabetes,
white blood cells >12.5, and C-reactive protein >115 was the better model for our
data.
PMID- 29796378
TI - Corticosteroid Administration to Prevent Complications of Anterior Cervical Spine
Fusion: A Systematic Review.
AB - Study Design: Systematic review. Objectives: Anterior cervical approach is
associated with complications such as dysphagia and airway compromise. In this
study, we aimed to systematically review the literature on the efficacy and
safety of corticosteroid administration as a preventive measure of such
complications in anterior cervical spine surgery with fusion. Methods: Following
a systematic literature search of MEDLINE, Embase, and Cochrane databases in July
2016, all comparative human studies that evaluated the effect of steroids for
prevention of complications in anterior cervical spine surgery with fusion were
included, irrespective of number of levels and language. Risk of bias was
assessed using MINORS (Methodological Index for Non-Randomized Studies) checklist
and Cochrane Back and Neck group recommendations, for nonrandomized and
randomized studies, respectively. Results: Our search yielded 556 articles, of
which 9 studies (7 randomized controlled trials and 2 non-randomized controlled
trials) were included in the final review. Dysphagia was the most commonly
evaluated complication, and in most studies, its severity or incidence was
significantly lower in the steroid group. Although prevertebral soft tissue
swelling was less commonly assessed, the results were generally in favor of
steroid use. The evidence for airway compromise and length of hospitalization was
inconclusive. Steroid-related complications were rare, and in both studies that
evaluated the fusion rate, it was comparable between steroid and control groups
in long-term follow-up. Conclusions: Current literature supports the use of
steroids for prevention of complications in anterior cervical spine surgery with
fusion. However, evidence is limited by substantial risk of bias and small number
of studies reporting key outcomes.
PMID- 29796380
TI - The Anatomy of Data.
PMID- 29796379
TI - Worldwide Steroid Prescription for Acute Spinal Cord Injury.
AB - Study Design: Cross-sectional study. Objectives: To continue the line of a
previous publication using steroid for acute spinal cord injury (SCI) by spine
surgeons from Latin America (LA) and assess the current status of
methylprednisolone (MP) prescription in Europe (EU), Asia Pacific (AP), North
America (NA), and Middle East (ME) to determine targets for educational
activities suitable for each region. Methods: The English version of a previously
published questionnaire was used to evaluate opinions about MP administration in
acute SCI in LA, EU, AP, NA, and ME. This Internet-based survey was conducted by
members of AOSpine. The questionnaire asked about demographic features,
background with management of spine trauma patients, routine administration of MP
in acute SCI, and reasons for MP administration. Results: A total of 2659
responses were obtained for the electronic questionnaire from LA, EU, AP, NA, and
ME. The number of spine surgeons that treat SCI was 2206 (83%). The steroid was
used by 1198 (52.9%) surgeons. The uses of MP were based predominantly on the
National Acute Spinal Cord Injury Study III study (n = 595, 50%). The answers
were most frequently given by spine surgeons from AP, ME, and LA. These regions
presented a statistically significant difference from North America (P < .001).
The number of SCI patients treated per year inversely influenced the use of MP.
The higher the number of patients treated, the lower the administration rates of
MP observed. Conclusions: The study identified potential targets for educational
campaigns, aiming to reduce inappropriate practices of MP administration.
PMID- 29796381
TI - Magnetic Compression Anastomosis in Long-Gap Esophageal Atresia Gross Type A: A
Case Report.
AB - Esophageal atresia (EA) Gross type A (long-gap without tracheoesophageal fistula)
is a rare and a surgical challenging form of EA that constitutes ~6% of the
children born with EA. We present the seventh reported case with successful
esophagoesophagostomy obtained by magnetic compression of a long-gap EA type A
without thoracotomy.
PMID- 29796382
TI - Incidence of Self-esteem among Children Exposed to Sexual Abuse in Kenya.
PMID- 29796383
TI - HaloDom: a new database of halophiles across all life domains.
AB - Background: Halophilic organisms may thrive in or tolerate high salt
concentrations. They have been studied for decades and a considerable number of
papers reporting new halophilic species are being published every year. However,
an extensive collection of these salt-loving organisms does not exist nowadays.
Halophilic life forms have representatives from all three life domains, Archaea,
Bacteria and Eukarya. The purpose of this study was to search for all documented
halophilic species in the scientific literature and accommodate this information
in the form of an online database. Results: We recorded more than 1000 halophilic
species from the scientific literature. From these, 21.9% belong to Archaea,
50.1% to Bacteria and 27.9% to Eukaryotes. Our records contain basic information
such as the salinity that a particular organism was found, its taxonomy and
genomic information via NCBI and other links. The online database named "HaloDom"
can be accessed at http://www.halodom.bio.auth.gr. Conclusions: Over the last few
years, data on halophiles are growing fast. Compared to previous efforts, this
new halophiles database expands its coverage to all life domains and offers a
valuable reference system for studies in biotechnology, early life evolution and
comparative genomics.
PMID- 29796384
TI - The repeated emergence of asexuality, the hidden genomes and the role of
parthenogenetic rare males in the brine shrimp Artemia.
AB - The backbone of this endeavour consists of three major components as they appear
in the title. My intention is to summarise, as explicitly as possible, both
existing and novel data on the occurrence of parthenogenetic rare males assessing
their role in conveying sets of genetic information between asexual strains and
sexual Artemia species to and fro. Additionally, an assemblage of strong
indications and evidence is quoted aiming to unravel possible scenarios of the
repeated emergence of asexuality in the brine shrimp and its significance in
evolutionary processes involved in speciation.
PMID- 29796385
TI - pH sensors and ion Transporters: Potential therapeutic targets for acid-base
disorders.
AB - Regulation of pH is critical for physiological processes. Maintenance of acid
base homeostasis is tightly regulated by the renal and respiratory systems.
However, fluctuations in extracellular pH are also sensed by other organ systems.
Ion transporter activity to modify the amount of acid (H+ and CO2) and
bicarbonate (HCO3-) is therefore actively maintained within the kidney and lung.
This review describes acid-base disorders (acidosis and alkalosis) and highlights
the importance of pH sensors and ion transporters that may be potential
therapeutic targets for treatment of acid-base disorders. Specifically, the renal
pH sensors proline-rich tyrosine kinase-2 (Pyk2) and G-protein coupled receptor-4
(GPR4) are discussed here.
PMID- 29796386
TI - Microbial wars: Competition in ecological niches and within the microbiome.
AB - Many microbial communities live in highly competitive surroundings, in which the
fight for resources determines their survival and genetic persistence. Humans
live in a close relationship with microbial communities, which includes the
health- and disease-determining interactions with our microbiome. Accordingly,
the understanding of microbial competitive activities are essential at
physiological and pathophysiological levels. Here we provide a brief overview on
microbial competition and discuss some of its roles and consequences that
directly affect humans.
PMID- 29796387
TI - Decreasing cytosolic translation is beneficial to yeast and human Tafazzin
deficient cells.
AB - Cardiolipin (CL) optimizes diverse mitochondrial processes, including oxidative
phosphorylation (OXPHOS). To function properly, CL needs to be unsaturated, which
requires the acyltransferase Tafazzin (TAZ). Loss-of-function mutations in the
TAZ gene are responsible for the Barth syndrome (BTHS), a rare X-linked
cardiomyopathy, presumably because of a diminished OXPHOS capacity. Herein we
show that a partial inhibition of cytosolic protein synthesis, either chemically
with the use of cycloheximide or by specific genetic mutations, fully restores
biogenesis and the activity of the oxidative phosphorylation system in a yeast
BTHS model (taz1Delta). Interestingly, the defaults in CL were not suppressed,
indicating that they are not primarily responsible for the OXPHOS deficiency in
taz1Delta yeast. Low concentrations of cycloheximide in the picomolar range were
beneficial to TAZ-deficient HeLa cells, as evidenced by the recovery of a good
proliferative capacity. These findings reveal that a diminished capacity of CL
remodeling deficient cells to preserve protein homeostasis is likely an important
factor contributing to the pathogenesis of BTHS. This in turn, identifies
cytosolic translation as a potential therapeutic target for the treatment of this
disease.
PMID- 29796389
TI - Microbial competition between Escherichia coli and Candida albicans reveals a
soluble fungicidal factor.
AB - Localized and systemic fungal infections caused by Candida albicans can lead to
significant mortality and morbidity. However, severe C. albicans infections are
relatively rare, occurring mostly in the very young, the very old, and
immunocompromised individuals. The fact that these infections are rare is
interesting because as much as 80 percent of the population is asymptomatically
colonized with C. albicans. It is thought that members of the human microbiota
and the immune system work in concert to reduce C. albicans overgrowth through
competition and modification of the growth environment. Here, we report that
Escherichia coli (strain MG1655) outcompetes and kills C. albicans (strain
SC5314) in vitro. We find that E. coli produces a soluble factor that kills C.
albicans in a magnesium-dependent fashion such that depletion of available
magnesium is essential for toxicity.
PMID- 29796388
TI - Spontaneous mutations in CYC8 and MIG1 suppress the short chronological lifespan
of budding yeast lacking SNF1/AMPK.
AB - Chronologically aging yeast cells are prone to adaptive regrowth, whereby mutants
with a survival advantage spontaneously appear and re-enter the cell cycle in
stationary phase cultures. Adaptive regrowth is especially noticeable with short
lived strains, including those defective for SNF1, the homolog of mammalian AMP
activated protein kinase (AMPK). SNF1 becomes active in response to multiple
environmental stresses that occur in chronologically aging cells, including
glucose depletion and oxidative stress. SNF1 is also required for the extension
of chronological lifespan (CLS) by caloric restriction (CR) as defined as
limiting glucose at the time of culture inoculation. To identify specific
downstream SNF1 targets responsible for CLS extension during CR, we screened for
adaptive regrowth mutants that restore chronological longevity to a short-lived
snf1? parental strain. Whole genome sequencing of the adapted mutants revealed
missense mutations in TPR motifs 9 and 10 of the transcriptional co-repressor
Cyc8 that specifically mediate repression through the transcriptional repressor
Mig1. Another mutation occurred in MIG1 itself, thus implicating the activation
of Mig1-repressed genes as a key function of SNF1 in maintaining CLS. Consistent
with this conclusion, the cyc8 TPR mutations partially restored growth on
alternative carbon sources and significantly extended CLS compared to the snf1?
parent. Furthermore, cyc8 TPR mutations reactivated multiple Mig1-repressed
genes, including the transcription factor gene CAT8, which is responsible for
activating genes of the glyoxylate and gluconeogenesis pathways. Deleting CAT8
completely blocked CLS extension by the cyc8 TPR mutations on CLS, identifying
these pathways as key Snf1-regulated CLS determinants.
PMID- 29796390
TI - Advance care planning in life-threatening pulmonary disease: a focus group study.
AB - Advance care planning (ACP) is a communication process for mapping a patient's
wishes and priorities for end-of-life care. In preparation for the introduction
of ACP in Norway, we wanted to explore the views of Norwegian pulmonary patients
on ACP. We conducted four focus group interviews in a Norwegian teaching
hospital, with a sample of 13 patients suffering from chronic obstructive
pulmonary disease, lung cancer or lung fibrosis. Analysis was by systematic text
condensation. Participants' primary need facing end-of-life communication was
"the comforting safety", implying support, information and transparency, with
four underlying themes: 1) provide good team players; 2) offer conversations with
basic information; 3) seize the turning point; and 4) balance transparency. Good
team players were skilled communicators knowledgeable about treatment and the
last phase of life. Patients preferred dialogues at the time of diagnosis and at
different "turning points" in the disease trajectory and being asked carefully
about their needs for communication and planning. Transparency was important, but
difficult to balance. ACP for patients with life-threatening pulmonary disease
should rest upon an established patient-doctor/nurse relationship and awareness
of turning points in the patient's disease progression. Individually requested
and tailored information can support and empower patients and their relatives.
PMID- 29796392
TI - Early Implanon Discontinuation and Associated Factors among Implanon User Women
in Debre Tabor Town, Public Health Facilities, Northwest Ethiopia, 2016.
AB - Background: Implanon discontinuation closely related to higher rates of overall
fertility rate, unwanted pregnancies, and induced abortion. This might have
social and economic consequences. In Ethiopia the magnitude of early Implanon
discontinuation and contributing factors is not well studied. Objective: To
assess early Implanon discontinuation and associated factors among Implanon user
women in Debre Tabor town, 2016. Methods: A facility based cross-sectional study
was conducted from May 1 to August 2016 through face-to-face interview. A total
of 449 Implanon user women were selected by systematic random sampling technique.
Epi Info version 7 and SPSS version 20 were used for data entry and analysis,
respectively. Factors associated with early Implanon discontinuation were
analyzed using binary and multivariable logistic regression model. Variables with
p value of <0.05 and 95% confidence interval were considered as statistically
significant. Results: The overall proportion of early Implanon discontinuation
among mothers was 65 % (95%, CI: 60.4%-69.5%). Having no children (AOR = 2.0, 95%
CI = [1.3-4.5]), being not counseled for possible side effects (AOR = 1.50, 95%
CI = [1.1-3.4]), having no appointment for follow-up (AOR = 2.6, 95% CI = [1.2
4.3]), and having developing side effects (AOR = 1.7, 95% CI = [1.5-4.4]) were
found to be statistically significant factors associated with early Implanon
discontinuation. Conclusion and Recommendation: Early Implanon discontinuation
among mothers was found to be high. Hence, counseling about Implanon side effects
and appointment for follow-up of Implanon users should be made to increase
Implanon retention.
PMID- 29796391
TI - Dynamic hyperinflation during the 6-min walk test in severely asthmatic subjects.
AB - We tested the hypothesis that dynamic hyperinflation develops in severe asthmatic
subjects during exercise. Changes in inspiratory capacity (IC) were measured
during the 6-min walk test (6MWT) in severe asthmatic subjects compared with
chronic obstructive pulmonary disease (COPD) subjects with a similar degree of
bronchial obstruction. We assessed whether changes in IC were associated with
changes in dyspnoea perception. 27 severe asthmatic subjects (10 males and 17
females) and 43 COPD subjects (35 males and eight females) were recruited. The
two groups performed similarly in the 6MWT (p=0.90). At the end of the test, the
Borg score increased significantly in both groups (mean difference: for asthmatic
subjects 1.7+/-1.6; p<0.0001; for COPD subjects 3.1+/-1.9; p<0.0001). IC measured
at the beginning of 6MWT was not different between groups (2.25+/-0.47 L in
asthmatic subjects versus 2.38+/-0.60 L in COPD subjects; p=0.32) and decreased
in both groups (mean difference: for asthmatic subjects 0.160 L; p=0.02; for COPD
subjects 0.164 L; p<0.0001). However, changes in IC were significantly associated
with changes in the Borg score in the COPD group (r2=0.17; p=0.006), but not in
the asthma group (r2=0.06; p=0.20). In severe asthmatic subjects, IC
significantly drops during the 6MWT to the same extent as COPD subjects with a
similar degree of lung impairment, indicating the development of dynamic
hyperinflation. Contrary to COPD, in asthmatic subjects the occurrence of dynamic
hyperinflation was not associated with changes in dyspnoea perception.
PMID- 29796393
TI - The Role of Private Health Sector for Tuberculosis Control in Debre Markos Town,
Northwest Ethiopia.
AB - Background: Tuberculosis has been declared to be a global epidemic. Despite all
the effort, only less than half the annual estimated cases are reported by health
authorities to the WHO. This could be due to poor reporting from the private
sector. In Ethiopia, tuberculosis has also been a major public health problem.
The aim of this study was to assess the role of the private health sector in
tuberculosis control in Debre Markos. Methods: An institution based cross
sectional descriptive study was carried out in private health facilities. A total
of 260 tuberculosis suspects attending the private clinics were interviewed.
Focus group discussion, checklist, and structured questionnaire were used.
Results: Majority of the private clinics were less equipped, poorly regulated,
and owned by health workers who were self-employed on a part-time basis. Provider
delay of 4 and more months was significantly associated higher likelihood of
turning to a private provider (OR = 2.70, 95% CI = (1.20, 6.08)). Conclusions and
Recommendations: There is significant delay among tuberculosis patients.
Moreover, there is poor regulation of the private health sector by public health
authorities. The involvement of the private sector in tuberculosis control should
be limited to identification and refer to tuberculosis cases and suspects.
PMID- 29796395
TI - Shewanella putrefaciens: An Emerging Cause of Nosocomial Pneumonia.
AB - Gram-negative infections are a rising concern faced by the medical community.
Approximately 30% of nosocomial bloodstream infections in intensive care units in
the United States are caused by these gram-negative species. Emergence of
multidrug-resistant organisms further complicate this issue. In this article, we
report a case of an 84-year-old Caucasian male who was diagnosed with Shewanella
pneumonia treated with cefepime with minimal to no improvement in his symptoms.
To the best of our knowledge, this is the third reported case of Shewanella
putrefaciens nosocomial pneumonia and first case of bacteremia secondary to
pneumonia by Shewanella putrefaciens.
PMID- 29796394
TI - Synthesis of graphene oxide-quaternary ammonium nanocomposite with synergistic
antibacterial activity to promote infected wound healing.
AB - Background: Bacterial infection is one of the most common complications in burn,
trauma, and chronic refractory wounds and is an impediment to healing. The
frequent occurrence of antimicrobial-resistant bacteria due to irrational
application of antibiotics increases treatment cost and mortality. Graphene oxide
(GO) has been generally reported to possess high antimicrobial activity against a
wide range of bacteria in vitro. In this study, a graphene oxide-quaternary
ammonium salt (GO-QAS) nanocomposite was synthesized and thoroughly investigated
for synergistic antibacterial activity, underlying antibacterial mechanisms and
biocompatibility in vitro and in vivo. Methods: The GO-QAS nanocomposite was
synthesized through amidation reactions of carboxylic group end-capped QAS
polymers with primary amine-decorated GO to achieve high QAS loading ratios on
nanosheets. Next, we investigated the antibacterial activity and biocompatibility
of GO-QAS in vitro and in vivo. Results: GO-QAS exhibited synergistic
antibacterial activity against bacteria through not only mechanical membrane
perturbation, including wrapping, bacterial membrane insertion, and bacterial
membrane perforation, but also oxidative stress induction. In addition, it was
found that GO-QAS could eradicate multidrug-resistant bacteria more effectively
than conventional antibiotics. The in vitro and in vivo toxicity tests indicated
that GO-QAS did not exhibit obvious toxicity towards mammalian cells or organs at
low concentrations. Notably, GO-QAS topically applied on infected wounds
maintained highly efficient antibacterial activity and promoted infected wound
healing in vivo. Conclusions: The GO-QAS nanocomposite exhibits excellent
synergistic antibacterial activity and good biocompatibility both in vitro and in
vivo. The antibacterial mechanisms involve both mechanical membrane perturbation
and oxidative stress induction. In addition, GO-QAS accelerated the healing
process of infected wounds by promoting re-epithelialization and granulation
tissue formation. Overall, the results indicated that the GO-QAS nanocomposite
could be applied as a promising antimicrobial agent for infected wound management
and antibacterial wound dressing synthesis.
PMID- 29796396
TI - Trichobezoar-Induced Heartburn in a Teenage Girl: A Case Report.
AB - One of the most commonly encountered medical problems affecting all age groups in
health care is abdominal pain. There are many surgical and medical causes behind
this symptom; however, a rare cause of abdominal pain and other unspecific
abdominal complaints are gastric bezoars. Gastric bezoars are defined as the
accumulation of undigested or partially digested foreign materials in the
stomach. They are typically found incidentally during upper endoscopy. Patients
may present with abnormal behavior or eating disorders such as pica. Therefore,
proper history taking and establishing a good rapport with the patient play a key
role in diagnosis. We present a case of trichobezoar-induced heartburn in an 18
year-old female. In this article, we will discuss the types, risk factors,
clinical picture, diagnosis, and treatment of this condition.
PMID- 29796397
TI - Thyroid Storm Presenting as Psychosis.
AB - Thyroid storm is a life-threatening endocrine emergency with an incidence rate of
1% to 2%. It is a systemic condition of excessive thyroid hormone production and
release leading to thermoregulatory, adrenergic, neuropsychiatric,
cardiovascular, and abdominal manifestations. Although it is a rare condition, it
carries a significant mortality rate. Hence, knowing the common and uncommon
presentations of thyroid storm is important for its prompt diagnosis and
treatment. In this article, we present an unusual case of a young woman who
presented with psychosis as the manifesting symptom of thyroid storm. She did not
respond adequately to conventional medical treatment, requiring plasmapheresis
and a definitive thyroidectomy, which ultimately led to the return of patient's
baseline mental status and a dramatic recovery.
PMID- 29796398
TI - Outcomes in the Orthopaedic Sports Medicine Fellowship Match, 2010-2017.
AB - Background: Sports medicine is one of the most competitive fellowships in
orthopaedic surgery. Despite its popularity, fellowship applicants have limited
understanding of the orthopaedic sports medicine fellowship match process.
Purpose: To define key outcomes in the orthopaedic sports medicine fellowship
match, including the overall match rate, number of programs filled, and number of
applicants ranked by programs that filled between 2010 and 2017. Study Design:
Cross-sectional study. Methods: This study utilized data regarding the
orthopaedic sports medicine fellowship match collected by the American
Orthopaedic Society for Sports Medicine (AOSSM) from 2010 through 2017. Applicant
data included number of applicants, number of matched and unmatched applicants,
and percentage of applicants matching into their top choices. Fellowship program
data included number of programs participating in the match and number of
applicants ranked by filled and unfilled programs. Results: Between 2010 and
2017, the mean number of orthopaedic sports medicine fellowship applicants was
244.8. On average, 92.0% of applicants matched into a fellowship program. The
mean number of programs participating in the fellowship match was 92.9, with a
mean of 219.9 accredited positions and 5.4 nonaccredited positions. Over the time
period studied, a mean of 75.8% of programs matched all available positions.
Programs that matched fully ranked 9.0 applicants per position, on average,
compared with a mean of 6.5 applicants ranked per position among programs that
did not fully match (P = .0016). Conclusion: From 2010 to 2017, the number of
applicants, positions available, overall match rate, and number of programs
participating in the orthopaedic sports medicine fellowship match have remained
consistent. The mean number of applicants per position ranked by fully matched
fellowship programs was 9.0 compared with a mean of 6.5 applicants per position
ranked by programs that did not fully match. These data may be helpful as we look
to the future of orthopaedic sports medicine fellowship positions and the match
process. In addition, this study reveals characteristics that divide sports
medicine fellowship programs that fully match from those that do not. Applicants
and/or fellowship program directors may utilize this information to modify their
approach to the match process going forward.
PMID- 29796399
TI - Bacterial Contamination of a Marking Pen in Anterior Cruciate Ligament
Reconstruction.
AB - Background: A sterile surgical marking pen is commonly used during anterior
cruciate ligament reconstruction (ACLR) to outline the proposed skin incision and
then to mark the graft during preparation. Once in contact with the skin, the pen
is a potential source of bacterial transmission and subsequent infections after
ACLR. Purpose/Hypothesis: The purpose of this study was to assess whether the
skin marking pen is a fomite for contamination during arthroscopic ACLR. We
hypothesized that there would be a difference in the rate of culture-positive
pens between control pens and the study pens used to delineate the proposed skin
incision. Study Design: Controlled laboratory study. Methods: Twenty surgical
marking pens were collected prospectively from patients undergoing ACLR over a 12
month period. All patients underwent standard preoperative sterile preparation
and draping procedures. Proposed incisions were marked with a new sterile pen,
and the pen tip was immediately sent for a 5-day inoculation in broth and agar.
Negative controls (unopened new pen) and positive controls (used to mark the skin
incisions preoperatively) were also cultured. Additionally, blank culture dishes
were observed during the growth process. All pens were removed from the surgical
field before incision, and new marking pens were used when needed during the
procedure. Results: Three of the 20 study pens (15%) demonstrated positive
growth. All 3 pens grew species of Staphylococcus. None of the negative controls
demonstrated growth, 6 of the 12 positive controls showed growth, and none of the
blank dishes exhibited growth. Conclusion: This study found a 15% rate of
surgical marking pen contamination by Staphylococcus during ACLR. It is
recommended that the skin marking pen not be used for any further steps of the
surgical case and be discarded once used. Clinical Relevance: Infections after
ACLR are rare but may result in significant morbidity, and all measures to reduce
them should be pursued. Surgeons performing ACLR should dispose of the surgical
marking pen after skin marking and before intraoperative use such as graft
markup.
PMID- 29796400
TI - Patient-Related Risk Factors for Infection Following Ulnar Nerve Release at the
Cubital Tunnel: An Analysis of 15,188 Cases.
AB - Background: Although cubital tunnel release is a commonly performed orthopaedic
procedure, the overall incidence of and independent risk factors for infection
largely remain undefined in the current literature. Purpose: To establish the
rate of postoperative infection after isolated cubital tunnel release and define
relevant patient-related risk factors. Study Design: Case-control study; Level 3.
Methods: All Medicare-insured patients undergoing ulnar nerve decompression at
the cubital tunnel from 2010 through 2012 were identified. A multivariate
binomial logistic regression analysis was utilized to evaluate the impact of
patient-related risk factors for postoperative infection. Results: A total of 330
(2.17%) postoperative infections were identified in 15,188 cases. The majority
(87%) were managed nonoperatively, while 13% required surgical debridement. The
most significant risk factors for infection included hemodialysis use (odds ratio
[OR], 2.47), chronic anemia (OR, 2.24), age <65 years (OR, 2.08), tobacco use
(OR, 1.65), morbid obesity (OR, 1.53), inflammatory arthritis (OR, 1.43),
depression (OR, 1.36), hyperlipidemia (OR, 1.33), male sex (OR, 1.32), and
chronic lung disease (OR, 1.29). Conclusion: The present study was adequately
powered to determine numerous patient-related risk factors for infection
following cubital tunnel release.
PMID- 29796401
TI - Learning From Failure in Cartilage Repair Surgery: An Analysis of the Mode of
Failure of Primary Procedures in Consecutive Cases at a Tertiary Referral Center.
AB - Background: As the number of cartilage restoration procedures is increasing, so
is the number of revision procedures. However, there remains limited information
on the reasons for failure of primary cartilage restoration procedures. Purpose:
To determine the common modes of failure in primary cartilage restoration
procedures to improve surgical decision making and patient outcomes. Study
Design: Case series; Level of evidence, 4. Methods: Patients who presented for
revision after failed cartilage repair surgery were evaluated for factors
contributing to failure of the primary procedure. All revision cases performed by
a single surgeon at a tertiary center for failed cartilage restoration over a 6
year time frame were identified. In all cases, the medical records, preoperative
radiographs, and magnetic resonance imaging scans were reviewed by 2 experienced
cartilage surgeons. The cause for failure was categorized as malalignment,
meniscal deficiency, graft or biologic failure, or instability. Univariate and
descriptive statistics regarding patient demographics, index procedure, lesion
location and size, and mechanism of failure were analyzed. Results: A total of 59
cases in 53 patients (32 male, 21 female) met the inclusion criteria. The mean
patient age at the time of revision was 27.6 years, and the mean body mass index
was 28.4 kg/m2. Failed index surgical procedures included 35 microfractures
(59%), 12 osteochondral allograft transplantations (20%), 10 osteochondral
autograft transfers (17%), 2 nonviable osteochondral allografts (3%), and 2
particulated juvenile chondral allografts (3%). The mean lesion size was 4.4 cm2.
Reasons for failure included 33 cases with untreated malalignment (56%), 16 with
graft failure (27%), 11 with untreated meniscal deficiency (19%), and 3 with
untreated instability (5%); 4 cases demonstrated multiple reasons for failure.
Conclusion: The most commonly recognized reason for failure was untreated
malalignment. While biologic and graft failures will occur, the majority of
failures were attributed to untreated background factors such as malalignment,
meniscal deficiency, and instability. The stepwise approach of considering and
addressing alignment, meniscal volume, and stability remains essential in
cartilage restoration surgery.
PMID- 29796402
TI - Self-reported Mental Disorders Negatively Influence Surgical Outcomes After
Arthroscopic Treatment of Femoroacetabular Impingement.
AB - Background: Femoroacetabular impingement (FAI) is responsible for hip pain and
dysfunction, and surgical outcomes depend on multiple factors. The presence of
mental disorders negatively influences outcomes of multiple orthopaedic
conditions, although the impact on FAI surgery is unclear. Hypothesis: The
authors hypothesized that a preoperative self-reported history of mental
disorders would negatively influence patient-reported outcome measures after FAI
surgery. Study Design: Cohort study; Level of evidence, 3. Methods: A matched
cohort study was performed by reviewing a prospectively collected database of
cases of arthroscopic management of FAI with a single surgeon over a 2-year
period. Demographics and radiographic parameters were recorded for all patients.
Patients completed the Hip Outcome Score-Activity of Daily Living Subscale (HOS
ADL), Hip Outcome Score-Sport-Specific Subscale (HOS-SSS), and modified Harris
Hip Score (mHHS) prior to surgery and 2 years after surgery. Unpaired and paired
t tests were used to compare results between and within cohorts at baseline and
follow-up. Statistical significance was defined as P < .05. Results: The cohort
included 301 patients, with 75 and 226 patients reporting and not reporting a
history of mental disorders, respectively. Before treatment, all patient-reported
outcome measures were significantly lower among patients reporting a history of
mental disorders (P < .01 for HOS-ADL, HOS-SSS, and mHHS). Patients in both
groups demonstrated significant improvements (P < .0001) in HOS-ADL, HOS-SSS, and
mHHS when preoperative outcome measures were compared with follow-up. Patients
with reported mental disorders had significantly lower scores after surgery as
compared with patients without mental disorders (P < .0001 for HOS-ADL, HOS-SSS,
and mHHS). Conclusion: The presence of a reported mental disorder is associated
with lower patient-reported outcomes before and after surgical management of FAI.
Statistically significant and clinically relevant improvements were observed for
patients who reported mental disorders. The magnitude of these improvements was
not as large as that for an age- and sex-matched control group without a self
reported mental disorder.
PMID- 29796403
TI - Emerging Applications of Optical Coherence Tomography Angiography (OCTA) in
neurological research.
AB - Purpose: To review the clinical and research value of optical coherence
tomography angiography (OCTA) in the field of neurology. Methods: Current
literature involving OCTA were reviewed through PubMed using the search terms
"optical coherence tomography angiography", with "multiple sclerosis",
"Alzheimer's disease", "optic neuropathy", or other closely-related terms.
Results: OCTA has been applied in research to advance our understanding of the
pathobiology of neurological disorders. OCTA-derived blood flow and vessel
density measures are altered in multiple sclerosis (MS), Alzheimer's disease
(AD), and various optic neuropathies (ON) in varying regions of the posterior
segment vasculature of the eye. These emerging research findings support the
occurrence of retinal vascular alterations across a host of neurological
disorders and raise the possibility that vasculopathy can be clinically relevant
since it contributes to the pathobiology of several neurological disorders.
Conclusion: OCTA may be beneficial for neurological research. Additional
investigations using OCTA in neurological disorders will help to further validate
its clinical and research utilities in terms of characterizing the role of
vasculopathy in neurological disorders.
PMID- 29796404
TI - Relative peripheral refraction across 4 meridians after orthokeratology and LASIK
surgery.
AB - Background: To characterize the axial and off-axis refraction across four
meridians of the retina in myopic eyes before and after Orthokeratology (OK) and
LASIK surgery. Methods: Sixty right eyes with a spherical equivalent (M) between
0.75 to - 5.25 D (cylinder <- 1.00 D) underwent LASIK (n = 26) or OK (n = 34) to
treat myopia. Axial and off-axis refraction were measured with an open-field
autorefractometer before and after stabilized treatments. Off-axis measurements
were obtained for the horizontal (35 degrees nasal and temporal retina) and
vertical (15 degrees superior and inferior retina) meridians, and for two
oblique directions (45-225 degrees and 135-315 degrees ) up to 20 degrees of
eccentricity. The refractive profile was addressed as relative peripheral
refractive error (RPRE). Results: OK and LASIK post-treatment results showed an
increase of myopic relative refraction at several eccentric locations. At the
four meridians evaluated, the M component of the pre-treatment RPRE values was
not statistically different (p > 0.05) from the post-treatment RPRE within 30
degrees and 20 degrees of the central visual field after LASIK and OK,
respectively. These results demonstrated that the treatment zone warrants an
optimal central field of vision. Conclusions: The present study gives an overview
of RPRE after refractive corneal reshaping treatments (OK and LASIK) across
vertical, horizontal and two oblique meridians together. This allows a 3D
representation of RPRE at the retina and shows that the myopic shift induced by
both treatments is more relevant in horizontal directions.
PMID- 29796406
TI - Trends in Geriatrics Graduate Medical Education Programs and Positions, 2001 to
2018.
AB - : It is no secret that the average age of the U.S. population is increasing, and
this has special significance for the U.S. health care system. The number of
individuals above 65 years old is predicted to increase 55% by 2030, and all the
while, there is a looming physician shortage, one especially relevant for
Geriatricians. Therefore, current Geriatricians must have objective information
to assess the past, present, and future state of this important specialty.
However, little literature exists regarding the recent changes in Geriatrics
related graduate medical education programs. In the present study, we use data
from the Accreditation Council of Graduate Medical Education, to characterize
quantitative trends in Geriatrics graduate medical education between academic
years 2001-2002 and 2017-2018. We find that, when Hospice/Palliative Care is
excluded, Geriatrics-related graduate medical education programs have grown by
just 1.1% when adjusting for population growth. There are 58 fewer total filled
Geriatrics and Geriatric Psychiatry positions in 2017-2018 than there were in
2001-2002, a population-adjusted decline of 23.3%. Our results confirm the
growing notion that the Geriatrics specialty may need to alter its approach
toward professional supply, if it is to meet the growing health care demands of
an aging U.S. POPULATION:
PMID- 29796405
TI - Evaluation of a Community-Based Program That Integrates Joyful Movement Into Fall
Prevention for Older Adults.
AB - Background: Despite the development of evidence-based fall-prevention programs,
there remains a need for programming that will engage older adults in real-world
settings. Objective: This study aimed to evaluate a community-based group program
that integrates joyful movement into fall prevention. The curriculum emphasizes a
positive experience of movement, cultivating a healthy body image, and retraining
of biomechanics. Design: Program evaluation was conducted using a one-group pre
post test study design. Key outcomes were functional balance and confidence.
Qualitative feedback was gathered at the final class sessions. Results: Two
hundred fifteen older adults enrolled at four sites over the period from 2010 to
2014. Among 86 participants who provided feedback, most credited the program for
an increased sense of optimism and/or confidence (70%), and better walking
ability (50%). Among 102 participants who completed both initial and final
assessments, there was evidence of significant improvements on the Functional
Reach Test (d = .60, p < .001) and Modified Falls Efficacy Scale (d = .17, p <
.001). Conclusion: A joyful movement curriculum is acceptable to older
participants, and they show improvements in functional balance and confidence.
Future research should examine whether the positive changes encouraged by joyful
movement lead to lasting reductions in fall risk and additional health benefits.
PMID- 29796407
TI - Gender Differences in Withdrawing Infants.
AB - Objective. To assess gender differences in infants diagnosed with neonatal
abstinence syndrome at the Cabell Huntington Hospital in Huntington, West
Virginia. Methods. This is a single-site retrospective chart review involving 97
infants born treated for neonatal abstinence syndrome at the Cabell Huntington
Hospital between April and December 2015. Data were obtained from electronic
medical records using a secure online survey tool designed using Qualtrics.
Maternal demographics and drug screenings were collected. Infant information was
collected for the first 7 days of life including withdrawal symptoms, treatment,
and growth parameters. These data were analyzed based on gender, male (N = 62)
and female (N = 35), to assess any gender differences among the infants. Results.
No significant differences were found regarding birth weight, length, and
gestational age between male and female infants. Differences among the percentage
of symptoms experienced were found with females experiencing a greater percentage
of symptoms affecting the autonomic nervous system compared with males.
Significant differences in head circumference were found in these infants;
females were found to have a greater head circumference at time of birth compared
with males (P = .003), whereas at time of discharge head circumference was
greater in males than in females (P = .035). Conclusion. Differences in symptoms,
physical characteristics, and methadone treatment were found between male and
female infants diagnosed with neonatal abstinence syndrome at the Cabell
Huntington Hospital during 2015. Further studies are needed to assess both the
short- and long-term effects of antenatal drug abuse.
PMID- 29796408
TI - Breastfeeding Concerns and Their Management: One-Year Experience in a Physician
Run Lactation Clinic.
PMID- 29796410
TI - Faraday forcing of high-temperature levitated liquid metal drops for the
measurement of surface tension.
AB - In this work, a method for the measurement of surface tension using continuous
periodic forcing is presented. To reduce gravitational effects, samples are
electrostatically levitated prior to forcing. The method, called Faraday forcing,
is particularly well suited for fluids that require high temperature measurements
such as liquid metals where conventional surface tension measurement methods are
not possible. It offers distinct advantages over the conventional pulse-decay
analysis method when the sample viscosity is high or the levitation feedback
control system is noisy. In the current method, levitated drops are continuously
translated about a mean position at a small, constant forcing amplitude over a
range of frequencies. At a particular frequency in this range, the drop suddenly
enters a state of resonance, which is confirmed by large executions of
prolate/oblate deformations about the mean spherical shape. The arrival at this
resonant condition is a signature that the parametric forcing frequency is equal
to the drop's natural frequency, the latter being a known function of surface
tension. A description of the experimental procedure is presented. A proof of
concept is given using pure Zr and a Ti39.5Zr39.5Ni21 alloy as examples. The
results compare favorably with accepted literature values obtained using the
pulse-decay method.
PMID- 29796409
TI - Cognitive training for freezing of gait in Parkinson's disease: a randomized
controlled trial.
AB - The pathophysiological mechanism of freezing of gait (FoG) has been linked to
executive dysfunction. Cognitive training (CT) is a non-pharmacological
intervention which has been shown to improve executive functioning in Parkinson's
disease (PD). This study aimed to explore whether targeted CT can reduce the
severity of FoG in PD. Patients with PD who self-reported FoG and were free from
dementia were randomly allocated to receive either a CT intervention or an active
control. Both groups were clinician-facilitated and conducted twice-weekly for
seven weeks. The primary outcome was percentage of time spent frozen during a
Timed Up and Go task, assessed both on and off dopaminergic medications.
Secondary outcomes included multiple neuropsychological and psychosocial
measures. A full analysis was first conducted on all participants randomized,
followed by a sample of interest including only those who had objective FoG at
baseline, and completed the intervention. Sixty-five patients were randomized
into the study. The sample of interest included 20 in the CT group and 18 in the
active control group. The primary outcome of percentage time spent frozen during
a gait task was significantly improved in the CT group compared to active
controls in the on-state. There were no differences in the off-state. Patients
who received CT also demonstrated improved processing speed and reduced daytime
sleepiness compared to those in the active control. The findings suggest that CT
can reduce the severity of FoG in the on-state, however replication in a larger
sample is required.
PMID- 29796411
TI - Optimizing Live Kidney Donor Workup: A Decision Analysis Approach.
AB - Background: Screening potential live kidney donors is an intense process for both
candidates and the healthcare system. It is conventionally implemented using a
standard generic protocol. Efficiencies in this process could potentially be
achieved using personalized protocols that are optimized for a given candidate.
Aim: To create personalized protocols (by age, sex, and paired exchange status)
and evaluate them relative to the standard generic protocol. Methods: Two
personalized protocols were created. One sequenced tests according to probability
(high to low) of excluding a given candidate. The other sequenced tests according
to the expected cost (low to high) per exclusion. Test costs and exclusion
probabilities were extracted predominantly from Australian sources. These were
integrated into a decision analysis incorporating Markov processes. This
estimated the expected financial cost and expected number of tests performed to
exclude an ineligible candidate in the standard generic and personalized
protocols. Results: The standard generic protocol consistently ranked poorest in
terms of expected costs and expected tests per exclusion across all ages, sexes,
and paired exchange status. Compared with the most efficient personalized
protocol, the standard generic protocol was on average A$1767.49 more expensive
and required 3.53 more tests. Conclusions: Personalized protocols enhance the
ability of a kidney transplant unit to effectively exclude live kidney donor
candidates more quickly and cost effectively compared with the conventional
standard generic protocol.
PMID- 29796412
TI - Adult Living Donor Liver Transplantation for Patients With Portal Vein
Thrombosis: A Single-center Experience.
AB - Background: Living donor liver transplantation (LDLT) for patients with portal
vein thrombosis (PVT) is associated with several technical challenges for its
complicated procedures and poor outcomes. Some institutions still consider
preexisting PVT as a relatively contraindication for LDLT. Methods: Between April
2010 and May 2016, 129 adults underwent LDLT at our institution, and 28 (21.7%)
of whom had preexisting PVT. Portal vein thrombosis was diagnosed using
preoperative imaging techniques and intraoperative findings. The characteristics
and outcomes of the cases were retrospectively evaluated. Results: The type of
PVT included Yerdel grade 1 in 21 (75.0%) cases, grade 2 in 3 (10.7%) cases, and
grade 3 in 4 (14.3%) cases. There were no cases of Yerdel grade 4 PVT. After
removing thrombus inside the vessel, we performed simple portal vein anastomosis
in 25 (89.3%) cases, patch technique with vascular graft in 1 case (3.6%), and an
interposition technique with vascular graft in 2 cases (7.1%). Compared with the
non-PVT group, cold ischemic time was longer (P = 0.012) and the rate of
postoperative PVT was higher (P = 0.001) in PVT group. In the comparison between
the recipient without and with postoperative PVT, the existence of preoperative
PVT was the independent risk factor in the multivariate analysis (hazard ratio,
7.511; 95% confidence interval 1.382-40.820; P = 0.020). Conclusions: Although it
had a technically complicated operation, LDLT could be safely performed in the
patients with PVT in our institution.
PMID- 29796413
TI - Factors Associated With Prolonged Warm Ischemia Time Among Deceased Donor Kidney
Transplant Recipients.
AB - Background: Prolonged warm ischemia time (WIT) is associated with graft failure
and mortality, however less is known about factors associated with prolonged WIT.
Methods: In a cohort of United States deceased donor kidney transplant recipients
identified using the Scientific Registry of Transplant Recipients (Jan 2005-Dec
2013), we identified factors associated with prolonged WIT (defined as >= 30
minutes versus 10-30 minutes) using hierarchical multilevel models adjusting for
center effect, and WIT as a continuous variable using multiple linear regression
of log-transformed data. Results: Among 55 829 patients, potentially modifiable
risk factors associated with prolonged WIT included increased recipient body mass
index (BMI) (odds ratio [OR], 1.57; 95% confidence interval [CI], 1.44-1.72 for
BMI > 35), right donor kidney (OR, 1.14; 95% CI, 1.08-1.19), and a prolonged cold
ischemic time (OR, 1.23; 95% CI, 1.13-1.33 for cold ischemia time > 24 hours).
Transplanting a right kidney into an obese recipient further prolonged WIT (OR,
1.75; 95% CI, 1.55-1.98; for BMI > 35), increasing overall WIT by 11.0%. There
was no correlation between median WIT for a given center and annual center
transplant rate (pairwise correlation coefficient, 0.0898). Conclusions: In
conclusion, several modifiable factors are associated with prolonged WIT and may
represent strategies to improve WIT and subsequent posttransplant outcomes.
PMID- 29796415
TI - Accessory Gallbladder Complicating Liver Transplantation.
AB - Accessory gallbladder in a donor liver allograft is an uncommon anatomical
finding that can complicate liver transplantation if unrecognized. This case
describes a patient who underwent liver transplantation with a donor graft
containing an accessory gallbladder that was obscured during transplantation; as
a result, the patient experienced a prolonged postoperative course complicated by
multiple readmissions for suspected biloma and intra-abdominal infection. The
diagnosis of accessory gallbladder was not made until operative exploration
several months after the initial transplant. Removal of the accessory gallbladder
has led to resolution of clinical problems.
PMID- 29796414
TI - Assessing Consensus Between UK Renal Clinicians on Listing for Kidney
Transplantation: A Modified Delphi Study.
AB - Background: It is well recognized that there is significant variation between
centers in access to kidney transplantation. In the absence of high-grade
evidence, it is unclear whether variation is due to patient case mix, other
center factors, or individual clinician decisions. This study sought consensus
between UK clinicians on factors that should influence access to kidney
transplantation. Methods: As part of the Access to Transplantation and Transplant
Outcome Measures project, consultant nephrologists and transplant surgeons in 71
centers were invited to participate in a Delphi study involving 2 rounds. During
rounds 1 and 2, participants rated their agreement to 29 statements covering 8
topics regarding kidney transplantation. A stakeholder meeting was used to
discuss statements of interest after the 2 rounds. Results: In total, 122
nephrologists and 16 transplant surgeons from 45 units participated in rounds 1
and 2. After 2 rounds, 12 of 29 statements reached consensus. Fifty people
participated in the stakeholder meeting. After the stakeholder meeting, a further
4 statements reached agreement. Of the 8 topics covered, consensus was reached in
6: use of a transplant protocol, patient age, body mass index, patient compliance
with treatment, cardiac workup, and use of multidisciplinary meetings. Consensus
was not reached on screening for malignancy and use of peripheral Doppler
studies. Conclusions: The Delphi process identified factors upon which clinicians
agreed and areas where consensus could not be achieved. The findings should
inform national guidelines to support decision making in the absence of high
quality evidence and to guide areas that warrant future research.
PMID- 29796416
TI - Endothelial Dysfunction in Steatotic Human Donor Livers: A Pilot Study of the
Underlying Mechanism During Subnormothermic Machine Perfusion.
AB - Background: Steatosis is a major risk factor for primary nonfunction in liver
transplantations. Steatotic livers recover poorly from ischemia reperfusion
injury, in part due to alterations in the microcirculation, although the exact
mechanism is unclear. In this study, we tested if there were any alterations in
the shear stress sensing Kruppel-like factor 2 (KLF2) and its likely downstream
consequences in the ex vivo perfused human liver endothelium, which would imply
perturbations in microcirculatory flow in macrosteatotic livers disrupts laminar
flow to evaluate if this is a potential therapeutic target for steatotic livers.
Methods: Using a subnormothermic machine perfusion system, 5 macrosteatotic and 4
nonsteatotic human livers were perfused for 3 hours. Flow, resistance, and
biochemical profile were monitored. Gene expression levels of nitric oxide
synthase 3 (eNOS), KLF2, and thrombomodulin were determined. Nitric oxide (NO)
was measured in the perfusion fluid and activation of eNOS was measured with
Western blotting. Results: Flow dynamics, injury markers, and bile production
were similar in both groups. Kruppel-like factor 2 expression was significantly
higher in nonsteatotic livers. Western blotting analyses showed significantly
higher levels of activated eNOS in nonsteatotic livers, consistent with an
increase in NO production over time. Macrosteatotic livers showed decreased KLF2
upregulation, eNOS activity, and NO production during machine perfusion.
Conclusions: These results indicate a perturbed KLF2 sensing in steatotic livers,
which aligns with perturbed microcirculatory state. This may indicate endothelial
dysfunction and contribute to poor posttransplantation outcomes in fatty livers,
and further studies to confirm by evaluation of flow and testing treatments are
warranted.
PMID- 29796418
TI - Role of MRCP in Diagnosing Biliary Anastomotic Strictures After Liver
Transplantation: A Single Tertiary Care Center Experience.
AB - Background: Biliary strictures (BS) are common complication after liver
transplantation. We aimed to determine the accuracy of magnetic resonance
cholagiopancreatography (MRCP) in diagnosing BS in liver transplant recipients
(LTRs) when compared to direct cholangiographic methods (endoscopic resonance
cholagiopancreatography [ERCP] and/or percutaneous transhepatic cholangiography
[PTC]). Methods: Retrospective chart review of 910 LTRs (July 2008 to April 2015)
was performed, and a total of 39 patients with duct-to-duct anastomosis (22
males; 56.4%; mean age, 52.8 +/- 8.3 years) were included who had an MRCP
followed by either ERCP and/or PTC within 4 weeks. A cholangiographic narrowing
(on ERCP and/or PTC) that required balloon dilation and/or stent placement was
considered a BS and was considered clinically significant if the intervention
resulted in at least 30% improvement of bilirubin within 2 weeks. Sensitivity,
specificity, accuracy, positive predictive values and negative predictive values
of MRCP in diagnosing BS were calculated. Results: Magnetic resonance
cholagiopancreatography showed anastomotic BS in 17 of 39 patients, and
subsequent ERCP and/or PTC revealed a total of 25 BS (positive predictive value
of 0.94). Nine BS on cholangiography (ERCP, 8; PTC, 1) were not detected on
earlier MRCP (sensitivity, 0.64; 95% CI, 0.45-0.82); 2 were clinically
significant BS and 6 of the remaining 7 had no improvement in their liver
function test with biliary intervention. Thirteen LTRs had no BS on either
modality (specificity, 0.93; 95% CI, 0.66-0.99). The negative predictive value of
MRCP was 0.59 for cholangiographic BS. The overall accuracy of MRCP is 0.74
(exact 95% CI, 0.58-0.87). Inclusion of age, race, and alanine aminotransferase
level improved the predictive value of MRCP (area under the curve = 0.94, 95% CI:
0.86-1.00). Conclusions: Magnetic resonance cholagiopancreatography has high
specificity but low sensitivity in diagnosing cholangiographic BS in LTRs,
although the predictive value further improved with inclusion of age, race, and
alanine aminotransferase. Clinical significance of BS in LTRs not identified on
MRCP is questionable because ERCP with intervention did not improve their liver
function tests in the vast majority.
PMID- 29796417
TI - Biomarkers to Assess Right Heart Pressures in Recipients of a Heart Transplant: A
Proof-of-Concept Study.
AB - Background: This proof-of-concept study investigated the feasibility of using
biomarkers to monitor right heart pressures (RHP) in heart transplanted (HTx)
patients. Methods: In 298 patients, we measured 7.6 years post-HTx mean pressures
in the right atrium (mRAP) and pulmonary artery (mPAP) and capillaries (mPCWP)
along with plasma high-sensitivity troponin T (hsTnT), a marker of cardiomyocyte
injury, and the multidimensional urinary classifiers HF1 and HF2, mainly
consisting of dysregulated collagen fragments. Results: In multivariable models,
mRAP and mPAP increased with hsTnT (per 1-SD, +0.91 and +1.26 mm Hg; P < 0.0001)
and with HF2 (+0.42 and +0.62 mm Hg; P <= 0.035), but not with HF1. mPCWP
increased with hsTnT (+1.16 mm Hg; P < 0.0001), but not with HF1 or HF2. The
adjusted odds ratios for having elevated RHP (mRAP, mPAP or mPCWP >=10, >=24,
>=17 mm Hg, respectively) were 1.99 for hsTnT and 1.56 for HF2 (P <= 0.005). In
detecting elevated RHPs, areas under the curve were similar for hsTnT and HF2
(0.63 vs 0.65; P = 0.66). Adding hsTnT continuous or per threshold or HF2
continuous to a basic model including all covariables did not increase diagnostic
accuracy (P >= 0.11), whereas adding HF2 per optimized threshold increased both
the integrated discrimination (+1.92%; P = 0.023) and net reclassification
(+30.3%; P = 0.010) improvement. Conclusions: Correlating RHPs with noninvasive
biomarkers in HTx patients is feasible. However, further refinement and
validation of such biomarkers is required before their clinical application can
be considered.
PMID- 29796419
TI - Liver Transplantation Without Venovenous Bypass: Does Surgical Approach Matter?
AB - Background: The use of venovenous bypass in liver transplantation has declined
over time. Few studies have examined the impact of surgical approach in cases
performed exclusively without venovenous bypass. We hypothesized that advances in
liver transplant anesthesia and perioperative care have minimized the importance
of surgical approach in the modern era. Methods: Deceased donor liver transplants
at the University of Toronto from 2000 to 2015 were reviewed, all performed
without venovenous bypass. First, an unadjusted analysis was performed comparing
perioperative outcomes and graft/patient survival for 3 different liver
transplant techniques (caval interposition, piggyback, side-to-side cavo
cavostomy). Second, a propensity-matched analysis was performed comparing caval
interposition to caval-preserving techniques. Results: One thousand two hundred
thirty-three liver transplants were included in the study. On unadjusted
analysis, blood loss, transfusion requirement, postoperative complications, and
graft/patient survival were equivalent for the 3 different techniques. To account
for possible confounding patient variables, propensity matching was performed.
Analysis of the propensity-matched cohorts also demonstrated similar outcomes for
caval interposition versus caval-preserving approaches. Conclusions: In the
modern era at centers with a multidisciplinary team, the importance of specific
liver transplant technique is minimized. Full or partial cross-clamping of the
inferior vena cava is feasible without the use of venovenous bypass.
PMID- 29796420
TI - Single-Center Long-Term Analysis of Combined Liver-Lung Transplant Outcomes.
AB - Background: Combined lung-liver transplantation (LLT) applies 2 technically
challenging transplants in 1 patient with severe 2-organ failure. Methods:
Institutional medical records and United Network for Organ Sharing database were
queried for patients at our institution that underwent LLT from 2000 to 2016.
Results: Twelve LLTs were performed from 2000 to 2016 including 9 male and 3
female recipients with a median age of 28.36 years. Indications for lung
transplantation were cystic fibrosis (8), idiopathic pulmonary fibrosis (3), and
pulmonary fibrosis secondary to hepatopulmonary syndrome (1). Indications for
liver transplantation were cystic fibrosis (8), alcoholic cirrhosis (1),
idiopathic cirrhosis (2), and alpha-1 antitrypsin deficiency (1). Median forced
expiratory volume in 1 second at transplant was 27.8% (+/-20.38%), and mean Model
for End-Stage Liver Disease was 10.5 (+/-4.68). Median hospital stay was 44.5
days. Seventy-five percent of recipients had 1+ new infection during their
transplant hospitalization. Patients experienced 0.68 incidences of acute
rejection per year with a 41.7% (95% confidence interval, 21.3%-81.4%)
probability of freedom from rejection in the first-year. Patient survival was
100% at 30 days, 91.6% at 1 year, and 71.3% at 3 years. At the time of analysis,
7 of 12 patients were alive, of whom 3 survived over 8 years post-LLT. Causes of
death were primary liver graft failure (1), bronchiolitis obliterans syndrome
(2), and solid tumor malignancies (2). Conclusions: Our results indicate that LLT
is associated with comparable survival to other LLT series and provides a
granular assessment of infectious and rejection rates in this rare population.
PMID- 29796421
TI - Cyclophosphamide for Refractory Acute Cellular Rejection After Lung
Transplantation.
AB - Background: Acute cellular rejection (ACR) is a major risk factor for chronic
lung allograft dysfunction after lung transplantation. Acute cellular rejection
can persist or recur despite augmentation of immunosuppression by conventional
methods. There are limited therapeutic options in treating these recurrent and
refractory ACRs. We describe our experience with cyclophosphamide therapy for
recurrent and refractory ACR in lung transplant recipients. Methods: Six
consecutive patients who were treated with cyclophosphamide for recurrent or
refractory ACR were included in the series. The primary outcome measures were
improvement in ACR score and forced expiratory volume at 1 second. Secondary
outcome measures included adverse drug events including bone marrow suppression,
gastrointestinal side effects, and infections. Results: Five of the 6 patients
treated demonstrated complete resolution of ACR on follow-up biopsies. Acute
cellular rejection score improved after cyclophosphamide treatment (P = 0.03).
None of the patients had high grade (>=A3) ACR in the 3 months after
cyclophosphamide administration. Cyclophosphamide had no effect on forced
expiratory volume at 1 second trend or bronchiolitis obliterans score. All
patients tolerated cyclophosphamide with minor gastrointestinal side effects,
mild bone marrow suppression, and nonfatal infections that were amenable to
treatment. Conclusions: Cyclophosphamide therapy is an option in treating
recurrent and refractory ACR in patients who have failed conventional treatments.
Cyclophosphamide is tolerated well without serious adverse drug events (ADE).
PMID- 29796423
TI - Toxic Immunoglobulin Light Chain Autoantibodies are Associated with a Cluster of
Severe Complications in Older Adult Type 2 Diabetes.
AB - Aims: To assess neuronal depolarization evoked by autoantibodies in diabetic
depression compared to depolarization evoked by autoantibodies in control
patients. To determine whether a subset of severe (late-onset) diabetic
complications may be mediated in part by toxic immunoglobulin light chains that
may increase in diabetic nephropathy. Methods: Protein-A eluates from plasma of
21 diabetic depression patients and 37 age-matched controls were tested for
depolarization in hippocampal or immature neurons. Subsets of depolarizing or non
depolarizing autoantibodies were tested for neurite outgrowth inhibition in N2A
neuroblastoma cells or the ability to modulate Ca2+ release in HL-1 atrial
cardiomyocytes or in endothelial cells. The stability of depolarizing
autoantibodies was investigated by heat treatment (56 degrees C * 30 minutes) or
following prolonged exposure to the pro-protein convertase, furin. Gel filtration
of active depolarizing autoantibodies was performed to determine the apparent
molecular mass of peak neurotoxicity associated with the autoantibodies. Results:
Diabetic depression (n = 21) autoantibodies caused significantly greater mean
depolarization in neuroblastoma cells (P < 0.01) compared to autoantibodies in
diabetic (n = 15) or non-diabetic (n = 11) patients without depression.
Depolarizing autoantibodies caused significantly more (P=0.011) inhibition of
neurite outgrowth in neuroblastoma cells than non-depolarizing autoantibodies (n
= 10) and they evoked sustained, global intracellular Ca2+ release in atrial
cardiomyocytes or in endothelial cells. A subset of older diabetic patients
suffering with a cluster of nephropathy, non-ischemic cardiomyopathy and/or
depression demonstrated the presence of stable light chain dimers having apparent
MW of 46 kD and associated with peak neurotoxicity in neuroblastoma cells.
Conclusion: These data suggest that autoantibodies in older adult diabetic
depression cause long-lasting depolarization in hippocampal neurons including
adult dentate gyrus neural progenitor cells. The autoantibodies may impair adult
dentate gyrus neurogenesis associated with treatment-refractory depression via
several mechanisms including suppression of neurite outgrowth, and alteration of
membrane excitability. Stable, toxic light chain autoantibody components may
contribute to a cluster of severe (late-onset) complications characterized by
dysfunction in highly vascularized tissues.
PMID- 29796422
TI - Autoantibodies in Human Diabetic Depression Inhibit Adult Neural Progenitor Cells
In vitro and Induce Depressive-Like Behavior in Rodents.
AB - Aim: Diabetic depression increases in association with microvascular
complications. We tested a hypothesis that circulating autoantibodies having anti
endothelial and anti-neuronal properties increase in subsets of diabetes with co
morbid depression. Methods: Protein-A eluates from plasma of 20 diabetic
depression patients and 30 age-matched controls were tested for effects on
endothelial cell survival, neurite outgrowth in rat pheochromocytoma (PC12)
cells, or process extension and survival in adult rat dentate gyrus neural
progenitor cells. The protein-A eluates from depressed or non-depressed, diabetic
patients were injected (via intracerebroventricular route) into mice and 7-10
days later behavioral tests (sucrose preference, and tail suspension tests) were
conducted to determine whether the autoantibodies induced anhedonia or despair.
Results: Diabetic depression (n=20) autoantibodies caused a significant
inhibition of PC12 cell neurite outgrowth (P<0.001) or endothelial cell
proliferation compared to autoantibodies in control, diabetic (n=20) or non
diabetic (n=10) patients without depression. Process extension and survival in
adult rat dentate gyrus neural progenitor cells was significantly reduced
(P<0.001) by diabetic depression autoantibodies (n= 11) compared to the effects
from similar concentrations (5-7 MUg/mL) of autoantibodies in diabetic (n=12) or
non-diabetic patients without depression (n=7). Ten micromolar concentrations of
Y27632, a selective Rho-Associated Protein Kinase (ROCK) inhibitor, significantly
prevented (P<0.0001) neural progenitor cell process retraction induced by
diabetes depression autoantibodies (n=5). Mice treated with diabetic depression
autoantibodies (n=16 from two different patients' autoantibodies) exhibited
significantly reduced (P=0.027) sucrose preference (anhedonia) compared to mice
treated with diabetic control autoantibodies (n=16 from two different patients'
autoantibodies). Conclusion: These data suggest that autoantibodies in a subset
of older adult diabetic depression inhibit endothelial cell survival, and impair
process extension and survival in adult dentate gyrus neural progenitor cells in
vitro.
PMID- 29796425
TI - Dyspnea in the Supine Position after Anterior Cervical Discectomy and Fusion.
AB - Posterior occipitocervicothoracic fusion in a flexed position may cause dyspnea,
and the onset of obstructive sleep apnea after anterior upper cervical fusion.
However, there are no reports of dyspnea occurring after anterior lower cervical
fusion. Here, we present an unusual case of dyspnea in the supine position after
a C5-C6 anterior cervical discectomy and fusion.
PMID- 29796424
TI - The Impact of Frailty on Postoperative Cardiopulmonary Complications in the
Emergency General Surgery Population.
AB - Background The burden of frail patients undergoing emergency general surgery
(EGS) is increasing rapidly and this population is particularly susceptible to
postoperative cardiopulmonary complications and mortality. We aimed to determine
the association between frailty, as defined by the previously described modified
frailty index (mFI), and postoperative respiratory complications (unplanned
reintubation, pneumonia, and prolonged ventilation), cardiac complications
(myocardial infarction and cardiac arrest), and mortality. We also sought to
identify the most significant determinants of frailty in the highest risk
patients based on the specific variables comprising the mFI. Methods We
performed a retrospective observational analysis of the prospectively collected
American College of Surgeons National Surgical Quality Improvement Program
database. Files from 2005 to 2015 identified 132,765 inpatients who underwent
EGS. mFI scores were calculated for each patient. The effect of increasing
frailty on unplanned reintubation, pneumonia, prolonged ventilation, myocardial
infarction, cardiac arrest, and mortality was evaluated using bivariate analysis.
Multivariable logistic regression was used to compare mFI with additional
predictor variables including race, gender, physical status as defined by the
American Society of Anesthesiologists, disseminated cancer, renal failure,
smoking status, sepsis, wound presence/classification, dyspnea, and previous
ventilator dependence. Results Unplanned reintubation, pneumonia, prolonged
ventilation, myocardial infarction, cardiac arrest, and mortality were
significantly associated with frailty, and the odds of each postoperative
complication increased with increasing mFI score. Of the frailest patients (mFI
>=3) that experienced cardiopulmonary complications or mortality, the variables
of the mFI that contributed most to frailty were hypertension requiring
medication and functional status before surgery. Conclusions A higher mFI score
is associated with increased odds of postoperative cardiopulmonary complications
and mortality in the EGS population. Specific variables of the mFI can also
provide valuable information for assessing odds in the frailest patients
undergoing EGS.
PMID- 29796426
TI - The Modified Iliopubic Tract Repair-A Pain-Free Alternative.
AB - Background The open preperitoneal repair offers the benefits of placing the mesh
in the preferred position while avoiding the disadvantages of laparoscopic
repair. Methods A total of 60 patients with bilateral inguinal hernias were
randomized to undergo either the standard Lichtenstein meshplasty or the modified
iliopubic tract repair in a teaching hospital. Outcomes measured were immediate
postoperative pain, return to activity, and delayed neurological complications.
Results Patients who underwent the iliopubic tract repair walked out of bed
faster than the Lichtenstein group (6.3 hours vs 7.4 hours, p < 0.0001) and
experienced significant lower pain as charted by visual analogue scale scores
(3.28 vs 2.71 on day 1, 2.16 vs 1.71 on day 2, 1.92 vs 1.08 on day 3; p < 0.05).
Delayed complications like chronic inguinal pain and numbness were not seen in
the iliopubic tract group. However, this difference was not statistically
significant ( p > 0.05). Conclusion The iliopubic tract repair offers an
excellent alternative to the Lichtenstein meshplasty, and is associated with
lower postoperative pain, earlier return to work, and lower delayed neurological
complications.
PMID- 29796427
TI - Using an introduction website to start a family: implications for users and
health practitioners.
AB - Donor insemination treatment offered in licensed clinics protects the donor,
recipient and offspring both medically and legally. The Internet has opened up
novel, unregulated ways of donating sperm through 'introduction websites' and
social media forums. Broadly, three categories of women use introduction
websites: those who want to have a child with no further involvement of the
donor; those who wish to know the identity of the donor from the start; and those
who intend to electively co-parent, that is, to bring up the child together with
the donor/father. Donors may choose to donate through introduction websites for
altruistic reasons and/or in order to have greater involvement with the child.
There are some donors who are motivated by the prospect of a sexual encounter,
advertising their preference for 'natural insemination' - i.e. via sexual
intercourse or partial intercourse. When people make their own arrangements
online, they may do so in the absence of clear, accurate information. This
article, sets out some of the issues that recipients and donors ought to consider
before embarking on unregulated sperm donation.
PMID- 29796428
TI - Romanian Journal of Ophthalmology is indexed in PMC and PUBMED. More to come on
the indexing processes soon.
PMID- 29796429
TI - The role of Optical Coherence Tomography in optic neuropathies.
AB - : Optical neuropathies are neuro-ophthalmologic disorders, the main symptoms of
which are the decrease of visual acuity and the alteration of the color vision.
Optical coherence tomography has been one of the most important innovations in
ophthalmology, which offered the possibility to analyze specific structures of
the retina. Optical coherence tomography performs in vivo, real-time, noncontact
scanning and provides cross-sectional and volumetric images with a resolution
approaching that of histology. Optical coherence tomography offers the
opportunity to study neurological diseases in an objective and non-invasive
manner. The measurements of retinal nerve fiber layer can be an objective
measurement of nerve swelling or nerve atrophy. By analyzing the ganglion cell
complex, optical coherence tomography can help detect early axonal damage and may
predict the visual outcome. It can be useful for diagnosis and follow-up of optic
nerve and chiasmal compressive diseases. Furthermore, optical coherence
tomography is useful in patients with multiple sclerosis in distinguishing
macular disease from optic neuritis and in monitoring the treatment. Multiple
studies and clinical observations support the importance of optical coherence
tomography in the diagnosis, treatment, and follow-up of optic neuropathies.
ABBREVIATIONS: OCT = optical coherence tomography, VA = visual acuity, RNFL =
retinal nerve fiber layer, GCL = ganglion cells layer, MS = multiple sclerosis,
ON = optic neuropathy, NAION = non-arteritic ischemic anterior optic neuropathy,
LHON = Leber hereditary optic neuropathy, RE = right eye, LE = left eye.
PMID- 29796431
TI - Effect of sevoflurane preconditioning on light-induced retinal damage in diabetic
rats.
AB - Hyperglycemia and bright light are powerful stress agents that produce an
enhanced retinal damage, when simultaneously acting on retina. Previous studies
have shown that preconditioning with sevoflurane anesthesia offers a certain
degree of protection to retinal cells against light damage. The objective of this
study was to explore the effect of sevoflurane anesthetic preconditioning on a
model of light-induced retinal degeneration in diabetic rats. Wistar rats that
were randomly divided into four groups: control (rats exposed to photostress),
group 1 (rats exposed to photostress and sevoflurane preconditioning), group 2
(diabetic rats exposed to photostress), group 3 (diabetic rats exposed to
photostress and sevoflurane preconditioning) were used for this experiment. We
recorded basal electroretinogram (ERG), at 36 h and 14 days after photostress and
performed histological analysis of the retina. Results showed that sevoflurane
has a protective effect on light-induced neuroretinal degeneration proved by
significantly less variations of the ERG before and after photostress. Diabetes
appears to increase the damaging effect of photostress on retina and attenuate
the protection provided by sevoflurane preconditioning.
PMID- 29796432
TI - Electrophysiologic evaluation of the visual pathway at different depths of
sevoflurane anesthesia in diabetic rats.
AB - Our study investigated the changes produced by diabetes on the visual pathway in
a Wistar rat model. The impact of diabetes at 10 weeks after intraperitoneal
streptozotocin (STZ) injection was evaluated through electrophysiological methods
like visual evoked potentials (VEP) and electroretinogram (ERG). VEP and ERG were
recorded simultaneously under different sevoflurane anesthetic depths. In all
tested concentrations, sevoflurane affected the amplitude and latency of VEP and
ERG component elements. With increasing anesthetic depths, sevoflurane increased
the latencies of VEP N1, P1 and N2 peaks and ERG a- and b- waves in both control
and diabetic animals. On the other hand, the amplitude of VEP showed enhancement
in higher concentrations of sevoflurane, contrariwise to the drop of amplitude
seen in the ERG. Diabetes additionally increased the latencies of VEP peaks and
decreased the N1-P1 amplitude of the VEP when compared to control at the same
anesthetic depth. The a- and b- waves were also delayed by diabetes at 10 weeks
post-STZ diabetic induction, with the exception of highly profound anesthetic
depth in which the result for the b wave were conflicting. We found a reduction
in amplitude of the a-b wave in diabetic animals, when ERG was recorded under 6%
and 8% sevoflurane concentration. In conclusion, neurophysiological studies like
VEP and ERG are useful in the assessment of retinal and optic nerve dysfunctions
produced by diabetes, yet considering the alterations that occur during
anesthesia if this is used.
PMID- 29796430
TI - Ocular implants-methods of ocular reconstruction following radical surgical
interventions.
AB - The main motivation of an ocular-orbital reconstruction after a radical surgical
intervention (evisceration, enucleation) is represented by the psychological and
socio-economic impact of such interventions on life conditions of patients. The
current methods for ocular prosthesis are based on a new concept, which is
nanotechnology, and its main objectives represent the reconstruction of the
remaining orbital volume, reduction of postoperative complications and
maintaining a satisfactory esthetical aspect. This review will discuss the
numerous types of ocular implants that have been used throughout history as well
as the most recent methods used by ophthalmic surgeons, also taking into
consideration the advantages and disadvantages from a cosmetic, functional and
short and long term postoperative complications point of view.
PMID- 29796433
TI - Correlations between internal and external ocular factors and macular pigment
optical density.
AB - AIM: To assess the relationship between the macular pigment optical density and
blue-light issued by computers, glare sensibility, with iris color, age, sex, or
refractive errors. MATERIAL AND METHODS: 83 patients (166 eyes) were enrolled in
a prospective observational study. They were divided into 2 groups: group 1
(study group) - computer using patients (time spent in front of the computer for
minimum 8 hours per day, 5 days per week, 2 years) - 43 patients and group 2
(control group) - 40 patients. The following investigations were conducted in all
the selected cases: visual acuity, refraction, biomicroscopy, measurement of the
MPOD, glare sensitivity, assessment of eye color. RESULTS: 51.81% of the patients
were included in group 1, while the rest, 48.19%, were in group 2. Thus, the MPOD
had a mean value of (+/ -SD) 0.42+/ -0.13 (t = -1.08, p = 0.28) in group 1, and
0.44+/ -0.16 on the LE. The results showed a MPOD mean value of 0.51+/ - 0.16 in
group 2 and 0.51+/ -0 .16. (t = 0.49, p = 0 .62) on the LE. 55.77% of the
patients with light colored iris and 56.14% of those with dark iris had a low
MPOD. CONCLUSIONS: The data from our study failed to illustrate a significant
correlation between MPOD and blue-light issued by computers. Furthermore, a
statistic significant relationship regarding iris color, refractive errors,
glare, and MPOD was not observed. ABBREVIATIONS: L = lutein, Z = zeaxanthin, MZ =
meso-zeaxanthin, AMD = age related macular degeneration, MPOD = macular pigment
optical density, MP = macular pigment, HFP = Heterochromatic Flicker Photometry,
RE = right eye, LE = left eye.
PMID- 29796434
TI - Medical and legal point of view for low-vision patients.
AB - The aim of the study was to highlight the medical and legal difficulties in
framing low-vision patients for certification. We performed a retrospective
observational study conducted from January 2013 to January 2016, on 63 patients
with the mean age of 16.37+/-3.34 years, evaluated at the Ophthalmology Clinic
from "Sf. Spiridon" Hospital, Iasi, in order to release a medical certificate
required at the Expertise Board. The clinical parameters observed were visual
acuity (VA) with correction, objective refraction (in Spherical Equivalent -
SEq), intraocular pressure, slit lamp examination of the anterior pole, fundus
examination, orthoptic eye exam, and ocular ultrasonography (in selected cases).
The main causes for the decreased visual acuity found are refractive or strabic
amblyopia determined by: high myopia (28.57%), esotropia (19.04%), astigmatism
(17.46); congenital diseases - congenital nystagmus (12.69%), congenital cataract
(7.93%), microphthalmia (7.93%); acquired diseases - retinopathy of prematurity
(9.52%), optic nerve atrophy (7.93%), bandelette keratopathy (6.34); ocular
trauma (7.93%). In 52.38% of the cases for the RE and 53.96% of the cases for the
LE, decreased visual acuity was caused by an irreversible condition and could not
be improved. Patients come every year for reevaluation in order to receive the
medical certificate required at the Expertise Board. Evaluating the patient for a
certificate for visual impairment is a time consuming process due to the high
number of investigations necessary and, sometimes, difficult collaboration with
the patient with associated general pathology. It also requires knowledge of
frequently changing legislation to complete legal forms for patients with visual
impairment. A medical certificate may now be issued with a validity of up to four
years, given that certain diseases are irreversible and visual functional status
does not change over time.
PMID- 29796435
TI - Measuring the perceived quality of ophthalmology services in private
organizations. A marketing perspective.
AB - : Nowadays, the competition registered on the Romanian markets regarding the
activity of private ophthalmology organizations has raised their interest in
developing consumer-oriented strategies. The key factor that assures a
differentiation as well as a competitive advantage is the service quality from a
marketing perspective. OBJECTIVES: From a marketing perspective, service quality
is measured as a perceived discrepancy between the consumers' expectations and
was actually performed in health care services. The most widely and validated
measurement is the SERVQUAL scale. However, a variety of SERVQUAL scales have
been applied in different health care environments without taking into
consideration the specialty of the health care service. Thus, the objective of
this paper was to measure the service quality in the Romanian ophthalmology
private organizations using the SERVQUAL measurement, by identifying the SERVQUAL
dimensions, which register the highest and the lowest gap scores. MATERIALS AND
METHODS: The instrument for data collection was the SERVQUAL self-administered
questionnaire that consisted of 22 items measured on a 5-point Likert scale. The
sample size encompassed 100 participants and the sampling technique was the
snowball. The internal consistency, validity and the reliability of the SERVQUAL
scale was determined by the Cronbach's alpha coefficients and factor analysis.
The SERVQUAL questionnaire focused on 5 dimensions (tangibles, reliability,
assurance, empathy and responsiveness) and each dimension, in its turn, was
characterized by different items. RESULTS: The mean age of the participants was
49.52 years, with a mean income of 3031 Romanian Currency and the mean period of
wearing eyeglasses was 5 years (+/-2). Further, there were 47% females and 53%
males. The overall internal consistency of the SERVQUAL scale, as well as the
dimensions' internal consistency were all above 0.7 and the factor analysis
revealed that the items loaded properly on each dimension. Moreover, the gap
scores of the SERVQUAL scale's dimensions pinpointed that the highest gap score
was registered by the Tangibles dimension and the lowest gap score was registered
by the Reliability dimension. CONCLUSIONS: Performing the ophthalmology service
right the first time, contributes significantly to the improvement of the
marketing effectiveness and the operating efficiency.
PMID- 29796436
TI - Leber's hereditary optic neuropathy - Case report.
AB - : Leber's hereditary optic neuropathy is the most common mitochondrial condition
and is characterized by bilateral, painless, subacute visual loss that develops
during young adult life. LHON is a rare condition and this lack of knowledge can
make doctors suspect and treat for other causes of vision loss. Typically, a
series of tests are performed to confirm LHON diagnosis or exclude any other
conditions. We presented the case of two brothers, HB, of 40 years old and HF, of
38 years old, who presented with a decrease in visual acuity in both eyes. The
patients had been diagnosed with optic atrophy of unknown cause a long time ago,
but no further investigations were made. They were treated with corticosteroids,
antioxidants and vasodilators, but with no significant benefit. A blood test of
the mitochondrial DNA, a magnetic resonance imaging and an optic coherence
tomography of the optic nerve and macula were part of the following assessment of
our patients. The mitochondrial DNA analyses revealed the 3460 G>A mutation on
the mtND1 gene in both patients. Based on the medical history, the fundus aspect,
the optic coherence tomography and the paraclinical investigations of the
diagnosis of Leber's hereditary optic neuropathy were established in both
patients. We started the treatment with idebenone and we evaluated the patients
after three months. ABBREVIATIONS: LHON = Leber's hereditary optic neuropathy,
mtDNA = mitochondrial DNA, VA = visual acuity, CF = count fingers, OCT = optical
coherence tomography, RNFL = retinal nerve fiber layer, GCL = ganglion cells
layer, MS = multiple sclerosis, MRI = magnetic resonance imaging, MTI =
magnetization transfer imaging, MTR = magnetization transfer ratio.
PMID- 29796437
TI - Leukemic retinophaty, the first manifestation in a case of acute myelogenous
leukemia.
AB - A 42-year-old woman, without a specific medical history presented at the
Department of Emergency Ophthalmology accusing marked decrease of vision for the
left eye (VA 1/ 100). The eye examination revealed an optic neuropathy with
multiple retinal hemorrhages at the level of both eyes, but more acutely on the
left eye. The brain computer tomography (CT) excluded the suspicion of increasing
intracranial pressure. The common blood tests such as complete blood count (CBC),
erythrocyte sedimentation rate, and inflammatory markers raised a high suspicion
of a malignant haematological disease.
PMID- 29796438
TI - Multiple sclerosis with ophthalmologic onset - case report.
AB - Ophthalmological and neurological signs and symptoms were assessed in a patient
diagnosed with retrobulbar optic neuritis associated with multiple sclerosis
(MS). The patient presented with progressive decrease of visual acuity,
intermittent diplopia, paresthesia of the left arm and equilibrium disturbances.
The complete ophthalmologic examination (clinical examination, visual field,
optical coherence tomography) along with an MRI exam supported the diagnosis of
MS with active lesions associated with retrobulbar optic neuritis. The
corticosteroid therapy, followed by betaferon led to the remission of both
ophthalmological and neurological signs. The multidisciplinary approach of the
case played an important role in the early establishment of the diagnosis as well
as the functional recovery of this patient.
PMID- 29796439
TI - Various therapies for ocular surface diseases.
AB - : This paper aims to discuss various therapeutical strategies (corneal cross
linking, amniotic membrane transplantation with or without autologous serum
application, medical regenerative therapy) for treating ocular surface diseases
according to medical indications, etiology, local and general status of the
patient. Besides the evolution and treatment of the lesions induced by corneal
foreign body, ocular burns, neurotrophic keratitis, pterygium removal, Mooren's
ulcer, this paper also follows and evaluates the migration, stratification and
development of corneal epithelium. Of course, the success of the treatment
depended on the therapeutic approach, the cause of the disease, the status of the
eye and the patients' compliance. All cases presented had good results, proving
once again, that a well-chosen therapeutic approach ensures the improvement or
cure for many ocular surface diseases nowadays. ABBREVIATIONS: BVCA = best
corrected visual acuity, OCT = optical coherence tomography, CLX = corneal cross
linking.
PMID- 29796440
TI - Developing Novel Machine Learning Algorithms to Improve Sedentary Assessment for
Youth Health Enhancement.
AB - Sedentary behavior of youth is an important determinant of health. However,
better measures are needed to improve understanding of this relationship and the
mechanisms at play, as well as to evaluate health promotion interventions.
Wearable accelerometers are considered as the standard for assessing physical
activity in research, but do not perform well for assessing posture (i.e.,
sitting vs. standing), a critical component of sedentary behavior. The machine
learning algorithms that we propose for assessing sedentary behavior will allow
us to re-examine existing accelerometer data to better understand the association
between sedentary time and health in various populations. We collected two
datasets, a laboratory-controlled dataset and a free-living dataset. We trained
machine learning classifiers separately on each dataset and compared performance
across datasets. The classifiers predict five postures: sit, stand, sit-stand,
stand-sit, and stand?walk. We compared a manually constructed Hidden Markov model
(HMM) with an automated HMM from existing software. The manually constructed HMM
gave more F1-Macro score on both datasets.
PMID- 29796441
TI - Differential effects of various soy isoflavone dietary supplements
(nutraceuticals) on bacterial growth and human fibroblast viability.
AB - Flavonoids, polyphenolic compounds present in many food products, affect growth
of different bacterial species when tested as purified or synthetic substances.
They can also influence gene expression in human cells, like fibroblasts. Here,
we asked if soy isoflavone extracts, commonly used in many products sold as anti
menopausal dietary supplements, influence bacterial growth similarly to a
synthetic isoflavone, genistein. Four commercially available products were tested
in amounts corresponding to genistein concentrations causing inhibition of growth
of Vibrio harveyi (a model bacterium sensitive to this isoflavone) and
Escherichia coli (a model bacterium resistant to genistein). Differential effects
of various extracts on V. harveyi and E. coli growth, from stimulation, to no
changes, to inhibition, were observed. Moreover, contrary to genistein, the
tested extracts caused a decrease (to different extent) in viability of human
dermal fibroblasts. These results indicate that effects of various soy isoflavone
extracts on bacterial growth and viability of human cells are different, despite
similar declared composition of the commercially available products.
PMID- 29796442
TI - The favourable effect of catechin in electrochemotherapy in human pancreatic
cancer cells.
AB - Until recently, green tea polyphenols were considered strong antioxidants.
However, the latest reports have revealed that bioflavonoids can play a multiple
role in anticancer therapy, including the inhibition of cell proliferation and
generation of the oxidative stress in a dose-dependent manner. The presented
research was designed to examine the potential of the green tea (+/-)-catechin as
a reinforcement of the electrochemotherapy (ECT) with cisplatin in pancreatic
cancer in vitro. The study was performed on two cell lines of the pancreatic
ductal adenocarcinoma (PDA) - parental EPP85-181P and multidrug-resistant EPP85
181RNOV. Prior to the ECT protocol the cells were preincubated with high or low
concentration of catechin for 2 or 24 hours, respectively. We assessed the
influence of preincubation on the cisplatin toxicity with and without
electroporation (EP), the electrosensitivity of PDA cell lines and the uptake of
the daunorubicin and propidium iodide. Additionally, we evaluated the
antioxidative properties of catechin by the measurement of the ROS-related
fluorescence and the immunoreactivity of the oxidative stress-related enzymes
superoxide dismutase (SOD2) and glutathione S-transferase (GST). We found that co
treatment with catechin can firmly enhance the efficacy of electroporation with
cisplatin in vitro. More favorable effect was obtained for 2-hour incubation,
which indicates the involvement of the transcriptional-independent mechanisms of
catechin action. The effect may be partially explained by the increased oxidative
stress level, which was higher in multidrug-resistant cells. However, further
studies on cisplatin-catechin interplay and the thorough examination of the
catechin-cell membrane interaction need to be performed.
PMID- 29796444
TI - Discrimination analysis of excitatory and inhibitory neurons using Raman
spectroscopy.
AB - We have succeeded in discriminating between intact excitatory and inhibitory
neuronal cells with Raman analysis. Excitatory and inhibitory neurons have
several differences in their electric activities, but it can be difficult to
determine their types based only on visual appearances. As Raman spectroscopy
does not require any staining or labeling, its use in live neuronal cells is
possible. In the present study, we used primary neurons obtained from rat
cerebral cortexes, which we cultured on a glial feeder layered culturing dish for
15 days. The Raman spectra of the intact neurons on the dish were obtained; the
neurons were then immunostained and their types determined. Partial least squares
regression-discriminant analysis (PLSR-DA) was employed for classification of the
excitatory and inhibitory neurons. The results demonstrated a high feasibility
for use of Raman spectroscopy for discrimination analysis of inhibitory and
excitatory neurons in a nondestructive manner.
PMID- 29796443
TI - The interaction of new oxicam derivatives with lipid bilayers as measured by
calorimetry and fluorescence spectroscopy.
AB - The purpose of the present work was to assess the ability of five new oxicam
analogues to interact with the lipid bilayers. To characterize the interaction of
newly synthesized NSAIDs (non-steroidal anti-inflammatory drugs) analogues with
DPPC lipid bilayers the two following techniques were applied - differential
scanning calorimetry (DSC) and fluorescence spectroscopy. The results obtained by
these experimental approaches show that new oxicams analogues interact with the
lipid model membranes under consideration. As demonstrated both in calorimetric
and spectroscopic studies, the greatest influence on the thermotropic properties
of the lipid membrane and on the quenching of fluorescence of Laurdan and Prodan
was exerted by a derivative named PR47 containing in its structure a two-carbon
aliphatic linker with a carbonyl group, as well as bromine and trifluoromethyl
substituents.
PMID- 29796445
TI - In situ growth of graphdiyne on arbitrary substrates with a controlled-release
method.
AB - A versatile controlled-release method was developed for the in situ growth of
graphdiyne on arbitrary substrates. Cu2+-Ions escaped from the
polyvinylpyrrolidone/copper acetate film on the surface of various substrates
(e.g. SiO2, ZnO, Al, etc.), acting as the catalyst for the acetylenic coupling
reaction.
PMID- 29796446
TI - Advancing semiconductor-electrocatalyst systems: application of surface
transformation films and nanosphere lithography.
AB - Photoelectrochemical (PEC) cells offer the possibility of carbon-neutral solar
fuel production through artificial photosynthesis. The pursued design involves
technologically advanced III-V semiconductor absorbers coupled via an interfacial
film to an electrocatalyst layer. These systems have been prepared by in situ
surface transformations in electrochemical environments. High activity
nanostructured electrocatalysts are required for an efficiently operating cell,
optimized in their optical and electrical properties. We demonstrate that shadow
nanosphere lithography (SNL) is an auspicious tool to systematically create three
dimensional electrocatalyst nanostructures on the semiconductor photoelectrode
through controlling their morphology and optical properties. First results are
demonstrated by means of the photoelectrochemical production of hydrogen on p
type InP photocathodes where hitherto applied photoelectrodeposition and SNL
deposited Rh electrocatalysts are compared based on their J-V and spectroscopic
behavior. We show that smaller polystyrene particle masks achieve higher defect
nanostructures of rhodium on the photoelectrode which leads to a higher catalytic
activity and larger short circuit currents. Structural analyses including HRSEM
and the analysis of the photoelectrode surface composition by using photoelectron
spectroscopy support and complement the photoelectrochemical observations. The
optical performance is further compared to theoretical models of the
nanostructured photoelectrodes on light scattering and propagation.
PMID- 29796449
TI - Low-cost, flexible, disinfectant-free and regular-array three-dimensional
nanopyramid antibacterial films for clinical applications.
AB - In this work, a low-cost, scalable and highly repeatable approach was developed
to prepare polystyrene films with three-dimensional nanopyramids on the surface.
The nanopyramids have a tunable aspect ratio and more importantly, their anti
bacterial performance has been systematically studied. The effectiveness of the
nanopyramids on E. coli growth inhibition and the role of the nanostructure
aspect ratio were carefully studied through scanning electron microscopy and
confocal laser scanning microscopy. The results showed an excellent antibacterial
performance with more than 90% reduction in the E. coli population in all
nanopyramid samples after a 168 h prolonged incubation time. The nanopyramid film
developed here can be used for clinical and commercial applications to prevent
the growth of pathogenic bacteria on various surfaces.
PMID- 29796447
TI - Copper(ii)-benzotriazole coordination compounds in click chemistry: a diagnostic
reactivity study.
AB - This diagnostic study aims to shed light on the catalytic activity of a library
of Cu(ii) based coordination compounds with benzotriazole-based ligands. We
report herein the synthesis and characterization of five new coordination
compounds formulated as [CuII(L4)(MeCN)2(CF3SO3)2] (1), [CuII(L5)2(CF3SO3)2] (2),
[CuII(L6)2(MeCN)(CF3SO3)].(CF3SO3) (3),
[CuII(L6)2(H2O)(CF3SO3)].(CF3SO3).2(Me2CO) (4), and
[Cu(L1)2(L1')2(CF3SO3)2]2.4(CF3SO3).8(Me2CO) (5), derived from similar nitrogen
based ligands. The homogeneous catalytic activity of these compounds along with
our previously reported coordination compounds (6-13), derived from similar
ligands, is tested against the well-known Cu(i)-catalysed azide-alkyne
cycloaddition reaction. The optimal catalyst [CuII(L1)2(CF3SO3)2] (10) activates
the reaction to afford 1,4-disubstituted 1,2,3-triazoles with yields up to 98%
and without requiring a reducing agent. Various control experiments are performed
to optimize the method and examine parameters such as ligand variation, metal
coordination geometry and environment, in order to elucidate the behaviour of the
catalytic system.
PMID- 29796450
TI - Direct measurements of the temperature, depth and processing dependence of phenyl
ring dynamics in polystyrene thin films by beta-detected NMR.
AB - There is indirect evidence that the dynamics of a polymer near a free surface are
enhanced compared with the bulk but there are few studies of how dynamics varies
with depth. beta-Detected nuclear spin relaxation of implanted 8Li+ has been used
to directly probe the temperature and depth dependence of the gamma-relaxation
mode, which is due to phenyl rings undergoing restricted rotation, in thin films
of atactic deuterated polystyrene (PS-d8) and determine how the depth dependence
of dynamics is affected by sample processing, such as annealing, floating on
water and the inclusion of a surfactant, and by the presence of a buried
interface. The activation energy for the gamma-relaxation process is lower near
the free surface. Annealing the PS-d8 films and then immersing in water to mimic
the floating procedure used to transfer films had negligible effects on the
thickness of the region near the free surface with enhanced mobility.
Measurements on a bilayer film indicate enhanced phenyl ring dynamics near the
buried interface compared with a single film at the same depth. PS-d8 films
annealed with the surfactant sodium dodecyl sulfate (SDS) deposited on the
surface show enhanced dynamics in the bulk compared with a pure PS-d8 film and a
PS-d8 film where the SDS was washed away. There is less contrast between the
surface and bulk in the SDS-treated sample, which could account for the
elimination of the Tg confinement effect observed in films containing SDS [Chen
and Torkelson, Polymer, 2016, 87, 226].
PMID- 29796451
TI - Field-induced dipolar attraction between like-charged colloids.
AB - The field induced anisotropic interactions between like-charged colloidal
particles is studied using direct numerical simulations, where the polarization
of the electric double layer is explicitly computed under external AC electric
fields. These interactions are found to depend on the magnitude E0 and frequency
omega of the applied field, as well as the zeta potential, the Debye length, and
the relative orientation of the particles. We also determined the range of E0 and
omega over which a dipolar attraction is induced between a pair of like-charged
colloids. Finally, we performed simulations for systems of six and twelve
colloidal particles to study the stability of pear-chain-like configurations.
PMID- 29796454
TI - Construction of half-sandwich multinuclear complexes including tunnel
architectures via C-H-activation-directed assembly.
AB - Three aromatic ligands containing carboxyl- or nitrogen-substituted groups were
employed in the construction of half-sandwich complexes via C-H activation
directed assembly, leading to the construction of eleven multinuclear
organometallic iridium or rhodium complexes, including those of bi-, tetra-, hexa
and octanuclear, under mild conditions, with the help of N-donor linkers. These
complexes were characterized by proton NMR, IR spectroscopy, elemental analysis,
electrospray ionization (ESI) mass spectrometry and single-crystal X-ray
diffraction analysis. In these complexes, two complexes were observed to exist as
isomers, and several racemic enantiomers can be found. X-ray crystal structure
determinations show that a series of supramolecular tunnel architectures are
formed by stacking through hydrogen-bond interactions, and solvent or
trifluoromethylsulfonate anion guests were found to be located in certain parts
of the channels. This work represents the first successful construction of
octanuclear half-sandwich complexes based on the cleavage of C-H bonds.
PMID- 29796452
TI - Modelling of surfactant-driven front instabilities in spreading bacterial
colonies.
AB - The spreading of bacterial colonies at solid-air interfaces is determined by the
physico-chemical properties of the involved interfaces. The production of
surfactant molecules by bacteria is a widespread strategy that allows the colony
to efficiently expand over the substrate. On the one hand, surfactant molecules
lower the surface tension of the colony, effectively increasing the wettability
of the substrate, which facilitates spreading. On the other hand, gradients in
the surface concentration of surfactant molecules result in Marangoni flows that
drive spreading. These flows may cause an instability of the circular colony
shape and the subsequent formation of fingers. In this work, we study the effect
of bacterial surfactant production and substrate wettability on colony growth and
shape within the framework of a hydrodynamic thin film model. We show that
variations in the wettability and surfactant production are sufficient to
reproduce four different types of colony growth, which have been described in the
literature, namely, arrested and continuous spreading of circular colonies,
slightly modulated front lines and the formation of pronounced fingers.
PMID- 29796455
TI - Dissociative adsorption of a multifunctional compound on a semiconductor surface:
a theoretical study of the adsorption of hydroxylamine on Ge(100).
AB - The adsorption behavior of hydroxylamine on a Ge(100) surface was investigated
using density functional theory (DFT) calculations. These calculations predicted
that hydroxylamine, a multifunctional compound consisting of a hydroxyl group and
an amine group, would initially become adsorbed through N-dative bonding, or
alternatively through the hydroxyl group via O-H dissociative adsorption. An N-O
dissociative reaction may also occur, mainly via N-dative molecular adsorption,
and the N-O dissociative product was calculated to be the most stable of all the
possible adsorption structures. The calculations furthermore indicated the
formation of the N-O dissociative product from the N-dative structure to be
nearly barrierless and the dissociated hydroxyl and amine groups to be bonded to
two Ge atoms of adjacent Ge dimers. Simulated STM images suggested the change in
electron density that would occur upon adsorption of hydroxylamine in various
adsorption configurations, and specifically indicated the N-O dissociative
product to have greater electron density around the amine groups, and the
hydroxyl groups to mainly contribute electron density to the unoccupied
electronic states.
PMID- 29796456
TI - The mechanism of photocatalytic CO2 reduction by graphene-supported Cu2O probed
by sacrificial electron donors.
AB - Cu2O nanoparticles of 5 nm average size have been adsorbed (1.74 wt% loading) on
defective graphene (Cu2O/G) previously obtained by the pyrolysis of alginic acid
sodium salt. The Cu2O crystal phase was determined by XRD. XPS shows that the
external layers of the Cu2O nanoparticles are constituted mainly of Cu+ although
a certain percentage of CuII+ was also present. Cu2O/G is a photocatalyst for the
CO2 reduction to methane in the presence of sacrificial agents, and the rate of
CH4 production depends on the oxidation potential of the electron donor. This
relationship supports a mechanism involving photoinduced charge separation with
the generation of electrons and holes. The highest CH4 formation rate upon UV-Vis
irradiation of Cu2O/G with a 300 W Xe lamp was achieved for dimethylaniline
reaching 326 MUmol CH4 per g per h. The spectral response of the Cu2O
photocatalyst shows, however, that the response of the photocatalyst is mainly
due to UV irradiation, indicating that light absorption at the low Cu2O loading
on the Cu2O/G photocatalyst occurs mainly on the graphene component.
PMID- 29796458
TI - Aromatic cage-like B34 and B35+: new axially chiral members of the borospherene
family.
AB - Shortly after the discovery of all-boron fullerenes D2d B40-/0 (borospherenes),
the first axially chiral borospherenes C3/C2 B39- were characterized in
experiments in 2015. Based on extensive global minimum searches and first
principles theory calculations, we present herein two new axially chiral members
to the borospherene family: the aromatic cage-like C2 B34(1) and C2 B35+(2). Both
B34(1) and B35+(2) feature one B21 boron triple chain on the waist and two
equivalent heptagons and hexagons on the cage surface, with the latter being
obtained by the addition of B+ into the former at the tetracoordinate defect
site. Detailed bonding analyses show that they follow the universal bonding
pattern of sigma + pi double delocalization, with 11 delocalized pi bonds over a
sigma skeleton. Extensive molecular dynamics simulations show that these
borospherenes are kinetically stable below 1000 K and start to fluctuate at 1200
K and 1100 K, respectively. The IR, Raman, and UV-vis spectra of 1 and 2 are
computationally simulated to facilitate their experimental characterization.
PMID- 29796459
TI - A revisit to the structure of Au20(SCH2CH2Ph)16: a cubic nanocrystal-like gold
kernel.
AB - Coinage metal clusters stabilized by organic ligands such as phosphine or
organothiolate are well known to possess multi-twinned gold cores, and the face
centered-cubic (fcc) metal atom packing is unstable until the cluster size
reaches a certain threshold. In this study, we searched for the smallest size
gold nanocrystal protected by thiolate ligands by means of the crystal facet
cleavage (CFC) method. Starting from the nanocrystal-like Au28(SR)20 cluster,
after cleaving two different crystal facets and patching the ligand shells, we
obtained five nanocrystal-like Au20(SR)16 isomers. These fcc-structured Au20
clusters were quite different from non-fcc Au20(SPh-tBu)16; the latter's total
structure was determined by single X-ray diffraction. By employing dispersion
correction density functional theory (DFT-D) calculations and considering ligand
effects, we found that fcc-structured Au20(SR)16 isomers had comparable or even
lower energies when compared with the non-fcc structure found in Au20(SPh-tBu)16.
Furthermore, the calculation of optical absorption spectra based on predicted fcc
isomers indicated that the cubic nanocrystal-like isomer structure is a good
candidate to understand the structure of the Au20(SCH2CH2Ph)16 cluster.
PMID- 29796460
TI - A facile and processable integration strategy towards Schiff-base polymer-derived
carbonaceous materials with high lithium storage performance.
AB - Herein, a novel in situ concentrated-solution-induced polymerization strategy is
developed towards the integration of Schiff-base networks into graphene foam with
processable and moldable characteristics. This bottom-up design process endows
the resultant composites with a high nitrogen content (9.6 at%) and abundant
porosity and accordingly demonstrates high lithium storage properties.
PMID- 29796461
TI - Standing, lying, and sitting: translating building principles of the cell
membrane to synthetic 2D material interfaces.
AB - A striking number of problems in modern materials chemistry relate to controlling
structure at scales 5-10 nm, important in applications ranging from nanoscale
electronics to organic materials for energy conversion. Interfacial patterning is
potentially valuable in establishing and stabilizing high-resolution structural
features. While chemical patterning at such short length scales is unusually
difficult using many traditional top-down approaches, it has been achieved with
remarkable efficiency and chemical diversity in two seemingly unrelated areas: in
the lipid bilayers that make up cell membranes, and in the noncovalent
functionalization of 2D materials such as graphene. At the intersection of these
two areas are lessons of significant utility for controlling synthetic material
interface chemistry across a range of length scales.
PMID- 29796462
TI - Metal- and base-free synthesis of imidazo[1,2-a]pyridines through elemental
sulfur-initiated oxidative annulation of 2-aminopyridines and aldehydes.
AB - The elemental sulfur-promoted oxidative cyclization reaction for the efficient
synthesis of substituted imidazo[1,2-a]pyridines has been developed. Easily
available 2-aminopyridines and aldehydes were directly assembled in a highly atom
economical fashion through oxidative annulation under metal- and base-free
conditions. Besides arylacetaldehydes, aliphatic aldehydes were also compatible
with this system to deliver the alkyl-substituted imidazo[1,2-a]pyridines in
excellent yields with the capability of gram-scale synthesis.
PMID- 29796463
TI - Damage in elastomers: healing of internally nucleated cavities and micro-cracks.
AB - Following on the work of Poulain et al. (Damage in elastomers: Nucleation and
growth of cavities, micro-cracks, and macro-cracks, Int. J. Fract., 2017, 205, 1
21), this paper presents an investigation of the response of cavities/cracks
internally nucleated within a transparent PDMS elastomer that is confined between
two firmly embedded stiff beads and subjected to quasistatic cyclic loading
unloading. Specifically, it is observed that cracks that nucleate and propagate
to reach tens of microns in length during the loading can heal completely upon
unloading. They do so autonomously within a time scale of seconds. Furthermore,
the regions of the elastomer that experience healing appear to acquire higher
strength or toughness.
PMID- 29796465
TI - Photo-induced heat generation in non-plasmonic nanoantennas.
AB - Light-to-heat conversion in non-plasmonic, high refractive index nanoantennas is
a key topic for many applications, including Raman sensing, laser writing,
nanofabrication and photo-thermal therapy. However, heat generation and
propagation in non-plasmonic antennas is increasingly debated and contradictory
results have been reported so far. Here we report a finite element analysis of
the steady-state temperature distribution and heat flow in SiO2/Si core/shell
systems (silicon nanoshells) irradiated with different continuous wave lasers
(lambda = 532, 633 and 785 nm), under real working conditions. The complex
interplay among the optical properties, morphology, degree of crystallinity of
the nanoshells, thickness dependence of thermal conductivity and interactions
with the substrate has been elucidated. This study reveals that all of these
parameters can be appropriately combined for obtaining either stable nanoshells
for Raman sensing or highly efficient sources of local heating. The optimal
balance between thermal stability and field enhancement was found for crystalline
Si shell layers with thicknesses ranging from 40 to 60 nm, irradiated by a NIR
laser source. On the other hand, non-conformal amorphous or crystalline shell
layers with a thickness >50 nm can reach a very high local temperature (above
1000 K) when irradiated with a low power density (less than 1 mW MUm-2) laser
sources. This work provides a general approach for an extensive investigation of
the opto-thermal properties of high-index nanoantennas.
PMID- 29796467
TI - Concentration-dependent swelling and structure of ionic microgels: simulation and
theory of a coarse-grained model.
AB - We study swelling and structural properties of ionic microgel suspensions within
a comprehensive coarse-grained model that combines the polymeric and colloidal
natures of microgels as permeable, compressible, charged spheres governed by
effective interparticle interactions. The model synthesizes the Flory-Rehner
theory of cross-linked polymer gels, the Hertz continuum theory of effective
elastic interactions, and a theory of density-dependent effective electrostatic
interactions. Implementing the model using Monte Carlo simulation and
thermodynamic perturbation theory, we compute equilibrium particle size
distributions, swelling ratios, volume fractions, net valences, radial
distribution functions, and static structure factors as functions of
concentration. Trial Monte Carlo moves comprising particle displacements and size
variations are accepted or rejected based on the total change in elastic and
electrostatic energies. The theory combines first-order thermodynamic
perturbation and variational free energy approximations. For illustrative system
parameters, theory and simulation agree closely at concentrations ranging from
dilute to beyond particle overlap. With increasing concentration, as microgels
deswell, we predict a decrease in the net valence and an unusual saturation of
pair correlations. Comparison with experimental data for deionized, aqueous
suspensions of PNIPAM particles demonstrates the capacity of the coarse-grained
model to predict and interpret measured swelling behavior.
PMID- 29796466
TI - Paramagnetic nanoemulsions with unified signals for sensitive 19F MRI cell
tracking.
AB - As a promising cell tracking technology, 19F MRI suffers from low sensitivity.
Here, fluorinated nanoemulsions with a unified 19F signal and paramagnetic
relaxation enhancement were developed as 19F MRI cellular tracers with high
stability, size controllability, biocompatibility, cellular uptake, and dual
modality for sensitive in vivo RAW264.7 cell tracking.
PMID- 29796468
TI - Synthesis of a superparamagnetic ultrathin FeCO3 nanorods-enzyme bionanohybrid as
a novel heterogeneous catalyst.
AB - Herein we report a straightforward synthesis of an ultrathin protein-iron(ii)
carbonate nanorods (FeCO3-NRs) heterogeneous bionanohybrid at room temperature
and in aqueous media. The enzyme induced the in situ formation of well-dispersed
FeCO3 NRs on a protein network. The addition of NaBH4 as a reducing agent allowed
us to obtain nanorods (5 * 40 nm) with superparamagnetic properties. This
bionanohybrid showed excellent catalytic results in reduction, oxidation and C-C
bond reactions.
PMID- 29796469
TI - Polymer nanoparticle sizes from dynamic light scattering and size exclusion
chromatography: the case study of polysilanes.
AB - Dynamic light scattering (DLS) and size exclusion chromatography (SEC) are among
the most popular methods for determining polymer sizes in solution. Taking
dendritic and network polysilanes as a group of least soluble polymer substances,
we critically compare and discuss the difference between nanoparticle sizes,
obtained by DLS and SEC. Polymer nanoparticles are typically in poor solution
conditions below the theta point and are therefore in the globular conformation.
The determination of particle sizes in the presence of attractive interactions is
not a trivial task. The only possibility to measure, aggregation-free, the true
molecular size of polymer nanoparticles in such a solution regime, is to perform
the experiment with a dilute solution of globules (below the theta point and
above the miscibility line). Based on the results of our polysilane measurements,
we come to a conclusion that DLS provides more reliable results than SEC for
dilute solutions of globules. General implications for the size measurements of
polymer nanoparticles in solution are discussed.
PMID- 29796470
TI - Charge-induced structural transition between seashell-like B29- and B29+ in 18 pi
electron configurations.
AB - Recent joint experimental and theoretical investigations have shown that seashell
like C2 B28 is the smallest neutral borospherene reported to date, while seashell
like Cs B29- (1-) as a minor isomer competes with its quasi-planar counterparts
in B29- cluster beams. Extensive global minimum searches and first-principles
theory calculations performed in this work indicate that with two valence
electrons detached from B29-, the B29+ monocation favors a seashell-like Cs B29+
(1+) much different from Cs B29- (1-) in geometry which is overwhelmingly the
global minimum of the system with three B7 heptagonal holes in the front, on the
back, and at the bottom, respectively, unveiling an interesting charge-induced
structural transition from Cs B29- (1-) to Cs B29+ (1+). Detailed bonding
analyses show that with one less sigma bond than B29- (1-), Cs B29+ (1+) also
possesses nine delocalized pi-bonds over its sigma-skeleton on the cage surface
with a sigma + pi double delocalization bonding pattern and follows the 2(n + 1)2
electron counting rule for 3D spherical aromaticity (n = 2). B29+ (1+) is
therefore the smallest borospherene monocation reported to date which is pi
isovalent with the smallest neutral borospherene C2 B28. The IR, Raman, and UV
vis spectra of B29+ (1+) are computationally simulated to facilitate its
spectroscopic characterization.
PMID- 29796471
TI - Correction: Ameliorative role of camel whey protein and rosuvastatin on induced
dyslipidemia in mice.
AB - Correction for 'Ameliorative role of camel whey protein and rosuvastatin on
induced dyslipidemia in mice' by Nashwa Ahmed El-Shinnawy et al., Food Funct.,
2018, 9, 1038-1047.
PMID- 29796472
TI - Chromo-luminescent selective detection of fluoride ions by a copper(ii)
bis(terpyridine) complex solution via a displacement approach.
AB - Herein, for the first time, we have reported a copper(ii) bis(terpyridine)
complex solution for instant 'naked eye' chromo-luminescent selective detection
of fluoride ions in an acetonitrile medium at micromolar concentration. The
copper complex [Cu(ii) (L)2] (NO3)2 [where L = 4'-(4-N,N'-dimethylaminophenyl)
2,2':6',2''-terpyridine] was characterized by mass spectroscopy and the
terpyridine ligand by 1H NMR spectroscopy. The complex solution selectively
discriminates F- ions from other anions such as AcO-, Br-, Cl-, CN-, H2PO4-, HSO4
, and I- in acetonitrile media via exceptional optical changes. The optical
changes were evaluated by UV-visible and fluorescence techniques. Studies on the
binding characteristics of the copper complex solution with fluoride ions
revealed a displacement of copper ions from the complex solution as CuF2
resulting in the significant optical changes. Furthermore, displacement of Cu(ii)
from the complex was established by means of mass spectroscopy in the presence of
20 equivalents of fluoride ions. The limit of detection (LOD) was found to be
5.07 MUM which is within the permissible range of fluoride ions in drinking water
set by the World Health Organization (WHO).
PMID- 29796477
TI - A novel three-fluorophore system as a ratiometric sensor for multiple protease
detection.
AB - A synthetic three-fluorophore system with two enzymatically cleavable linkers has
been developed for the simultaneous detection of two proteases in a mixture. The
probe was designed to afford single excitation/triple emission ratiometric
detection through a fluorescence change during the cleavage of a peptide linker.
The developed assays were verified for trypsin and chymotrypsin as the model
enzymes.
PMID- 29796478
TI - Diffusiophoretic exclusion of colloidal particles for continuous water
purification.
AB - It has been observed that colloidal particles are anomalously repelled from the
interface of nanoporous materials and water by up to hundreds of micrometers even
if there is no additional external field present. Recently, the physical origin
of this anomalous repulsion has turned out to be diffusiophoretic migration
triggered by an ion exchange process through the interface. Since the repulsive
force is induced by a salt gradient only, the phenomenon can be applied to a
microscale water purification platform without the need for any external power
sources. In this work, we suggest a micro/nanofluidic device for continuous water
purification utilizing long-range diffusiophoretic migration around ion
exchangeable surfaces. An ion concentration boundary layer was characterized by
the Sherwood number (Sh) which is a key dimensionless number to describe the
purification process. Depending on Sh, we have theoretically and experimentally
demonstrated that long-range diffusiophoretic exclusion can be used for
continuous water purification. Finally, our platform can be used as a highly
energy-efficient and portable water treatment option for operations such as
purification, disinfection, water softening, etc.
PMID- 29796479
TI - Anisotropic chemical strain in cubic ceria due to oxygen-vacancy-induced elastic
dipoles.
AB - Accurate characterization of chemical strain is required to study a broad range
of chemical-mechanical coupling phenomena. One of the most studied mechano
chemically active oxides, nonstoichiometric ceria (CeO2-delta), has only been
described by a scalar chemical strain assuming isotropic deformation. However,
combined density functional theory (DFT) calculations and elastic dipole tensor
theory reveal that both the short-range bond distortions surrounding an oxygen
vacancy and the long-range chemical strain are anisotropic in cubic CeO2-delta.
The origin of this anisotropy is the charge disproportionation between the four
cerium atoms around each oxygen-vacancy (two become Ce3+ and two become Ce4+)
when a neutral oxygen-vacancy is formed. Around the oxygen-vacancy, six of the
Ce3+-O bonds elongate, one of the Ce3+-O bond shorten, and all seven of the Ce4+
O bonds shorten. Further, the average and maximum chemical strain values obtained
through tensor analysis successfully bound the various experimental data. Lastly,
the anisotropic, oxygen-vacancy-elastic-dipole induced chemical strain is
polarizable, which provides a physical model for the giant electrostriction
recently discovered in doped and non-doped CeO2-delta. Together, this work
highlights the need to consider anisotropic tensors when calculating the chemical
strain induced by dilute point defects in all materials, regardless of their
symmetry.
PMID- 29796485
TI - Development of functional black phosphorus nanosheets with remarkable catalytic
and antibacterial performance.
AB - Highly dispersed 2D-nanostructured ultrathin black phosphorus nanosheets (BPNs)
integrated Au nanoparticles (AuNPs) hybrids were constructed in situ through a
facile and environmentally friendly strategy. No additional reductants,
surfactants, or polymer templates were introduced during this green and
convenient synthesis process. The resulting AuNPs/BPNs nanohybrids were
characterized by UV-vis, Raman spectroscopy, transmission electron microscopy
(TEM), X-ray photoelectron spectroscopy (XPS) and inductively coupled plasma
atomic emission spectroscopy (ICP-AES). The content of BPNs plays an essential
role in modulating the morphologies and chemical states of AuNPs/BPNs hybrids,
which have been investigated systematically and are discussed in detail. As high
density ultrasmall AuNPs are properly stabilized and accommodated without
passivation by the surrounding ultrathin BPNs, the resulting AuNPs/BPNs hybrids
exhibit excellent catalytic/antibacterial properties and long-term stabilities,
benefiting from a possible synergistic enhancement effect between AuNPs and BPNs
constructs. This simple, mild and environmentally benign strategy could be
generalized to the preparation of other metal- or metal oxide-doped complexes and
holds great promise for potential catalytic, bioanalytical and biomedical
applications.
PMID- 29796486
TI - A DFT assessment of some physical properties of iodine-centered halogen bonding
and other non-covalent interactions in some experimentally reported crystal
geometries.
AB - A set of six binary complexes that feature iodine-centered halogen bonding,
extracted from structures deposited in the Cambridge Structure Database, has been
examined computationally using density functional theory calculations with the
M06-2X global hybrid, and dispersion corrected B3LYP-D3 and B97-D3, to determine
their equilibrium geometries, binding energies and electronic properties. The
results show that gas phase calculations are very informative in evaluating what
occurs in the solid state, even though these calculations ignore the importance
of lattice packing and counter ion effects. The calculated binding energies for
the non-covalent interactions responsible for these complexes lie between -4.15
and -7.48 kcal mol-1 (M06-2X), which enables us to characterize them as weak-to
moderate in strength. The basis set superposition error energies are calculated
to vary between 0.60 and 2.42 kcal mol-1 for all the complexes examined, even
though an all-electron QZP basis set used in the analysis was of quadrupole-zeta
(plus polarization) quality. Dispersion is found to have a profound effect on the
binding energy of some of these complexes, and was estimated to be as large as
5.0 kcal mol-1. For one complex, the crystal geometry could not be precisely
reproduced using a gas phase calculation. While both halogen- and hydrogen
bonding interactions were found competitive, they cooperate with each other to
determine the stable configuration of the binary complex. The molecular
electrostatic surface potential, quantum theory of atoms in molecules, and
reduced density gradient non-covalent Interaction models were utilized to arrive
at a fundamental understanding of the various inter- and intra-molecular
molecular interactions involved, as well as some other previously-overlooked non
covalent interactions that emerge in the modelling.
PMID- 29796487
TI - Photoelectrochemical hydrogen generation employing a Cu2O-based photocathode with
improved stability and activity by using NixPy as the cocatalyst.
AB - With the tactical integration of band edge energetics concepts in semiconducting
films to reduce charge recombination and photocorrosion, an improvement in the
photocurrent can be achieved by introducing CuO and NixPy into Cu2O films.
Photodegradation limitations of Cu2O are overcome by the Cu2O-CuO-NixPy
photocathode. NixPy, because of its excellent electrocatalytic hydrogen evolution
activity, helps in obtaining better stability and activity. The individual
effects of CuO and NixPy have been investigated and it is found that the activity
enhancement stems mainly from the contribution of NixPy, whereas CuO helps with
the unidirectional flow of photogenerated charges to prevent the photocorrosion
of Cu2O. Relative to bare and modified Cu2O, Cu2O-CuO-NixPy shows a considerable
reduction in the overpotential and a remarkable improvement in the photocurrent
at 0 V (vs. RHE). This is the first report on the use of NixPy as the co-catalyst
in a Cu2O based photocathode system to improve its photostability as well as its
activity.
PMID- 29796494
TI - Synthesis and structural characterization of the first neptunium based metal
organic frameworks incorporating {Np6O8} hexanuclear clusters.
AB - Successful synthesis of the first transuranium metal-organic frameworks (TRU
MOFs) involving tetravalent Np4+ is reported. These compounds were obtained from
the controlled hydrolysis of Np4+ in the presence of dicarboxylate ligands. The
final structures contain the [Np6O4(OH)4(H2O)6]12+ unit, which were never
crystallized before with tetravalent neptunium, associated with ditopic ligands.
PMID- 29796495
TI - beta-Diketone derivatives: influence of the chelating group on the photophysical
and mechanofluorochromic properties.
AB - A diphenyl-boron beta-diketonate complex was synthesized. Its photophysical
properties were studied in solution and in the solid-state, and compared to those
of its parent diketone and the corresponding difluoro-boron complex. TD-DFT
calculations show that the molecular orbitals involved in the first Franck-Condon
transition are very different for the three compounds studied. The difluoro-boron
complex is strongly fluorescent in solution, and remains fluorescent in the solid
state. The free diketone turns to be very weakly fluorescent in solution and
displays significant Aggregation Induced Enhanced Emission (AIEE) in the
crystalline state, which can be explained by a rigidification of the molecule,
while the diphenyl-boron complex is weakly fluorescent in solution as well as in
the solid-state. For the free diketone and the difluoro-boron complex a
mechanofluorochromic response is observed upon grinding the crystalline powder in
a mortar, while for the diphenyl-boron complex no fluorescence emission change is
detected under these conditions. Overall, this study shows that the nature of the
chelating group has a crucial influence on the photophysical and
mechanofluorochromic properties of beta-diketonate complexes, leading to a wide
variety of behaviors within the closely related structures of such derivatives.
PMID- 29796496
TI - A connection between living liquid crystals and electrokinetic phenomena in
nematic fluids.
AB - We develop a formal analogy between configurational stresses in physically
distinct systems, and study the flows that they induce when the configurations of
interest include topological defects. Our primary focus is on electrokinetic
flows in a nematic fluid under an applied electrostatic field, which we compare
with a class of systems in which internal stresses are generated due to
configurational changes (e.g., active matter, liquid crystal elastomers). The
mapping allows the extension, within certain limits, of existing results on
transport in electrokinetic systems to active transport. We study motion induced
by a pair of point defects in a dipole configuration, and steady rotating flows
due to a swirling vortex nematic director pattern. The connection presented
allows the design of electrokinetic experiments that correspond to particular
active matter configurations that may be easier to conduct and control in the
laboratory.
PMID- 29796497
TI - Ligand-promoted ruthenium-catalyzed meta C-H chlorination of arenes using N
chloro-2,10-camphorsultam.
AB - A practical meta C-H chlorination protocol is established via a Ru(0)-catalyzed
ortho-metalation strategy. The use of N-chloro-2,10-camphorsultam as a new
chlorinating agent is crucial for the success of the current reaction and an N
heterocyclic carbene (NHC) ligand could significantly enhance the reactivity of
the catalytic transformation. The mechanistic studies reveal that an unusual
ortho C-H ruthenation relay process with ortho chlorination of the C-Ru bond is
probably involved.
PMID- 29796499
TI - Open-cell voltage and electrical conductivity of a protonic ceramic electrolyte
under two chemical potential gradients.
AB - BaZr0.8Y0.2O3-delta, which is a proton-conducting oxide used as an electrolyte
for protonic ceramic fuel cells (PCFCs), possesses two mobile ionic charge
carriers-oxygen ions and protons-in a crystalline lattice below 500 degrees C.
The equilibrium concentrations of these charge carriers are dependent on water
activity. This feature induces a complexity in the distribution of charge
carriers within the electrolyte under the influence of the two chemical potential
gradients of oxygen and water, which is a typical operating condition in PCFCs.
This makes the theoretical derivations of the open-cell voltage and the
electrical resistance of the electrolyte difficult. Here, we calculate the
distributions of oxygen vacancies and protons across the electrolyte by solving
diffusion equations based on the defect chemistry of BaZr0.8Y0.2O3-delta at 500
degrees C. We then extract the theoretical open-cell voltage and electrical
conductivity of the electrolyte in a range of water and oxygen activities that is
of interest for PCFCs.
PMID- 29796498
TI - Label-free and high-throughput bioluminescence detection of uracil-DNA
glycosylase in cancer cells through tricyclic cascade signal amplification.
AB - We develop a label-free and high-throughput bioluminescence method for the
sensitive detection of uracil DNA glycosylase (UDG) through enzyme-mediated
tricyclic cascade signal amplification. This method exhibits high sensitivity
with a detection limit as low as 0.00031 U mL-1, and it can be further applied
for the measurement of enzyme kinetic parameters and the screening of UDG
inhibitors as well as cancer cell analysis.
PMID- 29796502
TI - Amorphous phase state diagrams and viscosity of ternary aqueous organic/organic
and inorganic/organic mixtures.
AB - A Dimer Coagulation, Isolation and Coalescence (DCIC) technique is used to probe
the phase behaviour and glass transition temperatures of ternary aerosol
mixtures. The DCIC technique is used to perform temperature and relative humidity
dependent viscosity measurements at viscosities near 5 * 106 Pa s. Measurements
include organic-organic and organic-inorganic mixtures composed of sucrose-citric
acid and sucrose-sodium nitrate. The data reported here add additional insight
into the wide discrepancies in glass transition temperatures reported for pure
sodium nitrate. The phase diagram model used in the work of Rothfuss and Petters
(Phys. Chem. Chem. Phys., 2017, 19, 6532-6545) is expanded to include multiple
solute components. Data and model predictions of the mixtures are in good
agreement with the modified model. These measurements are compared with values
from Holographic Optical Tweezer (HOT) measurements taken at room temperature.
Overall, the viscosities determined from the DCIC and HOT techniques are in good
agreement.
PMID- 29796500
TI - Manganese-52: applications in cell radiolabelling and liposomal nanomedicine PET
imaging using oxine (8-hydroxyquinoline) as an ionophore.
AB - The ionophore 8-hydroxyquinoline (oxine) has been used to radiolabel cells and
liposomal medicines with 111In and, more recently, 89Zr, for medical nuclear
imaging applications. Oxine has also shown promising ionophore activity for the
positron-emitting radionuclide 52Mn that should allow imaging of labelled cells
and nanomedicines for long periods of time (>14 days). However, to date, the
radiometal complex formed and its full labelling capabilities have not been fully
characterised. Here, we provide supporting evidence of the formation of
[52Mn]Mn(oxinate)2 as the metastable complex responsible for its ionophore
activity. The cell labelling properties of [52Mn]Mn(oxinate)2 were investigated
with various cell lines. The liposomal nanomedicine, DOXIL(r) (Caelyx) was also
labelled with [52Mn]Mn(oxinate)2 and imaged in vivo using PET imaging.
[52Mn]Mn(oxinate)2 was able to label various cell lines with moderate efficiency
(15-53%), however low cellular retention of 52Mn (21-25% after 24 h) was observed
which was shown not to be due to cell death. PET imaging of [52Mn]Mn-DOXIL at 1 h
and 24 h post-injection showed the expected pharmacokinetics and biodistribution
of this stealth liposome, but at 72 h post-injection showed a profile matching
that of free 52Mn, consistent with drug release. We conclude that oxine is an
effective ionophore for 52Mn, but high cellular efflux of the isotope limits its
use for prolonged cell tracking. [52Mn]Mn(oxinate)2 is effective for labelling
and tracking DOXIL in vivo. The release of free radionuclide after liposome
extravasation could provide a non-invasive method to monitor drug release in
vivo.
PMID- 29796503
TI - Aggregated initiators: defining their role in the ROP of rac-lactide.
AB - Reported examples of aggregated initiators for the ring-opening polymerisation
(ROP) of lactide often lack detailed investigations as to the nature of the
active species, making it difficult to reconcile ligand design with performance.
Here, we offer additional stability to the polynuclear titanium complexes,
TiL(OiPr) (L = 9-14), through a bridging carboxylate anchored to the supporting
amine bis(phenolate) ligands. An in-depth study of solution-state behaviour
determined the process of assembly was driven by interactions between the
carboxylate and a vacant site on a neighbouring titanium centre. Furthermore, we
establish that mononuclear units form dynamic mixtures of polynuclear aggregates,
with a clear relationship between nuclearity of the aggregates and the steric
bulk on the ligand. Smaller aggregates displayed increased activity towards the
ROP of rac-lactide. Furthermore, addition of a chiral centre, on the ligand
framework, was investigated as a route to influence the selectivity of the
polymerisation via easily-accessible initiators.
PMID- 29796504
TI - Characterization of nano-porosity in molecular layer deposited films.
AB - Molecular layer deposition (MLD) delivers (ultra-) thin organic and hybrid
materials, with atomic-level thickness control. However, such layers are often
reported to be unstable under ambient conditions, due to the interaction of water
and oxygen with the hybrid structure, consequently limiting their applications.
In this contribution, we investigate the impact of porosity in MLD layers on
their degradation. Alucone layers were deposited by means of trimethylaluminium
and ethylene glycol, adopting both temporal and spatial MLD and characterized by
means of FT-IR spectroscopy, spectroscopic ellipsometry, and ellipsometric
porosimetry. The highest growth per cycle (GPC) achieved by spatial MLD resulted
in alucone layers with very low stability in ambient air, leading to their
conversion to AlOx. Alucones deposited by means of temporal MLD, instead, showed
a lower GPC and a higher ambient stability. Ellipsometric porosimetry showed the
presence of open nano-porosity in pristine alucone layers. Pores with a diameter
in the range of 0.42-2 nm were probed, with a relative content between 1.5% and
5%, respectively, which are attributed to the temporal and spatial MLD layers. We
concluded that a correlation exists between the process GPC, the open-porosity
relative content, and the degradation of alucone layers.
PMID- 29796505
TI - A family of lanthanide compounds with reduced nitronyl nitroxide diradical:
syntheses, structures and magnetic properties.
AB - A novel nitronyl nitroxide diradical based on the pyrazine ring, BNITPz (1,
BNITPz = 2,2'-(3,6-dimethylpyrazine-2,5-diyl)bis(1-hydroxy-4,4,5,5-tetramethyl
4,5-dihydro-1H-imidazole-1-oxyl-3-oxide)) and six new dinuclear compounds based
on the reduced form of 1, namely [Ln(hfac)3]2(BHIMPz)(H2O)2 (Ln = Gd (2Gd), Dy
(3Dy), Ho (4Ho), Er (5Er), Tm (6Tm), Yb (7Yb); BHIMPz = 2,2'-(3,6
dimethylpyrazine-2,5-diyl)bis(4,4,5,5-tetramethyl-4,5-dihydro-1H-imidazole-3
oxide); hfac = hexafluoroacetylacetonate) were prepared and characterized. Single
crystal X-ray crystallographic analyses confirmed the diradical structure of 1,
and revealed that complexes 2Gd-7Yb are isostructural and crystallize in the
triclinic space group P1[combining macron]. The reduced form BHIMPz was confirmed
by its longer N-O bond lengths and the characteristic N-H stretching absorption
at 3500-3300 cm-1 of the IR spectroscopy of 2Gd-7Yb. In 2Gd-7Yb, the lanthanide
ions are eight-coordinated in a square antiprism environment (D4d). Two symmetric
related Ln(iii) ions are bridged by the reduced BHIMPz ligand to form a dinuclear
structure. Magnetic measurements revealed the antiferromagnetic exchange
interaction between the two radicals of the diradical ligand 1 through the
pyrazine ring. For complexes 2Gd to 7Yb with the reduced non-radical ligand,
their magnetic properties are consistent with the isolated LnIII centers.
Alternating-current (ac) magnetic susceptibility investigations indicate that
complexes 3Dy and 7Yb exhibit field-induced frequency dependence, which indicates
the presence of slow relaxation of the magnetization. Ab initio calculations were
performed on these compounds to understand their magnetic anisotropy and their
different magnetic dynamics.
PMID- 29796501
TI - Chirality in rotaxanes and catenanes.
AB - Although chiral mechanically interlocked molecules (MIMs) have been synthesised
and studied, enantiopure examples are relatively under-represented in the
pantheon of reported catenanes and rotaxanes and the underlying chirality of the
system is often even overlooked. This is changing with the advent of new
applications of MIMs in catalysis, sensing and materials and the appearance of
new methods to access unusual stereogenic units unique to the mechanical bond.
Here we discuss the different stereogenic units that have been investigated in
catenanes and rotaxanes, examples of their application, methods for assigning
absolute stereochemistry and provide a perspective on future developments.
PMID- 29796507
TI - An octahedral tetrachlorido Fe(ii) complex with aminopyrazinium ligands from a
serendipitous redox synthesis exhibiting magnetic exchange through non-covalent 3
D architectures.
AB - An air stable, neutral Fe(ii) complex with four equatorial chlorido ligands has
been stabilised through a serendipitous redox process and in situ ligand
protonation. A three-dimensional non-covalent network composed of halogen bonding
and pi-pi stacking promotes magnetic exchange interactions though the lattice.
The electronic structure has been investigated using DFT.
PMID- 29796506
TI - Bicapped Keggin polyoxomolybdates: discrete species and experimental and
theoretical investigations on the electronic delocalization in a chain compound.
AB - Three monomeric polyoxometalates [M(C10H8N2)3][alpha-PMoMoO40Zn2(C10H8N2)2].2H2O
(M-PMo12Zn2, M = Fe, Co, Ru) with {Zn(bpy)2}2+ units capped on reduced alpha
Keggin polyanions and [M(bpy)3]2+ counter-ions were synthesized under
hydrothermal conditions. The 1D polymer [N(C4H9)4][Ru(C10H8N2)3][alpha-PMoMoO43]
(Ru-PMo14) was prepared by a similar strategy, in the absence of 2,2'-bpy
ligands. In this chain capped reduced Keggin anions are linked via Mo-O-Mo
bridges and are surrounded by both tetrabutylammonium cations and [Ru(bpy)3]2+
counter-ions. The compounds were characterized in the solid state by single
crystal and powder X-ray diffraction and IR spectroscopy and in solution by 31P
NMR spectroscopy. 31P diffusion ordered NMR spectroscopy (DOSY) indicates that
the diffusion coefficient of the dissolved species of Ru-PMo14 corresponds to a
dimeric structure. Magnetic susceptibility measurements performed on Ru-PMo14
show the existence of antiferromagnetic interactions between the d1 electrons of
the six MoV centers, with a singlet spin ground state. However, attempts to fit
the data in the 2-300 K temperature range with Heisenberg Hamiltonians adapted
for 0 or 1D systems suggest that these electrons are delocalized. Density
Functional Theory (DFT) and Wave Function Theory (WFT) calculations indicate a
migration of the electrons of the capping MoV centers into the PMo12 units at
high temperature, allowing the rationalization of the experimental observations.
PMID- 29796508
TI - Synthesis, characterisation and thermal properties of Sn(ii) pyrrolide complexes.
AB - SnO is a rare example of a stable p-type semiconductor material. Here, we
describe the synthesis and characterisation of a family of Sn(ii) pyrrolide
complexes for future application in the MOCVD and ALD of tin containing thin
films. Reaction of the Sn(ii) amide complex, [{(Me3Si)2N}2Sn], with the N,N
bidentate pyrrole pro-ligand, L1H, forms the hetero- and homoleptic complexes
[{L1}Sn{N(SiMe3)2}] (1) and [{L1}2Sn] (2), respectively, bearing the 2
dimethylaminomethyl-pyrrolide ligand (L1). Reaction of [{(Me3Si)2N)}2Sn] with the
pyrrole-aldimine pro-ligands, L2H-L7H, results in the exclusive formation of the
homoleptic bis-pyrrolide complexes [{L2-7}2Sn] (3-8). All complexes have been
characterised by elemental analysis and NMR spectroscopy, and the molecular
structures of complexes 1-5 and 8 are determined by single crystal X-ray
diffraction. TG analysis and isothermal TG analysis have been used to evaluate
the potential utility of these systems as MOCVD and ALD precursors.
PMID- 29796509
TI - A high pressure pathway toward boron-based nanostructured solids.
AB - Inorganic nanocomposites made of an inorganic matrix containing nanoparticle
inclusions provide materials of advanced mechanical, magnetic, electrical
properties and multifunctionality. The range of compounds that can be implemented
in nanocomposites is still narrow and new preparation methods are required to
design such advanced materials. Herein, we describe how the combination of
nanocrystal synthesis in molten salts with subsequent heat treatment at a
pressure in the GPa range gives access to a new family of boron-based
nanocomposites. With the case studies of HfB2/beta-HfB2O5 and CaB6/CaB2O4(iv), we
demonstrate by X-ray diffraction and through (scanning) transmission electron
microscopy the crystallization of borate matrices into rare compounds and unique
nanostructured solids, while metal boride nanocrystals remain dispersed in the
matrix and maintain small sizes below 30 nm, thus demonstrating a new
multidisciplinary approach toward nanoscaled heterostructures.
PMID- 29796510
TI - Oxidative nitrene transfer from azides to alkynes via Ti(ii)/Ti(iv) redox
catalysis: formal [2+2+1] synthesis of pyrroles.
AB - Catalytic oxidative nitrene transfer from azides with the early transition metals
is rare, and has not been observed without the support of redox noninnocent
spectator ligands. Here, we report the formal [2+2+1] coupling of azides and
alkynes via TiII/TiIV redox catalysis from simple Ti halide imido precatalysts.
These reactions yield polysubstituted N-alkyl pyrroles, including N-benzyl
protected pyrroles and rare examples of very electron rich pentaalkyl pyrroles.
Mechanistic analysis reveals that [2+2+1] reactions with bulky azides have
different mechanistic features from previously-reported reactions using
azobenzene as a nitrene source.
PMID- 29796511
TI - Label-free, high-throughput detection of P. falciparum infection in sphered
erythrocytes with digital holographic microscopy.
AB - Effective malaria treatment requires rapid and accurate diagnosis of infecting
species and actual parasitemia. Despite the recent success of rapid tests, the
analysis of thick and thin blood smears remains the gold standard for routine
malaria diagnosis in endemic areas. For non-endemic regions, sample preparation
and analysis of blood smears are an issue due to low microscopy expertise and few
cases of imported malaria. Automation of microscopy results could be beneficial
to quickly confirm suspected infections in such conditions. Here, we present a
label-free, high-throughput method for early malaria detection with the potential
to reduce inter-observer variation by reducing sample preparation and analysis
effort. We used differential digital holographic microscopy in combination with
two-dimensional hydrodynamic focusing for the label-free detection of P.
falciparum infection in sphered erythrocytes, with a parasitemia detection limit
of 0.01%. Moreover, the achieved differentiation of P. falciparum ring-,
trophozoite- and schizont life cycle stages in synchronized cultures demonstrates
the potential for future discrimination of even malaria species.
PMID- 29796512
TI - Synthesis of ternary group 13/15 chain compounds.
AB - Herein we present the synthesis and characterisation of the seven-membered group
13/15 chain compound HB{N(H)PtBu2BH3}2 (3) obtained from the reaction of tBu2PNH2
(1) with Me2S.BH3. Furthermore, we describe the synthesis of the aluminium and
gallium compounds tBu2PN(H)AltBu2N(H)P(H)tBu2 (4) and tBu2(H)PN(H)GatBu3 (5)
derived from the reaction of tBu2PNH2 (1) with MtBu3 (M = Al, Ga).
PMID- 29796513
TI - A strategy for developing metal-free hydrogenation catalysts: a DFT proof-of
principle study.
AB - We computationally formulated a metal-free strategy to construct bifunctional
active sites for reversible H2 activation, using a N-centered group as a Lewis
basic site and a PV-centered group as a Lewis acidic site. By embedding the
active sites into five-membered and 6-membered rings, we designed a series of
molecules, some of which have feasible kinetic barriers to perform catalytic
hydrogenation, calling for experimental realizations.
PMID- 29796515
TI - Solvent mediated hybrid 2D materials: black phosphorus - graphene
heterostructured building blocks assembled for sodium ion batteries.
AB - Here we demonstrate the broad capability to exploit interactions at different
length scales in 2D materials to prepare macroscopic functional materials
containing hybrid black phosphorus/graphene (BP/G) heterostructured building
blocks. First, heterostructured 2D building blocks are self-assembled during co
exfoliation in the solution phase based on electrostatic attraction of different
2D materials. Second, electrophoretic deposition is used as a tool to assemble
these building blocks into macroscopic films containing these self-assembled 2D
heterostructures. Characterization of deposits formed using this technique
elucidates the presence of stacked and sandwiched 2D heterostructures, and zeta
potential measurements confirm the mechanistic interactions driving this
assembly. Building on the exceptional sodium alloying capacity of BP, these
materials were demonstrated as superior binder-free and additive-free anodes for
sodium batteries with specific discharge capacity of 2365 mA h gP-1 and long
stable cycling duration. This study demonstrates how controllable co-processing
of 2D materials can enable material control for stacking and building block
assembly relevant to broad future applications of 2D materials.
PMID- 29796514
TI - The first representatives of tetranuclear gold(i) complexes of P,N-containing
cyclophanes.
AB - The first representatives of the tetranuclear gold(i) complexes of P,N-containing
cyclophanes with two 1,5-diaza-3,7-diphosphacyclooctane rings incorporated into
the macrocyclic core have been obtained. The complexation leads to a change in
ligand conformations so that the diazadiphosphacyclooctane fragments of the
complexes adopt twist-chair conformations, and two of the four gold(i) ions are
located over and under the partially collapsed macrocyclic cavity. The complexes
demonstrate moderate solid-state green emission.
PMID- 29796517
TI - Nanosecond laser flash photolysis of a 6-nitroindolinospiropyran in solution and
in nanocrystalline suspension under single excitation conditions.
AB - Nanosecond transient absorption spectroscopy was used to study the photochemical
ring-opening reaction for a 6-nitroindolinospiropyran (SP1) in solution and in
nanocrystalline (NC) suspension at 298 K. We measured the kinetics in argon
purged and air saturated acetonitrile and found that the presence of oxygen
affected two out of the three components of the kinetic decay at 440 nm. These
are assigned to the triplet excited states of the Z- and E-merocyanines (3Z-MC*
and 3E-MC*). In contrast, a long-lived growth component at 550 nm and the decay
of a band centered at 590 nm showed no dependence on oxygen and are assigned,
respectively, to the ground state Z- and E-merocyanines (Z-MC0 and E-MC0). Laser
flash photolysis studies performed in NC suspensions initially showed a very
broad, featureless absorption spectrum that decayed uniformly for ca. 70 ns
before revealing a more defined spectrum that persisted for greater than 4 ms and
is consistent with a mixture of the more stable Z- and E-MC0 structures. We
performed quantum mechanical calculations on the interconversion of E- and Z-MCs
on the S0 and S1 potential energy surfaces. The computed UV-vis spectra for a
scan along the Z -> E interconversion reaction coordinate show substantial
absorptivity from 300-600 nm, which suggests that the broad, featureless
transient absorption spectrum results from the contribution of the transition
structure and other high-energy species during the Z to E isomerization.
PMID- 29796520
TI - Synthetic studies toward the marine metabolite prorocentin-4: synthesis of the C1
C23 fragment.
AB - A synthetic study of the construction of the C1-C23 fragment of prorocentin-4, a
novel linear polyketide, is described. The synthetic highlights include the acid
catalyzed epoxide opening, Gilman reaction, Pd(OH)2 catalyzed transformation of a
primary propargylic alcohol into an aldehyde, Oxa-Michael cyclization, and Horner
Wadsworth-Emmons (HWE) olefination reaction as key steps.
PMID- 29796523
TI - Microfluidic technologies for circulating tumor cell isolation.
AB - Metastasis is the main cause of tumor-related death, and the dispersal of tumor
cells through the circulatory system is a critical step in the metastatic
process. Early detection and analysis of circulating tumor cells (CTCs) is
therefore important for early diagnosis, prognosis, and effective treatment of
cancer, enabling favorable clinical outcomes in cancer patients. Accurate and
reliable methods for isolating and detecting CTCs are necessary to obtain this
clinical information. Over the past two decades, microfluidic technologies have
demonstrated great potential for isolating and detecting CTCs from blood. The
present paper reviews current advanced microfluidic technologies for isolating
CTCs based on various biological and physical principles, and discusses their
fundamental advantages and drawbacks for subsequent cellular and molecular
assays. Owing to significant genetic heterogeneity among CTCs, microfluidic
technologies for isolating individual CTCs have recently been developed. We
discuss these single-cell isolation methods, as well as approaches to overcoming
the limitations of current microfluidic CTC isolation technologies. Finally, we
provide an overview of future innovative microfluidic platforms.
PMID- 29796524
TI - From functional structure to packaging: full-printing fabrication of a
microfluidic chip.
AB - This paper presents a concept of a full-printing methodology aiming at convenient
and fast fabrication of microfluidic devices. For the first time, we achieved a
microfluidic biochemical sensor with all functional structures fabricated by
inkjet printing, including electrodes, immobilized enzymes, microfluidic
components and packaging. With the cost-effective and rapid process, this method
provides the possibility of quick model validation of a novel lab-on-chip system.
In this study, a three-electrode electrochemical system was integrated
successfully with glucose oxidase immobilization gel and sealed in an ice
channel, forming a disposable microfluidic sensor for glucose detection. This
fully-printed chip was characterized and showed good sensitivity and a linear
section at a low-level concentration of glucose (0-10 mM). With the aid of
automatic equipment, the fully-printed sensor can be massively produced with low
cost.
PMID- 29796528
TI - Stress resistance and lifespan extension of Caenorhabditis elegans enhanced by
peptides from mussel (Mytilus edulis) protein hydrolyzate.
AB - Bioactive peptides derived from mussels have multiple healthcare functions.
Herein, we aimed to examine the effects of mussel peptide preparation on
lifespan, stress resistance, apoptosis and aging in Caenorhabditis elegans.
Lifespan was determined by counting the number of surviving nematodes daily. ROS
level and lipofuscin were measured using a fluorescent microscope. The mussel
protein was prepared and hydrolyzed, and then fractionated by ultrafiltration.
The fraction (<3 kDa) was purified by gel filtration to obtain the bioactive
peptides, and the peptide sequences included in the fractions were identified,
which were mostly composed of peptides with <20 amino acid residues. Mussel
peptides treatment was found to significantly increase oxidative stress
resistance and extend the lifespan of C. elegans. Moreover, this treatment also
reduced endogenous ROS level and aging pigments accumulation in C. elegans as
well as apoptosis. Collectively, these findings demonstrated that mussel peptides
could contribute to healthspan extension of C. elegans through regulating mRNA
expression of daf-2 and daf-16. These results highlighted the important role of
mussel peptides for food and pharmaceutical industries to develop new
nutraceuticals and functional foods.
PMID- 29796529
TI - Phase-shift feedback control for dielectrophoretic micromanipulation.
AB - In this paper, we present a novel approach to noncontact micromanipulation by
controlled dielectrophoresis (DEP). To steer micro-objects in the desired way,
the solutions reported in the literature use either DEP cages or amplitude
modulation of the voltages applied to the electrodes. In contrast, we modulate
the phases, that is, we control the phase shifts of the voltages applied to the
electrodes, which simplifies the hardware implementation and extends the set of
feasible forces. Furthermore, we introduce an innovative micro-electrode array
layout, composed of four sectors with parallel (colinear) electrodes, which is
capable of inducing an arbitrary movement in the manipulation area and is easy to
fabricate using just an affordable one-layer technology. We then propose a closed
loop cascade control strategy based on real-time numerical optimization and
deploy it to our experimental set-up. Numerical simulations and laboratory
experiments demonstrate the manipulation capabilities such as positioning and
steering of one or several microscopic objects (microspheres with a diameter of
50 MUm) and even bringing two objects together and then separating them again.
The results from simulations and experiments are compared and the positioning
accuracy is evaluated in the whole manipulation area. The error in position is 8
MUm in the worst case, which corresponds to 16% of the microsphere size or 0.7%
of the manipulation range.
PMID- 29796530
TI - Supported metal nanoparticles with tailored catalytic properties through sol
immobilisation: applications for the hydrogenation of nitrophenols.
AB - The use of sol-immobilisation to prepare supported metal nanoparticles is an area
of growing importance in heterogeneous catalysis; it affords greater control of
nanoparticle properties compared to conventional catalytic routes e.g.
impregnation. This work, and other recent studies, demonstrate how the properties
of the resultant supported metal nanoparticles can be tailored by adjusting the
conditions of colloidal synthesis i.e. temperature and solvent. We further
demonstrate the applicability of these methods to the hydrogenation of
nitrophenols using a series of tailored Pd/TiO2 catalysts, with low Pd loading of
0.2 wt%. Here, the temperature of colloidal synthesis is directly related to the
mean particle diameter and the catalytic activity. Smaller Pd particles (2.2 nm,
k = 0.632 min-1, TOF = 560 h-1) perform better than their larger counterparts
(2.6 nm, k = 0.350 min-1, TOF = 370 h-1) for the hydrogenation of p-nitrophenol,
with the catalyst containing smaller NPs found to have increased stability during
recyclability studies, with high activity (>90% conversion after 5 minutes)
maintained across 5 catalytic cycles.
PMID- 29796531
TI - Modelling free and oxide-supported nanoalloy catalysts: comparison of bulk
immiscible Pd-Ir and Au-Rh systems and influence of a TiO2 support.
AB - The relative stabilities of different chemical arrangements of Pd-Ir and Au-Rh
nanoalloys (and their pure metal equivalents) are studied, for a range of
compositions, for fcc truncated octahedral 38- and 79-atom nanoparticles (NPs).
For the 38-atom NPs, comparisons are made of pure and alloy NPs supported on a
TiO2(110) slab. The relative energies of different chemical arrangements are
found to be similar for Pd-Ir and Au-Rh nanoalloys, and depend on the cohesive
and surface energies of the component metals. For supported nanoalloys on TiO2,
the interaction with the surface is greater for Ir (Rh) than Pd (Au): most of the
pure NPs and nanoalloys preferentially bind to the TiO2 surface in an edge-on
configuration. When Au-Rh nanoalloys are bound to the surface through Au, the
surface binding strength is lower than for the pure Au NP, while the Pd-surface
interaction is found to be greater for Pd-Ir nanoalloys than for the pure Pd NP.
However, alloying leads to very little difference in Ir-surface and Rh-surface
binding strength. Comparing the relative stabilities of the TiO2-supported NPs,
the results for Pd-Ir and Au-Rh nanoalloys are the same: supported Janus NPs,
whose Ir (Rh) atoms bind to the TiO2 surface, bind most strongly to the surface,
becoming closer in energy to the core-shell configurations (Ir@Pd and Rh@Au)
which are favoured for the free particles.
PMID- 29796532
TI - The simplest supramolecular helix.
AB - Diethylamine is the smallest and simplest molecule that features a supramolecular
helix as its lowest energy aggregate. Structural studies and large scale sampling
simulations show that the helical arrangement is more stable than cyclic
structures, which are the dominant species for other small hydrogen bonding
molecules.
PMID- 29796533
TI - Hypermonins A and B, two 6-norpolyprenylated acylphloroglucinols with
unprecedented skeletons from Hypericum monogynum.
AB - Two new 6-norpolycyclic polyprenylated acylphloroglucinols (PPAPs), hypermonins A
(1) and B (2), featuring an undescribed decahydroindeno[1,7-bc]furan ring system,
were isolated from the leaves and twigs of Hypericum monogynum. These compounds
are a pair of epimers with opposite configurations at the C-5 position. Their
structures, including their absolute configurations, were determined by extensive
spectroscopic analysis and electronic circular dichroism (ECD) calculations. A
plausible biosynthetic pathway of 1 and 2 was also proposed. Compound 1 exhibited
a significant protective effect against corticosterone-induced injury in PC12
cells.
PMID- 29796535
TI - Correction: Humic-like substances from urban waste as auxiliaries for photo
Fenton treatment: a fluorescence EEM-PARAFAC study.
AB - Correction for 'Humic-like substances from urban waste as auxiliaries for photo
Fenton treatment: a fluorescence EEM-PARAFAC study' by S. Garcia Ballesteros et
al., Photochem. Photobiol. Sci., 2017, 16, 38-45.
PMID- 29796541
TI - Engineering enzyme microenvironments for enhanced biocatalysis.
AB - Protein engineering provides a means to alter protein structure leading to new
functions. Much work has focused on the engineering of enzyme active sites to
enhance catalytic activity, however there is an increasing trend towards
engineering other aspects of biocatalysts as these efforts can also lead to
useful improvements. This tutorial discusses recent advances in engineering an
enzyme's local chemical and physical environment, with the goal of enhancing
enzyme reaction kinetics, substrate selectivity, and activity in harsh conditions
(e.g., low or high pH). By introducing stimuli-responsiveness to these enzyme
modifications, dynamic control of activity also becomes possible. These new
biomolecular and protein engineering techniques are separate and independent from
traditional active site engineering and can therefore be applied synergistically
to create new biocatalyst technologies with novel functions.
PMID- 29796542
TI - Suppression of dendritic lithium growth in lithium metal-based batteries.
AB - Lithium metal-based batteries offer promising prospects as alternatives to
today's lithium-ion batteries, due to their ultra-high energy density.
Unfortunately, the application of lithium metal is full of challenges and has
puzzled researchers for more than 40 years. In this feature article, we describe
the history of the development of lithium metal batteries and their existing key
challenges, which include non-uniform electrodeposition, volume expansion, high
reactivity of the lithium metal/unstable solid electrolyte interphase (SEI), and
the shuttling of active cathode materials. Then, we focus on the growth
mechanisms of uneven lithium electrodeposition and extend the discussion to the
approaches to inhibit lithium dendrites. Finally, we discuss future directions
that are expected to drive progress in the development of lithium metal
batteries.
PMID- 29796544
TI - The challenge of catalyst prediction.
AB - New insights and successful use of computational catalysis are highlighted. This
is within the context of remaining issues that prevent theoretical catalysis to
be fully predictive of catalyst performance. A major challenge is to include in
modelling studies the transient initiation as well as deactivation processes of
the catalyst. We will illustrate this using as an example for solid acid
catalysis, the alkylation process, and for transition metal catalysis, the
Fischer-Tropsch reaction. For the alkylation reaction of isobutane and alkene, an
important reaction for high octane gasoline, we will present a deactivation
model. For the Fischer-Tropsch reaction, which converts synthesis gas into
gasoline grade molecules, we discuss structural reorganization of the catalyst
induced by reaction.
PMID- 29796543
TI - Rhodium-catalyzed asymmetric hydrogenation of beta-branched enamides for the
synthesis of beta-stereogenic amines.
AB - Using a rhodium complex of a bisphosphine ligand (R)-SDP, beta-branched simple
enamides with a (Z)-configuration were hydrogenated to beta-stereogenic amines in
quantitative yields and with excellent enantioselectivities (88-96% ee).
PMID- 29796545
TI - A pi-conjugated inorganic polymer constructed from boron difluoride formazanates
and platinum(ii) diynes.
AB - The first example of a pi-conjugated polymer incorporating boron difluoride (BF2)
formazanates is introduced. The film-forming properties, controllable reduction
chemistry, and low optical band gap (ca. 1.4 eV) of the polymer make it an
excellent candidate for use as a light-harvesting n-type semiconductor in organic
electronics. Comparison of the polymer to model compounds confirmed that its
unique optoelectronic properties can be directly attributed to the presence of
the BF2 formazanate repeat unit and that the [Pt(PBu3)2]2+ unit must also be
present to achieve the narrow band gaps observed.
PMID- 29796546
TI - CO adsorption and oxygen activation on group 11 nanoparticles - a combined DFT
and high level CCSD(T) study about size effects and activation processes.
AB - The focus of this study lies in the activation of molecular oxygen and reaction
with CO within density functional theory (DFT) and high level CCSD(T)
calculations. Therefore, we use M13 and M55 nanoparticles (NPs) and periodic
M(321) surfaces as model systems and compare the catalytic activity of gold
substrates to Ag and Cu based NP catalysts. In the first step, the adsorption
energies of CO were compared for nanoparticles of different sizes for Au, Ag and
Cu. The adsorption energies on M(321) and M55 NPs (M = Au, Ag, Cu) are virtually
identical. For smaller M13 NPs the adsorption energies differ by ~0.2 eV for Ag,
~0.4 eV for Au, and ~0.6 eV for Cu at the PBE level of theory. This can be
explained by size effects, as the M13 NPs show a more molecule-like character.
Presumably, CO binds more strongly to these very small NPs at the PBE level of
theory. However, a benchmark calculation in the framework of CCSD(T)-theory
reveals an adsorption energy of CO on Au13 of -0.88 eV, comparable to the
adsorption energies calculated at the PBE level for Au55 and Au(321). For Au55,
the adsorption energy calculated at the CCSD(T) level is -0.85 eV. This is in
perfect agreement with the PBE result. In addition to adsorption energies,
dissociation barriers have been calculated on M(321) surfaces. The dissociation
energies of O2 on coinage metal catalysts are high, so that direct CO-oxidation
reactions with molecular oxygen should be the dominant reaction mechanism
compared to the dissociation and reaction of CO and atomic oxygen at least for
silver and copper catalysts.
PMID- 29796547
TI - Operando study of palladium nanoparticles inside UiO-67 MOF for catalytic
hydrogenation of hydrocarbons.
AB - Functionalization of metal-organic frameworks with metal nanoparticles (NPs) is a
promising way for producing advanced materials for catalytic applications. We
present the synthesis and in situ characterization of palladium NPs encapsulated
inside a functionalized UiO-67 metal-organic framework. The initial structure was
synthesized with 10% of PdCl2bpydc moieties with grafted Pd ions replacing
standard 4,4'-biphenyldicarboxylate linkers. This material exhibits the same high
crystallinity and thermal stability of standard UiO-67. Formation of palladium
NPs was initiated by sample activation in hydrogen and monitored by in situ X-ray
powder diffraction and X-ray absorption spectroscopy (XAS). The reduction of PdII
ions to Pd0 occurs above 200 degrees C in 6% H2/He flow. The formed palladium
NPs have an average size of 2.1 nm as limited by the cavities of UiO-67
structure. The resulting material showed high activity towards ethylene
hydrogenation. Under reaction conditions, palladium was found to form a carbide
structure indicated by operando XAS, while formation of ethane was monitored by
mass spectroscopy and infra-red spectroscopy.
PMID- 29796548
TI - Incorporating spin-orbit effects into surface hopping dynamics using the diagonal
representation: a linear-response time-dependent density functional theory
implementation with applications to 2-thiouracil.
AB - In this study, we present a trajectory surface hopping (TSH) method that
incorporates spin-orbit (SO) effects using the "diagonal representation" within
the Linear-Response Time-Dependent Density Functional Theory (LR-TDDFT)
framework. In this approach, the evaluation of spin-orbit coupling (SOC) matrix
elements between singlet and triplet states employs the Casida's wave functions
and the Breit-Pauli (BP) spin-orbit Hamiltonian with effective charge
approximation. The new TSH approach is then used to investigate the excited-state
relaxation of 2-thiouracil (2TU) in vacuum and water. On the basis of the
simulation results, relaxation of the initially populated bright state is found
to be dominated by the route S2 -> S1 -> T. The intersystem crossing (ISC) can
occur at either the C2-puckered structure or the C2-pyramidalized S1 minimum, and
is promoted by a three-state near-degeneracy (S1/T2/T1 in vacuum or S1/T3/T2 in
water) as well as sizable SOCs. Our simulations achieve a good agreement with the
available experimental measurements in terms of the internal conversion (IC) and
ISC time scales, and complement the picture of the relaxation mechanisms of 2TU
after photo-excitation to the first bright state.
PMID- 29796549
TI - Increased immune cell infiltration in patient-derived tumor explants treated with
Traniplatin: an original Pt(iv) pro-drug based on Cisplatin and Tranilast.
AB - Elevated intra-tumoral immune infiltrate is associated with an improved prognosis
in cancer of distinct origins. Traniplatin (TPT) is a novel platinum(iv) pro-drug
based on Cisplatin (CDDP) and the marketed drug Tranilast. When compared in vitro
to Cisplatin, TPT showed increased cytotoxic activity against colon and lung
cancer cells but decreased activity against immune cells. In addition, TPT
efficiency was evaluated in tumor explants derived from colorectal cancer samples
from patients subjected to intended curative surgery. TPT induced strong intra
tumoral cytotoxic activity yet was associated with an elevated presence of immune
cell infiltrate, suggesting a reduced cytotoxic activity against immune cells in
colorectal cancer.
PMID- 29796550
TI - Non-covalent interactions of uranyl complexes: a theoretical study.
AB - We report a set of theoretical calculations designed to examine the potential of
model uranyl complexes to participate in hydrogen- and halogen-bonding. Potential
energy scans for the interaction of [UO2Cl2(H2O)3] and [UO2(NCSe)2(H2O)3] with a
single water molecule demonstrate that uranyl is a weak hydrogen bond acceptor,
but that equatorially coordinated water is a strong hydrogen bond donor. These
predictions are supported by a survey of contacts reported in the Cambridge
Structural Database. At the minima of each scan, we show that the interaction
energy is only weakly dependent on the choice of the theoretical method, with
standard density functional theory methods comparing well with coupled-cluster,
MP2 and double-hybrid DFT predictions. Geometry optimisation of a 1 : 1 uranyl :
water complex results in a cyclic structure, in which vibrational frequencies,
atoms-in-molecules and natural bond orbital analysis support the weakness of U
Oyl as an acceptor. The origin of this behaviour is traced to the electronic
structure of uranyl, and in particular covalency in the U-Oyl bonds resulting
from donation into formally empty 5f and 6d orbitals on U.
PMID- 29796552
TI - The role of hydrophobic hydration in the LCST behaviour of POEGMA300 by all-atom
molecular dynamics simulations.
AB - The solubility and lower critical solution temperature (LCST) behaviour of
poly(oligo(ethylene glycol)methyl ether methacrylate) (POEGMA300) in water were
comprehensively investigated by all-atom molecular dynamics (MD) simulations for
5-, 20-, 50- and 75-mer homopolymers. According to various structural and dynamic
properties, the water-solubility of POEGMA300 below the LCST is mainly provided
by hydrophobic hydration around the side chain carbon atoms, which is achieved by
cage-like water formations. The LCST phase transition occurs when these cage-like
structures are disrupted by increasing the temperature above the LCST. During
this process, significant amounts of water molecules are released and the local
water-ordering is reduced. Moreover, the number of hydrogen bonds and hydrogen
bond lifetime results indicate that the hydrogen bonding between polymers and
water molecules has relatively little effect on the phase transition. Also, the
diffusion rates of 50- and 75-mer POEGMA300 decrease with increasing temperature,
which may be due to the breakage of cage-like water structures when the polymer
exceeds a certain chain length. Our atomistic level findings will enhance the
understanding of the LCST phase transition of OEGMA based homopolymers and will
be helpful to design homo- and co-polymers of OEGMAs with required properties.
PMID- 29796551
TI - 1-Bromoethene-1-sulfonyl fluoride (BESF) is another good connective hub for SuFEx
click chemistry.
AB - We demonstrate 1,2-dibromoethane-1-sulfonyl fluoride (DESF) as a bench-stable and
readily accessible precursor to the robust SuFEx connector, 1-bromoethene-1
sulfonyl fluoride (BESF). The in situ generation of BESF from DESF opens up
several new reaction profiles, including application in the syntheses of
unprecedented 3-substituted isoxazole-5-sulfonyl fluorides, 1-substituted-1H
1,2,3-triazole-4-sulfonyl fluorides, 2-amino-1-bromoethane-1-sulfonyl fluorides
and 4-bromo-beta-sultams in good to excellent yields. These new modules comprise
a pendant sulfonyl fluoride handle, which further undergoes facile and selective
SuFEx reactions with a selection of aryl silyl ethers to generate stable and
useful sulfonate connections.
PMID- 29796553
TI - Hematite/silica nanoparticle bilayers on mica: AFM and electrokinetic
characterization.
AB - Quantitative studies on self-assembled hematite/silica nanoparticle (NP) bilayers
on mica were performed by applying scanning electron microscopy (SEM), atomic
force microscopy (AFM), and streaming potential measurements. The coverage of the
supporting hematite layers was adjusted by changing the bulk concentration of the
suspension and the deposition time. The coverage was determined by direct
enumeration of deposited particles from AFM images and SEM micrographs.
Afterward, silica nanoparticle monolayers were assembled under diffusion
controlled transport. A unique functional relationship was derived connecting the
silica coverage with the hematite precursor layer coverage. The formation of the
hematite monolayer and the hematite/silica bilayer was also monitored in situ by
streaming potential measurements. It was confirmed that the zeta potential of the
bilayers was independent of the supporting layer coverage, exceeding 0.15. These
measurements were theoretically interpreted in terms of the general
electrokinetic model that allowed for deriving a formula for calculating
nanoparticle coverage in the bilayers. Additionally, from desorption experiments,
the interactions among hematite/silica particles in the bilayers were determined
using DLVO theory. These results facilitate the development of a robust method of
preparing nanoparticle bilayers with controlled properties, with potential
applications in catalytic processes.
PMID- 29796554
TI - A luminescent [Pd4Ru8]24+ supramolecular cage.
AB - A phosphorescent cage of the form [Pd4Ru8]24+ is reported. The cage was formed
using the metalloligand [Ru(dtbubpy)2(qpy)]2+, where qpy = 4,4':2',2'':4'',4'''
quaterpyridine and dtbubpy = 4,4'-di-tert-butyl-2,2'-bipyridine. The cage has
been characterised by NMR, ESI-MS, TEM and X-ray diffraction analyses and its
emission properties elucidated by steady-state and time-resolved emission
spectroscopy.
PMID- 29796556
TI - Visible-light-activated photoCORMs: rational design of CO-releasing organic
molecules absorbing in the tissue-transparent window.
AB - To date, most known molecules that release carbon monoxide by the action of light
are based on carbonyl complexes of metals. However, they suffer from several
disadvantages, so the focus of this perspective is on photoactivatable metal-free
CO precursors. The development and design of these systems from the starting
point of deep-UV-absorbing hydrophobic molecules and leading to hydrophilic
biocompatible visible-light-absorbing CO-releasing molecules (photoCORMs) is
described with mechanistic details for several structural motifs. The
possibilities of this development are not exhausted and here we discuss the
design of new biologically interesting candidates.
PMID- 29796555
TI - Formal [4 + 2] cycloaddition of imines with alkoxyisocoumarins.
AB - A new preparation of delta-lactams is reported. In the presence of a Lewis acid
promoter, alkoxyisocoumarins engage a range of N-aryl and N-alkyl imines to form
delta-lactams with a pendent carboalkoxy substituent. A sulfonamide-thiourea
catalyst enables the synthesis of these products in moderate to good
enantioselectivities.
PMID- 29796557
TI - Quo vadis, unimolecular electronics?
AB - This paper reviews the present status of unimolecular electronics (UME). The
field started in the 1970s with a hope that some day organic molecules (~2 nm in
size), when used as electronic components, would challenge Si-based inorganic
electronics in ultimate-high-density integrated circuits. The technological push
to ever smaller inorganic device sizes (Moore's "law") was driven by a profit
motive and by vast investments. UME, the underfunded pauper, may have lost that
"race to the bottom", but some excellent science is left to be done.
PMID- 29796558
TI - FeCl3 or MeSO3H-promoted multicomponent reactions for facile synthesis of
structurally diverse furan analogues.
AB - An intriguing conversion of arylglyoxal, cyclic dicarbonyl compounds and phenols
to diverse furan analogues under FeCl3 or MeSO3H catalysis is reported. Utilizing
this synthetic protocol, a variety of furan analogues could be easily obtained in
moderate to good yields with different substituted patterns by varying the
reaction medium. Atom-economical characteristics and mild conditions of this
method are in accord with the concept of modern green chemistry.
PMID- 29796559
TI - Mixed-scale poly(methyl methacrylate) channel network-based single-particle
manipulation via diffusiophoresis.
AB - Despite the unique advantages of nanochannels imparted by their small size, their
utility is limited by the lack of affordable and versatile fabrication methods.
Moreover, nanochannel-incorporated fluidic devices require micro-sized conduit
integration for efficient access of liquid samples. In this study, a simple and
cost-effective fabrication method for mixed-scale channel networks via hot
embossing of poly(methyl methacrylate) (PMMA) using a carbon stamp is
demonstrated. Due to its high rigidity, PMMA ensures collapse-free channel
fabrication. The carbon stamp is fabricated using only batch microfabrication and
has a convex architecture that allows the fabrication of a complex channel
network via a single imprinting process. In addition, the microchannels are
connected to nanochannels via three-dimensional (3D) microfunnels that serve as
single-particle-entrapment chambers, ensuring smooth transport of samples into
the nanochannels. Owing to the 3D geometry of the microfunnels and the small size
of the nanochannels, a solute gradient can be generated locally at the
microfunnel. This local solute gradient enables the entrapment of microparticles
at the microfunnels via diffusiophoresis, which can manipulate the particle
motion in a controllable manner, without any external equipment or additional
electrode integration into the channels. To the best of our knowledge, this is
the first report of diffusiophoresis-based single-particle entrapment.
PMID- 29796560
TI - Anti-staphylococcal biofilm activity of miconazoctylium bromide.
AB - We designed and synthesized miconazole analogues containing a substituted
imidazolium moiety. The structural modification of the miconazole led to a
compound with high potency to prevent the formation and disrupt bacterial
biofilms, as a result of accumulation in the biofilm matrix, permeabilization of
the bacterial membrane and generation of reactive oxygen species in the
cytoplasm.
PMID- 29796561
TI - A multiplexed microfluidic system for evaluation of dynamics of immune-tumor
interactions.
AB - Recapitulation of the tumor microenvironment is critical for probing mechanisms
involved in cancer, and for evaluating the tumor-killing potential of
chemotherapeutic agents, targeted therapies and immunotherapies. Microfluidic
devices have emerged as valuable tools for both mechanistic studies and for
preclinical evaluation of therapeutic agents, due to their ability to precisely
control drug concentrations and gradients of oxygen and other species in a
scalable and potentially high throughput manner. Most existing in vitro
microfluidic cancer models are comprised of cultured cancer cells embedded in a
physiologically relevant matrix, collocated with vascular-like structures.
However, the recent emergence of immune checkpoint inhibitors (ICI) as a powerful
therapeutic modality against many cancers has created a need for preclinical in
vitro models that accommodate interactions between tumors and immune cells,
particularly for assessment of unprocessed tumor fragments harvested directly
from patient biopsies. Here we report on a microfluidic model, termed EVIDENT (ex
vivo immuno-oncology dynamic environment for tumor biopsies), that accommodates
up to 12 separate tumor biopsy fragments interacting with flowing tumor
infiltrating lymphocytes (TILs) in a dynamic microenvironment. Flow control is
achieved with a single pump in a simple and scalable configuration, and the
entire system is constructed using low-sorption materials, addressing two
principal concerns with existing microfluidic cancer models. The system sustains
tumor fragments for multiple days, and permits real-time, high-resolution imaging
of the interaction between autologous TILs and tumor fragments, enabling mapping
of TIL-mediated tumor killing and testing of various ICI treatments versus tumor
response. Custom image analytic algorithms based on machine learning reported
here provide automated and quantitative assessment of experimental results.
Initial studies indicate that the system is capable of quantifying temporal
levels of TIL infiltration and tumor death, and that the EVIDENT model mimics the
known in vivo tumor response to anti-PD-1 ICI treatment of flowing TILs relative
to isotype control treatments for syngeneic mouse MC38 tumors.
PMID- 29796563
TI - Controlling factors of oligomerization at the water surface: why is isoprene such
a unique VOC?
AB - Recent studies have shown that atmospheric particles are sufficiently acidic to
enhance the uptake of unsaturated volatile organic compounds (VOCs) by triggering
acid-catalyzed oligomerization. Controlling factors of oligomerization at the
aqueous surfaces, however, remain to be elucidated. Herein, isoprene (2-methyl
1,3-butadiene, ISO), 1,3-butadiene (1,3-b), 1,4-pentadiene (1,4-p), 1-pentene (1
p), and 2-pentene (2-p) vapors are exposed to an acidic water microjet (1 <= pH
<= 5), where cationic products are generated on its surface within ~10 MUs and
directly detected using surface-sensitive mass spectrometry. We found that
carbocations form at the air-water interface in all the cases, whereas the extent
of oligomerization largely depends on the structure in the following order: ISO ?
1,3-b > 1,4-p ? 1-p ~ 2-p. Importantly, the cationic oligomerization of ISO
yields a protonated decamer ((ISO)10H+, a C50 species of m/z 681.6), while the
pentenes 1-p/2-p remain as protonated monomers. We suggest that ISO
oligomerization is uniquely facilitated by (1) the resonance stabilization of
(ISO)H+ through the formation of a tertiary carbocation with a conjugated
C[double bond, length as m-dash]C bond pair, and (2) pi-electron enrichment
induced by the neighboring methyl group. Experiments in D2O and D2O : H2O
mixtures revealed that ISO oligomerization on the acidic water surface proceeds
via two competitive mechanisms: chain-propagation and proton-exchange reactions.
Furthermore, we found that ISO carbocations undergo addition to relatively inert
1-p, generating hitherto uncharacterized co-oligomers.
PMID- 29796562
TI - Mouse-to-mouse variation in maturation heterogeneity of smooth muscle cells.
AB - Smooth muscle cell (SMC) heterogeneity plays an important role in vascular
remodeling, a life-threatening hallmark of many vascular diseases. However, the
characterization of SMCs at the single-cell level is stymied by drawbacks of
contemporary single-cell protein measurements, including antibody probe cross
reactivity, chemical fixation artifacts, limited isoform-specific probes, low
multiplexing and difficulty sampling cells with irregular morphologies. To
scrutinize healthy vessels for subpopulations of SMCs with proliferative-like
phenotypes, we developed a high-specificity, multiplexed single-cell
immunoblotting cytometry tool for unfixed, uncultured primary cells. We applied
our assay to demonstrate maturation stage profiling of aortic SMCs freshly
isolated from individual mice. After ensuring unbiased sampling of SMCs (80-120
MUm in length), we performed single-SMC electrophoretic protein separations,
which resolve protein signal from off-target antibody binding, and immunoblotted
for differentiation markers alpha-SMA, CNN-1 and SMMHC (targets ranging from 34
kDa to 227 kDa). We identified a subpopulation of immature-like SMCs, supporting
the recently-established mechanism that only a subset of SMCs is responsible for
vascular remodeling. Furthermore, the low sample requirements of our assay enable
single-mouse resolution studies, which minimizes animal sacrifice and
experimental costs while reporting animal-to-animal phenotypic variation,
essential for achieving reproducibility and surmounting the drawbacks of pooling
primary cells from different animals.
PMID- 29796564
TI - Stabilization of planar tetra-coordinate silicon in a 2D-layered extended system
and design of a high-capacity anode material for Li-ion batteries.
AB - Stabilization of planar tetra-coordinate silicon (ptSi) was achieved in compounds
and 2D-layered extended systems, in which single molecular ptSi in C12H8Si
captures four additional electrons to maintain a stable planar structure while
the extending conjugate interactions are responsible for the stabilization of
ptSi in the 2D sheet. Based on the ptSi SiC12 building block, a SiC8 siligraphene
2D sheet was constructed, and each of its ptSi could accommodate six lithium
atoms. The electronic and lithium-storage properties of the ptSi 2D network were
explored using first-principles calculations and ab initio molecular dynamics
(AIMD) simulations. The newly designed 2D SiC8 sheet has high thermal and dynamic
stability, good electronic conductivity, strong lithium-storage ability, a large
theoretical capacity of 1297 mA h g-1, and facile surface diffusion of Li and
Li+. The predicted relatively high average cell voltages from 2.24 to 2.47 V are
fairly stable as the lithium content varies. These unique properties of the 2D
SiC8 sheet with ptSi make it quite appealing as a novel anode material for high
performance Li-ion batteries (LIBs).
PMID- 29796565
TI - Phase-pure pentlandite Ni4.3Co4.7S8 binary sulfide as an efficient bifunctional
electrocatalyst for oxygen evolution and hydrogen evolution.
AB - Developing an efficient non-noble bifunctional electrocatalyst for both the
oxygen evolution reaction (OER) and the hydrogen evolution reaction (HER) in the
same electrolyte is significant for lowering the cost of electrochemical water
splitting. Herein, a phase-pure pentlandite Ni4.3Co4.7S8 bifunctional
electrocatalyst was synthesized via a hydrothermal process using a commercial
nickel foam as the nickel source. The active metallic nickel source and the
chelating agent ethylenediamine play important roles in the formation of phase
pure pentlandite Ni4.3Co4.7S8 binary sulfide. Physicochemical characterizations,
electrochemical measurements and density functional theory (DFT) computations
illustrate that the material has an exposed high-indexed (022) surface with a
biomimetic hydrogenase-like structure, and that the pentlandite phase has
metallic characteristics, with next-nearest neighbor metal-metal bonds, as well
as there being a high overlap of density of state (DOS) at the Fermi-level due to
the synergistic effect between Ni and Co ions. In addition, there is an elevation
of the d-state center (from -2.84 to -1.52 eV) with high occupation of the anti
bonding eg (dx2-y2 and dz2) d-orbitals. These properties endow the Ni4.3Co4.7S8
bifunctional electrocatalyst with higher catalytic activity for OER than RuO2,
with comparative activity for HER to commercial Pt/C and with a low over
potential for all water splitting in an alkaline electrolyte. The studies here
provide a novel strategy to synthesise phase-pure pentlandite nickel cobalt
binary sulfides and boost their applications in electrochemical water splitting.
PMID- 29796566
TI - Transient imines as 'next generation' directing groups for the catalytic
functionalisation of C-H bonds in a single operation.
AB - C-H functionalisation promises a paradigm shift in synthetic planning. However,
the additional steps often required to install and remove directing groups
currently detract from the efficiency. The strategy of reversible installation of
a directing group via an imine linkage has recently emerged, with the imine
formed and hydrolysed in situ. Such transient directing groups can promote
transition metal catalysed functionalisation of unactivated C-H bonds of
aldehydes, ketones and amines. This approach removes additional steps usually
required for covalent directing groups and can use catalytic quantities of the
imine forming component. This review updates the rapidly developing field of
transient directing groups for C-H functionalisation on sp2 and sp3 carbon
centres, to form new C-C and C-X bonds. We focus on the structures of the
transient directing groups as mono or bidentate coordinating groups for various
metal catalysts.
PMID- 29796567
TI - Characterization of interfacial barrier charging as a resistive switching
mechanism in Ag/Sb2Te3/Ag heterojunctions.
AB - In this study, bipolar memristive behaviors were systematically characterized in
Ag/Sb2Te3/Ag hetero-junctions. By using in situ Raman and photoluminescence
spectroscopy, a direct observation of the bonding environment and band structure
confirmed that resistive switches are strongly related to the electronic valence
changes in Sb2Te3 and the formation of Schottky barriers at Ag/Sb2Te3 interfaces.
Band movement of Sb2Te3 acquired by first-principles calculations also supports
the electrostatic barrier charging as a memristive mechanism of Ag/Sb2Te3/Ag
heterocells. Independent resistance-switching behaviors that can be utilized in
both amorphous and crystalline Sb2Te3 lead to multiple resistance values with a
large memory window (104-105) and low read voltage (~0.2 V), giving rise to a
unique multi-level memory concept. This study based on Ag/Sb2Te3/Ag hetero
junctions offers a significant understanding to promote the use of Sb2Te3 and
other chalcogenide memristors as promising candidates for compatible high-density
memory applications.
PMID- 29796569
TI - One pot microwave synthesis of highly stable AuPd@Pd supported core-shell
nanoparticles.
AB - A series of 1 wt% supported Au, Pd and AuPd nanoalloy catalysts were prepared via
microwave assisted reduction of PdCl2 and HAuCl4 in a facile, one pot process.
The resulting materials showed excellent activity for the direct synthesis of
hydrogen peroxide from hydrogen and oxygen, with a synergistic effect observed on
the addition of Au into a Pd catalyst. Detailed electron microscopy showed that
the bimetallic particles exhibited a core-shell morphology, with an Au core
surrounded by an Au-Pd shell, with a size between 10-20 nm. The presence of Au in
the shell was confirmed by EDX studies, with corroborating data from XPS
measurements showing a significant contribution of both Au and Pd in the spectra,
with the Au signal increasing as the total Au content of the catalyst increased.
No PdO was observed, suggesting a complete reduction of the metal chloride
nanoparticles. Unlike similar catalysts prepared by sol-immobilisation
methodology, the core-shell structures showed excellent stability during the
hydrogen peroxide synthesis reaction, and no catalyst deactivation was observed
over 4 reuse cycles. This is the first time the preparation of stable core-shell
particles have been reported using microwave assisted reduction. The observation
that these particles are core-shell, without the need of a complicated synthesis
or high thermal treatment and form in just 15 minutes presents an exciting
opportunity for this experimental technique.
PMID- 29796568
TI - Self-assembly of convex particles on spherocylindrical surfaces.
AB - The precise control of assembly and packing of proteins and colloids on curved
surfaces has fundamental implications in nanotechnology. In this paper, we
describe dynamical simulations of the self-assembly of conical subunits around a
spherocylindrical template, and a continuum theory for the bending energy of a
triangular lattice with spontaneous curvature on a surface with arbitrary
curvature. We find that assembly depends sensitively on mismatches between
subunit spontaneous curvature and the mean curvature of the template, as well as
anisotropic curvature of the template (mismatch between the two principal
curvatures). Our simulations predict assembly morphologies that closely resemble
those observed in experiments in which virus capsid proteins self-assemble around
metal nanorods. Below a threshold curvature mismatch, our simulations identify a
regime of optimal assembly leading to complete, symmetrical particles. Outside of
this regime we observe defective particles, whose morphologies depend on the
degree of curvature mismatch. To learn how assembly is affected by the nonuniform
curvature of a spherocylinder, we also study the simpler cases of assembly around
spherical and cylindrical cores. Our results show that both the intrinsic
(Gaussian) and extrinsic (mean) curvatures of a template play significant roles
in guiding the assembly of anisotropic subunits, providing a rich design space
for the formation of nanoscale materials.
PMID- 29796570
TI - Nitric oxide release from a cucurbituril encapsulated NO-donor.
AB - Controlling S-nitrosothiol decomposition, with the consequent release of nitric
oxide, is a topic of great research interest. The incorporation of
nitrosomercaptopyridine (SNO+) into the cucurbit[7]uril cavity results in a large
increase of its nitrosation equilibrium constant. This effect being a consequence
of the preferential stabilization of organic cations by the formation of host :
guest complexes with CB7 results in a drastic reduction of the SNO+ denitrosation
rate constant. Moreover, SNO+ encapsulation also prevents its decomposition
yielding disulfide and nitric oxide. The expulsion of SNO+ from the cucurbituril
cavity through the application of a chemical stimulus (competitive binding)
results in controlled nitric oxide release as was confirmed by using a NO
selective electrode.
PMID- 29796571
TI - A theoretical study on the structures and electronic and magnetic properties of
new boron nitride composite nanosystems by depositing superhalogen Al13 on the
surface of nanosheets/nanoribbons.
AB - Inorganic boron nitride (BN) nanomaterials possess outstanding physical and
chemical characteristics, and can be considered as an excellent building block to
construct new composite nanomaterials. In this work, on the basis of the first
principles computations, a new type of composite nanostructure can be constructed
by depositing superhalogen Al13 on the surface of low-dimensional BN monolayer or
nanoribbons (BNML/BNNRs). All these Al13-modified BN nanosystems can possess
large adsorption energies, indicating that superhalogen Al13 can be stably
adsorbed on the surface of these BN materials. In particular, it is revealed that
independent of the chirality, ribbon width and adsorption site, introducing
superhalogen Al13 can endow the BN-based composite systems with a magnetic ground
state with a magnetic moment of about 1.00 MUB, and effectively narrow their
robust wide band gaps. These new superhalogen-Al13@BN composite nanostructures,
with magnetism and an appropriate band gap, can be very promising to be applied
in multifunctional nanodevices in the near future.
PMID- 29796572
TI - Crossover from semi-dilute to densely packed thin polymer films at the air-water
interface and structure formation at thin film breakup.
AB - A series of poly(n-butyl acrylate) (PnBA, 5 to 32 kg mol-1) homopolymers and
diblock copolymers with poly(ethylene glycol) (PEG, constant molecular weight of
0.3 kg mol-1) is synthesized for the purpose of the investigation of quasi-2D
polymer films at the air-water interface. The presented compression isotherms
show a transition from theta solvent behavior for PnBA homopolymers to good
solvent conditions when the volume fraction of the PEG in the block copolymers is
increased by decreasing the molecular weight of PnBA. A transition from a semi
dilute regime to a densely packed layer is observed in the pressure isotherms for
all the polymers. In the densely packed films we found first evidence for thin
film breakup of a thin polymer film directly at the air-water interface.
Combination of results from Brewster-Angle-Microscopy and Surface X-ray
scattering provide a consistent picture of the film breakup. Our results suggest
a preferred length scale of 2.5 MUm. This scenario is analogous to a spinodal
mechanism driven by thermal fluctuations of the film height.
PMID- 29796573
TI - Influence of Cu adatoms on the molecular assembly of 4,4'-bipyridine on Cu(111).
AB - The formation of highly organized structures based on two ligands with pyridyl
functionalities, 4,4'-bipyridine (BPY) and 1,4-di(4,4''-pyridyl) benzene (BPYB),
and Cu adatoms on the Cu(111) surface has been studied with low temperature and
variable temperature scanning tunneling microscopy (STM) and first-principles
calculations. We show that the formation of a highly organized adlayer built from
adatom-molecule and molecule-molecule units strongly depends on the number of
mobile Cu atoms on the surface. While a high concentration of Cu adatoms (high
adatom/BPY ratio, >=1) leads systematically to the formation of organometallic
nanolines, their absence (low adatom/BPY ratio, ~0) gives a compact self
assembled molecular network, and more specifically hydrogen-bond networks (HBN)
with BPY molecules organized in a T-shaped fashion. Alternatively, an
intermediate concentration of Cu adatoms (0 < adatom/BPY < 1) allows the
formation of a well-organized and compact structure where both organometallic and
HBN components coexist. Although STM images cannot clearly reveal the presence of
Cu adatoms within the organometallic moiety, the bonding of BPY to a single or
two Cu adatoms can be clearly identified by scanning tunneling spectroscopy
(STS), and is supported by Density Functional Theory (DFT) results. Additional
STM simulations suggest that the relative position of the Cu adatom with respect
to the organic ligands just above has a significant impact on its detection by
STM. This study exemplifies the prominent role of metallic adatoms on the
formation of a complex organometallic network and should open more rational
practices to optimize the formation of these supramolecular networks.
PMID- 29796574
TI - In situ vibrational spectroscopy of adsorbed nitrogen in porous carbon materials.
AB - This study uses in situ vibrational spectroscopy to probe nitrogen adsorption to
porous carbon materials, including single-wall carbon nanotubes and Maxsorb super
activated carbon, demonstrating how the nitrogen Raman stretch mode is perturbed
by adsorption. In all porous carbon samples upon N2 physisorption in the mesopore
filling regime, the N2 Raman mode downshifts by ~2 cm-1, a downshift comparable
to liquid N2. The relative intensity of this mode increases as pressure is
increased to saturation, and trends in the relative intensity parallel the
volumetric gas adsorption isotherm. This mode with ~2 cm-1 downshift is thus
attributed to perturbations arising due to N2-N2 interactions in a condensed
film. The mode is also observed for the activated carbon at 298 K, and the
relative intensity once again parallels the gas adsorption isotherm. For select
samples, a mode with a stronger downshift (>4 cm-1) is observed, and the stronger
downshift is attributed to stronger N2-carbon surface interactions. Simulations
for a N2 surface film support peak assignments. These results suggest that N2
vibrational spectroscopy could provide an indication of the presence or absence
of porosity for very small quantities of samples.
PMID- 29796575
TI - Evaluation of prevalence, biochemical profile, and drugs associated with chronic
kidney disease-mineral and bone disorder in 11 dialysis centers.
AB - INTRODUCTION: The diagnosis and treatment of mineral and bone disorder of chronic
kidney disease (CKD-MBD) is a challenge for nephrologists and health managers.
The aim of this study was to evaluate the prevalence, biochemical profile, and
drugs associated with CKD-MBD. METHODS: Cross-sectional study between July and
November 2013, with 1134 patients on dialysis. Sociodemographic, clinical, and
laboratory data were compared between groups based on levels of intact
parathyroid hormone (iPTH) (< 150, 150-300, 301-600, 601-1000, and > 1001 pg/mL).
RESULTS: The mean age was 57.3 +/- 14.4 years. The prevalence of iPTH < 150 pg/mL
was 23.4% and iPTH > 601 pg/mL was 27.1%. The comparison between the groups
showed that the level of iPTH decreased with increasing age. Diabetic patients
had a higher prevalence of iPTH < 150 pg/mL (27.6%). Hyperphosphatemia (> 5.5
mg/dL) was observed in 35.8%. Calcium carbonate was used by 50.5%, sevelamer by
14.7%, 40% of patients had used some form of vitamin D and 3.5% used cinacalcet.
Linear regression analysis showed a significant negative association between
iPTH, age, and diabetes mellitus and a significant positive association between
iPTH and dialysis time. CONCLUSION: The prevalence of patients outside the target
for iPTH was 50.5%. There was a high prevalence of hyperphosphatemia (35.8%), and
the minority of patients were using active vitamin D, vitamin D analogs,
selective vitamin D receptor activators, and cinacalcet. These data indicate the
need for better compliance with clinical guidelines and public policies on the
supply of drugs associated with CKD-MBD.
PMID- 29796576
TI - Clinical and laboratory features of urinary tract infections in young infants.
AB - INTRODUCTION: Urinary tract infection (UTI) is the most common serious bacterial
infection in young infants. Signs and symptoms are often nonspecific. OBJECTIVES:
To describe clinical, demographic and laboratory features of UTI in infants <= 3
months old. METHODS: Cross-sectional study of infants <= 3 months old with UTI
diagnosed in a pediatric emergency department, for the period 2010-2012. UTI was
defined as >= 50,000 colony-forming units per milliliter of a single uropathogen
isolated from bladder catheterization. Paired urinalysis and urine culture from
group culture-positive and group culture-negative were used to determine the
sensitivity and specificity of pyuria and nitrite tests in detecting UTI.
RESULTS: Of 519 urine cultures collected, UTI was diagnosed in 65 cases
(prevalence: 12.5%); with male predominance (77%). The most common etiologies
were Escherichia coli (56.9%), Klebsiella pneumoniae (18.5%) and Enterococcus
faecalis (7.7%). Frequent clinical manifestations were fever (77.8%),
irritability (41.4%) and vomiting (25.4%). The median temperature was 38.7
degrees C. The sensitivity of the nitrite test was 30.8% (95%CI:19.9-43.4%),
specificity of 100% (95%CI:99.2-100%). Pyuria >= 10,000/mL had a sensitivity of
87.7% (95%CI:77.2-94.5%), specificity of 74.9% (95%CI:70.6 -78.8%). The median
peripheral white blood cell count was 13,150/mm3; C-reactive protein levels were
normal in 30.5% of cases. CONCLUSIONS: The male: female ratio for urinary tract
infection was 3.3:1. Non-Escherichia coli etiologies should be considered in
empirical treatment. Fever was the main symptom. Positive nitrite is highly
suggestive of UTI but has low sensitivity; whereas pyuria >= 10,000/mL revealed
good sensitivity, but low specificity. Peripheral white blood cell count and C
reactive protein concentration have limited usefulness to suggest UTI.
PMID- 29796577
TI - Sepsis-like histoplasmosis in a kidney transplant patient.
AB - Histoplasmosis is a fungus infection that mainly affects immunosuppressed
patients. The authors present a case of a kidney transplant recipient who
developed sepsis-like histoplasmosis, na atypical but severe manifestation of the
disease. The fungus was found in blood and in a skin biopsy, and the treatment
with liposomal amphotericin resulted in hepatotoxicity.
PMID- 29796579
TI - Renal oncocytoma in a kidney transplant patient: the imaging features on contrast
enhanced ultrasonography (CEUS): a case report.
AB - Renal oncocytoma is an infrequently reported renal neoplasm, often asymptomatic,
which usually behaves as a benign entity and is identified accidentally on
radiological imaging. Transplant patients under long-term immunosuppressive drugs
have a high prevalence of cancers, such as skin cancers, lymphoproliferative
disorders, and renal carcinomas. We present a case report of an asymptomatic
renal oncocytoma in a kidney transplant recipient presenting persistent
hematuria. The features of computed tomography and contrast-enhanced ultrasound
(CEUS) are presented. This was the first time we used CEUS in a transplant kidney
recipient presenting a renal mass, allowing the real-time visualization of
contrast-enhancement patterns during all vascular phases for the differential
diagnosis of renal tumors. Although the pattern of intense vascularization could
mislead to an early judgment as a malignant lesion, it could help to exclude
other renal lesions without inducing nephrotoxicity.
PMID- 29796578
TI - Quantitative detection of BK virus in kidney transplant recipients: a prospective
validation study.
AB - INTRODUCTION: BK virus (BKV) infection in renal transplant patients may cause
kidney allograft dysfunction and graft loss. Accurate determination of BKV viral
load is critical to prevent BKV-associated nephropathy (BKVAN) but the cut-off
that best predicts BKVAN remains controversial. OBJECTIVE: To evaluate the
performance of a commercial and an in-house qPCR test for quantitative detection
of BK virus in kidney transplant recipients. METHODS: This was a prospective
study with kidney transplant recipients from two large university hospitals in
Brazil. Patients were screened for BKV infection every 3 months in the first year
post-transplant with a commercial and an in-house real time polymerase chain
reaction (qPCR) test. BKVAN was confirmed based on histopathology. The area under
the curve for plasma qPCR was determined from receiver operating characteristic
analysis. RESULTS: A total of 200 patients were enrolled. Fifty-eight percent
were male, 19.5% had diabetes mellitus, and 82% had the kidney transplanted from
a deceased donor. BKV viremia was detected in 32.5% and BKVAN was diagnosed in 8
patients (4%). BKVAN was associated with viremia of 4.1 log copies/mL, using a
commercial kit. The cut-off for the in-house assay was 6.1 log copies/mL. The
linearity between the commercial kit and the in-house assay was R2=0.83.
CONCLUSION: Our study shows that marked variability occurs in BKV viral load when
different qPCR methodologies are used. The in-house qPCR assay proved clinically
useful, a cheaper option in comparison to commercial qPCR kits. There is an
urgent need to make BKV standards available to the international community.
PMID- 29796580
TI - Does high intensity exercise affects irisin plasma levels in hemodialysis
patients? A pilot study.
AB - BACKGROUND: Irisin is a recently identified exercise-induced hormone that
stimulates the "browning" of the white adipose tissue, at least in mice. In
chronic kidney disease (CKD) patients, irisin regulation is not fully understood,
and little attention has been given to the effects of exercise on irisin levels
in these patients. The purpose of this study was to assess the effects of high
intensity exercise on irisin plasma levels in CKD patients under hemodialysis
(HD). METHODS: Fifteen HD patients (5 men, 44.4 +/- 15.1 years old) were studied
and served as their own controls. High intensity (single session) intradialytic
strength exercises consisted of three sets of ten repetitions with four different
movements in both lower limbs during 30 minutes. Blood samples were collected on
different days (exercise and non-exercise day) at exactly the same time (30 and
60 minutes after the start of dialysis session). Plasma irisin levels were
measured by ELISA assay and anthropometric and biochemical parameters were
evaluated. RESULTS: Irisin plasma levels were significantly reduced in both
exercise day (125.0 +/- 18.5 to 117.4 +/- 15.0 ng/mL, p=0.02) and non-exercise
day (121.5 +/- 13.7 to 115.4 +/- 17.2 ng/mL, p=0.02) after 60 minutes of
dialysis. CONCLUSION: These data suggest that intense intradialytic strength
exercise was unable to increase the circulating concentration of irisin in HD
patients. Moreover, our data show that after one hour of dialysis session, irisin
plasma levels may be reduced.
PMID- 29796581
TI - Complement activation in atypical hemolytic uremic syndrome and scleroderma renal
crisis: a critical analysis of pathophysiology.
AB - Scleroderma is an autoimmune disease that affects multiple systems. While
pathophysiologic mechanisms governing the development of scleroderma are
relatively poorly understood, advances in our understanding of the complement
system are clarifying the role of complement pathways in the development of
atypical hemolytic uremic syndrome and scleroderma renal crisis. The abundant
similarities in their presentation as well as the clinical course are raising the
possibility of a common underlying pathogenesis. Recent reports are emphasizing
that complement pathways appear to be the unifying link. This article reviews the
role of complement system in the development of atypical hemolytic uremic
syndrome and scleroderma renal crisis, and calls for heightened awareness to the
development of thrombotic angiopathy in patients with scleroderma.
PMID- 29796582
TI - Oral infection by Pseudomonas aeruginosa in patient with chronic kidney disease -
a case report.
AB - Chronic renal patients are more susceptible to hospital complications and
infections such as urinary tract infections, peritonitis, surgery infections, and
bacteremia, which are often caused by Pseudomonas aeruginosa. A case of a HIV
positive girl with chronic kidney disease and with serious oral lesions due to P.
aeruginosa septic shock is presented. The patient showed necrotic lesions in the
oral mucosa, pathological tooth mobility, bone loss, and hematogenous
osteomyelitis in the maxilla. The patient was submitted to systemic antibiotic
therapy based on screening culture and treatment of bone lesions by eliminating
the causal agent and restoring health conditions. This case report is extremely
important for health professionals, since the oral cavity can be affected by this
pathogen or serve as a colonization site.
PMID- 29796583
TI - Influence of nutritional status, laboratory parameters and dietary patterns upon
urinary acid excretion in calcium stone formers.
AB - INTRODUCTION: Obesity and Metabolic Syndrome (MS) are associated with low urinary
pH and represent risk factors for nephrolithiasis, especially composed by uric
acid. Acidogenic diets may also contribute to a reduction of urinary pH.
Propensity for calcium oxalate precipitation has been shown to be higher with
increasing features of the MS. OBJECTIVE: A retrospective evaluation of
anthropometric and body composition parameters, MS criteria and the dietary
patterns of overweight and obese calcium stone formers and their impact upon
urinary pH and other lithogenic parameters was performed. METHODS: Data regarding
anthropometry, body composition, serum and urinary parameters and 3-days dietary
records were obtained from medical records of 102(34M/68F) calcium stone formers.
RESULTS: A negative correlation was found between urinary pH, waist circumference
and serum uric acid levels (males). The endogenous production of organic acids
(OA) was positively correlated with triglycerides levels and number of features
of MS (males), and with glucose, uric acid and triglycerides serum levels, and
number of features of MS (females). No significant correlations were detected
between Net Acid Excretion (NAE) or Potential Renal Acid Load of the diet with
any of the assessed parameters. A multivariate analysis showed a negative
association between OA and urinary pH. CONCLUSION: The endogenous production of
OA and not an acidogenic diet were found to be independently predictive factors
for lower urinary pH levels in calcium stone formers. Hypercalciuric and/or
hyperuricosuric patients presented higher OA levels and lower levels of urinary
pH.
PMID- 29796584
TI - Prior intake of Brazil nuts attenuates renal injury induced by ischemia and
reperfusion.
AB - INTRODUCTION: Ischemia-reperfusion (IR) injury results from inflammation and
oxidative stress, among other factors. Because of its anti-inflammatory and
antioxidant properties, the Brazil nut (BN) might attenuate IR renal injury.
OBJECTIVE: The aim of the present study was to investigate whether the intake of
BN prevents or reduces IR kidney injury and inflammation, improving renal
function and decreasing oxidative stress. METHODS: Male Wistar rats were
distributed into six groups (N=6/group): SHAM (control), SHAM treated with 75 or
150 mg of BN, IR, and IR treated with 75 or 150 mg of BN. The IR procedure
consisted of right nephrectomy and occlusion of the left renal artery with a non
traumatic vascular clamp for 30 min. BN was given daily and individually for 7
days before surgery (SHAM or IR) and maintained until animal sacrifice (48h after
surgery). We evaluated the following parameters: plasma creatinine, urea, and
phosphorus; proteinuria, urinary output, and creatinine clearance; plasmatic
TBARS and TEAC; kidney expression of iNOS and nitrotyrosine, and macrophage
influx. RESULTS: Pre-treatment with 75 mg of BN attenuated IR-induced renal
changes, with elevation of creatinine clearance and urinary output, reducing
proteinuria, urea, and plasmatic phosphorus as well as reducing kidney expression
of iNOS, nitrotyrosine, and macrophage influx. CONCLUSION: Low intake of BN prior
to IR-induced kidney injury improves renal function by inhibition of macrophage
infiltration and oxidative stress.
PMID- 29796585
TI - Posterior reversible leukoencephalopathy syndrome (PRES) after kidney
transplantation: a case report.
AB - INTRODUCTION: Posterior reversible leukoencephalopathy syndrome (PRES) was first
described by Hinchey in 1996. The syndrome is characterized by altered level of
consciousness, headache, visual changes, and seizures associated with a vasogenic
edema of the white matter that occurs predominantly in the occipital and parietal
lobes. Imaging tests such as computed tomography (CT) and especially magnetic
resonance imaging (MRI) support the diagnosis. CASE REPORT: We report a case of a
48-year-old female patient who underwent a deceased donor kidney transplant and
received tacrolimus as a part of the immunosuppressive regimen. Five weeks after
transplantation she was admitted to the emergency due to sudden onset of
confusion, disorientation, visual disturbances, and major headache. PRES was
suspected and the diagnosis confirmed by brain MRI. Tacrolimus was withdrawn and
rapid improvement of the neurological signs occurred leading to the conclusion
that this drug triggered the syndrome. CONCLUSION: PRES is an unusual
complication after organ transplantation and should be considered in the
appropriate clinical setting. Physicians must be aware of this condition in order
to provide early detection and appropriate treatment since delay in removing the
cause may lead to permanent sequelae.
PMID- 29796586
TI - Pp65 antigenemia and cytomegalovirus diagnosis in patients with lupus nephritis:
report of a series.
AB - INTRODUCTION: In contrast to organ transplantation, few studies correlate the
monitoring of pp65 antigenemia with a diagnosis of cytomegalovirus (CMV) in
patients with systemic lupus erythematosus (SLE). OBJECTIVE: To highlight the
importance of CMV outside transplantation, we monitored pp65 antigenemia in a
series of SLE patients. METHODS: From March 2015 to March 2016, SLE patients
presenting kidney involvement, fever, and an unclear infection at hospital
admission were monitored through pp65 antigenemia. The pp65 antigenemia assay,
revealed by immunofluorescence, was correlated with clinical and laboratory
findings. RESULTS: We included 19 patients with a suspected unclear infection. A
positivity for pp65 antigenemia was found in seven patients (36.8%). The mean age
was 33.5 +/- 11.2 years, 16 (84%) were females, and 16 (84%) were black.
Lymphopenia, anemia, and higher scores of SLEDAI were significantly more common
in pp65-positive patients. Five patients received antiviral therapy with
ganciclovir. Although receiving specific CMV treatment, one patient died because
of suspected CMV disease. CONCLUSIONS: Pp65 antigenemia might be relevant in SLE
patients, and studies with a greater number of patients are needed in order to
establish sensitivity and specificity of pp65 antigenemia in different clinical
contexts of SLE patients.
PMID- 29796587
TI - First stages chronic kidney disease have mild effects on cognitive performance.
Results of a 15,105 brazilian adult baseline cohort.
AB - INTRODUCTION: The aging of the population may lead to an increased prevalence of
dementia and chronic kidney disease (CKD) and their overlap. OBJECTIVE: We
investigated the association between CKD and cognitive performance among
Brazilian adults (35-74 years). METHODS: Baseline data analysis of the
Longitudinal Study of Adults (ELSA-Brasil), a multicenter cohort comprising
15,105 civil servants, was performed. Kidney function was defined by the CKD-Epi
estimated GRF and albumin creatinin ratio (ACR). Cognitive performance was
measured across tests that included the word memory tests, verbal fluency tests
and Trail Making Test B. Multiple logistic and linear regressions were used to
investigate the association between CKD and global as well as test-specific
lowered cognitive performance. RESULTS: More than 90% of participants did not
present CKD even considering reduced GFR or increased ACR simultaneously. Lowered
cognitive performance was detected among 15.8% of the participants and mean
values of GFR were slightly higher among those with normal than with lowered
cognitive performance (86 +/- 15 mL/min/1.73 m2 x 85 +/- 16 mL/min/1.73 m2, p <
0.01). Age, education, skin-color, smoking, drinking, hypertension, and diabetes
were associated with lowered cognition. After adjustment for these variables,
there was no association between CKD and lowered cognitive performance.
Negligibly small beta values were observed when analyzing CKD and the scores of
all tests. CONCLUSION: These results suggest that cognitive performance remains
preserved until renal function reaches significant worsening. Preventive measures
to maintain renal function may contribute to the preservation of cognitive
function.
PMID- 29796588
TI - CKD-MBD in Brazil: the gap between reality and the recommended guidelines.
PMID- 29796589
TI - Fear Odor Facilitates the Detection of Fear Expressions Over Other Negative
Expressions.
AB - In a double-blind experiment, participants were exposed to facial images of
anger, disgust, fear, and neutral expressions under 2 body odor conditions: fear
and neutral sweat. They had to indicate the valence of the gradually emerging
facial image. Two alternative hypotheses were tested, namely a "general negative
evaluative state" hypothesis and a "discrete emotion" hypothesis. These
hypotheses suggest 2 distinctive data patterns for muscle activation and
classification speed of facial expressions. The pattern of results that would
support a "discrete emotions perspective" would be expected to reveal
significantly increased activity in the medial frontalis (eyebrow raiser) and
corrugator supercilii (frown) muscles associated with fear, and significantly
decreased reaction times (RTs) to "only" fear faces in the fear odor condition.
Conversely, a pattern of results characterized by only a significantly increased
corrugator supercilii activity together with decreased RTs for fear, disgust, and
anger faces in the fear odor condition would support an interpretation in line
with a general negative evaluative state perspective. The data support the
discrete emotion account for facial affect perception primed with fear odor. This
study provides a first demonstration of perception of discrete negative facial
expressions using olfactory priming.
PMID- 29796590
TI - Safe working in a 7-day service. Experience of hip fracture care as documented by
the UK National Hip Fracture Database.
AB - Objective: to describe differences in care and 30-day mortality of patients
admitted with hip fracture on weekends (Saturday-Sunday) compared to weekdays
(Monday-Friday), and their relationship to the organisation of care. Methods:
data came from the National Hip Fracture Database (NHFD) linked to ONS mortality
data on 52,599 patients presenting to 162 units in England between 1 January and
31 December 2014. This was combined with information on geriatrician staffing and
major trauma centre (MTC) status. 30-day mortality and care were compared for
patients admitted at weekends and weekdays; separately for patients treated in
units grouped by the mean level of input by geriatricians, weekend geriatrician
clinical cover and MTC status. Differences were adjusted for variation in
patients' characteristics. Results: there was no evidence of differences in 30
day mortality between patients admitted at weekends compared to weekdays (7.2 vs
7.5%, P = 0.3) before or after adjusting for patient characteristics in either
MTCs or general hospitals. The proportion receiving a preoperative geriatrician
assessment was lower at weekends (42.8 vs 60.7%, P < 0.001). 30-day mortality was
lower in units with higher levels of geriatrician input, but there was no weekend
mortality effect associated with lower levels of input or absence of weekend
cover. Conclusion: there was no evidence of a weekend mortality effect among
patients treated for hip fracture in the English NHS. It appears that clinical
teams provide comparably safe and effective care throughout the week. However,
greater geriatrician involvement in teams was associated with overall lower
mortality.
PMID- 29796591
TI - Corrigendum: Preterm Birth Changes Networks of Newborn Cortical Activity.
PMID- 29796593
TI - What is the agronomic potential of biofertilizers for maize? A meta-analysis.
AB - Biofertilizers are promoted as a strategy for sustainable intensification of
agriculture, but their efficacy varies widely among published studies and it is
unclear whether they deliver the promised benefits. Studies are commonly
conducted under controlled conditions prior to deployment in the field, yet the
predictive value of such studies for field-scale productivity has not been
critically examined. A meta-analysis was conducted using a novel host crop
specific approach to evaluate the agronomic potential of bacterial biofertilizers
for maize. Yield increases tended to be slightly higher and more variable in
greenhouse studies using field soil than in the field, and greenhouse studies
poorly predicted the influence of moderating climate, soil and taxonomic
variables. We found greater efficacy of Azospirillum spp. and lower efficacy of
Bacillus spp. and Enterobacter spp. under field conditions. Surprisingly,
biofertilizer strains with confirmed plant-growth-promoting traits such as
phosphorus solubilization, nitrogen fixation and phytohormone production in vitro
were associated with lower yields in the field than strains not confirmed to
possess these traits; only 1-aminocyclopropane-1-carboxylate deaminase synthesis
increased yields. These results indicate the need for a novel biofertilizer
development framework that integrates information from native soil microbial
communities and prioritizes field validation of results.
PMID- 29796592
TI - Endothelial Insulin Receptor Restoration Rescues Vascular Function in Male
Insulin Receptor Haploinsufficient Mice.
AB - Reduced systemic insulin signaling promotes endothelial dysfunction and
diminished endogenous vascular repair. We investigated whether restoration of
endothelial insulin receptor expression could rescue this phenotype. Insulin
receptor knockout (IRKO) mice were crossed with mice expressing a human insulin
receptor endothelial cell-specific overexpression (hIRECO) to produce IRKO-hIRECO
progeny. No metabolic differences were noted between IRKO and IRKO-hIRECO mice in
glucose and insulin tolerance tests. In contrast with control IRKO littermates,
IRKO-hIRECO mice exhibited normal blood pressure and aortic vasodilatation in
response to acetylcholine, comparable to parameters noted in wild type
littermates. These phenotypic changes were associated with increased basal- and
insulin-stimulated nitric oxide production. IRKO-hIRECO mice also demonstrated
normalized endothelial repair after denuding arterial injury, which was
associated with rescued endothelial cell migration in vitro but not with changes
in circulating progenitor populations or culture-derived myeloid angiogenic
cells. These data show that restoration of endothelial insulin receptor
expression alone is sufficient to prevent the vascular dysfunction caused by
systemically reduced insulin signaling.
PMID- 29796595
TI - Crushing of dolutegravir fixed-dose combination tablets increases dolutegravir
exposure.
AB - Background: If HIV patients are unconscious or cannot swallow tablets for other
reasons, antiretroviral medication is crushed and dissolved prior to
administration. Crushing can alter drug exposure, possibly leading to treatment
failure, development of resistance or toxicity. Currently, there is no
information about crushing of the branded fixed-dose combination of
dolutegravir/abacavir/lamivudine (Triumeq(r), referred to as TRI); therefore,
crushing of TRI is not recommended. Objectives: To investigate whether the TRI
fixed-dose combination tablet can be crushed and combined with enteral nutrition
without influencing pharmacokinetics (PK). Methods: We carried out an open-label,
three-period, randomized, single-dose, crossover trial in 22 healthy adult
volunteers. Subjects randomly received whole-tablet TRI with fasting (reference),
crushed and suspended TRI with fasting or crushed and suspended TRI with oral
intake of enteral nutrition. Bioequivalence criteria (80%-125% acceptance range)
of AUC0-infinity and Cmax were used. ClinicalTrials.gov: NCT02569346. Results:
Crushing TRI leads to higher dolutegravir exposure (AUC0-infinity: +26% and Cmax:
+30%) and, if crushed TRI is combined with enteral nutrition, to a decrease in
abacavir Cmax (-17%). Lamivudine concentrations were not affected as geometric
mean ratios with 90% CIs fell within the 80%-125% range. Conclusions:
Bioequivalence could not be demonstrated for a crushed and suspended tablet or a
crushed and suspended tablet with oral intake of enteral nutrition compared with
whole-tablet TRI with fasting. Both scenarios led to higher dolutegravir
exposure, but this did not exceed exposure after intake with food or in twice
daily dosing. In our opinion, TRI can be crushed for patients with swallowing
difficulties and can be simultaneously administered with enteral nutrition.
PMID- 29796594
TI - Streptococcal Siglec-like adhesins recognize different subsets of human plasma
glycoproteins: implications for infective endocarditis.
AB - Streptococcus gordonii and Streptococcus sanguinis are typically found among the
normal oral microbiota but can also cause infective endocarditis. These organisms
express cell surface serine-rich repeat adhesins containing "Siglec-like" binding
regions (SLBRs) that mediate attachment to alpha2-3-linked sialic acids on human
glycoproteins. Two known receptors for the Siglec-like adhesins are the salivary
mucin MG2/MUC7 and platelet GPIbalpha, and the interaction of streptococci with
these targets may contribute to oral colonization and endocarditis, respectively.
The SLBRs display a surprising diversity of preferences for defined glycans,
ranging from highly selective to broader specificity. In this report, we
characterize the glycoproteins in human plasma recognized by four SLBRs that
prefer different alpha2-3 sialoglycan structures. We found that the SLBRs
recognize a surprisingly small subset of plasma proteins that are extensively O
glycosylated. The preferred plasma protein ligands for a sialyl-T antigen
selective SLBR are proteoglycan 4 (lubricin) and inter-alpha-trypsin inhibitor
heavy chain H4. Conversely, the preferred ligand for a 3'sialyllactosamine
selective SLBR is glycocalicin (the extracellular portion of platelet GPIbalpha).
All four SLBRs recognize C1 inhibitor but detect distinctly different glycoforms
of this key regulator of the complement and kallikrein protease cascades. The
four plasma ligands have potential roles in thrombosis and inflammation, and each
has been cited as a biomarker for one or more vascular or other diseases. The
combined results suggest that the interaction of Siglec-like adhesins with
different subsets of plasma glycoproteins could have a significant impact on the
propensity of streptococci to establish endocardial infections.
PMID- 29796596
TI - The Effects of a Single Electronic Music Improvisation Session on the Pain of
Adults with Sickle Cell Disease: A Mixed Methods Pilot Study.
AB - Background: Adults with sickle cell disease (SCD) experience acute pain that is
multidimensional. Despite recent improvements in treatment, pain management
remains a significant challenge for these individuals. Music therapy
interventions have the potential to address several dimensions of SCD pain, but
they require systematic investigation. Objective: This study investigated
feasibility and preliminary efficacy of a single-session electronic music
improvisation with a music therapist to diminish pain intensity and improve pain
relief and mood in adults with SCD. Methods: Using a three-group mixed methods
intervention design, we randomized 60 adults with SCD to standard care plus one
of three 20-minute study conditions: 1) electronic music improvisation with a
music therapist (MT); 2) recorded music listening (ML); or 3) no intervention
(control). Measures of pain intensity (VASPI), pain relief (VASPR), and mood
(VASMOOD) were assessed before and after the study conditions, with a subset of
MT and ML participants interviewed after measure completion. Results: Compared to
control, MT produced significant improvements in VASPI (odds ratio (OR) = 5.12, P
= 0.035) and VASMOOD (OR = 11.60, P = 0.005). ML produced significant
improvements in VASMOOD compared to control (OR = 5.76, P = 0.040).
Qualitatively, there were two prominent themes directly related to music: 1) ML
and MT offered many positive and few negative effects; and 2) music therapists
provided comfort beyond the music. Conclusions: Preliminary findings were
promising and support the need for additional studies evaluating improvisational
music therapy interventions for acute pain management in adults with SCD.
PMID- 29796597
TI - Dental Injuries in a Sample of Portuguese Militaries - A Preliminary Research.
AB - Introduction: Traumatic dental and maxillofacial injuries are very common and
appear to affect approximately 20-30% of permanent dentition, with often serious
psychological, economic, functional, and esthetic consequences. Militaries are a
highest risk group for orofacial trauma, not only because they are constantly
engaged in physical activity (which increase the risk of traumatic injuries) but
also because they are exposed to many risk factors. The aim of this study was to
evaluate the prevalence of orofacial injuries, militaries knowledge about first
aid procedures following a dental avulsion and the use of mouthguards in a sample
of Portuguese militaries. Materials and Methods: An observational cross-sectional
study was conducted for forces of the Infantry Regiment n degrees 14 of Viseu,
Portugal. The study involved 122 members of the armed forces who were asked to
complete a questionnaire, which enquired about: the occurrence of dental trauma,
the use of mouthguards and militaries knowledge with regard to first-aid
management of dental avulsions. Results: In our sample, 5.7% reported having
experienced a dental trauma. This was further broken down to reveal that 2.5% had
experienced an avulsion and 3.3% had a dental fracture. All respondents who
reported having suffered dental trauma, reported that this was the only time that
they had experienced dental trauma. Within this group, 71.4% visited a dentist,
however only one (20%) visited the dentist during the same day that the trauma
occurred. In addition, 21.3% mentioned that they had seen a dental trauma in at
least one colleague during military trainings/operations. In the case of dental
avulsion, the majority (54.9%) did not know how to act. The rate of mouthguard's
use among militaries was very low (6.4%). The main reason reported for not using
a mouthguard was thinking that it is not necessary (53.3%). Besides that, 31.1%
did not know what a mouthguard was for. Conclusion: Prevention programs and
promoting actions with this population are important reflections and should be
adopted to reduce the incidence of orofacial trauma and to increase knowledge
about this topic.
PMID- 29796598
TI - Emergence of XDR Escherichia coli carrying both blaNDM and mcr-1 genes in
chickens at slaughter and the characterization of two novel blaNDM-bearing
plasmids.
PMID- 29796599
TI - The impact of a sugar-sweetened beverages tax on oral health and costs of dental
care in Australia.
AB - Background: Despite a clear causal link between frequent consumption of sugar
sweetened beverages (SSBs) and dental disease, little is known about the
implications of a tax on SSBs in the context of oral health. The aim of our study
was to estimate the impacts of a SSB tax on the Australian population in the
context of oral health outcomes, dental care utilisation and associated costs.
Methods: We designed a cohort model that accounted for the consequences of the
tax through the mechanisms of consumer response to price increase, the effect on
oral health due to change in sugar intake, and the implications for dental care
use. Results: Our results indicate that in the adult population an ad valorem tax
of 20% would lead to a reduction in decayed, missing and filled teeth (DMFT) by
3.9 million units over 10 years, resulting in cost savings of A$666 million.
Scenario analyses show that the outcomes are sensitive to the choice of the time
horizon, tax rate, price elasticity of demand for SSBs, and the definition of
target population. Conclusion: We found that the total and per-person
consequences of SSB tax were considerable, both in terms of dental caries (tooth
decay) averted and dental care avoided. These results have to be compounded with
the implications of SSB tax for other aspects of health and health care,
especially in the context of chronic diseases. On the other hand, the improved
outcomes have to be weighted against a welfare loss associated with introducing a
tax.
PMID- 29796600
TI - The successful use of subcutaneous abatacept in refractory anti- human
transcriptional intermediary factor 1-gamma dermatomyositis skin and
oesphagopharyngeal disease.
PMID- 29796601
TI - Proteinuria-associated renal magnesium wasting leads to hypomagnesemia: a common
electrolyte abnormality in chronic kidney disease.
AB - Background: Hypomagnesemia (Hypo-Mg) predicts mortality and chronic kidney
disease (CKD) progression. However, in CKD, its prevalence, kidney-intrinsic risk
factors, and the effectiveness of oral magnesium (Mg) therapy on serum Mg levels
is uncertain. Methods: In a cross-sectional study enrolling pre-dialysis
outpatients with CKD, the prevalence of electrolyte abnormalities (Mg, sodium,
potassium, calcium and phosphorus) was compared. In an open-label randomized
controlled trial (RCT), we randomly assigned CKD patients to either the magnesium
oxide (MgO) or control arm. The outcome was serum Mg levels at 1 year. Results:
In 5126 patients, Hypo-Mg was the most common electrolyte abnormality (14.7%)
with similar prevalence across stages of CKD. Positive proteinuria was a risk
factor of Hypo-Mg (odds ratio 2.2; 95% confidence interval 1.2-4.0). However,
stratifying the analyses by diabetes mellitus (DM), it was not significant in DM
(Pinteraction = 0.04). We enrolled 114 patients in the RCT. Baseline analyses
showed that higher proteinuria was associated with higher fractional excretion of
Mg. This relationship between proteinuria and renal Mg wasting was mediated by
urinary tubular markers in mediation analyses. In the MgO arm, higher proteinuria
or tubular markers predicted a significantly lower 1-year increase in serum Mg.
In patients with a urinary protein-to-creatinine ratio (uPCR) <0.3 g/gCre, serum
Mg at 1 year was 2.4 and 2.0 mg/dL in the MgO and control arms, respectively (P <
0.001), with no significant between-group difference in patients whose uPCR was
>=0.3 g/gCre (Pinteraction=0.001). Conclusions: Proteinuria leads to renal Mg
wasting through tubular injuries, which explains the high prevalence of Hypo-Mg
in CKD.
PMID- 29796602
TI - Analysis of pathogenicity and immune efficacy of fowl adenovirus serotype 4
isolates.
AB - In the present study, the pathogenicity of fowl adenovirus (FAdV) serotype 4
strain HB1501 was systematically determined by using different doses and routes
of inoculation in chickens and assessed the immune efficacy induced by fowl
adenovirus serotype 4 (FAdV-4) strain SB15 in a vaccination trial. The results
revealed that strain HB1501 was highly virulent in chickens, inflicting 30 to
100% mortality when administered by intramuscular and intravenous routes, and its
pathogenicity was influenced markedly by the inoculation route. The inactivated
oil-emulsion FAdV-4 vaccine derived from strain SB15 completely protected
specific pathogen-free chickens against morbidity and mortality following
infection with the virulent FAdV-4 strain HB1501. Importantly, no obvious
clinical signs or gross lesions were observed and high antibody levels against
FAdV were measured in the SB15-vaccinated chickens, indicating the potential of
this strain to become an alternative vaccine candidate. This study provides
detailed information on the pathogenicity of FAdV-4, which should be of great
value to the control of hepatitis-hydropericardium syndrome.
PMID- 29796604
TI - Travel-related risk factors for coccidioidomycosis.
AB - Background: Coccidioidomycosis is a regionally endemic systemic mycosis with
unique risk factors. Since people travel for business or pleasure, and often in
conjunction with their avocations or hobbies, the objectives of this review were
to describe these and other risk factors for coccidioidomycosis; to alert travel
medicine clinicians to the different presenting manifestations of
coccidioidomycosis; and to recommend effective treatment, disease control and
prevention strategies. Methods: To meet the objectives of this review, Internet
search engines were queried with the keywords as medical subject headings over
the study period, 1970-2017. Results: The principle transmission mechanism of
coccidioidomycosis is by deep inhalation of aerosolized arthroconidia with
resulting symptomatic pulmonary infections ranging from febrile influenza-like
illnesses to fatal disseminated mycoses. In addition to residency or travel in
endemic areas, persons immunocompromised by advancing age, pregnancy, cancer,
corticosteroid therapy, diabetes, organ transplantation and human
immunodeficiency virus infection are at significantly increased risks of
contracting coccidioidomycosis. Persons of African, Asian, Filipino and Hispanic
descent are also at increased risks of contracting coccidioidomycosis. Hobbies
associated with coccidioidomycosis have included armadillo hunting, model
airplane flying and archaeological digging. Occupational risk factors for
coccidioidomycosis include endemic exposures during military maneuvers, outdoor
track and field events, road construction, solar-power and wind-power farm
construction, archaeological excavation and prison work. Conclusions:
Coccidioidomycosis is more common in endemic and non-endemic areas than
previously recognized and can result in considerable morbidity and mortality.
Coccidioidomycosis is increasingly imported from endemic areas in the Western
Hemisphere to non-endemic areas worldwide. Increased awareness of disease risk
factors among the public and the international healthcare community will improve
the timely diagnosis and treatment of coccidioidomycosis and prevent disease
progression, dissemination and deaths in residents in and in travellers returning
from endemic regions. A vaccine for the primary prevention of coccidioidomycosis
would be cost-effective.
PMID- 29796603
TI - Factors Associated with Migraine in the General Population of Spain: Results from
the European Health Survey 2014.
AB - Objective: To identify the modifiable and nonmodifiable variables that are
associated with and might moderate the presence of migraine in the general
population. Design: Nationally representative cross-sectional survey. Setting:
Noninstitutionalized population of Spain. Subjects: Individuals aged 15 years or
older (N = 22,842). Methods: A secondary analysis of data from the second wave of
the European Health Interview Survey conducted in Spain (2014/2015). We estimated
the prevalence of migraine and its distribution according to the study variables,
and then built a multivariate logistic model encompassing age, sex, depression
severity, chronic anxiety, body mass index, physical activity, smoking status,
alcohol use, and perceived social support to predict migraine. Results: The one
year prevalence of migraine was 8%. The final multivariate model (Wald chi2 =
693.00, df = 15, P < 0.001) retained depression severity, chronic anxiety,
exercising several times a month or week, and alcohol use as predictors of
migraine (odds ratios = 2.1-3.5 for positive associations, odds ratios = 0.4-0.9
for negative associations). Conclusions: Raising awareness among clinicians
regarding the fact that many of the variables that potentially contribute to the
presence of migraine are modifiable (e.g., psychological problems and lifestyle
behaviors) might intensify resources dedicated to assessing and impacting these
factors in order to potentially prevent the frequency and severity of migraine.
PMID- 29796605
TI - Cost savings associated with an alternative payment model for integrating
behavioral health in primary care.
AB - Financially supporting and sustaining behavioral health services integrated into
primary care settings remains a major barrier to widespread implementation.
Sustaining Healthcare Across Integrated Primary Care Efforts (SHAPE) was a
demonstration project designed to prospectively examine the cost savings
associated with utilizing an alternative payment methodology to support
behavioral health services in primary care practices with integrated behavioral
health services. Six primary care practices in Colorado participated in this
project. Each practice had at least one on-site behavioral health clinician
providing integrated behavioral health services. Three practices received non-fee
for-service payments (i.e., SHAPE payment) to support provision of behavioral
health services for 18 months. Three practices did not receive the SHAPE payment
and served as control practices for comparison purposes. Assignment to condition
was nonrandom. Patient claims data were collected for 9 months before the start
of the SHAPE demonstration project (pre-period) and for 18 months during the
SHAPE project (post-period) to evaluate cost savings. During the 18-month post
period, analysis of the practices' claims data demonstrated that practices
receiving the SHAPE payment generated approximately $1.08 million in net cost
savings for their public payer population (i.e., Medicare, Medicaid, and Dual
Eligible; N = 9,042). The cost savings were primarily achieved through reduction
in downstream utilization (e.g., hospitalizations). The SHAPE demonstration
project found that non-fee-for-service payments for behavioral health integrated
into primary care may be associated with significant cost savings for public
payers, which could have implications on future delivery and payment work in
public programs (e.g., Medicaid).
PMID- 29796606
TI - Shift work, chronotype and the risk of cardiometabolic risk factors.
AB - Background: The relation between shift work and a large variety of
cardiometabolic risk factors is unclear. Also, the role of chronotype is
understudied. We examined relations between shift work and cardiometabolic risk
factors, and explored these relations in different chronotypes. Methods:
Cardiometabolic risk factors (anthropometry, blood pressure, lipids, diabetes,
gamma-glutamyltransferase, C-reactive protein, uric acid and estimated glomerular
filtration rate) were assessed among 1334 adults in 1987-91, with repeated
measurements every 5 years. Using shift work history data collected in 2013-15,
we identified shift work status 1 year prior to all six waves. Linear mixed
models and logistic generalized estimating equations were used to estimate the
longitudinal relations between shift work and risk factors 1 year later. Results:
Shift work was not significantly related with cardiometabolic risk factors (P >=
0.05), except for overweight/body mass index. Shift workers had more often
overweight (OR: 1.44, 95% CI 1.06-1.95) and a higher body mass index (BMI) (beta:
0.56 kg m-2, 95% CI 0.10-1.03) than day workers. A significant difference in BMI
between day and shift workers was observed among evening chronotypes (beta: 0.97
kg m-2, 95% CI 0.21-1.73), but not among morning chronotypes (beta: 0.04 kg m-2,
95% CI -0.85 to 0.93). No differences by frequency of night shifts and duration
of shift work were observed. Conclusion: Shift workers did not have an increased
risk of cardiometabolic risk factors compared with day workers, but, in
particular shift working evening chronotypes, had an increased risk of
overweight. More research is needed to verify our results, and establish whether
tailored interventions by chronotype are wanted.
PMID- 29796608
TI - Use and application of 3D-organoid technology.
AB - The capacity of the 3D-organoid cultures to resemble a near-physiological tissue
organization and to mimic - to a certain degree - organ functionality, make
organoids an excellent model for applications spanning from basic
developmental/stem cell research to personalized medicine. Here, we review key
findings achieved through organoid technology, and we discuss applications such
as disease - and tumour modelling, correction of genetic mutations and
understanding gene - and cell functions. Finally, we discuss future developments
in the field.
PMID- 29796607
TI - Cardiovascular risk factors and frailty in a cross-sectional study of older
people: implications for prevention.
AB - Objective: to examine the associations of cardiovascular disease (CVD) and
cardiovascular risk factors with frailty. Design: a cross-sectional study.
Setting: the Irish Longitudinal Study on Ageing (TILDA). Participants: frailty
measures were obtained on 5,618 participants and a subset of 4,330 participants
with no prior history of CVD. Exposures for observational study: cardiovascular
risk factors were combined in three composite CVD risk scores (Systematic
Coronary Risk Evaluation [SCORE], Ideal Cardiovascular Health [ICH] and
Cardiovascular Health Metrics [CHM]). Main outcome measures: a frailty index (40
items) was used to screen for frailty. Methods: the associations of CVD risk
factors with frailty were examined using logistic regression. Results: overall,
16.4% of participants had frailty (7.6% at 50-59 years to 42.5% at 80+ years),
and the prevalence was higher in those with versus those without prior CVD (43.0%
vs. 10.7%). Among those without prior CVD, mean levels of CVD risk factors were
closely correlated with higher frailty index scores. Combined CVD risk factors,
assessed using SCORE, were linearly and positively associated with frailty.
Compared to low-to-moderate SCOREs, the odds ratio (OR) (95% confidence interval,
CI) of frailty for those with very high risk was 3.18 (2.38-4.25). Conversely,
ICH was linearly and inversely associated with frailty, with an OR for optimal
health of 0.29 (0.21-0.40) compared with inadequate health. Conclusions: the
concordant positive associations of SCORE and inverse associations of ICH and CHM
with frailty highlight the potential importance of optimum levels of CVD risk
factors for prevention of disability in frail older people.
PMID- 29796609
TI - Vitamin D deficiency is associated with mortality in maintenance dialysis: moving
forward from epidemiology to clinical trials.
PMID- 29796610
TI - Mad moves of the building blocks - nucleotide sugars find unexpected paths into
cell walls.
PMID- 29796611
TI - In vitro activity of the novel triazaacenaphthylene gepotidacin (GSK2140944)
against MDR Neisseria gonorrhoeae.
AB - Objectives: Increased antimicrobial resistance surveillance and new effective
antimicrobials are crucial to maintain treatable gonorrhoea. We examined the in
vitro activity of gepotidacin, a novel triazaacenaphthylene, and the effect of
efflux pump inactivation on clinical Neisseria gonorrhoeae isolates and
international reference strains (n = 252) and compared gepotidacin with
antimicrobials currently or previously recommended for gonorrhoea treatment.
Methods: MICs (mg/L) were determined by agar dilution (gepotidacin) or by Etest
(seven other antimicrobials). The gyrA and parC genes were sequenced and the
impact of inactivation of the MtrCDE, MacAB and NorM efflux pumps on gepotidacin
MICs was examined. Results: Gepotidacin showed potent in vitro activity against
all gonococcal isolates (n = 252; MIC <=4 mg/L). The modal MIC, MIC50, MIC90 and
MIC range of gepotidacin were 0.5, 0.5, 1 and 0.032-4 mg/L, respectively.
Inactivation of the MtrCDE efflux pump, but not MacAB or NorM, decreased the
gepotidacin MICs for most strains. No significant cross-resistance between
gepotidacin and any other antimicrobials, including the fluoroquinolone
ciprofloxacin, was identified. However, the ParC D86N mutation (possibly together
with additional antimicrobial resistance mutation), which is associated with
fluoroquinolone resistance, was associated with increased gepotidacin MICs.
Conclusions: Gepotidacin demonstrated high in vitro activity against gonococcal
strains, indicating that gepotidacin could potentially be an effective option for
gonorrhoea treatment, particularly in a dual antimicrobial therapy regimen and
for patients with resistance or allergy to extended-spectrum cephalosporins.
Nevertheless, elucidating in vitro and in vivo resistance emergence and
mechanisms in detail, together with further gonorrhoea clinical studies, ideally
also including chlamydia and Mycoplasma genitalium are essential.
PMID- 29796612
TI - Robot-Assisted Responsive Neurostimulator System Placement in Medically
Intractable Epilepsy: Instrumentation and Technique.
AB - BACKGROUND: The management of medically refractory epilepsy patients who are not
surgical candidates has remained challenging. Closed loop-or responsive
neurostimulation (RNS) is now an established therapy for the treatment of
epilepsy with specific indications. The RNS(r) system (NeuroPace Inc,
Mountainview, California) has recently been shown to be effective in reducing the
seizure frequency of partial onset seizures. The electrode design consists of
either intracerebral depth electrodes or subdural strip electrodes, and
stereotaxis is typically used to guide placement into the EZ. Details on the
operative techniques used to place these electrodes have been lacking. OBJECTIVE:
To address the advantage of using a robotic-assisted technique to place depth
electrodes for RNS(r) system placement compared to the typical frame-based or
frameless stereotactic systems. METHODS: We retrospectively reviewed our single
center, technical operative experience with RNS(r) system placement using robotic
assistance from 2014 to 2016 via chart review. RESULTS: Twelve patients underwent
RNS(r) system placement using robotic assistance. Mean operative time was 121 min
for a median of 2 depth electrodes with mean deviation from intended target of ~3
mm in x, y, and z planes. Two patients developed wound infections, 1 of whom was
reimplanted. Seizures were reduced by ~40% at 2 yr, similar to the results seen
in the open label portion of the pivotal RNS trial. CONCLUSION: Robotic-assisted
stereotaxis can be used to provide a stable and accurate stereotactic platform
for insertion of intracerebral RNS electrodes, representing a safe, efficient and
accurate procedure.
PMID- 29796614
TI - Anxiety and depressive symptoms, and stress biomarkers in pregnant women after in
vitro fertilization: a prospective cohort study.
AB - STUDY QUESTION: Does in vitro fertilization (IVF) affect the course of anxiety
and depressive symptoms as well as physiological stress from pregnancy to
postpartum period? SUMMARY ANSWER: IVF mothers have more anxiety symptoms and
higher stress biomarker levels but fewer depression symptoms than natural
conception mothers at the third trimester of pregnancy, but these differences are
negligible during postpartum period. WHAT IS KNOWN ALREADY: Cross-sectional
studies have found an association between IVF and high stress levels during the
prenatal period. There is, however, no follow-up study about the IVF effect on
the mental health status from pregnancy to postpartum, adopting simultaneous
measurement of self-reported symptoms and stress biomarkers. STUDY DESIGN, SIZE,
DURATION: This is a prospective cohort study. A total of 243 eligible women were
recruited during the third trimester of pregnancy (60 women after successful IVF
and 183 who conceived naturally). The recruitment was performed during a 12-month
period, and the follow-up was carried out until 3 months after delivery.
PARTICIPANTS/MATERIALS, SETTING, METHODS: This study was performed in the
Division of Obstetrics in a regional referral center. The State scale of the
State-Trait Anxiety Inventory (STAI-S) and the Beck Depression Inventory-Sort
Form (BDI/SF) were used as anxiety and depression indicators, respectively;
salivary cortisol and alpha-amylase levels as stress biomarkers. Anxiety,
depression and stress biomarkers were measured at the third trimester of
pregnancy (T1), at 48 h after birth (T2) and at 3 months after birth (T3).
Associations with IVF were assessed using ordinal mixed models for anxiety and
depressive symptoms and linear quantile models for stress biomarkers. MAIN
RESULTS AND THE ROLE OF CHANCE: Relative to natural conception mothers, IVF
mothers had higher STAI-S scores at T1 (P = 0.016, odds ratio (OR) = 2.46), and
this difference remained steady from T1 to T2 (P = 0.37, OR = 0.70) and from T2
to T3 (P = 0.36, OR = 0.69). In the case of depressive symptoms, the IVF group
obtained lower BDI/SF scores at T1 (P < 0.001, OR = 0.192). This difference was
apparently reduced from T1 to T2 (P = 0.072, OR = 2.21) and remained constant
from T2 to T3 (P = 0.107, OR = 2.09). It is important to note that whereas the
mean BDI/SF score was not clinically significant for any group (it was lower than
the cut-off 4), the mean STAI-S score of the IVF group at T1 was so (it was
higher than the cut-off 19). As for stress biomarkers, IVF mothers had higher
cortisol levels at T1 (P = 0.043, Deltalog(cortisol) = 0.88) compared to natural
conceptions. From T1 to T2 cortisol levels of both groups increased at the
relatively same rate (P = 0.81, Deltalog(cortisol) = -0.16). However, the
progressions tended to be different from T2 to T3, with IVF mothers exhibiting a
sharp decrease in cortisol levels (P = 0.059, Deltalog(cortisol) = -0.94), while
natural conceptions value remained steady. In the case of alpha-amylase, there
were no statistically significant differences between both groups at T1 (P = 0.7,
Deltalog(alpha-amylase) = -0.095). On the contrary, while IVF mothers showed
sustained alpha-amylase levels across the time, the progression was different in
the natural conception group, who showed a decrease in alpha-amylase levels from
T1 to T2 (P = 0.049, Deltalog(alpha-amylase) = 0.596) and a non-significant
increase from T2 to T3 (P = 0.53, Deltalog(alpha-amylase) = -0.283). LIMITATIONS
REASON FOR CAUTION: Since this follow-up study has been carried out from the
third trimester of pregnancy, the findings cannot be generalized to extremely
preterm births. WIDER IMPLICATIONS OF THE FINDINGS: IVF women may have lower
depressive symptoms for being pregnant. However, due to the potential pregnancy
complications associated with IVF, they may have higher physiological stress and
clinically significant anxiety at the third trimester of pregnancy but not during
postpartum. Taking into account that both prenatal high maternal cortisol levels
and prenatal clinically significant anxiety increase the risk of disturbance in
the fetal neurodevelopment, psychological therapy should be extended during
pregnancy in IVF women. STUDY FUNDING/COMPETING INTEREST(S): MV funded by FIS
PI17/0131 grant from the Instituto de Salud Carlos III (ISCIII) and RETICS funded
by the PN 2018-2011, and the European Regional Development Fund, reference
RD16/0022/0001; AG-B funded by a 'Juan Rodes' Grant (JR17/00003) from the ISCIII.
CC-P funded by a 'Miguel Servet I' Grant (CP16/00082) from the ISCIII. Authors
declare no competing interests.
PMID- 29796613
TI - Coal and oil power plant retirements in California associated with reduced
preterm birth among populations nearby.
AB - Coal and oil power plant retirements reduce air pollution nearby, but few studies
have leveraged these natural experiments for public health research. We used
California Department of Public Health birth records and Energy Information
Administration data from 2001-2011 to evaluate the relationship between 8 coal
and oil power plant retirements and nearby preterm births ( < 37 weeks
gestational age). We conducted a difference-in-differences analysis using
adjusted linear mixed models that included 57,005 births-6.5% of which were
preterm-to compare the probability of preterm birth before and after power plant
retirement among mothers residing within 0-5 km and 5-10 km of the 8 power
plants. We found that power plant retirements were associated with a decrease in
the proportion of preterm birth within 5 km (-0.019, 95% CI: -0.031, -0.008) and
5-10 km (-0.015, 95% CI: -0.024, -0.007) controlling for secular trends with
mothers living 10-20 km away. For the 0-5 km area, this corresponds to a
reduction in preterm birth from 7.0% to 5.1%. Subgroup analyses indicated a
potentially larger association among non-Hispanic Black and Asian mothers
compared to non-Hispanic White and Hispanic mothers and no differences in
educational attainment. Future coal and oil power plant retirements may reduce
preterm birth among nearby populations.
PMID- 29796616
TI - Twenty-first Century Cures Act and Antimicrobial Susceptibility Testing: Clinical
Implications in the Era of Multidrug Resistance.
AB - Clinical laboratories act at the frontline of identification of infections caused
by multidrug-resistant organisms, and yet the tools they apply are often woefully
out of date. Incomplete adoption of current testing standards, updated
breakpoints, and tests for new drugs across laboratories has been exacerbated by
lack of coordination between standards development organizations (SDOs),
pharmaceutical companies, susceptibility test manufacturers, and the US Food and
Drug Administration. The 21st Century Cures Act includes provisions to enable
alignment between these groups by (1) allowing recognition of breakpoints set by
qualified SDOs; (2) publicly posting recognized breakpoints; and (3) reviewing
breakpoints for necessary updates, every 6 months. Combined, these provisions
will ensure more rapid recognition of current breakpoints, improving detection
and management of resistant infections. Although several limitations remain, this
will ultimately allow susceptibility test manufacturers to more readily update to
current breakpoints.
PMID- 29796615
TI - Oncolytic virotherapy in glioblastoma patients induces a tumor macrophage
phenotypic shift leading to an altered glioblastoma microenvironment.
AB - Background: Immunosuppressive protumoral M2 macrophages are important in
pathogenesis, progression, and therapy resistance in glioblastoma (GBM) and
provide a target for therapy. Recently oncolytic virotherapy in murine models was
shown to change these M2 macrophages toward the pro-inflammatory and antitumoral
M1 phenotype. Here we study the effects of the oncolytic virotherapy Delta24-RGD
in humans, using both in vitro models and patient material. Methods: Human
monocyte-derived macrophages were co-cultured with Delta24-RGD-infected primary
glioma stem-like cells (GSCs) and were analyzed for their immunophenotype,
cytokine expression, and secretion profiles. Cerebrospinal fluid (CSF) from 18
Delta24-RGD-treated patients was analyzed for inflammatory cytokine levels, and
the effects of these CSF samples on macrophage phenotype in vitro were
determined. In addition, tumor macrophages in resected material from a Delta24
RGD-treated GBM patient were compared with 5 control GBM patient samples by flow
cytometry. Results: Human monocyte-derived M2 macrophages co-cultured with
Delta24-RGD-infected GSCs shifted toward an M1-immunophenotype, coinciding with
pro-inflammatory gene expression and cytokine production. This phenotypic switch
was induced by the concerted effects of a change in tumor-produced soluble
factors and the presence of viral particles. CSF samples from Delta24-RGD-treated
GBM patients revealed cytokine levels indicative of a pro-inflammatory
microenvironment. Furthermore, tumoral macrophages in a Delta24-RGD-treated
patient showed significantly greater M1 characteristics than in control GBM
tissue. Conclusion: Together these in vitro and patient studies demonstrate that
local Delta24-RGD therapy may provide a therapeutic tool to promote a prolonged
shift in the protumoral M2 macrophages toward M1 in human GBM, inducing a pro
inflammatory and potentially tumor-detrimental microenvironment.
PMID- 29796617
TI - DNA methylation alterations-potential cause of endometriosis pathogenesis or a
reflection of tissue heterogeneity?
AB - Alterations in the DNA methylation pattern of endometriotic lesions and
endometrium of endometriosis patients have been proposed as one potential factor
accompanying the endometriosis development. Although many differentially
methylated genes have been associated with the pathogenesis of this disease, the
overlap between the results of different studies has remained small. Among other
potential confounders, the impact of tissue heterogeneity on the outcome of DNA
methylation studies should be considered, as tissues are mixtures of different
cell types with their own specific DNA methylation signatures. This review
focuses on the results of DNA methylation studies in endometriosis from the
cellular heterogeneity perspective. We consider both the studies using highly
heterogeneous whole-lesion biopsies and endometrial tissue, as well as pure cell
fractions isolated from lesions and endometrium to understand the potential
impact of the cellular composition to the results of endometriosis DNA
methylation studies. Also, future perspectives on how to diminish the impact of
tissue heterogeneity in similar studies are provided.
PMID- 29796618
TI - MiR-7 Mediates the Zearalenone Signaling Pathway Regulating FSH Synthesis and
Secretion by Targeting FOS in Female Pigs.
AB - Zearalenone (ZEA) acts as an environmental endocrine disruptor (EED) to cause
health detriments. miRNAs were reported to influence the synthesis and secretion
of pituitary hormones. However, the interactions between ZEA and miRNAs and
related mechanisms remain unclear. The aims of this study were to determine
whether and how miR-7 affects animal reproduction by its interactions with ZEA in
the pig pituitary, which is sensitive to ZEA and has been used as an important
animal model in medical research. Expressions of miRNA were detected by real-time
PCR, in situ hybridization, and immunohistochemistry. The effects of ZEA, miR-7,
and their interactions in the pituitary gland were identified by using an
ovariectomized pig model, transfecting miR-7 mimics and inhibitor,
radioimmunoassay, luciferase reporter assay, and Western blotting. The ZEA dosage
was 7.5 mg/kg body weight in vivo and 1 MUM in vitro. Our results demonstrate miR
7 acts to regulate gonadotropin synthesis and secretion. Furthermore, we found
that ZEA leads to reproductive defects by enhancing miR-7 expression, which
subsequently inhibits FSH synthesis and secretion. In vitro and in vivo
experiments revealed that the effects of ZEA rely on G protein-coupled estrogen
receptor 1, and miR-7 functions by mediating ZEA signaling pathway and targeting
the Finkel-Biskis-Jinkins murine osteosarcoma viral oncogene homolog (FOS) gene.
These findings show that miRNAs are key intrinsic factors regulating pituitary
gonadotropins by mediating EED signaling in pituitary glands, and the actions of
miRNAs and EEDs should be seriously considered in related studies about medical
practice and animal production.
PMID- 29796619
TI - Slow luminescence kinetics of semi-synthetic aequorin: expression, purification
and structure determination of cf3-aequorin.
AB - cf3-Aequorin is one of the semi-synthetic aequorins that was produced by
replacing 2-peroxycoelenterazine (CTZ-OOH) in native aequorin with a 2
peroxycoelenterazine analog, and it was prepared using the C2-modified
trifluoromethyl analog of coelenterazine (cf3-CTZ) and the histidine-tagged
apoaequorin expressed in Escherichia coli cells. The purified cf3-aequorin showed
a slow luminescence pattern with half-decay time of maximum intensities of
luminescence of 5.0 s. This is much longer than that of 0.9 s for native
aequorin, and its luminescence capacity was estimated to be 72.8% of that of
native aequorin. The crystal structure of cf3-aequorin was determined at 2.15 A
resolution. The light source of 2-peroxytrifluoromethylcoelenterazine (cf3-CTZ
OOH) was stabilized by the hydrogen-bonding interactions at the C2-peroxy moiety
and the p-hydroxy moiety at the C6-phenyl group. In native aequorin, three water
molecules contribute to stabilizing CTZ-OOH through hydrogen bonds. However, cf3
aequorin only contained one water molecule, and the trifluoromethyl moiety at the
C2-benzyl group of cf3-CTZ-OOH interacted with the protein by van der Waals
interactions. The slow luminescence kinetics of cf3-aequorin could be explained
by slow conformational changes due to the bulkiness of the trifluoromethyl group,
which might hinder the smooth cleavage of hydrogen bonds at the C2-peroxy moiety
after the binding of Ca2+ to cf3-aequorin.
PMID- 29796620
TI - Faecalibacterium prausnitzii Produces Butyrate to Maintain Th17/Treg Balance and
to Ameliorate Colorectal Colitis by Inhibiting Histone Deacetylase 1.
AB - Background: Inflammatory bowel disease (IBD)-associated dysbiosis is
characterized by a loss of Faecalibacterium prausnitzii, whose supernatant exerts
an anti-inflammatory effect. However, the anti-inflammatory substances in F.
prausnitzii supernatant and the mechanism in ameliorating colitis in IBD have not
yet been fully investigated. Methods: Experimental colitis models were induced
and evaluated by clinical examination and histopathology. Levels of cytokines and
ratio of T cells were detected by enzyme-linked immunosorbent assay and flow
cytometry analysis, respectively. F. prausnitzii supernatant was separated by
macroporous resins. After extraction, the substances in supernatant were
identified by gas chromatography-mass spectrometer. T-cell differentiation assay
was conducted in vitro. Changes in signaling pathways were examined by
immunoblot, immunohistochemistry, and immunofluorescent staining. Results: We
found that the supernatant of F. prausnitzii could regulate T helper 17 cell
(Th17)/regulatory T cell (Treg) differentiation. Then, we identified butyrate
produced by F. prausnitzii that played the anti-inflammatory effects by
inhibiting interleukin (IL)-6/signal transducer and the activator of
transcription 3 (STAT3)/IL-17 pathway and promoting forkhead box protein P3
(Foxp3). Finally, we demonstrated that the target of butyrate was histone
deacetylase 1 (HDAC1). Conclusions: It is butyrate, instead of other substances
produced by F. prausnitzii, that maintains Th17/Treg balance and exerts
significant anti-inflammatory effects in colorectal colitis rodents, by
inhibiting HDAC1 to promote Foxp3 and block the IL-6/STAT3/IL-17 downstream
pathway. F. prausnitzii could be an option for further investigation for IBD
treatment. Targeting the butyrate-HDAC1-T-cell axis offers an effective novel
approach in the treatment of inflammatory disease.
PMID- 29796621
TI - Premature Expression of FOXO1 in Developing Mouse Pituitary Results in Anterior
Lobe Hypoplasia.
AB - The process by which the somatotrope lineage emerges in the developing pituitary
is regulated by the activity of specific signaling and transcription factors
expressed during development. We set out to understand the contribution of FOXO1
to that process by using a mouse model in which FOXO1 is prematurely expressed in
the pituitary primordium. Expression of FOXO1 in the oral ectoderm as early as
embryonic day (e)9.5 resulted in pituitary gland hypoplasia and reduced
expression of anterior lobe hormone transcripts at e18.5. Of note, the relative
numbers of somatotropes and thyrotropes were also decreased at e18.5. LHX3 and
PITX2, markers of pituitary identity, were present in a reduced number of cells
during the formation of the Rathke pouch. Thus, premature expression of FOXO1 may
affect adoption of pituitary identity during differentiation. Our results
demonstrate that the timing of FOXO1 activation affects its role in pituitary
gland organogenesis and somatotrope differentiation.
PMID- 29796623
TI - The Screening Illustrator: separating the effects of lead-time and overdiagnosis
in mammography screening.
AB - Background: Mammography screening increases incidence because cancers are
detected earlier in time and because of overdiagnosis. We developed an Excel
based model to visualize the expected increase from lead-time amplified by
increasing background incidence. Subsequently, we added overdiagnosis to the
model. Methods: We constructed two hypothetical populations of women aged 50-79
in 5-year age and calendar groups: one with screening for women aged 50-69 and
one without. The user enters information on population at risk, number of breast
cancers, trends in background incidence, average length of lead-time and,
optionally, overdiagnosis. The model computes incidence rate ratios (IRRs)
comparing incidence changes with screening to changes without in open and closed
cohorts. Results: We entered information from Norway from 1990 to 1994, the
period preceding the gradual introduction of a national mammography screening
programme. As expected, the Screening Illustrator showed prevalence peaks and
compensatory drops. Only the closed cohort approach remained unaffected by
increasing background incidence. The model showed a 20% sustained increase in
incidence (IRR: 1.20) from lead-time and increasing background incidence in the
open cohort approach for women aged 50-69. However, real life Norwegian data show
a corresponding 38% increase. For the model to achieve the observed incidence, 10
14% overdiagnosis had to be added. Conclusion: The observed breast cancer
incidence increase in Norway after screening implementation could not be obtained
from an average lead-time of 2.5 years and empirical background incidence trends,
but had to incorporate overdiagnosis.
PMID- 29796622
TI - Gestational Age and Maternal Serum 25-hydroxyvitamin D Concentration Interact to
Affect the 24,25-dihydroxyvitamin D Concentration in Pregnant Adolescents.
AB - Background: Interpretation of serum vitamin D biomarkers across pregnancy is
complex due to limited understanding of pregnancy adaptations in vitamin D
metabolism. During pregnancy, both gestational age and serum 25-hydroxyvitamin D
[25(OH)D] concentrations may influence the concentrations of 1,25
dihydroxyvitamin D [1,25(OH)2D], 24,25-dihydroxyvitamin D [24,25(OH)2D], and
parathyroid hormone (PTH). Objective: We aimed to identify predictors of change
in serum 25(OH)D across gestation in pregnant adolescents and to assess the
contribution made by cholecalciferol (vitamin D3) supplementation. We sought to
determine whether gestational age and 25(OH)D concentration interacted to affect
serum 1,25(OH)2D, 24,25(OH)2D, or PTH. Methods: Pregnant adolescents (n = 78, 59%
African American, mean +/- SD age: 17 +/- 1 y) living in Rochester, NY (latitude
43 degrees N) were supplemented with 200 IU or 2000 IU vitamin D3/d and allowed
to continue their daily prenatal supplement that contained 400 IU vitamin D3.
Serum was collected at study entry (18 +/- 5 wk of gestation), halfway through
study participation, and at delivery (40 +/- 2 wk). Serum concentrations of the
biochemical markers were modeled with linear mixed-effects regression models.
Results: Vitamin D3 supplement intake and season of delivery determined change in
25(OH)D across pregnancy. Fall-winter delivery was associated with a decline in
25(OH)D unless vitamin D3 supplement intake was >872 IU/d. The interaction of
gestational age and 25(OH)D affected 24,25(OH)2D concentrations. For a given
25(OH)D concentration, model-predicted serum 24,25(OH)2D increased across
gestation except when 25(OH)D was <13 ng/mL. Below this threshold, 24,25(OH)2D
was predicted to decline over time. Mean serum 1,25(OH)2D was elevated (>100
pg/mL) throughout the study. Conclusion: Our results suggest that when maternal
serum 25(OH)D was low, its catabolism into 24,25(OH)2D decreased or remained
stable as pregnancy progressed in order to maintain persistently elevated serum
1,25(OH)2D. Furthermore, in adolescents living at latitude 43 degrees N, standard
prenatal supplementation did not prevent a seasonal decline in 25(OH)D during
pregnancy. This study was registered at clinicaltrials.gov as NCT01815047.
PMID- 29796625
TI - Amino Acid- and Insulin-Induced Activation of mTORC1 in Neonatal Piglet Skeletal
Muscle Involves Sestin2-GATOR2, Rag A/C-mTOR, and RHEB-mTOR Complex Formation.
AB - Background: Feeding stimulates protein synthesis in skeletal muscle of neonates
and this response is regulated through activation of mechanistic target of
rapamycin complex 1 (mTORC1). The identity of signaling components that regulate
mTORC1 activation in neonatal muscle has not been fully elucidated. Objective: We
investigated the independent effects of the rise in amino acids (AAs) and insulin
after a meal on the abundance and activation of potential regulators of mTORC1 in
muscle and whether the responses are modified by development. Methods: Overnight
fasted 6- and 26-d-old pigs were infused for 2 h with saline (control group) or
with a balanced AA mixture (AA group) or insulin (INS group) to achieve fed
levels while insulin or AAs, respectively, and glucose were maintained at fasting
levels. Muscles were analyzed for potential mTORC1 regulatory mechanisms and
results were analyzed by 2-factor ANOVA followed by Tukey's post hoc test.
Results: The abundances of DEP domain-containing mTOR-interacting protein
(DEPTOR), growth factor receptor bound protein 10 (GRB10), and regulated in
development and DNA damage response 2 (REDD2) were lower (65%, 73%, and 53%,
respectively; P < 0.05) and late endosomal/lysosomal adaptor, MAPK and mTOR
activator 1/2 (LAMTOR1/2), vacuolar H+-ATPase (V-ATPase), and Sestrin2 were
higher (94%, 141%, 145%, and 127%, respectively; P < 0.05) in 6- than in 26-d-old
pigs. Both AA and INS groups increased phosphorylation of GRB10 (P < 0.05)
compared with control in 26- but not in 6-d-old pigs. Formation of Ras-related
GTP-binding protein A (RagA)-mTOR, RagC-mTOR, and Ras homolog enriched in brain
(RHEB)-mTOR complexes was increased (P < 0.05) and Sestrin2-GTPase activating
protein activity towards Rags 2 (GATOR2) complex was decreased (P < 0.05) by both
AA and INS groups and these responses were greater (P < 0.05) in 6- than in 26-d
old pigs. Conclusion: The results suggest that formation of RagA-mTOR, RagC-mTOR,
RHEB-mTOR, and Sestrin2-GATOR2 complexes may be involved in the AA- and INS
induced activation of mTORC1 in skeletal muscle of neonates after a meal and that
enhanced activation of the mTORC1 signaling pathway in neonatal muscle is in part
due to regulation by DEPTOR, GRB10, REDD2, LAMTOR1/2, V-ATPase, and Sestrin2.
PMID- 29796624
TI - Effect of cumulative exposure to corticosteroid and DMARD on radiographic
progression in rheumatoid arthritis: results from the ESPOIR cohort.
AB - Objectives: Several authors have tried to predict the risk of radiographic
progression in RA according to baseline characteristics, considering exposure to
treatment only as a binary variable (Treated: Yes/No). This study aims to model
the risk of 5-year radiographic progression taking into account both baseline
characteristics and the cumulative time-varying exposure to corticosteroids or
DMARDs. Methods: The study population consisted of 403 patients of the Etude et
Suivi des Polyarthrites Indifferenciees Recentes cohort meeting the 1987 ACR or
2010 ACR/EULAR criteria for RA at inclusion and having complete radiographic data
at baseline and 5 years. Radiographic progression was defined at 5 years as a
significant increase of the Sharp/van der Heidje score (smallest detectable
difference ?5). The best logistic regression model was selected from the
following: model including only clinico-biological baseline characteristics;
model considering baseline characteristics and treatments as binary variables;
and model considering baseline characteristics and treatments as weighted
cumulative exposure variables. Results: Radiographic progression occurred in 143
(35.5%) patients. The best model combined anti-citrullinated peptide antibody
positivity, ESR, swollen joint count >14 and erosion score at baseline, as well
as corticosteroids, MTX/LEF (MTX or LEF) and biologic DMARDs (bDMARDs) as
weighted cumulative exposure variables. Recent cumulative exposure to high doses
of corticosteroids (? 3months) was significantly associated with the risk of 5
year radiographic progression and a significant protective association was
highlighted for a 36-month exposure to bDMARDs. Conclusion: Corticosteroids and
bDMARDs play an important role in radiographic progression. Accounting for
treatment class and intensity of exposure is a major concern in predictive models
of radiographic progression in RA patients.
PMID- 29796626
TI - Letter: Passing the Needle and Pulling the Thread.
PMID- 29796627
TI - Moving toward Objective Biomarkers of Dietary Intake.
PMID- 29796628
TI - The power of preterm birth to motivate a cleaner environment.
AB - This commentary reflects on a natural experiment reported by Casey and colleagues
that tested the association between living near a coal or oil power plant and
preterm birth. They found that retiring power plants resulted in a significant
reduction in preterm birth with larger effects observed for late preterm birth
and among Non-Hispanic Black mothers and infants. Natural experiments, in
particular the Utah Valley steel mill closure, have played a prominent role in
the evidence-base for air pollution regulation due to their demonstrated impact
on cardio-pulmonary effects in adults. Reproductive health, including infant
mortality and preterm birth associated with poor air quality, have generally
received less attention. Even small reductions in preterm birth can have a large
population health impact, both in terms of preventing mortality in the short-term
but also preventing lifelong disability among affected infants.
PMID- 29796629
TI - OCCUPATIONAL DOSES FOR THE FIRST AND SECOND OPERATORS IN LEBANESE INTERVENTIONAL
CARDIOLOGY SUITES.
AB - The study monitored occupational dose for 12 interventional cardiologists (first
operators) and 10 technicians (second operators), from 10 different Lebanese
hospitals performing coronary angiography and precutaneous coronary interventions
exclusively on adult patients. Each individual wore dosemeters under and over the
lead apron at chest and collar level, respectively, on the wrist and next to the
left eye. The total follow-up period for each first/second operator varied
between two to six bimonthly monitoring periods. For the first operator, the mean
(range) effective, hand and eye lens doses were of 6 (1-41), 112 (10-356) and 15
(5-47) MUSv/procedure, respectively. These were of 2.3 (0.1-8), 16 (2-109) and 7
(2-14) MUSv/procedure for the second operator. Extrapolated annual eye lens doses
revealed that both first and second operators may exceed 3/10th of the annual eye
lens dose permissible limit thus supporting the need for dedicated eye lens
monitoring.
PMID- 29796630
TI - Identification of serum glycoprotein ligands for the immunomodulatory receptor
blood dendritic cell antigen 2.
AB - Blood dendritic cell antigen 2 (BDCA-2) is a C-type lectin found on the surface
of plasmacytoid dendritic cells. It functions as a glycan-binding receptor that
downregulates the production of type I interferons and thus plays a role in
oligosaccharide-mediated immunomodulation. The carbohydrate recognition domain in
BDCA-2 binds selectively to galactose-terminated bi-antennary glycans. Because
the plasmacytoid dendritic cells function in a plasma environment rich in
glycoproteins, experiments have been undertaken to identify endogenous ligands
for blood dendritic cell antigen 2. A combination of blotting, affinity
chromatography and proteomic analysis reveals that serum glycoprotein ligands for
BDCA-2 include IgG, IgA and IgM. Compared to binding of IgG, which was previously
described, IgA and IgM bind with higher affinity. The association constants for
the different subclasses of immunoglobulins are below and roughly proportional to
the serum concentrations of these glycoprotein ligands. Binding to the other main
serum glycoprotein ligand, alpha2-macroglobulin, is independent of whether this
protease inhibitor is activated. Binding to all of these glycoprotein ligands is
mediated predominantly by bi-antennary glycans in which each branch bears a
terminal galactose residue. The different affinities of the glycoprotein ligands
reflect the different numbers of these galactose-terminated glycans and their
degree of exposure on the native glycoproteins. The results suggest that normal
serum levels of immunoglobulins could downmodulate interferon stimulation of
further antibody production.
PMID- 29796631
TI - Chromosomal mosaicism in human blastocysts: the ultimate challenge of
preimplantation genetic testing?
AB - STUDY QUESTION: To what extent does a trophectoderm (TE) biopsy reliably reflect
the chromosomal constitution of the inner cell mass (ICM) in human blastocysts?
SUMMARY ANSWER: Concordance between TE and ICM was established in 62.1% of the
embryos analysed. WHAT IS KNOWN ALREADY: Next generation sequencing (NGS)
platforms have recently been optimised for preimplantation genetic testing for
aneuploidies (PGT-A). However, higher sensitivity has led to an increase in
reports of chromosomal mosaicism within a single TE biopsy. This has raised
substantial controversy surrounding the prevalence of mosaicism in human
blastocysts and the clinical implications of heterogeneity between the TE and
ICM. STUDY DESIGN, SIZE, DURATION: To define the distribution and rate of
mosaicism in human blastocysts, we assessed chromosomal profiles of the ICM and
multiple TE portions obtained from the same embryo. We evaluated donated embryos
with an unknown chromosomal profile (n = 34), as well as PGT-A blastocysts,
previously diagnosed as abnormal or mosaic (n = 24). Our intra-embryo comparison
included a total of 232 samples, obtained from 58 embryos.
PARTICIPANTS/MATERIALS, SETTING, METHODS: Four embryo samples, including the ICM
and three distinct TE portions, were acquired from good quality blastocysts by
micromanipulation. Whole genome amplification (WGA), followed by NGS was
performed on all embryo segments. Profiles were compared between samples from the
same embryo, while the results from pretested blastocysts were further correlated
to the original report. The embryos investigated in our untested group were
obtained from good prognosis patients (n = 25), with maternal age ranging from 23
to 39 years. For the pretested embryo group, maternal age ranged from 23 to 40
years (n = 18). MAIN RESULTS AND THE ROLE OF CHANCE: We uncover chromosomal
mosaicism, involving both numerical and structural aberrations, in up to 37.9% of
the blastocysts analysed. Within the untested group, the overall concordance
between the ICM and all TE portions was 55.9%. A normal ICM was detected in 20.6%
of blastocysts for which at least one TE portion showed a chromosomal aberration.
Conversely, 17.6% of embryos presented with mosaic or uniform abnormalities
within the ICM, while showing normal or mosaic TE profiles. For the pretested
blastocysts, the overall concordance between the ICM and all TE samples was
70.8%. However, 50% of embryos previously diagnosed with mosaicism did not
confirm the original diagnosis. Notably, 31.3% of embryos with a mosaic
aberration reported in the original TE biopsy, revealed a euploid profile in the
ICM and all three TE samples. Taken together, concordance between the ICM and all
TE portions was established in 62.1% of blastocysts, across both embryo groups.
Finally, we could not observe a significant effect of age on embryo mosaicism (P
= 0.101 untested group; P = 0.7309 pretested group). Similarly, ICM and TE
quality were not found to affect the occurrence of chromosomal mosaicism (P =
0.718 and P = 0.462 untested group; P = 1.000 and P = 0.2885 pretested group).
LARGE SCALE DATA: All data that support the findings of this study are available
online in Vivar (http://cmgg.be/vivar) upon request. LIMITATIONS, REASONS FOR
CAUTION: Evaluating biological variation in some instances remains challenging.
The technological limitations of sampling mitotic errors that lead to mosaicism,
as well as WGA artefacts, warrant careful interpretation. WIDER IMPLICATIONS OF
THE FINDINGS: Our results highlight the complex nature of genetic (in)stability
during early ontogenesis and indicate that blastocysts harbour a higher rate of
chromosomal mosaicism than may have been anticipated. Moreover, our findings
reveal an overall high diagnostic sensitivity and relatively low specificity in
the context of PGT-A. This suggests that a considerable proportion of embryos are
potentially being classified as clinically unsuitable. Ultimately, more precise
quantification will benefit the clinical management of embryo mosaicism. STUDY
FUNDING/COMPETING INTEREST(S): M.P. is supported by the Special Research Fund,
Bijzonder Onderzoeksfonds (BOF01D08114). J.T. and L.D. are supported by the
agency for innovation through science (131673, 141441). B.H. and this research
are supported by the Special Research Fund, Bijzonder Onderzoeksfonds
(BOF15/GOA/011). The authors declare no competing interests. TRIAL REGISTRATION
NUMBER: Not applicable.
PMID- 29796633
TI - Pitfalls of linear regression for estimating slopes over time and how to avoid
them by using linear mixed-effects models.
AB - Clinical epidemiological studies often focus on investigating the underlying
causes of disease. For instance, a nephrologist may be interested in the
association between blood pressure and the development of chronic kidney disease
(CKD). However, instead of focusing on the mere occurrence of CKD, the decline of
kidney function over time might be the outcome of interest. For examining this
kidney function trajectory, patients are typically followed over time with their
kidney function estimated at several time points. During follow-up, some patients
may drop out earlier than others and for different reasons. Furthermore, some
patients may have greater kidney function at study entry or faster kidney
function decline than others. Also, a substantial heterogeneity may exist in the
number of kidney function estimates available for each patient. This
heterogeneity with respect to kidney function, dropout and number of kidney
function estimates is important to take into account when estimating kidney
function trajectories. In general, two methods are used in the literature to
estimate kidney function trajectories over time: linear regression to estimate
individual slopes and the linear mixed-effects model (LMM), i.e. repeated
measures analysis. Importantly, the linear regression method does not properly
take into account the above-mentioned heterogeneity, whereas the LMM is able to
retain all information and variability in the data. However, the underlying
concepts, use and interpretation of LMMs are not always straightforward.
Therefore we illustrate this using a clinical example and offer a framework of
how to model and interpret the LMM.
PMID- 29796632
TI - Feasibility of a culturally adapted positive psychological intervention for
Hispanics/Latinos with elevated risk for cardiovascular disease.
AB - Although increasing emotional well-being has been proposed as a potential pathway
to drive cardiac health, emotional well-being interventions for people with
cardiac risk are underdeveloped, particularly among Hispanic/Latino adults. Our
objective was to pilot a well-being intervention drawing on positive psychology
concepts to determine feasibility and acceptability in Hispanics/Latinos at risk
for cardiovascular disease (CVD). We developed a Spanish-language positive
psychological intervention, with cultural tailoring informed by formative
qualitative work, to promote emotional well-being and its antecedents in
Hispanics/Latinos. Hispanic/Latino adults (n = 19) self-reporting two or more CVD
risk factors were enrolled in our single-arm 8-week pilot trial. The group
intervention consisted of 8 weekly 90-min sessions delivered by a bilingual
licensed clinical social worker. Mean age was 54.1 years, 68.8% were female, and
50% had <=eighth-grade education. Eleven of 19 Hispanic/Latino adults completed
the 8-week program for a 57.89% retention rate, with a majority of factors
leading to dropout unrelated to program content or mode of delivery. Most
participants felt satisfied overall with each session (97.1%). Largest increases
relative to baseline after receiving the intervention were found in engagement in
happiness-inducing behaviors (e.g., meditation), emotional vitality, and
subjective happiness using metrics of reliable change and effect sizes. This
single-arm trial documented adequate feasibility and acceptability, although
strategies to increase retention are warranted. Future studies should test our
intervention using a randomized trial design with a larger sample size and
inclusion of biomarkers (e.g., C-reactive protein) to document impact of our
intervention on cardiac-related health.
PMID- 29796634
TI - LncRNA co-expression Network Analysis Reveals Novel Biomarkers for Pancreatic
Cancer.
AB - High mortality and low survival rates for pancreatic ductal adenocarcinoma (PDAC)
mainly result from the delay in diagnosis and treatment. Therefore there is an
urgent need to identify early PDAC biomarkers and new therapeutic targets. In
this study, we applied a commonly used systems biology approach, the weighted
gene co-expression network analysis (WGCNA), on lncRNA expression data. Eleven
lncRNAs, namely A2M-AS1, DLEU2, LINC01133, LINC00675, MIR155HG, SLC25A25-AS1,
LINC01857, LOC642852 (LINC00205), ITGB2-AS1, TSPOAP1-AS1 and PSMB8-AS1 have been
identified and validated on an independent PDAC expression dataset. Furthermore,
we characterised them by functional and pathway enrichment analysis and
identified which lncRNAs showed differential expression, differential promoter
methylation levels and copy number alterations between normal and PDAC samples.
Finally, we also performed a survival analysis and identified A2M-AS1, LINC01133,
LINC00205 and TSPOAP1-AS1 as prognostic biomarkers for PDAC. Interestingly,
although only a few cancer-associated lncRNAs have been functionally
characterized, LINC00675 and LINC01133 lncRNAs have been already demonstrated to
be involved in PDAC development and progression. Therefore, our results provide
new potential diagnostic/prognostic biomarkers and therapeutic targets for PDAC
that deserve to be further investigated. Moreover, these lncRNAs may improve the
understanding about molecular pathogenesis of PDAC.
PMID- 29796635
TI - The ERA-EDTA today and tomorrow: a progress document by the ERA-EDTA Council.
AB - Scientific societies are increasingly seen as central to the advancement of
information sharing and collaboration among scientists and clinical investigators
for the progress of medical research and the promotion of education, professional
competence, integrity and quality studies. To more effectively serve the
practicing nephrologists and investigators dedicated to renal science, the
Council of the European Renal Association and European Dialysis and
Transplantation Association (ERA-EDTA) reorganized and integrated the various
activities of the society into two branches, the Clinical Nephrology Governance
branch and the Renal Science branch. New affordable initiatives to promote
research, education and professional development and to advocate for the
recognition of chronic kidney disease as a major public health issue at the
European level will be put in place and/or potentiated in the new organizational
frame. Educational initiatives will be espoused to Continuous Professional
Development and, starting from 2019, 14 Education & Continuous Professional
Development courses will be held covering the full range of knowledge areas of
modern nephrology. Consolidation and development is the short- and medium-term
mantra of the ERA-EDTA. The society has a rich portfolio of successful activities
and brilliant, creative scientists among its members. Integrating the various
activities of the ERA-EDTA and treasuring the expertise and wisdom of its most
accomplished members will facilitate collaborative research, education and its
public impact at large.
PMID- 29796637
TI - Comparisons of aortic remodelling and outcomes after endovascular repair of acute
and chronic complicated Type B aortic dissections.
AB - OBJECTIVES: Patients with acute (ACTBAD) or chronic complicated Type B aortic
dissection (CCTBAD) undergoing thoracic endovascular aortic repair (TEVAR) remain
at high risk for late aorta-related events. Few data exist on the comparison of
aortic remodelling and outcomes after TEVAR between both groups. METHODS: Forty
nine patients of TEVAR for CCTBAD (n = 26) and ACTBAD (n = 23) were
retrospectively reviewed at our centre. RESULTS: The overall 30-day mortality was
4%. Cumulative freedom from all-cause mortality (ACTBAD: 77.6%, CCTBAD: 68.8%; P
= 0.76), aneurysmal-related mortality (ACTBAD: 88.2%, CCTBAD: 95.0%; P = 0.63)
and the 3-year reintervention rate (ACTBAD: 92.3%, CCTBAD: 95.6%; P = 0.94) were
the same in both groups. Aortic remodelling was significant (P < 0.001) above the
coeliac level after TEVAR. Thirty-five (75.5%) patients still experienced false
lumen flow in the abdominal aorta below the coeliac artery (ACTBAD: 16, CCTBAD:
19, P = 0.10). No difference was found in aortic remodelling between the ACTBAD
and CCTBAD groups, and the length of endograft coverage had no impact on the
aortic remodelling. CONCLUSIONS: The early and 3-year follow-up in our study
showed that endovascular repair for both ACTBAD and CCTBAD was safe and
effective. Aortic remodelling was favourable above the coeliac artery after
TEVAR, and no difference was found between ACTBAD and CCTBAD. The length of
endograft coverage had no impact on aortic remodelling. The low rate of false
lumen thrombosis in the abdominal aorta warranted continuous imaging
surveillance.
PMID- 29796638
TI - In Reply: Passing the Needle and Pulling the Thread.
PMID- 29796636
TI - Rheumatoid arthritis and excess mortality: down but not out. A primary care
cohort study using data from Clinical Practice Research Datalink.
AB - Objectives: To examine temporal trends in all-cause and cause-specific mortality
in RA. Methods: Data from the Clinical Practice Research Datalink were used.
Incident RA cases and four age-, sex- and general practice-matched controls were
identified from at-risk cohorts for each calendar year and followed-up for up to
5 years. Mortality rates and 95% CIs were computed. Cox proportional hazard
ratios (HRs) were calculated to estimate associations and adjusted for
covariates. The temporal trend in mortality was examined using the Joinpoint
regression program. Data management and analysis were performed using Stata
version 14. Results: A total of 21 622 cases with incident RA and 86 488 controls
were included. The mortality rate of RA cases and controls was 26.90 (95% CI
25.87, 27.97) and 18.92 (18.48, 19.36)/1000 person-years, respectively. The
mortality rate in RA cases did not change significantly between 1990 and 2004 but
decreased by 7.7%/year between 2005 and 2009. However, the mortality rate in
controls improved steadily by 2.2%/year between 1990 and 2009. RA was associated
with a 32% excess risk of mortality in the entire cohort [adjusted HR 1.32 (95%
CI 1.26, 1.38)], but this was only 15% in cases incident after 2006 [adjusted HR
1.15 (95% CI 1.03, 1.29)]. Similarly, the HR of death due to cardiovascular
diseases decreased in cases incident in recent years. Conclusion: The mortality
rate in RA cases incident after the year 2006 has declined significantly, with a
trend towards a decline in death from cardiovascular diseases. This could be due
to improved management of RA. However, even in cohorts from recent years, RA
still associates with higher mortality rates.
PMID- 29796639
TI - Incidence, presentation and management of Lyme disease in Dutch general practice.
AB - Background: Little is known about the presentation and management of Lyme disease
in general practice. Objective: To investigate the incidence of Lyme disease over
a 6-year period, and its presentation and management in Dutch general practice.
Methods: Observational study using routine data from a practice-based research
network in the Netherlands with 7 practices, 24 GPs and 30000 registered
patients. From 2009 to 2014, we calculated the incidence of patients presenting
with Lyme disease in general practice. We analysed patient characteristics and
symptoms that patients presented with at first visit. Furthermore, we analysed
General Practitioners' (GPs') diagnostic and therapeutic strategies, and
adherence to the national guideline. Results: Over a 6-year period, we found 212
episodes with clinical- or laboratory-based diagnosed Lyme disease, resulting in
a mean incidence of 117 cases per 100000 patients per year. We did not identify a
significant linear trend over time. The most frequently reported symptoms at
first visit were rash (77%) and/or insect bite (58%). In 25% of patients, GPs
performed a serological test, in 99% an antibiotic was prescribed and 11% were
referred to a medical specialist. Frequently (in 46% of patients), the GP did not
adhere to the guideline completely. Conclusion: This study shows that there is no
linear trend in the incidence of Lyme disease over a 6-year period and that most
patients present to GPs with unspecific symptoms like rash or insect bites. We
show that GPs frequently (in 46% of patients) do not fully adhere to
recommendations stated in the national guideline.
PMID- 29796640
TI - RE: "GROWTH TRAJECTORIES, BREAST SIZE, AND BREAST-TISSUE COMPOSITION IN A BRITISH
PREBIRTH COHORT OF YOUNG WOMEN".
PMID- 29796641
TI - Tryptophan Metabolism through the Kynurenine Pathway is Associated with
Endoscopic Inflammation in Ulcerative Colitis.
AB - Background and Aims: Mucosal appearance on endoscopy is an important indicator of
inflammatory burden and determines prognosis in ulcerative colitis (UC).
Inflammation induces tryptophan metabolism along the kynurenine pathway (KP) and
yields immunologically relevant metabolites. We sought to examine whether changes
in serum tryptophan metabolites and tissue expression of KP enzymes are
associated with UC endoscopic and histologic disease severity. Methods: Serum and
mucosal samples were prospectively obtained at colonoscopy in patients with UC.
Mayo disease activity scores, demographics, smoking status, medications, and
outcomes were collected. Serum tryptophan metabolites were analyzed using ultra
high performance liquid chromatography (uHPLC), and gas chromatography-mass
spectrometry (GC-MS), and enzyme expression was determined by quantitative real
time polymerase chain reaction. Metabolite and enzyme levels were compared by
endoscopic subscore, clinical disease activity, time to surgery, and
hospitalization. Results: This study included 99 patients with Mayo endoscopic
subscores 0-3. Kynurenic acid/tryptophan ratio (KYNA/T) and expression of
indolamine 2,3-dioxygenase 1 (IDO1), tryptophan 2,3-dioxygenase, kynurinase, and
kynurenine monooxygenase correlated positively with endoscopic subscore.
Adjusting for age of diagnosis, smoking status, disease extent, and medications
yielded significant odds of endoscopic inflammation with increasing KYNA/T (OR
1.0015, P = 0.0186) and IDO1 expression (OR 1.0635, P = 0.0215). The highest
tertile ratio of KYNA/T had shorter time to surgery (P = 0.009) and
hospitalization (P = 0.01) than the lowest. Conclusions: Increasing KYNA/T is
closely associated with endoscopic inflammation and predictive of disease
outcomes in patients with UC. These findings identify this novel metabolic
association and further support the role of the KP in regulating mucosal
inflammation in UC. 10.1093/ibd/izy103_video1izy103.video15788135676001.
PMID- 29796642
TI - A Near-Complete Spatial Map of Olfactory Receptors in the Mouse Main Olfactory
Epithelium.
AB - Different regions of the mammalian nose smell different odors. In the mouse
olfactory system, spatially regulated expression of >1000 olfactory receptors
(ORs) along the dorsomedial-ventrolateral (DV) axis forms a topological map in
the main olfactory epithelium (MOE). However, the locations of most ORs along the
DV axis are currently unknown. By sequencing mRNA of 12 isolated MOE pieces, we
mapped out the DV locations-as quantified by "zone indices" on a scale of 1-5-of
1033 OR genes with an estimated error of 0.3 zone indices. Our map covered 81% of
all intact OR genes and 99.4% of the total OR mRNA abundance. Spatial regulation
tended to vary gradually along chromosomes. We further identified putative non-OR
genes that may exhibit spatial expression along the DV axis.
PMID- 29796644
TI - Percutaneous Occlusion of the Left Atrial Appendage with the Watchman Device in
an Active Duty Sailor with Atrial Fibrillation and Recurrent Thromboembolism
Despite Appropriate Use of Oral Anticoagulation.
AB - Atrial fibrillation is the most common significant cardiac arrhythmia and is
associated with a five-fold increased risk of stroke from thromboembolism. Over
94% of these emboli arise from the left atrial appendage. Systemic embolic
phenomena are rare, accounting for less than 1 out of 10 of all embolic events,
but have a similar prevention strategy. Anticoagulation significantly reduces the
risk of these events, and thus forms the cornerstone of therapy for most patients
with atrial fibrillation. Left atrial appendage occlusion with the Watchman
device is a recently approved alternative for stroke prevention in selected
patients. We present a case of an active duty U.S. Navy sailor at low risk for
thromboembolism who nonetheless suffered recurrent thromboembolic events despite
appropriate anticoagulation, and thus underwent Watchman implantation. The
therapy in this case will ideally provide a lifetime of protection from recurrent
systemic embolization while allowing the patient to continue his active duty
military career without restriction due to oral anticoagulation.
PMID- 29796645
TI - NDM-1-producing Vibrio parahaemolyticus isolated from imported seafood.
PMID- 29796646
TI - Surgical Resection With Radiation Treatment Planning of Spinal Tumors.
AB - BACKGROUND: The clinical paradigm for spinal tumors with epidural involvement is
challenging considering the rigid dose tolerance of the spinal cord. One
effective approach involves open surgery for tumor resection, followed by
stereotactic body radiotherapy (SBRT). Resection extent is often determined by
the neurosurgeon's clinical expertise, without considering optimal subsequent
post-operative SBRT treatment. OBJECTIVE: To quantify the effect of incremental
epidural disease resection on tumor coverage for spine SBRT in an effort to
working towards integrating radiotherapy planning within the operating room.
METHODS: Ten patients having undergone spinal separation surgery with
postoperative SBRT were retrospectively reviewed. Preoperative magnetic resonance
imaging was coregistered to postoperative planning computed tomography to
delineate the preoperative epidural disease gross tumor volume (GTV). The GTV was
digitally shrunk by a series of fixed amounts away from the cord (up to 6 mm)
simulating incremental tumor resection and reflecting an optimal dosimetric
endpoint. The dosimetric effect on simulated GTVs was analyzed using metrics such
as minimum biologically effective dose (BED) to 95% of the simulated GTV (D95)
and compared to the unresected epidural GTV. RESULTS: Epidural GTV D95 increased
at an average rate of 0.88 +/- 0.09 Gy10 per mm of resected disease up to the
simulated 6 mm limit. Mean BED to D95 was 5.3 Gy10 (31.2%) greater than
unresected cases. All metrics showed strong positive correlations with increasing
tumor resection margins (R2: 0.989-0.999, P < .01). CONCLUSION: Spine separation
surgery provides division between the spinal cord and epidural disease,
facilitating better disease coverage for subsequent post-operative SBRT. By
quantifying the dosimetric advantage prior to surgery on actual clinical cases,
targeted surgical planning can be implemented.
PMID- 29796643
TI - Demographic History and Genetic Adaptation in the Himalayan Region Inferred from
Genome-Wide SNP Genotypes of 49 Populations.
AB - We genotyped 738 individuals belonging to 49 populations from Nepal, Bhutan,
North India, or Tibet at over 500,000 SNPs, and analyzed the genotypes in the
context of available worldwide population data in order to investigate the
demographic history of the region and the genetic adaptations to the harsh
environment. The Himalayan populations resembled other South and East Asians, but
in addition displayed their own specific ancestral component and showed strong
population structure and genetic drift. We also found evidence for multiple
admixture events involving Himalayan populations and South/East Asians between
200 and 2,000 years ago. In comparisons with available ancient genomes, the
Himalayans, like other East and South Asian populations, showed similar genetic
affinity to Eurasian hunter-gatherers (a 24,000-year-old Upper Palaeolithic
Siberian), and the related Bronze Age Yamnaya. The high-altitude Himalayan
populations all shared a specific ancestral component, suggesting that genetic
adaptation to life at high altitude originated only once in this region and
subsequently spread. Combining four approaches to identifying specific positively
selected loci, we confirmed that the strongest signals of high-altitude
adaptation were located near the Endothelial PAS domain-containing protein 1 and
Egl-9 Family Hypoxia Inducible Factor 1 loci, and discovered eight additional
robust signals of high-altitude adaptation, five of which have strong biological
functional links to such adaptation. In conclusion, the demographic history of
Himalayan populations is complex, with strong local differentiation, reflecting
both genetic and cultural factors; these populations also display evidence of
multiple genetic adaptations to high-altitude environments.
PMID- 29796647
TI - In vitro pepsin digestibility and amino acid composition in soluble and residual
fractions of hydrolyzed chicken feathers.
AB - Beta-keratin in poultry feathers is a structural protein that is resistant to
degradation due to disulfide and hydrogen bonds. Feather meal can be a valuable
feed compound if the digestibility can be increased. The objective of the present
study was to analyze the effects of chemical, enzymatic, and pressure-thermic
treatments for chicken feathers on solubility, in vitro protein digestibility
(IVPD), and amino acid composition of solubilized and residual fractions. Two
experiments were conducted. In experiment 1, models for solubility and IVPD were
developed including the above factors applying a central composite face-centered
design. Addition of sodium hydroxide (NaOH) and sodium sulfite (Na2SO3), and
autoclaving time affected solubility and IVPD of the feather hydrolysates, but
not addition of keratinolytic enzyme. In experiment 2, 7 combinations of the
hydrolysis factors NaOH, Na2SO3, and autoclaving time with a predicted IVPD of
900 g/kg of DM, calculated for the sum of solubilized and residual feather
fractions, were included to measure effects on IVPD and amino acid composition in
each fraction. The IVPD values were higher for solubilized than residual
fractions when treated with NaOH and autoclaving, but no differences were found
when treated with Na2SO3 and autoclaving. Losses of cystine were substantial for
all treatments, but lower for Na2SO3 than for NaOH. Furthermore, use of lower
Na2SO3 concentration and longer autoclaving time reduced losses of cystine.
Compared with NaOH treatments, Na2SO3 gave lower losses of threonine, arginine,
serine, and tyrosine. With reference to the ideal protein profile for Atlantic
salmon (Salmo salar L.), the treatments with 60 or 90 min autoclaving and 0.36 or
0.21% Na2SO3 had the highest chemical scores. The scores were generally higher
for amino acids in residual than solubilized fractions, but with 90 min
autoclaving and 0.21% Na2SO3 differences were small. In conclusion, hydrolysis of
chicken feathers with low concentrations of Na2SO3 combined with autoclaving
results in feather meal with high nutritional value for Atlantic salmon;
separation of solubilized and residual fractions is not necessary.
PMID- 29796649
TI - A 4-year randomized trial comparing three outreach interventions to promote
screening mammograms.
AB - As population health has become a focus of health care payers and providers,
interest has grown in mail, phone, and other forms of outreach for improving
population rates of cancer screening. Translational research is needed to compare
the effectiveness and cost of low- and high-intensity behavioral outreach
interventions for promoting cancer screening. The purpose of the article is to
compare the effectiveness in promoting biannual mammograms of three interventions
delivered over 4 years to a primary care population with a high baseline
mammography adherence of 83.3%. We randomized women aged 40-84 to reminder letter
only (LO arm), letter + reminder call (RC arm), and two letters + counseling call
(CC arm) involving tailored education and motivational interviewing. Mammography
adherence (>=1 mammogram in the previous 24 months) at four time points was
determined from insurance claims records. Over 4 years, 30,162 women were
randomized. At the end of 4 years, adherence was highest in the RC arm (83.0%)
compared with CC (80.8%) and LO (80.8%) arms (p = .03). Only 23.5% of women in
the CC arm were reached and accepted full counseling. The incremental cost per
additional mammogram for RC arm women was $30.45 over the LO arm cost. A simple
reminder call can increase screening mammogram adherence even when baseline
adherence is high. Some more complex behavioral interventions delivered by mail
and phone as in this study may be less effective, due to limited participation of
patients, a focus on ambivalence, lack of follow-up, and other factors.
PMID- 29796648
TI - Muscle Protein Anabolic Resistance to Essential Amino Acids Does Not Occur in
Healthy Older Adults Before or After Resistance Exercise Training.
AB - Background: The muscle protein anabolic response to contraction and feeding may
be blunted in older adults. Acute bouts of exercise can improve the ability of
amino acids to stimulate muscle protein synthesis (MPS) by activating mechanistic
target of rapamycin complex 1 (mTORC1) signaling, but it is not known whether
exercise training may improve muscle sensitivity to amino acid availability.
Objective: The aim of this study was to determine if muscle protein anabolism is
resistant to essential amino acids (EAAs) and whether resistance exercise
training (RET) improves muscle sensitivity to EAA in healthy older adults.
Methods: In a longitudinal study, 19 healthy older adults [mean +/- SD age: 71 +/
4 y body mass index (kg/m2): 28 +/- 3] were trained for 12 wk with a whole-body
program of progressive RET (60-75% 1-repetition maximum). Body composition,
strength, and metabolic health were measured pre- and posttraining. We also
performed stable isotope infusion experiments with muscle biopsies pre- and
posttraining to measure MPS and markers of amino acid sensing in the basal state
and in response to 6.8 g of EAA ingestion. Results: RET increased muscle strength
by 16%, lean mass by 2%, and muscle cross-sectional area by 27% in healthy older
adults (P < 0.05). MPS and mTORC1 signaling (i.e., phosphorylation status of
protein kinase B, 4E binding protein 1, 70-kDa S6 protein kinase, and ribosomal
protein S6) increased after EAA ingestion (P < 0.05) pre- and posttraining. RET
increased basal MPS by 36% (P < 0.05); however, RET did not affect the response
of MPS and mTORC1 signaling to EAA ingestion. Conclusion: RET increases strength
and basal MPS, promoting hypertrophy in healthy older adults. In these subjects,
a small dose of EAAs stimulates muscle mTORC1 signaling and MPS, and this
response to EAAs does not improve after RET. Our data indicate that anabolic
resistance to amino acids may not be a problem in healthy older adults. This
trial was registered at www.clinicaltrials.gov as NCT02999802.
PMID- 29796650
TI - Identification of a G-quadruplex forming sequence in the promoter of UCP1.
AB - G-quadruplexes are higher-order nucleic acid structures formed in G-rich
sequences in DNA or RNA. G-quadruplexes are distributed in many locations in the
human genome, including promoter regions, and are viewed as promising therapeutic
targets. Uncoupling protein-1 (UCP1) is a mitochondrial thermogenic gene critical
for energy expenditure in the form of heat in the brown adipose tissue. UCP1 is
only expressed during brown fat cell differentiation and is a candidate target
for treating obesity. However, the regulation of UCP1 expression is not clear. We
reported here that a G-quadruplex forming sequence exists in the promoter of
UCP1. The 5,10,15,20-tetra(N-methyl-4-pyridyl) porphyrin (TMPyP4) enhanced
cellular expression of UCP1 and destabilized the G-quadruplex formed by the
sequence from the promoter of UCP1. Mutations in the G-quadruplex regulated the
cellular activity of UCP1 promoter as evidenced by a UCP1-promoter luciferase
assay. These results suggest that G-quadruplex structure is a potential target to
regulate the expression of UCP1.
PMID- 29796651
TI - Hodgkin lymphoma: ESMO Clinical Practice Guidelines for diagnosis, treatment and
follow-up.
PMID- 29796652
TI - Imaging of Human Neurolisteriosis: A Prospective Study of 71 Cases.
AB - Background: Neurolisteriosis ranks among the most severe neurological infections.
Its radiological features have not been thoroughly studied. We describe here the
neuroradiological features of neurolisteriosis and assess their prognostic value.
Methods: Patients with microbiologically proven neurolisteriosis were enrolled
from November 2009 to October 2013 in MONALISA study. Magnetic resonance and
computed tomography images were studied by 2 independent neuroradiologists.
Predictors of 3-month mortality were determined using logistic regression.
Results: Seventy-one patients were included; 42 were men (59%). Mean age was 64
years. Sixty patients (85%) reported signs of encephalitis, with clinical
brainstem involvement in 16 (23%). Images were abnormal in 87% of cases (62/71).
Main neuroradiological images were meningeal enhancement (25/71, 35%),
abscess(es), or nodular image(s) evocative of abscess (10/71, 14%), hemorrhages
(11/71, 15%), contrast-enhancing ventricles, or hydrocephalus (7/71, 10%). White
matter images (42/71, 59%), dilated Virchow-Robin spaces (22/71, 31%), and
cerebral atrophy were also reported (34/71, 48%). Brainstem involvement
(meningeal enhancement, abscess) was reported in only 7/71 cases (10%). Three
month survival was lower in patients with hydrocephalus or contrast-enhancing
ventricles (1/7 [14%] than without [47/64, 73%], P = .005) and in patients with
parenchymal images (abscess[es], nodule[s]?, or white matter images; 25/46 [54%]
vs 23/25 without [92%], P = .004). Parenchymal images were associated with lower
3-month survival in the multivariable model (odds ratio 5.60, 95% confidence
interval [1.42-29.6], P = .02). Conclusions: Neurolisteriosis presents as a
combination of neuroradiological images, none being specific. Radiological signs
of rhombencephalitis are uncommon, whereas, unexpectedly, hemorrhagic images are
frequent. The negative prognostic value of parenchymal neuroradiological images
was evidenced. Clinical Trials Registration: NCT01520597.
PMID- 29796653
TI - THREE AUTHORS REPLY.
PMID- 29796655
TI - Endoscopic Administration of Mesenchymal Stromal Cells Reduces Inflammation in
Experimental Colitis.
AB - Background: Mesenchymal stromal cells (MSCs) are a potential therapeutic modality
in inflammatory bowel diseases (IBDs) because of their immunomodulatory and
regenerative properties. However, when injected systemically, only a small
portion of the cells, if any, reach the inflamed colon. In this study, we
assessed whether endoscopic injections of MSCs into the intestinal wall of the
inflamed colon affect the course of experimental colitis. Furthermore, we
investigated if injection of aggregated MSCs in spheroids could enhance their
therapeutic ability. Methods: Expression levels of in vivo MSC aggregates and in
vitro MSC spheroids were compared with monolayer cultured MSCs for both anti
inflammatory and pro-regenerative factors. Subsequently, MSCs and MSC spheroids
were injected endoscopically in mice with established dextran sulfate sodium
(DSS)-induced colitis. Results: Endoscopically injected MSCs and MSC spheroids
both alleviated DSS-induced colitis. Furthermore, both in vivo and in vitro MSC
spheroids showed increased expression of factors important for immunomodulation
and tissue repair, compared with monolayer cultured MSCs. Despite differential
expression of these factors, MSC spheroids showed similar clinical efficacy in
vivo as single-cell suspension MSCs. Analysis of serum samples and colon
homogenates showed that local MSC therapy resulted in increased levels of
interferon-gamma, indoleamine 2,3-dixoygenase, and interleukin-10. Conclusions:
Endoscopic injections of MSCs and MSC spheroids in the inflamed colon attenuate
DSS-induced colitis. Our data show that endoscopic injection can be a feasible
and effective novel application route for MSC therapy in patients with luminal
IBD.
PMID- 29796654
TI - Rapid Communication: Porcine CRTC3 gene clone, expression pattern, and its
regulatory role in intestinal epithelial cells.
AB - In the current study, we aimed to clone the full-length cDNA of porcine CRTC3
(pCRTC3) gene and examine its expression pattern and function in intestinal
epithelial cells. The full-length cDNA sequence of pCRTC3 was 2,173 bp (GenBank
accession no. MF964215), with a 1,860-bp open reading frame encoding a 620-AA
protein. Comparison of the deduced AA sequence with different species including
human, mouse, rat, Papio, cattle, and rabbit showed 89% to 91.9% similarity. The
pCRTC3 was highly expressed in small intestine and spleen, to a lesser degree in
lung, liver, and adipose tissue, and was expressed at a low but detectable level
in skeletal muscle, kidney, and heart. In addition, high protein levels of pCRTC3
were found in IPEC-J2 cells, in which pCRTC3 was mainly localized in cytoplasm.
Furthermore, we demonstrated that knockdown of pCRTC3 significantly decreased the
expression of the porcine tight junction-related genes including zonula occludens
1 (ZO-1), ZO-2, occludin, and claudin-1 by 57.88% (P < 0.01), 40.19% (P < 0.01),
51.59% (P < 0.01), and 35.70% (P < 0.05), respectively. Taken together, we first
cloned the full-length sequence of pCRTC3 and revealed the tissue-specific
expression pattern, localization, and function of pCRTC3 in regulating the
expression of intestinal tight junction-related genes. This study could provide
some useful information for understanding the function of CRTC3 in pigs.
PMID- 29796656
TI - Construction of a high-efficiency gene-targeting system in brewing-wine
Aspergillus oryzae industrial strain used in direct xylitol conversion from
xylan.
PMID- 29796657
TI - The Possibility of Using Isolated Alkaloid Compounds and Crude Extracts of Piper
retrofractum (Piperaceae) as Larvicidal Control Agents for Culex quinquefasciatus
(Diptera: Culicidae) Larvae.
AB - Culex quinquefasciatus is a common domestic mosquito that is widespread in many
areas of Thailand and serves as a southeastern vector of Japanese encephalitis.
The present study investigated the acute toxicity of crude extracts and alkaloid
compounds of Piper retrofractum (Piperales: Piperaceae) in Cx. quinquefasciatus
third instar larvae. P. retrofractum was sequentially extracted using hexane,
dichloromethane, ethyl acetate, and methanol, and the crude extracts were tested
on mosquito larvae. Detoxification and neuroenzymes were analyzed to establish
the mode of action. Acute toxicity was assessed on Poecilia reticulata
(Cyprinodontiformes: Poeciliidae) to determine the possibility of toxicity in a
nontarget species. Our results showed crude hexane extract had the highest
toxicity in Cx. quinquefasciatus (0.9 ppm). Piperine and piperanine, which are
alkaloid compounds from the crude hexane extract, showed LC50 values of 0.27 and
2.97 ppm, respectively, after 24 h of exposure. All the crude extracts showed low
toxicity in P. reticulata compared with that in the mosquito larvae. The
carboxylesterase, glutathione-S-transferase, and acetylcholinesterase activities
in Cx. quinquefasciatus were reduced after treatment with all the extracts and
the two alkaloid compounds. Thus, P. retrofractum shows larvicidal effects
against Cx. quinquefasciatus and low toxicity for nontarget species. Thus, P.
retrofractum could be a choice for controlling Cx. quinquefasciatus.
PMID- 29796658
TI - BCL2 Regulates Differentiation of Intestinal Fibroblasts.
AB - Background: Fibrosis in patients with Crohn's disease (CD) results from an
imbalance toward excessive fibrous tissue formation driven by fibroblasts.
Activation of fibroblasts is linked to the B-cell lymphoma 2 (BCL2) family, which
is involved in the induction of apoptosis. We investigated the impact of BCL2
repression on fibrogenesis. Methods: The model of dextran sodium sulfate (DSS)
induced chronic colitis and the heterotopic transplantation model of fibrosis
were used. Following the administration of the BCL2 antagonist (ABT-737, 50
mg/kg/d), collagen layer thickness and hydroxyproline (HYP) content were
determined. Fibroblasts were stimulated with the BCL2 antagonist (0.01-100 uM).
BCL2, alpha smooth muscle actin (alphaSMA), and collagen I (COL1A1) were
determined by quantitative polymerase chain reaction (qPCR), immunofluorescence
microscopy (IF), and western blot (WB). mRNA expression pattern was determined by
next-generation sequencing (NGS). Results: Collagen layer thickness was
significantly decreased in both DSS-induced chronic colitis and the
transplantation model of fibrosis upon BCL2 antagonist administration compared
with vehicle. Decreased HYP content confirmed the preventive effects of the BCL2
antagonist on fibrosis. In vitro, a significant increase in PI+/annexin V+ human
colonic fibroblasts was determined by fluorescence-activated cell sorting upon
treatment with high-dose BCL2 antagonist; at a lower dose, alphaSMA, COL1A1, and
TGF were decreased. NGS, IF, and qPCR revealed decreased expression and nuclear
translocation of GATA6 and SOX9, known for reprogramming fibroblasts. Conclusion
: BCL2 antagonist administration partially prevented fibrogenesis in both
fibrosis models. The BCL2 antagonist reduced the expression of TGFbeta-induced
factors involved in differentiation of myofibroblasts, and therefore might
represent a potential treatment option against CD-associated fibrosis.
PMID- 29796659
TI - Comparing two techniques for viscosity measurements in poultry feedstuffs: does
it render similar conclusions?
AB - Viscosity of intestinal contents is known to affect digestion and absorption of
nutrients. In most poultry studies, intestinal viscosity has been measured only
after complete removal of solid particles by centrifugation. Centrifugation may
however remove particles that contribute to viscosity, hence giving rise to an
underestimation of viscosity. Two viscosity measurement techniques, one including
a centrifugation step (Brookfield) and the other without (Haake), were compared
in-vitro to assess whether both techniques result in similar conclusions
regarding viscosity in feedstuffs. Two sets of feedstuff preparations were used.
The first set was prepared with different combinations of milled feedstuffs in
order to have a wide range of viscosity: 100% corn, 25% corn + 75% wheat, 100%
wheat, 90% wheat + 10% rye, all mixed with distilled water. In the second set,
barley was incubated with different beta-glucanases, and soybean and sunflower
meal were incubated with different pectinases, again all mixed with distilled
water. Viscosity was assessed using both techniques (Haake and Brookfield
equipments) at six different time points. To evaluate the extent of agreement
between the two methods, the Lin's concordance correlation coefficient (CCC) was
assessed using the percentage of increase in viscosity within each method, based
on pairwise feedstuffs comparison (first set), or relative to the feedstuff
without enzyme (second set). The rate of the agreement between the two methods
was substantial for the first set of feedstuffs (66%) and for the barley diets
incubated with beta-glucanases (69%), whereas the CCC score for the soybean meal
diets was very poor (2%) and fair for the sunflower meal diets, incubated with
pectinases (32%). The lack of agreement for the latter can be explained by the
limited variation in viscosity in these low-viscous mixtures. Although the two
techniques are considerably different (e.g., with or without preceding particle
removal), they seem to render similar conclusions when applied to poultry
feedstuffs to identify distinct differences under the tested circumstances.
PMID- 29796660
TI - Smoking and Physical Activity Explain the Increased Mortality Risk Following
Marital Separation and Divorce: Evidence From the English Longitudinal Study of
Ageing.
AB - Background: Marital separation and divorce are associated with an increased risk
of early mortality, but the specific biobehavioral pathways that explain this
association remain largely unknown. Purpose: This study sought to identify the
putative psychological, behavioral, and biomarker variables that can help explain
the association of being separated or divorced and increased risk for early
mortality. Methods: Using data from the English Longitudinal Study of Ageing, a
representative community sample of aging adults (N = 5,786), we examined the
association of marital status and life satisfaction, health behaviors measured 2
years later, biomarkers measured 4 years later, and mortality outcomes from the
subsequent 4 years. Results: Consistent with prior literature, older adults who
were separated/divorced evidenced greater risk of mortality relative to those in
intact marriages over the study period, OR = 1.46, 95% CI [1.15, 1.86]. Marital
status was associated with lower levels of life satisfaction, beta = -0.22 [
0.25, -0.19] and greater likelihood of smoking 2 years later beta = 0.17 [0.13,
0.21]. Lower life satisfaction predicted less frequent physical activity 2 years
later, beta = 0.07 [0.03, 0.10]. Smoking, but not physical activity, predicted
poorer lung functioning 2 years later, beta = -0.43 [-0.51, -0.35], and poorer
lung function predicted increased likelihood of mortality over the following 4
years, beta = -0.15 [-0.27, -0.03]. There was a significant total indirect effect
of marital status on mortality through these psychological, behavioral, and
biomarker variables, beta = 0.03 [0.01, 0.05], which fully explained this
mortality risk. Conclusions: For separated/divorced adults, differences in life
satisfaction predict health behaviors associated with poorer long-term lung
function, and these intermediate variables help explain the association between
marital dissolution and increased risk of earlier mortality.
PMID- 29796662
TI - Letter: The In Vivo Antitumoral Effects of Lipopolysaccharide Against
Glioblastoma Multiforme are Mediated in Part by Toll-Like Receptor 4.
PMID- 29796663
TI - The therapeutic prospect of crosstalk between prokaryotic and eukaryotic
organisms in the human gut.
AB - The peaceful phenomenon of the co-evolution between the prokaryotes (microbiota)
and the eukaryotes (parasites including protozoa and helminths) in the animal gut
has drawn the researchers' attention. Importantly, exploring the potential of
helminths for therapeutic uses was one of the reasons behind understanding the
physiological and immunological crosstalk existing between them. Here we discuss
the interactive immunological associations of helminths and microbial responses
individually and in combination with their hosts. Considering that there is
probably crosstalk between eukaryotic organisms like helminths and protozoa with
their host's gut microbiota, in this review we searched the literature
identifying the privileged and favourable relationship generated between them in
the host. Understanding the possibilities of the role of helminths along with gut
microbiota as a black box would certainly help decode the therapeutic intrusion
with helminths in experimental clinical trials, and a successful trial could be
used to consider possible future and safe treatments for various immune
inflammatory diseases in humans.
PMID- 29796661
TI - Decreased incidence of diabetes in patients with gout using benzbromarone.
AB - Objective: Insulin resistance is inversely correlated with the clearance rate of
uric acid, which may indicate that improvement in the clearance rate of uric acid
could reduce insulin resistance. Considering the increased prevalence of diabetes
mellitus (DM) in the gout population, this study evaluated the effects of
benzbromarone, a uricosuric agent, on the incidence of DM in the gout population.
Methods: We used data from the Taiwan National Health Insurance program. The
benzbromarone user cohort included 8678 patients; each patient was age- and sex
matched with one benzbromarone non-user who was randomly selected from the gout
population. The Cox proportional hazard regression analysis was conducted to
estimate the effects of benzbromarone on the incidence of DM in the gout
population. Results: The incidence of DM was significantly lower in benzbromarone
users than in benzbromarone non-users [adjusted hazard ratio (HR) = 0.86; 95% CI:
0.79, 0.94]. The HR for the incidence of DM was lower in male benzbromarone users
(adjusted HR = 0.77; 95% CI: 0.69, 0.86) than in benzbromarone non-users. An
analysis of three age groups (<40, 40-59 and ?60 years) indicated that the HRs of
the age groups of 40-59 years (adjusted HR = 0.86; 95% CI: 0.76, 0.98) and ?60
years (adjusted HR = 0.82; 95% CI: 0.71, 0.94) were significantly lower among
benzbromarone users than among benzbromarone non-users. Conclusion: In the gout
population, the incidence of DM was lower in benzbromarone users than in
benzbromarone non-users.
PMID- 29796664
TI - Intervention Fidelity Within Trials of Infant Feeding Behavioral Interventions to
Prevent Childhood Obesity: A Systematic Review.
AB - Background: Intervention fidelity refers to whether an intervention has been
implemented as intended. Trials of infant feeding behavioral interventions to
prevent childhood obesity show inconsistent evidence of effectiveness. However,
intervention fidelity has not been previously explored within these trials,
limiting interpretation of findings. Purpose: To review the use and/or reporting
of strategies to enhance and assess intervention fidelity within trials of infant
feeding interventions to prevent childhood obesity, and their association with
study quality, effectiveness, and publication year. Methods: Seven electronic
databases were searched, with articles screened for inclusion by two reviewers.
The National Institutes of Health Behaviour Change Consortium fidelity checklist
was used to assess use and/or reporting of fidelity strategies across five
domains (design, provider training, delivery, receipt, and enactment). Results:
Ten trials (16 papers) were identified. Average use/reporting of fidelity
strategies was moderate (54%), ranging from 28.9% to 76.7%. Levels of
use/reporting ranged from 15.9% in the domain of provider training to 95% for
enactment. No association was found between these levels and study quality,
effectiveness, or publication year. Conclusions: The moderate use/reporting of
fidelity strategies within trials of infant feeding interventions suggests that
previous findings of inconsistent effectiveness may not fully reflect the
intended interventions. The review highlights key considerations for improving
future research, both in the area of behavioral infant feeding and wider behavior
change literature. This includes improving reporting across all fidelity domains
and ensuring an enhanced focus on provider training and control group content to
optimize the translation of research into practice. PROSPERO Registration number:
CRD42016033492.
PMID- 29796665
TI - A unique fucosylated chondroitin sulfate type II with strikingly homogeneous and
neatly distributed alpha-fucose branches.
AB - Fucosylated chondroitin sulfates (FCSs) and sulfated fucans (SFs) are conspicuous
components of the body wall of sea cucumbers (Holothuroidea). FCSs are composed
of a central core of chondroitin sulfate (CS) decorated with branches of mono- or
both mono- and disaccharides of alpha-fucose (FCS types I and II, respectively).
FCSs type II have heterogeneous and irregularly distributed alpha-fucose
branches; however, the novel FCS type II from Holothuria lentiginosa described
herein via solution nuclear magnetic resonance has strikingly homogeneous alpha
fucose branches neatly distributed along its CS core. This FCS is built up of
three distinct sequential units composed of the typical CS disaccharides of FCSs,
rich in beta-galactosamine-4,6diS, decorated with branches of alpha-Fucp-2,4diS,
alpha-Fucp-3,4diS or alpha-Fucp[1->3]alpha-Fucp-4S[1-> linked to the position 3-
of the beta-glucuronic acid. Conformational analyses of these repetitive units
revealed a fairly rigid structure despite of the high sulfate content of their
alpha-fucose branches. We also determined the structure of the SF from H.
lentiginosa as a repetitive tetrasaccharide sequence composed of ->3]alpha-Fucp
2,4diS[1->3]alpha-Fucp[1->3]alpha-Fucp-2S[1->3]alpha-Fucp-2S[1->. Furthermore, we
determined that the nonsulfated alpha-fucose units present in FCS type II did not
interfere with their anticoagulant potencies and affinities to calcium. FCS is an
autapomorphic molecular character of the class Holothuroidea and the composition
of their alpha-fucose branches differs in a species-specific manner. Branches
containing alpha-Fucp-2,4diS are the most common within the extant holothurians,
being found in 90% of the FCSs characterized thus far.
PMID- 29796666
TI - Lactobacillus species increase the survival of Galleria mellonella infected with
Candida albicans and non-albicans Candida clinical isolates.
AB - Investigation into new therapeutic strategies, such as the use of bacterial
isolates with probiotic characteristics, has increased in importance due to the
high incidence of Candida albicans and non-albicans Candida infections. This
study evaluates Lactobacillus paracasei, Lactobacillus fermentum and
Lactobacillus rhamnosus strains as prophylactic and therapeutic agents against
infection caused by Candida albicans, Candida glabrata, Candida krusei, and
Candida tropicalis in a Galleria mellonella model. Prophylactic treatment
provided greater benefits during Candida spp. infection, increasing G. mellonella
survival, compared to therapeutic treatment. This study demonstrated that the
different Lactobacillus species are potent prophylactic agents of Candida species
infection.
PMID- 29796668
TI - FFAR4 Is Involved in Regulation of Neurotensin Release From Neuroendocrine Cells
and Male C57BL/6 Mice.
AB - Neurotensin (NT), a 13 amino-acid peptide, is predominantly released from
enteroendocrine cells of the small bowel in response to fat ingestion. Free fatty
acid receptors (FFARs) FFAR1 and FFAR4 regulate secretion of gut hormones and
insulin. Here, we show that docosahexaenoic acid, a long-chain fatty acid, has
the most dramatic effect on NT release. FFAR1 agonists slightly stimulate and
FFAR4 agonists dramatically stimulate and amplify NT secretion. Double knockdown
of FFAR1 and FFAR4 decreases NT release, whereas overexpression of FFAR4, but not
FFAR1, increases NT release. Administration of cpdA, an FFAR4 agonist, but not
TAK-875, a selective FFAR1 agonist, increases plasma NT levels and further
increases olive oil-stimulated plasma NT levels. Inhibition of MAPK kinase
(MEK)/ERK1/2 decreased fatty acid-stimulated NT release but increased AMP
activated protein kinase (AMPK) phosphorylation. In contrast, inhibition of AMPK
further increased NT secretion and ERK1/2 phosphorylation mediated by FFAR1 or
FFAR4. Our results indicate that FFAR4 plays a more critical role than FFAR1 in
mediation of fat-regulated NT release and in inhibitory crosstalk between
MEK/ERK1/2 and AMPK in the control of NT release downstream of FFAR1 and FFAR4.
PMID- 29796667
TI - Stability of local secondary structure determines selectivity of viral RNA
chaperones.
AB - To maintain genome integrity, segmented double-stranded RNA viruses of the
Reoviridae family must accurately select and package a complete set of up to a
dozen distinct genomic RNAs. It is thought that the high fidelity segmented
genome assembly involves multiple sequence-specific RNA-RNA interactions between
single-stranded RNA segment precursors. These are mediated by virus-encoded non
structural proteins with RNA chaperone-like activities, such as rotavirus (RV)
NSP2 and avian reovirus sigmaNS. Here, we compared the abilities of NSP2 and
sigmaNS to mediate sequence-specific interactions between RV genomic segment
precursors. Despite their similar activities, NSP2 successfully promotes inter
segment association, while sigmaNS fails to do so. To understand the mechanisms
underlying such selectivity in promoting inter-molecular duplex formation, we
compared RNA-binding and helix-unwinding activities of both proteins. We
demonstrate that octameric NSP2 binds structured RNAs with high affinity,
resulting in efficient intramolecular RNA helix disruption. Hexameric sigmaNS
oligomerizes into an octamer that binds two RNAs, yet it exhibits only limited
RNA-unwinding activity compared to NSP2. Thus, the formation of intersegment RNA
RNA interactions is governed by both helix-unwinding capacity of the chaperones
and stability of RNA structure. We propose that this protein-mediated RNA
selection mechanism may underpin the high fidelity assembly of multi-segmented
RNA genomes in Reoviridae.
PMID- 29796669
TI - Regulatory RNA in Mycobacterium tuberculosis, back to basics.
AB - Since the turn of the millenium, RNA-based control of gene expression has added
an extra dimension to the central dogma of molecular biology. Still, the roles of
Mycobacterium tuberculosis regulatory RNAs and the proteins that facilitate their
functions remain elusive, although there can be no doubt that RNA biology plays a
central role in the baterium's adaptation to its many host environments. In this
review, we have presented examples from model organisms and from M. tuberculosis
to showcase the abundance and versatility of regulatory RNA, in order to
emphasise the importance of these 'fine-tuners' of gene expression.
PMID- 29796670
TI - HotSpot Wizard 3.0: web server for automated design of mutations and smart
libraries based on sequence input information.
AB - HotSpot Wizard is a web server used for the automated identification of hotspots
in semi-rational protein design to give improved protein stability, catalytic
activity, substrate specificity and enantioselectivity. Since there are three
orders of magnitude fewer protein structures than sequences in bioinformatic
databases, the major limitation to the usability of previous versions was the
requirement for the protein structure to be a compulsory input for the
calculation. HotSpot Wizard 3.0 now accepts the protein sequence as input data.
The protein structure for the query sequence is obtained either from eight
repositories of homology models or is modeled using Modeller and I-Tasser. The
quality of the models is then evaluated using three quality assessment tools
WHAT_CHECK, PROCHECK and MolProbity. During follow-up analyses, the system
automatically warns the users whenever they attempt to redesign poorly predicted
parts of their homology models. The second main limitation of HotSpot Wizard's
predictions is that it identifies suitable positions for mutagenesis, but does
not provide any reliable advice on particular substitutions. A new module for the
estimation of thermodynamic stabilities using the Rosetta and FoldX suites has
been introduced which prevents destabilizing mutations among pre-selected
variants entering experimental testing. HotSpot Wizard is freely available at
http://loschmidt.chemi.muni.cz/hotspotwizard.
PMID- 29796671
TI - Prolonged Exposure to Monosodium Glutamate in Healthy Young Adults Decreases
Perceived Umami Taste and Diminishes Appetite for Savory Foods.
AB - Background: Research suggests that increased consumption of sweet, salt, or fat
is associated with diminished perceived taste intensity and shifted preferences
for the respective stimulus. It is unknown whether a similar effect occurs with
the consumption of umami. Objective: The aim of the study was to investigate the
influence of habitual exposure to umami stimuli on umami taste perception,
hedonics, and satiety. Methods: Fifty-eight healthy men (n = 16) and women (n =
42) participated in a parallel-group, randomized controlled study. The normal
weight [mean +/- SD body mass index (kg/m2): 21.8 +/- 2.2] group of young adults
(mean +/- SD age: 22.7 +/- 6.2 y) consumed vegetable broth daily for 4 wk. The
broth for the treatment group (n = 28) was supplemented with 3.8 g monosodium
glutamate (MSG), whereas the control group (n = 30) consumed a sodium-matched
broth without MSG. Perceived umami taste intensity and discrimination in MSG
solutions; liking, wanting, and preference of a variety of umami-rich foods;
satiation and satiety from an ad libitum meal; and anthropometric measures were
evaluated at baseline and at week 4. General linear models assessed the effect of
treatment on change from baseline for all outcomes and tested for effect
modification of sex. Results: Relative to controls, increased consumption of MSG
for 4 wk diminished umami taste in women (8.4 units on generalized Labeled
Magnitude Scale; 95% CI: -13.8, -3.1 units; P = 0.013). The desire for and intake
of savory foods decreased after MSG treatment in both sexes with an ad libitum
meal (desire: -7.7 units; 95% CI: -13.7, -1.7 units; P = 0.04; intake: -36 g; 95%
CI: -91, 19 g; P = 0.04). Conclusion: Our results highlight that a month-long
diet high in umami stimuli attenuates perceived umami taste and appetite for
savory foods in a young, healthy population. Our findings contribute to the
understanding of food choice, a factor in the development and maintenance of
obesity, as well as the etiology of protein-related health conditions such as
osteoporosis and kidney disease. This study is registered at
www.clinicaltrials.gov as NCT03010930.
PMID- 29796673
TI - Alice Hamilton, 1869-1970, 'The Mother of Occupational Medicine'.
PMID- 29796674
TI - Temporary work and depressive symptoms.
PMID- 29796672
TI - Bidirectional regulation of adenosine-to-inosine (A-to-I) RNA editing by DEAH box
helicase 9 (DHX9) in cancer.
AB - Adenosine-to-inosine (A-to-I) RNA editing entails the enzymatic deamination of
adenosines to inosines by adenosine deaminases acting on RNA (ADARs).
Dysregulated A-to-I editing has been implicated in various diseases, including
cancers. However, the precise factors governing the A-to-I editing and their
physiopathological implications remain as a long-standing question. Herein, we
unravel that DEAH box helicase 9 (DHX9), at least partially dependent of its
helicase activity, functions as a bidirectional regulator of A-to-I editing in
cancer cells. Intriguingly, the ADAR substrate specificity determines the
opposing effects of DHX9 on editing as DHX9 silencing preferentially represses
editing of ADAR1-specific substrates, whereas augments ADAR2-specific substrate
editing. Analysis of 11 cancer types from The Cancer Genome Atlas (TCGA) reveals
a striking overexpression of DHX9 in tumors. Further, tumorigenicity studies
demonstrate a helicase-dependent oncogenic role of DHX9 in cancer development. In
sum, DHX9 constitutes a bidirectional regulatory mode in A-to-I editing, which is
in part responsible for the dysregulated editome profile in cancer.
PMID- 29796676
TI - "Turn on the Lights".
PMID- 29796675
TI - Strabismus Postoperative Instructions to Patients and Parents.
PMID- 29796677
TI - An Exciting Couple.
PMID- 29796678
TI - Avoid Performing Surgery on the Wrong Eye in Pediatric Ophthalmology.
PMID- 29796679
TI - Wrong-Site Surgery in Pediatric Ophthalmology.
AB - PURPOSE: To determine the prevalence of pediatric ophthalmologists who have
performed wrong-site surgery, propose risk factors leading to these errors, and
assess the effectiveness of the Universal Protocol in preventing them. METHODS:
Approximately 1,000 pediatric ophthalmology surgeons were invited to complete an
anonymous 10-question survey. Respondents were divided into two groups: those who
performed or attempted wrong-site surgery (wrong-site surgery group) and those
who had never performed a wrong-site surgery (intended surgical site group). The
risk factors (ie, marking procedure, years in practice, surgical experience,
adherence to the Universal Protocol time-out, and operating room factors) were
compared between groups. RESULTS: Of the 156 respondents, 56.4% never performed,
9% attempted, and 34.6% performed a wrong-site surgery. The use of any procedure
to mark the eye decreased the likelihood of a wrong-site surgery by 61% (odds
ratio [OR] = 0.39; P = .069). A lower likelihood of error occurred when a single
individual led the time-out and multiple individuals participated in checking the
accuracy of the time-out. Surgeons in practice for less than 15 years had a lower
likelihood of performing a wrong-site surgery (OR = 0.37; 95% confidence interval
[CI] = 0.19 to 0.72; P = .003). Factors not significantly associated with wrong
site surgeries were the number of surgeries performed per year (OR = 0.66; 95% CI
= 0.35 to 1.24; P = .20) and the number of operating rooms used. CONCLUSIONS: In
concordance with previous reports of other surgical specialties, self-reported
error in pediatric ophthalmology is not uncommon. This study highlighted
important practices that can be easily adopted by surgeons to decrease the
likelihood of wrong-site surgeries. First, marking the surgical site must be part
of the preoperative preparation. Second, a single designated individual should
lead the time-out and the surgeon should be directly involved in all steps of the
time-out process. Third, surgeons who have been in practice for more than 15
years may require additional safeguards to ensure that the correct surgery is
performed and to monitor their complacency. [J Pediatr Ophthalmol Strabismus.
2018;55(3):152-158.].
PMID- 29796681
TI - Sclopetaria Following Penetrating Orbital Arrow.
PMID- 29796680
TI - Comparison Study of Funduscopic Examination Using a Smartphone-Based Digital
Ophthalmoscope and the Direct Ophthalmoscope.
AB - PURPOSE: To assess the ease of use of the D-EYE digital ophthalmoscope (D-EYE
Srl, Padova, Italy) in retinal screening against the conventional direct
ophthalmoscope. The digital ophthalmoscope used comprised a smartphone equipped
with a D-EYE lens that produces digital retinal images. METHODS: Twenty-five
medical students were given 30 minutes of instruction regarding how to use a
direct ophthalmoscope and D-EYE digital ophthalmoscope by a pediatric
ophthalmologist. Afterwards, they used two methods to view the fundus under dim
light on two undilated volunteer participants under supervision of the pediatric
ophthalmologist. Each student had to describe their findings and show the video
taken from the smartphone to the pediatric ophthalmologist. Students also
completed a survey rating their experience using each method. RESULTS: Ninety-two
percent of the medical students preferred the D-EYE digital ophthalmoscope to the
direct ophthalmoscope. Students were also able to identify the optic nerve and
macula in a shorter amount of time and review the images to confirm their
findings. Overall, the medical students showed a strong preference for the D-EYE
digital ophthalmoscope that was statistically significant (P < .001).
CONCLUSIONS: The D-EYE digital ophthalmoscope is a practical device that could be
incorporated into medical education and clinical practice. Survey results
revealed that most students preferred the D-EYE digital ophthalmoscope due to the
recording features and larger image of the fundus. [J Pediatr Ophthalmol
Strabismus. 2018;55(3):201-206.].
PMID- 29796682
TI - Author's reply: Haematologist-reviewed peripheral blood smear in paediatric
practice.
PMID- 29796683
TI - Authors' reply: Medication-related osteonecrosis of the jaw in osteoporotic
patients: prevention and management.
PMID- 29796684
TI - Right hemicolectomy for acute appendicitis secondary to breast cancer metastases.
PMID- 29796685
TI - Epidemiology of paediatric poisoning presenting to a children's emergency
department in Singapore over a five-year period.
AB - INTRODUCTION: Paediatric poisoning accounts for 1% of daily emergency department
presentations. The aim of this study was to review the characteristics and
outcomes of paediatric patients who presented with drug overdose over a five-year
period. METHODS: We performed a retrospective review of paediatric poisoning
cases at KK Women's and Children's Hospital (KKH), the largest children's public
hospital in Singapore, from 1 January 2009 to 31 December 2013. RESULTS: A total
of 1,208 cases of poisoning were seen in KKH's Department of Children's Emergency
during the study period. The gender distribution was about equal, with a slight
male predominance. The majority of the poisoning cases were accidental. Slightly
more than half of the intentional ingestions were of paracetamol and the majority
were female patients belonging to the 12-16 year age group. The bulk of
poisonings occurred in children aged 1-4 via the oral route, slightly more than
half of the oral ingestions consisted of oral medications and a sizeable portion
were of household liquids. Mothballs and silica gels accounted for almost a
quarter of the solid household products ingested. Slightly less than half of the
patients required admission and only a small portion of the admitted patients
required intensive or high dependency care. CONCLUSION: The prognosis of
paediatric patients who presented with poisoning in our study was good, with a
short median length of stay for those admitted and no fatalities being reported
across the span of five years.
PMID- 29796687
TI - Author's reply: Cytomegalovirus (CMV) hepatitis: an uncommon complication of CMV
reactivation in drug reaction with eosinophilia and systemic symptoms.
PMID- 29796688
TI - Lymphomatoid Papulosis (LyP) Associated with Diffuse Large B-cell Lymphoma and
Cutaneous Anaplastic Large Cell Lymphoma: LyP as a Haematological Malignancy
related Dermadrome.
PMID- 29796689
TI - Epidemiological Analysis of Major Complications Requiring Medical Intervention in
Patients with Neurofibromatosis 1.
AB - Neurofibromatosis 1 has various complications. To elucidate the frequency of
neurofibromatosis 1-related major complications requiring medical intervention, a
nationwide retrospective study was conducted of 3,530 patients with
neurofibromatosis 1 registered from 2001 to 2014 in Japan. The ratio of certified
patients requiring medical intervention (>stage 3) was 82%. Patients classified
in the most severe grade experienced dermatological complications (71.8% of
patients), neurological complications (38.1%) and bone complications (33.3%). In
patients with dermatological manifestations, medical treatment was needed for
cutaneous neurofibromas (58%), diffuse plexiform neurofibromas (31%) and
malignant peripheral nerve sheath tumours (10%). Patients with neurological
manifestations needed medical treatment mainly for brain tumours (53%) and
intellectual disability (26%). Patients with bone manifestations needed medical
treatment for pseudoarthrosis (9%), scoliosis (55%) and bone defects (16%). It is
necessary for physicians to be aware of neurofibromatosis 1-related complications
requiring medical intervention in order to provide appropriate care for patients
with neurofibromatosis 1.
PMID- 29796686
TI - Traditional Chinese medicine: herb-drug interactions with aspirin.
AB - Traditional Chinese medicine (TCM)-based herbal therapies have gained increasing
popularity worldwide, raising concerns of its efficacy, safety profile and
potential interactions with Western medications. Antithrombotic agents are among
the most common prescription drugs involved in herb-drug interactions, and this
article focused on aspirin, one of the most widely used antiplatelet agents
worldwide. We discussed herbs that have potential interactions by exploring
Western and TCM approaches to thrombotic events. Common TCM indications for these
herbs were also highlighted, including possible scenarios of their concurrent
usage with aspirin. With greater awareness and understanding of potential herb
drug interactions, TCM and Western physicians may collaborate more closely to
identify, treat and, most importantly, prevent adverse drug events.
PMID- 29796690
TI - Desmoglein 4 Mutation Underlies Autosomal Recessive Keratosis Pilaris
Atrophicans.
PMID- 29796691
TI - Atopic Dermatitis Linked Cytokine Interleukin-31 Induced Itch Mediated via a
Neuropeptide Natriuretic Polypeptide B.
PMID- 29796692
TI - The impact of desorption kinetics from albumin on hepatic extraction efficiency
and hepatic clearance: a model study.
AB - Until now, the question whether slow desorption of compounds from transport
proteins like the plasma protein albumin can affect hepatic uptake and thereby
hepatic metabolism of these compounds has not yet been answered conclusively.
This work now combines recently published experimental desorption rate constants
with a liver model to address this question. For doing so, the used liver model
differentiates the bound compound in blood, the unbound compound in blood and the
compound within the hepatocytes as three well-stirred compartments. Our
calculations show that slow desorption kinetics from albumin can indeed limit
hepatic metabolism of a compound by decreasing hepatic extraction efficiency and
hepatic clearance. The extent of this decrease, however, depends not only on the
value of the desorption rate constant but also on how much of the compound is
bound to albumin in blood and how fast intrinsic metabolism of the compound in
the hepatocytes is. For strongly sorbing and sufficiently fast metabolized
compounds, our calculations revealed a twentyfold lower hepatic extraction
efficiency and hepatic clearance for the slowest known desorption rate constant
compared to the case when instantaneous equilibrium between bound and unbound
compound is assumed. The same desorption rate constant, however, has nearly no
effect on hepatic extraction efficiency and hepatic clearance of weakly sorbing
and slowly metabolized compounds. This work examines the relevance of desorption
kinetics in various example scenarios and provides the general approach needed to
quantify the effect of flow limitation, membrane permeability and desorption
kinetics on hepatic metabolism at the same time.
PMID- 29796693
TI - Neonatal Outcomes in Total Anomalous Pulmonary Venous Return: The Role of
Prenatal Diagnosis and Pulmonary Venous Obstruction.
AB - The objective of this study is to evaluate neonatal outcomes of total anomalous
pulmonary venous return (TAPVR) and identify fetal echocardiography findings
associated with preoperative pulmonary venous obstruction (PPVO). This
retrospective study evaluated TAPVR cases from 2005 to 2014 for preoperative and
postoperative outcomes based on prenatal diagnosis, PPVO, and heterotaxy
syndrome. Fetal pulmonary and vertical vein Dopplers were analyzed as predictors
of PPVO. Of 137 TAPVR cases, 12% were prenatally diagnosed; 60% had PPVO, and 21%
had heterotaxy. Of the prenatally diagnosed patients, 63% also had heterotaxy.
TAPVR repair was performed in 135 cases and survival to discharge was 82%
(112/137). Heterotaxy was the only independent predictor of mortality on multiple
regression analysis [OR 5.5 (CI 1.3-16.7), p = 0.02]. PPVO was associated with
preoperative acidosis, need for inhaled nitric oxide, and more emergent surgery,
but not postoperative mortality. Fetal vertical vein Doppler peak velocity > 0.74
m/s mmHg predicted PPVO (93% sensitivity; 83% specificity) while pulmonary vein
Doppler did not. TAPVR has severe neonatal morbidity and mortality with low
prenatal diagnosis rates in the absence of heterotaxy. Patients with obstructed
TAPVR had greater preoperative morbidity, but only heterotaxy was independently
associated with increased postoperative mortality. Vertical vein velocity helped
prenatally identify those at risk of PPVO.
PMID- 29796694
TI - Local recurrence of breast cancer: conventionally fractionated partial external
beam re-irradiation with curative intention.
AB - PURPOSE: To assess the outcome of breast cancer patients with local recurrence
who underwent partial external beam re-irradiation (re-RT) either as part of a
second breast-conserving therapy or following mastectomy. METHODS: Between
03/2004 and 10/2016, 83 breast cancer patients with local recurrence were treated
with surgery followed by re-RT. The re-RT schedules were 45 Gy (1.8 Gy per
fraction) administered either to the partial breast (n = 42) or mastectomy scar
(n = 41). The patients and tumor characteristics predictive of local control,
distant control, and survival (overall and breast-cancer specific) were evaluated
by univariate and multivariate analyses. RESULTS: The median follow-up was 35
months (range 3-143 months). The median time interval between the first
irradiation and re-RT was 117 months (range 16-357 months). The prognostic
factors for favorable overall survival rates were younger age (p = 0.045), lower
T-category (p = 0.019), and N0 category (p = 0.005). N0 was also superior to N+
with respect to outfield recurrences (p = <0.001) and breast cancer-specific
survival (p = 0.025). Acute and late skin toxicity was generally low (70% retained drug over 8 h
incubation in PBS at pH 7.4. Pharmacokinetic studies demonstrated that Cu(OI)2-L
had a prolonged circulation time and increased AUC when compared to the injection
of copper oleate solution. The antitumor efficacy test demonstrated an enhanced
tumor inhibition rate with the treatment of Cu(OI)2-L and DSF nanoparticles,
indicating an improved synergistic antitumor effect. CONCLUSIONS: The Cu(OI)2-L
was suitable to be employed in combination with disulfiram for tumor treatment
and can also open up opportunities for targeted delivery of copper.
PMID- 29796712
TI - Right Versus Left Colon Cancer: Resectable and Metastatic Disease.
AB - OPINION STATEMENT: Colorectal cancer does not represent a single anatomic entity
and side of origin has a key impact on prognosis and response to different
systemic therapies. Compared to tumours arising in left colon, right colorectal
cancers rely on the activation of different molecular pathways (e.g. BRAF
mutation and MSI status). From a clinical point of view, this results in a
different response to anti-EGFR agents. Current guidelines suggest the use of
cetuximab or panitumumab in RAS wild-type disease and left colon cancer
especially for cytoreduction/conversion purposes, since the expected benefit in
right colon cancer is absent or clinically modest. The prognostic role of
microbiota in colorectal cancer disease deserves more clarification before being
considered in common clinical practice. Screening policies could also be affected
by these new acquisitions. At the moment, sidedness should be considered as a
strong prognostic variable and a surrogate predictor of different activity of
anti-EGFR agents in the metastatic setting. Its role in early stages of resected
disease is still uncertain.
PMID- 29796714
TI - Nonequilibrium processes in meta-stable media?.
AB - Meta-stable systems are those staying in the local equilibrium state: being
slightly deviated from it they return to the equilibrium, but in case deviation
surpasses a critical value those systems fall down to another equilibrium state.
Chemically reacting gaseous mixture provides a typical example of a meta-stable
system. The paper is aimed at numerical and experimental investigation of
detonation initiation in hydrogen-air mixtures due to focusing of a shock wave
reflected inside a wedge. Both numerical and experimental investigations were
conducted. Comparison of numerical and experimental results made it possible to
validate the developed 3D transient mathematical model of chemically reacting gas
mixture flows incorporating hydrogen-air mixtures. Kinetic schemes and turbulence
models were improved based on comparison of numerical and experimental results.
Several different flow scenarios manifest in the reflection of shock waves all
being dependent on the incident shock wave intensity: reflection of the shock
wave with lagging behind the combustion zone, formation of a detonation wave in
reflection and focusing, and intermediate transient regimes.
PMID- 29796715
TI - Sickle Cell Trait and Sudden Death.
AB - Sickle cell trait has long been considered a benign condition but continues to be
the leading cause of death in young African Americans in military basic training
and civilian organized sports. There continues to be a great deal of controversy
surrounding sickle cell trait and its association with exercise-related morbidity
and sudden death. Even though sickle cell trait has a high prevalence among
African Americans, many clinicians believe the potential adverse consequences
should have been mitigated by actions such as universal screening in the USA at
birth for sickle hemoglobin, National Collegiate Athletic Association (NCAA) rule
changes, and changes in the US Military boot camp system. Sudden death due to
periods of extreme physical exertion continues to occur in individuals with
sickle cell trait.
PMID- 29796716
TI - Synthesis and anticancer evaluation of some novel pyrimido[5,4-e][1,2,4]triazines
and pyrazolo[3,4-d]pyrimidine using DMF-DMA as methylating and cyclizing agent.
AB - BACKGROUND: Described a series of main target compounds pyrimido[5,4
e][1,2,4]triazines is obtained via condensation of 6-hydrazinyluracil with
different aromatic aldehydes to give the hydrazones followed by nitrosation with
HNO2 then intramolecular cyclization. On the other hand, pyrazolopyrimidines can
be obtained by the reaction of hydrazones with dimethylformamide-dimethylacetal
(DMF-DMA), DMF-DMA in the presence of DMF or by refluxing the hydrazinyluracil
with DMF-DMA in the presence of DMF directly. The newly synthesized compounds are
evaluated in vitro for their anticancer activity against human lung carcinoma
(A549). RESULTS: A newly substituted compounds of benzaldehyde-pyrimidin-4
yl)hydrazones (5a-f), pyrimido[5,4-e][1,2,4]triazines 6a-e,
arylethylidenehydrazinylpyrimidine 7a,b and pyrazolopyrimidines 9,11 are screened
for cytotoxic activity against human lung carcinoma (A549) cell line. They
exhibited a good yield. Compound 6b shows the highest effect with IC50 value 3.6
MUM, followed by compounds 9, 5a, 8, 5e, 6e, 5b, 5f, 7a, 5c, 6c, 7b, 6a, 11, 5d
and 6d. CONCLUSION: A simple and efficient route is used for the synthesis of
pyrimido[5,4-e][1,2,4]triazines and pyrazolopyrimidines. The synthesized
compounds are screened for antitumor activity.
PMID- 29796717
TI - The Sleep Disorder in Anti-lgLON5 Disease.
AB - PURPOSE OF REVIEW: To review the clinical and polysomnographic features of the
sleep disorder occurring in the recently described anti-IgLON5 disease. The
hallmark of the disease is the presence of antibodies against IgLON5, a neural
cell adhesion molecule of unknown function. The disease presents a robust HLA
association, and the neuropathological examination shows a novel neuronal
tauopathy with predominant hypothalamic and brainstem involvement. RECENT
FINDINGS: Most patients (> 80%) present sleep-related vocalizations with
movements and behaviors and sleep-disordered breathing. Polysomnographic studies
show (1) a complex NREM sleep parasomnia at sleep initiation characterized by
undifferentiated NREM or poorly structured N2 sleep with sleep-talking or
mumbling, and simple or finalistic movements followed by normal periods of N3 or
N2 NREM sleep, (2) REM sleep behavior disorder (RBD), and (3) obstructive sleep
apnea with stridor. The last two features appear mainly in periods where NREM
sleep normalizes. Identification of the anti-IgLON5 sleep disorder is important
to suspect the disease. The combination of abnormal NREM sleep initiation,
followed by normal periods of NREM sleep and RBD, represents a novel parasomnia.
PMID- 29796718
TI - Monogamous Halo Effects: The Stigma of Non-Monogamy within Collective Sex
Environments.
PMID- 29796719
TI - Emerging Rodent-Borne Viral Zoonoses in Trento, Italy.
AB - Rodent-borne hanta- and arenaviruses are an emerging public health threat in
Europe; however, their circulation in human populations is usually underestimated
since most infections are asymptomatic. Compared to other European countries,
Italy is considered 'low risk' for these viruses, yet in the Province of Trento,
two pathogenic hantaviruses (Puumala and Dobrava-Belgrade virus) and one
arenavirus (Lymphocytic Choriomeningitis Virus) are known to circulate in rodent
reservoirs. In this paper, we performed a follow-up serological screening in
humans to detect variation in the prevalence of these three viruses compared to
previous analyses carried out in 2002. We also used a statistical model to link
seropositivity to risk factors such as occupational exposure, cutting firewood,
hunting, collecting mushrooms, having a garden and owning a woodshed, a dog or a
companion rodent. We demonstrate a significant increase in the seroprevalence of
all three target viruses between 2002 and 2015, but no risk factors that we
considered were significantly correlated with this increase. We conclude that the
general exposure of residents in the Alps to these viruses has probably increased
during the last decade. These results provide an early warning to public health
authorities, and we suggest more detailed diagnostic and clinical investigations
on suspected cases.
PMID- 29796721
TI - The emergence and pitfalls of international tissue banking.
AB - The rapid growth of tissue banking and associated international organisations
following the fall of the Berlin wall in 1991 is described. This surge in
collaboration led to a world-wide constructive movement to use and to produce
human tissues. As the years progressed industrialisation, led by the USA,
improved the quality of tissue allografts but led higher costs and consolidation
within the developing industry. The growth of litigation more than kept pace with
the industrial progress. One landmark case is described, the outcome of which
could revolutionise the current practices now applied to eliminate possible viral
contamination of implanted tissue grafts.
PMID- 29796720
TI - Social information in equine movement gestalts.
AB - One model of signal evolution is based on the notion that behaviours become
increasingly detached from their original biological functions to obtain a
communicative value. Selection may not always favour the evolution of such
transitions, for instance, if signalling is costly due to predators usurping
signal production. Here, we collected inertial movement sensing data recorded
from multiple locations in free-ranging horses (Equus caballus), which we
subjected to a machine learning algorithm to extract kinematic gestalt profiles.
This yielded surprisingly rich and multi-layered sets of information. In
particular, we were able to discriminate identity, breed, sex and some
personality traits from the overall movement patterns of freely moving subjects.
Our study suggests that, by attending to movement gestalts, domestic horses, and
probably many other group-living animals, have access to rich social information
passively but reliably made available by conspecifics, a finding that we discuss
in relation with current signal evolution theories.
PMID- 29796722
TI - Acute ecotoxicity bioassay using Dendrocephalus brasiliensis: alternative test
species for monitoring of contaminants in tropical and subtropical freshwaters.
AB - In International guidelines for standard ecotoxicological bioassays, Daphnia
magna is the most applied microcrustacea for assessing toxicity of different
pollutants. However, in research realized in tropical and subtropical areas,
autochthonous species must be prioritized because they are adapted to the
specificities of ecosystems. In this sense, the present study aimed to assess and
compare (with D. magna) the sensitivity of the tropical species Dendrocephalus
brasiliensis as alternative test species for monitoring of contaminants in
tropical and subtropical freshwaters, by carrying out acute toxicity tests with
different pollutants. According results, D. brasiliensis presented EC50-48 h
values lower than D. magna for all substances tested, indicating higher
sensitivity of the tropical organism in relation to the temperate organism.
Furthermore, comparing the results obtained with data from other studies, D.
brasiliensis is more sensitive to the chemicals tested than D. magna and has
similar sensitivity to Pseudosida ramosa and Ceriodaphnia dubia, common species
in tropical areas. In view of this, we suggest that D. brasiliensis can be used
as alternative test species for monitoring of contaminants in tropical and
subtropical freshwaters.
PMID- 29796723
TI - Impact of surgery and radiation therapy on spinal high-grade gliomas: a
population-based study.
AB - INTRODUCTION: Spinal high-grade gliomas (S-HGGs) is an extremely rare entity in
the literature, with only sporadic cases reported. We aim to characterize
prognostic factors for post-treatment survival using the SEER database. METHODS:
We examined all patients with gliomas located in the spinal cord. WHO-grade was
first determined by site-specific factor-1 (WHO-grade), then supplemented by
direct review of ICD-O-3 histology. Only grades 3 and 4 were included in this
study. Multivariable Cox regression analysis was performed. RESULTS: A total of
158 high-grade spinal cord gliomas were included. Mean age at diagnosis was 36.88
years with 52.8% male. Median survival of all patients was 20 months. A stepwise
Akaike information criterion was performed for multivariable Cox regression, with
forced inclusion of surgery extent and postoperative radiation therapy (RT). The
final model selection added tumor size in addition to these two variables. Tumor
size was not related to survival in our study. The extend of surgery had no
significant impact on survival of patients, whereas postoperative RT is
associated with prolonged survival (HR = 0.55, CI [0.33, 0.93], p = 0.026).
CONCLUSION: S-HGGs are rare tumors with aggressive course of disease. We have
found that overall median survival of S-HGGs is poor at 24 months, and no
demographic or tumor-related factors have been confirmed. Extend of surgery is
not associated with improved survival after adjusting for postoperative RT.
Postoperative RT is the only factor in our study associated with prolonged
survival in S-HGGs.
PMID- 29796725
TI - Ultrahigh-Field Quantitative MR Microscopy of the Chicken Eye In Vivo Throughout
the In Ovo Period.
AB - PURPOSE: Ultrahigh-field MRI (UHF-MRI) with an in-plane spatial resolution of
less than 100 MUm is known as MR microscopy (MRM). MRM provides highly resolved
anatomical images and allows quantitative assessment of different tissue types
using diffusion-weighted imaging (DWI). The aim of the present study was to
evaluate the feasibility of combined in vivo anatomical and quantitative
assessment of the developing chicken eye in ovo. PROCEDURES: Thirty-eight
fertilized chicken eggs were examined at 7.1 T (ClinScan, Bruker Biospin,
Germany) acquiring a dataset comprising T2-weighted anatomical images, DWI, and
diffusion tensor imaging. To reduce motion artifacts, the eggs were moderately
cooled before and during MR imaging. Two eggs were imaged daily for the entire
developmental period, and 36 eggs were examined pairwise at only one time point
of the embryonic period. Development of the eye was anatomically and
quantitatively assessed. RESULTS: From the D5 embryonic stage (116-124 h), MRM
allowed differentiation between lens and vitreous body. The lens core and
periphery were first identified at D9. DWI allowed quantification of lens
maturation based on a significant decrease in apparent diffusion coefficient
values and course of fractional anisotropy. Repeated moderate cooling had no
influence on the development of the chicken embryo. CONCLUSIONS: MRM allows in
vivo assessment of embryonic development of the chicken eye in ovo without
affecting normal development. The method provides anatomical information
supplemented by quantitative evaluation of lens development using DWI. With
increasing availability of ultrahigh-field MR systems, this technique may provide
a noninvasive complementary tool in the field of experimental ophthalmology.
PMID- 29796724
TI - The clinical importance of medulloblastoma extent of resection: a systematic
review.
AB - BACKGROUND: Although the majority of current medulloblastoma adjuvant therapy
protocols treat patients with >= 1.5 cm2 residual tumor as high risk with
increased craniospinal irradiation, the true prognostic significance of extent of
resection (EOR) in medulloblastoma is unknown. OBJECTIVES: We sought to
synthesize the body of literature on EOR and survival to determine if a
definitive association exists. DATA SOURCES/ELIGIBILITY CRITERIA: A PubMed search
was conducted for the terms "medulloblastoma" combined with "extent of
resection," "overall survival," "progression free survival," "gross total
resection," "near total resection," "partial resection," or "subtotal resection."
Studies that performed a statistical analysis of EOR and survival were included.
RESULTS: Sixteen articles including 1489 patients found a statistically
significant association between EOR and survival, 20 articles including 2335
patients did not find a significant association between EOR and survival, and 14
articles including 2950 patients had mixed results. The three articles that
accounted for molecular subgroup found varying associations between EOR and
progression free survival, while no association was found between EOR and overall
survival. LIMITATIONS: This review is limited by inconsistent definitions of EOR,
the retrospective nature of the articles analyzed, and infrequent use of
multivariate statistical analyses. CONCLUSIONS: The prognostic importance of EOR
for medulloblastoma is unclear and warrants re-evaluation, particularly in the
context of molecular subgrouping.
PMID- 29796726
TI - Management of Myelofibrosis-Related Cytopenias.
AB - PURPOSE OF REVIEW: Cytopenias, particularly anemia, are frequently encountered in
patients with myelofibrosis. Management of cytopenias in myelofibrosis can be
very challenging because current therapeutic interventions are only of modest
efficacy and ruxolitinib, the only approved drug for myelofibrosis, is
myelosuppressive. Yet, dose optimization of ruxolitinib is important for its
survival benefit in patients with advanced disease. We sought to summarize the
data on treatments for cytopenias available at present and review promising
agents in development and emerging strategies. RECENT FINDINGS: The activin
receptor ligand traps hold considerable promise for the treatment of anemia and
could represent an attractive combination strategy with ruxolitinib. Low-dose
thalidomide, which could offset both anemia and thrombocytopenia caused by
ruxolitinib, represents another potential partner for ruxolitinib. The anti
fibrotic agent PRM-151 produced sustained improvements in cytopenias in some
patients, and further data on this drug are eagerly awaited. Finally, several
preclinical leads with translational potential are worthy of clinical
investigation as strategies to halt/reverse bone marrow fibrosis and thereby
improve cytopenias. Cytopenias remain a significant hurdle in myelofibrosis
management, but several novel investigational agents hold considerable promise
for the future.
PMID- 29796727
TI - Impact of Vial Washing and Depyrogenation on Surface Properties and Delamination
Risk of Glass Vials.
AB - PURPOSE: The proper understanding of glass delamination is important to glass
manufacturers, pharmaceutical companies, and health authorities to mitigate the
occurrence of glass flakes from the vial when in contact with specific drug
product solutions. The surface of glass vials is altered during glass cane- and
vial forming processes and is exposed to different stress conditions during drug
product processing before coming in contact with the drug product solution. In
this study, the impact of vial washing and depyrogenation including an evaluation
of various residual water volumes on surface properties of glass vials was
investigated for a defined set of vials. METHODS: 3D laser scanning microscopy
was established as a new method for topographic analysis of curved surfaces of
glass vials operating in high-throughput mode. A subset of vials was subsequently
exposed to delamination stress testing and both the stressed solution and inner
vial surface were analyzed by a panel of conventional and advanced analytical
techniques including 3D laser scanning microscopy. RESULTS: The data showed that
vial washing and depyrogenation strongly influenced surface properties, in
particular those of uncoated vials. Surface characteristics such as pits
increased depending on the process conditions, which especially applies to
Expansion 33 vials. Even low residual water volumes of 50 MUL after vial washing
were sufficient to change the surface properties of the glass and weaken the
surface in those positions prone to glass delamination. An increase in pits was
related to a greater risk for glass delamination. CONCLUSIONS: Vial processing
conditions need to be assessed when aiming at minimizing the glass delamination
risk during parenteral product storage.
PMID- 29796728
TI - Genetic study of eight Egyptian patients with pycnodysostosis: identification of
novel CTSK mutations and founder effect.
AB - : This is the first Egyptian study with detailed clinical and orodental
evaluation of eight patients with pycnodysostosis and identification of four
mutations in CTSK gene with two novel ones and a founder effect. INTRODUCTION:
Pycnodysostosis is a rare autosomal recessive skeletal dysplasia due to mutations
in the CTSK gene encoding for cathepsin K, a lysosomal cysteine protease.
METHODS: We report on the clinical, orodental, radiological, and molecular
findings of eight patients, from seven unrelated Egyptian families with
pycnodysostosis. RESULTS: All patients were offspring of consanguineous parents
and presented with the typical clinical picture of the disorder including short
stature, delayed closure of fontanels, hypoplastic premaxilla, obtuse mandibular
angle, and drum stick terminal phalanges with dysplastic nails. Their
radiological findings showed increased bone density, acro-osteolysis, and open
cranial sutures. Mutational analysis of CTSK gene revealed four distinct
homozygous missense mutations including two novel ones, c.164A>C (p. K55T) and
c.433G>A (p.V145M). The c.164A>C (p. K55T) mutation was recurrent in three
unrelated patients who also shared similar haplotype, suggesting a founder
effect. CONCLUSION: Our findings expand the mutational spectrum of CTSK gene and
emphasize the importance of full clinical examination of all body systems
including thorough orodental evaluation in patients with pycnodysostosis.
PMID- 29796729
TI - Analysis of Potential Alterations Affecting SETBP1 as a Novel Contributing
Mechanism to Inhibit PP2A in Colorectal Cancer Patients.
AB - BACKGROUND: The functional loss of the tumor suppressor protein phosphatase 2A
(PP2A) occurs in a wide variety of human cancers including colorectal cancer
(CRC), and SET overexpression has been reported as a key contributing mechanism
to inhibit PP2A. Although SET binding protein 1 (SETBP1) overexpression and gain
of function mutations have been described in several hematological malignancies
as common events that increase the expression levels of the PP2A inhibitor SET,
thereby leading to PP2A inactivation, the potential existence of SETBP1
alterations in CRC still remains unexplored. METHODS: We studied the expression
profile of SETBP1 by Western blot in a set of CRC cell lines and patient samples.
Moreover, we performed co-immunoprecipitation assays to analyze the formation of
the previously reported SETBP1-SET-PP2A inhibitory complex. Furthermore, we
evaluated the mutational status of SETBP1 by pyrosequencing assays in a cohort of
55 CRC patients with metastatic disease after the immunohistochemical
characterization of SET and p-PP2A expression in this cohort. RESULTS: We found
high SETBP1 expression in several CRC lines but only in two of the patients
analyzed. In addition, we demonstrated the formation of the SETBP1-SET-PP2A
heterotrimeric complex in CRC cells. However, we failed to detect SETBP1
mutations in any of the CRC patient samples included in the study. CONCLUSIONS:
Our results suggest that SETBP1 expression is mainly similar o lower in
colorectal cancer tissue compared to normal colonic mucosa. However, its
overexpression is a low prevalent alteration which could contribute to inhibit
PP2A in CRC through the formation of a SETBP1-SET-PP2A complex in some CRC
patients. Moreover, SETBP1 mutations are, if exist, rare events in CRC patients.
PMID- 29796730
TI - Simulation of the mechanical behavior of random fiber networks with different
microstructure.
AB - Filamentous protein networks are broadly encountered in biological systems such
as cytoskeleton and extracellular matrix. Many numerical studies have been
conducted to better understand the fundamental mechanisms behind the striking
mechanical properties of these networks. In most of these previous numerical
models, the Mikado algorithm has been used to represent the network
microstructure. Here, a different algorithm is used to create random fiber
networks in order to investigate possible roles of architecture on the elastic
behavior of filamentous networks. In particular, random fibrous structures are
generated from the growth of individual fibers from random nucleation points. We
use computer simulations to determine the mechanical behavior of these networks
in terms of their model parameters. The findings are presented and discussed
along with the response of Mikado fiber networks. We demonstrate that these
alternative networks and Mikado networks show a qualitatively similar response.
Nevertheless, the overall elasticity of Mikado networks is stiffer compared to
that of the networks created using the alternative algorithm. We describe the
effective elasticity of both network types as a function of their line density
and of the material properties of the filaments. We also characterize the ratio
of bending and axial energy and discuss the behavior of these networks in terms
of their fiber density distribution and coordination number.
PMID- 29796732
TI - Increased nitroglycerin-mediated vasodilation in migraineurs without aura in the
interictal period.
AB - PURPOSE: Migraine is associated with vascular disorders, but the underlying
mechanism is unknown. Nitric oxide (NO) sensitivity is believed to play a major
role in migraine pathophysiology. We investigated flow-mediated vasodilatation
(FMD) and nitroglycerin-mediated vasodilatation (NMD) of the brachial artery by
means of a key molecular mediator, NO, in patients with migraine without aura in
the interictal period whether the abnormality is found. METHODS: A total of 12
patients with migraine without aura and 12 matched healthy controls were enrolled
in this study. FMD and NMD were measured in all patients and controls using
brachial artery ultrasonography. RESULTS: There was no significant difference in
brachial artery diameter between migraineurs and nonmigraineurs (3.39 +/- 0.68 vs
3.89 +/- 0.67 mm, respectively; p = 0.083). A significant difference in FMD was
not found between migraineurs and nonmigraineurs (6.94 +/- 5.72 vs 6.08 +/-
2.98%, respectively; p = 0.651). However, NMD in migraineurs was significant
higher than that in nonmigraineurs (21.56 +/- 7.36 vs 14.23 +/- 7.41%,
respectively; p = 0.024). CONCLUSION: We think that patients with migraine
without aura in the interictal period have selective sensitivity in dilator
response to nitroglycerin and may have systemic NO sensitivity.
PMID- 29796733
TI - A refractory hypertensive patient with fibromuscular dysplasia and multicystic
dysplastic kidney.
AB - The case of a 16-year-old male patient with left renal artery stenosis due to
fibromuscular dysplasia (FMD) and an atrophic kidney due to a right multicystic
dysplastic kidney (MCDK) who presented with refractory hypertension is reported.
On continuous Doppler imaging, the peak systolic velocity (PSV) at the stenotic
site of the left renal artery was 404 cm/s. The FMD formed a "string-of-beads"
appearance on computed tomographic angiography (CTA) and renal artery
angiography. Percutaneous transluminal renal angioplasty (PTRA) with a balloon
catheter was performed, after which the left renal artery returned to near
normal, and his blood pressure decreased to within the normal range. In this
case, the main cause of refractory hypertension was considered to have been renal
artery stenosis due to left FMD.
PMID- 29796731
TI - Sexual activity after spine surgery: a systematic review.
AB - INTRODUCTION: Sexual function is an important determinant of quality of life, and
factors such as surgical approach, performance of fusion, neurological function
and residual pain can affect it after spine surgery. Our aim was to perform a
systematic review to collate evidence regarding the impact of spine surgery on
sexual function. METHODS: A systematic review of studies reporting measures of
sexual function, and incidence of adverse sexual outcomes (retrograde
ejaculation) after major spine surgery was done, regardless of spinal location.
Pubmed (MEDLINE) and Google Scholar databases were queried using the following
search words "Sex", "Sex life", "Sexual function", "Sexual activity", "retrograde
ejaculation", "Spine", "Spine surgery", "Lumbar surgery", "Lumbar fusion",
"cervical spine", "cervical fusion", "Spinal deformity", "scoliosis" and
"Decompression". All articles published between 1997 and 2017 were retrieved from
the database. A total of 81 studies were included in the final review. RESULTS:
Majority of the studies were retrospective case series and were low quality
(Level IV) in evidence. Anterior lumbar approaches were associated with a higher
incidence of retrograde ejaculation, especially with the utilization of
transperitoneal laparoscopic approach. There is inconclusive evidence on the
preferred sexual position following fusion, and also on the impact of BMP-2 usage
on retrograde ejaculation/sexual dysfunction. CONCLUSION: Despite limited
evidence from high-quality articles, there is a general trend towards improvement
of sexual activity and function after spine surgery. Future studies incorporating
specific assessments of sexual activity will be required to address this
important determinant of quality of life so that appropriate pre-operative
counselling can be done by providers. These slides can be retrieved under
Electronic Supplementary Material.
PMID- 29796734
TI - Water bicarbonate modulates the response of the shore crab Carcinus maenas to
ocean acidification.
AB - Ocean acidification causes an accumulation of CO2 in marine organisms and leads
to shifts in acid-base parameters. Acid-base regulation in gill breathers
involves a net increase of internal bicarbonate levels through transmembrane ion
exchange with the surrounding water. Successful maintenance of body fluid pH
depends on the functional capacity of ion-exchange mechanisms and associated
energy budget. For a detailed understanding of the dependence of acid-base
regulation on water parameters, we investigated the physiological responses of
the shore crab Carcinus maenas to 4 weeks of ocean acidification [OA, P(CO2)w =
1800 uatm], at variable water bicarbonate levels, paralleled by changes in water
pH. Cardiovascular performance was determined together with extra-(pHe) and
intracellular pH (pHi), oxygen consumption, haemolymph CO2 parameters, and ion
composition. High water P(CO2) caused haemolymph P(CO2) to rise, but pHe and pHi
remained constant due to increased haemolymph and cellular [HCO3-]. This process
was effective even under reduced seawater pH and bicarbonate concentrations.
While extracellular cation concentrations increased throughout, anion levels
remained constant or decreased. Despite similar levels of haemolymph pH and ion
concentrations under OA, metabolic rates, and haemolymph flow were significantly
depressed by 40 and 30%, respectively, when OA was combined with reduced seawater
[HCO3-] and pH. Our findings suggest an influence of water bicarbonate levels on
metabolic rates as well as on correlations between blood flow and pHe. This
previously unknown phenomenon should direct attention to pathways of acid-base
regulation and their potential feedback on whole-animal energy demand, in
relation with changing seawater carbonate parameters.
PMID- 29796735
TI - Development and Evaluation of a Reverse-Entry Ion Source Orbitrap Mass
Spectrometer.
AB - As a step towards development of a high-resolution ion mobility mass spectrometer
using the orbitrap mass analyzer platform, we describe herein a novel reverse
entry ion source (REIS) coupled to the higher-energy C-trap dissociation (HCD)
cell of an orbitrap mass spectrometer with extended mass range. Development of
the REIS is a first step in the development of a drift tube ion mobility-orbitrap
MS. The REIS approach retains the functionality of the commercial instrument ion
source which permits the uninterrupted use of the instrument during development
as well as performance comparisons between the two ion sources. Ubiquitin (8.5
kDa) and lipid binding to the ammonia transport channel (AmtB, 126 kDa) protein
complex were used as model soluble and membrane proteins, respectively, to
evaluate the performance of the REIS instrument. Mass resolution obtained with
the REIS is comparable to that obtained using the commercial ion source. The
charge state distributions for ubiquitin and AmtB obtained on the REIS are in
agreement with previous studies which suggests that the REIS-orbitrap EMR retains
native structure in the gas phase. Graphical Abstract ?.
PMID- 29796736
TI - Absolute Quantitation of Glycoforms of Two Human IgG Subclasses Using Synthetic
Fc Peptides and Glycopeptides.
AB - Immunoglobulins, such as immunoglobulin G (IgG), are of prime importance in the
immune system. Polyclonal human IgG comprises four subclasses, of which IgG1 and
IgG2 are the most abundant in healthy individuals. In an effort to develop an
absolute MALDI-ToF-MS quantitative method for these subclasses and their Fc N
glycoforms, (glyco)peptides were synthesized using a solid-phase approach and
used as internal standards. Tryptic digest glycopeptides from monoclonal IgG1 and
IgG2 samples were first quantified using EEQYN(GlcNAc)STYR and EEQFN(GlcNAc)STFR
standards, respectively. For IgG1, a similar glycopeptide where tyrosine (Y) was
isotopically labelled was used to quantify monoclonal IgG1 that had been treated
with the enzyme Endo-F2, i.e., yielding tryptic glycopeptide EEQYN(GlcNAc)STYR.
The next step was to quantify single subclasses within polyclonal human IgG
samples. Although ion abundances in the MALDI spectra often showed higher signals
for IgG2 than IgG1, depending on the spotting solvent used, determination of
amounts using the newly developed quantitative method allowed to obtain accurate
concentrations where IgG1 species were predominant. It was observed that
simultaneous analysis of IgG1 and IgG2 yielded non-quantitative results and that
more success was obtained when subclasses were quantified one by one. More
experiments served to assess the respective extraction and ionization
efficiencies of EEQYNSTYR/EEQFNSTFR and EEQYN(GlcNAc)STYR/EEQFN(GlcNAc)STFR
mixtures under different solvent and concentration conditions. Graphical Abstract
?.
PMID- 29796737
TI - Mefenamic Acid Attenuates Chronic Alcohol Induced Cognitive Impairment in
Zebrafish: Possible Role of Cholinergic Pathway.
AB - Based on the scientific evidence supporting the neuroinflammatory response
contributes the cognitive impairment associated with chronic alcoholism and the
neuroprotective actions of mefenamic acid with reversal of memory loss and brain
inflammation in mice, this study was designed to evaluate the effect of mefenamic
acid against chronic alcohol induced cognitive impairment in zebrafish model.
Zebrafish were grouped and subjected to normal behavioral analysis in light-dark
chamber for 10 days. The preference to dark compartment was noted in zebrafish.
Zebrafish were grouped and exposed to escalating doses of alcohol for 28 days
with and without mefenamic acid exposure (100 and 200 ug/L) and subjected to a
fear conditioning passive avoidance task from day 13 of 28. The cognitive
evaluation was performed for 10 days and the brain tissue was isolated to
estimate acetylcholinesterase activity. In cognitive evaluation study, the normal
zebrafish retained the memory of the learned task and avoided the dark. The
alcohol exposed zebrafish showed impairment in retaining the memory of learned
task. Mefenamic acid exposed zebrafish showed a significant protection against
cognitive impairment caused by alcohol and retained the memory of learned task
with a significant decrease in AChE activity in brain homogenate compared to
alcohol exposed zebrafish. The results of this study suggest that the memory
enhancing activity of mefenamic acid might be due to activation of cholinergic
transmission that has protected neuroinflammatory and neurodegenerative
conditions caused by alcohol.
PMID- 29796738
TI - HBV molecular epidemiology and clinical condition of immigrants living in Italy.
AB - INTRODUCTION: We investigated 170 HBsAg-positive immigrants living in Italy for 1
7 years to ascertain whether they may have become infected in the host country.
METHODS: Of 2032 adult immigrants interviewed, 1727 (85%) voluntarily adhered to
a screening program for bloodborne or sexually transmitted infections. HBsAg was
detected in 170 (9.8%) screened immigrants who completed the diagnostic, clinical
and therapeutic process at the nearest clinic of infectious diseases. HBV
molecular biology was performed applying a homemade technology. Phylogenetic
signal of the datasets was obtained by a likelihood-mapping analysis using
TreePuzzle. RESULTS: Of the 170 HBsAg-positive immigrants, 133 were inactive
carriers, 29 had chronic hepatitis and 8 compensated cirrhosis. HBV genotype was
identified in 109 of the 113 HBV-DNA-positive immigrants and HBV-genotype-E
predominated (68.9%). Of these 109, 6 (5.5%) subjects showed an HBV genotype
absent or extremely rare in their native country: HBV-genotype-E in three from
Eastern Europe and in one from Sri Lanka, possibly acquired from other immigrants
from sub-Saharan countries, HBV-genotype-D1 in one from Burkina Faso and one from
Senegal, possibly acquired in Italy. CONCLUSION: The data suggest that immigrants
may acquire HBV infection in Italy and, therefore, HBV vaccination programs
should be extended to all immigrants living in Italy.
PMID- 29796741
TI - Comparative study of primary and secondary closure of the surgical wound after
removal of impacted mandibular third molars.
AB - AIM: Aim of the study is to compare the primary and secondary healing after
surgical removal of impacted mandibular third molars, in terms of swelling,
severity of pain, trismus, and periodontal healing between two types of closure.
MATERIALS AND METHODS: A total of 60 patients, divided into two groups randomly:
group A, with 30 patients in which primary closure was done; group B, with 30
patients in which secondary closure was done. A comparison between two groups was
done in terms of postoperative pain, swelling, trismus at first, third, and
seventh postoperative days, and periodontal healing near adjacent second molar
after 6 months. RESULTS: The swelling and pain in group A were greater than that
in group B, with a statistically significant difference (p < 0.05). Mouth opening
is greater in group B compared to group A. There is no significant difference in
periodontal healing in between two groups after 6 months. Complication like
alveolar osteitis was noted in 1 patient (3.3%) in group B. CONCLUSION: We
conclude that secondary closure was better than primary closure in terms of
postoperative pain, swelling, and trismus. Irrespective of any closure technique,
there is no difference in terms of periodontal healing.
PMID- 29796739
TI - Antibiotic stewardship and horizontal infection control are more effective than
screening, isolation and eradication.
AB - PURPOSE: The global rise of multidrug resistant organisms (MDROs) is of major
concern since infections by these pathogens are difficult, and in some cases,
even impossible to treat. This review will discuss the effectiveness of a
pathogen-independent alternative approach consisting of the implementation of
antibiotic stewardship (ABS) programs, improvement of hand hygiene compliance,
and daily antiseptic body washings instead of "screening, isolation and
eradication" as recommended by many infection control guidelines today. METHODS:
A review of the literature. RESULTS: The classical approach composed of
screening, isolation and eradication has many limitations, including lack of
standardization of the screening methods, risk of medical errors for patients in
isolation and failure to eradicate resistant bacteria. Notably, concrete evidence
that this current infection control approach actually prevents transmission is
still lacking. We found that a novel approach with the training of infectious
diseases specialists can reduce the usage of antimicrobials, thereby
significantly decreasing the emergence of new MDROs. Moreover, increased hand
hygiene compliance not only reduces transmission of MDROs, but also that of
sensitive organisms causing the majority of nosocomial infections. Further,
instruments, such as continuing education, bed-side observation, and the use of
new tools, e.g. electronic wearables and Wi-Fi-equipped dispensers, are all
options that can also improve the current low hand hygiene compliance levels. In
addition, daily antiseptic body washes were observed to reduce the transmission
of MDROs, especially those deriving from the body surface-like MRSA and VRE in
specific settings. Finally, antiseptic body washes were seen to have similar
effects on reducing transmission rates as screening and isolation measures.
CONCLUSIONS: In summary, this review describes a novel evidence-based approach to
counteract the growing medical challenge of increasing numbers of MDROs.
PMID- 29796740
TI - Treatment outcomes of hypofractionated radiotherapy combined with temozolomide
followed by bevacizumab salvage therapy in glioblastoma patients aged > 75 years.
AB - BACKGROUND: The optimal treatment for elderly patients with glioblastoma has not
been established. METHODS: We retrospectively analyzed the safety and efficacy of
hypofractionated radiotherapy (45 Gy/15 fr) combined with temozolomide (TMZ)
followed by bevacizumab (BEV) salvage treatment in 18 glioblastoma patients aged
> 75 years. RESULTS: All of the patients received safe hypofractionated
radiotherapy and concomitant TMZ (75 mg/m2), and 14 of 18 patients received
maintenance TMZ. We administered BEV to 17 of 18 patients because their Karnofsky
Performance Status scores declined and/or recurrence was detected. During the
follow-up period (median duration: 17.5 months, range 3-33 months), 12 patients
died of their disease. While the median progression-free survival period was 2.5
months, the median overall survival period was 20 months. Adverse events
(National Cancer Institute Common Terminology Criteria for Adverse Events grade 3
or 4) occurred in 5 patients. CONCLUSION: Hypofractionated radiotherapy combined
with TMZ and BEV salvage treatment was found to be safe and effective in
glioblastoma patients aged > 75 years.
PMID- 29796742
TI - Nasal silicone splints and quilting sutures using a septum stitch device
following septoplasty: a prospective comparative study.
AB - PURPOSE: Although nasal splints and trans-septal sutures are preferred for septal
closure following septoplasty, quilting sutures, also known as continuous
mattress sutures, are technically challenging and thus time consuming. Recently,
the utility of a novel stitch device was demonstrated for quilting sutures;
however, whether it contributes to the technical solution and time management of
septal sutures remains unclear. This study aims to quantify the time and cost of
septal closure following septoplasty by comparing nasal splints with quilting
sutures using the septum stitch device. METHODS: We prospectively examined 23
patients who underwent septoplasty and assigned them to the following two groups:
group 1, underwent the quilting suture for septal closure following septoplasty;
group 2 underwent the nasal silicone splint method. We recorded the total
operation time and surgical time for septal closure. Furthermore, we evaluated
postoperative symptoms with Visual Analogue Scale (VAS) scores and compared the
complication rate. RESULTS: We observed no significant difference in the average
of total operating time (P = 0.641) and the surgical time for septal closure (P =
0.886). Among postoperative symptoms, only nasal bleeding was significantly worse
in group 1 on postoperative day 1 (P = 0.023). In addition, the occurrence of
postoperative complications was insignificant between both groups. CONCLUSIONS:
The septum stitch facilitated safe and easy quilting suturing without significant
increase of operation time.
PMID- 29796744
TI - 3D genome and its disorganization in diseases.
AB - The chromosomes in eukaryotic cells are highly folded and organized to form
dynamic three-dimensional (3D) structures. In recent years, many technologies
including chromosome conformation capture (3C) and 3C-based technologies (Hi-C,
ChIA-PET) have been developed to investigate the 3D structure of chromosomes.
These technologies are enabling research on how gene regulatory events are
affected by the 3D genome structure, which is increasingly implicated in the
regulation of gene expression and cellular functions. Importantly, many diseases
are associated with genetic variations, most of which are located in non-coding
regions. However, it is difficult to determine the mechanisms by which these
variations lead to diseases. With 3D genome technologies, we can now better
determine the consequences of non-coding genome alterations via their impact on
chromatin interactions and structures in cancer and other diseases. In this
review, we introduce the various 3D genome technologies, with a focus on their
application to cancer and disease research, as well as future developments to
extend their utility.
PMID- 29796743
TI - Soft palate cephalometric changes with a mandibular advancement device may be
associated with polysomnographic improvement in obstructive sleep apnea.
AB - PURPOSE: It is unclear whether soft palate-associated changes in cephalometry
associated with a mandibular advancement device (MAD) are independently
associated with improvements in polysomnography (PSG) respiratory parameters in
obstructive sleep apnea (OSA). METHODS: This retrospective review aimed to
identify the association between soft palate-associated changes in cephalometry
and PSG changes after application of an MAD. Korean patients diagnosed with OSA
who underwent cephalometry with or without an MAD were enrolled. All the patients
were evaluated after undergoing full-night PSG twice: once with an MAD and once
without. Cephalometric findings were measured using an image analyzer. RESULTS:
Mean apnea-hypopnea index significantly decreased with an MAD from 36.4/h to
14.7/h (p < 0.001). Retropalatal airway space significantly increased with an MAD
from 6.6 to 7.3 mm (p = 0.013). Soft palate length also significantly decreased
with an MAD from 43.6 to 42.3 mm (p = 0.02). Although these findings were shown
by responders (patients with a reduction of apnea-hypopnea index by more than
50%), there were no significant changes in non-responders. However, retroglossal
airway space did not significantly increase with an MAD even in responders.
CONCLUSIONS: Improvement of OSA with an MAD can be predicted with soft palate
associated upper airway changes shown in cephalometry.
PMID- 29796745
TI - Is a constant low-entropy process at the root of glycolytic oscillations?
AB - We measured temporal oscillations in thermodynamic variables such as temperature,
heat flux, and cellular volume in suspensions of non-dividing yeast cells which
exhibit temporal glycolytic oscillations. Oscillations in these variables have
the same frequency as oscillations in the activity of intracellular metabolites,
suggesting strong coupling between them. These results can be interpreted in
light of a recently proposed theoretical formalism in which isentropic
thermodynamic systems can display coupled oscillations in all extensive and
intensive variables, reminiscent of adiabatic waves. This interpretation suggests
that oscillations may be a consequence of the requirement of living cells for a
constant low-entropy state while simultaneously performing biochemical
transformations, i.e., remaining metabolically active. This hypothesis, which is
in line with the view of the cellular interior as a highly structured and near
equilibrium system where energy inputs can be low and sustain regular oscillatory
regimes, calls into question the notion that metabolic processes are essentially
dissipative.
PMID- 29796749
TI - Lethal carbon monoxide toxicity in a concrete shower unit.
AB - A 47-year-old previously-well woman was found dead on the floor of a shower
cubicle on a property in rural South Australia. The impression of the attending
doctor and police was of collapse due to natural disease. Although there was
significant stenosing coronary artery atherosclerosis found at autopsy, cherry
pink discoloration of tissues prompted measurement of the blood carboxyhemoglobin
level which was found to be 55%. The source of the gas was a poorly-maintained
hot water heater that was mounted on the inside wall of the shower. Construction
of the shower using an impermeable concrete rain water tank had caused gas
accumulation when the water heater malfunctioned. Had lethal carbon monoxide
exposure not been identified others using the same shower unit would also have
been at risk.
PMID- 29796748
TI - Multiplex proteomics for prediction of major cardiovascular events in type 2
diabetes.
AB - AIMS/HYPOTHESIS: Multiplex proteomics could improve understanding and risk
prediction of major adverse cardiovascular events (MACE) in type 2 diabetes. This
study assessed 80 cardiovascular and inflammatory proteins for biomarker
discovery and prediction of MACE in type 2 diabetes. METHODS: We combined data
from six prospective epidemiological studies of 30-77-year-old individuals with
type 2 diabetes in whom 80 circulating proteins were measured by proximity
extension assay. Multivariable-adjusted Cox regression was used in a
discovery/replication design to identify biomarkers for incident MACE. We used
gradient-boosted machine learning and lasso regularised Cox regression in a
random 75% training subsample to assess whether adding proteins to risk factors
included in the Swedish National Diabetes Register risk model would improve the
prediction of MACE in the separate 25% test subsample. RESULTS: Of 1211 adults
with type 2 diabetes (32% women), 211 experienced a MACE over a mean (+/-SD) of
6.4 +/- 2.3 years. We replicated associations (<5% false discovery rate) between
risk of MACE and eight proteins: matrix metalloproteinase (MMP)-12, IL-27 subunit
alpha (IL-27a), kidney injury molecule (KIM)-1, fibroblast growth factor (FGF)
23, protein S100-A12, TNF receptor (TNFR)-1, TNFR-2 and TNF-related apoptosis
inducing ligand receptor (TRAIL-R)2. Addition of the 80-protein assay to
established risk factors improved discrimination in the separate test sample from
0.686 (95% CI 0.682, 0.689) to 0.748 (95% CI 0.746, 0.751). A sparse model of 20
added proteins achieved a C statistic of 0.747 (95% CI 0.653, 0.842) in the test
sample. CONCLUSIONS/INTERPRETATION: We identified eight protein biomarkers, four
of which are novel, for risk of MACE in community residents with type 2 diabetes,
and found improved risk prediction by combining multiplex proteomics with an
established risk model. Multiprotein arrays could be useful in identifying
individuals with type 2 diabetes who are at highest risk of a cardiovascular
event.
PMID- 29796746
TI - HRP-mediated graft polymerization of acrylic acid onto silk fibroins and in situ
biomimetic mineralization.
AB - Silk fibroin (SF) can be extensively utilized in biomedical areas owing to its
appreciable bioactivity. In this study, biocompatible composites of SF and
hydroxyapatite (HAp) were fabricated through in situ biomimetic mineralization
process. Graft copolymerization of acrylic acid (AA) onto SF was conducted by
using the catalytic system of acetylacetone (ACAC), hydrogen peroxide (H2O2) and
horseradish peroxidase (HRP), for enhancing the deposition of apatite onto the
fibroin chains. Subsequently, biomimetic mineralization of the prepared fibroin
based membrane was performed in Ca/P solutions to synthesize the organized SF/HAp
composites. The efficacies of graft copolymerization and biomimetic
mineralization were evaluated by means of ATR-FTIR, GPC, EDS-Mapping, XRD and
others. The results denoted that AA was successfully graft-copolymerized with
fibroin and formed the copolymer of silk fibroin-graft-polyacrylic acid (SF-g
PAA), and the grafting percentage (GP) and grafting efficiency (GE) under the
optimal condition reached to 23.2% and 29.4%, respectively. More mineral phases
were detected on the surface of SF-g-PAA membrane after mineralization process
when compared to that of the untreated fibroin membrane, companying with an
improved mechanical property. According to MG-63 cell viability and fluorescent
adhesion assays, the mineralized SF-g-PAA composite showed satisfactory
biocompatibility and exceptional adhesive effects as well. The synthetized
composite of SF-g-PAA/HAp can be potentially applied in the fields of bone tissue
engineering.
PMID- 29796750
TI - Does cognition improve following LVAD implantation?
AB - BACKGROUND: Studies of cognition after LVAD surgery have produced mixed results.
To explore whether cognition would improve, decline, or remain stable after LVAD
surgery, we examined cognition before and 1- and 3-months after LVAD surgery.
Patients with post-surgical stroke were excluded. METHODS: 28 subjects (mean age
= 54.31 +/- 12 years) comprised an observational case series from the DuraHeart
LVAS device(r) trial. Cognitive testing was performed at baseline, 1-month, and 3
month post-surgery, and included tests of attention, memory, language,
visualmotor speed (TMT) and visualconstruction. RESULTS: No difference in
cognition was found between baseline and 1-month exams (means z score improvement
= 0.06, p = 0.43) but cognition improved significantly between baseline and 3
month exams (mean z score improvement = 0.34, p < 0.00001). Examination of
individual test scores found, after correction for multiple comparisons, only the
TMT variable was significantly different at the 3-month exam. CONCLUSIONS: We
found significantly improved cognition 3 months after LVAD surgery in a subset of
patients without post-surgical stroke. The reasons for the lack of cognitive
improvement at the 1-month post-surgical assessment may include ongoing medical
and physiological disruptions in the immediate post-operative period. Further
research into the sources of delayed improvement is warranted. Cognitive
assessments performed immediately after surgery should be interpreted with
caution because the results may not reflect longer term cognitive outcomes. LVAD
patients may require additional support to successfully manage their health in
the weeks immediately following surgery but assistance needs may decrease over
time.
PMID- 29796751
TI - Effect of early tracheostomy on clinical outcomes in critically ill lung
transplant recipients.
AB - OBJECTIVES: The purpose of this study was to evaluate the effect of early
tracheostomy in patients following lung transplantation and to determine its
optimal timing and influence on clinical outcomes. METHODS: We retrospectively
reviewed records of 96 adult patients who underwent lung transplantation at our
institution between August 2008 and January 2016. Time-to-tracheostomy was
defined based on timing of the procedure: "early" if less than 3 days or "late"
if 3 or more days after lung transplantation. RESULTS: Forty-nine patients (51%)
underwent tracheostomy 3.2 +/- 1.8 days after lung transplantation. Among these
patients, 21 patients (42.9%) underwent early tracheostomy and 28 patients
(57.1%) underwent late tracheostomy. Multivariable logistic regression analysis
indicated that preoperative performance status was a significant predictor for
tracheostomy (p = 0.006, odds ratio 2.72). Patients in the early tracheostomy
group began walking (p = 0.003) and oral feeding (p = 0.0006) earlier and had a
shorter duration of mechanical ventilation (p = 0.04) and shorter length of
intensive care unit (p = 0.01) and hospital stay (p = 0.04) than patients in the
late tracheostomy group. No significant differences in postoperative walking (p =
0.06), oral feeding (p = 0.17), or length of hospital stay (p = 0.37) were
observed between patients who underwent early tracheostomy and those who did not
undergo tracheostomy. CONCLUSIONS: Early tracheostomy following lung
transplantation decreased both intensive care and hospital stay, due to improved
postoperative recovery, even in patients with poor preoperative conditions.
Furthermore, length of hospital stay in patients with early tracheostomy was
similar to that of patients without tracheostomy after lung transplantation.
PMID- 29796753
TI - An MR-based quantitative intraventricular hemorrhage porcine model for MR-guided
focused ultrasound thrombolysis.
AB - PURPOSE: Intraventricular hemorrhage (IVH) affects approximately 50% of premature
births where 50% further develop post-hemorrhagic ventricular dilation (PHVD).
Patients face significant impact to long-term development if PHVD is not managed.
Unfortunately, there is no accepted treatment to remove the thrombus caused by
IVH. This paper describes an acute and chronic IVH model for use with magnetic
resonance-guided focused ultrasound (MRgFUS) thrombolysis. METHODS: A total of 12
pigs (~ 1 month in age) were used in the model (eight acute and four chronic). A
pre-operative brain MRI was obtained for ventricular targeting. 1.25 cm3/kg of
autologous blood was injected through a burr hole lateral to the midline and
anterior of the coronal suture at a rate of 0.6 cm3/min. A craniotomy was
performed to simulate a "fontanelle". Post-operative MRI was used to calculate
the clot volume. Chronic piglets were recovered, monitored daily with a
neurological scoring system (NSS), and MRI scanned for 21 days. RESULTS: The clot
injection was well tolerated. The average clot size was 3987 mm3 (median = 4330
mm, standard deviation = 739 mm3). Postmortem examination validated the presence
of the clot. In the chronic animals, there was an increase in ventricular volume
of 30%. Transient neurological impairment immediately followed clot injection and
with onset of hydrocephalus in the chronic animals. CONCLUSIONS: This model
establishes a measurable and targetable IVH clot in an MRI-based neonatal porcine
model. The progressive post-hemorrhagic ventricular dilation in the chronic model
is a potential alterable outcome from MRgFUS thrombolysis.
PMID- 29796754
TI - Clinical characteristics and ocular complications of patients with scleritis in
Japanese.
AB - PURPOSE: To investigate the clinical characteristics of Japanese patients with
scleritis STUDY DESIGN: Retrospective study METHODS: The clinical records of 123
patients with scleritis, who presented to the University of Tokyo Hospital
between January, 2004 and December, 2015, were retrospectively reviewed. RESULTS:
The cohort comprised 55 men and 68 women (mean age, 57.8+/-16.4 years), of which
76 showed anterior diffuse scleritis, 17 showed anterior nodular scleritis, 10
showed anterior necrotizing scleritis, and 20 showed posterior scleritis. The
underlying etiology was identified in 39 patients. Autoimmune diseases were
present in 32 patients, including eight with rheumatoid arthritis, seven with
antineutrophil cytoplasmic antibody-associated vascular disease, and six with
relapsing polychondritis. Ocular hypertension was the most common ocular
complication (41%), followed by anterior chamber cells (38%). Fifty-three percent
of patients required systemic immunosuppressive medication. Systemic
corticosteroids were the most commonly used medication (45%), followed by
methotrexate (11%). A, decrease in vision was observed in one-third of patients
with anterior necrotizing scleritis or posterior scleritis, of which secondary
glaucoma and optic neuritis were the major causes. CONCLUSION: Autoimmune
diseases were present in 26% of patients. One-third of patients with anterior
necrotizing scleritis or posterior scleritis experienced decreased vision, mostly
due to secondary glaucoma and optic neuritis. Therefore, controlling intraocular
pressure by methods such as administration of steroid-sparing immunosuppressive
medication and appropriate treatment for posterior scleritis are essential for
scleritis treatment.
PMID- 29796756
TI - Purse-string rupture: pitfalls of transanal total mesorectal excision (Cecil
approach).
PMID- 29796755
TI - How does ageing affect grasp adaptation to a visual-haptic size conflict?
AB - Previous research suggests that the ability to adapt motor behaviour to sudden
environmental changes may be impaired in older adults. Here, we investigated
whether the adaptation of grasping behaviour in response to a visual-haptic size
conflict is also affected by increasing age. 30 older and 18 young adults were
instructed to grasp a hidden block whilst viewing a second block in a congruent
position. Initially block sizes were equal, but after a set number of trials a
sensory conflict was introduced by covertly changing the hidden block for a
smaller or larger block. The scale and speed of maximum grasp aperture adaptation
to the increase or decrease in the size of the hidden block was measured. Older
adults successfully adapted to the visual-haptic size conflict in a similar
manner to young adults, despite a tendency to adapt less when the hidden block
increased in size. This finding is attributed to the physical capabilities of the
grasping hand of older adults, rather than an effect of age-related sensory or
cognitive decline. The speed of grasp adaptation did not differ between age
groups; however, awareness of the visual-haptic conflict lead to faster
adaptation. These findings suggest that sensorimotor adaptation for grasping is
intact for cognitively healthy older adults.
PMID- 29796758
TI - Marked Succession of Cyanobacterial Communities Following Glacier Retreat in the
High Arctic.
AB - Cyanobacteria are important colonizers of recently deglaciated proglacial soil
but an in-depth investigation of cyanobacterial succession following glacier
retreat has not yet been carried out. Here, we report on the successional
trajectories of cyanobacterial communities in biological soil crusts (BSCs) along
a 100-year deglaciation gradient in three glacier forefields in central Svalbard,
High Arctic. Distance from the glacier terminus was used as a proxy for soil age
(years since deglaciation), and cyanobacterial abundance and community
composition were evaluated by epifluorescence microscopy and pyrosequencing of
partial 16S rRNA gene sequences, respectively. Succession was characterized by a
decrease in phylotype richness and a marked shift in community structure,
resulting in a clear separation between early (10-20 years since deglaciation),
mid (30-50 years), and late (80-100 years) communities. Changes in cyanobacterial
community structure were mainly connected with soil age and associated shifts in
soil chemical composition (mainly moisture, SOC, SMN, K, and Na concentrations).
Phylotypes associated with early communities were related either to potentially
novel lineages (< 97.5% similar to sequences currently available in GenBank) or
lineages predominantly restricted to polar and alpine biotopes, suggesting that
the initial colonization of proglacial soil is accomplished by cyanobacteria
transported from nearby glacial environments. Late communities, on the other
hand, included more widely distributed genotypes, which appear to establish only
after the microenvironment has been modified by the pioneering taxa.
PMID- 29796757
TI - Estrogen Receptor-alpha Correlates with Higher Fungal Cell Number in Oral
Paracoccidioidomycosis in Women.
AB - BACKGROUND: Paracoccidioidomycosis is a neglected tropical fungal infection with
great predilection for adult men, indicating the participation of female hormone
estrogen in preventing paracoccidioidomycosis development in women. Estrogen has
an immunologic effect leading to polarization toward the Th2 immune response,
which favors the disease evolution. OBJECTIVES: To evaluate estrogen and
progesterone receptors in oral paracoccidioidomycosis lesions and to verify any
association with tissue fungi counting in women and men. METHODS: Thirty-two
cases of chronic oral paracoccidioidomycosis were included. Immunohistochemical
analyses for anti-estrogen receptor-alpha, anti-progesterone receptor and anti
Paracoccidioides brasiliensis antibodies were performed. The differences between
women and men and the relations among the immunomarkers for each gender were also
evaluated. RESULTS: A significant positive correlation was observed between
estrogen receptor-alpha and the amount of fungi in women. In addition, estrogen
receptor-alpha was mildly expressed in the inflammatory cells of female patients,
while progesterone receptor was expressed in both genders, with similar
expression between women and men. Moreover, fungi counting revealed no
differences between genders. CONCLUSIONS: Estrogen receptor-alpha was expressed
only in women and showed a positive correlation with the amount of fungi in oral
paracoccidioidomycosis, while progesterone receptor was observed in both genders
and exhibited no correlation with estrogen receptor-alpha or fungi counting.
PMID- 29796760
TI - True vs. pseudo-electrical ventricular alternans sustained by ventricular
premature complexes.
AB - This report describes a form of electrical ventricular alternans sustained by
ventricular premature complexes (VPC). Alternans was associated with a constant
heart rate (RR interval) and was therefore considered to be either a form of
classic or true alternans or a mimic of the configuration seen in true alternans
from other causes. In contrast, VPC-induced pseudo-alternans is characterized by
an inconstant heart rate (RR interval). It is surprising that the incidence of
true VPC-induced alternans is unappreciated and virtually unreported, most
probably since the measurement of the RR intervals involving late VPCs is
ignored.
PMID- 29796761
TI - Treatment of Intracerebral Lesions with Abatacept in a CTLA4-Haploinsufficient
Patient.
PMID- 29796759
TI - An E. coli biosensor for screening of cDNA libraries for isochorismate pyruvate
lyase-encoding cDNAs.
AB - Salicylic acid (SA) is an essential hormone for development and induced defense
against biotrophic pathogens in plants. The formation of SA mainly derives from
chorismate via demonstrated isochorismate synthase (ICS) and presumed
isochorismate pyruvate lyase (IPL)-mediated steps in Arabidopsis thaliana, but so
far no plant enzyme displaying IPL activity has been identified. Here, we
developed an E. coli SA biosensor to screen for IPL activity based on the SalR
regulator/salA promoter combination from Acinetobacter sp ADP1, to control the
expression of the reporter luxCDABE. The biosensor was responsive to micromolar
concentrations of exogenous SA, and to endogenous SA produced after
transformation with a plasmid permitting IPTG-inducible expression of bacterial
IPL in this biosensor strain. After screening a cDNA library constructed from
turnip crinkle virus (TCV)-infected Arabidopsis ecotype Di-17, we identified an
enzyme, PRXR1, as a putative IPL that converts isochorismate into SA. Our results
provide a new experimental approach to identify IPL and new insights into the SA
biosynthesis pathway in Arabidopsis.
PMID- 29796762
TI - Comparative functional outcomes of patients with adhesive capsulitis receiving
intra-articular versus sub-acromial steroid injections: case-control study.
AB - PURPOSE: This is a prospective case-control study comparing short- and medium
term outcomes between sub-acromial and gleno-humeral corticosteroid injections in
adhesive capsulitis. METHODS: The study population consisted of 105 patients (33
males, 72 females; mean age, 56.1 years). They were divided into three groups:
(1) patients receiving 40 mg of methylprednisolone acetate as intra-articular
injection (n = 35) followed by physical therapy; (2) patients receiving 40 mg of
methylprednisolone acetate as sub-acromial injection (n = 35) followed by
physical therapy; (3) patients receiving only physical therapy (heat, passive
stretching exercises and wall climbing) and no injections (n = 35). Functional
outcome scores (Constant shoulder score and Shoulder Pain and Disability Index),
visual analogue scale for pain and range of motion of shoulder joint were noted
at 3, 6 and 12 weeks and 6 months. RESULTS: There was a statistically significant
improvement in VAS scores in group 1 and 2 at 3, 6, 12 weeks and 6 months
compared to that before the injections. There was no statistically significant
improvement in the group 3 at 3 and 6 weeks, but improvement was noticed at 12
weeks and 6 months. There was no statistically significant difference in VAS, CS
score, SPADI and ROM between groups 1 and 2 at 3, 6, 12 weeks and 6 months. These
scores were significantly better in group 1 and 2 compared to group 3 at 3, 6,
12, weeks and 6 months. CONCLUSIONS: Corticosteroid injections into the sub
acromial space and into the gleno-humeral joint produce similar results in terms
of pain relief and improvement in function in patients with adhesive capsulitis.
PMID- 29796763
TI - Soft tissue tension is four times lower in the unstable primary total hip
arthroplasty: does this comparison make sense?
PMID- 29796764
TI - History of concentrated or expanded mesenchymal stem cells for hip osteonecrosis:
is there a target number for osteonecrosis repair?
AB - PURPOSE: Despite multiple possible treatments, the risk of collapse remains the
main problem of osteonecrosis. Heart failure (HF). In an effort to address the
reverse this issue, curative strategies with regenerative medicine are
increasingly being considered. The aim of this technology is to halt or reverse
progression of the disease to collapse. MATERIAL AND METHODS: The pioneering
report by Hernigou published in 2002 was the first pilot study suggesting that
injection of bone marrow stem cells was a safe approach able to improve
osteonecrosis in patients with early stages. Since then, an impressive number of
studies and trials employing unselected BM-derived cells (1000 the last 2 years)
showed that delivery of those cells to the site of osteonecrosis during core
decompression was somehow able to ameliorate the patient with osteonecrosis. In
order to translate the promise of this cell therapy into better clinical benefit,
many questions need to be addressed. In this review, we therefore analyzed
current clinical experience of the literature and our experience of 4000 cases to
address these questions and particularly the number of cells that should be
injected. RESULTS: After almost 20 years of clinical research in this field, we
are still far from having drawn conclusions on the number of cells we should
inject in regenerating hip osteonecrosis. Findings are difficult to interpret due
to heterogeneity of causes of osteonecrosis, as well as differences in the cells
count, sample quality, and stages of osteonecrosis. The authors address specific
issues, as cell quality, cell numbers, volume of osteonecrosis, concentration of
cells, and ex vivo expansion. Bone marrow mesenchymal stem cells are supposed to
be "functionally competent," but are collected from the bon, marrow of patients
with diseases and risk factors of osteonecrosis. The recipient organ (bone
osteonecrosis) is a tissue where several alterations have already occurred. These
questions are addressed in this review. CONCLUSION: In this review, we analyzed
current clinical experience regarding cell therapy and address issues that should
be a guide for future cell-based therapeutic application in osteonecrosis.
PMID- 29796767
TI - ?
PMID- 29796766
TI - [Another "War on Drugs" : A war against addicts and a "roll-back" for patients
needing opioids?]
PMID- 29796765
TI - Escherichia coli modular coculture system for resveratrol glucosides production.
AB - In bio-based fermentation, the overall bioprocess efficiency is significantly
affected by the metabolic burden associated with the expression of complete
biosynthetic pathway as well as precursor and cofactor generating enzymes into a
single microbial cell. To attenuate such burden by compartmentalizing the enzyme
expression, recently synthetic biologists have used coculture or poly-culture
techniques for biomolecules synthesis. In this paper, coculture system of two
metabolically engineered Escherichia coli populations were employed which
comprises upstream module expressing two enzymes converting para-coumaric acid
into resveratrol and the downstream module expressing glucosyltransferase to
convert the resveratrol into its glucosidated forms; polydatin and
resveratroloside. Upon optimization of the initial inoculum ratio of two E. coli
populations, 92 mg resveratrol glucosides/L (236 uM) was produced i.e. achieving
84% bioconversion from 280 uM of p-coumaric acid in 60 h by 3 L fed batch
fermentor. This is the report of applying coculture system to produce resveratrol
glucosides by expressing the aglycone formation pathway and sugar dependent
pathway into two different cells.
PMID- 29796770
TI - Correction to: Accuracy of genomic selection to predict maize single-crosses
obtained through different mating designs.
AB - Unfortunately, the first author name of the above-mentioned article was
incorrectly published in the original publication. The complete correct name
should read as follows.
PMID- 29796769
TI - Properties of collagen-based hemostatic patch compared to oxidized cellulose
based patch.
AB - Two self-adhering hemostatic patches, based on either PEG-coated collagen (PCC)
or PEG-coated oxidized cellulose (PCOC), are compared regarding to maximum burst
pressure, mechanical stability, and swelling. In addition, the induction of
tissue adhesions by the materials was assessed in a rabbit liver abrasion model.
Both materials showed comparable sealing efficacy in a burst pressure test (37 +/
16 vs. 35 +/- 8 mmHg, P = 0.730). After incubation in human plasma, PCC retained
its mechanical properties over the test period of 8 h, while PCOC showed faster
degradation after the 2 h time-point. The degradation led to a significantly
decreased force at break (minimum force at break 0.55 N during 8 h for PCC, 0.27
N for PCOC; p < 0.001). Further, PCC allowed significantly higher deformation
before break (52% after 4 h and 50% after 8 h for PCC, 18% after 4 h and 23%
after 8 h for PCOC; p = 0.003 and p < 0.001 for 4 h and 8 h, respectively) and
showed less swelling in human plasma (maximum increase in thickness: ~20% PCC,
~100% PCOC). Faster degradation of PCOC was visible macroscopically and
histologically in vivo after 14 days. PCC showed visible structural residues with
little cellular infiltration while strong infiltration with no remaining
structural material was seen with PCOC. In vivo, a higher incidence of adhesion
formation after PCOC application was detected. In conclusion, PCC has more
reliable mechanical properties, reduced swelling, and less adhesion formation
than PCOC. PCC may offer greater clinical benefit for surgeons in procedures that
have potential risk for body fluid leakage or that require prolonged mechanical
stability.
PMID- 29796772
TI - Abdominal lymphatic malformations.
AB - BACKGROUND: Abdominal lymphatic malformations (LM) are relatively rare findings
in the differential diagnosis of focal abdominal lesions; however, they represent
a challenge especially in younger patients. The aim of this review article is to
provide up-to-date information about the different kinds of LM manifestations. In
addition, related syndromes and typical imaging features to facilitate the
diagnosis are discussed. RESULTS: The clinical presentation of abdominal LM is
unspecific, whereby most are asymptomatic and comprise incidental findings of
thin-walled cystic masses anywhere in the abdomen. The fluid in the cystic masses
may be proteinaceous, contain blood, or be infected. Radiological imaging
features overlap with other cystic diseases; hallmark in LM is a lack of a solid
component and exclusive enhancement of the walls and septa. CONCLUSION: In cystic
abdominal masses in early childhood or young adults, abdominal LM must be taken
into account by the radiologist. Newly defined entities in this spectrum of
diseases are central conducting lymphatic anomaly (CCLA) and generalized
lymphatic anomaly (GLA).
PMID- 29796773
TI - Bilateral Bow Hunter's Syndrome Mimicking a Classic Seizure Semiology.
PMID- 29796771
TI - Green Synthesis of Metal and Metal Oxide Nanoparticles and Their Effect on the
Unicellular Alga Chlamydomonas reinhardtii.
AB - Recently, the green synthesis of metal nanoparticles has attracted wide attention
due to its feasibility and very low environmental impact. This approach was
applied in this study to synthesise nanoscale gold (Au), platinum (Pt), palladium
(Pd), silver (Ag) and copper oxide (CuO) materials in simple aqueous media using
the natural polymer gum karaya as a reducing and stabilising agent. The
nanoparticles' (NPs) zeta-potential, stability and size were characterised by
Zetasizer Nano, UV-Vis spectroscopy and by electron microscopy. Moreover, the
biological effect of the NPs (concentration range 1.0-20.0 mg/L) on a unicellular
green alga (Chlamydomonas reinhardtii) was investigated by assessing algal
growth, membrane integrity, oxidative stress, chlorophyll (Chl) fluorescence and
photosystem II photosynthetic efficiency. The resulting NPs had a mean size of 42
(Au), 12 (Pt), 1.5 (Pd), 5 (Ag) and 180 (CuO) nm and showed high stability over 6
months. At concentrations of 5 mg/L, Au and Pt NPs only slightly reduced algal
growth, while Pd, Ag and CuO NPs completely inhibited growth. Ag, Pd and CuO NPs
showed strong biocidal properties and can be used for algae prevention in
swimming pools (CuO) or in other antimicrobial applications (Pd, Ag), whereas Au
and Pt lack these properties and can be ranked as harmless to green alga.
PMID- 29796768
TI - [Pain and epilepsy : A clinical, neuroanatomical and pathophysiological review].
AB - Besides postictal headaches, epileptic seizures are infrequently associated with
pain experiences. Nevertheless, seizure-associated pain is an important clinical
symptom to recognize, diagnose and to differentiate from non-epileptic disorders.
Seizure-associated pain may shed light on the anatomical and pathophysiological
foundation of pain in general. According to its localization seizure-related pain
is classified as unilateral somatosensory, abdominal and cephalic epileptic pain
and according to its temporal relationship as ictal, peri-ictal, and interictal
pain. Different aspects of pain phenomena in the context of epileptic seizures
will be addressed by following these systematic classifications. In relation to
seizures with unilateral somatosensory manifestation the functional anatomical
context is described while differential diagnostic aspects in association with
abdominal epilepsies are emphasized and terminological points as well as genetic
epidemiological interrelationships between epilepsy and migraine are highlighted.
PMID- 29796774
TI - Polymicrobial interactions influence the agr copy number in Staphylococcus aureus
isolates from diabetic foot ulcers.
AB - Diabetic foot ulcers are a major complication of diabetes and are often colonised
by complex bacterial communities, where Staphylococcus aureus is frequently co
present with Pseudomonas aeruginosa. These bacteria interact through quorum
sensing, encoded in S. aureus by the accessory gene regulator (agr). Typing and
copy number of S. aureus agr were assessed here to give insights on strain
variability and possible interspecies influence. As agr is classified in four
genetic groups, agr-I, agr-II, agr-III and agr-IV, the agr type of 23 S. aureus
diabetic foot ulcers isolates was evaluated by PCR and gene copy number
determined by qPCR, including in S. aureus present in polymicrobial infections.
agr-I and agr-II were found to be present in 52 and 39% of the isolates,
respectively. In two isolates, no agr type was identified, and types III and IV
were not detected. Interestingly, agr-II copy number was higher in dual
suspensions than in S. aureus single suspension. We conclude that agr type I was
the most frequent in clinical centers in Lisbon, and variations in agr-I and agr
II copy numbers were strain specific. Variations in agr copy number in dual
suspensions suggests that P. aeruginosa may influence S. aureus agr-II gene
regulation, confirming an interaction between these two bacteria. This is a first
approach to characterise agr variation in S. aureus from diabetic foot ulcers in
vitro.
PMID- 29796775
TI - The prevalence and risk factors of nocturia in China, South Korea, and Taiwan:
results from a cross-sectional, population-based study.
AB - PURPOSE: To examine the prevalence, symptomatology, and risk factors for nocturia
using data from an internet-based questionnaire conducted in China, South Korea,
and Taiwan. METHODS: Data from a cross-sectional, population-representative,
internet-based study conducted among men and women aged >= 40 years were analysed
post hoc. Nocturia prevalence and bother were analysed by sex and age group, and
with regard to comorbid conditions and lower urinary tract symptoms (LUTS).
Multivariate and univariate logistic regression models to identify risk factors
for nocturia were constructed, with nocturia involving >= 2 nocturnal voids as
the dependent variable. RESULTS: Among the 8284 participants, the prevalence of
nocturia involving >= 1, >= 2, or >= 3 voids was slightly higher for women (76.1,
37.3, and 17.5%, respectively) compared with men (74.0, 34.5, and 15.5%,
respectively). The prevalence and associated bother of nocturia increased with
age. Greater proportions of patients with comorbid conditions or wet/more severe
overactive bladder syndrome (OAB) experienced nocturia than those without.
Multivariate analysis identified that female sex, age > 60 years, diabetes,
cardiac disease, body mass index, International Prostate Symptom Score (IPSS)
voiding score, stress urinary incontinence, wet OAB, and Hospital Anxiety And
Depression Scale (HADS) anxiety score were associated with >= 2 nocturnal voids.
Hypertension was associated with >= 2 nocturnal voids in women but not men, and
alcohol consumption in men but not women. CONCLUSIONS: Nocturia is a common and
bothersome condition affecting a large proportion of men and women aged >= 40
years in China, South Korea, and Taiwan. Factors associated with nocturia
included age, sex, comorbid conditions, and LUTS. TRIAL REGISTRATION: This study
is registered at ClinicalTrials.gov: NCT02618421.
PMID- 29796776
TI - Role of dihydroartemisinin in regulating prostaglandin E2 synthesis cascade and
inflammation in endothelial cells.
AB - Endothelial cells (ECs) are crucial in maintaining vascular homeostasis.
Endothelial dysfunction was involved in many cardiovascular diseases (CVDs).
Recently, antimalarial medicine artemisinin and its derivatives including
dihydroartemisinin (DHA) were found to be beneficial in some diseases including
CVDs. Prostaglandin (PG) E2 is a known inflammatory mediator and plays important
roles in cardiovascular system. This study was to investigate the role of DHA in
regulating cyclooxygenase (COX)/PGE synthase (PGES)/PGE2 cascade and inflammation
in ECs. After DHA treatment, the mRNA and protein levels of COX-2 were strikingly
upregulated in time- and dose-dependent manners. In contrast, COX-1 was
significantly downregulated. As expected, inhibition of COX-1 or COX-2 further
reduced PGE2 production after DHA treatment. Moreover, DHA enhanced microsomal
PGE2 synthase (mPGES)-2 and moderately modulated cytosolic PGE2 synthase (cPGES)
with no effect on mPGES-1 expression. Importantly, DHA significantly reduced PGE2
levels in line with the upregulation of 15-hydroxyprostaglandin dehydrogenase (15
PGDH, a key enzyme for prostaglandin degradation). Lastly, we observed that DHA
not only reduced the PGE2 levels in tumor necrosis factor-alpha (TNF-alpha)
treated ECs but also blunted the upregulation of inflammatory cytokines of
interleukin (IL)-6 and IL-1beta induced by TNF-alpha or PGE2. These findings
demonstrated an important role of DHA in regulating PGE2 synthesis cascade and
inflammation in ECs, suggesting a potential of DHA for the treatment of
inflammatory vascular diseases.
PMID- 29796777
TI - Catechol neurochemistry in the autonomic clinic: helpful but not absolutely
required.
PMID- 29796778
TI - Putting hands to rest: efficient deep CNN-RNN architecture for chemical named
entity recognition with no hand-crafted rules.
AB - Chemical named entity recognition (NER) is an active field of research in
biomedical natural language processing. To facilitate the development of new and
superior chemical NER systems, BioCreative released the CHEMDNER corpus, an
extensive dataset of diverse manually annotated chemical entities. Most of the
systems trained on the corpus rely on complicated hand-crafted rules or curated
databases for data preprocessing, feature extraction and output post-processing,
though modern machine learning algorithms, such as deep neural networks, can
automatically design the rules with little to none human intervention. Here we
explored this approach by experimenting with various deep learning architectures
for targeted tokenisation and named entity recognition. Our final model, based on
a combination of convolutional and stateful recurrent neural networks with
attention-like loops and hybrid word- and character-level embeddings, reaches
near human-level performance on the testing dataset with no manually asserted
rules. To make our model easily accessible for standalone use and integration in
third-party software, we've developed a Python package with a minimalistic user
interface.
PMID- 29796779
TI - Omics Approaches in Sleep-Wake Regulation.
AB - Although sleep seems an obvious and simple behaviour, it is extremely complex
involving numerous interactions both at the neuronal and the molecular levels.
While we have gained detailed insight into the molecules and neuronal networks
responsible for the circadian organization of sleep and wakefulness, the
molecular underpinnings of the homeostatic aspect of sleep regulation are still
unknown and the focus of a considerable research effort. In the last 20 years,
the development of techniques allowing the simultaneous measurement of hundreds
to thousands of molecular targets (i.e. 'omics' approaches) has enabled the
unbiased study of the molecular pathways regulated by and regulating sleep. In
this chapter, we will review how the different omics approaches, including
transcriptomics, epigenomics, proteomics, and metabolomics, have advanced sleep
research. We present relevant data in the framework of the two-process model in
which circadian and homeostatic processes interact to regulate sleep. The
integration of the different omics levels, known as 'systems genetics', will
eventually lead to a better understanding of how information flows from the
genome, to molecules, to networks, and finally to sleep both in health and
disease.
PMID- 29796780
TI - Confirmatory factor analyses of the ORTO 15-, 11- and 9-item scales and
recommendations for suggested cut-off scores.
AB - AIMS: To explore the validity and recommend cut-off scores in an English-speaking
sample for 9, 11, and 15-item versions of the ORTO measure for orthorexia, a
proposed eating disorder characterised by a pathological obsession with consuming
only 'healthy' foods. MATERIALS AND METHODS: The sample comprised of 585
participants (82.4% female) who completed an online questionnaire containing the
ORTO-15, Eating Attitudes test, Obsessive Compulsive Inventory Revised. A series
of Confirmatory Factor Analyses were conducted to test model fit. Binary logistic
linear regression and receiver-operating-characteristics (ROC) analyses were used
to obtain cut-offs. RESULTS AND CONCLUSION: Results showed that none of the three
published versions (9, 11, and 15-item) of the ORTO produced an acceptable model.
Subsequent exploratory and confirmatory factor analyses yielded a seven-item
version of the ORTO (ORTO-7) with a strong and stable factor structure. Analysis
of cut-offs revealed that a cut-off score of equal or greater than 19 on the ORTO
7 represents probable orthorexia. LEVEL OF EVIDENCE: Level V, descriptive study.
PMID- 29796781
TI - Mitigating hypothetical bias in willingness to pay studies: post-estimation
uncertainty and anchoring on irrelevant information.
AB - One possible source of hypothetical bias in willingness to pay (WTP) estimates is
response uncertainty, referring to subject's uncertainty about the value of the
good under assessment. It has been argued that uncertainty can be measured using
the post-valuation 'certainty question' that asks: 'How certain are you about
your stated WTP?' and marks the degree of certainty on a quantitative or a
qualitative scale. Research has shown that the self-reported certainty
evaluations can help mitigate hypothetical bias and obtain increasingly accurate
WTP estimates. These study reports present a simple test of reliability of post
valuation certainty assessment and then looks at the empirical evidence for clues
regarding the general usefulness of certainty adjustment in mitigating
hypothetical bias in WTP studies. We find that the post-estimation uncertainty
scores are malleable, i.e., significantly correlated with entirely irrelevant
information. We conclude that more robust evidence could justify the routine
inclusion of certainty evaluation in WTP studies although in the meantime the
interpretation of certainty-adjusted WTP values should be approached cautiously.
PMID- 29796783
TI - Correction to: Restricted working hours in Austrian residency programs : Survey
results.
AB - Correction to: Wien Klin Wochenschr 2018 https://doi.org/10.1007/s00508-018-1340
1 The original version of this article unfortunately contained a mistake. The
presentation of the sentence "limiting on-duty working hours to 58h per week."
was incorrect. The correct limitation of the on-duty working ....
PMID- 29796782
TI - Competing Constructivisms: The Negotiation of PTSD and Related Stigma Among Post
9/11 Veterans in New York City.
AB - Posttraumatic stress disorder (PTSD) stands as a form of psychopathology that
straddles moral and psychiatric domains. Grounded in discrete instances of
trauma, PTSD represents an etiological outlier in an era of increased attention
to the genetics of mental illness and a prime location for social constructivist
analyses of mental illness. This examination of PTSD narratives-as voiced in
qualitative interviews and focus groups with 50 veterans of the recent Iraq and
Afghanistan wars living in New York City-attends to the processes through which
veterans conceive and navigate PTSD symptoms and diagnoses. In so doing we
highlight the social constructivist positions undertaken by veterans themselves
as they varyingly challenge and internalize symptomology in dialogue with
psychiatric definitions and the stigma associated with PTSD. Findings demonstrate
the rejection of classic psychopathological etiology-in brain disease, for
example-by many veterans as well as the complex balancing of benefit and stigma
that veterans undertake when making decisions about presenting to psychiatric
clinicians. Drawing on veterans' accounts, we argue for greater cultural
specificity in characterizing the diagnosis-seeking behavior of trauma survivors
and a greater appreciation for the contradictions and compromise related to both
acceptance and rejection of a mental health diagnosis.
PMID- 29796784
TI - What is new in the 2017 ESC clinical practice guidelines : Management of acute
myocardial infarction in patients presenting with ST-segment elevation.
AB - Guidelines and recommendations are designed to guide physicians in making
decisions in daily practice. Guidelines provide a condensed summary of all
available evidence at the time of the writing process. Recommendations take into
account the risk-benefit ratio of particular diagnostic or therapeutic means and
the impact on outcome, but not monetary or political considerations. Guidelines
are not substitutes but are complementary to textbooks and cover the European
Society of Cardiology (ESC) core curriculum topics. The level of evidence and the
strength of recommendations of particular treatment options were recently newly
weighted and graded according to predefined scales. Guidelines endorsement and
implementation strategies are based on abridged pocket guidelines versions,
electronic version for digital applications, translations into the national
languages or extracts with reference to main changes since the last version. The
present article represents a condensed summary of new and practically relevant
items contained in the 2017 European Society of Cardiology (ESC) guidelines for
the management of acute myocardial infarction in patients with ST-segment
elevation, with reference to key citations.
PMID- 29796785
TI - Visualization and appearance of artifacts of leadless pacemaker systems in
cardiac MRI : An experimental ex vivo study.
AB - BACKGROUND: Leadless pacemaker systems are an important upcoming device in
clinical rhythmology. Currently two different products are available with the
Micra system (Medtronic) being the most used in the clinical setting to date. The
possibility to perform magnetic resonance imaging (MRI) is an important feature
of modern pacemaker devices. Even though the Micra system is suitable for MRI,
little is yet known about its impact on artifacts within the images. OBJECTIVE:
The aim of our ex vivo study was to perform cardiac MRI to quantify the artifacts
and to evaluate if artifacts limit or inhibit the assessment of the surrounding
myocardium. METHODS: After ex vivo implantation of the leadless pacemaker (LP) in
a porcine model, hearts were filled with saline solution and fixed on wooden
sticks on a plastic container. The model was examined at 1.5 T and at 3 T using
conventional sequences and T2 mapping sequences. In addition, conventional X-rays
and computed tomography (CT) scans were performed. RESULTS: Correct implantation
of the LP could be performed in all hearts. In almost all MRI sequences the right
ventricle and the septal region surrounding the (LP) were altered by an artifact
and therefore would sustain limited assessment; however, the rest of the
myocardium remained free of artifacts and evaluable for common radiologic
diagnoses. A characteristic shamrock-shaped artifact was generated which appeared
to be even more intense in magnitude and brightness when using 3 T compared to
1.5 T. CONCLUSION: The use of the Micra system in cardiac MRI appeared to be
feasible. In our opinion, it will still be possible to make important clinical
cardiac MRI diagnoses (the detection of major ischemic areas or inflammatory
processes) in patients using the Micra system. We suggest the use of 1.5 T as the
preferred method in clinical practice.
PMID- 29796786
TI - Missed Opportunities for HIV Testing Among STD Clinic Patients.
AB - Current HIV testing guidelines recommend that all adolescents and adults aged 13
64 be routinely screened for HIV in healthcare settings. Sexually transmitted
disease (STD) clinic patients represent a population at increased risk for HIV,
justifying more frequent risk assessment and testing. This analysis describes
missed opportunities for HIV testing among a sample of STD clinic patients to
identify areas where HIV testing services may be improved. Secondary analysis was
conducted using data from Project AWARE, a randomized trial of 5012 adult
patients from 9 STD clinics in the United States, enrolled April-December 2010.
HIV testing history, healthcare service utilization, and behavioral risks were
obtained through audio computer-assisted self-interview. Missed opportunities for
HIV testing, defined as having a healthcare visit but no HIV test in the last 12
months, were characterized by location and frequency. Of 2315 (46.2%)
participants not tested for HIV in the last 12 months, 1715 (74.1%) had a missed
opportunity for HIV testing. These missed opportunities occurred in both
traditional (54.9% at family doctor, 20.3% at other medical doctor visits) and
non-traditional (28.5% at dental, 19.0% at eye doctor, 13.9% at correctional
facility, and 13.3% at psychology visits) testing settings. Of 53 participants
positive for HIV at baseline, 16 (30.2%) had a missed testing opportunity. Missed
opportunities for HIV testing were common in this population of STD clinic
patients. There is a need to increase routinized HIV screening and expand testing
services to a broader range of healthcare settings.
PMID- 29796787
TI - Isolation and characterization of human urine extracellular vesicles.
AB - Extracellular vesicles (ECV) reflect physiological or pathological conditions,
emerging as potential biomarkers for disease. They can be obtained from a variety
of body fluids, particularly urine that is an ideal source because it can be
obtained in great quantities, recurrently and with minimal intervention. However,
the characterization of urine ECV is challenging because the preparation is
usually contaminated with soluble proteins, such as uromodulin (UMOD) or Tamm
Horsfall glycoprotein that forms large extracellular filaments co-sedimenting
with ECV. We developed a method to obtain human urine ECV free of UMOD by the
addition of ZnSO4 prior to vesicle isolation by differential centrifugation.
Treatment with ZnSO4 did not affect the size and concentration of the vesicle
preparation and preserved the storage of the samples at low temperatures. We did
not observe a variation in the number of vesicles isolated during different times
of the day or different days between different donors. The glycoprotein pattern
of urine ECV was characterized by binding to concanavalin A (Con A) and mass
spectroscopy. Several markers were found, including dipeptidyl peptidase IV
(CD26), vacuolar protein sorting factor 4A (VPS4A) and dipeptidase 1 (DPEP1), and
galectin 3 binding protein (G3-BP). The levels of VPS4A and DPEP1 were similar in
ECV preparations obtained from several donors of both sexes. Con A binding
pattern and monosaccharide composition were also comparable between subjects. In
summary, our method for the isolation of highly pure ECV derived from human urine
is likely to help in the use of these vesicles as potential biomarkers.
PMID- 29796788
TI - Bio-production of Baccatin III, an Important Precursor of Paclitaxel by a Cost
Effective Approach.
AB - Natural production of anti-cancer drug taxol from Taxus has proved to be
environmentally unsustainable and economically unfeasible. Currently,
bioengineering the biosynthetic pathway of taxol is an attractive alternative
production approach. 10-deacetylbaccatin III-10-O-acetyl transferase (DBAT) was
previously characterized as an acyltransferase, using 10-deacetylbaccatin III (10
DAB) and acetyl CoA as natural substrates, to form baccatin III in the taxol
biosynthesis. Here, we report that other than the natural acetyl CoA (Ac-CoA)
substrate, DBAT can also utilize vinyl acetate (VA), which is commercially
available at very low cost, acylate quickly and irreversibly, as acetyl donor in
the acyl transfer reaction to produce baccatin III. Furthermore, mutants were
prepared via a semi-rational design in this work. A double mutant, I43S/D390R was
constructed to combine the positive effects of the different single mutations on
catalytic activity, and its catalytic efficiency towards 10-DAB and VA was
successfully improved by 3.30-fold, compared to that of wild-type DBAT, while
2.99-fold higher than the catalytic efficiency of WT DBAT towards 10-DAB and Ac
CoA. These findings can provide a promising economically and environmentally
friendly method for exploring novel acyl donors to engineer natural product
pathways.
PMID- 29796790
TI - Successful repair using thymus pedicle flap for tracheoesophageal fistula: a case
report.
AB - BACKGROUND: Treatment for tracheoesophageal fistula (TEF), a life-threatening
complication after esophagectomy, is challenging. CASE PRESENTATION: A 75-year
old man with thoracic esophageal cancer underwent subtotal esophagectomy and
gastric tube reconstruction through the post-mediastinal root after neoadjuvant
chemotherapy. Owing to postoperative anastomotic leakage, an abscess formed at
the anastomotic region. Sustained inflammation from the abscess caused refractory
TEF between the esophagogastric anastomotic site and membrane of the trachea, and
several conservative therapies for TEF failed. Hence, the patient underwent
surgery including division of the fistula, direct suturing of the leakage sites,
and reinforcement with the flap of the thymus pedicle. As a result, the abscess
and TEF disappeared after surgery and the patient was immediately administered an
oral diet and discharged home 103 days after initial surgery. CONCLUSIONS:
Although pedicle flaps for the reinforcement of TEF are usually obtained from
muscle or pericardium, these flaps need enough lengths to overcome moving
distance. We are the first in the existing literature to have successfully
treated TEF with surgical repair using a thymus flap located close to TEF. The
thymus pedicle might be another candidate for the reinforcement flap in TEF.
PMID- 29796789
TI - Multiple frequency saturation pulses reduce CEST acquisition time for quantifying
conformational exchange in biomolecules.
AB - Exchange between conformational states is required for biomolecular catalysis,
allostery, and folding. A variety of NMR experiments have been developed to
quantify motional regimes ranging from nanoseconds to seconds. In this work, we
describe an approach to speed up the acquisition of chemical exchange saturation
transfer (CEST) experiments that are commonly used to probe millisecond to second
conformational exchange in proteins and nucleic acids. The standard approach is
to obtain CEST datasets through the acquisition of a series of 2D correlation
spectra where each experiment utilizes a single saturation frequency to 1H, 15N
or 13C. These pseudo 3D datasets are time consuming to collect and are further
lengthened by reduced signal to noise stemming from the long saturation pulse. In
this article, we show how usage of a multiple frequency saturation pulse (i.e.,
MF-CEST) changes the nature of data collection from series to parallel, and thus
decreases the total acquisition time by an integer factor corresponding to the
number of frequencies in the pulse. We demonstrate the applicability of MF-CEST
on a Src homology 2 (SH2) domain from phospholipase Cgamma and the secondary
active transport protein EmrE as model systems by collecting 13C methyl and 15N
backbone datasets. MF-CEST can also be extended to additional sites within
proteins and nucleic acids. The only notable drawback of MF-CEST as applied to
backbone 15N experiments occurs when a large chemical shift difference between
the major and minor populations is present (typically greater than ~ 8 ppm). In
these cases, ambiguity may arise between the chemical shift of the minor
population and the multiple frequency saturation pulse. Nevertheless, this
drawback does not occur for methyl group MF-CEST experiments or in cases where
somewhat smaller chemical shift differences occur are present.
PMID- 29796792
TI - Livestock and livelihoods of smallholder cattle-owning households in Cambodia:
the contribution of on-farm and off-farm activities to income and food security.
AB - The majority of smallholder farming households in Cambodia are rurally based and
rely on agriculture to support their livelihoods. However, in recent years,
growth in the agriculture sector has stagnated with farmers facing several
challenges including declining prices for traditional crops and irregular
rainfall patterns. This has led to a need for farmers to diversify income sources
with livestock promoted as a more viable livelihood activity, particularly the
raising of cattle and poultry. However, uncertain profitability of livestock
activities is a common perception by smallholders, especially where animals have
not been traditionally viewed as a primary income source. To address this,
information is required which compares the income and expenses associated with
livestock raising to other on-farm activities and off-farm sources. This study
reports on a survey of livelihood survey of 17 male and 21 female representatives
of 20 households owning cattle in Cambodia, comparing the associated income and
expenses of the various livelihood activities in the 12-month period from January
to December 2016. Combined total household income from both on-farm and off-farm
sources ranged from USD875 to 17730 with an average of USD6779, representing 51%
and 49% from on-farm and off-farm activities, respectively. Cattle raising was
the most common source of on-farm income (85%), contributing USD1064 and
representing 22% of total household income. General household expenses, such as
food and transportation (including expenses associated with off-farm employment),
represented the majority of total household expenses (79%). Gross profit
calculations indicated higher values for pig raising (USD1841.79), cattle
(USD950.80) and non-rice crops (USD884) whilst the highest gross margin value was
recorded for cattle (89.33%) followed by vegetables (85.27%) and non-rice crops
(83.08%). Whilst wet season and dry season rice returned a negative gross profit
value of USD197.27 and USD90.60 on average per household, respectively, both were
ranked as most important for household consumption, followed by poultry,
providing the main source of energy (rice) and protein (chicken meat) and
sustaining household food requirements. The study concludes that although
smallholder cattle-owning households in Cambodia undertake a diverse range of on
farm activities, as cattle raising provides a superior income source due to
higher returns and lower variable costs, it could be promoted as a preferred
livelihood activity by agencies conducting rural extension activities. Although
consideration of available labour and monetary funds to invest in cattle raising
is required, it was observed that income from off-farm sources may prove
beneficial in providing the additional monetary funds to support cattle-raising
activities and assist in providing generally poor smallholder households with
enhanced economic resilience.
PMID- 29796791
TI - Effect of feeding slowly fermentable grains on productive variables and
amelioration of heat stress in lactating dairy cows in a sub-tropical summer.
AB - Feeding low-fiber and high-energy diets to dairy cows is one approach to
ameliorate heat stress (HS) by reducing heat increment (HI) during digestion.
However, rapidly and slowly fermentable cereal grains differ in their HI. The aim
of this experiment was to quantify if feeding slowly fermentable grains
ameliorated the physiological responses to HS and improved milk production (MP)
in dairy cows. Holstein-Friesian lactating dairy cows were housed in shaded pens
and were fed either a total mixed ration (TMR) plus wheat (TMRW), a TMR plus
wheat treated with 2% of a commercial starch-binding agent (TMRB), or a TMR plus
corn (TMRC) (n = 8 cows per diet) during summer in Queensland, Australia.
Respiration rate (RR) and panting score (PS) were measured four times a day;
rumen temperature (RuT) was recorded every 20 min, and rectal temperature (RT)
and milk samples were obtained every 4 days. Cows fed slowly fermentable grains
had higher milk production (MP) than cows fed TMRW, and cows fed TMRC had lower
RT than those fed TMRW and TMRB (P < 0.001). Rumen temperature was positively
correlated with temperature-humidity index and negatively correlated with MP (P <
0.05). In summary, feeding TMRC ameliorated HS as indicated by lower RT and
improved MP in dairy cows. Milk production was improved with starch-binding
agents; however, this was not associated with efficient thermoregulatory
responses. Furthermore, determination of RuT enabled the prediction of changes in
physiological variables and productive responses due to HS in lactating dairy
cows.
PMID- 29796793
TI - Editorial: Special Issue on Immunohistochemical Biomarkers in Endocrine
Pathology.
PMID- 29796794
TI - European Society of Paediatric Radiology Abdominal Imaging Task Force
recommendations in paediatric uroradiology, part X: how to perform paediatric
gastrointestinal ultrasonography, use gadolinium as a contrast agent in children,
follow up paediatric testicular microlithiasis, and an update on paediatric
contrast-enhanced ultrasound.
AB - At the European Society of Paediatric Radiology (ESPR) annual meeting 2017 in
Davos, Switzerland, the ESPR Abdominal (gastrointestinal and genitourinary)
Imaging Task Force set out to complete the suggestions for paediatric abdominal
imaging and its procedural recommendations. Some final topics were addressed
including how to perform paediatric gastrointestinal ultrasonography. Based on
the recent approval of ultrasound (US) contrast agents for paediatric use,
important aspects of paediatric contrast-enhanced US were revisited.
Additionally, the recent developments concerning the use and possible brain
deposition of gadolinium as a magnetic resonance imaging contrast agent were
presented. The recommendations for paediatric use were reissued after considering
all available evidence. Recent insights on the incidence of neoplastic lesions in
children with testicular microlithiasis were discussed and led to a slightly
altered recommendation.
PMID- 29796795
TI - Shaken baby syndrome is real.
PMID- 29796796
TI - Correction to: Carotid artery intima-media thickness measurement in children with
normal and increased body mass index: a comparison of three techniques.
AB - The original version of this article contained a mistake in Fig. 1: The old
version was used during figure processing instead of the replacement. The correct
figure is shown below. The original article has been corrected.
PMID- 29796798
TI - Goliath as an acromegalic giant by Giovanni Lanfranco (1582-1647).
PMID- 29796799
TI - Increased serum interleukin-37 (IL-37) levels correlate with oxidative stress
parameters in Hashimoto's thyroiditis.
AB - PURPOSE: Interleukin-37 (IL-37), member of the IL-1 family, is a natural
suppressor of immune and inflammatory responses. Increased serum IL-37 levels
were observed in several autoimmune diseases, including Graves' disease. To our
knowledge, no data on Hashimoto's thyroiditis (HT) are available in the
literature. METHODS: Aim of our study was to measure serum IL-37 levels and
evaluate their relationship, if any, with oxidative stress markers in HT
patients. We enrolled 45 euthyroid HT patients (5 M e 40 F, median age 40 years)
and 50 age- and sex-matched healthy controls. None was under L-thyroxine therapy.
Serum IL-37 levels were measured by ELISA. Specific serum tests, such as derived
reactive oxygen metabolites (d-ROMs), and biological anti-oxidant potential (BAP)
test were performed in all subjects to investigate the changes in oxidative
balance, and advanced glycation end products (AGEs) were determined as a specific
marker of oxidative stress. RESULTS: IL-37 levels were significantly higher in HT
than in controls (median 475 vs. 268 pg/ml, P = 0.018). In the same patients,
serum oxidants (d-ROMs) were increased and anti-oxidants (BAP) decreased compared
with controls (P = 0.011 and < 0.0001, respectively), clearly indicating an
enhanced oxidative stress. In addition, AGEs levels were higher in HT patients
than in controls (210 vs. 140 AU/g prot, P < 0.0001) and directly correlated with
IL-37 levels (P = 0.048). At multivariate analysis, the main independent
predictors that influenced IL-37 levels were both anti-thyroid antibodies (P =
0.026) and AGEs levels (P = 0.001). CONCLUSIONS: IL-37 is up-regulated in HT and
may exert a protective role by counteracting oxidative stress and inflammation.
PMID- 29796800
TI - Correction to Comparative Study of Toxicity of Alkoxyamines In Vitro and In Vivo.
AB - The author name M. V. Edeeva should read M. V. Edeleva.
PMID- 29796801
TI - KrioBlast(r) as a New Technology of Hyper-fast Cryopreservation of Cells and
Tissues. Part II. 2. Kinetic Vitrification of Human Pluripotent Stem Cells and
Spermatozoa [corrected].
AB - Pilot experiments on kinetic vitrification of human pluripotent stem cells and
spermatozoa using a KrioBlastTM-2 without penetrating cryoprotectants have shown
high survival of cells (75-85% in both cases).
PMID- 29796802
TI - Real-Time Recording of Platelet Adhesion to Fibrinogen-Coated Surface under Flow
Conditions.
AB - We present a testing system allowing real-time recording of the kinetics of
platelet adhesion to fibrinogen-coated surface under flow conditions. The system
consists of an optical flow chamber, semiconductor laser, two photodetectors,
analog-to-digital converter, computer, and peristaltic pump. Platelet adhesion to
fibrinogen-coated surface is recorded with two photodetectors and analyzed by the
intensity of total internal reflection and scattered laser radiation at the
boundary of the blood sample and fibrinogen-coated optical surface. Kinetics of
platelet adhesion was studied as a function of shear rate and platelet
concentration. The specificity of platelet adhesion with proteins on the surface
of the flow chamber was verified by blocking IIb/IIIa glycoprotein complex on
platelets with Fab2 fragments of monoclonal antibodies.
PMID- 29796797
TI - Consensus statement on abusive head trauma in infants and young children.
AB - Abusive head trauma (AHT) is the leading cause of fatal head injuries in children
younger than 2 years. A multidisciplinary team bases this diagnosis on history,
physical examination, imaging and laboratory findings. Because the etiology of
the injury is multifactorial (shaking, shaking and impact, impact, etc.) the
current best and inclusive term is AHT. There is no controversy concerning the
medical validity of the existence of AHT, with multiple components including
subdural hematoma, intracranial and spinal changes, complex retinal hemorrhages,
and rib and other fractures that are inconsistent with the provided mechanism of
trauma. The workup must exclude medical diseases that can mimic AHT. However, the
courtroom has become a forum for speculative theories that cannot be reconciled
with generally accepted medical literature. There is no reliable medical evidence
that the following processes are causative in the constellation of injuries of
AHT: cerebral sinovenous thrombosis, hypoxic-ischemic injury, lumbar puncture or
dysphagic choking/vomiting. There is no substantiation, at a time remote from
birth, that an asymptomatic birth-related subdural hemorrhage can result in
rebleeding and sudden collapse. Further, a diagnosis of AHT is a medical
conclusion, not a legal determination of the intent of the perpetrator or a
diagnosis of murder. We hope that this consensus document reduces confusion by
recommending to judges and jurors the tools necessary to distinguish genuine
evidence-based opinions of the relevant medical community from legal arguments or
etiological speculations that are unwarranted by the clinical findings, medical
evidence and evidence-based literature.
PMID- 29796803
TI - Effect of Intramuscular Administration of Mesenchymal Stem Cells and
Erythropoietin on Angiogenesis in Critical Limb Ischemia.
AB - We studied the effect of intramuscular injections of bone marrow mesenchymal
stromal cells on microcirculation parameters in an ischemic limb of Wistar rats.
It was shown that transplantation of mesenchymal stem cells with or without
erythropoietin stimulates angiogenesis in the ischemic zone. An essential role in
angiogenesis stimulation is played by cytokines and growth factors.
PMID- 29796804
TI - Endothelial Cells Modulate Differentiation Potential and Mobility of Mesenchymal
Stromal Cells.
AB - We studied the effect of endothelial cells on in vitro migration and
differentiation potential of multipotent mesenchymal stromal cells. Down
regulation of stemness genes OCT4, SOX2, and chondrogenic differentiation
regulator SOX9 gene and upregulation of osteogenesis master-gene RUNX2 in
mesenchymal stromal cells were observed in the presence of intact and TNFalpha
activated endothelial cells, which indicated an increase in commitment of
mesenchymal stromal cells.The medium conditioned by endothelial cells stimulated
migration activity of mesenchymal stromal cells; migration rate increased
significantly in conditioned medium from activated cells in comparison with
medium from non-activated cells. It was concluded that the interaction with
endothelial cells modulated functional activity of mesenchymal stromal cells;
moreover, activated endothelial cells produced more pronounced effects on
differentiation potential and migration activity of mesenchymal stromal cells
both in direct contact and through paracrine regulation.
PMID- 29796805
TI - Peculiarities of Molecular Mechanisms Involved in Modification of the Structural
and Molecular Organization of the Cell Genome.
AB - Within the framework of the previously proposed model of structural organization
of DNA that supplements the Watson-Crick model and is based on a mathematical
regulation - Fibonacci sequence, we suppose the existence of nucleotides without
nitrogenous base and acting as linkers connecting DNA subunits.
PMID- 29796806
TI - Isolation of Rat Olfactory Ensheathing Cells and Their Use in the Therapy of
Posttraumatic Cysts of the Spinal Cord.
AB - We evaluated the efficacy of rat olfactory ensheathing cells in the therapy of
experimental cysts of the spinal cord. Improvement of the motor function of the
hind limbs after transplantation of the olfactory ensheathing cells into the
posttraumatic spinal cord cysts rats was found. We also determined the required
number of cells for transplantation and demonstrated a neuroprotective effect of
this dosage. For further clinical studies, autologous tissue-specific cell
preparation of olfactory ensheathing cells has to be created. Cell therapy in
combination surgical and pharmacological treatment will substantially improve the
quality of life of patients with posttraumatic spinal cord cysts.
PMID- 29796807
TI - Composition of the Stabilizer and Conditions of Lyophilization for Preserving
Infectious Activity of Influenza Virus.
AB - For stabilization of vaccine preparations, they are lyophilized. The composition
of the protective medium is an important parameter affecting the quality of the
vaccine after drying. In view of the risk of spreading prion diseases, the use of
media containing animal proteins is not recommended. In this study, protective
media containing no animal proteins and lyophilization regimen were determined.
The optimum lyophilization regimen consisted of three stages: freezing at -70
degrees C, main stage at -35 degrees C, and drying at 24 degrees C. Protective
medium containing 4% trehalose or protective medium with 10% sucrose and 5% soy
peptone ensured highest stability of the lyophilized vaccine preparation in
temperature range of 4-24 degrees C. This can help to overcome possible break in
the cold chain, which is important during transporting or storage of vaccine
preparations.
PMID- 29796808
TI - Cell Death and Development of Fibrotic Alterations in Lung Granuloma of BALB/c
Mice during Chronic BCG-Induced Granulomatosis.
AB - Light microscopy, immunohistochemistry, and morphometric examinations established
that cell death in lung granulomas of BCG-infected mice resulted mainly from
activation of receptor-mediated apoptosis, which did not prevent the persistence
of the causative agent in macrophages of the granulomas and promoted the
formation of pronounced fibrosis in granulomas and pulmonary interstitium.
PMID- 29796809
TI - Matrix Metalloproteinases and Their Tissue Inhibitors in Blood Serum of Patients
with Endometrial Cancer: Clinical and Morphological Correlations.
AB - In patients with endometrial cancer (N=94), endometrial polyps (N=28),
endometrial hyperplasia (N=25), and healthy women (N=77), the serum contents of
MMP-2, MMP-7, MMP-9, TIMP-1, and TIMP-2 were measured by ELISA. Both carcinoma
and benign neoplasms were accompanied by significant elevation of MMP-7 and TIMP
2 in blood serum. The greatest elevation (in comparison with the control) was
observed for MMP-7, although serum concentration of this marker was practically
identical in patients with carcinoma and benign tumors. In contrast, the levels
of MMP-2 and TIMP-1 were lower in cancer patients in comparison with the control;
in these patients, the levels of MMP-9 and TIMP-1 were also lower than the
corresponding levels in patients with polyps and endometrial hyperplasia. There
were no significant correlations between the levels of examined markers with
tumor metastasizing, its histological structure, and differentiation degree of
endometrial cancer. No differences were observed between examined serological
markers in patients with polyps and endometrial hyperplasia of various
severities. The examined MMPs and TIMPs cannot be advanced as potential
diagnostic markers of endometrial cancer, but they can be used to monitor and
prognosticate the disease and to assess effectiveness of the targeted therapy.
PMID- 29796810
TI - Heart Rhythm Disturbances Caused by Injection of Cholinesterase Inhibitor
Physostigmine to Rats during the Early Ontogeny.
AB - Parameters of cardiac activity after administration of the cholinesterase
inhibitor physostigmine were analyzed in newborn rats and on day 16 of postnatal
development. The type of cardiovascular response to acetylcholine excess in
newborns and 16-day-old rats were similar, but they significantly differed by the
magnitude, which suggests that that maturation of cholinergic structures involved
in the regulation of cardiac activity is completed during the early postnatal
ontogeny.
PMID- 29796811
TI - Preventive Effects of Oxidized Dextran on Functional Activity of Pulmonary
Macrophages in Mice Infected with Influenza A Virus.
AB - We analyzed cytokine profile of pulmonary macrophages in mice infected with
highly pathogenic influenza A/H5N1 virus after preventive injections of oxidized
dextran. Light microscopy, immunohistochemistry, and morphometric examinations
showed that preventive injections of oxidized dextran led to more effective virus
elimination, modulation of the proinflammatory cytokine response, and host
antiviral response and reduce animal mortality. Our findings allow recommending
oxidized dextran for further studies in order to create a vaccine with antiviral
and adjuvant potencies.
PMID- 29796812
TI - In Vitro Effects of Sodium Nitroprusside and L-Nomega-Nitroarginine Methyl Ester
(L-NAME) on Activity of Lysosomal Cysteine Proteinases and Lysosomal Membrane
Permeability.
AB - The direct effect of 5 mM L-NAME and 0.1 mM sodium nitroprusside on activity of
lysosomal cysteine proteinases and permeability of lysosomal membrane was studied
in vitro after 1, 2, and 4 h of incubation. Isolated from the liver of intact
female rats lysosome suspensions were used. Both substances reduced total
activity of cathepsin H and did not affect cathepsin B at all time intervals. L
NAME increased cathepsin L activity at all incubation times, while sodium
nitroprusside increased activity of this enzyme after 2-h incubation and reduced
it incubation after 4-h incubation. L-NAME demonstrated a membrane-destabilizing
effect in in vitro experiments, while sodium nitroprusside on the contrary
stabilized lysosomal membranes.
PMID- 29796813
TI - Protective Role of Nitrate/Nitrite Reductase System during Transient Global
Cerebral Ischemia.
AB - The effects of a non-selective blocker of NO synthases LNNA in a dose of 25 mg/kg
and nitrates KNO3, NaNO3, Mg(NO3)2, and Ca(NO3)2 in doses of 5 and 50 mg/kg were
studied on the model of experimental ischemic stroke induced by bilateral
occlusion of the common carotid arteries. Wistar rats were randomized into 40
treatment (n=960) and 8 control (n=192) groups. Treatment group rats received
injection of either nitrate, or LNNA, or a combination LNNA+nitrate. All
substances were administered intraperitoneally 1 h prior to brain ischemia or 5
sec after occlusion of the common carotid arteries. Control rats received the
same volume of 0.9% NaCl at the same terms. The non-selective inhibitor of NO
synthases LNNA administered against the background of brain ischemia increased
neurological deficit and animal mortality. Nitrate/nitrite reductase system had
protective properties depending on the cation of nitrate and concentration of a
nitrate during inhibition of NO synthase system under conditions of brain
ischemia and hypoxia.
PMID- 29796814
TI - Radioprotective Properties of Neomitilan in Experimental Radiation Pneumonia.
AB - The efficiency of neomitilan, a polysaccharide isolated from Crenomytilus
grayanus mussels, was studied in experimental model of radiation pneumonia
(irradiation of the animal lungs with a total dose of 14 and 28 Gy). Histological
study showed that an increase in the number of nucleated components in the lungs
of animals subjected to neomitilan inhalation prior to irradiation. Proliferation
of bronchial epitheliocytes and the formation of additional lymphoid structures
were also revealed in these animals. The absence of a tendency to lung tissue
recovery in animals irradiated with 28 Gy is due to extreme radiation toxicity.
PMID- 29796815
TI - Retinoblastoma Cell Culturing and Evaluation of Their Drug Resistance.
AB - We obtained primary culture of retinoblastoma cells and evaluated the resistance
of cultured ells to chemotherapy. The study included 19 patients aged 6-64 months
(mean 27.9+/-17.4 months); of these, 6 (31.6%) patients with bilateral
retinoblastoma and 13 (68.4%) patients with unilateral form. In 18 (94.7%)
patients, group E retinoblastoma was diagnosed. Enucleation was performed in all
patients; in 94.7% cases, low-differentiated retinoblastoma was identified.
Samples of the tumor tissue were taken to derive a cell culture and to study drug
resistance and metabolic activity of cells (MTT test). In 4 cases, adhesion
primary cultures of retinoblastoma were derived. Cytological verification of the
obtained cultures was performed. The primary cultures were derived from 4 of 6
bilateral tumors and from none of 13 unilateral tumors (p=0.003). There were no
statistically significant correlations with patient age (p=0.33) and the presence
of calcifications in the tumor (p=0.26). MTT test revealed no differences in the
sensitivity of cell cultures to irinotecan and ifosfamide. Pronounced differences
in the resistance of cell cultures were observed for oxaliplatin and ascorbic
acid. MTT test with evaluation of drug resistance can be used both in clinical
practice for adjusting chemotherapy regimen and in development of new approaches
to the treatment of retinoblastoma with assessment of in vivo tumor cell
resistance in animal models.
PMID- 29796817
TI - Dynamics of Expression of Cytokine Genes and Macrophage Content in the Lungs and
Kidneys after Subtotal Hepatectomy in Rats.
AB - The role of the lungs and kidneys in liver regeneration after subtotal
hepatectomy was studied on a rat model. It was found that production of
hepatocyte growth factor (HGF) in the lungs and kidneys and expression of
cytokine genes Il1b, Il6, Il10, and tnfa significantly increased. Analysis of the
dynamics of lung macrophage population showed that accumulation of HGF and the
increase in the expression of cytokine genes in the lungs were accompanied by
simultaneous increase in the number of CD68+ cells, which attested to the leading
role of macrophages in activation of HGF synthesis in the lungs. Macrophage
content in the kidneys after subtotal hepatectomy did not increase.
PMID- 29796816
TI - Reinforced Hybrid Collagen Sponges for Tissue Engineering.
AB - We created an anisotropic material based on collagen sponge and reactive
polylactide structured by laser photopolymerization. The combination of collagen
with reactive polylactide improves the resistance of the formed matrices to
biodegradation in comparison with collagen sponge, while the existence of sites
with different mechanical characteristics and cell affinity on the matrix
provides directed cell growth during their culturing. It was shown that
reinforcement of the collagen sponges 7-fold increased the mean Young's modulus
for the hybrid matrix without affecting its cytotoxicity. The developed matrix
provides cell adhesion and proliferation along reinforcement lines and can be
used for fabrication of tissue engineering constructs.
PMID- 29796818
TI - Differences in the Expression of TLR-2, NOD2, and NF-kappaB in Placenta Between
Twins.
AB - Dizygotic twins share the same type of genetic relationship as non-twin siblings.
Whereas monozygotic (MZ) twins are considered to have identical genetic material,
they still differ. There is a number of reasons for early MZ twin discordance,
including differences in the in utero environment, stochasticity, genetic
mosaicism, and epigenetic factors. During gestation, the efficient innate immune
system is of utmost importance. Our study was based on immunohistochemical
evaluation of the differences in innate immune protein expression (TLR-2, NOD2,
and NF-kappaB) in the 95 placentas between twins. Our study revealed statistical
significant differences between diamniotic-dichorionic and monoamniotic
dichorionic twins. Monoamniotic-monochorionic twins exhibited no significant
differences in protein expressions. To identify epigenetic factors causing the
differences between twins, we made a series of comparisons with clinical data.
The study revealed more cases with infections, miscarriages, in vitro
fertilization, and premature rupture of membranes within the group with higher
differences level of NF-kappaB, NOD2 and TLR-2 between twins. In case of twin-to
twin transfusion syndrome, there were no significant differences in innate immune
protein expressions between twins. These results show that dissimilar genetic
material and separate in utero environment promote discordance in innate immune
protein expressions between twins. Moreover, additional blood flow between twins
may be favorable in life-threatening conditions ensuring similar
microenvironment.
PMID- 29796819
TI - Endoscopic transperineal pudendal nerve decompression: operative pudendoscopy.
AB - BACKGROUND: Pudendal nerve entrapment can produce a pudendal syndrome comprising
perineodynia together with urinary, sexual, and anorectal symptoms. This syndrome
can be treated surgically by the transperineal approach. By using an endoscope
during the procedure ("operative pudendoscopy"), the surgeon has close-up visual
control of each decompression steps, demonstrates the different levels of
entrapment, and cuts the sacrospinous ligament under visual control. The aim of
this study was to describe the technical details of this new technique and its
outcome in the treatment of the pudendal syndrome. METHODS: A series of 113
patients with severe pudendal syndrome underwent operative pudendoscopy. A
complete history, pain visual analog scale (VAS) for perineodynia, and four
scores evaluating the main symptoms (ICIQ-SF, NHI-CPSI, St Mark's, and Wexner)
were obtained before and at least 24 months after surgery. The three clinical
signs of pudendal syndrome (abnormal pinprick sensitivity, painful skin rolling
test, and painful pudendal nerve) and perineal descent were analyzed before and
after surgery in 91 patients. RESULTS: The mean operating time per side was 50.3
+/- 15.2 min and the average hospital stay was 2.1 +/- 0.4 days. Perineodynia VAS
dropped from 7.2 +/- 1.4 to 4.5 +/- 2.9 after surgery (p < 0.0001) and the
symptoms scores significantly improved. Frequency of sexual arousal syndrome,
dyspareunia, and cystalgia was also significantly reduced. Pathological perineal
descent (>= 1.5 cm measured with a Perineocaliper(r)) observed in 13 patients was
reduced from 1.81 to 0.77 cm after surgery (p < 0.0001). The only significant
complication was severe hemorrhage in one patient induced by an inferior gluteal
vessel laceration and successfully treated by arterial embolization. CONCLUSIONS:
A complete pudendal nerve decompression, from the distal branches to the sacral
foramina, safely performed under visual control by using operative pudendoscopy
markedly improves clinical signs and symptoms of the pudendal syndrome.
PMID- 29796820
TI - Head salvage of an infected neck of femur fracture in an adult: a case report.
AB - Head preservation of an infected neck of femur fracture appears to be extremely
rare with no described cases in literature till date. We present the outcome of
head salvage in a young adult with an infected neck of femur nonunion who in
addition had chronic osteomyelitic sequelae of his entire femur with reactivation
of latent infection in the distal femoral diaphysis. Osteosynthesis was performed
by means of cancellous screw fixation augmented with bone substitute following a
failed attempt at salvage with a valgus intertrochanteric osteotomy. The patient
had an excellent functional outcome with near normal hip range of movements at a
follow-up of 5 years after union.
PMID- 29796821
TI - An anatomical study on the effectiveness of Arthrex Mini TightRope(r) ligament
reconstruction in an unstable trapeziometacarpal joint.
AB - INTRODUCTION: Laxity in the trapeziometacarpal (TMC) joint is a debilitating
condition usually affecting a young population. It can be treated operatively
with ligament reconstruction. The purpose of this study was to determine the
effectiveness of the Arthrex Mini TightRope(r) in reinforcing the stabilizing
ligaments in an unstable TMC joint without decreasing the range of motion of the
thumb. This method was compared with the "gold standard" published by Eaton and
Littler in 1973. MATERIALS AND METHODS: Six fresh frozen arms from five cadavers
were included. TMC joint laxity was measured on stress view radiographs as the
ratio of the radial subluxation (RS) of the first metacarpal in relation to the
trapezium, by the first metacarpal articular width (AW) (as described by Wolf in
2009). Measurements of the pre- and post-operative range of motion (ROM) were
performed and compared. RESULTS: Both the Arthrex Mini TightRope(r) and the Eaton
Littler stabilization improved the stability of the TMC joint. The pre-operative
laxity value (ratio RS/AW) of 0.27 was significantly (P = 0.02) improved by each
of the surgical interventions (Eaton-Littler RS/AW = 0.05 and Tightrope RS/AW =
0.09). The pre- and post-operative range of motion was not significantly
different by each of the surgical interventions. There were no significant
differences between the two stabilizing methods regarding laxity or range of
motion. CONCLUSION: The Arthrex Mini TightRope(r) provided a good stabilization
method for the TMC joint in this anatomical model without compromising the range
of motion.
PMID- 29796822
TI - Prevalence and anatomy of anomalous left vertebral artery originated from aorta
evaluated by computed tomographic angiography.
AB - PURPOSE: We evaluated anatomical characteristics and clinical significance of
left vertebral artery (VA) originating from aortic arch (AA) by computed
tomography (CT) angiography. METHODS: CT angiography was performed in 3460
patients between March 01, 2014 and November 30, 2015. We examined course of
prevertebral VA (PVVA) segment and level of entry into the cervical vertebra
transverse foramen (CVTF) of left VA originated from AA. RESULTS: One hundred
fifty-three of 3460 patients had left VA originated from AA. Six of 153 patients
had dual origin of VA. Entry level to CVTF of 156 left VAs in 153 cases ranged
from C3 to C6. Entry level to CVTF of 156 right VAs in 153 cases ranged from C3
to C7. One hundred fifty-six right PVVA segments positioned in longus colli
muscle lateral side in 112 VAs, longus colli muscle anterior surface near longus
colli muscle lateral margin in 41 VAs, and unknown location in three VAs. One
hundred fifty-six left PVVA segments positioned in anterior surface of longus
colli muscle midline in 5 cases, anterior surface of longus colli muscle near
longus colli lateral margin in 138 cases, longus colli muscle lateral side in 12
cases, and anterior surface of anterior scalene muscle midline in one case.
CONCLUSIONS: Left VA may arise from the AA. If a long PVVA segment entering
higher CVTF is present, operator can perform anterior cervical surgery via
contralateral approach for avoidance of VA injury.
PMID- 29796823
TI - Investigation on the benefits of mycophenolate mofetil and therapeutic drug
monitoring in the treatment of Japanese patients with lupus nephritis.
AB - BACKGROUND: Mycophenolate mofetil (MMF) is recommended as a first-line
immunosuppressant to treat lupus nephritis (LN). Prognosis and therapeutic
response in LN are known to vary depending on race. We investigated the benefits
of MMF and therapeutic drug monitoring (TDM) in the treatment of Japanese LN
patients. METHODS: In this retrospective cohort study, a total of 20 patients
with LN who started MMF treatment were included. Clinical data were collected
regularly after MMF administration. We evaluated complete remission (CR) rate as
the primary outcome. Predictors of CR were identified using univariate and
multivariate analyses. In the research of TDM, the correlation with the area
under the curve (AUC) was analyzed at MMF dose, single-point value, treatment
response, and adverse events. RESULTS: Overall, 70% of cases showed CR; both
flare-ups and refractory cases had favorable results. Cases of LN with nephrotic
syndrome (NS) or class III/IV + V showed a significantly lower CR rate (p <
0.005). The ratio of maintaining CR after MMF therapy was as high as 85.7%. In
multivariate analysis, NS was an independent negative predictor of CR (HR 0.09,
95% confidence interval 0.01-0.81; p = 0.03). The relationship between AUC and
MMF dose was low, and AUC correlated with trough level (r = 0.73). AUC tended to
be high in the treatment responder (p = 0.09), but did not correlate with adverse
events of infection (p = 0.92). CONCLUSION: MMF is a beneficial treatment option
for Japanese LN patients, and further investigation on TDM-based therapy is
needed.
PMID- 29796824
TI - Follicular Lymphoma: Past, Present, and Future.
AB - OPINION STATEMENT: Even in the modern era, follicular lymphoma (FL) remains
largely an incurable but treatable disease with both standard and novel treatment
modalities. Despite the abundance of efficacious treatment modalities currently
available, there is no universally agreed upon standard approach to treatment for
patients with FL, particularly in the relapsed/refractory (R/R) setting. There is
an increasing need for better tools to risk-stratify patients and to identify
those likely to experience relapse early. Additionally, the use of gene
expression profiling and next-generation sequencing techniques in recent years
has led to a wealth of knowledge regarding the molecular drivers of
lymphomagenesis; however, much of this knowledge is not currently applicable on a
day to day basis in the clinic setting. Further studies are needed to determine a
validated, clinically relevant predictive model that incorporates patient factors
and molecular factors that will guide clinicians on the most effective treatment
strategy. With many questions left unanswered, it is our opinion that the
treatment of FL and sequencing of therapy in the R/R setting should be a
personalized approach that balances patient-specific factors such as preferences
and comorbidities with treatment-related factors such as known response rates and
toxicity profiles.
PMID- 29796825
TI - C-arm fluoroscopy in orthopaedic surgical practice.
AB - The use of C-arm fluoroscopy in intraoperative orthopaedic procedures has become
an important tool in modern orthopaedic surgical practice. It enhances the
technical proficiency of the surgeon in addition to reducing the morbidity and
length of hospital stay of the patient. Despite the documented benefits of this
device, there has been a growing concern about the increased radiation exposure
to the surgical team. We therefore present the review of the literature on the
usefulness of C-arm fluoroscopy, pitfalls in application of the machine as well
as the harmful radiation effects and precautionary measures that need to be
observed when using the C-arm fluoroscopy in orthopaedic surgical procedures.
PMID- 29796826
TI - Wrist hemiarthroplasty for irreparable DRF in the elderly.
AB - INTRODUCTION: The authors update their results of wrist hemiarthroplasty for
irreparable distal radius fracture in the elderly, at a minimum of 2-year follow
up. MATERIALS AND METHODS: Between 2011 and 2018, 25 consecutive independent
elderly patients (24 female, 27 wrists) were treated with wrist hemiarthroplasty
for distal radius fracture at a single institution. The average age was 77 years
(range 65-88). They all were independent at home. A total of 19 wrists were
treated at the acute stage, and 8 secondary procedures. The average follow-up was
32 months (range 24-44). RESULTS: There was no dislocation, loosening, infection
nor removal of the implants. We observed 3 CRPS. At final follow-up, the average
VAS pain was 1/10, mean forearm pronation/supination arc was 150 degrees , and
mean active flexion-extension arc was 60 degrees . Average wrist extension was 36
degrees . Mean grip strength was 68% of contralateral side. Mean Lyon wrist score
was 74%. Mean Quick DASH score was 26%, and mean PRWE score was 25%. DISCUSSION:
Our data suggest that treatment of acute irreparable distal radius fracture in
the independent elderly patient with a bone-preserving primary wrist
hemiarthroplasty may be a viable option. Longer-term follow-up are needed to
confirm these preliminary data.
PMID- 29796827
TI - In vitro cell response on CP-Ti surfaces functionalized with TGF-beta1 inhibitory
peptides.
AB - Osseointegration of implants is conversely related to the generation of a fibrous
tissue capsule around the implant by the host environment. Although TGF-beta1
plays many roles in regeneration processes, it is the cytokine to be mostly
associated to the production of fibrotic tissue and thus, its inhibition has
demonstrated to be beneficial to prevent several fibrotic reactions. Surface
biofunctionalization enables the immobilization of biologically active molecules
on an implant surface to tailor the biological response of the host. Here, we
studied in vitro biological effects of biofunctionalized CP-Ti surfaces with a
TGF-beta1 inhibitor peptide, P144. A reliable biofunctionalization process that
tethers P144 peptides to commercially pure titanium was developed.
Differentiation of human mesenchymal stem cells, osteoblasts and fibroblasts on
P144-functionalized and control surfaces was assessed at the gene expression and
protein production levels. Results showed that P144-functionalized surfaces
reduced expression and production of fibrotic differentiation markers and
increased osteoblastic differentiation markers. Therefore, biofunctionalization
of surfaces with TGF-beta1 inhibitor peptides are an alternative promising
strategy for inducing osseointegration around medical devices and implants.
PMID- 29796828
TI - A Multi-Responsive Naphthalimide-Based "Turn-on" Fluorescent Chemosensor for
Sensitive Detection of Trivalent Cations Ga3+, Al3+ and Cr3.
AB - A new multifunctional chemosensor 1, (E)-2-(((2-hydroxynaphthalen-1
yl)methylene)amino)-1H-benzo[de]isoquinoline-1,3(2H)-dione, based on naphtalimide
and naphthaldehyde was developed, which showed the fluorescence responses to
trivalent metal ions (Ga3+, Al3+ and Cr3+). Sensor 1 detected and differentiated
selectively trivalent metal ions Ga3+, Al3+ and Cr3+ by fluorescence enhancement
at different emissions. The association constant of Ga3+-2?1 complex is the
highest one among those of the organic chemosensors reported, to date. The
sensing mechanisms for Ga3+, Al3+ and Cr3+ were explained by UV-vis titrations,
Job plots, ESI-mass analyses and theoretical calculations.
PMID- 29796829
TI - The hindbrain is a site of energy balance action for prolactin-releasing peptide:
feeding and thermic effects from GPR10 stimulation of the nucleus tractus
solitarius/area postrema.
AB - PURPOSE: Prolactin-releasing peptide (PrRP) is a neuropeptide that suppresses
food intake and increases body temperature when delivered to the forebrain
ventricularly or parenchymally. However, PrRP's receptor GPR10 is widely
distributed throughout the brain with particularly high levels found in the
dorsomedial hindbrain. Thus, we hypothesized that hindbrain-directed PrRP
administration would affect energy balance and motivated feeding behavior.
METHODS: To address this hypothesis, a range of behavioral and physiologic
variables were measured in Sprague-Dawley rats that received PrRP delivered to
the fourth ventricle (4V) or the nucleus of the solitary tract (NTS) at the level
of the area postrema (AP). RESULTS: 4V PrRP delivery decreased chow intake and
body weight, in part, through decreasing meal size in ad libitum maintained rats
tested at dark onset. PrRP inhibited feeding when delivered to the nucleus
tractus solitarius (NTS), but not to more ventral hindbrain structures. In
addition, 4V as well as direct NTS administration of PrRP increased core
temperature. By contrast, 4V PrRP did not reduce ad libitum intake of highly
palatable food or the motivation to work for or seek palatable foods.
CONCLUSIONS: The dorsomedial hindbrain and NTS/AP, in particular, are sites of
action in PrRP/GPR10-mediated control of chow intake, core temperature, and body
weight.
PMID- 29796830
TI - Enhancement of oral bioavailability of doxorubicin through surface modified
biodegradable polymeric nanoparticles.
AB - BACKGROUND: Doxorubicin hydrochloride (DOX.HCl), an anthracycline glycoside
antibiotic, exhibits low oral bioavailability due to active efflux from
intestinal P-glycoprotein receptors. The oral administration of DOX remains a
challenge hence; no oral formulation for DOX is marketed, till date. AIM OF THE
STUDY: To improve the oral bioavailability of DOX through, preparation of a
nanoformulation i.e. PEGylated-doxorubicin(DOX)-loaded-poly-lactic-co-glycolic
acid (PLGA)-Nanoparticles (NPs) and to develop and validate an ultra-high
performance liquid chromatography electrospray ionization-synapt mass
spectrometric bioanalytical method (UHPLC/ESI-QTOF-MS/MS) for plasma (Wistar
rats) DOX quantification. MATERIALS AND METHODS: For chromatography, Waters
ACQUITY UPLCTM along with a BEH C-18 column (2.1 mm * 100 mm; 1.7 MUm), mobile
phase conditions (acetonitrile: 0.1% formic acid::1:1 v/v) and flow rate (0.20
ml/min) was used. For analyte recovery from rat plasma, a liquid-liquid
extraction method (LLE), using Acetonitrile: 5 mM ammonium acetate in a ratio of
6:4 v/v at pH 3.5, was used. RESULTS: Nanoformulation with a particle size
(183.10 +/- 7.41 nm), zeta potential (- 13.10 +/- 1.04 mV), drug content (42.69
+/- 1.97 ug/mg) and a spherical shape and smooth surface was developed. An
elution time of 1.61 and 1.75 min along with a transition at m/z 544.42/397.27
and 528.46/321.41 were observed for DOX and internal standard (IS) Daunorubicin,
respectively. In addition, a linear dynamic range with r2 >= 0.9985 over a
concentration range of 1.00-2500.0 ng/ml was observed for different processes and
parameters used in the study. Similarly a marked improvement i.e. 6.8 fold was
observed, in PEGylated-DOX-PLGA-NPs as compared to DOX-S, in pharmacokinetics
studies. CONCLUSION: The promising approach of PEGylated-DOX-PLGA-NPs may provide
an alternate to intravenous therapy for better patient care.
PMID- 29796833
TI - Correction to: Review of Case Narratives from Fatal Overdoses Associated with
Injectable Naltrexone for Opioid Dependence.
AB - Introduction 3rd Paragraph.
PMID- 29796832
TI - Safety of Biologics, Including Biosimilars: Perspectives on Current Status and
Future Direction.
AB - In recent years, marketing of highly innovative and costly biologics improved the
management of high-burden diseases such as autoimmune diseases, cancers, and
chronic renal failure. Several widely prescribed biologics have recently lost or
will shortly lose their patents, thus opening avenues to the marketing of a
growing number of biosimilars worldwide, which are products similar in terms of
quality, safety, and efficacy to already licensed reference products, thus
allowing for potential savings in pharmaceutical expenditure. Numerous debates
about the interchangeability between biosimilars and reference products are still
ongoing, owing to concerns about potential immunogenicity raised by switching,
which may cause a lack of effect and toxicity. Patients successfully treated with
biologic therapy may theoretically receive biosimilars to contain costs, if
reference product and related biosimilar are judged as interchangeable. However,
the positions of regulatory agencies on the interchangeability and automatic
substitution of biologics with biosimilars are very different. The benefit-risk
profile of biosimilars has been often questioned by clinicians owing to the
limited amount of pre-marketing information on clinical efficacy and safety,
despite biosimilarity being based on a comparability exercise with the reference
product to gain the biosimilar approval. Nevertheless, after more than 10 years
of marketing from the first biosimilar approval in Europe, no proof of
differences in terms of the safety profile of biosimilars and originators has
been reported. In this context, post-marketing evaluation of both biologics and
biosimilars safety profiles through analyses from spontaneous reporting databases
and claims databases is crucial. An important issue for the pharmacovigilance of
biologics concerns the traceability, indicating the brand name and batch number
in spontaneous adverse drug reaction reports, but this requirement is not
frequently addressed. This review aims to provide an overview of the
characteristics and potential challenges in the safety profile assessment of
biologics with a focus on the post-marketing setting.
PMID- 29796831
TI - Prescription Opioid Fatalities: Examining Why the Healer Could be the Culprit.
AB - Prescription opioid use has increased rapidly in developed countries, as have
fatalities and other related adverse events. This review examines the intrinsic
characteristics of opioids, including their mechanisms of action and
pharmacokinetic and pharmacodynamic properties, to determine how the use of a
regonised pharmacological remedy for a medically confirmed ailment could result
in an accidental fatality. Opioids trigger biological processes that inhibit
their own therapeutic effect. Prolonged use of opioids can result in activation
of pronociceptive systems, leading to opioid-induced hyperalgesia and tolerance,
while opioid metabolites can antagonise the antinociceptive action of the parent
drug, also leading to opioid-induced hyperalgesia and tolerance. Pain stimulates
respiration and counteracts the respiratory depression effect of opioids.
Analgesia from opioids leads to loss of this protective mechanism, leading to
increased risk of death due to respiratory failure. Increased patient counseling
during opioid prescribing and dispensing, and limiting prescription to short-term
use in non-malignant pain, may decrease the adverse effects of opioids. The vast
majority of patients who unintentionally experience serious adverse events from
pharmaceutical opioids do not start out as drug seekers. Even opioid use within
prescribing guidelines can place some patients at risk of death and may prevent
patients from seeking help for prescription opioid dependence.
PMID- 29796834
TI - Computer-assisted liver graft steatosis assessment via learning-based texture
analysis.
AB - PURPOSE: Fast and accurate graft hepatic steatosis (HS) assessment is of primary
importance for lowering liver dysfunction risks after transplantation.
Histopathological analysis of biopsied liver is the gold standard for assessing
HS, despite being invasive and time consuming. Due to the short time availability
between liver procurement and transplantation, surgeons perform HS assessment
through clinical evaluation (medical history, blood tests) and liver texture
visual analysis. Despite visual analysis being recognized as challenging in the
clinical literature, few efforts have been invested to develop computer-assisted
solutions for HS assessment. The objective of this paper is to investigate the
automatic analysis of liver texture with machine learning algorithms to automate
the HS assessment process and offer support for the surgeon decision process.
METHODS: Forty RGB images of forty different donors were analyzed. The images
were captured with an RGB smartphone camera in the operating room (OR). Twenty
images refer to livers that were accepted and 20 to discarded livers. Fifteen
randomly selected liver patches were extracted from each image. Patch size was
[Formula: see text]. This way, a balanced dataset of 600 patches was obtained.
Intensity-based features (INT), histogram of local binary pattern ([Formula: see
text]), and gray-level co-occurrence matrix ([Formula: see text]) were
investigated. Blood-sample features (Blo) were included in the analysis, too.
Supervised and semisupervised learning approaches were investigated for feature
classification. The leave-one-patient-out cross-validation was performed to
estimate the classification performance. RESULTS: With the best-performing
feature set ([Formula: see text]) and semisupervised learning, the achieved
classification sensitivity, specificity, and accuracy were 95, 81, and 88%,
respectively. CONCLUSIONS: This research represents the first attempt to use
machine learning and automatic texture analysis of RGB images from ubiquitous
smartphone cameras for the task of graft HS assessment. The results suggest that
is a promising strategy to develop a fully automatic solution to assist surgeons
in HS assessment inside the OR.
PMID- 29796835
TI - A virtual pointer to support the adoption of professional vision in laparoscopic
training.
AB - PURPOSE: To assess a virtual pointer in supporting surgical trainees' development
of professional vision in laparoscopic surgery. METHODS: We developed a virtual
pointing and telestration system utilizing the Microsoft Kinect movement sensor
as an overlay for any imagine system. Training with the application was compared
to a standard condition, i.e., verbal instruction with un-mediated gestures, in a
laparoscopic training environment. Seven trainees performed four simulated
laparoscopic tasks guided by an experienced surgeon as the trainer. Trainee
performance was subjectively assessed by the trainee and trainer, and objectively
measured by number of errors, time to task completion, and economy of movement.
RESULTS: No significant differences in errors and time to task completion were
obtained between virtual pointer and standard conditions. Economy of movement in
the non-dominant hand was significantly improved when using virtual pointer
([Formula: see text]). The trainers perceived a significant improvement in
trainee performance in virtual pointer condition ([Formula: see text]), while the
trainees perceived no difference. The trainers' perception of economy of movement
was similar between the two conditions in the initial three runs and became
significantly improved in virtual pointer condition in the fourth run ([Formula:
see text]). CONCLUSIONS: Results show that the virtual pointer system improves
the trainer's perception of trainee's performance and this is reflected in the
objective performance measures in the third and fourth training runs. The benefit
of a virtual pointing and telestration system may be perceived by the trainers
early on in training, but this is not evident in objective trainee performance
until further mastery has been attained. In addition, the performance improvement
of economy of motion specifically shows that the virtual pointer improves the
adoption of professional vision- improved ability to see and use laparoscopic
video results in more direct instrument movement.
PMID- 29796838
TI - Imaging and Genetic Approaches to Inform Biomarkers for Anxiety Disorders,
Obsessive-Compulsive Disorders, and PSTD.
AB - Anxiety disorders are the most common mental health problem in the world and also
claim the highest health care cost among various neuropsychiatric disorders.
Anxiety disorders have a chronic and recurrent course and cause significantly
negative impacts on patients' social, personal, and occupational functioning as
well as quality of life. Despite their high prevalence rates, anxiety disorders
have often been under-diagnosed or misdiagnosed, and consequently under-treated.
Even with the correct diagnosis, anxiety disorders are known to be difficult to
treat successfully. In order to implement better strategies in diagnosis,
prognosis, treatment decision, and early prevention for anxiety disorders,
tremendous efforts have been put into studies using genetic and neuroimaging
techniques to advance our understandings of the underlying biological mechanisms.
In addition to anxiety disorders including panic disorder, generalised anxiety
disorder (GAD), specific phobias, social anxiety disorders (SAD), due to
overlapping symptom dimensions, obsessive-compulsive disorder (OCD), and post
traumatic stress disorder (PTSD) (which were removed from the anxiety disorder
category in DSM-5 to become separate categories) are also included for review of
relevant genetic and neuroimaging findings. Although the number of genetic or
neuroimaging studies focusing on anxiety disorders is relatively small compare to
other psychiatric disorders such as psychotic disorders or mood disorders,
various structural abnormalities in the grey or white matter, functional
alterations of activity during resting-state or task conditions, molecular
changes of neurotransmitter receptors or transporters, and genetic associations
have all been reported. With continuing effort, further genetic and neuroimaging
research may potentially lead to clinically useful biomarkers for the prevention,
diagnosis, and management of these disorders.
PMID- 29796836
TI - The Severity of Spinal Cord Injury Determines the Inflammatory Gene Expression
Pattern after Immunization with Neural-Derived Peptides.
AB - Previous studies revealed that the intensity of spinal cord injury (SCI) plays a
key role in the therapeutic effects induced by immunizing with neural-derived
peptides (INDP), as severe injuries abolish the beneficial effects induced by
INDP. In the present study, we analyzed the expression of some inflammation
related genes (IL6, IL12, IL-1beta, IFNgamma, TNFalpha, IL-10, IL-4, and IGF-1)
by quantitative PCR in rats subjected to SCI and INDP. We investigated the
expression of these genes after a moderate or severe contusion. In addition, we
evaluated the effect of INDP by utilizing two different peptides: A91 and Cop-1.
After moderate injury, both A91 and Cop-1 elicited a pattern of genes
characterized by a significant reduction of IL6, IL1beta, and TNFalpha but an
increase in IL10, IL4, and IGF-1 expression. There was no effect on IL-12 and
INFgamma. In contrast, the opposite pattern was observed when rats were subjected
to a severe spinal cord contusion. Immunization with either peptide caused a
significant increase in the expression of IL-12, IL-1beta, IFNgamma (pro
inflammatory genes), and IGF-1. There was no effect on IL-4 and IL-10 compared to
controls. After a moderate SCI, INDP reduced pro-inflammatory gene expression and
generated a microenvironment prone to neuroprotection. Nevertheless, severe
injury elicits the expression of pro-inflammatory genes that could be aggravated
by INDP. These findings correlate with our previous results demonstrating that
severe injury inhibits the beneficial effects of protective autoimmunity.
PMID- 29796840
TI - CME instructions: Invasive assessment of coronary artery disease.
PMID- 29796839
TI - Does Anhedonia Presage Increased Risk of Posttraumatic Stress Disorder? :
Adolescent Anhedonia and Posttraumatic Disorders.
AB - Anhedonia, the reduced ability to experience pleasure, is a dimensional entity
linked to multiple neuropsychiatric disorders, where it is associated with
diminished treatment response, reduced global function, and increased
suicidality. It has been suggested that anhedonia and the related disruption in
reward processing may be critical precursors to development of psychiatric
symptoms later in life. Here, we examine cross-species evidence supporting the
hypothesis that early life experiences modulate development of reward processing,
which if disrupted, result in anhedonia. Importantly, we find that anhedonia may
confer risk for later neuropsychiatric disorders, especially posttraumatic stress
disorder (PTSD). Whereas childhood trauma has long been associated with increased
anhedonia and increased subsequent risk for trauma-related disorders in
adulthood, here we focus on an additional novel, emerging direct contributor to
anhedonia in rodents and humans: fragmented, chaotic environmental signals
("FRAG") during critical periods of development. In rodents, recent data suggest
that adolescent anhedonia may derive from aberrant pleasure/reward circuit
maturation. In humans, recent longitudinal studies support that FRAG is
associated with increased anhedonia in adolescence. Both human and rodent FRAG
exposure also leads to aberrant hippocampal function. Prospective studies are
underway to examine if anhedonia is also a marker of PTSD risk. These preliminary
cross-species studies provide a critical construct for future examination of the
etiology of trauma-related symptoms in adults and for and development of
prophylactic and therapeutic interventions. In addition, longitudinal studies of
reward circuit development with and without FRAG will be critical to test the
mechanistic hypothesis that early life FRAG modifies reward circuitry with
subsequent consequences for adolescent-emergent anhedonia and contributes to risk
and resilience to trauma and stress in adulthood.
PMID- 29796837
TI - Transcriptional Regulation Involved in Fear Memory Reconsolidation.
AB - Memory reconsolidation has been demonstrated to offer a potential target period
during which the fear memories underlying fear disorders can be disrupted.
Reconsolidation is a labile stage that consolidated memories re-enter after
memories are reactivated. Reactivated memories, induced by cues related to
traumatic events, are susceptible to strengthening and weakening. Gene
transcription regulation and protein synthesis have been suggested to be required
for fear memory reconsolidation. Investigating the transcriptional regulation
mechanisms underlying reconsolidation may provide a therapeutic method for the
treatment of fear disorders such as post-traumatic stress disorder (PTSD).
However, the therapeutic effect of treating a fear disorder through interfering
with reconsolidation is still contradictory. In this review, we summarize several
transcription factors that have been linked to fear memory reconsolidation and
propose that transcription factors, as well as related signaling pathways can
serve as targets for fear memory interventions. Then, we discuss the application
of pharmacological and behavioral interventions during reconsolidation that may
or not efficiently treat fear disorders.
PMID- 29796843
TI - Robotic repair of left-sided partial anomalous pulmonary venous connection to the
coronary sinus.
AB - Left-sided partial anomalous pulmonary venous connection to the coronary sinus is
a rare congenital cardiac defect. Surgical repair is indicated to prevent
cardiopulmonary morbidities that may occur in later age. Although the
conventional median sternotomy or thoracotomy incisions are used during surgical
repair, robotic surgery can be a feasible alternative approach to this pathology.
In this case, we report a 14-year-old child, who was diagnosed with left partial
anomalous pulmonary venous connection to the coronary sinus. A total endoscopic
robotic repair was successfully done via right atriotomy approach. After routing
of the pulmonary venous return from the left lung to the left atrium, the
interatrial septum was reconstructed with a pericardial patch. We report a
successful use of totally endoscopic robotic approach in a patient diagnosed with
left-sided partial anomalous pulmonary venous connection.
PMID- 29796841
TI - Association of hyperhomocysteinemia with genetic variants in key enzymes of
homocysteine metabolism and methotrexate toxicity in rheumatoid arthritis
patients.
AB - OBJECTIVES: The study investigated the association between plasma homocysteine,
folate and vitamin B12 with 5,10 methylenetetrahydrofolate reductase (MTHFR C677T
and A1298C), thymidylate synthase (TYMS 2R -> 3R) and methionine synthase (MTR
A2756G) polymorphisms and methotrexate (MTX) treatment and toxicity in Tunisian
Rheumatoid arthritis (RA) patients. METHODS: A total of 185 patients with RA were
included. Homocysteine (Hcy) was assessed by fluorescence polarization
immunoassay, and folate and vitamin B12 were measured by chemiluminescence
immunoassays. The genetic polymorphisms were analyzed by PCR or PCR-RFLP.
Hyperhomocysteinemia (HHC) was considered for Hcy > 15 umol/L. RESULTS: MTHFR
C677T polymorphism was associated with HHC in RA patients (multi-adjusted OR, 95%
CI 2.18, [1.07-4.57]; p = 0.031). No association was detected with the remaining
polymorphisms. Plasma Hcy, folate, and vitamin B12 did not differ according to
each polymorphism, or with MTX treatment or toxicity. However, HHC was more
prevalent in patients with than those without MTX toxicity (32.7 vs. 16.7%; p =
0.035). CONCLUSIONS: The MTHFR 677TT genotype is an independent risk factor for
HHC in Tunisians RA patients. HHC could be a useful marker of MTX toxicity in RA
patients.
PMID- 29796842
TI - sRAGE attenuates angiotensin II-induced cardiomyocyte hypertrophy by inhibiting
RAGE-NFkappaB-NLRP3 activation.
AB - OBJECTIVE AND DESIGN: The receptor for advanced glycation endproducts (RAGE) is
an innate immunity receptor that has been implicated in the pathogenesis of
atherosclerotic cardiovascular disease. However, the possibility that RAGE
mediated signaling is involved in angiotensin II (Ang II)-induced cardiac left
ventricular hypertrophy has yet to be investigated. We therefore determined
whether RAGE has a role in regulating pathological cardiac hypertrophy. MATERIALS
AND SUBJECTS: Protein abundance was estimated using Western blotting and
intracellular ROS level and phospho-p65 were detected using fluorescence
microscopy. Enzyme-linked immunosorbent assay was used to detect HMGB1 and IL
1beta. All in vitro experiments were performed using H9C2 cells. TREATMENTS: To
induce cardiomyocyte hypertrophy, 300 nM Ang II was treated for 48 h and 2 ug/ml
sRAGE was treated 1 h prior to addition of Ang II. RESULTS: sRAGE attenuated Ang
II-induced cardiomyocyte hypertrophy by downregulating RAGE and angiotensin II
type 1 receptor expression. Secretion levels of high motility group box 1 and
interleukin-1beta, estimated from a cell culture medium, were significantly
reduced by sRAGE. Activated PKCs and ERK1/2, important signals in left
ventricular hypertrophy (LVH) development, were downregulated by sRAGE treatment.
Furthermore, we found that nuclear factor-kappaB and NOD-like receptor protein 3
(NLRP3) were associated with RAGE-mediated cardiomyocyte hypertrophy.
CONCLUSIONS: In the context of these results, we conclude that RAGE induces
cardiac hypertrophy through the activation of the PKCs-ERK1/2 and NF-kappaB-NLRP3
IL1beta signaling pathway, and suggest that RAGE-NLRP3 may be an important
mediator of Ang II-induced cardiomyocyte hypertrophy. In addition, we determined
that inhibition of RAGE activation with soluble RAGE (sRAGE) has a protective
effect on Ang II-induced cardiomyocyte hypertrophy.
PMID- 29796844
TI - Tubulocystic renal cell carcinoma: a review of literature focused on radiological
findings for differential diagnosis.
AB - Tubulocystic renal cell carcinoma (TC-RCC) has been classified as an independent
subtype according to the 2016 World Health Organization (WHO) classification. It
is a rare subtype that predominantly affects men. Although few in number,
radiological imaging reports have suggested that TC-RCC is characterized by
multilocular cystic lesions, which are categorized as the Bosniak classification
II-IV, with signature pathological characteristics comprising numerous small
cysts or a tubular structure. The Bosniak classification system facilitates
patient management; however, the differentiation of cystic tumors exhibiting
similar imaging findings remains impossible; in fact, the differentiation of
multilocular cystic RCC, adult cystic nephroma, and mixed epithelial and stromal
tumor remains challenging. This review aims to discuss TC-RCC with a focus on
implications of radiological findings in the differential diagnosis of TC-RCC.
PMID- 29796845
TI - Small pancreatic ductal carcinomas on triple-phase contrast-enhanced computed
tomography: enhanced rims and the pathologic correlation.
AB - PURPOSE: To reveal the prevalence of small (<= 20 mm) pancreatic ductal
carcinomas with enhanced rims on triple-phase contrast-enhanced CT and correlate
the CT images with the pathologic findings. MATERIALS AND METHODS: Between April
2005 and April 2016, 45 patients underwent preoperative triple-phase contrast
enhanced CT and were pathologically diagnosed with small pancreatic ductal
carcinoma. CT images were independently reviewed by two radiologists. The
attenuation values of the enhanced rims, internal areas of the tumors, and
surrounding pancreatic parenchyma were compared using Mann-Whitney U test. These
areas were also correlated with the pathologic findings. Tumor invasiveness was
compared between the tumors with and without enhanced rims using Fisher's exact
test. RESULTS: Enhanced rims were identified in 18 tumors (40%) by consensus
between the two reviewers. The enhanced rims showed significantly higher mean
attenuation values compared with the internal areas of the tumors (p < 0.001) and
surrounding pancreatic parenchyma (p < 0.0086), and were most clearly visualized
on equilibrium phase. The enhanced rims pathologically reflected the abundant
fibrotic stroma with cancer cells in all tumors. There was no statistically
significant difference in tumor invasiveness between the tumors with and without
enhanced rims (anterior peripancreatic invasion, p = 0.137; posterior
peripancreatic invasion, p = 0.758; portal vein invasion, p = 0.639; and lymph
node metastases, p = 0.359). CONCLUSIONS: Enhanced rims were detected at a rate
of 40% in small pancreatic ductal carcinomas and could be an important finding
for diagnosis on CT images, but did not suggest a less aggressive nature.
PMID- 29796846
TI - Bayonet sign in dysphagia lusoria.
PMID- 29796847
TI - Learning by heart-the relationship between resting vagal tone and metacognitive
judgments: a pilot study.
AB - Metacognitive awareness and resting vagally mediated heart rate variability (HRV)
as a physiological trait marker of cognitive inhibitory control capacities are
both associated with better well-being and seem to share a common neural basis.
Executive functioning which is considered a prerequisite for delivering
prospective metacognitive judgments has been found to be correlated with HRV.
This pilot study addresses the question, whether metacognitive awareness and
resting vagally mediated HRV are positively associated. A sample of 20 healthy
participants was analyzed that completed a typical Judgment of Learning task
after an electrocardiogram had been recorded. The root-mean-squares of successive
differences were used to calculate vagally mediated HRV. Metacognitive awareness
was measured by comparing the judgments of learning with the actual memory
performance, yielding a deviation score. HRV was found to be positively
correlated with metacognitive awareness. Results suggest that metacognitive
abilities might relate to physiological trait markers of cognitive inhibitory
control capacities. Further experimental studies are needed to investigate causal
relations.
PMID- 29796848
TI - Comparison of complete versus partial mesh removal for the treatment of chronic
mesh infection after abdominal wall hernia repair.
AB - PURPOSE: Treatment of chronic mesh infections (CMI) after parietal repair is
difficult and not standardized. Our objective was to present the results of a
standardized surgical treatment including maximal infected mesh removal. METHODS:
Patients who were referred to our center for chronic mesh infection were analyzed
according to CMI risk factors, initial hernia prosthetic cure, CMI
characteristics and treatments they received to achieve a cure. RESULTS: Thirty
four patients (mean age 54 +/- 13 years; range 23-72), were included. Initial
prosthetic cure consisted of 26 incisional hernias and eight groin or umbilical
hernias of which 21% were considered potentially contaminated because of three
intestinal injuries, two stomas and two strangulated hernias. The mesh was
synthetic in all cases. CMI appeared after a mean of 83 days (range 30-6740) and
was characterized by chronic leaking in 52 cases (50%), an abscess in 22 cases
(21%) and synchronous hernia recurrence in 17 cases (16.5%). Eighty-six
reinterventions were necessary, including 36 mesh removals (42%), and 13
intestinal resections for entero-cutaneous fistula (15%). The CMI persistence
rate was 81% (35 reinterventions out of 43) when mesh removal was voluntarily
limited to infected and/or not incorporated material, but was 44% when mesh
removal was voluntarily complete (19 reinterventions out of 43; p < 0.001). On
average, 3.4 interventions (1-11) were necessary to achieve a cure, after 2.8
years (0-6). Fourteen incisional hernia recurrences occurred (41%). CONCLUSIONS:
Treatment of chronic mesh infection is lengthy and resource-intensive, with a
high risk of hernia recurrence. Maximal mesh removal is mandatory.
PMID- 29796850
TI - Inpatient use and area-level socio-environmental factors in people with
psychosis.
AB - PURPOSE: There is consistent evidence that socio-environmental factors measured
at an area-level, such as ethnic density, urban environment and deprivation are
associated with psychosis risk. However, whether area-level socio-environmental
factors are associated with outcomes following psychosis onset is less clear.
This study aimed to examine whether the number of inpatient days used by people
presenting to mental health services for psychosis was associated with five key
area-level socio-environmental factors: deprivation, ethnic density, social
capital, population density and social fragmentation. METHODS: Using a historical
cohort design based on electronic health records from the South London and
Maudsley NHS Trust Foundation electronic Patient Journey System, people who
presented for the first time to SLAM between 2007 and 2010 with psychosis were
included. Structured data were extracted on age at presentation, gender,
ethnicity, residential area at first presentation and number of inpatient days
over 5 years of follow-up. Data on area-level socio-environmental factors taken
from published sources were linked to participants' residential addresses. The
relationship between the number of inpatient days and each socio-environmental
factor was investigated in univariate negative binomial regression models with
time in contact with services treated as an offset variable. RESULTS: A total of
2147 people had full data on area level outcomes and baseline demographics, thus,
could be included in the full analysis. No area-level socio-environmental factors
were associated with inpatient days. CONCLUSION: Although a robust association
exists between socio-environmental factors and psychosis risk, in this study we
found no evidence that neighbourhood deprivation was linked to future inpatient
admissions following the onset of psychosis. Future work on the influence of area
level socio-environmental factors on outcome should examine more nuanced
outcomes, e.g. recovery, symptom trajectory, and should account for key
methodological challenges, e.g. accounting for changes in address.
PMID- 29796849
TI - The association of depression and posttraumatic stress disorder with the
metabolic syndrome in a multi-ethnic cohort: the HELIUS study.
AB - PURPOSE: Depression and posttraumatic stress disorder (PTSD) may be linked to the
metabolic syndrome (MetS). Consistency of this association across ethnic groups
and the influence of comorbidity of depression/PTSD were examined. METHODS: Cross
sectional baseline data from the HELIUS study were used (4527 Dutch, 2999 South
Asian Surinamese, 4058 African Surinamese, 2251 Ghanaian, 3522 Turkish and 3825
Moroccan participants). The Patient Health Questionnaire-9 (PHQ-9) (score range 0
27) measured depressive symptoms. A 9-item questionnaire (score range 0-9)
measured PTSD symptoms. The MetS was defined according to the International
Diabetes Federation. The association of a depressed mood (PHQ-9 sum score >= 10)
and severe PTSD symptoms (sum score >= 7) with the MetS was examined using
logistic regression. Interaction with ethnicity and between a depressed mood and
severe PTSD symptoms was tested. RESULTS: A depressed mood was associated with
the MetS [OR (95% CI) = 1.37 (1.24-1.51)] in the total sample and consistent
across ethnic groups (p values for interaction all > 0.05). Severe PTSD symptoms
were significantly associated with the MetS in the Dutch [OR (95% CI) = 1.71
(1.07-2.73)]. The South-Asian Surinamese, Turks and Moroccans showed weaker
associations than the Dutch (p values for interaction all < 0.05). A depressed
mood and severe PTSD symptoms did not interact in the association with the MetS
(p values for interaction > 0.05). CONCLUSIONS: A depressed mood was consistently
associated with the MetS across ethnic groups, but the association between severe
PTSD symptoms and the MetS maybe ethnicity dependent. The association with the
MetS was not different in case of depressed mood/severe PTSD symptoms
comorbidity.
PMID- 29796851
TI - A hyaluronic acid- and chondroitin sulfate-based medical device improves
gastritis pain, discomfort, and endoscopic features.
AB - Gastritis is an inflammation of the gastric mucosa. In this study, we
investigated the efficacy of a medical device, Esoxx(r), based on hyaluronic acid
and chondroitin sulfate on gastritis-related upper abdominal pain/discomfort and
endoscopic features. Fifty patients, affected by gastritis, were randomised to
receive the medical device or placebo. The primary endpoint was the medical
device efficacy on upper abdominal pain/discomfort associated with gastritis and
measured by Visual Analogue Scale (VAS). The secondary endpoints were the
efficacy of the medical device on gastritis-related mucosal erosions, blood
oozing, and hyperemia (redness)/edema, as assessed by endoscopy, and the
patients' rating of their compliance with the treatments. A significant reduction
in VAS pain was observed in the treatment group after a 5-week treatment, if
compared with placebo (p < 0.001). In summary, administration of a medical
device, based on hyaluronic acid and chondroitin sulfate, improves gastritis
related upper abdominal pain/discomfort and decreases mucosal erosions, blood
oozing, and hyperemia (redness)/edema at 5-week follow-up in patients affected by
gastritis.
PMID- 29796852
TI - The Draft Genome Sequence of Clostridium sp. Strain NJ4, a Bacterium Capable of
Producing Butanol from Inulin Through Consolidated Bioprocessing.
AB - A novel butanogenic Clostridium sp. NJ4 was successfully isolated and
characterized, which could directly produce relatively high titer of butanol from
inulin through consolidated bioprocessing (CBP). The assembled draft genome of
strain NJ4 is 4.09 Mp, containing 3891 encoded protein sequences with G+C content
of 30.73%. Among these annotated genes, a levanase, a hypothetical inulinase, and
two bifunctional alcohol/aldehyde dehydrogenases (AdhE) were found to play key
roles in the achievement of ABE production from inulin through CBP.
PMID- 29796853
TI - A Real-Time PCR with Melting Curve Analysis for Molecular Typing of Vibrio
parahaemolyticus.
AB - Foodborne disease caused by Vibrio parahaemolyticus is a serious public health
problem in many countries. Molecular typing has a great scientific significance
and application value for epidemiological research of V. parahaemolyticus. In
this study, a real-time PCR with melting curve analysis was established for
molecular typing of V. parahaemolyticus. Eighteen large variably presented gene
clusters (LVPCs) of V. parahaemolyticus which have different distributions in the
genome of different strains were selected as targets. Primer pairs of 18 LVPCs
were distributed into three tubes. To validate this newly developed assay, we
tested 53 Vibrio parahaemolyticus strains, which were classified in 13 different
types. Furthermore, cluster analysis using NTSYS PC 2.02 software could divide 53
V. parahaemolyticus strains into six clusters at a relative similarity
coefficient of 0.85. This method is fast, simple, and conveniently for molecular
typing of V. parahaemolyticus.
PMID- 29796855
TI - Editorial.
PMID- 29796854
TI - Breast cancer metastasis through the lympho-vascular system.
AB - Breast cancer metastasizes through the lymphovascular system to the regional
lymph nodes in the axilla and to both visceral and non-visceral sites. Renewed
interest in the route by which tumor cells gain access to blood and lymphatic
capillaries are the subject of research at mechanical, anatomic, pathologic,
genetic, epidemiologic and molecular levels. Two papers presented at the 7th
International Symposium on Cancer Metastasis in San Francisco showed tumor cells
entering the systemic circulation through the sentinel lymph node. This
information challenges the current paradigm where clinicians believe that access
is gained through intra- and peri-tumoral blood vessels and that metastasis to
axillary lymph nodes is an interesting epi-phenomenon. The sentinel lymph node
era has changed the modern surgical approach to the axilla and the basis of this
change is summarized in this paper. A new approach to the management of axillary
metastases after systemic therapy relies on determining whether there is a
complete pathologic response; if no tumor is found in the previously biopsied
node, a complete axillary lymph node dissection may be avoided. African American
women seem to inherit a trait from West African ancestors and tend to develop
more lethal types of breast cancer. These tumors may have a molecular machinery
that enhances their ability to metastasize to visceral sites and future research
may unearth the mechanisms for this phenomenon.
PMID- 29796856
TI - Impact of ischaemia-reperfusion cycles during ischaemic preconditioning on 2000-m
rowing ergometer performance.
AB - PURPOSE: Although ischaemic preconditioning (IPC), induced by cycles of transient
limb ischaemia and reperfusion, seems to improve exercise performance, the
optimal duration of ischaemia-reperfusion cycles is not established. The present
study investigated the effect of ischaemia-reperfusion duration within each IPC
cycle on performance in a 2000-m rowing ergometer test. METHODS: After
incremental and familiarization tests, 16 trained rowers (mean +/- SD: age, 24 +/
11 years; weight, 74.1 +/- 5.9 kg; [Formula: see text] peak, 67.2 +/- 7.4 mL.kg
1.min-1) were randomly submitted to a 2000-m rowing test preceded by intermittent
bilateral cuff inflation of the lower limbs with three cycles of ischaemia
reperfusion, lasting 5 min (IPC-5) or 10 min (IPC-10) at 220 or 20 mmHg
(control). Power output, [Formula: see text], heart rate, blood lactate
concentration, pH, ratings of perceived exertion (RPE), and near-infrared
spectroscopy-derived measurements of the vastus lateralis muscle were
continuously recorded. RESULTS: No differences among treatments were found in the
2000-m test (control: 424 +/- 17; IPC-5: 425 +/- 16; IPC-10: 424 +/- 17 s; P =
0.772). IPC-10 reduced the tissue saturation index and oxy-haemoglobin
concentration during exercise compared with control. The power output during the
last 100-m segment was significantly lower with IPC-10. The IPC treatments
increased the heart rate over the first 500 m and decreased the pH after
exercise. No alterations were observed in [Formula: see text], blood lactate, or
RPE among the trials. CONCLUSION: In conclusion, IPC does not improve the 2000-m
rowing ergometer performance of trained athletes regardless of the length of
ischaemia-reperfusion cycles.
PMID- 29796859
TI - Reply to Letter to the Editor "The effects of resveratrol on markers of oxidative
stress in patients with type 2 diabetes: a randomized, double-blind, placebo
controlled clinical trial".
PMID- 29796857
TI - Cross-education does not accelerate the rehabilitation of neuromuscular functions
after ACL reconstruction: a randomized controlled clinical trial.
AB - PURPOSE: Cross-education reduces quadriceps weakness 8 weeks after anterior
cruciate ligament (ACL) surgery, but the long-term effects are unknown. We
investigated whether cross-education, as an adjuvant to the standard
rehabilitation, would accelerate recovery of quadriceps strength and
neuromuscular function up to 26 weeks post-surgery. METHODS: Group allocation was
randomized. The experimental (n = 22) and control (n = 21) group received
standard rehabilitation. In addition, the experimental group strength trained the
quadriceps of the non-injured leg in weeks 1-12 post-surgery (i.e., cross
education). Primary and secondary outcomes were measured in both legs 29 +/- 23
days prior to surgery and at 5, 12, and 26 weeks post-surgery. RESULTS: The
primary outcome showed time and cross-education effects. Maximal quadriceps
strength in the reconstructed leg decreased 35% and 12% at, respectively, 5 and
12 weeks post-surgery and improved 11% at 26 weeks post-surgery, where strength
of the non-injured leg showed a gradual increase post-surgery up to 14% (all p <=
0.015). Limb symmetry deteriorated 9-10% more for the experimental than control
group at 5 and 12 weeks post-surgery (both p <= 0.030). One of 34 secondary
outcomes revealed a cross-education effect: Voluntary quadriceps activation of
the reconstructed leg was 6% reduced for the experimental vs. control group at 12
weeks post-surgery (p = 0.023). Both legs improved force control (22-34%) and
dynamic balance (6-7%) at 26 weeks post-surgery (all p <= 0.043). Knee joint
proprioception and static balance remained unchanged. CONCLUSION: Standard
rehabilitation improved maximal quadriceps strength, force control, and dynamic
balance in both legs relative to pre-surgery but adding cross-education did not
accelerate recovery following ACL reconstruction.
PMID- 29796860
TI - Effect of resveratrol in type 2 diabetes oxidative stress markers: a
reassessment.
PMID- 29796861
TI - Acute femoral shortening for reconstruction of a complex lower extremity crush
injury.
AB - Traumatic through-knee or transfemoral amputations with concomitant ipsilateral
femoral fractures are extremely rare injuries. The initial goal of management is
patient resuscitation and stabilization. Subsequent interventions focus on limb
salvage and the creation of a residual limb that can be fitted successfully for a
functional lower extremity prosthesis. We present the case of a patient who
sustained a traumatic through-knee amputation ipsilateral to an open comminuted
femoral fracture. Soft tissue injury prohibited initial primary closure over the
distal femoral condyles. A functional residual limb was achieved with acute
femoral shortening, maintenance of the femoral condyles and fracture
stabilization with a short retrograde intramedullary nail. This approach allowed
maintenance of muscular attachments to the femur, soft tissue closure and
resulted in a residual limb of acceptable length with a broad weight-bearing
surface that was fitted with a prosthesis successfully.
PMID- 29796858
TI - Intermittent versus constant aerobic exercise in middle-aged males: acute effects
on arterial stiffness and factors influencing the changes.
AB - PURPOSE: Both constant and intermittent acute aerobic exercises have been found
to decrease arterial stiffness. However, direct comparisons of these two types of
exercise are sparse. It is not known which type of exercise has the greatest
effect. METHODS: We evaluated the haemodynamic responses in 15 males (age 48.5 +/
1.3 years; BMI 27.5 +/- 0.8 kg m-2) following acute constant (CE) and
intermittent cycling exercise (IE). Duration and heart rate were matched during
both exercises (131.8 +/- 3.2 bpm for CE and 132.0 +/- 3.1 bpm for IE). Central
and peripheral arterial stiffness was assessed through pulse wave velocity (PWV).
Plasma concentrations of nitric oxide (NO), atrial natriuretic peptide (ANP),
blood lactate, noradrenaline, and adrenaline were measured before and after each
exercise. RESULTS: Central (+ 1.8 +/- 7.4 and - 6.5 +/- 6.8% for CE and IE) and
upper limb PWV (+ 2.7 +/- 6.2 and - 8 +/- 4.6% for CE and IE) were not
significantly altered although a small decrease (small effect size) was observed
after IE. However, lower limb PWV significantly decreased after exercises (- 7.3
+/- 5.7 and - 15.9 +/- 4% after CE and IE), with a larger effect after IE.
CONCLUSIONS: Greater decrease in lower limb PWV occurred after IE despite greater
heart rate. This may be due to the higher blood levels of lactate during IE,
while NO, ANP, noradrenaline, and adrenaline levels remained not statistically
different from CE. These results underlined the importance of lactate in
triggering the post-exercise vascular response to exercise, as well as its
regional characteristic.
PMID- 29796863
TI - Chronic Urticaria: Comparisons of US, European, and Asian Guidelines.
AB - PURPOSE OF REVIEW: Chronic urticaria is a common dermatological condition that
has significant impact on quality of life. Multiple international societies have
published guidelines, and although these guidelines generally agree on the
definition of urticaria, as well as approach to diagnosis and management, there
have been notable differences to date. These differences have been reconciled by
the recent publication of the 2017 revision and update published by the
EAACI/GA2LEN/EDF/WAO. RECENT FINDINGS: The 2017 revision and update to the
guidelines for chronic urticaria are the most comprehensive consensus document to
date, and reconcile previously existing differences between the US, European, and
Asian guidelines. The purpose of our review is to present basic background on
urticaria and discuss classification, diagnosis, and most importantly,
management. We present differences from previous US, European, and Asian
guidelines and reconcile the previous differences by summarizing the 2017
revision and update published by the EAACI/GA2LEN/EDF/WAO.
PMID- 29796862
TI - Molecular profiling of mucosal tissue associated microbiota in patients
manifesting acute exacerbations and remission stage of ulcerative colitis.
AB - Dysbiosis of intestinal microflora has been postulated in ulcerative colitis
(UC), which is characterized by imbalance of mucosal tissue associated bacterial
communities. However, the specific changes in mucosal microflora during different
stages of UC are still unknown. The aim of the current study was to investigate
the changes in mucosal tissue associated microbiota during acute exacerbations
and remission stages of UC. The mucosal microbiota associated with colon biopsy
of 12 patients suffering from UC (exacerbated stage) and the follow-up samples
from the same patients (remission stage) as well as non-IBD subjects was studied
using 16S rRNA gene-based sequencing and quantitative PCR. The total bacterial
count in patients suffering from exacerbated phase of UC was observed to be two
fold lower compared to that of the non-IBD subjects (p = 0.0049, Wilcox on
matched-pairs signed rank tests). Bacterial genera including Stenotrophomonas,
Parabacteroides, Elizabethkingia, Pseudomonas, Micrococcus, Ochrobactrum and
Achromobacter were significantly higher in abundance during exacerbated phase of
UC as compared to remission phase. The alterations in bacterial diversity with an
increase in the abnormal microbial communities signify the extent of dysbiosis in
mucosal microbiota in patients suffering from UC. Our study helps in identifying
the specific genera dominating the microbiota during the disease and thus lays a
basis for further investigation of the possible role of these bacteria in
pathogenesis of UC.
PMID- 29796864
TI - Multinomial models reveal deficits of two distinct controlled retrieval processes
in aging and very mild Alzheimer disease.
AB - Dual-process models of episodic retrieval reveal consistent deficits of
controlled recollection in aging and Alzheimer disease (AD). In contrast,
automatic familiarity is relatively spared. We extend standard dual-process
models by showing the importance of a third capture process. Capture produces a
failure to attempt recollection, which might reflect a distinct error from an
inability to recollect when attempted (Jacoby et al. Journal of Experimental
Psychology: General, 134(2), 131-148, 2005a). We used multinomial process tree
(MPT) modeling to estimate controlled recollection and capture processes, as well
as automatic retrieval processes, in a large group of middle-aged to older adults
who were cognitively normal (N = 519) or diagnosed with the earliest detectable
stage of AD (N = 107). Participants incidentally encoded word pairs (e.g., knee
bone). At retrieval, participants completed cued word fragments (e.g., knee b_n_)
with primes that were congruent (e.g., bone), incongruent (e.g., bend), or
neutral (i.e., &&&) to the target (e.g., bone). MPT models estimated retrieval
processes both at the group and the individual levels. A capture parameter was
necessary to fit MPT models to the observed data, suggesting that dual-process
models of this task can be contaminated by a capture process. In both group- and
individual-level analyses, aging and very mild AD were associated with increased
susceptibility to capture, decreased recollection, and no differences in
automatic influences. These results suggest that it is important to consider two
distinct modes of attentional control when modeling retrieval processes. Both
forms of control (recollection and avoiding capture) are particularly sensitive
to cognitive decline in aging and early-stage AD.
PMID- 29796866
TI - The effects of ultrasound-guided corticosteroid injection compared to oxygen
ozone (O2-O3) injection in patients with knee osteoarthritis: a randomized
controlled trial.
AB - Osteoarthritis (OA) is a chronic multifactorial disease characterized by
progressive joint degeneration. The purpose of this study was to compare the
effects of ultrasound-guided corticosteroid injection with oxygen-ozone injection
in patients with knee OA. This double-blind randomized clinical trial was
performed on 62 patients with knee OA. The patients were randomly divided into
two groups. In the first group 40 mg triamcinolone (1 cc) and in the second group
10 cc (15 MUg/ml) oxygen-ozone (O2-O3) were injected into the knee joint under
ultrasound guidance. Outcome measures included the Western Ontario and McMaster
Universities Osteoarthritis (WOMAC), knee flexion range of motion (ROM), effusion
in ultrasound images of the suprapatellar recess, and visual analog scale (VAS),
which were evaluated before injection, 1 week, 1 month, and 3 months after the
treatment. Sixty-two patients (10 men and 52 women) were enrolled with mean age
of 57.9 years. VAS improved in both groups (steroid P value = 0.001, oxygen-ozone
P value > 0.001). The improvements seen in VAS and WOMAC scores 3 months after
treatment were in favor of the oxygen-ozone group when compared to the steroid
group (P = 0.041 vs P = 0.19). There was no significant difference between the
two groups in ROM and joint effusion seen under ultrasound (ROM p = 0.880,
effusion p = 0.362). However, in the oxygen-ozone-receiving group, joint effusion
was decreased significantly (p < 0.001). Both steroid and oxygen-ozone injections
are effective in patients with knee osteoarthritis. Our study showed that the
effects of oxygen-ozone injection last longer than those of steroid injection to
the knee joint.
PMID- 29796865
TI - Evaluation of gray matter perfusion in episodic migraine using voxel-wise
comparison of 3D pseudo-continuous arterial spin labeling.
AB - BACKGROUND: Although previous studies have demonstrated that structural and
functional abnormalities in episodic migraine (EM), less is known about altered
brain perfusion in the EM. The aim of this study is to investigate altered gray
matter perfusion in EM using a 3D volumetric perfusion imaging. METHODS: Fifteen
EM patients and 15 normal controls (NC) underwent structural and 3D pseudo
continuous arterial spin labeling (3D pc-ASL). The structural images were
segmented using DARTEL methods and the generated normalized T1 tissue probability
maps were used to coregister the cerebral blood flow (CBF) images, which would
further be performed with standardization using Fisher Z Transformation. Voxel
wise analysis was applied to CBF map with Z standardization, and the Z value of
the abnormal brain region was extracted and performed with correlation with the
clinical variables. RESULTS: The increased CBF value located in the left Brodmann
38 (BA38) and no significantly decreased CBF value were detected in EM. HAMD
scores presented significantly positive correlation with the CBF value of the
left BA38. CONCLUSION: The current study indicated that the pattern of cerebral
hyperperfusion may elucidate the neurogenic mechanism in the EM genesis, and 3D
pc-ASL technique would non-invasively provide valuable cerebral perfusion
information for the further pathophysiological and neuropsychological study in
EM.
PMID- 29796868
TI - [Erratum to: Holistic approach for understanding and treatment of chronic pain in
old age].
PMID- 29796867
TI - [Preoperative risk identification using the Identification of Seniors at Risk? :
Suitability as sole screening tool for inpatient aged risk patients].
AB - BACKGROUND: As more aged patients are surgically treated in hospitals without
specialized geriatric care, patients at risk for perioperative complications must
be identified and treatment must be adapted. The aim was the use of the
Identification of Seniors at Risk (ISAR) as a screening tool for the
identification of high-risk patients, who need specialized perioperative care.
The study presented investigated the use of ISAR screening not only as
recommended in the emergency room but also in validation tests as a new option in
elective surgery. MATERIAL AND METHODS: Routine data recorded during inpatient
admission of 389 patients were evaluated retrospectively. The ISAR as well as a
cognitive screening with the mini mental state examination (MMSE) were conducted
in patients as long as a previously diagnosed dementia was not present. Delirium
was recorded using the Confusion Assessment Method (CAM). A total of 88 patients
from trauma surgery served as an example for emergency surgery and 93 patients
from orthopedics for elective surgery. All patients received treatment by the
department of Anesthetic and Perioperative Geriatric Care. RESULTS: According to
an ISAR score of >=2 points, 85.2% of traumatology and 48.4% of elective
orthopedic patients were considered to be geriatric high-risk patients. Among
ISAR negative patients many suffered from preoperative cognitive decline (MMST or
diagnosed dementia), especially in the elective orthopedics group. Delirium
occurred in 5.7% of trauma surgery patients and in 4.3% of elective orthopedic
patients. CONCLUSION: With 2 as a cut-off, the use of ISAR as a screening tool
was only conditionally suitable. In particular, the expected filter function was
not fulfilled in both groups.
PMID- 29796870
TI - [Clinical hematological symptoms of vitamin B12 deficiency in old age :
Summarized overview of this year's symposium of the Working Group "Anemia in the
Aged" on the occasion of the annual conference of the German Geriatric Society
(DGG) in Frankfurt].
AB - The interdisciplinary symposium of the working group "anemia in the aged" on the
occasion of the annual conference of the German Society of Geriatrics focused
this year on vitamin B12 deficiency in aged patients. Experts from
hematopathology, clinical geriatrics and geriatric hematology presented the case
of a 78-year-old woman and an interdisciplinary discussion was held on the
epidemiology, clinical aspects as well as diagnostic and therapeutic steps. This
article reviews the symposium on vitamin B12 deficiency in the aged in the
context of the currently available literature.
PMID- 29796869
TI - [Operative risk of geriatric patients in cardiac surgery].
AB - BACKGROUND: Despite substantial progress in interventional cardiology, there are
still many geriatric patients who require cardiac surgery. Estimation of the
operative risk is therefore of great importance. OBJECTIVE: The prognostic value
of the geriatric assessment for estimation of the operative risk was evaluated.
MATERIAL AND METHODS: Between 2008 and 2009 a geriatric assessment was carried
out on 500 patients before an urgent or elective cardiac surgery intervention.
The primary endpoints were in-hospital death, death within 30 days after the
intervention and stroke. A secondary endpoint was the combination of death,
stroke and in-hospital complications. RESULTS: The average age of the patients
was 77.1 +/- 4.6 years and 44.3% of the particpants were women. Aortic stenosis
was the primary reason for surgery in 49.2% of patients and coronary artery
disease in 38.8% of patients. Half of the patients (56.5%) showed functional
impairments in one or more evaluated domains. Significant limitations in
cognitive function were present in 11.8% and in mobility in 2.4% of the patients.
The 30-day mortality was 2.9% and stroke occurred in 1.4% of the patients. After
multivariate analysis cognitive impairment remained independently associated with
the operative mortality (odds ratio OR 3.8, 95% confidence interval CI 1.2-12.7).
CONCLUSION: The perioperative mortality of older patients in cardiac surgery is
low. A limited functional status detected in the geriatric assessment is
associated with an increased mortality. Impaired cognitive function is an
independent predictor of postoperative mortality.
PMID- 29796872
TI - Screening Mammography: Getting to Version 2.0.
PMID- 29796873
TI - Atorvastatin and Caffeine in Combination Regulates Apoptosis, Migration, Invasion
and Tumorspheres of Prostate Cancer Cells.
AB - Atorvastatin is the most prescribed cholesterol-lowering statin, while caffeine
enhances chemo-sensitivity and induces apoptosis of tumor cells through its DNA
repair-inhibiting effect. The present study investigated the effects and
mechanisms of atorvastatin and caffeine in combination on human prostate cancer
cells cultured in vitro. Cell growth were determined by the trypan blue exclusion
assay. The cell apoptosis and colony formation were determined by morphological
assessment. The ability of cell migration and invasion were performed using a
scratch wound-healing and Transwell assay. Tumorspheres were formed in suspension
under the condition of non-adherence and serum-free medium. Finally, the western
blot assay was used to determine the levels of proteins. The combination
synergistically suppressed proliferation and induced apoptotic death. Meanwhile,
the migration, invasion, and the formation of tumorspheres were significantly
inhibited by the combination. We found that atorvastatin and caffeine in
combination downregulated phospho-Akt, phospho-Erk1/2, anti-apoptotic Bcl-2 and
Survivin protein levels. Results of the present study indicate treatment with the
combination of caffeine and atorvastatin may be an effective strategy for
inhibiting the growth of prostate cancer and should be evaluated clinically.
PMID- 29796874
TI - Prevalence of use of antipsychotic drugs in the elderly in Catalonia.
PMID- 29796875
TI - Organochlorine Chemical Residues in Northern Cardinal (Cardinalis cardinalis)
Eggs from Greater Washington, DC USA.
AB - Northern Cardinal eggs from six neighborhoods near Washington DC were analyzed
for organochlorine pesticides and PCBs. All compounds were detected more
frequently and at higher concentrations in more heavily urbanized neighborhoods.
DDT (mostly as p,p'-DDE) was detected in all neighborhoods. p,p'-DDT was
typically 0.5-16 ng/g (ww) in most suburban neighborhoods but was not detected (<
0.1 ng/g) in more rural areas; however, p,p'-DDT was 127-1130 ng/g in eggs from
two suburban Maryland nests and comprised 65.7% of total p,p'-DDT isomers in the
most contaminated sample, indicating recent exposure to un-weathered DDT. Total
chlordane (sum of 5 compounds) was 2-70 ng/g; concentrations were greatest in
older suburban neighborhoods. Total PCB (sum of detected congeners) was < 5-21
ng/g. Congener patterns were similar in all neighborhoods and resembled those
typical of weathered mixtures. Results indicate that wildlife remains exposed to
low concentrations of legacy contaminants in suburban neighborhoods and that
cardinal eggs can be used to monitor localized contamination.
PMID- 29796878
TI - Natural Deep Eutectic Solvents and Their Applications in Biotechnology.
AB - Following the appearance of ionic liquids (ILs) and deep eutectic solvents
(DESs), natural deep eutectic solvents (NADESs) have emerged as a new type of
truly green solvents with many excellent advantages such as cheapness,
sustainability, biocompatibility, environmental friendliness, and, in particular,
remarkable solubilizing power and outstanding designability. Although only at an
early stage, research on NADESs has started to blossom with exponential growth,
showing attractive and promising potentials for applications in various areas. In
this chapter we focus on an introduction to what is currently known about NADESs:
their formation, structure and roles in nature, their physical/chemical
properties, their toxicity and biodegradability, and, more importantly, their
beneficial applications in biotechnology.
PMID- 29796877
TI - Synthesis of beta-Peptide Standards for Use in Model Prebiotic Reactions.
AB - A one-pot method was developed for the preparation of a series of beta-alanine
standards of moderate size (2 to >=12 residues) for studies concerning the
prebiotic origins of peptides. The one-pot synthesis involved two sequential
reactions: (1) dry-down self-condensation of beta-alanine methyl ester, yielding
beta-alanine peptide methyl ester oligomers, and (2) subsequent hydrolysis of
beta-alanine peptide methyl ester oligomers, producing a series of beta-alanine
peptide standards. These standards were then spiked into a model prebiotic
product mixture to confirm by HPLC the formation of beta-alanine peptides under
plausible reaction conditions. The simplicity of this approach suggests it can be
used to prepare a variety of beta-peptide standards for investigating differences
between alpha- and beta-peptides in the context of prebiotic chemistry.
PMID- 29796876
TI - De novo FBXO11 mutations are associated with intellectual disability and
behavioural anomalies.
AB - Intellectual disability (ID) has an estimated prevalence of 1.5-2%. In most
affected individuals, its genetic basis remains unclear. Whole exome sequencing
(WES) studies have identified a multitude of novel causative gene defects and
have shown that a large proportion of sporadic ID cases results from de novo
mutations. Here, we present two unrelated individuals with similar clinical
features and deleterious de novo variants in FBXO11 detected by WES. Individual
1, a 14-year-old boy, has mild ID as well as mild microcephaly, corrected cleft
lip and alveolus, hyperkinetic disorder, mild brain atrophy and minor facial
dysmorphism. WES detected a heterozygous de novo 1 bp insertion in the splice
donor site of exon 3. Individual 2, a 3-year-old boy, showed ID and pre- and
postnatal growth retardation, postnatal mild microcephaly, hyperkinetic and
restless behaviour, as well as mild dysmorphism. WES detected a heterozygous de
novo frameshift mutation. While ten individuals with ID and de novo variants in
FBXO11 have been reported as part of larger studies, only one of the reports has
some additional clinical data. Interestingly, the latter individual carries the
identical mutation as our individual 2 and also displays ID, intrauterine growth
retardation, microcephaly, behavioural anomalies, and dysmorphisms. Thus, we
confirm deleterious de novo mutations in FBXO11 as a cause of ID and start the
delineation of the associated clinical picture which may also comprise postnatal
microcephaly or borderline small head size and behavioural anomalies.
PMID- 29796879
TI - Impacts of supplementing growing rabbit diets with whey powder and citric acid on
growth performance, nutrient digestibility, meat and bone analysis, and gut
health.
AB - The present study evaluated the impact of supplementing the rabbit diet with
graded levels of whey powder and citric acid. The dietary treatments were as
follows: T1, control diet (basal diet); T2, basal diet + 10 g/kg citric acid; T3,
T2 + whey powder (7.5 g/kg); T4, T2 + whey powder (15 g/kg); and T5, T2 + whey
powder (22.5 g/kg). Results, the T5 diet resulted in the best (P < 0.05) final
body weight, body weight gain, feed conversion ratio, protein efficiency,
relative growth rate, and dressed weight. The best (P < 0.05) digestion
coefficients were associated with the T4 and T5 diets. Rabbits fed diets
supplemented with citric acid alone or with addition of graded levels of whey
powder showed significantly lower (P < 0.05) intestinal pH than those fed the T1
diet. The T4 and T5 diets resulted in greater CP and ash in the thigh muscle
compared with the T1 and T2 diets. Calcium content in the femur bone was higher
(P < 0.05) in the T5 group followed by T4 and T3. The wall of different parts of
the small intestine improved in the T4 and T5 groups, showing the greatest
increase in the small intestinal villi, intestinal glands, and amount of goblet
cells. In conclusion, addition of whey powder (1.5, and 2.25%) increased the
growth performance, nutrient digestibility and crude protein content of the thigh
muscle, and improved the gut health of growing rabbits and the best level was
2.25% whey powder. Citric acid addition had no positive effect on growth
performance, nutrient digestibility, crude protein content of the thigh muscle,
and the gut health.
PMID- 29796880
TI - Micro-scale pollution mechanism of dust diffusion in a blasting driving face
based on CFD-DEM coupled model.
AB - In order to investigate the diffuse pollution mechanisms of high-concentration
dusts in the blasting driving face, the airflow-dust coupled model was
constructed based on CFD-DEM coupled model; the diffusion rules of the dusts with
different diameters at microscopic scale were analyzed in combination with the
field measured results. The simulation results demonstrate that single-exhaust
ventilation exhibited more favorable dust suppression performance than single
forced ventilation. Under single-exhaust ventilation condition, the motion
trajectories of the dusts with the diameter smaller than 20 MUm were close to the
airflow streamline and these dusts were mainly distributed near the footway
walls; by contrast, under single-forced ventilation condition, the motion
trajectories of the dust particles with a diameter range of 20~40 MUm were close
to the airflow streamlines, and a large number of dusts with the diameter smaller
than 20 MUm accumulated in the regions 5 m and 17~25 m away from the head-on
section. Moreover, under the single-exhaust ventilation, the relationship between
dust diameter D and negative-pressured-induced dust emission ratio P can be
expressed as P = - 25.03ln(D) + 110.39, and the dust emission ratio was up to
74.36% for 7-MUm dusts, and the path-dependent settling behaviors of the dusts
mainly occurred around the head-on section; under single-forced ventilation
condition, the z value of the dusts with the diameter over 20 MUm decreased and
the dusts with a diameter smaller than 7 MUm are particularly harmful to human
health, but their settling ratios were below 22.36%. Graphical abstract The
airflow-dust CFD-DEM coupling model was established. The numerical simulation
results were verified. The migration laws of airflow field were obtained in a
blasting driving face. The diffusion laws of dusts were obtained after blasting.
PMID- 29796881
TI - Antimicrobial resistance and the presence of extended-spectrum beta-lactamase
genes in Escherichia coli isolated from the environment of horse riding centers.
AB - The aim of the study was to determine the antimicrobial resistance profile and
the occurrence of extended-spectrum beta-lactamase genes and to analyze the
genetic diversity of Escherichia coli strains isolated from the environment of
horse riding centers. The study was conducted using E. coli strains isolated from
the air, manure, and horse nostril swabs in three horse riding centers differing
in the system of horse keeping-stable (OJK Pegaz and KJK Szary) and free-range
(SKH Nielepice). Resistance to antibiotics was determined using the disk
diffusion method, and the PCR technique was employed to detect the extended
spectrum beta-lactamase (ESBL) genes, while the genetic diversity of strains was
assessed by rep-PCR. A total of 200 strains were collected during the 2-year
study, with the majority isolated from KJK Szary, while the smallest number was
obtained from SKH Nielepice. The strains were mostly resistant to ampicillin,
aztreonam, and ticarcillin. The tested strains were most frequently resistant to
one or two antibiotics, with a maximum of ten antimicrobials at the same time.
Two multidrug-resistant (MDR) strains were detected in OJK Pegaz while in KJK
Szary there were two MDR and one extensively drug-resistant (XDR) strain. The
ESBL mechanism was most frequently observed in OJK Pegaz (20.31% of strains)
followed by KJK Szary (15.53% of strains) and SKH Nielepice (15.15% of strains).
Among the ESBL-determining genes, only blaTEM and blaCTXM-9 were detected-blaTEM
was mostly found in KJK Szary (53.40% of strains), while the second detected gene
blaCTXM-9-was most frequent in SKH Nielepice (6.06% of strains). The rep-PCR
genotyping showed high variation among the analyzed strains, whereas its degree
differed between the studied facilities, indicating that the type of horse
keeping (stable vs. free-range) affects the genetic diversity of the E. coli
strains. Having regard to the fact that the tested strains of E. coli were
derived from non-hospitalized horses that were not treated pharmacologically, we
can assume that the observed antimicrobial resistance may be of both-natural
origin, i.e., not the result of the selection pressure, and acquired, the source
of which could be people present in the horse riding facilities, the remaining
horses which were not included in the study, and air, as well as water, fodder,
and litter of the animals. Therefore, it can be concluded that the studied horses
are the source of resistant E. coli and it is reasonable to continue monitoring
the changes in antimicrobial resistance in those bacteria.
PMID- 29796882
TI - Aquatic plant debris changes sediment enzymatic activity and microbial community
structure.
AB - The retention of aquatic plant debris in freshwater systems favors a reduction in
soluble reactive phosphorus (P) in overlying water through microbe-mediated
mechanisms in sediment. For a more complete view of the changes in sediment
microbial structure and functioning when receiving plant debris, the enzyme
activities and microbial community structure in sediments incubated with or
without plant debris were investigated. Significantly higher fluorescein
diacetate (FDA) hydrolysis, alkaline phosphatase, polyphenol oxidase, cellulase,
beta-glucosidase, and dehydrogenase activities were observed with plant debris
treatment. High-throughput pyrosequencing showed that the number of total
operational taxonomic units (OTUs) of bacteria estimated by using the Chao1
analysis was 2064 (in the control) and 1821 (with the plant debris treatment).
The Shannon index, functional organization, and Venn diagrams revealed that the
enriched OTUs in plant debris-treated community were less diversified than those
in the control sample. The prominent bacterial phyla Firmicutes and Bacteroidetes
were more diverse after plant debris addition. At the class level, the relative
abundance of Alphaproteobacteria increased by 114% when plant debris was added,
whereas the relative abundances of Beta-, Delta-, and Gammaproteobacteria
decreased by 42, 78, and 86%, respectively. Azospirillum and Dechloromonas, the
dominant phylogenetic groups at the genus level, increased with plant debris
addition. Our study showed the importance of the above microbial genera in plant
debris-mediated P retention in sediment.
PMID- 29796883
TI - Co-digestion of sewage sludge with crude or pretreated glycerol to increase
biogas production.
AB - Anaerobic co-digestion of sewage sludge and glycerol from the biodiesel industry
was evaluated in three experimental stages. In the first step, the addition of
higher proportions of crude glycerol (5-20% v/v) to the sludge was evaluated, and
the results showed a marked decrease in pH and inhibition of methane production.
In the second step, co-digestion of sludge with either a lower proportion (1%
v/v) of crude glycerol or glycerol pretreated to remove salinity resulted in
volatile acid accumulation and low methane production. The accumulation of
volatile acids due to the rapid degradation of glycerol in the mixture was more
detrimental to methanogenesis than the salinity of the crude glycerol. In the
third step, much lower amounts of crude glycerol were added to the sludge (0.3,
0.5, 0.7% v/v), resulting in buffering of the reaction medium and higher methane
production than in the control (pure sludge). The best condition for co-digestion
was with the addition of 0.5% (v/v) crude glycerol to the sewage sludge, which
equals 0.6 g glycerol/g volatile solids applied. Under this condition, the
specific methane production (mL CH4/g volatile solids applied) was 1.7 times
higher than in the control.
PMID- 29796885
TI - Investigating the driving forces of China's carbon intensity based on a dynamic
spatial model.
AB - In extant literature on China's carbon intensity, economic growth is considered
an important determinant. However, the corresponding policy implications are
slightly weak in subsequent practice because economic growth is an outcome of
many economic activities, such as technological progress and capital stock
accumulation. Furthermore, spatial spillover effects are ignored when using
regional datasets. As a result, this study uses the dynamic spatial model to
analyze the driving forces of China's provincial carbon intensity over the period
2000-2014. Results indicate that both technological progress and capital stock
accumulation are important measures to carbon intensity reduction. China's
current industrialization, urbanization, and special energy structure exert a
negative effect on the decline in carbon intensity. In addition, China's
provincial carbon intensity also exhibits considerable spatiotemporal
distribution characteristics. As such, the corresponding policy measures are
presented.
PMID- 29796884
TI - Short-term effects of particle size fractions on lung function of late
adolescents.
AB - Although ambient air pollution has been linked to reduced lung function in
healthy students, longitudinal studies that compare the response of asthmatic and
healthy adolescents are lacking. To evaluate lung function responses to short
term ambient air particulate matter (PM10, PM2.5, and PM1) levels, we conducted a
study on high school students aged 15-18 years. The aim of this study was to
assess effects of acute exposure to ambient air particulate matter (PM) on lung
function in healthy and asthmatic late adolescents. We examined associations of
lung function indices and ambient PM levels in 23 asthmatic and 23 healthy
students. Paired-samples T test was used to evaluate the association of exposure
to airborne PM concentrations with lung function test results (FVC, FEV1,
FEV1/FVC, and FEF25-75). We observed negative impact of exposure to an increased
concentration of ambient air PM10, PM2.5, and PM1 on lung function parameters of
asthmatic and healthy late adolescents. These findings are consistent with other
similar short-term studies which have confirmed the adverse effect of PM air
pollution. These associations were stronger in asthmatic subjects compared with
those in healthy ones. There are significant adverse effects of ambient air PM on
pulmonary function of adolescents, especially asthmatics.
PMID- 29796886
TI - Endophytic bacterium Buttiauxella sp. SaSR13 improves plant growth and cadmium
accumulation of hyperaccumulator Sedum alfredii.
AB - Inoculation with endophytic bacterium has been considered as a prospective
application to improve the efficiency of phytoextraction. In this study, the
effect of Buttiauxella sp. SaSR13 (SaSR13), a novel endophytic bacterium isolated
from the root of hyperaccumulator Sedum alfredii, on plant growth and cadmium
(Cd) accumulation in S. alfredii was investigated. Laser scanning confocal
microscopic (LSCM) images showed that SaSR13 was mainly colonized in the root
elongation and mature zones. The inoculation with SaSR13 to Cd-treated plants
significantly enhanced plant growth (by 39 and 42% for shoot and root biomass,
respectively), chlorophyll contents (by 38%), and Cd concentration in the shoot
and root (by 32 and 22%, respectively). SaSR13 stimulated the development of
roots (increased root length, surface area, and root tips number) due to an
increase in the indole-3-acid (IAA) concentrations and a decrease in the
concentrations of superoxide anion (O2.-) in plants grown under Cd stress.
Furthermore, inoculation with SaSR13 enhanced the release of root exudates,
especially malic acid and oxalic acid, which might have facilitated the uptake of
Cd by S. alfredii. It is suggested that inoculation with endophytic bacterium
SaSR13 is a promising bioaugmentation method to enhance the Cd phytoextraction
efficiency by S. alfredii.
PMID- 29796887
TI - Integral use of plants and their residues: the case of cocoyam (Xanthosoma
sagittifolium) conversion through biorefineries at small scale.
AB - During last decades, there has been a growing interest of decreasing the
environmental impact generated by humans. This situation has been approached from
different perspectives being the integral use of raw materials as one of the best
alternatives. It was estimated that 3.7 * 109 tonnes of agricultural residues are
produced annually worldwide. Then, the integral use of feedstocks has been
studied through the biorefinery concept. A biorefinery can be a promissory option
for processing feedstocks in rural zones aiming to boost the techno-economic and
social growth. However, many plants produced at small scale in rural zones
without high industrial use contribute with residues usually not studied as raw
materials for other processes. Cocoyam (Xanthosoma sagittifolium) is a plant
grown extensively in tropical regions. Nigeria, China, and Ghana are the main
producers with 1.3, 1.18, and 0.9 million tonnes/year, respectively. In Colombia,
there are no technified crops, but it is used where it is grown mainly as animal
feed. This plant consists of leaves, stem, and a tuber but the use is generally
limited to the leaves, discarding the other parts. These discarded parts have
great potential (lignocellulose and starch). This work proposes different
processing schemes using the parts of the plant to obtain value-added products,
and their techno-economic and environmental assessment. The simulation was
performed with Aspen Plus and the economic package was used for the economic
assessment. For the environmental assessment, Waste Algorithm Reduction of the
U.S. EPA was implemented. The obtained results showed that the integral use of
plants under a biorefinery scheme allows obtaining better techno-economic and
environmental performance and that small-scale biorefineries can be a promissory
option for boosting rural zones.
PMID- 29796888
TI - Impacts of meteorological conditions on wintertime PM2.5 pollution in Taiyuan,
North China.
AB - Taiyuan frequently experiences heavy PM2.5 pollution in winter under unfavorable
meteorological conditions. To understand how the meteorological factors influence
the pollution in Taiyuan, this study involved a systematic analysis for a
continuous period from November 2016 to January 2017, using near-surface
meteorological observations, radiosonde soundings, PM2.5 measurements, and three
dimension numerical simulation, in combination with backward trajectory
calculations. The results show that PM2.5 concentration positively correlates
with surface temperature and relative humidity and anti-correlates with near
surface wind speed and boundary layer height (BLH). The low BLH is often
associated with a strong thermal inversion layer capping over. In addition to the
high local emissions, it is found that under certain synoptic conditions, the
southwesterly and southerly winds could bring pollutants from Linfen to Taiyuan,
leading to a near-surface PM2.5 concentration higher than 200 MUg m-3. Another
pollution enhancing issue is due to the semi-closed basin of Taiyuan affecting
the planetary boundary layer (PBL): the surrounding mountains favor the formation
of a cold air pool in the basin, which inhibits vertical exchanges of heat, flux,
and momentum between PBL and the free troposphere, resulting in stagnant
conditions and poor air quality in Taiyuan. These findings can be utilized to
improve the understanding of PM2.5 pollution in Taiyuan, to enhance the accuracy
of forecasting pollution, and to provide scientific support for policy makers to
mitigate the pollution.
PMID- 29796889
TI - Association analysis between spatiotemporal variation of vegetation greenness and
precipitation/temperature in the Yangtze River Basin (China).
AB - The variation in vegetation greenness provides good understanding of the
sustainable management and monitoring of land surface ecosystems. The present
paper discusses the spatial-temporal changes in vegetation and controlling
factors in the Yangtze River Basin (YRB) using Global Inventory Modeling and
Mapping Studies (GIMMS) Normalized Difference Vegetation Index (NDVI) for the
period 2001-2013. Theil-Sen Median trend analysis, Pearson correlation
coefficients, and residual analysis have been used, which shows decreasing trend
of the annual mean NDVI over the whole YRB. Spatially, the regions with
significant decreasing trends were mainly located in parts of central YRB, and
pronounced increasing trends were observed in parts of the eastern and western
YRB. The mean NDVI during spring and summer seasons increased, while it decreased
during autumn and winter seasons. The seasonal mean NDVI shows spatial
heterogeneity due to the vegetation types. The correlation analysis shows a
positive relation between NDVI and temperature over most of the YRB, whereas NDVI
and precipitation show a negative correlation. The residual analysis shows an
increase in NDVI in parts of eastern and western YRB and the decrease in NDVI in
the small part of Yangtze River Delta (YRD) and the mid-western YRB due to human
activities. In general, climate factors were the principal drivers of NDVI
variation in YRB in recent years.
PMID- 29796890
TI - Effects of flavor enhancers on the survival and behavior of the red imported fire
ant, Solenopsis invicta (Hymenoptera: Formicidae).
AB - To understand whether flavor enhancers pose potential risks to the environment,
it is important to assess its effects on insects. Therefore, the objective of
this study was to evaluate the toxicity of flavor enhancers on the survival and
behaviors of the red imported fire ant, Solenopsis invicta. In this study, we
found that the mortality of S. invicta workers that were fed glutamic acid
monosodium salt hydrate, glycine, L-alanine, succinic acid, succinic acid
disodium, inosinate 5'-monophosphate disodium salt hydrate, and GMP were
significantly higher than the mortality rates of workers fed sucrose. Moreover,
glycine and GMP exhibited the strongest toxicities and caused 100% mortality in
workers after 84 h. LC50 values were 0.004 g/ml and 0.02 g/ml for GMP and
glycine, respectively. Additionally, at sublethal doses, both GMP and glycine
solutions decreased foraging and digging behaviors. Our results suggest that
flavor enhancers are toxic to insects and also likely to have a negative impact
at sublethal concentrations.
PMID- 29796891
TI - Alkylphenol and bisphenol A contamination of urban runoff: an evaluation of the
emission potentials of various construction materials and automotive supplies.
AB - Alkylphenol (AP) and bisphenol A (BPA) contamination of urban runoff has already
been established. Potential sources of these contaminants in runoff are
endogenous to the urban watershed and are mainly related to traffic and leaching
from construction materials. This article summarizes the results of experimental
work carried out on a selection of building materials, automotive materials, and
consumables, which can be in contact with rain, to assess their potential
emission of alkylphenols, alkylphenol ethoxylates, and bisphenol A into runoff.
36 samples of materials, new and used, across 7 major families of building
materials (PVC, concrete, polycarbonate, SBS-modified bitumen, drainage
materials) and automotive materials (body, tires) were subjected to leaching
tests with methanol and then, for a selection of them, with water. Automotive
fluids were also directly analyzed. The results demonstrate the ubiquitous
presence of APs and BPA in urban materials and their extractable character with
water. The compounds with the strongest emission rates were bisphenol A and
nonylphenol. The most important BPA emissions into water (10 to 300 ng/g) were
measured for polycarbonate, tires, some car bodies, and PVC. Nonylphenol was
leached in large quantities (1 to 10 ng/g) from PVC, some concretes, SBS-modified
bitumen, and body samples. The tires were the only materials having a strong
emission in octylphenol (1 to 10 ng/g). The analysis of automotive fluids
confirmed the presence of BPA (0.3 to 5.5 g/L) and nonylphenol (2.3 to 2.9 mg/L)
in brake fluids, while APs and BPA were found at trace levels in coolants and
windscreen washer. Graphical abstract ?.
PMID- 29796893
TI - [Early colectomy in patients with acute exacerbation of ulcerative colitis].
PMID- 29796892
TI - Ternary cross-coupled nanohybrid for high-efficiency 1H-benzo[d]imidazole
chemisorption.
AB - 1H-Benzo[d]imidazole (BMA) has been considered as an emerging pharmaceutical
organic contaminant, leading to the increasing BMA detection in wastewaters and
need to be removed from ecosystem. This study investigated a highly synergistic
BMA chemisorption using a novel ternary cross-coupled nanohybrid [gamma-APTES]
Fe3O4@PAN@rGO. Magnetic nanoparticles (Fe3O4) were in situ core-shell co
precipitated with polyacrylonitrile polymer (PAN). Then, the prepared Fe3O4@PAN
was decorated on hexagonal arrays of reduced graphene oxide (rGO) inside the
framework of gamma-aminopropyltriethoxysilane ([gamma-APTES]). The final
nanohybrid [gamma-APTES]-Fe3O4@PAN@rGO produced adjacent inter-fringe distances
of 0.2-0.4 nm corresponded well to (111), (220), and (311) parallel sub-lattices
with two oblique intersections at 90 degrees right angle and 60 degrees
triangle. The BMA adsorption was favorable in neutral pH 7, aroused temperature
(50 degrees C), and controlled by endothermic process. The identified maximum
adsorption capacity of 221.73 mg g-1 was 30% higher than the reported adsorbents.
The adsorption mechanisms include ion exchange, hydrogen bond, dipole-dipole
force, pi-conjugation, electrostatic, and hydrophobic interaction. Graphical
abstract The synthetic route of novel nanohybrid [gamma-APTES]-Fe3O4@PAN@rGO was
investigated. After BMA adsorption, the adsorbent surface was entirely changed,
thus an efficiently facile magnetic separation within 8s. [gamma-APTES]
Fe3O4@PAN@rGO formed different oblique intersections of 60 degrees and 90
degrees sub-lattices.
PMID- 29796895
TI - [Investigations on in-hospital mortality in pancreatic surgery : Results of a
multicenter observational study].
AB - BACKGROUND: The rate of hospital mortality (in-hospital mortality) after complex
pancreatic resections cannot be used as a decision-making criterion with no
further analysis and specification. Such analysis has to provide a risk-adjusted
benchmarking including a continuous evaluation taking into account the frequency
of a surgical procedure and its competent perioperative management. MATERIAL AND
METHODS: As part of the Prospective Evaluation study Elective Pancreatic surgery
(PEEP), overall 2003 patients were enrolled over a 3-year time period from 01
January 2006 to 12 December 2008, who underwent elective pancreatic surgery in 27
surgical departments. Included in the study were only hospitals which perform
pancreatic resections. In addition to the analysis of the current situation of
the operative treatment of pancreatic diseases, the complex aspects of the in
hospital mortality as a main outcome parameter were investigated. RESULTS: Out of
all enrolled patients (n = 2003), 75 patients (3.7%) died during the hospital
stay. In the group of 1045 patients with partial pancreaticoduodenectomy (PD), 43
patients did not survive the hospital stay (4.1%). Similarly, such low in
hospital mortality rates were observed after total pancreatoduodenectomy (3.8%)
and after left-sided resection of the pancreas (1.9%). With respect to a
univariate risk stratification, advanced age and an American Society of
Anaesthesiologists (ASA) score of 3 and 4 had a significant impact on in-hospital
mortality. Multivariate regression analysis within the PD group revealed an
increased need for blood transfusions and a delay in oral feeding as factors
closely associated with specific complications with a significant impact on in
hospital mortality. Significant differences in the in-hospital mortality rates
were found when comparing hospital volume groups, such as 10-20 vs. >20
cases/year for the 831 Kausch-Whipple procedures for adenocarcinoma and chronic
pancreatitis. DISCUSSION: An adequate in-hospital mortality rate in the
continuous benchmarking represents an acceptable quality level of structural and
therapeutic predictions in pancreatic resections. The participation of surgical
departments with complex oncosurgical interventions in clinical multicenter
observational studies as a contribution to research on surgical care appears
reasonable and recommendable since the results of such studies can provide a
contribution to decision-making processes in daily surgical practice.
PMID- 29796894
TI - [Sacral nerve modulation in coloproctology].
AB - Sacral nerve modulation and stimulation (SNM/SNS) is a minimally invasive
treatment for fecal incontinence, which has become widely established in recent
years. Modulation of sacral nerve roots occurs through an electrode which is
placed in the sacral foramina S2-4. By complex spinal and supraspinal mechanisms,
rectal compliance is improved and anal incontinence episodes are reduced. The use
of SNM is a suitable therapeutic option for nearly all causes of fecal
incontinence refractory to conservative treatment. In the majority of patients, a
significant reduction of incontinence episodes or a complete relief of symptoms
is achieved. These results are also observed in long-term follow-up. Although the
efficacy of SNM in constipation is less well established, it may be considered in
patients who fail to respond to conservative measures. The role of further
potential indications for SNM/SNS in coloproctological disorders is discussed in
the present review.
PMID- 29796896
TI - [Current concepts of resilience research].
AB - BACKGROUND: Stress-related mental disorders are the most prevalent and cost
intensive disorders of our time. On the other hand, the maintenance of mental
health despite stressors, i. e. resilience, is a frequent phenomenon. Research on
psychological resilience and its underlying mechanisms offers innovative
possibilities for health promotion. It requires a consistent understanding of
resilience and adequate methods of operationalization. OBJECTIVES: Modern
concepts of the definition, operationalization and assessment of resilience as
well as its implications for study designs in resilience research. MATERIAL AND
METHODS: Analysis and discussion of current works and expert recommendations for
the design of resilience research. RESULTS: Resilience research is undergoing a
period of transition. Based on a new understanding of resilience as a dynamic and
modifiable process, new approaches for operationalization and assessment were
proposed. These include, for example, a transdiagnostic approach and the
identification of resilience mechanisms, the consideration of stressor exposure
in measuring the construct, and longitudinal cohort studies. CONCLUSIONS: In the
upcoming decades, further profitable findings from current prospective
longitudinal studies can be expected. One challenge for future resilience
research consists in the continuous dissemination and implementation of the
approaches described.
PMID- 29796897
TI - Improved production of 1-deoxynojirymicin in Escherichia coli through metabolic
engineering.
AB - Azasugars, such as 1-deoxynojirymicin (1-DNJ), are associated with diverse
pharmaceutical applications, such as antidiabetic, anti-obesity, anti-HIV, and
antitumor properties. Different azasugars have been isolated from diverse
microbial and plant sources though complicated purification steps, or generated
by costly chemical synthesis processes. But the biosynthesis of such potent
molecules using Escherichia coli as a heterologous host provides a broader
opportunity to access these molecules, particularly by utilizing synthetic
biological, metabolic engineering, and process optimization approaches. This work
used an integrated approach of synthetic biology, enzyme engineering, and pathway
optimization for rational metabolic engineering, leading to the improved
production of 1-DNJ. The production of 1-DNJ in recombinant E. coli culture broth
was confirmed by enzymatic assays and mass spectrometric analysis. Specifically,
the pathway engineering for its key precursor, fructose-6-phosphate, along with
optimized media condition, results in the highest production levels. When
combined, 1-DNJ production was extended to ~ 273 mg/L, which is the highest titer
of production of 1-DNJ reported using E. coli.
PMID- 29796898
TI - An efficient biocatalytic synthesis of imidazole-4-acetic acid.
AB - OBJECTIVE: To develop a new and efficient biocatalytic synthesis method of
imidazole-4-acetic acid (IAA) from L-histidine (L-His). RESULTS: L-His was
converted to imidazole-4-pyruvic acid (IPA) by an Escherichia coli whole-cell
biocatalyst expressing membrane-bound L-amino acid deaminase (mL-AAD) from
Proteus vulgaris firstly. The obtained IPA was subsequently decarboxylated to IAA
under the action of H2O2. Under optimum conditions, 34.97 mM IAA can be produced
from 50 mM L-His, with a yield of 69.9%. CONCLUSIONS: Compared to the traditional
chemical synthesis, this biocatalytic method for IAA production is not only
environmentally friendly, but also more cost effective, thus being promising for
industrial IAA production.
PMID- 29796899
TI - Trace analysis of multi-class phytohormones in Oryza sativa using different scan
modes in high-resolution Orbitrap mass spectrometry: method validation,
concentration levels, and screening in multiple accessions.
AB - Phytohormones are signaling and regulating metabolites involved in numerous plant
processes, including growth, development, and responses to stress. Currently, the
focus is on the analysis of multiple phytohormones in order to characterize
crosstalk and hormone signaling networks. In this paper, representative
phytohormones of the major classes are simultaneously determined in rice tissues
by a generic solid-liquid extraction, followed by liquid chromatography and
electrospray ionization high-resolution tandem mass spectrometry using a Q
ExactiveTM instrument. After a thorough optimization of the sample preparation,
the analytical method was fully validated toward the ultra-trace quantification
of six a priori selected plant hormones using three scan modes of the quadrupole
Orbitrap instrument: full-scan high-resolution mass spectrometry, targeted single
ion monitoring (t-SIM), and t-SIM followed by data-dependent tandem mass
spectrometry. Overall, a similar quantitative performance was noticed for the
different scan modes. The analytical method was successfully applied to measure
basal phytohormone levels in six different rice accessions, comprising Oryza
sativa ssp. japonica, indica, and Oryza glaberrima. Hormone concentrations were
higher in shoots than in roots or at least similar. Except for a lower level of
salicylic acid in shoots of O. glaberrima versus O. sativa, no other differences
in hormone levels could be noticed that were dependent of the (sub)species
assignment of the analyzed accessions. Making use of the benefits of full-scan
high-resolution mass spectrometry, a first post-run suspect screening was
performed, suggesting - based on accurate mass measurements and isotopic patterns
- the possible presence of about 50 additional plant hormones in the rice
tissues. Graphical abstract ?.
PMID- 29796902
TI - Negative-ion atmospheric pressure ionisation of semi-volatile fluorinated
compounds for ultra-high-performance liquid chromatography tandem mass
spectrometry analysis.
AB - In this work, the feasibility of negative-ion atmospheric pressure chemical
ionisation (APCI) and atmospheric pressure photoionisation (APPI) for ultra-high
performance liquid chromatography tandem mass spectrometry (UHPLC-MS/MS)
determination of fluorotelomer alcohols (FTOHs), fluorinated octanesulfonamides
(FOSAs) and fluorinated octanesulfonamido-ethanols (FOSEs) was evaluated. The
study of the effect of mobile phase composition on the atmospheric pressure
ionisation of these compounds indicated that methanol/water mixtures provided the
best responses in APCI, while acetonitrile/water with a post-column addition of
toluene as dopant was the most appropriated mixture in APPI. Under the optimal
working conditions, most of the target compounds produced the ion [M-H]- as base
peak, although in-source collision-induced dissociation fragment ions in APCI and
APPI and superoxide adduct ions [M+O2]-* in APPI were also present. These ions
proved to be more useful as precursor ions for MS/MS determination than the
adduct ions generated in electrospray. Although the UHPLC-APCI-MS/MS method
allowed the determination of these semi-volatile compounds at low concentration
levels, the analysis by UHPLC-APPI-MS/MS provided the lowest limits of detection
and it was applied to the analysis of water samples in combination with solid
phase extraction. Quality parameters demonstrated the good performance of the
proposed method, providing low method limits of detection (0.3-6 ng L-1), good
precision (RSD % < 5%) and an accurate quantification (relative error % < 14%).
Among the river water samples analysed by the developed method, 4:2 FTOH and N
EtFOSA were determined at 30 and 780 ng L-1, respectively.
PMID- 29796900
TI - Monitoring dynamic release of intracellular hydrogen peroxide through a
microelectrode based enzymatic biosensor.
AB - A high sensitive and selective hydrogen peroxide (H2O2) biosensor was fabricated
on the basis of reduced hemoglobin (Hb) and single-walled carbon nanotubes
(SWCNTs) for detecting the release of H2O2 from living HepG2 cancer cells in the
process of the in situ biosynthesis of ZnO quantum. The modification of carbon
fiber microelectrode (CFME) was carried out by physical adsorption. By the
scanning electron microscopy (SEM) and energy-dispersive X-ray spectroscopy
(EDS), the dense cover of surface and successful immobilization were
characterized. Electrochemical investigation demonstrates that the as-prepared
modified microelectrode showed a quasi-reversible process toward the reduction of
H2O2, which exhibited a linear range from 0.51 to 10.6 MUM, with a limit of
detection of 0.23 MUM. This microelectrode biosensor was applied for the
quantification of the change of H2O2 concentration released from HepG2 cells
through the in situ biosynthesis of ZnO quantum dots, which was further confirmed
by the fluorescence staining.
PMID- 29796901
TI - Rapid detection and differentiation of Staphylococcus colonies using an optical
scattering technology.
AB - Staphylococcus species are a major pathogen responsible for nosocomial infections
and foodborne illnesses. We applied a laser-based BARDOT (bacterial rapid
detection using optical scattering technology) for rapid colony screening and
detection of Staphylococcus on an agar plate and differentiate these from non
Staphylococcus spp. Among the six growth media tested, phenol red mannitol agar
(PRMA) was found most suitable for building the Staphylococcus species scatter
image libraries. Scatter image library for Staphylococcus species gave a high
positive predictive value (PPV 87.5-100%) when tested against known laboratory
strains of Staphylococcus spp., while the PPV against non-Staphylococcus spp. was
0-38%. A total of nine naturally contaminated bovine raw milk and ready-to-eat
chicken salad samples were tested, and BARDOT detected Staphylococcus including
Staphylococcus aureus with 80-100% PPV. Forty-five BARDOT-identified bacterial
isolates from naturally contaminated foods were further confirmed by tuf and nuc
gene-specific PCR and 16S rRNA gene sequence. This label-free, non-invasive on
plate colony screening technology can be adopted by the food industries,
biotechnology companies, and public health laboratories for Staphylococcus
species detection including S. aureus from various samples for food safety and
public health management. Graphical abstract.
PMID- 29796903
TI - Hyphal development in Candida albicans from different cell states.
AB - Candida albicans is an important opportunistic fungal pathogen of
immunocompromised individuals. The ability to switch between yeast, pseudohyphal,
and hyphal growth forms (polymorphism) is one of the most investigated virulence
attributes of C. albicans. The usual method for inducing hypha formation in the
lab is by diluting cells from a saturated culture into fresh medium at 37
degrees C. The molecular mechanism at action under these conditions has been
previously investigated. C. albicans can also form hyphae in growing cells
without dilution. The ability of C. albicans to form hyphae in different cell
states facilitates the fungus to adapt varied host environments during infection.
A recent study by Su et al. uncovered the molecular mechanism for how C. albicans
develops hyphae under the condition without inoculation. N-Acetylglucosamine
(GlcNAc) stimulates filamentation in log phase cells through transcriptional down
regulation of NRG1, the major repressor of hyphal development. Instead of cAMP
PKA pathway, GlcNAc sensor Ngs1 is responsible for this process. Ngs1 binds to
GlcNAc to activate its N-acetyltransferase activity, leading to the induction of
BRG1 expression. The increased level of BRG1 could repress NRG1 transcripts,
resulting in hyphal growth. Hyphal development in log phase cells induced by
serum or neutral pH also requires activation of BRG1 to down-regulate NRG1
transcription. Therefore, hyphal induction under the condition without
inoculation is trigged by Brg1-mediated removal of Nrg1 inhibition. This review
describes our current understanding of the molecular mechanism underlying hyphal
development, the best studied virulence factor in C. albicans. These will expand
the number of potential drug targets with novel modes of action for anti
virulence therapeutics.
PMID- 29796904
TI - Critical roles of Shugoshin and histones as tension sensors during mitosis.
AB - Biorientation of paired sister chromosomes is required to maintain mitotic
fidelity. A critical signal indicative of bipolar attachment is tension between
cohesion-linked sister chromatids. Key components of the tension signaling
apparatus include the Shugoshin family of proteins and the tension sensing motif
of histone H3. Shugoshin proteins are recruited to chromatin to create discrete
domains integral to tension sensing. Many factors involved in the chromatin
association of Shugoshin proteins are well established, most strikingly through
modifications found directly on centromeric and pericentric chromatin. It has
been well established that phosphorylation at the centromere is essential to
nucleating Shugoshin recruitment, but recent evidence revealed a role for
pericentric histones and acetylation in modulating Shugoshin recruitment and
activity. These data demonstrate that chromatins are not simply passive cargo
during mitosis, but are instead actively involved in their segregation.
PMID- 29796905
TI - [Thyroid gland and the heart : Pathophysiological background, diagnostic and
therapeutic consequences].
AB - BACKGROUND: Irregularities in thyroid-specific laboratory parameters are frequent
in the general population. Observational studies have shown associations between
dysfunction of the thyroid gland and cardiovascular morbidity and mortality.
OBJECTIVE: The aim of this review is to give a critical overview of the
relationship and treatment recommendations for the simultaneous occurrence of
functional disorders of the thyroid gland and the heart. MATERIAL AND METHODS:
Literature searches were conducted in PubMed and Medline. RESULTS AND CONCLUSION:
Overt and also to a lesser extent subclinical hyperthyroidism are of relevance
for cardiovascular mortality and morbidity, even though interventional studies
are missing. Therefore, basic thyroid diagnostics are recommended when
cardiovascular diseases occur or deteriorate. Whereas overt hyperthyroidism and
hypothyroidism are treated immediately, subclinical thyroid dysfunction disorders
require confirmation by laboratory testing. In cases with confirmed subclinical
hyperthyroidism and heart disease, a thyroid-specific treatment is meaningful (e.
g. with radioiodine). At what point a subclinical hypothyroidism should be
treated is controversial. We usually initiate substitution treatment with
levothyroxine only in patients with a thyroid-stimulating hormone (TSH) level >
10 mIU/l. A control of baseline thyroid parameters is necessary prior to
initiation of any treatment with amiodarone or with deterioration of the general
condition.
PMID- 29796906
TI - Anti-cytokine therapy and plasma DNA in patients with rheumatoid arthritis.
AB - BACKGROUND: Extracellular DNA (ecDNA) is increased in inflammation and it also
induces inflammation. In patients with rheumatoid arthritis (RA), plasma ecDNA is
higher than in healthy controls. Due to low specificity, it cannot be used for
screening, but it might be useful for monitoring and prognosis of therapy
success. The effect of treatment with biological disease-modifying antirheumatic
drugs (bDMARDs) on plasma ecDNA in RA patients with regards to its subcellular
origin has not been analyzed yet. The aim of this study was to describe the
effects of bDMARDs on plasma ecDNA and its nuclear (nDNA) and mitochondrial
(mtDNA) fractions in patients with RA. METHODS: Plasma samples of 32 patients
with RA were collected before, as well as 3 and 6 months after starting the
treatment with bDMARDs. Total plasma ecDNA was quantified fluorometrically. The
subcellular origin of ecDNA was assessed using real time PCR. Treatment success
was monitored using DAS28 and C-reactive protein (CRP). RESULTS: The clinical
status of patients improved. Both DAS28 and CRP decreased by 52 and 73% after 3
months of treatment. Plasma ecDNA decreased significantly only after 6 months (by
26%). Real-time PCR showed that both, nDNA and mtDNA decreased by 63 and by 45%
after 6 months. CONCLUSION: Treatment with bDMARDs decreases plasma ecDNA of both
nuclear and mitochondrial origin. Dynamics of ecDNA is slower than dynamics of
standard clinical markers. Therefore, it is likely to be not useful for
monitoring of the disease progress, at least for RA.
PMID- 29796907
TI - The impact of anti-U1-RNP positivity: systemic lupus erythematosus versus mixed
connective tissue disease.
AB - Anti-U1-RNP positivity remains mandatory for the mixed connective tissue disease
(MCTD) diagnosis, reason for which anti-U1-RNP occurrence in patients with lupus
clinical features might determine diagnostic issues. Thus, the prevalence of 25
30% for anti-RNP was reported in John Hopkins and LUMINA lupus cohorts and also
13% prevalence for the anti-U1-RNP in Euro-Lupus cohort. Presence of anti-U1-RNP
antibodies in patients fulfilling SLE criteria (but not the MCTD ones) was
associated with manifestations such as Raynaud phenomenon, musculoskeletal and
lung impairment or nail fold capillaroscopy changes, some clinical features
frequently encountered in MCTD patients and only rarely described in lupus
population. The use of more specific markers such as 70 kDa anti-U1-RNP or anti
Sm-D was proposed for discriminating between SLE and MCTD. In addition, the IgM
serotype of anti-U1-RNP seems more frequently expressed in SLE, while the IgG
serotype alone in MCTD. Better acknowledgement of possible clinical involvements
in lupus subsets, such as the peculiarities related to the anti-U1-RNP
positivity, could provide access to early diagnosis of rather rare but possible
severe lupus organ impairments (e.g. pulmonary arterial hypertension).
PMID- 29796908
TI - Endoscopic Necrosectomy Through Percutaneous Self-Expanding Metal Stents May Be a
Promising Additive in Treatment of Necrotizing Pancreatitis.
AB - BACKGROUND: The recommended treatment of infected walled-off necrosis (WON) in
necrotizing pancreatitis entails a step-up treatment approach starting with
endoscopic necrosectomy (ETDN). AIMS: To report a small number of cases from 2013
to 2016 that were not amenable to or failed to respond to ETDN, and to describe a
new, minimally invasive technique that may be a promising supplement to ETDN in
this difficult patient population. METHODS: Using the Seldinger technique, a
fully covered self-expanding metal stent (SEMS) was placed percutaneously in
order to drain, irrigate, and debride WON. After resolution, the stent was
removed. We reviewed electronic patient records and defined clinical success as
complete WON resolution with removal of internal as well as percutaneous drains
and stents. RESULTS: Five patients underwent treatment with SEMS placement. The
mean length of the WON was 33.4 cm. Clinical success was achieved in four
patients after an average of 5.75 necrosectomy sessions. One patient died from
severe sepsis. Adverse events included severe abdominal pain and productive
cutaneous fistulae (two patients). CONCLUSIONS: In our small case series,
endoscopic necrosectomy through a percutaneous SEMS seemed beneficial and safe in
the treatment of infected WON.
PMID- 29796909
TI - Clinical Impact of KRAS and GNAS Analysis Added to CEA and Cytology in Pancreatic
Cystic Fluid Obtained by EUS-FNA.
AB - BACKGROUND: Pancreatic cysts are common incidental findings with malignant
potential, raising diagnostic and treatment dilemmas. AIMS: To determine the
added value of KRAS and GNAS mutation analysis on cyst classification and
decision making. METHODS: We analyzed 52 frozen samples of pancreatic cystic
fluid obtained by EUS-FNA between 2008 and 2014. In addition to cytology and CEA,
mutations of GNAS (exons 8 and 9) and KRAS (exons 2 and 3) genes were analyzed
using Sanger sequencing. RESULTS: There were 52 patients, 67% females, with a
mean age of 59 +/- 15 years (29-91). Cysts were classified as mucinous in 21
patients (40%) (14 low-risk, seven malignant) and non-mucinous in 31 patients
(60%). After EUS-FNA, 11 patients had surgery, six had chemotherapy or
palliation, one had endoscopic drainage, and 34 are on follow-up after a mean of
57 months. KRAS mutation was detected in nine and GNAS in two samples. Patients
harboring cysts with KRAS mutations were older (p = 0.01), cysts were more
commonly mucinous (p = 0.001) and malignant (p = 0.01). KRAS mutations were
present in both low-risk and malignant mucinous lesions. For identifying mucinous
lesions, CEA > 192 ng/mL performed better (AUC ROC = 93%), whereas for
malignant/high-risk mucinous lesions, EUS imaging had the best accuracy (AUC ROC
= 88%). After molecular analysis, a modification in cyst classification occurred
in ten patients, but was correct in only two, a pseudocyst re-classified as IPMN
and a malignant cyst as a non-mucinous cyst. CONCLUSIONS: In this cohort of
patients with pancreatic cysts, KRAS and GNAS mutations had no significant
diagnostic benefit in comparison with conventional testing.
PMID- 29796910
TI - Frailty Assessment Predicts Acute Outcomes in Patients Undergoing Screening
Colonoscopy.
AB - BACKGROUND: Colonoscopy is associated with multiple adverse outcomes. With an
aging population undergoing colorectal cancer screening, few modalities exist to
assess the patient risk prior to colonoscopy. Frailty, the age-related decline in
reserve and function across multiple organ systems, predicts poor surgical
outcomes, but its role in endoscopy is unclear. AIMS: This prospective cohort
study assesses the efficacy of frailty in predicting acute colonoscopy outcomes.
METHODS: Participants aged >= 50 years undergoing screening colonoscopy at a
tertiary care center were recruited over 2 months ending in July 2017. Frailty
was assessed using a validated 20-s upper-extremity frailty test, which measures
the capacity of muscle performance. Demographic data, American Society of
Anesthesiologists (ASA) status, and Charlson comorbidity index (CCI) were
evaluated. Procedure-related adverse events and cardiopulmonary changes during
and in the immediate post-procedure period were recorded. Adverse events were
stratified into minor and major events. Chi-square and ANCOVA models were used in
the analysis. RESULTS: Ninety-nine adults (mean age 62.8 years) were enrolled,
among which 49 were non-frail and 50 were pre-frail/frail; 50 were female.
Overall, 55 participants experienced a total of 87 adverse events. Frailty and
ASA status were significantly associated with colonoscopy adverse events (p =
0.01 and p = 0.02, respectively). Age and CCI did not predict colonoscopy
outcomes. CONCLUSIONS: Compared to age and CCI, frailty status better predicts
colonoscopy outcomes in older adults. Among adults undergoing colonoscopy,
routine frailty screening should be considered for risk stratification.
Additional prospective studies evaluating frailty measurements in endoscopy will
further clarify its role in forecasting adverse events.
PMID- 29796911
TI - Gut Microbiota Composition Before and After Use of Proton Pump Inhibitors.
AB - BACKGROUND: Recently, problems associated with proton pump inhibitor (PPI) use
have begun to surface. PPIs influence the gut microbiota; therefore, PPI use may
increase the risk of enteric infections and cause bacterial translocation. In
this study, we investigated fecal microbiota composition, fecal organic acid
concentrations and pH, and gut bacteria in the blood of the same patients before
and after PPI use. METHODS: Twenty patients with reflux esophagitis based on
endoscopic examination received 8 weeks of treatment with PPIs. To analyze fecal
microbiota composition and gut bacteria in blood and organic acid concentrations,
16S and 23S rRNA-targeted quantitative RT-PCR and high-performance liquid
chromatography were conducted. RESULTS: Lactobacillus species were significantly
increased at both 4 and 8 weeks after PPI treatment compared with bacterial
counts before treatment (P = 0.011 and P = 0.002, respectively). Among
Lactobacillus spp., counts of the L. gasseri subgroup, L. fermentum, the L.
reuteri subgroup, and the L. ruminis subgroup were significantly increased at 4
and 8 weeks after treatment compared with counts before treatment. Streptococcus
species were also significantly increased at 4 and 8 weeks after PPI treatment
compared with counts before treatment (P < 0.01 and P < 0.001, respectively).
There was no significant difference in the total organic acid concentrations
before and after PPI treatment. Detection rates of bacteria in blood before and
after PPI treatment were 22 and 28%, respectively, with no significant
differences. CONCLUSIONS: Our quantitative RT-PCR results showed that gut
dysbiosis was caused by PPI use, corroborating previous results obtained by
metagenomic analysis.
PMID- 29796912
TI - Tumor Necrosis Factor Ligand-Related Molecule 1A Regulates the Occurrence of
Colitis-Associated Colorectal Cancer.
AB - BACKGROUND: Tumor necrosis factor ligand-related molecule 1 A (TLlA) is closely
related to the occurrence and development of inflammatory bowel disease. AIMS: We
aimed to explore whether TLlA was involved in the occurrence of colitis
associated colorectal cancer (CAC). METHODS: Firstly, azoxymethane (AOM) and
dextran sulfate sodium (DSS) were used to construct the CAC mice model in wild
type (WT) and TL1A transgenic (Tg) mice with TL1A high expression. The
histopathological analysis was used for the evaluation of inflammation level, and
the immunohistochemistry staining analysis was used to test the expression and
location of proliferating cell nuclear antigen (PCNA) and beta-catenin. Secondly,
the HCT116 and HT29 cell lines were used for knockdown of TL1A gene for further
assay including cell viability, cell clone, cell apoptosis and matrigel invasion.
Western blot were used for quantitative protein expression of beta-catenin and
downstream oncogenes including c-myc and Cyclin D1 after knockdown of TL1A gene.
RESULTS: The evaluation of inflammation level showed that the disease activity
index score and tumor formation rate were significantly higher in AOM + DSS/Tg
group than that in AOM + DSS/WT group. The expression of PCNA, beta-catenin, c
myc, and Cyclin D1 in AOM + DSS/Tg group was significantly higher than that in
AOM + DSS/WT group. The cell experiment showed that TL1A knockdown inhibited the
cell proliferation, invasion, and migration. Moreover, the expression of c-myc
and Cyclin D1 was significantly decreased after TL1A knockdown. CONCLUSIONS: TL1A
can induce tumor cell proliferation and promote the occurrence of CAC by
activating Wnt/beta-catenin pathway.
PMID- 29796914
TI - Brownout: another threat to ICU physicians and nurses.
PMID- 29796916
TI - Is there still a place for the Swan-Ganz catheter? No.
PMID- 29796913
TI - Angle of His Accentuation Is a Viable Alternative to Dor Fundoplication as an
Adjunct to Laparoscopic Heller Cardiomyotomy: Results of a Randomized Clinical
Study.
AB - BACKGROUND: There is no consensus regarding the type of anti-reflux procedure to
be used as an adjunct to laparoscopic Heller cardiomyotomy (LHCM). The aim of
this study was to compare Angle of His accentuation (AOH) with Dor Fundoplication
(Dor) as an adjunct to LHCM. METHODS: A total of 110 patients with achalasia
cardia presenting for LHCM from March 2010 to July 2015 were randomized to Dor
and AOH. Symptom severity, achalasia-specific quality of life (ASQOL), new onset
heartburn, and patient satisfaction were assessed using standardized scores
preoperatively, at 3, 6 months, and then yearly. The primary outcome was relief
of esophageal symptoms while secondary outcomes were new onset heartburn and
ASQOL. RESULTS: Both groups were comparable with respect to the baseline
demographic characteristics. There was no conversion to open and no mortality in
either group. Median operative time was 128 min in AOH and 144 min in Dor group
(p < 0.01). Mean follow-up was 36 months and was available in 98% patients. There
was significant improvement in esophageal symptoms in both groups with no
statistically significant difference between the two groups (p > 0.05). There was
no difference in cumulative symptom scores between the two groups over the period
of follow-up. New onset heartburn was seen in 11% in AOH and 9% in Dor group.
Mean ASQOL score improved in both groups with no difference between the two
groups (p = 0.83). Patient satisfaction was similar in both groups. CONCLUSION:
AOH is similar to Dor as an adjunct to LHCM in safety and efficacy and can be
performed in shorter time. CLINICAL REGISTRATION NUMBER: CTRI:
REF/2014/06/007146.
PMID- 29796915
TI - Is there still a place for the Swan-Ganz catheter? Yes.
PMID- 29796917
TI - Is there still a place for the Swan-Ganz catheter? We are not sure.
PMID- 29796918
TI - Computer-based self-training for CT colonography with and without CAD.
AB - OBJECTIVES: To determine whether (1) computer-based self-training for CT
colonography (CTC) improves interpretation performance of novice readers; (2)
computer-aided detection (CAD) use during training affects learning. METHODS:
Institutional review board approval and patients' informed consent were obtained
for all cases included in this study. Twenty readers (17 radiology residents, 3
radiologists) with no experience in CTC interpretation were recruited in three
centres. After an introductory course, readers performed a baseline assessment
test (37 cases) using CAD as second reader. Then they were randomized (1:1) to
perform either a computer-based self-training (150 cases verified at colonoscopy)
with CAD as second reader or the same training without CAD. The same assessment
test was repeated after completion of the training programs. Main outcome was per
lesion sensitivity (>= 6 mm). A generalized estimating equation model was applied
to evaluate readers' performance and the impact of CAD use during training.
RESULTS: After training, there was a significant improvement in average per
lesion sensitivity in the unassisted phase, from 74% (356/480) to 83% (396/480)
(p < 0.001), and in the CAD-assisted phase, from 83% (399/480) to 87% (417/480)
(p = 0.021), but not in average per patient sensitivity, from 93% (390/420) to
94% (395/420) (p = 0.41), and specificity, from 81% (260/320) to 86% (276/320) (p
= 0.15). No significant effect of CAD use during training was observed on per
patient sensitivity and specificity, nor on per lesion sensitivity. CONCLUSIONS:
A computer-based self-training program for CTC improves readers' per lesion
sensitivity. CAD as second reader does not have a significant impact on learning
if used during training. KEY POINTS: * Computer-based self-training for CT
colonography improves per lesion sensitivity of novice readers. * Self-training
program does not increase per patient specificity of novice readers. * CAD used
during training does not have significant impact on learning.
PMID- 29796919
TI - CT and MRI of pancreatic cancer: there is no rose without a thorn!
PMID- 29796920
TI - Steering Charge Kinetics of Tin Niobate Photocatalysts: Key Roles of Phase
Structure and Electronic Structure.
AB - Tin niobate photocatalysts with the phase structures of froodite (SnNb2O6) and
pyrochlore (Sn2Nb2O7) were obtained by a facile solvothermal method in order to
explore the impact of phase structure and electronic structure on the charge
kinetics and photocatalytic performance. By employing tin niobate as a model
compound, the effects of phase structure over electronic structure,
photocatalytic activity toward methyl orange solution and hydrogen evolution were
systematically investigated. It is found that the variation of phase structure
from SnNb2O6 to Sn2Nb2O7 accompanied with modulation of particle size and band
edge potentials that has great consequences on photocatalytic performance. In
combination with the electrochemical impedance spectroscopy (EIS), transient
photocurrent responses, transient absorption spectroscopy (TAS), and the analysis
of the charge-carrier dynamics suggested that variation of electronic structure
has great impacts on the charge separation and transfer rate of tin niobate
photocatalysts and the subsequent photocatalytic performance. Moreover, the
results of the X-ray photoelectron spectroscopy (XPS) indicated that the existent
of Sn4+ species in Sn2Nb2O7 could result in a decrease in photocatalytic
activity. Photocatalytic test demonstrated that the SnNb2O6 (froodite) catalyst
possesses a higher photocatalytic activity toward MO degradation and H2 evolution
compared with the sample of Sn2Nb2O7 (pyrochlore). On the basis of spin resonance
measurement and trapping experiment, it is expected that photogenerated holes, O2
*, and OH* active species dominate the photodegradation of methyl orange.
PMID- 29796921
TI - Fatal Hyperammonemic Encephalopathy in a Pediatric Patient After Roux-en-Y
Gastric Bypass.
PMID- 29796922
TI - Treatment of Obesity in Young People-a Systematic Review and Meta-analysis.
AB - Obesity in the young is increasingly prevalent. Early, effective intervention is
paramount. Treatment options are lifestyle modifications, pharmacological
therapies, endoscopic treatments and bariatric surgery. However, the relative
effectiveness of these treatments in young patients remains unclear. We
systematically identify and meta-analyse studies evaluating weight loss
treatments in young people (< 21 years) with obesity. From 16,372 identified
studies, 83 were eligible for meta-analysis. Bariatric surgery resulted in high
short/medium-term weight loss (pooled estimate 14.04 kg/m2). Lifestyle and
pharmacological therapies impacted weight more moderately (pooled estimate 0.99
and 0.94 kg/m2 respectively). Due to its high efficacy, bariatric surgery should
be considered earlier when treating obesity in young people. However, due to the
invasiveness and inherent risks of bariatric surgery, all other weight loss
routes should be exhausted first.
PMID- 29796923
TI - Adult-born dentate granule cell excitability depends on the interaction of neuron
age, ontogenetic age and experience.
AB - Early during their maturation, adult-born dentate granule cells (aDGCs) are
particularly excitable, but eventually develop the electrophysiologically quiet
properties of mature cells. However, the stability versus plasticity of this
quiet state across time and experience remains unresolved. By birthdating two
populations of aDGCs across different animal ages, we found for 10-month-old rats
the expected reduction in excitability across cells aged 4-12 weeks, as
determined by Egr1 immunoreactivity. Unexpectedly, cells 35 weeks old (after
genesis at an animal age of 2 months) were as excitable as 4-week-old cells, in
the dorsal hippocampus. This high level of excitability at maturity was specific
for cells born in animals 2 months of age, as cells born later in life did not
show this effect. Importantly, excitability states were not fixed once maturity
was gained, but were enhanced by enriched environment exposure or LTP induction,
indicating that any maturational decrease in excitability can be compensated by
experience. These data reveal the importance of the animal's age for aDGC
excitability, and emphasize their prolonged capability for plasticity during
adulthood.
PMID- 29796924
TI - Genetic diversity and identification of putative recombination events in
grapevine rupestris stem pitting-associated virus.
AB - The impact of recombination on variant classification and the use of different
genomic regions to identify virus variants were investigated using a diversity
study performed on grapevine rupestris stem pitting-associated virus (GRSPaV).
Three surveys were conducted to investigate the genetic diversity of GRSPaV and
to compare the ability of the GRSPaV coat protein and replicase domains to
classify virus variants. GRSPaV variants identified in the surveys clustered into
five of the six currently recognised lineages, and a seventh, previously
unclassified lineage was detected. A correlation was observed between the
detection of recombinant GRSPaV sequences and inconsistencies in classification
when using different genome regions for analysis.
PMID- 29796925
TI - Restricted viral cDNA synthesis in cell lines that fail to support productive
infection by bovine leukemia virus.
AB - Bovine leukemia virus (BLV) is the causative agent of enzootic bovine leucosis,
which results in significant economic losses on many affected farms. BLV infects
a wide range of animals as well as cell lines derived from various mammalian
species and organs; however, studies show that only some cell lines support
sustained production of viral progeny. The differences between cells that produce
viral progeny and those that do not are unclear. The aim of this study was to
identify the steps of BLV replication that are associated with the capacity of a
cell to support a productive infection. Eleven cell lines derived from various
species were categorized into two groups, those that produce BLV progeny and
those that do not, and the efficiency of viral attachment was compared. In
addition, viral entry and reverse transcription were compared for two BLV
producing cell lines and three non-producing cell lines. BLV attached to and
entered all of the tested cells. However, synthesis of viral DNA was inhibited in
all three non-virus-producing cell lines, suggesting that BLV production was
blocked either prior to or at the stage of reverse transcription. These results
increase our understanding of the BLV life cycle and should enable better control
over the spread of BLV.
PMID- 29796926
TI - Development of a TaqMan MGB RT-PCR assay for the detection of type A and subtype
H10 avian influenza viruses.
AB - H10 subtype avian influenza viruses have caused several epidemics in poultry and
mammals, and specific, rapid and sensitive methods for detection are urgently
needed. Herein, TaqMan minor groove binder (MGB) probes and multiplex real-time
RT-PCR primers were designed based on gene regions encoding conserved domains of
the nucleoprotein and H10 hemagglutinin. The developed multiplex real-time RT-PCR
assay displayed high specificity, repeatability, and a detection limit of 10
copies per reaction. This diagnostic method could prove valuable for the rapid
detection of H10 subtype AIVs in China.
PMID- 29796927
TI - Safety of Polysorbate 80 in the Oncology Setting.
AB - Polysorbate 80 is a synthetic nonionic surfactant used as an excipient in drug
formulation. Various products formulated with polysorbate 80 are used in the
oncology setting for chemotherapy, supportive care, or prevention, including
docetaxel, epoetin/darbepoetin, and fosaprepitant. However, polysorbate 80, like
some other surfactants, is not an inert compound and has been implicated in a
number of systemic and injection- and infusion-site adverse events (ISAEs). The
current formulation of intravenous fosaprepitant has been associated with an
increased risk of hypersensitivity systemic reactions (HSRs). Factors that have
been associated with an increased risk of fosaprepitant-related ISAEs include the
site of administration (peripheral vs. central venous), coadministration of
anthracycline-based chemotherapy, number of chemotherapy cycles or fosaprepitant
doses, and concentration of fosaprepitant administered. Recently, two polysorbate
80-free agents have been approved: intravenous rolapitant, which is a neurokinin
1 (NK-1) receptor antagonist formulated with the synthetic surfactant polyoxyl 15
hydroxystearate, and intravenous HTX-019, which is a novel NK-1 receptor
antagonist free of synthetic surfactants. Alternative formulations will obviate
the polysorbate 80-associated ISAEs and HSRs and should improve overall
management of chemotherapy-induced nausea and vomiting.Funding Heron
Therapeutics, Inc.
PMID- 29796928
TI - Multinational Consensus: Insulin Initiation with Insulin Degludec/Aspart
(IDegAsp).
AB - Insulin degludec/aspart (IDegAsp) is the first soluble insulin co-formulation,
combining a long-acting insulin degludec (IDeg) and rapid-acting insulin aspart
(IAsp). In type 2 diabetes patients with oral antidiabetes agent (OAD)
inadequacy, insulin initiation with IDegAsp once daily provides superior long
term glycemic control compared to insulin glargine, with similar fasting plasma
glucose (FPG) and insulin doses, and numerically lower rates of overall and
nocturnal hypoglycemia. Furthermore, in patients with uncontrolled type 2
diabetes previously treated with insulins, IDegAsp twice daily effectively
improves glycated hemoglobin and FPG, with fewer hypoglycemic episodes versus
premix insulins and basal bolus therapy. In patients with type 1 diabetes
mellitus, IDegAsp once daily with two doses of IAsp is a convenient, yet
effective, regimen as compared to the conventional 4-5 injection-based basal
bolus therapy. IDegAsp is an appropriate and reasonable option for initiation of
insulin therapy in both type 1 and type 2 diabetes.
PMID- 29796929
TI - Characterizing amino-acid biosignatures amongst individuals with schizophrenia: a
case-control study.
AB - Amino acids and derivatives participate in the biosynthesis and downstream
effects of numerous neurotransmitters. Variations in specific amino acids have
been implicated in the pathophysiology of schizophrenia. Herein, we sought to
compare levels of amino acids and derivatives between subjects with schizophrenia
and healthy controls (HC). Two hundred and eight subjects with Diagnostic and
Statistical Manual of Mental Disorders, Fourth Edition criteria (DSM-IV)-defined
schizophrenia and 175 age- and sex-matched HC were enrolled. The levels of twenty
five amino acids and seven related derivatives were measured in plasma samples
using hydrophilic interaction liquid chromatography (HILIC) liquid chromatography
tandem mass spectrometry (LC-MS). After controlling for age, sex and body mass
index (BMI), four amino acids and derivatives (i.e., cysteine, GABA, glutamine
and sarcosine) were observed to be higher in the schizophrenia group when
compared with HC; seven amino acids and derivatives were lower in the
schizophrenia group (i.e., arginine, L-ornithine, threonine, taurine, tryptophan,
methylcysteine, and kynurenine). Statistically significant differences in plasma
amino-acid profiles between subjects with first-episode vs. recurrent
schizophrenia for aspartate and glutamine were also demonstrated using
generalized linear models controlling for age, sex, and BMI. The differences in
amino acids and derivatives among individuals with schizophrenia when compared to
HC may represent underlying pathophysiology, including but not limited to
dysfunctional proteinogenic processes, alterations in excitatory and inhibitory
neurotransmission, changes in ammonia metabolism and the urea cycle. Taken
together, amino-acid profiling may provide a novel stratification approach among
individuals with schizophrenia.
PMID- 29796930
TI - A fusion antitumor peptide regulates proliferation and apoptosis of endothelial
cells.
AB - The present research has been carried out to elicit the mechanism of
antiangiogenic activity of a fusion peptide P2. Peptide P2 was designed by the
connection of a heptapeptide MMP inhibitor to ES-2, a fragment of Endostatin. In
a previous study, P2 demonstrated strong antiangiogenic and antitumor effect, and
the current work explains the antiangiogenic mechanism of P2 through endothelial
cell proliferation and apoptosis. In our study, it was shown that P2 inhibited
HUVECs proliferation at a low serum concentration and this effect might be
achieved through arresting cell cycle by decreasing the expression level of
Cyclin D1. In addition, P2 was found to induce apoptosis of HUVECs. Using Western
blot, it was indicated that P2 induced the cleavage of Caspase-3, the hallmark
protease of apoptosis. The activation and expression of the upstream regulator
Caspase-9 can also be affected by P2 treatment. Furthermore, P2 reduced the
protein level of antiangiogenic factors Bcl-xL and Bcl-2. These results revealed
that P2 regulates endothelial cell apoptosis through intrinsic apoptotic pathway.
PMID- 29796932
TI - Low-phytate wholegrain bread instead of high-phytate wholegrain bread in a total
diet context did not improve iron status of healthy Swedish females: a 12-week,
randomized, parallel-design intervention study.
AB - PURPOSE: To investigate the effects of eating wholegrain rye bread with high or
low amounts of phytate on iron status in women under free-living conditions.
METHODS: In this 12-week, randomized, parallel-design intervention study, 102
females were allocated into two groups, a high-phytate-bread group or a low
phytate-bread group. These two groups were administered: 200 g of blanched
wholegrain rye bread/day, or 200 g dephytinized wholegrain rye bread/day. The
bread was administered in addition to their habitual daily diet. Iron status
biomarkers and plasma alkylresorcinols were analyzed at baseline and post
intervention. RESULTS: Fifty-five females completed the study. In the high
phytate-bread group (n = 31) there was no change in any of the iron status
biomarkers after 12 weeks of intervention (p > 0.05). In the low-phytate bread
group (n = 24) there were significant decreases in both ferritin (mean = 12%;
from 32 +/- 7 to 27 +/- 6 ug/L, geometric mean +/- SEM, p < 0.018) and total body
iron (mean = 12%; from 6.9 +/- 1.4 to 5.4 +/- 1.1 mg/kg, p < 0.035). Plasma
alkylresorcinols indicated that most subjects complied with the intervention.
CONCLUSIONS: In Swedish females of reproductive age, 12 weeks of high-phytate
wholegrain bread consumption had no effect on iron status. However, consumption
of low-phytate wholegrain bread for 12 weeks resulted in a reduction of markers
of iron status. Although single-meal studies clearly show an increase in iron
bioavailability from dephytinization of cereals, medium-term consumption of
reduced phytate bread under free-living conditions suggests that this strategy
does not work to improve iron status in healthy women of reproductive age.
PMID- 29796933
TI - Is Mental Illness a Risk Factor for Hospital Readmission?
AB - People with mental illnesses (MI) receive suboptimal care for medical
comorbidities and their high risk for readmission may be addressed by adequate
medication management and follow-up care. We examined the association between MI,
medication changes, and post-discharge outpatient visits with 30-day readmission
in 40,048 Medicare beneficiaries hospitalized for acute myocardial infarction,
heart failure or pneumonia. Beneficiaries with MI were more likely to be
readmitted than those without MI (14 vs. 11%). Probability of readmission was 13
and 12% when medications were dropped or added, respectively, versus 11% when no
change was made. Probability of readmission also increased with outpatient
visits.
PMID- 29796931
TI - Physical decline and its implications in the management of oesophageal and
gastric cancer: a systematic review.
AB - PURPOSE: The management of oesophageal and gastric cancer can cause significant
physical decline, impacting on completion rates and outcomes. This systematic
review aimed to (i) determine the impact of chemotherapy, chemoradiotherapy and
surgery on physical function; (ii) identify associations between physical
function and post-operative outcomes; and (iii) examine the effects of
rehabilitation on physical function. METHODS: We included randomised controlled
trials (RCT), non-RCTs of interventions and cohort studies that measured physical
function by objective means in patients with oesophageal or gastric cancer.
EMBASE, PubMed, CINAHL, Cochrane Library, SCOPUS, PEDro and the WHO Trial
Registry were searched up to June 2016. Risk of bias assessment was performed
using a suite of validated tools. RESULTS: Twenty-five studies involving 1897
participants were included. A meta-analysis was not indicated due to the
heterogeneity of the literature. Significant reductions in physical function
occur in patients undergoing neoadjuvant treatment and in the first 3 months post
resection. Lower pre-operative exercise capacity is associated with an increased
risk of post-operative pulmonary complications (PPCs). Evidence to support
exercise prehabilitation and rehabilitation in these treatment pathways is
currently lacking. CONCLUSIONS: Chemotherapy, chemoradiation and surgery lead to
reduced physical function in patients with oesophageal and gastric cancer. High
quality evidence is lacking to prove the benefit of interventions that improve
physical function through the treatment pathway and in recovery, and well
designed studies are required. This review was limited due to the heterogeneity
of the literature, high risk of bias in some articles and the lack of high
quality research encompassing sufficient time points in the patient journey.
IMPLICATIONS FOR CANCER SURVIVORS: Curative treatment for oesophago-gastric
cancer can negatively impact on physical function. Rehabilitation programmes have
considerable potential to enhance physical function across the oesophago-gastric
cancer journey.
PMID- 29796934
TI - Intrathecal Injection of Allogenic Bone Marrow-Derived Mesenchymal Stromal Cells
in Treatment of Patients with Severe Ischemic Stroke: Study Protocol for a
Randomized Controlled Observer-Blinded Trial.
AB - Mesenchymal stromal cells (MSCs) can differentiate into multiple tissues.
Preclinical studies have shown that MSC-based therapy is a potential new
treatment approach for ischemic stroke. These results support the urgent need for
further studies of MSC transplantation in the treatment of ischemic stroke in
humans. Here, we develop a prospective, randomized, controlled, observer-blinded
phase II trial to assess the clinical safety, feasibility, and therapeutic
mechanisms of allogenic bone marrow-derived MSCs (BM-MSCs) by intrathecal
infusion in the treatment of patients with cerebral infarction within the middle
cerebral artery and with a National Institutes of Health Stroke Scale (NIHSS)
score from 15 to 25. Sample size calculation has determined that a patient
population of 118, with ischemic stroke between 30 and 90 days following onset,
will be randomly divided into experimental (n = 59) and control (n = 59) groups.
Then eligible patients will receive four intrathecal infusions of allogenic BM
MSCs (1 * 106 cells/kg body weight) once a week. All patients have detailed
functional assessments and magnetic resonance imaging prior to cell infusion and
at intervals up to 1 year after. The primary outcome is the score on the modified
Rankin Scale at 90 days after treatment, and the second outcomes include multiple
indicators of safety and feasibility. And this trial has been registered as
ChiCTR-INR-16008908 (25 July 2016).
PMID- 29796935
TI - Correction to: Inactivation of NSF ATPase Leads to Cathepsin B Release After
Transient Cerebral Ischemia.
AB - The author name "Dr. Jiang Wu" needed to be added as the 3rd author. The author
institutional affiliations are correspondingly adjusted. The authors regret these
errors.The original article has been corrected.
PMID- 29796936
TI - Decreased number and increased volume with mitochondrial enlargement of
cerebellar synaptic terminals in a mouse model of chronic demyelination.
AB - Impaired nerve conduction, axonal degeneration, and synaptic alterations
contribute to neurological disabilities in inflammatory demyelinating diseases.
Cerebellar dysfunction is associated with demyelinating disorders, but the
alterations of axon terminals in cerebellar gray matter during chronic
demyelination are still unclear. We analyzed the morphological and
ultrastructural changes of climbing fiber terminals in a mouse model of
hereditary chronic demyelination. Three-dimensional ultrastructural analyses
using serial block-face scanning electron microscopy and immunostaining for
synaptic markers were performed in a demyelination mouse model caused by extra
copies of myelin gene (PLP4e). At 1 month old, many myelinated axons were
observed in PLP4e and wild-type mice, but demyelinated axons and axons with
abnormally thin myelin were prominent in PLP4e mice at 5 months old. The density
of climbing fiber terminals was significantly reduced in PLP4e mice at 5 months
old. Reconstruction of climbing fiber terminals revealed that PLP4e climbing
fibers had increased varicosity volume and enlarged mitochondria in the
varicosities at 5-month-old mice. These results suggest that chronic
demyelination is associated with alterations and loss of climbing fiber terminals
in the cerebellar cortex, and that synaptic changes may contribute to cerebellar
phenotypes observed in hereditary demyelinating disorders.
PMID- 29796937
TI - Clinical impact of molecular classifications in gastric cancer.
AB - Treatment options to gastric cancer (GC) have been changing in recent years from
a standard to a tailored approach. Different individualized procedures can range
from endoscopic resection, D2 with open or minimally invasive approach, to neo
adjuvant therapy followed by extended surgery. In more advanced stages, a
combined approach with the inclusion of intraperitoneal chemo-hyperthermia
(HIPEC) may represent a new advanced option. The inclusion of histological type
according to Lauren classification in the flowchart of treatment could increase
both accuracy and effectiveness of such tailored approach. New molecular
classifications of GC have been introduced recently and translational clinical
studies are ongoing. These classifications are expected to be included in
multidisciplinary treatment of GC. In particular, in the group with
microsatellite instability a less extended lymphadenectomy may be proposed. Also
tailored neo-adjuvant treatment may be proposed according to molecular
classifications. The group of patients with epithelial-to-mesenchymal transition
shows very high propensity to peritoneal dissemination, as well as N-metastases,
and may benefit from prophylactic HIPEC and extended lymphadenectomy when
confirmed in prospective trials.
PMID- 29796938
TI - The Rab GTPase activating protein Gyp2 contributes to UV stress tolerance in
Metarhizium acridum.
AB - GTPase activation protein (GAP) for Rab GTPases can accelerate GTP hydrolysis to
alter the activity of Rab GTPases. To explore the function of GAP in
entomopathogenic fungi, we constructed a deletion mutant of Gyp2 gene, a member
of the Gyp (GAP for Ypt/Rab proteins) family in the locust-specific fungal
pathogen, Metarhizium acridum. Results showed that the ?MaGyp2 mutant had
dramatically decreased tolerance to ultraviolet irradiation compared to wild type
strain. Quantitative real-time PCR revealed that UV irradiation repair related
genes Uve1 and WC1 were downregulated in ?MaGyp2 mutant. Seven of other ten Gyp
family members had significantly increased transcription in ?MaGyp2 mutant
compared with wild type, which may partly rescue the deficiency of MaGyp2.
PMID- 29796940
TI - Monitoring and assessment of seasonal land cover changes using remote sensing: a
30-year (1987-2016) case study of Hamoun Wetland, Iran.
AB - The availability of Landsat data allows improving the monitoring and assessment
of large-scale areas with land cover changes in rapid developing regions. Thus,
we pretend to show a combined methodology to assess land cover changes (LCCs) in
the Hamoun Wetland region (Iran) over a period of 30-year (1987-2016) and to
quantify seasonal and decadal landscape and land use variabilities. Using the
pixel-based change detection (PBCD) and the post-classification comparison (PCC),
four land cover classes were compared among spring, summer, and fall seasons. Our
findings showed for the water class a higher correlation between spring and
summer (R2 = 0.94) than fall and spring (R2 = 0.58) seasons. Before 2000, ~ 50%
of the total area was covered by bare soil and 40% by water. However, after 2000,
more than 70% of wetland was transformed into bare soils. The results of the long
term monitoring period showed that fall season was the most representative time
to show the inter-annual variability of LCCs monitoring and the least affected by
seasonal-scale climatic variations. In the Hamoun Wetland region, land cover was
highly controlled by changes in surface water, which in turn responded to both
climatic and anthropogenic impacts. We were able to divide the water budget
monitoring into three different ecological regimes: (1) a period of high water
level, which sustained healthy extensive plant life, and approximately 40% of the
total surface water was retained until the end of the hydrological year; (2) a
period of drought during high evaporation rates was observed, and a mean wetland
surface of about 85% was characterized by bare land; and (3) a recovery period in
which water levels were overall rising, but they are not maintained from year to
year. After a spring flood, in 2006 and 2013, grassland reached the highest
extensions, covering till more than 20% of the region, and the dynamics of the
ecosystem were affected by the differences in moisture. The Hamoun wetland region
served as an important example and demonstration of the feedbacks between land
cover and land uses, particularly as pertaining to water resources available to a
rapidly expanding population.
PMID- 29796942
TI - Yap-Hippo pathway regulates cerebral hypoxia-reoxygenation injury in
neuroblastoma N2a cells via inhibiting ROCK1/F-actin/mitochondrial fission
pathways.
AB - Yes-associated protein (Yap), a regulator of cellular apoptosis, has been
demonstrated to be involved in cerebral ischemia-reperfusion (IR) injury through
poorly defined mechanisms. The present study aimed to explore the role of Yap in
regulating cerebral IR injury in vitro, with a focus on mitochondrial fission and
ROCK1/F-actin pathways. Our data demonstrated that Yap was actually downregulated
in N2a cells after cerebral hypoxia-reoxygenation (HR) injury, and that lower
expression of Yap was closely associated with increased cell death. However, the
reintroduction of Yap was able to suppress the HR-mediated N2a cells death via
blocking the mitochondria-related apoptotic signal. At the molecular levels, Yap
overexpression sustained mitochondrial potential, normalized the mitochondrial
respiratory function, reduced ROS overproduction, limited HtrA2/Omi release from
mitochondria into the nucleus, and suppressed pro-apoptotic proteins activation.
Subsequently, functional studies have further illustrated that HR-mediated
mitochondrial apoptosis was highly regulated by mitochondrial fission, whereas
Yap overexpression was able to attenuate HR-mediated mitochondrial fission and,
thus, promote N2a cell survival in the context of HR injury. At last, we
demonstrated that Yap handled mitochondrial fission via closing ROCK1/F-actin
signaling pathways. Activation of ROCK1/F-actin pathways abrogated the protective
role of Yap overexpression on mitochondrial homeostasis and N2a cell survival in
the setting of HR injury. Altogether, our data identified Yap as the endogenous
defender to relieve HR-mediated nerve damage via antagonizing ROCK1/F
actin/mitochondrial fission pathways.
PMID- 29796943
TI - Sensory neuropathic symptoms in idiopathic Parkinson's disease: prevalence and
impact on quality of life.
AB - BACKGROUND: Neuropathic symptoms are commonly reported in Parkinson's disease
(PD), but robust data on the epidemiology of such symptoms are lacking. The
present study sought to investigate the prevalence and determinants of peripheral
sensory neuropathic symptoms (PSNS) in idiopathic PD (IPD) and ascertain the
effects of such symptoms on the patients' quality of life (QoL). METHODS:
Patients with IPD and age-matched and gender-matched controls were screened for
neuropathic symptoms using the Michigan Neuropathy Screening Instrument. The
impact of neuropathic symptoms on QoL was investigated using the 36-Item Short
Form Survey. RESULTS: Fifty-two patients and 52 age-matched and gender-matched
controls were recruited. PSNS were reported more frequently in patients with IPD
than in the control subjects (57.7 versus 28.8%, p = 0.003). No significant
relationships were found between PD-related clinical characteristics (i.e.
disease severity and duration, duration of exposure to levodopa) and the presence
of PSNS. Significant correlations were found between the number of PSNS and
physical functioning (Spearman's Rho - 0.351), even after adjusting for age,
gender and Hoehn and Yahr score. CONCLUSION: Our results support the notion of a
greater prevalence of PSNS in IPD patients as compared to the general population,
which, at least in part, may be secondary to large and/or small fibre peripheral
neuropathy. This warrants further investigation in larger studies that include
detailed neurophysiological assessments.
PMID- 29796941
TI - Motor Cortex Stimulation for Deafferentation Pain.
AB - PURPOSE OF REVIEW: Since the early 1990s, motor cortex stimulation (MCS) has been
a unique treatment modality for patients with drug-resistant deafferentation
pain. While underpowered studies and case reports have limited definitive, data
driven analysis of MCS in the past, recent research has brought new clarity to
the MCS literature and has helped identify appropriate indications for MCS and
its long-term efficacy. RECENT FINDINGS: In this review, new research in MCS,
repetitive transcranial magnetic stimulation (rTMS), and transcranial direct
current stimulation (tDCS) are analyzed and compared with historical landmark
papers. Currently, MCS is effective in providing relief to 40-64% of patients,
with decreasing analgesic effect over time addressed by altering stimulation
settings. rTMS and tDCS, two historic, non-invasive stimulation techniques, are
providing new alternatives for the treatment of deafferentation pain, with rTMS
finding utility in identifying MCS responders. Future advances in electrode
arrays, neuro-navigation, and high-definition tDCS hold promise in providing pain
relief to growing numbers of patients. Deafferentation pain is severe, disabling,
and remains a challenge for patients and providers alike. Over the last several
years, the MCS literature has been revitalized with studies and meta-analyses
demonstrating MCS effectiveness and providing guidance in identifying responders.
At the same time, rTMS and tDCS, two time-honored non-invasive stimulation
techniques, are finding new utility in managing deafferentation pain and
identifying good MCS candidates. As the number of potential therapies grow, the
clinician's role is shifting to personalizing treatment to the unique pain of
each patient. With new treatment modalities, this form of personalized medicine
is more possible than ever before.
PMID- 29796939
TI - Seizure detection: do current devices work? And when can they be useful?
AB - PURPOSE OF REVIEW: The unpredictability and apparent randomness of epileptic
seizures is one of the most vexing aspects of epilepsy. Methods or devices
capable of detecting seizures may help prevent injury or even death and
significantly improve quality of life. Here, we summarize and evaluate currently
available, unimodal, or polymodal detection systems for epileptic seizures,
mainly in the ambulatory setting. RECENT FINDINGS: There are two broad categories
of detection devices: EEG-based and non-EEG-based systems. Wireless wearable EEG
devices are now available both in research and commercial arenas. Neuro
stimulation devices are currently evolving and initial experiences of these show
potential promise. As for non-EEG devices, different detecting systems show
different sensitivity according to the different patient and seizure types.
Regardless, when used in combination, these modalities may complement each other
to increase positive predictive value. Although some devices with high
sensitivity are promising, practical widespread use of such detection systems is
still some way away. More research and experience are needed to evaluate the most
efficient and integrated systems, to allow for better approaches to detection and
prediction of seizures. The concept of closed-loop systems and prompt
intervention may substantially improve quality of life for patients and carers.
PMID- 29796944
TI - H13 influenza viruses in wild birds have undergone genetic and antigenic
diversification in nature.
AB - Among 16 haemagglutinin (HA) subtypes of avian influenza viruses (AIVs), H13 AIVs
have rarely been isolated in wild waterfowl. H13 AIVs cause asymptomatic
infection and are maintained mainly in gull and tern populations; however, the
recorded antigenic information relating to the viruses has been limited. In this
study, 2 H13 AIVs, A/duck/Hokkaido/W345/2012 (H13N2) and
A/duck/Hokkaido/WZ68/2012 (H13N2), isolated from the same area in the same year
in our surveillance, were genetically and antigenically analyzed with 10
representative H13 strains including a prototype strain, A/gull/Maryland/704/1977
(H13N6). The HA genes of H13 AIVs were phylogenetically divided into 3 groups (I,
II, and III). A/duck/Hokkaido/W345/2012 (H13N2) was genetically classified into
Group III. This virus was distinct from a prototype strain,
A/gull/Maryland/704/1977 (H13N6), and the virus, A/duck/Hokkaido/WZ68/2012
(H13N2), both belonging to Group I. Antigenic analysis indicated that the viruses
of Group I were antigenically closely related to those of Group II, but distinct
from those of Group III, including A/duck/Hokkaido/W345/2012 (H13N2). In summary,
our study indicates that H13 AIVs have undergone antigenic diversification in
nature.
PMID- 29796945
TI - Identification of genes involved in metabolism and signalling of abscisic acid
and gibberellins during Epimedium pseudowushanense B.L.Guo seed
morphophysiological dormancy.
AB - KEY MESSAGE: Key genes involved in metabolism and signalling of abscisic acid and
gibberellins during Epimedium pseudowushanense B.L.Guo seed morphophysiological
dormancy release were identified using phytochemistry, transcriptomics, and
bioinformatic methods. The molecular mechanism of seed morphophysiological
dormancy of Epimedium pseudowushanense B.L.Guo. remains largely unknown. The
endogenous abscisic acid (ABA) and gibberellin (GA) content of E.
pseudowushanense seeds at three developmental stages were quantitatively
determined. The results showed the levels of ABA in E. pseudowushanense seeds
decreased during seed embryo growth and development, while levels of GA3
increased during seed embryo growth, and levels of GA4 increased during seed
dormancy release and seed sprouting. A high-throughput sequencing method was used
to determine the E. pseudowushanense seed transcriptome. The transcriptome data
were assembled as 178,613 unigenes and the numbers of differentially expressed
unigenes between the seed development stages were compared. Computer analysis of
reference pathways revealed that 12 candidate genes were likely to be involved in
metabolism and signalling of ABA and GAs. The expression patterns of these genes
were revealed by real-time quantitative PCR. Phylogenetic relationships among the
deduced E. pseudowushanense proteins and their homologous proteins in other plant
species were analysed. The results indicated that EpNCED1, EpNCED2, EpCYP707A1,
and EpCYP707A2 are likely to be involved in ABA biosynthesis and catabolism.
EpSnRK2 is likely implicated in ABA signalling during seed dormancy. EpGA3ox is
likely to be involved in GA biosynthesis. EpDELLA1 and EpDELLA2 are likely
implicated in GA signalling. This study is the first to provide the E.
pseudowushanense seed transcriptome and the key genes involved in metabolism and
signalling of ABA and GAs, and it is valuable for studies on the mechanism of
seed morphophysiological dormancy.
PMID- 29796946
TI - Comparative metabolomic responses to gibberellic acid and 6-benzylaminopurine in
Cunila menthoides Benth. (Lamiaceae): a contribution to understand the metabolic
pathways.
AB - KEY MESSAGE: Gibberellic acid elicited synthesis of many phenols from different
classes and enhanced production of sesquiterpenoids, polyterpenoids, steroids and
monoterpenoids compared to control and 6-benzylaminopurine. Little is known about
the effects of 6-benzylaminopurine (BA) and gibberellic acid (GA3) on the
synthesis of secondary metabolites in species of Lamiaceae. In this study, for
the first time, the profile of secondary metabolites in plantlets of Cunila
menthoides was characterized, using UPLC-ESI-Qq-oaTOF-MS. Ninety metabolites were
identified, including polyphenols and terpenes. BA down-regulated most of the
identified molecules in relation to GA3 and MS0 (control). The results showed
that GA3 elicited synthesis of many phenols from different classes, and seemed to
play a major role in the shikimate pathway in relation to BA. GA3 enhanced
production of sesquiterpenoids, polyterpenoids, steroids and monoterpenoids
compared to MS0 and BA, and also seemed to positively influence the MEP/DOXP and
MVA pathways. These data show the most comprehensive metabolomic profile of
Cunila menthoides to date, and the effects of BA and GA3 on the synthesis of
secondary metabolites, modulating quantitative aspects of metabolism in
Lamiaceae.
PMID- 29796947
TI - Isolation, characterization, and evaluation of three Citrus sinensis-derived
constitutive gene promoters.
AB - KEY MESSAGE: Regulatory sequences from the citrus constitutive genes cyclophilin
(CsCYP), glyceraldehyde-3-phosphate dehydrogenase C2 (CsGAPC2), and elongation
factor 1-alpha (CsEF1) were isolated, fused to the uidA gene, and qualitatively
and quantitatively evaluated in transgenic sweet orange plants. The 5' upstream
region of a gene (the promoter) is the most important component for the
initiation and regulation of gene transcription of both native genes and
transgenes in plants. The isolation and characterization of gene regulatory
sequences are essential to the development of intragenic or cisgenic genetic
manipulation strategies, which imply the use of genetic material from the same
species or from closely related species. We describe herein the isolation and
evaluation of the promoter sequence from three constitutively expressed citrus
genes: cyclophilin (CsCYP), glyceraldehyde-3-phosphate dehydrogenase C2
(CsGAPC2), and elongation factor 1-alpha (CsEF1). The functionality of the
promoters was confirmed by a histochemical GUS assay in leaves, stems, and roots
of stably transformed citrus plants expressing the promoter-uidA construct. Lower
uidA mRNA levels were detected when the transgene was under the control of citrus
promoters as compared to the expression under the control of the CaMV35S
promoter. The association of the uidA gene with the citrus-derived promoters
resulted in mRNA levels of up to 60-41.8% of the value obtained with the
construct containing CaMV35S driving the uidA gene. Moreover, a lower inter
individual variability in transgene expression was observed amongst the different
transgenic lines, where gene constructs containing citrus-derived promoters were
used. In silico analysis of the citrus-derived promoter sequences revealed that
their activity may be controlled by several putative cis-regulatory elements.
These citrus promoters will expand the availability of regulatory sequences for
driving gene expression in citrus gene-modification programs.
PMID- 29796950
TI - Language use at Italian medical congresses.
PMID- 29796949
TI - Influence of pH Adjustment Parameter for Sol-Gel Modification on Structural,
Microstructure, and Magnetic Properties of Nanocrystalline Strontium Ferrite.
AB - Synthesis of nanocrystalline strontium ferrite (SrFe12O19) via sol-gel is
sensitive to its modification parameters. Therefore, in this study, an attempt of
regulating the pH as a sol-gel modification parameter during preparation of
SrFe12O19 nanoparticles sintered at a low sintering temperature of 900 degrees C
has been presented. The relationship of varying pH (pH 0 to 8) on structural,
microstructures, and magnetic behaviors of SrFe12O19 nanoparticles were
characterized by X-ray diffraction (XRD), field emission scanning microscope
(FESEM), and vibrating sample magnetometer (VSM). Varying the pH of precursor
exhibited a strong effect on the sintered density, crystal structure and magnetic
properties of the SrFe12O19 nanoparticles. As the pH is 0, the SrFe12O19 produced
relatively largest density, saturation magnetization, Ms, and coercivity, Hc, at
a low sintering temperature of 900 degrees C. The grain size of SrFe12O19 is
obtained in the range of 73.6 to 133.3 nm. The porosity of the sample affected
the density and the magnetic properties of the SrFe12O19 ferrite. It is suggested
that the low-temperature sintered SrFe12O19 at pH 0 displayed Ms of 44.19 emu/g
and Hc of 6403.6 Oe, possessing a significant potential for applying in low
temperature co-fired ceramic permanent magnet.
PMID- 29796948
TI - Overexpression of VaWRKY14 increases drought tolerance in Arabidopsis by
modulating the expression of stress-related genes.
AB - KEY MESSAGE: Overexpression of VaWRKY14 increases drought tolerance in
Arabidopsis by modulating the expression of stress-related genes, including
COR15A, COR15B, COR413, KIN2, and RD29A. The WRKY family is one of a largest
transcription factors in plants, and it is a key component of multiple stress
responses. In this study, the drought- and cold-induced WRKY family gene VaWRKY14
was isolated and characterized. Phylogenetic analysis indicated that VaWRKY14
belongs to the WRKY IIa subfamily, of which several members participate in biotic
and abiotic stress responses in plants. Fluorescence observation from Arabidopsis
mesophyll protoplasts transformed with the VaWRKY14::eGFP fusion vector suggested
that VaWRKY14 was localized in the nucleus. The VaWRKY14 in yeast cells did not
display any transcriptional activity. The expression of VaWRKY14 could be induced
by exogenous phytohormones, including salicylic acid (SA) and abscisic acid
(ABA). Overexpression of VaWRKY14 enhanced the drought tolerance of transgenic
Arabidopsis. Compared with wild-type Arabidopsis, the VaWRKY14-OE lines exhibited
higher water content and antioxidant enzyme activities in leaves after drought
treatment. RNA sequencing analysis revealed that several stress-related genes,
including COR15A, COR15B, COR413, KIN2, and RD29A, were upregulated in transgenic
plants relative to their expression in wild-type Arabidopsis under normal
conditions. Several genes (3 upregulated and 49 down-regulated) modulated by
VaWRKY14 were also affected by drought stress in wild-type plants. These data
suggest that VaWRKY14 responds to drought and cold stresses and that drought
tolerance may be enhanced by regulating the expression of stress-related genes in
Arabidopsis.
PMID- 29796951
TI - Liver transplantation due to cerebrotendinous xanthomatosis end-stage liver
disease.
PMID- 29796952
TI - Cardiac diagnostics before oral propranolol therapy in infantile hemangioma:
retrospective evaluation of 234 infants.
AB - BACKGROUND: The indication and extent of cardiac screening before oral
propranolol therapy (OPT) in patients with infantile hemangioma (IH) has been
challenged. In this study, we evaluated pre-OPT cardiac diagnostics in a
pediatric IH cohort in our department. METHODS: Retrospective chart review of
infants <= 12 months old with IH undergoing OPT. The diagnostics prior to OPT,
occurrence of complications, and outcome were recorded. RESULTS: A total of 234
patients were evaluated. The mean age at the onset of OPT was 4.2 +/- 0.3 months,
the average duration of OPT was 6.1 +/- 0.1 months, and the average follow-up was
12.3 +/- 0.7 months. Echocardiograms and electrocardiograms were performed prior
to OPT in all patients. One hundred and three (44.0%) echocardiograms revealed
pathological findings, 19 (8.1%) of which were minor (including atrial septal
defects, pulmonary stenosis, and patent ductus arteriosus). Pathological findings
were observed in 17 (7.3%) of electrocardiograms, only one (0.4%) of which was
minor (suspected cardiac arrhythmia, subsequently excluded by long-term
electrocardiogram analysis). These findings did not contraindicate OPT and no
severe adverse events associated with OPT occurred during the follow-up period.
CONCLUSIONS: Routine cardiac screening by electrocardiogram and echocardiogram
before OPT is debatable and not routinely indicated in children with IH. Further
studies are necessary to draw definite conclusions on the reasonable indication
and extent of this diagnostic approach.
PMID- 29796954
TI - Spatial and temporal variation of soil organic carbon in the North China Plain.
AB - The organic carbon, permeability test, grain size, chemical composition, and
mineral composition were analyzed for 147 samples collected from the Luan River
catchment, Hebei province, China, to quantitatively characterize the effects of
land use, climate change, sedimentary environment, mineral composition, and
chemical composition on the spatial and temporal variation of soil organic carbon
(SOC). The results indicate that there was higher SOC content and stronger
variation in the south plain than in the northern low mountain. The effects of
land use, climate change, and sedimentary environment on SOC distribution were
greater than the effects of mineral composition and chemical composition. The
cropping systems in the Luan River catchment resulted in significant difference
in SOC concentration between the south plain and north mountain. The
precipitation mainly transmitted its effects through the sedimentary environment
to SOC, which caused the stronger temporal variation in SOC from June to October
in the south plain. The north mountain did not have significant temporal
variation because of the lower hydraulic conductivity of the sedimentary
sequence. The spatial variation of SOC was correlated with land use, and their
temporal variation was attributed to climate change and sedimentary environment.
Apart from land use, the decision maker can also affect the organic carbon
mineral and sequence through the sedimentary environment.
PMID- 29796953
TI - Effects of meta-tetrahydroxyphenylchlorin photodynamic therapy on isogenic
colorectal cancer SW480 and SW620 cells with different metastatic potentials.
AB - The aim of this study is to investigate the antitumor effects and possible
mechanisms of meta-tetrahydroxyphenylchlorin-mediated photodynamic therapy (m
THPC-PDT) on human primary (SW480) and metastatic (SW620) colon cancer cell
lines. SW480 and SW620 cells were incubated with various concentrations of m
THPC, followed by photodynamic irradiation. Subcellular localization of m-THPC in
cells was observed with confocal laser scanning microscopy (CLSM).
Photocytotoxicity of m-THPC in the two cells was investigated by using MTT assay.
The flow cytometry was employed to detect the cell apoptosis. The migration and
long-term recovery ability were determined by scratch test and colony formation
assay respectively. CLSM showed that m-THPC was mainly distributed within the
endoplasmic reticulum (ER) and lysosome of SW480 cells and within the lysosome
and mitochondria of SW620 cells. m-THPC-PDT induced a dose-dependent and light
energy-dependent cytotoxicity in SW480 and SW620 cells. Apoptosis rate was
approximately 65 and 25% in SW480 and SW620 respectively when the concentration
of m-THPC increased to 11.76 MUM. However, the rate of necrotic cells had no
significant changes in two cell lines. The colony formation and migration ability
of the two cell lines were decreased with m-THPC-PDT treatment in a dose
dependent manner. PDT with m-THPC not only could effectively inhibit cell
proliferation and decrease migration ability and colony formation ability, but
also could effectively kill SW480 and SW620 cells in a dose-dependent manner in
vitro. These results suggest that m-THPC is a promising sensitizer that warrants
further development and extensive studies towards clinical use of colorectal
cancer.
PMID- 29796955
TI - Augmented Reality Based Navigation for Computer Assisted Hip Resurfacing: A Proof
of Concept Study.
AB - Implantation accuracy has a great impact on the outcomes of hip resurfacing such
as recovery of hip function. Computer assisted orthopedic surgery has
demonstrated clear advantages for the patients, with improved placement accuracy
and fewer outliers, but the intrusiveness, cost, and added complexity have
limited its widespread adoption. To provide seamless computer assistance with
improved immersion and a more natural surgical workflow, we propose an augmented
reality (AR) based navigation system for hip resurfacing. The operative femur is
registered by processing depth information from the surgical site with a
commercial depth camera. By coupling depth data with robotic assistance,
obstacles that may obstruct the femur can be tracked and avoided automatically to
reduce the chance of disruption to the surgical workflow. Using the registration
result and the pre-operative plan, intra-operative surgical guidance is provided
through a commercial AR headset so that the user can perform the operation
without additional physical guides. To assess the accuracy of the navigation
system, experiments of guide hole drilling were performed on femur phantoms. The
position and orientation of the drilled holes were compared with the pre
operative plan, and the mean errors were found to be approximately 2 mm and 2
degrees , results which are in line with commercial computer assisted orthopedic
systems today.
PMID- 29796956
TI - Fractal Dimension of Erythrocyte Membranes: A Highly Useful Precursor for Rapid
Morphological Assay.
AB - Morphology of erythrocyte membrane has been recognized as an alternative
biomarker of several patho-physiological states. Numerous attempts have been made
to upgrade the existing method of primitive manual counting, particularly
exploring the light scattering properties of erythrocyte. All the techniques are
at best semi-empirical and heavily rely on the effectiveness of the statistical
correlations. Precisely, this is due to the lack of a non-empirical scale of the
so-called "morphological scores". In this article, fractal dimension of
erythrocyte membrane has been used to formulate a suitable scoring scale.
Subsequently, the rapid experimental output of flow-cytometry has been
functionally related to the mean morphological quantifier of the whole cell
population via an optimum neural network model (R2 = 0.98). Moreover, the fractal
dimension has been further demonstrated to be an important parameter in early
detection of an abnormal patho-physiological state, even without any noticeable
poikilocytic transformation in micrometric domain.
PMID- 29796958
TI - Investigation of 3H, 99Tc, and 90Sr transport in fractured rock and the effects
of fracture-filling/coating material at LILW disposal facility.
AB - Batch adsorption, batch diffusion, and flow-through column experiments were
conducted using groundwater and fractured rock collected in unsaturated zone to
increase our understanding of sorption and transport behavior of radionuclides.
Increasing Kd values were observed in the sequence 90Sr, 99Tc, and 3H regardless
of the geological media tested. For all sorbing radionuclides, Kd values for the
fracture-filling/coating material were observed to be higher than those for
without fracture-filling/coating material regardless of the groundwater. These
higher Kd values are the result of zeolite mineral in filling/coating material of
fractured rock. The batch diffusion and flow-through column experiments were also
conducted using the same fractured rock sample, and the results of diffusion and
column experiments showed similar trend of radionuclide sorption and transport to
sorption experiment. In this study, sorption Kd of radionuclide was determined
and used to increase our understanding of radionuclide retardation through
fracture-filling/coating materials.
PMID- 29796957
TI - Fibrin polymerization simulation using a reactive dissipative particle dynamics
method.
AB - The study on the polymerization of fibrinogen molecules into fibrin monomers and
eventually a stable, mechanically robust fibrin clot is a persistent and enduring
topic in the field of thrombosis and hemostasis. Despite many research advances
in fibrin polymerization, the change in the structure of fibrin clots and its
influence on the formation of a fibrous protein network are still poorly
understood. In this paper, we develop a new computational method to simulate
fibrin clot polymerization using dissipative particle dynamics simulations. With
an effective combination of reactive molecular dynamics formularies and many body
dissipative particle dynamics principles, we constructed the reactive dissipative
particle dynamics (RDPD) model to predict the complex network formation of fibrin
clots and branching of the fibrin network. The 340 kDa fibrinogen molecule is
converted into a spring-bead coarse-grain system with 11 beads using a topology
representing network algorithm, and using RDPD, we simulated polymerization and
formation of the fibrin clot. The final polymerized structure of the fibrin clot
qualitatively agrees with experimental results from the literature, and to the
best of our knowledge this is the first molecular-based study that simulates
polymerization and structure of fibrin clots.
PMID- 29796959
TI - Dentin bonding and physicochemical properties of adhesives incorporated with
epigallocatechin-3-gallate.
AB - The aim was to assess dentin adhesion and physicochemical properties of
experimental etch-and-rinse and self-etch adhesives doped with epigallocatechin-3
gallate (EGCG), a well-known collagen crosslinker obtained from green tea
(Camellia sinensis). Experimental adhesives were prepared without (0 wt%), with
0.1 or 0.5 wt% EGCG addition. Their degree of conversion was surveyed by FTIR,
and bar-shaped specimens were tested to obtain flexural strength and modulus
initially and after 1-week ethanol storage. Extracted human molars were prepared,
bonded, and cut into resin-dentin sticks for microtensile bond strength test,
which was conducted after 24 h or 6-month water storage. Statistical analyses
were performed with two-way ANOVA and Tukey's test (p < 0.05). Degree of
conversion outcomes depicted a significant polymerization reduction by the
addition of EGCG in self-etch adhesive in both concentrations. However, only 0.1%
reduced the conversion of etch-and-rinse adhesive. Flexural modulus and strength
were significantly diminished (p < 0.05) by the addition of both concentrations
of EGCG for the two model adhesives. Dentin-bond strength was reduced after aging
with the addition of EGCG to self-etch adhesive. Nevertheless, 0.5% EGCG
increased the bond strength of etch-and-rinse adhesive after aging, conversely to
the significant reduction for EGCG-free control adhesive. In conclusion, EGCG at
0.5% provides optimal improvements on dentin bonding without altering final
polymerization of a model etch-and-rinse adhesive.
PMID- 29796961
TI - Correction to: Externalizing and Internalizing Symptoms Moderate Longitudinal
Patterns of Facial Emotion Recognition in Autism Spectrum Disorder.
AB - The original version of this article unfortunately contained a mistake. In the
heading "Data Analytic Plan", under "Level 2" the following equations were
published incorrectly.
PMID- 29796960
TI - Cerebro-Cerebellar Functional Connectivity is Associated with Cerebellar
Excitation-Inhibition Balance in Autism Spectrum Disorder.
AB - Atypical functional connectivity (FC) and an imbalance of excitation-to
inhibition (E/I) have been previously reported in cerebro-cerebellar circuits in
autism spectrum disorder (ASD). The current investigation used resting state fMRI
and proton magnetic resonance spectroscopy (1H-MRS) to examine the relationships
between E/I (glutamate + glutamine/GABA) and FC of the dorsolateral prefrontal
cortex and posterolateral cerebellar hemisphere from 14 adolescents/adults with
ASD and 12 age/sex/IQ-matched controls. In this pilot sample, cerebro-cerebellar
FC was positively associated with cerebellar E/I and listening comprehension
abilities in individuals with ASD but not controls. Additionally, a subgroup of
individuals with ASD and low FC (n = 5) exhibited reduced E/I and impaired
listening comprehension. Thus, altered functional coherence of cerebro-cerebellar
circuits in ASD may be related with a cerebellar E/I imbalance.
PMID- 29796963
TI - Validation of pharmacist-physician collaboration in psychiatry: 'the Eichberger
model'.
AB - Background Collaboration between physicians and pharmacists can increase
medication safety. In the "Eichberger model" a clinical pharmacist is employed
and working full time in a psychiatric hospital. Objective The aim of this study
was to determine the expected type of expertise from a clinical pharmacist in
psychiatry and the acceptance of the pharmacist's recommendations. Method All
email requests to the clinical pharmacist from January 1st to April 30th 2015
were screened retrospectively and type of requester and content of request were
extracted. Maintenance rate of drug therapy was analyzed by reviewing patient
charts 2 weeks after medication prescription. Results A total of 147 requests
were included. 85 (57.8%) requests were from attending physicians and 62 (42.2%)
from residents. 82.1% of all physicians were contacting the clinical pharmacist
during the study period. Most common reasons for requests were: appropriate drug
selection (31.3%), drug interactions (25.2%), possible adverse drug events (17%)
and switching drugs (12.2%). The acceptance rate by the physicians was 100%, with
an implementation and maintenance rate of both 98.6%. Conclusion We found a high
acceptance level of the pharmacist's recommendations. The pharmacist's skills
were requested by the majority of physicians and included a in a large variety of
specific questions. A pharmacist can play an important role to optimize patient
care in collaboration with the physician in psychiatry.
PMID- 29796964
TI - Social Disconnection as a Risk Factor for Health among Cambodian Refugees and
Their Offspring in the United States.
AB - Studies of relatively recently resettled refugees have noted social
disconnection, linked to various physical and mental health outcomes, as a
concern. Limited studies have examined whether social disconnection and its
effects persists within refugee populations resettled more than 3 decades prior.
The relationship between social disconnection and self-reported health was
explored in a secondary analysis of a cross-sectional needs assessment survey
with a snowball sample of 100 Cambodian refugees residing in Connecticut. Social
disconnectedness and comorbid health conditions were prevalent. Lack of religious
and community engagement were associated with poor health outcomes, while
individuals with a lack of ethnic engagement reported better overall health. This
study underscores the importance of understanding the specific risks that social
disconnection poses to refugees who have resettled many years before and their
offspring that may assist in better serving currently settling refugees within
the United States.
PMID- 29796962
TI - Community pharmacists' views of using a screening tool to structure medicines use
reviews for older people: findings from qualitative interviews.
AB - Background The Medicines use review (MUR) service, provided by community
pharmacists, seeks to optimise patients' use of medicines. There is limited
evidence on the clinical effectiveness of this service. Structuring MURs to
include an assessment of prescribing appropriateness, facilitated by a validated
prescribing screening tool, has the capacity to enhance this service. Objective
To explore community pharmacists' views on the facilitators and barriers towards
the utilisation of a screening tool as a guide to conducting structured MURs.
Setting Community Pharmacy, Northern Ireland. Method Using the 14 domain
Theoretical Domains Framework (TDF), semi-structured interviews were conducted
with community pharmacists. Interviews were digitally recorded, transcribed
verbatim and analysed using the Framework method. Main Outcome Measure
Pharmacists' views towards utilisation of a screening tool as a guide to
conducting structured MURs. Results Based on the analysis of 15 interviews, 11
TDF domains ('Knowledge', 'Skills', 'Social and professional role and identity',
'Beliefs about capabilities', 'Beliefs about consequences', 'Reinforcement',
'Goals', 'Memory, attention and decision process', 'Environmental context and
resources', 'Social influences', 'Behavioural regulation') were deemed relevant.
Facilitators included: knowledge of patients, clinical knowledge, perceived
professional role, patients' clinical outcomes, influence of peers. Barriers
included: prioritisation of other clinical activities, inability to access
patients' clinical information, perceived alienation from the primary healthcare
team and staffing issues. Conclusions Using the TDF, key facilitators and
barriers were identified in the use of a screening tool as a guide to conducting
MURs. These findings may assist in further development of MURs as a means to
optimise patients' medicines use.
PMID- 29796966
TI - Repeated neonaticide: differences and similarities to single neonaticide events.
AB - This study aims to identify differences between single and repeat perpetrators of
filicide by using register-based data. The study used register-based,
comprehensive, nationwide data from both Austria and Finland. The current study
covers 23 perpetrators, 20 single and 3 repeat perpetrators, with a total of 28
victims. All victims had a maximum age of 24 h and all perpetrators were women.
Every third victim of neonaticide was a victim of a repeat case. The repeat
perpetrators were older; had a higher number of children over their lifespan,
some of whom lived with them; were more likely to live within established family
structures; had higher levels of education and employment; had a higher
proportion of personality disorders; and were more likely to identify stress
factors during pregnancy. One unexpected finding was low levels of awareness
about pregnancy within the perpetrator's circle remain a risk factor, especially
for repeat perpetrators. Arguably, the quality of interpersonal relationships
these women have may be affected by their own mental health issues and life
experience and vice versa.
PMID- 29796967
TI - Pre- and postnatal exposure to intimate partner violence among South African HIV
infected mothers and infant developmental functioning at 12 months of age.
AB - In rural South Africa, pregnant HIV-infected women report high rates of
psychological (55%) and physical (20%) intimate partner violence (IPV). IPV
increases the risk of infant developmental delays. Such delays may have negative
socioemotional and cognitive outcomes throughout the lifespan. This paper
assesses the relationship between IPV and infant development in rural South
Africa. The present investigation was a cross-sectional add-on follow-up designed
retrospectively. A randomly selected sub-sample of mothers from the main
randomized controlled trial (n = 72) were asked to participate with their infants
at 12 months of age; all women invited agreed to participate. Women were 18.35 +/
5.47 weeks pregnant; demographics, HIV disclosure status, and pre- and postnatal
IPV measured via the Conflict Tactics Scale during pregnancy at baseline and 12
months post-partum were assessed. Infant HIV serostatus and developmental
functioning at 12 months of age were assessed. Women were a mean age of 29 +/- 2
years. One third had completed at least 12 years of education and had a monthly
income of ~ US$76. At 12 months post-partum, 6% of infants tested HIV
seropositive. Postnatal physical IPV was associated with delays in cognitive and
receptive language development p < 0.05, but only in unadjusted analyses. This
study identified an association between early IPV exposure and infant cognitive
and receptive communication delays. Given the small sample size, findings support
replication. Longitudinal studies are needed to confirm temporal order and
identify appropriate timing for interventions in HIV-exposed infants.
PMID- 29796968
TI - Recommendations for the use of ECT in pregnancy: literature review and proposed
clinical protocol.
AB - Psychiatric disorders are common in pregnancy, affecting 15-29% of pregnant
women. Untreated depression has negative health consequences for mother and
fetus. Electroconvulsive therapy (ECT) is an effective option for the treatment
of severe depression, high suicide risk, catatonia, medication-resistant illness,
psychotic agitation, severe physical decline, and other life-threatening
conditions. To our knowledge, however, there is no literature that consolidates
all the evidence on maternal and fetal risks associated with untreated
depression, medications, and ECT then translating it into one cohesive protocol
that could serve as a management guide and a source of reassurance to health-care
providers involved in such practice. Hoping to facilitate ECT access to perinatal
patients, the authors combined their multidisciplinary clinical experience (in
perinatal psychiatry, neuropsychiatry and neuromodulation, and anesthesiology) at
three different centers in the USA (Brigham and Women's Hospital/Harvard Medical
School, The University of Chicago, and Brown University) with a careful and
critical literature review and propose guidelines for the administration of ECT
in pregnancy. A comprehensive review of the relevant literature regarding both
ECT and psychotropic medications in pregnancy was performed, including meta
analyses of randomized controlled trials published in general medicine,
anesthesiology, psychiatry, and obstetrics journals and guidelines. The
indication and appropriateness of ECT in pregnancy must be carefully weighed
against the risks of untreated maternal illness and those of alternative
treatment options. The safety of ECT in pregnancy has been documented over the
last 50 years. The adverse effects in pregnancy are similar to the risks of ECT
in any individual. The most common risk to the mother is premature contractions
and preterm labor, which occur infrequently and are not clearly caused by ECT.
The rates of miscarriages were not significantly different from that of the
general population. There have been no associations of ECT with congenital
anomalies, either morphologic or behavioral, and no neurocognitive disturbances
in the child. ECT is a reasonably safe and effective treatment alternative for
management of many psychiatric disorders in pregnant patients. The authors
provide recommendations for treatment modifications in pregnancy-based
physiologic changes that occur during that period and consolidate them into a
protocol that can assist clinicians in improving access and safety of ECT for
pregnant patients.
PMID- 29796969
TI - Wilfrid Rall.
PMID- 29796965
TI - Challenges Facing a Rural Opioid Epidemic: Treatment and Prevention of HIV and
Hepatitis C.
AB - PURPOSE OF REVIEW: This article reviews recent epidemiologic trends in HIV and
hepatitis C virus (HCV) and strategies for treatment and prevention of these
infections as they relate to the opioid epidemic. RECENT FINDINGS: Among people
who inject drugs (PWID) in the United States (US), HIV diagnoses are decreasing,
while HCV is increasing. Care for HIV and HCV relies heavily on specialist
infrastructure, which is lacking in rural areas. Antiretrovirals for HIV and
direct-acting antivirals for HCV are effective among PWID, yet multiple barriers
make it difficult for rural injectors to access these treatments. Similarly,
access to syringe service programs, medication-assisted therapy for opioid
addiction, and pre-exposure prophylaxis for HIV are all limited in rural areas.
Previous research on HIV and HCV among PWID has focused on urban or international
populations, yet the US opioid epidemic is moving away from metropolitan centers.
Increasing rurality of opioid injection brings unique challenges in treatment and
prevention. Research into the care of HIV, HCV, and opioid use disorder among
rural populations is urgently needed.
PMID- 29796970
TI - Stabilizing displayed proteins on vegetative Bacillus subtilis cells.
AB - Microbes engineered to display heterologous proteins could be useful
biotechnological tools for protein engineering, lignocellulose degradation,
biocatalysis, bioremediation, and biosensing. Bacillus subtilis is a promising
host to display proteins, as this model Gram-positive bacterium is genetically
tractable and already used industrially to produce enzymes. To gain insight into
the factors that affect displayed protein stability and copy number, we
systematically compared the ability of different protease-deficient B. subtilis
strains (WB800, BRB07, BRB08, and BRB14) to display a Cel8A-LysM reporter protein
in which the Clostridium thermocellum Cel8A endoglucanase is fused to LysM cell
wall binding modules. Whole-cell cellulase measurements and fractionation
experiments demonstrate that genetically eliminating extracytoplasmic bacterial
proteases improves Cel8A-LysM display levels. However, upon entering stationary
phase, for all protease-deficient strains, the amount of displayed reporter
dramatically decreases, presumably as a result of cellular autolysis. This
problem can be partially overcome by adding chemical protease inhibitors, which
significantly increase protein display levels. We conclude that strain BRB08 is
well suited for stably displaying our reporter protein, as genetic removal of its
extracellular and cell wall-associated proteases leads to the highest levels of
surface-accumulated Cel8A-LysM without causing secretion stress or impairing
growth. A two-step procedure is presented that enables the construction of enzyme
coated vegetative B. subtilis cells that retain stable cell-associated enzyme
activity for nearly 3 days. The results of this work could aid the development of
whole-cell display systems that have useful biotechnological applications.
PMID- 29796971
TI - Structural and enzymatic characterization of acetolactate decarboxylase from
Bacillus subtilis.
AB - Acetoin is an important physiological metabolite excreted by microbes. Its
functions include avoiding acidification, participating in regulation of the
NAD+/NADH ratio, and storing carbon. Acetolactate decarboxylase is a well
characterized anabolic enzyme involved with 3-hydroxy butanone (acetoin). It
catalyzes conversion of the (R)- and (S)-enantiomers of acetolactate to generate
the single product, (R)-acetoin. In addition to the X-ray crystal structure of
acetolactate decarboxylase from Bacillus brevis, although the enzyme is widely
present in microorganisms, very few atomic structures of acetolactate
decarboxylase are reported. In this paper, we solved and reported a 1.5 A
resolution crystal structure of acetolactate decarboxylase from Bacillus
subtilis. Dimeric assembly is observed in the solved structure, which is
consistent with the elution profile conducted by molecular filtration. A zinc ion
is coordinated by highly conserved histidines (191, 193, and 204) and conserved
glutamates (62 and 251). We performed kinetic studies on acetolactate
decarboxylase from Bacillus subtilis using circular dichroism, allowing the
conversion of acetolactate to chiral acetoin for real-time tracking, yielding a
Km value of 21 mM and a kcat value of 2.2 s-1. Using the two enantiomers of
acetolactate as substrates, we further investigated the substrate preference of
acetolactate decarboxylase from Bacillus subtilis by means of molecular docking
and dynamic simulation in silico. The binding free energy of (S)-acetolactate was
found to be ~ 30 kcal/mol greater than that of (R)-acetolactate, indicating a
more stable binding for (S)-acetolactate.
PMID- 29796972
TI - Three-Dimensional Surface Point Cloud Ultrasound for Better Understanding and
Transmission of Ultrasound Scan Information.
AB - Ultrasound is notoriously plagued by high user dependence. There is a steep drop
off in information in going from what the sonographer sees during image
acquisition and what the interpreting radiologist is able to view at the reading
station. One countermeasure is probe localization and tracking. Current
implementations are too difficult and expensive to use and/or do not provide
adequate detail and perspective. The aim of this work was to demonstrate that a
protocol combining surface three-dimensional photographic imaging with
traditional ultrasound images may be a solution to the problem of probe
localization, this approach being termed surface point cloud ultrasound (SPC-US).
Ultrasound images were obtained of major vessels in an ultrasound training
phantom, while simultaneously obtaining surface point cloud (SPC) 3D photographic
images, with additional scanning performed on the right forearm soft tissues,
kidneys, chest, and pelvis. The resulting sets of grayscale/color Doppler
ultrasound and SPC images are juxtaposed and displayed for interpretation in a
manner analogous to current text-based annotation or computer-generated stick
figure probe position illustrations. Clearly demonstrated is that SPC-US better
communicates information of probe position and orientation. Overall, it is shown
that SPC-US provides much richer image representations of probe position on the
patients than the current prevailing schemes. SPC-US turns out to be a rather
general technique with many anticipated future applications, though only a few
sample applications are illustrated in the present work.
PMID- 29796974
TI - Cardiovascular disease in the literature: A selection of recent original research
papers.
PMID- 29796973
TI - The presence and expression of the HIF-1alpha in the respiratory intestine of the
bronze Corydoras Corydoras aeneus (Callichthyidae Teleostei).
AB - Bronze corydoras (Corydoras aeneus) is a small diurnal activity fish from South
America. Under hypoxia conditions, it uses the posterior part of the intestine as
an accessory respiratory organ. The present PCR studies demonstrated higher
expression of HIF-1alpha (hypoxia-inducible factor) gene in the respiratory than
that in digestive part of bronze corydoras intestine. Further, immunolocalization
studies using antibodies specific to HIF-1alpha and transmission electron
microscopy (TEM) revealed the presence of HIF-1alpha epitopes in the intestine of
Corydoras aeneus. In the respiratory intestine, the numerous clusters of gold
particles visualizing HIF-1alpha antibody were observed within fibroblasts,
whereas in the digestive tract of this species, single gold grains in the
epithelial cells were noted. On the other hand, the presence of HIF-1alpha and
the cytoplasmic domain of the epidermal growth factor receptor (EGFR) in the
respiratory intestine of bronze corydoras assumes their interactions in the
system where these factors appeared for the first time. The non-obligatory air
breathing fishes using their digestive tract as an accessory respiratory organ
during hypoxia conditions are very interesting for the studies of the processes
that control HIF-1alpha expression and squamous cell proliferation.
PMID- 29796975
TI - Differences in attenuation pattern in myocardial SPECT between CZT and
conventional gamma cameras.
AB - BACKGROUND: In myocardial perfusion imaging (MPI), single-photon emission
tomography (SPECT) soft-tissue attenuation by the abdomen, breasts, and lateral
chest wall may create artifacts that mimic true perfusion defects. This may cause
misdiagnosis of myocardial perfusion. The aim of the present study was to compare
the localization, extent, and depth of attenuation artifacts in MPI SPECT for a
multi-pinhole cadmium zinc telluride (CZT) camera vs a conventional gamma camera.
METHODS: Phantom and patient measurements were performed using a CZT camera (GE
NM 530c) and a conventional gamma camera (GE Ventri). All images were attenuation
corrected with externally acquired low-dose computed tomography. The
localization, extent, and depth of the attenuation artifact were quantified by
comparing attenuation-corrected and non-attenuation-corrected images. RESULTS:
Attenuation artifacts were shifted from the inferolateral wall to the lateral
wall using the CZT camera compared to a conventional camera in both the patient
and the phantom. The extent of the attenuation artifact was significantly larger
for the CZT camera compared to the conventional camera (23 +/- 5% vs 15 +/- 5%, P
< .001) for patients and the result was similar for the phantom (28% vs 19%).
Furthermore, the depth of the attenuation artifact (percent of maximum counts)
was less pronounced for the CZT camera than for the conventional camera, both for
phantom measurements (73% vs 67%) and patients (72 +/- 3% vs 68 +/- 4%, P <
.001). CONCLUSIONS: Attenuation artifacts are found in different locations to
different extents and depths when using a CZT camera vs a conventional gamma
camera for MPI SPECT. This should be taken into consideration when evaluating MPI
SPECT studies to avoid misinterpretation of myocardial perfusion distribution.
PMID- 29796976
TI - Characterizing the literature on validity and assessment in medical education: a
bibliometric study.
AB - INTRODUCTION: Assessment in Medical Education fills many roles and is under
constant scrutiny. Assessments must be of good quality, and supported by validity
evidence. Given the high-stakes consequences of assessment, and the many
audiences within medical education (e. g., training level, specialty-specific),
we set out to document the breadth, scope, and characteristics of the literature
reporting on validation of assessments within medical education. METHOD: Searches
in Medline (Ovid), Web of Science, ERIC, EMBASE (Ovid), and PsycINFO (Ovid)
identified articles reporting on assessment of learners in medical education
published since 1999. Included articles were coded for geographic origin,
journal, journal category, targeted assessment, and authors. A map of
collaborations between prolific authors was generated. RESULTS: A total of 2,863
articles were included. The majority of articles were from the United States,
with Canada producing the most articles per medical school. Most articles were
published in journals with medical categorizations (73.1% of articles), but
Medical Education was the most represented journal (7.4% of articles). Articles
reported on a variety of assessment tools and approaches, and 89 prolific authors
were identified, with a total of 228 collaborative links. DISCUSSION: Literature
reporting on validation of assessments in medical education is heterogeneous.
Literature is produced by a broad array of authors and collaborative networks,
reported to a broad audience, and is primarily generated in North American and
European contexts. Our findings speak to the heterogeneity of the medical
education literature on assessment validation, and suggest that this
heterogeneity may stem, at least in part, from differences in constructs
measured, assessment purposes, or conceptualizations of validity.
PMID- 29796978
TI - Correction to: Efficacy and Safety of the Newer Multiple Sclerosis Drugs Approved
Since 2010.
AB - An error was subsequently identified in the article, and the following correction
should be noted.
PMID- 29796977
TI - Prescription of Zolpidem and the Risk of Fatal Motor Vehicle Collisions: A
Population-Based, Case-Crossover Study from South Korea.
AB - BACKGROUND: Zolpidem is one of the most frequently used hypnotics worldwide, but
associations with serious adverse effects such as motor vehicle collisions have
been reported. OBJECTIVE: The objective of this study was to evaluate the
association of fatal motor vehicle collisions with a prescription for zolpidem,
considering the context of the motor vehicle collisions. METHODS: We conducted a
case-crossover study, where each case served as its own control, by linking data
about fatal motor vehicle collisions from the Korean Road Traffic Authority
between 2010 and 2014 with national health insurance data. The case period was
defined as 1 day before the fatal motor vehicle collisions, and was matched to
four control periods at 90-day intervals. Conditional logistic regression was
performed to calculate the odds ratio for fatal motor vehicle collisions
associated with zolpidem exposure, and odds ratios were adjusted for time-varying
exposure to confounding medications. A stratified analysis was performed by age
group (younger than 65 years or not), the Charlson Comorbidity Index, and whether
patients were new zolpidem users. RESULTS: Among the 714 subjects, the adjusted
odds ratio for a fatal motor vehicle collision associated with a prescription for
zolpidem the previous day was 1.48 (95% confidence interval 1.06-2.07). After
stratification, a significantly increased risk was observed in subjects with a
high Charlson Comorbidity Index (odds ratio 1.81; 95% confidence interval 1.16
2.84), the younger age group (odds ratio: 1.62; 95% confidence interval 1.03
2.56), and new zolpidem users (odds ratio 2.37; 95% confidence interval 1.40
4.00). CONCLUSION: A prescription for zolpidem on the previous day was
significantly related to an increased risk of fatal motor vehicle collisions in
this population-based case-crossover study.
PMID- 29796979
TI - Semiparametric temporal process regression of survival-out-of-hospital.
AB - The recurrent/terminal event data structure has undergone considerable
methodological development in the last 10-15 years. An example of the data
structure that has arisen with increasing frequency involves the recurrent event
being hospitalization and the terminal event being death. We consider the
response Survival-Out-of-Hospital, defined as a temporal process (indicator
function) taking the value 1 when the subject is currently alive and not
hospitalized, and 0 otherwise. Survival-Out-of-Hospital is a useful alternative
strategy for the analysis of hospitalization/survival in the chronic disease
setting, with the response variate representing a refinement to survival time
through the incorporation of an objective quality-of-life component. The
semiparametric model we consider assumes multiplicative covariate effects and
leaves unspecified the baseline probability of being alive-and-out-of-hospital.
Using zero-mean estimating equations, the proposed regression parameter estimator
can be computed without estimating the unspecified baseline probability process,
although baseline probabilities can subsequently be estimated for any time point
within the support of the censoring distribution. We demonstrate that the
regression parameter estimator is asymptotically normal, and that the baseline
probability function estimator converges to a Gaussian process. Simulation
studies are performed to show that our estimating procedures have satisfactory
finite sample performances. The proposed methods are applied to the Dialysis
Outcomes and Practice Patterns Study (DOPPS), an international end-stage renal
disease study.
PMID- 29796980
TI - Predicting Return to Work in a Heterogeneous Sample of Recently Injured Workers
Using the Brief OMPSQ-SF.
AB - Purpose (1) to examine the ability of the Orebro Musculoskeletal Pain Screening
Questionnaire-short version (OMPSQ-SF) to predict time to return to pre-injury
work duties (PID) following a work-related soft tissue injury (regardless of body
location); and (2) to examine the appropriateness of 50/100 as a suitable cut-off
score for case identification. Methods Injured workers (IW) from six public
hospitals in Sydney, Australia, who had taken medically-sanctioned time off work
due to their injury, were recruited by insurance case managers within 5-15 days
of their injury. Eligible participants (N = 213 in total) were administered the
OMPSQ-SF over the telephone by the case manager. For objective (1) Cox
proportional hazards regression analysis was used to predict days to return to
PID using the OMPSQ-SF. For objective (2) receiver operator characteristic (ROC)
analysis was used to determine the OMPSQ-SF total score that optimises
sensitivity and specificity in detecting whether or not participants had returned
to PID within 2-7 weeks. Results The total OMPSQ-SF score significantly predicted
number of days to return to PID, such that for every 1-point increase in the
total OMPSQ-SF score the predicted chance of returning to work reduced by 4%
(i.e., hazard ratio = 0.96), p < 0.001. Sensitivity and specificity for the ROC
analysis comparing OMPSQ-SF total score to return to PID within 2-7 weeks
suggested 48 as the optimal cut off (sensitivity = 0.65, specificity = 0.79).
Conclusion The results provide strong support for the use of the OMPSQ-SF in an
applied setting for identifying those IW likely to have delayed RTW when
administered within 15 days of the injury. While a score of 48/100 was the
optimal cut point for sensitivity and specificity, pragmatically, 50/100 should
be acceptable as a cut-off in future studies of this type.
PMID- 29796981
TI - Development and Implementation of a Mental Health Work Rehabilitation Program:
Results of a Developmental Evaluation.
AB - Purpose Long-term work disability due to common mental disorders (CMDs) is a
growing problem. Yet optimal interventions remain unclear and little is known
about implementation challenges in everyday practice. This study aimed to support
and evaluate, in real time, the development and implementation of a work
rehabilitation program (WRP) designed to promote post-CMD return-to-work (RTW).
Methods A 2-year developmental evaluation was performed using a participatory
approach. At program outset, the researchers held five work meetings to revise
the program's logic model and discuss its underlying change theory with
clinicians. Data collection tools used throughout the study period were
structured charts of activities conducted with workers (n = 41); in-depth
interviews with program clinicians and managers (n = 9); and participant
observation during work meetings. Quantitative data were analyzed using
descriptive statistics. Qualitative data underwent thematic analysis using a
processual approach. Results Three types of activity were developed and
implemented: individual and group interventions targeting workers, and joint
activities targeting partners (physicians, employers, others). While worker
targeted activities were generally implemented as planned, joint activities were
sporadic. Analysis of the implementation process revealed five challenges faced
by clinicians. Determinants included clinicians, host organization,
sociopolitical context and resources provided by the evaluation. Conclusion The
program studied is original in that it is based on the best available scientific
knowledge, yet adapted to contextual particularities. The identified
implementation challenges highlight the need for greater importance to be placed
on the external, non-program context to ensure sustainable implementation in
everyday practice.
PMID- 29796982
TI - Workplace-Based Rehabilitation of Upper Limb Conditions: A Systematic Review.
AB - Purpose The objective of this systematic review was to identify, collate and
analyse the current available evidence on the effectiveness of workplace-based
rehabilitative interventions in workers with upper limb conditions on work
performance, pain, absenteeism, productivity and other outcomes. Methods We
searched Medline, Cochrane Library, Scopus, Web of Science, Academic Search
Premier, Africa-Wide Information, CINAHL, OTSeeker and PEDro with search terms in
four broad areas: upper limb, intervention, workplace and clinical trial (no date
limits). Studies including neck pain only or musculoskeletal pain in other areas
were not included. Results Initial search located 1071 articles, of which 80 were
full text reviewed. Twenty-eight articles were included, reporting on various
outcomes relating to a total of seventeen studies. Nine studies were of high
methodological quality, seven of medium quality, and one of low quality. Studies
were sorted into intervention categories: Ergonomic controls (n = 3), ergonomic
training and workstation adjustments (n = 4), exercise and resistance training (n
= 6), clinic-based versus workplace-based work hardening (n = 1), nurse case
manager training (n = 1), physiotherapy versus Feldenkrais (n = 1), and ambulant
myofeedback training (n = 1). The largest body of evidence supported workplace
exercise programs, with positive effects for ergonomic training and workstation
adjustments, and mixed effects for ergonomic controls. Ambulant myofeedback
training had no effect. The remaining three categories had positive effects in
the single study on each intervention. Conclusion While there is substantial
evidence for workplace exercise programs, other workplace-based interventions
require further high quality research. Systematic review registration PROSPERO
CRD42017059708.
PMID- 29796983
TI - Effects of Restoring the Primary Dentition with Stainless-Steel Crowns on
Children's Salivary Nickel and Chromium Levels, and the Associations with Saliva
pH: a Preliminary Before-After Clinical Trial.
AB - Nickel and chromium existing in stainless-steel crowns (SSCs, used in pediatric
dentistry) might be cytotoxic and allergenic. However, no in vivo studies have
examined their salivary levels in children using SSCs, or in young children
without SSCs. Also, the effect of acidity on metal ion release has not yet been
evaluated in any previous in vivo studies in the whole literature. Therefore,
this preliminary before-after clinical trial was conducted. Salivary
nickel/chromium levels of 30 children before and after 2 months of placement of
SSCs were measured using atomic absorption spectrophotometry. Salivary pH was
measured with a digital pH meter. The effects of treatment, pH, number of SSCs,
gender, and age on salivary ions were analyzed statistically (alpha = 0.05, beta
= 0.15). Salivary nickel concentrations increased from 4.9010 +/- 4.7390 to
5.6320 +/- 4.7210 MUg/L (P = 0.000, paired t test). Chromium increased from
0.3273 +/- 0.5214 to 0.4199 +/- 0.6404 MUg/L (P = 0.016). Saliva pH increased
from 6.81 +/- 0.52 to 7.04 +/- 0.47 (P = 0.000). Ion levels were not correlated
with pH (P > 0.14), except chromium in the follow-up (rho = - 0.435, P = 0.016).
Nickel increase (but not chromium increase) was correlated with pH increase (rho
= 0.367, P = 0.046). Age was only correlated with baseline chromium (rho = 0.373,
P = 0.042). Being male was associated with baseline/follow-up nickel levels (P <=
0.030). SSC number was not correlated with ions or pH (P > 0.36). It was shown
for the first time that SSCs might increase salivary nickel and chromium
concentrations and reduce saliva acidity. Nickel increase might be in line with
pH elevation. The raised pH might be associated with reduced chromium release.
Boys might have higher nickel levels than might girls, with or without SSCs.
PMID- 29796985
TI - ?
PMID- 29796984
TI - Subsequent infection with extended-spectrum beta-lactamase-producing
Enterobacteriaceae in patients with prior infection or fecal colonization.
AB - In clinical practice, there is a growing need to assess the impact of prior
colonization or infection with extended-spectrum beta-lactamase-producing
Enterobacteriaceae (EPE) on new EPE infections. We have investigated the
frequency of, and duration to, a subsequent EPE infection in patients with prior
fecal carriage or infection with EPE. Culture data for 3272 EPE-positive patients
in Western Sweden during 2004-2014 were evaluated. The median follow-up time was
3.7 years. The first recorded EPE-positive fecal screen, or clinical (urine,
blood) culture, and subsequent EPE-positive clinical samples were analyzed,
focusing on the first and last recurrence of EPE infection. ESBL Escherichia coli
dominated (95%). Almost all (94%) patients initially positive in fecal screen (n
= 1436) and 72 and 71% of those initially positive in urine (n = 1717) and blood
(n = 119) had no further EPE clinical isolates. Subsequent EPE bacteremia was
only detected in 0.7, 1.6, and 4.2% of the respective patient group. Recurrent
EPE-positive urine cultures occurred in 27% (460/1717), most (75%) within 6
months, and rarely (13%) after 1 year. Repeated EPE-positive clinical samples
were significantly (p < 0.01) more common in patients > 65 years and in men with
ESBL Klebsiella pneumoniae. In our low-endemic setting, subsequent EPE infections
in previously colonized patients were rare. On the other hand, in patients
previously EPE-positive in urine or blood, subsequent EPE urinary tract
infections were common, especially within 6 months and in patients > 65 years
old.
PMID- 29796986
TI - Against Inflationary Views of Ethics Expertise.
AB - Abram Brummett and Christopher Ostertag offer critiques of my argument that
clinical ethics consultants have expertise but are not "ethics experts" (Brummett
and Ostertag 2018). My argument begins within our less-than-ideal world and asks
what a justification of a clinical ethics consultation recommendation might look
like under those conditions. It is a challenge to what could be called an
"inflationary" position on ethics expertise that requires agreement on or
rational proof of metaethical facts about the values at stake in clinical ethics
consultation. Brummett and Ostertag critique three distinct steps in the
argument. Two of those I have a brief answer for, and an assessment of the third
demonstrates that Brummett and Ostertag do not consider the premise upon which I
based my account. Instead, they assert a counter-premise without argument, which
at best results in a stalemate between our two accounts. However, the reasons
supporting my premise still seem to me to be stronger, so I am in the end
unconvinced by their critiques.
PMID- 29796988
TI - The Fragile X Protein and Genome Function.
AB - The fragile X syndrome (FXS) arises from loss of expression or function of the
FMR1 gene and is one of the most common monogenic forms of intellectual
disability and autism. During the past two decades of FXS research, the fragile X
mental retardation protein (FMRP) has been primarily characterized as a
cytoplasmic RNA binding protein that facilitates transport of select RNA
substrates through neural projections and regulation of translation within
synaptic compartments, with the protein products of such mRNAs then modulating
cognitive functions. However, the presence of a small fraction of FMRP in the
nucleus has long been recognized. Accordingly, recent studies have uncovered
several mechanisms or pathways by which FMRP influences nuclear gene expression
and genome function. Some of these pathways appear to be independent of the
classical role for FMRP as a regulator of translation and point to novel
functions, including the possibility that FMRP directly participates in the DNA
damage response and in the maintenance of genome stability. In this review, we
highlight these advances and discuss how these new findings could contribute to
our understanding of FMRP in brain development and function, the neural pathology
of fragile X syndrome, and perhaps impact of future therapeutic considerations.
PMID- 29796987
TI - High Ki-67 index in fine needle aspiration cytology of follicular thyroid tumors
is associated with increased risk of carcinoma.
AB - PURPOSE: Preoperative distinction of follicular thyroid carcinoma (FTC) from
follicular thyroid adenoma (FTA) is a diagnostic challenge. Our aim was to
investigate whether the Ki-67 proliferation index in fine needle aspiration
material can contribute to the diagnosis of FTC. METHODS: We analyzed
retrospectively cytological Ki-67 index determined in routine clinical setting
and clinical data for 61 patients with FTC, 158 patients with FTA and 15 patients
with follicular tumor of uncertain malignant potential (FT-UMP) surgically
treated and diagnosed by histopathology at Karolinska University Hospital 2006
2017 (Cohort A). A previously published cohort of 109 patients with follicular
tumors was re-analyzed as well (Cohort B). RESULTS: In Cohort A, patients with
FTC had a higher Ki-67 index (p < 0.001), larger tumor size (p < 0.001) and
higher age at diagnosis (p = 0.036) compared to patients with FTA or FT-UMP.
Hurthle cell differentiation, present in 50 FTA, 20 FTC and 8 FT-UMP, was
associated with higher Ki-67 index (p = 0.009). Multivariate analysis of Cohort A
identified a high Ki-67 index (odds ratio [OR]: 1.215, p < 0.001) and large tumor
size (OR: 1.038, p < 0.001) as independent predictors of FTC. Results remained
consistent after exclusion of Hurthle cell tumors and in pooled analysis of
Cohort A + B. The area under curve of the Ki-67 index for predicting FTC was
0.722 and a cut-off for Ki-67 index at above 5% resulted in a specificity at 93%
and sensitivity at 31%. Subgroup analysis of FTCs in Cohort A showed an
association of higher Ki-67 index to extrathyroidal extension (p = 0.001) as well
as widely invasive subtype (p = 0.019) based on the WHO 2017 classification.
CONCLUSIONS: Pre-operative Ki-67 index may add diagnostic information for a
subset of patients with follicular thyroid tumors.
PMID- 29796989
TI - Thyroid Hormone Supplementation Restores Spatial Memory, Hippocampal Markers of
Neuroinflammation, Plasticity-Related Signaling Molecules, and beta-Amyloid
Peptide Load in Hypothyroid Rats.
AB - Hypothyroidism is a condition that becomes more prevalent with age. Patients with
untreated hypothyroidism have consistently reported symptoms of severe cognitive
impairments. In patients suffering hypothyroidism, thyroid hormone
supplementation offers the prospect to alleviate the cognitive consequences of
hypothyroidism; however, the therapeutic value of TH supplementation remains at
present uncertain and the link between cellular modifications associated with
hypothyroidism and neurodegeneration remains to be elucidated. In the present
study, we therefore evaluated the molecular and behavioral consequences of T3
hormone replacement in an animal model of hypothyroidism. We have previously
reported that the antithyroid molecule propylthiouracil (PTU) given in the
drinking water favors cerebral atrophy, brain neuroinflammation, Abeta
production, Tau hyperphosphorylation, and altered plasticity-related cell
signaling pathways in the hippocampus in association with hippocampal-dependent
spatial memory deficits. In the present study, our aim was to explore, in this
model, the effect of hippocampal T3 signaling normalization on various molecular
mechanisms involved in learning and memory that goes awry under conditions of
hypothyroidism and to evaluate its potential for recovery of hippocampal
dependent memory deficits. We report that T3 supplementation can alleviate
hippocampal-dependent memory impairments displayed by hypothyroid rats and
normalize key markers of thyroid status in the hippocampus, of neuroinflammation,
Abeta production, and of cell-signaling pathways known to be involved in synaptic
plasticity and memory function. Together, these findings suggest that
normalization of hippocampal T3 signaling is sufficient to reverse molecular and
cognitive dysfunctions associated with hypothyroidism.
PMID- 29796990
TI - Neuroprotective Effects of Dehydroepiandrosterone Sulfate Through Inhibiting
Expression of Matrix Metalloproteinase-9 from Bradykinin-Challenged Astroglia.
AB - Dehydroepiandrosterone sulfate (DHEAS), one of the most important neuroactive
steroids, is produced in the adrenals and the brain. DHEAS is believed to play a
critical role in modulating different forms of cellular control, including
processes associated with human neural systems. Its production rate and level in
serum, adrenals, and brain gradually decrease with advancing age. The decline of
DHEAS level was associated with age-related neuronal dysfunction and
degeneration, most probably because the steroids protect the central nervous
system (CNS) neurons against neurotoxic challenges. Moreover, increasing studies
show that matrix metalloproteinases (MMPs), MMP-9 especially, are upregulated by
proinflammatory mediators in the CNS disorders. The increased MMP-9 as an
inflammatory biomarker of several CNS disorders that may participate in the CNS
inflammation and neurodegeneration. Herein, we investigate the effects of DHEAS
on brain inflammation by the model we have defined of bradykinin (BK)-induced MMP
9 expression in rat brain astrocyte (RBA) and its mechanism. The results showed
that DHEAS significantly reduce MMP-9 induced by BK. Pretreatment with DHEAS can
inhibit BK-stimulated phosphorylation of c-Src and PYK2. Moreover, DHEAS
attenuated BK-stimulated NADPH oxidase (Nox)-derived reactive oxygen species
(ROS) production, suggesting that DHEAS has an antioxidative effect. We further
demonstrated that DHEAS blocked activation of ERK1/2, Akt, and c-Fos/AP-1 by BK.
Finally, DHEAS decreased MMP-9-related events including RBA migration and
neuronal apoptosis. The results will provide new insights into the anti
inflammatory action of DHEAS, supporting that DHEAS may have a neuroprotective
effect in the improvement of the CNS disorders by reducing neuroinflammation.
PMID- 29796991
TI - Stem Cells from Human Exfoliated Deciduous Teeth Modulate Early Astrocyte
Response after Spinal Cord Contusion.
AB - The transplantation of stem cells from human exfoliated deciduous teeth (SHED)
has been studied as a possible treatment strategy for spinal cord injuries (SCIs)
due to its potential for promoting tissue protection and functional recovery. The
aim of the present study was to investigate the effects of the early
transplantation of SHED on glial scar formation and astrocytic reaction after an
experimental model of SCI. Wistar rats were spinalized using the NYU Impactor.
Animals were randomly distributed into three groups: control (naive) (animal with
no manipulation); SCI (receiving laminectomy followed by SCI and treated with
vehicle), and SHED (SCI rat treated with intraspinal SHED transplantation, 1 h
after SCI). In vitro investigation demonstrated that SHED were able to express
mesenchymal stem cells, vimentin and S100B markers, related with neural
progenitor and glial cells, respectively. The acute SHED transplantation promoted
functional recovery, measured as from the first week after spinal cord contusion
by Basso, Beattie, and Bresnahan scale. Twenty-four and 48 h after lesion, flow
cytometry revealed a spinal cord vimentin+ cells increment in the SHED group. The
increase of vimentin+ cells was confirmed by immunofluorescence. Moreover, the
bioavailability of astrocytic proteins such as S100B and Kir4.1 shown to be
increased in the spinal cord of SHED group, whereas there was a glial scar
reduction, as indicated by ELISA and Western blot techniques. The presented
results support that SHED act as a neuroprotector agent after transplantation,
probably through paracrine signaling to reduce glial scar formation, inducing
tissue plasticity and functional recovery.
PMID- 29796992
TI - Brain Glucose-Sensing Mechanism and Energy Homeostasis.
AB - The metabolic and energy state of the organism depends largely on the
availability of substrates, such as glucose for ATP production, necessary for
maintaining physiological functions. Deregulation in glucose levels leads to the
appearance of pathological signs that result in failures in the cardiovascular
system and various diseases, such as diabetes, obesity, nephropathy, and
neuropathy. Particularly, the brain relies on glucose as fuel for the normal
development of neuronal activity. Regions adjacent to the cerebral ventricles,
such as the hypothalamus and brainstem, exercise central control in energy
homeostasis. These centers house nuclei of neurons whose excitatory activity is
sensitive to changes in glucose levels. Determining the different detection
mechanisms, the phenotype of neurosecretion, and neural connections involving
glucose-sensitive neurons is essential to understanding the response to
hypoglycemia through modulation of food intake, thermogenesis, and activation of
sympathetic and parasympathetic branches, inducing glucagon and epinephrine
secretion and other hypothalamic-pituitary axis-dependent counterregulatory
hormones, such as glucocorticoids and growth hormone. The aim of this review
focuses on integrating the current understanding of various glucose-sensing
mechanisms described in the brain, thereby establishing a relationship between
neuroanatomy and control of physiological processes involved in both metabolic
and energy balance. This will advance the understanding of increasingly prevalent
diseases in the modern world, especially diabetes, and emphasize patterns that
regulate and stimulate intake, thermogenesis, and the overall synergistic effect
of the neuroendocrine system.
PMID- 29796993
TI - SB3 (Ontruzant(r)): A Trastuzumab Biosimilar.
AB - SB3 (Ontruzant(r)) is the first biosimilar of the reference anti-HER2 antibody
trastuzumab to be approved in the EU. It is approved for use in all indications
for which reference trastuzumab is approved, namely HER2-positive early breast
cancer, metastatic breast cancer and metastatic gastric cancer. SB3 has similar
physicochemical and pharmacodynamic properties to those of reference trastuzumab,
and the pharmacokinetic biosimilarity of the agents has been shown in healthy
volunteers and women with HER2-positive early or locally advanced breast cancer.
SB3 demonstrated clinical efficacy considered equivalent to that of reference
trastuzumab in women with HER2-positive early or locally advanced breast cancer.
The tolerability, immunogenicity and safety profiles of SB3 were similar to those
of reference trastuzumab. The role of reference trastuzumab in the management of
HER2-positive cancers is well established and SB3 provides an effective
biosimilar alternative for patients requiring trastuzumab therapy.
PMID- 29796994
TI - Incidence and survival of primary central nervous system lymphoma (PCNSL):
results from the Girona cancer registry (1994-2013).
PMID- 29796995
TI - Spanish National Oncological Research Center (CNIO): a bibliometric portrait.
AB - INTRODUCTION: Madrid's CNIO (Spanish National Oncological Research Center) ranks
among the three first institutions in the world, specifically dedicated to cancer
research. CNIO research mainly focuses on three aspects: use of stem cells to fix
problematic cancer damage, searches for genes that cause cancer disease, and use
of drug design to manage oncological disorders. OBJECTIVES: The main goal of this
study is to determine the effectiveness of the 'translation' of basic discoveries
generated at this cancer research center, into new interventions aimed at
preventing and treating various types of cancer, with bibliometric criteria.
METHODS: A corpus of published articles and citations received by CNIO between
1998 and 2016 has been retrieved from the Web of Science (WoS) database.
Bibliometric indicators considered here are: citation practices, use of journals
on the basis of their impact factors, scientific literature citing CNIO
publications, and international connectedness of CNIO researchers. RESULTS: A
total of 3510 articles were published by CNIO between 1998 and 2016, 23% of which
in journals with impact factors between 10 and 15 and above 15. Along the same
period, the institution received 135,769 citations published in more than 5800
journals, where the most important citing journals were the Plos One with 3.6% of
all the citing articles, Oncotarget with 1.8%, and Scientific Reports with 1.2%.
The highest number of citations was 18,005 in 2007; in terms of average citation
rate, the first ranked CNIO research program was in the area of experimental
therapeutics (77.79%), followed by molecular oncology (68.1%). This position was
provided by the active growth in citation to the articles whose themes are
related to the problems of the consequences of the design of preclinical drug
candidates and the study of oncological disorders. CONCLUSIONS: From this study
on a number of bibliometric characteristics of the Spanish National Oncological
Research Center (CNIO), we conclude that the publication activity (since 2006 its
scientific production has increased in about 83%), the number of high-quality
journals used per year, the high influence, and impact of the journals and
organizations citing the institution, consolidate its image as a top-notch
oncological research center.
PMID- 29796996
TI - Management of patients with implanted cardiac devices during radiotherapy:
results of a Spanish survey in radiation oncology departments.
AB - BACKGROUND: There is an increasing number of patients with cardiac implantable
electronic devices (CIED), either pacemakers or defibrillators, who are receiving
a course of radiotherapy. Several guidelines have been published by national
societies, but no Spanish national guidelines for management of these patients
have been published. More importantly, national clinical practice regarding these
patients is not standardised. MATERIALS AND METHODS: Members of the Spanish
Breast Cancer Radiation Oncology Group (GEORM in Spanish) were surveyed through
an online questionnaire on behalf of the Spanish radiation oncology departments.
RESULTS: Only 39.3% of the Spanish radiation oncology departments have policies
aimed at CIED carrier patients. Regardless of that, 96.4% of those who responded
to the survey refer these patients to their Cardiology department before the
start of the course of radiotherapy, and 17.8% of respondents said to manipulate
the CIED without any cardiology department direction. A wide range of responses
was obtained related to concepts such as "distance from the irradiation field to
the CIED" or "safe accumulated doses". CONCLUSIONS: Our results demonstrate the
need for national guidelines for CIED patients and the need to promote
educational activities addressed to standardise clinical management of these
patients in the radiation oncology departments.
PMID- 29796997
TI - Does NEMO/IKKgamma protein have a role in determining prognostic significance in
uveal melanoma?
AB - PURPOSE: Uveal melanoma, although a rare form of cancer, is the most common
primary malignancy of the eye in adults. Nuclear factor-kappaB (NF-kappaB) is a
transcription factor that transactivates genes involved in the regulation of cell
growth, apoptosis, angiogenesis, and metastasis, but the molecular mechanisms
that negatively regulate NF-kappaB activation are not fully understood. NF-kappaB
can also be activated by DNA damage pathway through NEMO protein. Therefore, the
objective of this study is to elucidate the role of NEMO/IKKgamma protein in
uveal melanoma patients. METHODS: Seventy-five formalin-fixed paraffin-embedded
prospective tissues of uveal melanoma were included in the present study. These
cases were reviewed and investigated for the expression of NEMO/IKKgamma protein
by immunohistochemistry and validated by western blotting along with the qRT-PCR
for mRNA expression. Expression levels were correlated with the
clinicopathological parameters and patients' outcome. RESULTS:
Immunohistochemistry showed cytoplasmic expression of NEMO/IKKgamma expression in
only 22 out of 75 (29.33%) cases. This result was confirmed by western blotting,
and correlated well with the immunohistochemical expression of NEMO/IKKgamma
protein (48 kDa). In addition, downregulation of this gene was found in 87.93% of
the cases when compared with the normal tissues. On statistical analysis, loss of
NEMO/IKKgamma protein was correlated with neovascularization, high mitotic count,
and presence of vascular loop (p < 0.05). There was less overall survival rate
with low expression of NEMO/IKKgamma protein in patients with uveal melanoma.
CONCLUSION: This was the first study suggesting the relevant role of
NEMO/IKKgamma protein, and highlights the prognostic significance with outcome in
uveal melanoma patients. This protein might be used as a screening biomarker in
these patients after large-scale validation and translational studies.
PMID- 29796998
TI - Characterization of tumor-derived mesenchymal stem cells potentially
differentiating into cancer-associated fibroblasts in lung cancer.
AB - PURPOSE: The goal of this study was to understand if mesenchymal stem cells
isolated from lung tumor tissue (T-MSCs) may differentiate into cancer associated
fibroblasts (CAFs), that promote neoplastic progression, angiogenesis and
metastasis in the epithelial solid tumors, mimicking the tumor microenvironmental
influence. METHODS: MSCs were been obtained from healthy (Control, C-MSCs) and
tumor (T-MSCs) tissue of one patient who underwent a lobectomy for a lung
adenocarcinoma pT1bN0. Isolated cells were characterized for the presence of
molecular markers (identified by routine diagnostic characterization in
differentiated tumoral cells), stemness properties, and CAF-related markers
expression. Subsequently, cells were co-cultured with a lung adenocarcinoma cell
line (A549 cells) to evaluate the effects on proliferation, oncogene expression
and IL6 secretion. RESULTS: C- and T-MSCs did not present EGFR mutations unlike
tumor tissue and showed a stem-like immunophenotype, characterized by the ability
to differentiate towards osteo-, chondro- and adipogenic lineages. The expression
of markers referred to CAFs (alpha-SMA, HI-1alpha, MMP11, VEGF, CXCL12, TGF
beta1, TGF-betaRII, IL6, TNFalpha) was significantly higher in T-MSCs than in C
MSCs. The co-cultures with A549 cells led to the over-expression of selected
oncogenes and to the increase of IL6 secretion in T-MSCs but not in C-MSCs.
CONCLUSIONS: MSCs isolated from tumor tissue displayed distinct properties
compared to MSCs isolated from healthy tissue, suggesting T-MSCs differentiation
towards a CAF-related phenotype under the influence of the tumoral
microenvironment.
PMID- 29796999
TI - This is a call to oncologists for action.
AB - Cancer cases are growing in an exponential way, likewise the prices of new cancer
drugs. Continuing in this way, in the near future, it will be impossible to
provide optimum care for all cancer patients. Therefore, it is important to
establish mechanisms that enable the National Health Systems to provide the best
options of treatment, either through the elaboration of decision-binding
frameworks or through other initiatives that guarantee the best quality care for
all oncology patients to overcome, in the best possible way, this difficult
illness. Here, we review current proposals that have been established by
different cancer organizations worldwide, their similarities, their differences
and whether they are helpful in a real clinical setting. Facing present reality
and despite these organizations' huge efforts, these proposals are not being
implemented at all and it does not seem feasible that they will in the short run.
In the same way, we support and argue why oncologists should have a crucial and a
preponderant role to establish the best way of guaranteeing an equal access to
the latest oncology care.
PMID- 29797000
TI - Sachem: a chemical cartridge for high-performance substructure search.
AB - BACKGROUND: Structure search is one of the valuable capabilities of small
molecule databases. Fingerprint-based screening methods are usually employed to
enhance the search performance by reducing the number of calls to the
verification procedure. In substructure search, fingerprints are designed to
capture important structural aspects of the molecule to aid the decision about
whether the molecule contains a given substructure. Currently available
cartridges typically provide acceptable search performance for processing user
queries, but do not scale satisfactorily with dataset size. RESULTS: We present
Sachem, a new open-source chemical cartridge that implements two substructure
search methods: The first is a performance-oriented reimplementation of
substructure indexing based on the OrChem fingerprint, and the second is a novel
method that employs newly designed fingerprints stored in inverted indices. We
assessed the performance of both methods on small, medium, and large datasets
containing 1, 10, and 94 million compounds, respectively. Comparison of Sachem
with other freely available cartridges revealed improvements in overall
performance, scaling potential and screen-out efficiency. CONCLUSIONS: The Sachem
cartridge allows efficient substructure searches in databases of all sizes. The
sublinear performance scaling of the second method and the ability to efficiently
query large amounts of pre-extracted information may together open the door to
new applications for substructure searches.
PMID- 29797001
TI - Optimal monochromatic color combinations for fusion imaging of FDG-PET and
diffusion-weighted MR images.
AB - OBJECTIVE: To investigate the optimal monochromatic color combination for fusion
imaging of FDG-PET and diffusion-weighted MR images (DW) regarding lesion
conspicuity of each image. METHODS: Six linear monochromatic color-maps of red,
blue, green, cyan, magenta, and yellow were assigned to each of the FDG-PET and
DW images. Total perceptual color differences of the lesions were calculated
based on the lightness and chromaticity measured with the photometer. Visual
lesion conspicuity was also compared among the PET-only, DW-only and PET-DW
double positive portions with mean conspicuity scores. Statistical analysis was
performed with a one-way analysis of variance and Spearman's rank correlation
coefficient. RESULTS: Among all the 12 possible monochromatic color-map
combinations, the 3 combinations of red/cyan, magenta/green, and red/green
produced the highest conspicuity scores. Total color differences between PET
positive and double-positive portions correlated with conspicuity scores (rho =
0.2933, p < 0.005). Lightness differences showed a significant negative
correlation with conspicuity scores between the PET-only and DWI-only positive
portions. Chromaticity differences showed a marginally significant correlation
with conspicuity scores between DWI-positive and double-positive portions.
CONCLUSIONS: Monochromatic color combinations can facilitate the visual
evaluation of FDG-uptake and diffusivity as well as registration accuracy on the
FDG-PET/DW fusion images, when red- and green-colored elements are assigned to
FDG-PET and DW images, respectively.
PMID- 29797002
TI - Prediction of tumor differentiation using sequential PET/CT and MRI in patients
with breast cancer.
AB - OBJECTIVE: The aim of this study is to assess tumor differentiation using
parameters from sequential positron emission tomography/computed tomography
(PET/CT) and magnetic resonance imaging (MRI) in patients with breast cancer.
METHODS: This retrospective study included 78 patients with breast cancer. All
patients underwent sequential PET/CT and MRI. For fluorodeoxyglucose (FDG)-PET
image analysis, the maximum standardized uptake value (SUVmax) of FDG was
assessed at both 1 and 2 h and metabolic tumor volume (MTV) and total lesion
glycolysis (TLG). The kinetic analysis of dynamic contrast-enhanced MRI
parameters was performed using dynamic enhancement curves. We assessed diffusion
weighted imaging (DWI)-MRI parameters regarding apparent diffusion coefficient
(ADC) values. Histologic grades 1 and 2 were classified as low-grade, and grade 3
as high-grade tumor. RESULTS: Forty-five lesions of 78 patients were classified
as histologic grade 3, while 26 and 7 lesions were grade 2 and grade 1,
respectively. Patients with high-grade tumors showed significantly lower ADC-mean
values than patients with low-grade tumors (0.99 +/- 0.19 vs.1.12 +/- 0.32, p =
0.007). With respect to SUVmax1, MTV2.5, and TLG2.5, patients with high-grade
tumors showed higher values than patients with low-grade tumors: SUVmax1 (7.92 +/
4.5 vs.6.19 +/- 3.05, p = 0.099), MTV2.5 (7.90 +/- 9.32 vs.4.38 +/- 5.10, p =
0.095), and TLG2.5 (40.83 +/- 59.17 vs.19.66 +/- 26.08, p = 0.082). However,
other parameters did not reveal significant differences between low-grade and
high-grade malignancies. In receiver-operating characteristic (ROC) curve
analysis, ADC-mean values showed the highest area under the curve of 0.681 (95%CI
0.566-0.782) for assessing high-grade malignancy. CONCLUSIONS: Lower ADC-mean
values may predict the poor differentiation of breast cancer among diverse PET
MRI functional parameters.
PMID- 29797003
TI - Radiation exposure after 177Lu-DOTATATE and 177Lu-PSMA-617 therapy.
AB - PURPOSE: As radionuclide therapy is gaining importance in palliative treatment of
patients suffering from neuroendocrine tumour (NET) as well as castration
resistant prostate cancer (CRPC), the radiation protection of patients, staff,
family members and the general public is of increasing interest. Here, we
determine patient discharge dates according to European guidelines. METHODS: In
40 patients with NET and 25 patients with CRPC organ and tumour doses based on
the MIRD concept were calculated from data obtained during the first therapy
cycle. Planar whole body images were recorded at 0.5, 4, 20, 68 und 92 h
postinjection. Residence times were calculated from the respective time-activity
curves based on the conjugated view method. Residence times for critical organs
were fitted into the commercially available OLINDA software to calculate the
organ doses. The doses of tumours and salivary glands were calculated via their
self-irradiation by approximation with spheres of equivalent volume. Kidney
volumes were gained by organ segmentation, volumes of all other organs were
estimated by means of OLINDA and hence were lean body mass corrected. Out of the
whole body curves reference points for patient discharge were estimated. RESULTS:
In patients with NET discharge dates could be properly estimated from dosimetric
data, which is not only crucial for radiation protection, but also makes therapy
planning easier. For 177Lu-PSMA-617 ligand therapy it is difficult to seriously
estimate a generalized discharge date due to large interpatient variation
resulting from different tumor loads and heavy pre-treatment. CONCLUSION: Patient
release is predictable for 177Lu-DOTATATE therapy but not for 177Lu-PSMA ligand
therapy.
PMID- 29797004
TI - Assessing the Impact of Growth Hormone Deficiency (GHD) in Adults: Interpreting
Change of the Treatment-Related Impact Measure-Adult Growth Hormone Deficiency
(TRIM-AGHD).
AB - BACKGROUND: This study's purpose was to assess the minimal important difference
(MID) for the Treatment-Related Impact Measure-Adult Growth Hormone Deficiency
(TRIM-AGHD), a patient-reported outcome measure assessing growth hormone
deficiency (GHD) impacts. The measure was demonstrated to have adequate
psychometric measurement properties, and be reliable and valid. For scores to be
interpretable, the TRIM-AGHD must be responsive to treatment benefit and the MID
in scores quantified. METHODS: A prospective, non-interventional, observational,
clinic-based survey study of naive-to-treatment adult GHD patients (N = 98) was
conducted. Key assessments were at baseline and follow-up (between 4 and
approximately 8 weeks), with weekly telephone monitoring post-baseline (last n =
34 patients). Responsiveness was evaluated using the effect size of change scores
from baseline to follow-up. MID estimates were derived from distribution-based
(half standard deviation [0.5 SD], standard error of measurement [SEm]) and
anchor-based methods (patient global rating of change [PGRC]) using change scores
from baseline to initial report of minimal improvement in GHD severity. Findings
from each method were converged to establish an acceptable MID. RESULTS: Patients
were mean age 49.7 years, 65.6% female, and 76.0% Caucasian. The TRIM-AGHD was
highly responsive to treatment with the total score effect size being 1.38. For
the total score, the 0.5 SD was 8.09 and the SEm was 2.66. The difference found
using the PGRC was 20.43. The converged MID value for the total score was 10
points. CONCLUSIONS: The TRIM-AGHD is a highly responsive measure assessing AGHD
treatment impacts. A 10-point change score is considered a clinically meaningful
improvement.
PMID- 29797005
TI - Genetic Influence in Developmental Dysplasia of the Hip in Saudi Arabian Children
Due to GDF5 Polymorphism.
AB - Developmental dysplasia of the hip (DDH) is quite common among Saudi Arabian
babies. With an objective to assess the presence of SNP rs143383 and the alleles
in the GDF5 gene among patients with DDH, parents, and unaffected siblings, we
undertook this case-controlled study. We collected and analyzed for a functional
single nucleotide polymorphism (SNP) in the 5'-untranslated region of the GDF5
gene (rs143383), 473 blood samples, (100 patients, 200 parents, 73 siblings and
100 healthy controls. We determined the association between the patients'
genotype and their fathers', mothers' and siblings' genotype through Chi-square
analysis. The majority of those screened possessed the TC genotype, and 61.8% of
patients and their fathers had the TT genotype. There was no association between
patients' and fathers' genotype, P value < 0.332, 95% CI (0.328-0.346), and
between patients' and mothers', P < 0.006, 95% CI (0.004-0.007). When considering
DDH patients' and the control group's genotypes, the odds ratios of TT versus
other combined (0.641 > 1) and CC versus other combined (0.474 < 1) revealed that
the TT genotype has higher risk of developing DDH compared with the CC genotype.
The 95 percent confidence interval of TT versus other combined and CC versus
other combined is 0.932-2.891 and 0.208-1.078, respectively. For patients' and
fathers' genotypes, the odds ratios of TT versus other combined (1.275 > 1) and
CC versus other combined (0.815 < 1) indicate that the TT genotype has higher
risk of exhibiting DDH compared to the CC genotype. For patients' and siblings'
genotypes, the odds ratios of TT versus other combined (1.669) and CC versus
other combined (1.048) specify that the TT genotype possesses higher risk of
developing DDH compared with the CC genotype. Our study shows that there exists a
relationship between GDF5 (SNP rs143383) and DDH in our population. Second, we
found for the first time that the genotype TT and the T allele were overly
expressed in the patients and the fathers. More studies on the confirmation of
this genetic marker for DDH are called for.
PMID- 29797006
TI - Autophagy in Zebrafish Extraocular Muscle Regeneration.
AB - Zebrafish extraocular muscles regenerate after severe injury. Injured myocytes
dedifferentiate to a mesenchymal progenitor state and reenter the cell cycle to
proliferate, migrate, and redifferentiate into functional muscles. A
dedifferentiation process that begins with a multinucleated syncytial myofiber
filled with sarcomeres and ends with proliferating mononucleated myoblasts must
include significant remodeling of the protein machinery and organelle content of
the cell. It turns out that autophagy plays a key role early in this process, to
degrade the sarcomeres as well as the excess nuclei of the syncytial
multinucleated myofibers. Because of the robustness of the zebrafish
reprogramming process, and its relative synchrony, it can serve as a useful in
vivo model for studying the biology of autophagy. In this chapter, we describe
the surgical muscle injury model as well as the experimental protocols for
assessing and manipulating autophagy activation.
PMID- 29797007
TI - High-Titer Production of HIV-Based Lentiviral Vectors in Roller Bottles for Gene
and Cell Therapy.
AB - Lentiviral vectors are becoming preferred vectors of choice for clinical gene
therapy trials due to their safety, efficacy, and the long-term gene expression
they provide. Although the efficacy of lentiviral vectors is mainly predetermined
by the therapeutic genes they carry, they must be produced at high titers to
exert therapeutic benefit for in vivo applications. Thus, there is need for
practical, robust, and scalable viral vector production methods applicable to any
laboratory setting. Here, we describe a practical lentiviral production technique
in roller bottles yielding high-titer third-generation lentiviral vectors useful
for in vivo gene transfer applications. CaPO4-mediated transient transfection
protocol involving the use of a transfer vector and three different packaging
plasmids is employed to generate lentivectors in roller bottles. Following
clearance of cellular debris via low-speed centrifugation and filtration, virus
is concentrated by high-speed ultracentrifugation over sucrose cushion.
PMID- 29797008
TI - Direct Conversion of Mouse Embryonic Fibroblasts into Neural Crest Cells.
AB - Neural crest cells (NCCs) are multipotent cells that emerge from the edges of the
neural folds and extensively migrate throughout developing embryos.
Dorsolaterally migrating NCCs colonize skin, differentiate into skin melanocytes,
and lose their multipotency. Multipotent NCCs or NCCs derived multipotent stem
cells (MSCs) were recently detected in their migrated locations, including skin,
despite restrictions in cell fate acquisition following migration. Since many
features of NCCs have yet to be revealed, the novel properties of NCCs represent
an important and interesting field in stem cell biology. We previously reported
the direct conversion of mouse embryonic fibroblasts (MEFs) into NCCs by the
forced expression of the transcription factors C-MYC, KLF4, and SOX10. We herein
describe the methods employed for direct conversion: retrovirus infection for the
forced expression of transcription factors, a flow cytometry-sorting method for
the isolation of converted NCCs, and culture methods for the maintenance and
differentiation of the converted NCCs.
PMID- 29797009
TI - Isolating Immune Cells from Mouse Embryonic Skin.
AB - Skin is the primary barrier against the external environment and develops a
robust immune network for its surveillance. The origin of the resident immune
cells of the skin has become a focus of interest over past a decade. Fate mapping
studies have revealed that the macrophages home into the skin as early as E12.5
and are derived from the yolk sac and fetal liver. The resident gammadeltaT cells
are born in the thymus and home to the skin by E16.5. Recent work from our lab
has shown that the embryonic macrophages can actively remodel the extracellular
matrix in skin suggesting that the skin immune system can be activated long
before exposure to foreign antigens. In this chapter, we present a detailed
protocol for isolating monocytes, macrophages, and epidermal dendritic T cell
populations from embryonic skin.
PMID- 29797010
TI - Skin Wound Healing: Refractory Wounds and Novel Solutions.
AB - This overview of the current state of skin wound healing includes in vitro and in
vivo approaches along with some recent clinical trials. From an introduction to
wound healing, to tissue engineering as applied to the skin, we cover the basis
for the current wound care techniques as well as novel and promising approaches.
Special emphasis is given to refractory wounds which include wounds in diabetic
patients. Natural compounds have been ever present in wound healing, and so we
devote a section to highlighting current attempts to understand their mechanisms
and to use them in novel ways.
PMID- 29797012
TI - EuSoMII Academy 2017.
PMID- 29797013
TI - Contamination Level and Spatial Distribution of Heavy Metals in Water and
Sediments of El Guajaro Reservoir, Colombia.
AB - Heavy metals have become a subject of special concern worldwide, mainly due to
high persistence in the environment, toxicity, biogeochemical recycling and
ecological risk. Therefore, the objective of this investigation was to analyze
the spatial-temporal distribution of heavy metals in water and sediments to
determine the environmental status of El Guajaro Reservoir, where such studies
have not been developed. Two measurement campaigns (dry and wet period) were
carried out and eight sampling stations were selected. A comparison of water and
sediment quality parameters with existing national and international regulations
was done. Also, heavy metal distribution maps were generated, and the
geoaccumulation index was calculated to identify sources and sediments
contamination level. Based on the obtained results, agriculture and mining
activities are the main causes of the reservoir contamination. This metals levels
could be a potential risk for the aquatic life and the populations that are
supplied from this water body.
PMID- 29797011
TI - Xantogranulomatous pyeloneprhritis in children.
AB - Xanthogranulomatous pyelonephritis (XPN) is an unusual and severe form of chronic
inflammatory lesion of the kidney, characterised by the destruction of the renal
parenchyma and the presence of multinucleated giant cells and lipid-laden
macrophages, inflammatory infiltration and intensive renal fibrosis. There are a
few cases in the literature which describe the disease in children. The
pathomechanism of XPN is poorly understood. Renal obstruction with concomitant
urinary tract infection is the most commonly associated pathological finding. The
process is typically unilateral and may be focal or diffuse. In both cases, the
perirenal infiltration is possible and can be mistaken for common renal neoplasm
or inflammatory process. The symptoms are non-specific. Diagnostic imaging
techniques with clinical suspicion have enabled XPN to be diagnosed and
differentiated from malignancy with a high degree of confidence. Computed
tomography (CT) is the mainstay of diagnostic imaging. The definitive diagnosis
of XPN is based on pathological assessment after nephrectomy. We review and
illustrate the clinical, radiological, surgical and pathological characteristics
of XPN in children. All cases shown are surgically and histopathologically
proven. TEACHING POINTS: * XPN can present different clinical manifestations. *
CT is the mainstay of diagnostic imaging in XPN. * Focal type of XPN should be
included in the differential diagnosis of children with a renal mass. * There are
no clear guidelines on the management of XPN. * Conservative and surgical
treatments should be considered for each individual case. * Histopathological
examination confirms the diagnosis and excludes other benign and malign diseases.
PMID- 29797015
TI - [Coding in general practice-Will the ICD-11 be a step forward?]
AB - Primary care physicians in Germany don't benefit from coding diagnoses-they are
coding for the needs of others. For coding, they mostly are using either the
thesaurus of the German Institute of Medical Documentation and Information
(DIMDI) or self-made cheat-sheets. Coding quality is low but seems to be
sufficient for the main use case of the resulting data, which is the morbidity
adjusted risk compensation scheme that distributes financial resources between
the many German health insurance companies.Neither the International
Classification of Diseases and Health Related Problems (ICD-10) nor the German
thesaurus as an interface terminology are adequate for coding in primary care.
The ICD-11 itself will not recognizably be a step forward from the perspective of
primary care. At least the browser database format will be advantageous. An
implementation into the 182 different electronic health records (EHR) on the
German market would probably standardize the coding process and make code finding
easier. This method of coding would still be more cumbersome than the current
coding with self-made cheat-sheets.The first steps towards a useful official
cheat-sheet for primary care have been taken, awaiting implementation and
evaluation. The International Classification of Primary Care (ICPC-2) already
provides an adequate classification standard for primary care that can also be
used in combination with ICD-10. A new version of ICPC (ICPC-3) is under
development. As the ICPC-2 has already been integrated into the foundation layer
of ICD-11 it might easily become the future standard for coding in primary care.
Improving communication between the different EHR would make taking over codes
from other healthcare providers possible. Another opportunity to improve the
coding quality might be creating use cases for the resulting data for the primary
care physicians themselves.
PMID- 29797014
TI - [The International Classification of Functioning, Disability and Health (ICF) :
The implementation of the ICF Core Sets for Hand Conditions in clinical routine
as an example of application].
AB - The International Classification of Functioning, Disability and Health (ICF)
provides a standardized language of almost 1500 ICF categories for coding
information about functioning and contextual factors. Short lists (ICF Core Sets)
are helpful tools to support the implementation of the ICF in clinical routine.
In this paper we report on the implementation of ICF Core Sets in clinical
routine using the "ICF Core Sets for Hand Conditions" and the "Lighthouse Project
Hand" as an example. Based on the ICF categories of the "Brief ICF Core Set for
Hand Conditions", the ICF-based assessment tool (ICF HandA) was developed aiming
to guide the assessment and treatment of patients with injuries and diseases
located at the hand. The ICF HandA facilitates the standardized assessment of
functioning - taking into consideration of a holistic view of the patients -
along the continuum of care ranging from acute care to rehabilitation and return
to work. Reference points for the assessment of the ICF HandA are determined in
treatment guidelines for selected injuries and diseases of the hand along with
recommendations for acute treatment and care, procedures and interventions of
subsequent treatment and rehabilitation. The assessment of the ICF HandA
according to the defined reference points can be done using electronic clinical
assessment tools and allows for an automatic generation of a timely medical
report of a patient's functioning. In the future, the ICF HandA can be used to
inform the coding of functioning in ICD-11.
PMID- 29797016
TI - Imaging bicipitoradial bursitis: a pictorial essay.
AB - Although bicipitoradial bursitis is not commonly seen, when it does occur, it can
frequently lead to diagnostic difficulty, mimicking either a soft-tissue tumor or
infection. Lack of awareness of this uncommon entity can lead to unnecessary
anxiety or tissue biopsy. This pictorial essay discusses the normal anatomy of
the bicipitoradial bursa and the spectrum of imaging findings of bicipital
bursitis.
PMID- 29797017
TI - [Endoprostheses of the distal radioulnar joint].
AB - BACKGROUND: A stable distal radioulnar joint (DRUJ) is mandatory for the rotation
and load transmission in the forearm and wrist. Salvage procedures such as the
Darrach operation, Bowers arthroplasty, and the Kapandji-Sauve procedure include
the potential risk of dynamic radioulnar instability and impingement, despite
stabilizing techniques addressing the soft tissues. PROSTHESES: In an attempt to
stabilize the distal forearm mechanically following ulnar head resection, various
endoprostheses have been developed to replace the ulnar head. These prostheses
can be used for secondary treatment of persistent complaints and unsatisfactory
results after ulnar head resection, but also in the primary treatment of
osteoarthritis of the DRUJ. Based on promising results concerning improvement in
pain, range of motion, and grip strength, with proper indications ulnar head
prostheses should be considered as a valuable treatment option for osteoarthritis
of the DRUJ.
PMID- 29797018
TI - [Arthroscopy of the distal radioulnar joint].
AB - OBJECTIVE: The aim of the procedure is to visualize the proximal pouch of the
DRUJ, the joint surfaces of the sigmoid notch and the ulnar head, the convexity
of the ulnar head and the proximal ulnar side surface of the triangular
fibrocartilage complex (TFCC). INDICATIONS: Arthroscopy of the distal radioulnar
joint is applied for the evaluation of joint pathologies in ulnar-sided wrist
pain, especially in cases without diagnostic findings in standard X-rays and MRIs
and arthroscopically assisted procedures. SURGICAL TECHNIQUE: In vertical
extension, two portals of the wrist are created on the dorsal side of the DRUJ
between the extensor digiti minimi and extensor carpi ulnaris tendons. By
insertion of a small joint arthroscope via these portals visualization of the
ulnar head, the sigmoid notch, the proximal pouch of the DRUJ and the proximal
surface of the TFCC is accomplished. CONCLUSIONS: Arthroscopy of the DRUJ is a
rarely and not routinely performed procedure for the diagnosis and therapy of
ulnar-sided wrist pain. It is technical demanding with a flat learning curve and
anatomy-related obstacles. A complete view of the joint is not always accessible.
Rare complications are injuries of the extensor digiti minimi tendon, as well as
contusion or sectioning of the transverse branch of the dorsal branch of the
ulnar nerve. In distinct cases, this procedure offers important additional
information about the distal radioulnar joint. The procedure is especially
valuable for the detection of proximal TFCC injuries that are missed otherwise.
PMID- 29797019
TI - [Clinical examination of the distal radioulnar joint].
AB - BACKGROUND: The distal radioulnar joint (DRUJ) provides the distal link between
radius and ulnar and is the centre of rotation during pronation and supination.
Pronation and supination are essential in controlling the posture and optimal
presentation of the hand to grasp an object. In addition, pronation and
supination enable us to rotate tools when they are in the longitudinal axis of
the forearm. Due to this, the DRUJ plays a central role in the performance of
activities in daily life. DIAGNOSTICS: Examination of the ulnar-side of the wrist
remains challenging for hand surgeons due to a wide range of pathologies with
overlapping clinical symptoms. Therefore, the clinical examination must be
carried out carefully to detect the origin of the patient's complaints. Several
special examination techniques are available, but their application and
interpretation strongly depend on the skills and experience of the physician.
Most tests are not evaluated in comparison to the gold standard of wrist
arthroscopy with respect to sensitivity and specificity. The most reliable test
for the DRUJ are the ulnar fovea sign, the dorsopalmar stress test and the press
test.
PMID- 29797020
TI - [Management and complications of tumor resections of the midface].
AB - Only about 8% of head and neck tumors affect the midface. This anatomical area is
characterized by an enormous number of functionally important structures, and it
is frequently only possible to achieve small resection margin distances. To avoid
complications and unfavorable results, a dedicated preparation of both patient
and surgeon is warranted. This review aims to provide encouragement for the
postgraduate training of interested ENT specialists. Following the clinical
course and based on recent literature, strategies to avoid complications of tumor
surgery to the midface are given.
PMID- 29797021
TI - Ambulatory blood pressure and arterial stiffness in individuals with type 1
diabetes.
AB - AIMS/HYPOTHESIS: This study aimed to assess the use of ambulatory BP monitoring
(ABPM) to identify the presence of masked, nocturnal and white-coat hypertension
in individuals with type 1 diabetes, patterns that could not be detected by
regular office-based BP monitoring alone. We also analysed associations between
BP patterns and arterial stiffness in order to identify individuals at
cardiovascular risk. METHODS: This substudy included 140 individuals with type 1
diabetes from the Helsinki metropolitan area, who attended the Finnish Diabetic
Nephropathy Study (FinnDiane) Centre in Helsinki between January 2013 and August
2017. Twenty-four hour ABPM and pulse wave analysis were performed simultaneously
using a validated non-invasive brachial oscillometric device (Mobil-O-Graph).
Definitions of hypertension were based on the European Society of Hypertension
guidelines. Masked hypertension was defined as normal office BP (BP obtained
using a standardised automated BP device) but elevated 24 h ABPM, and white-coat
hypertension as elevated office BP but normal 24 h ABPM. RESULTS: A total of 38%
of individuals were normotensive and 33% had sustained hypertension, while 23%
had masked and 6% had white-coat hypertension. About half of the cohort had
increased absolute levels of night-time BP, half of whom were untreated. In the
ambulatory setting, central BP and pulse wave velocity (PWV) were higher in
participants with masked hypertension than in those with normotension (p <=
0.001). In a multivariable linear regression model adjusted for age, sex, BMI,
antihypertensive treatment and eGFR, masked hypertension was independently
associated with higher 24 h PWV (beta 0.50 [95% CI 0.34, 0.66]), but not with PWV
obtained during resting conditions (adjusted beta 0.28 [95% CI -0.53, 1.10]),
using normotension as the reference group. CONCLUSIONS/INTERPRETATION: ABPM
analysis revealed that one-quarter of the participants with type 1 diabetes had
masked hypertension; these individuals would not have been detected by office BP
alone. Moreover, arterial stiffness was increased in individuals with masked
hypertension. These findings support the use of ABPM to identify individuals at
risk of cardiovascular disease.
PMID- 29797023
TI - ["Integrity" in the healthcare system : Recognize and avoid risks: on dealing
with the Association of Statutory Health Insurance Physicians and the public
prosecutors office].
AB - BACKGROUND: This article describes the introduction of the law to combat
corruption in the healthcare system. OBJECTIVE: The effects of the introduced
penal regulations on the delivery of medical services is critically scrutinized
and the associated procedures as well as indications for the course of action are
presented. RESULTS: Knowledge of the relevant regulations and types of procedure
is decisive for the penal, social legislative and professional conduct risk
minimization.
PMID- 29797022
TI - The DPP-4 inhibitor vildagliptin impacts the gut microbiota and prevents
disruption of intestinal homeostasis induced by a Western diet in mice.
AB - AIMS/HYPOTHESIS: Dipeptidyl peptidase 4 (DPP-4) inhibitors are agents designed to
increase the half-life of incretins. Although they are administered orally,
little is known about their effects on the gut microbiota and functions, despite
the fact that some bacteria present in the gut microbiota exhibit DPP-4-like
activity. Our objective was to study the impact of the DPP-4 inhibitor
vildagliptin on gut functions and the intestinal ecosystem in a murine model of
obesity induced by a Western diet (WD). METHODS: Twenty seven male C57BL/6J mice
were randomised to receive a control diet, a WD (45% kJ from fat and 17% kJ from
sucrose) or a WD + vildagliptin (0.6 mg/ml in drinking water) for 8 weeks.
RESULTS: Vildagliptin significantly reduced DPP-4 activity in the caecal content
and faeces. Vildagliptin impacted on the composition of the gut microbiota and
its metabolic activity. It mainly decreased Oscillibacter spp. (a direct effect
independent of DPP-4 activity was shown on cultured O. valericigenes), increased
Lactobacillus spp. and propionate, and reduced the ligands of Toll-like receptors
2 and 4. Vildagliptin protected against the reductions in crypt depth and ileal
expression of antimicrobial peptides induced by the WD. In the liver, the
expression of immune cell populations (Cd3g and Cd11c [also known as Itgax]) and
cytokines was decreased in the WD + vildagliptin-fed mice compared with the WD
fed group. Ex vivo exposure of precision-cut liver slices to vildagliptin showed
that this response was not related to a direct effect of the drug on the liver
tissue. CONCLUSIONS/INTERPRETATION: Our study is the first to consider the DPP-4
like activity of the gut microbiota as a target of DPP-4 inhibition. We propose
that vildagliptin exerts beneficial effects at the intestinal level in
association with modulation of gut microbiota, with consequences for hepatic
immunity. If relevant in humans, this could open new therapeutic uses of DPP-4
inhibition to tackle gut dysfunctions in different pathophysiological contexts.
DATA AVAILABILITY: The sequences used for analysis can be found in the MG-RAST
database under the project name MYNEWGUT3.
PMID- 29797024
TI - [Is there also a gynecologist for men? : A randomised controlled trial of AGGF
information sessions in schools as a bridge to the urologist's consultations with
boys].
AB - BACKGROUND: There are hardly any prevention programmes for health education and
communication for boys in Germany. Boys seldom know when, how and to whom to turn
to for questions or problems in the field of sexual and reproductive health.
METHODS: From 2014-2016 the Medical Society for Health Promotion carried out a
unique project for gender-sensitive medical health promotion for boys of all
school types at 130 Bavarian schools. In 2015, 15 Bavarian schools took part in
the evaluation (6th, 8th, 9th and 11th grade). At school level, similar schools
have been randomised to trial and control groups. In the experimental group,
three waves were conducted in panel design and two interviews were conducted in
the control group using paper questionnaires. The number of cases in the panel is
599 (358 intervention group, 241 waiting control group). RESULTS: In all school
types and grade levels, a highly significant increase in knowledge can be
demonstrated. There is an average of 28% of the intervention group's overall
knowledge improvement compared to the control group. More than 50% of the
participants consider themselves to be very well informed before the
intervention, although the objectively available knowledge does not justify this
(e. g. average proportion of correctly answered questions on male anatomy and
physiology 0.7 out of 6). The participants rate the medical information sessions
and the fact that they are conducted by doctors with 1.7 or 1.6 (1: very good, to
5: poor). DISCUSSION: Boys urgently need proper knowledge transfer in the field
of sexual health. They benefit regardless of the types of school from these
health information sessions. The gender-sensitive and socially compensatory
medical information sessions are highly accepted, effective and serve as a bridge
to the general practitioners. A nationwide establishment of the intervention
seems thus absolutely necessary.
PMID- 29797025
TI - [Percutaneous CT-guided radiofrequency ablation for small renal masses : A
retrospective, single center data analysis].
AB - BACKGROUND: The incidence of small renal masses has increased in recent decades.
Standard surgical treatment may not be applicable in patients with advanced age
or severe comorbidities. Therefore, minimally invasive therapeutic approaches,
such as radiofrequency ablation (RFA), may be a therapeutic option for such
patients. OBJECTIVES: Assessment of oncological and functional outcomes of
percutaneous RFA in small renal masses. MATERIALS AND METHODS: Single center
evaluation of all RFA performed at the hospital Landesklinikum Baden from 2006
2016. RESULTS: A total of 98 RFA were performed in 85 patients. Mean patient age
was 69.5 years. Median tumor size was 26.2 mm, while the length of hospital stay
was 1.4 days. Overall, 96.8% of RFA procedures were considered to be technically
complete. Recurrence rate was 17.5%. Most of the recurrences were treated via a
second RFA. Complication rates were fairly low as the vast majority of ablations
were free of complications (82.7%). Grade I, II and III complications (according
to the Clavien-Dindo classification) occurred in 13.3%, 3% and 1%, respectively.
A significant deterioration of renal function due to RFA was not observed. Cancer
specific survival rate for renal cell carcinoma was 100%; overall survival was
84.7% after an average follow-up period of more than 3 years. CONCLUSION: RFA is
an adequate alternative treatment option for small renal masses in patients unfit
to undergo surgical excision. Patients benefit from the low complication rates,
preservation of renal function, and short hospital stays.
PMID- 29797028
TI - ECDC definitions and methods for the surveillance of healthcare-associated
infections in intensive care units.
PMID- 29797027
TI - Di-(2-ethylhexyl) Phthalate Exposure Modulates Antioxidant Enzyme Activity and
Gene Expression in Juvenile and Adult Daphnia magna.
AB - Di-(2-ethylhexyl) phthalate (DEHP) is a plasticizer used in the polyvinyl
chloride industry worldwide. DEHP exists in the aquatic environments for decades.
However, the toxicological effects of DEHP to aquatic organisms have not been
adequately researched. We investigated acute toxicity, oxidative damage,
antioxidant enzyme activities, and gene expression patterns of antioxidant
enzymes in juvenile and adult Daphnia magna exposed to DEHP. We found that the
median lethal concentrations (LC50) of DEHP for juveniles exposed for 24 and 48 h
were 0.83 and 0.56 mg L-1, respectively. The LC50 of DEHP in adults exposed for
24 and 48 h were 0.48 and 0.35 mg L-1. Daphnia magna that was exposed to DEHP had
increased malondialdehyde levels for 24 h and lower total antioxidant capacity
compared with the control. Activity levels of antioxidant enzymes superoxide
dismutase and phase II detoxifying enzyme glutathione S-transferases were
significantly higher upon initial exposure for 24 h, and enzyme activity was then
diminished at high concentrations and prolonged exposure for 48 h. Gene
expression levels of cat and gst were notably reduced or increased upon DEHP
exposure. These findings suggest that DEHP can cause biochemical and
physiological effects in juvenile and adult D. magna by inhibiting enzymes, an
increase in lipid peroxidation levels and changes both transcription levels of
enzymes (cat, gst). On the whole, juveniles and adults both responded similarly
to DEHP. Our findings will contribute to the understanding of toxic mechanisms in
phthalate esters and the evaluation of environmental risks in aquatic ecosystems.
PMID- 29797026
TI - Danger signals from mitochondrial DAMPS in trauma and post-injury sepsis.
AB - In all multicellular organisms, immediate host responses to both sterile and
infective threat are initiated by very primitive systems now grouped together
under the general term 'danger responses'. Danger signals are generated when
primitive 'pattern recognition receptors' (PRR) encounter activating 'alarmins'.
These molecular species may be of pathogenic infective origin (pathogen
associated molecular patterns) or of sterile endogenous origin (danger-associated
molecular patterns). There are many sterile and infective alarmins and there is
considerable overlap in their ability to activate PRR, but in all cases the end
result is inflammation. It is the overlap between sterile and infective signals
acting via a relatively limited number of PRR that generally underlies the great
clinical similarity we see between sterile and infective systemic inflammatory
responses. Mitochondria (MT) are evolutionarily derived from bacteria, and thus
they sit at the crossroads between sterile and infective danger signal pathways.
Many of the molecular species in mitochondria are alarmins, and so the release of
MT from injured cells results in a wide variety of inflammatory events. This
paper discusses the known participation of MT in inflammation and reviews what is
known about how the major.
PMID- 29797029
TI - The "Christmas tree" bladder.
PMID- 29797030
TI - Factors predicting intolerance to definitive conventional radiotherapy in
geriatric patients.
AB - PURPOSE: Although radiotherapy can be administered with a relatively low
therapeutic burden, many elderly patients do not complete radiotherapy. In order
to predict intolerance during radiotherapy, this study retrospectively analyzed
the frequency of and risk factors for radiotherapy interruption among geriatric
patients. METHODS: From September 2009 to December 2016, 353 patients aged >=70
years received definitive radiotherapy with a conventionally fractionated
schedule. "Total interruption" included completion of <=90% of a planned
radiotherapy, temporary discontinuation, and treatment-related mortality within 2
months. "Early-phase incompletion" and "mid-phase incompletion" represented
completion of <=50 and <=80% of a planned radiotherapy, respectively. RESULTS:
The median age of patients was 74 years. Early- and mid-phase incompletions and
total interruption occurred in 4.2, 9.3, and 19.3% of patients, respectively.
Total interruption occurred frequently in cancers involving the thorax (27.4%),
head and neck (23.1%), abdomen (20.0%), pelvis (17.4%), and breast/extremity
(8.1%). The Eastern Cooperative Oncology Group (ECOG) performance score (P =
0.004 and 0.002), serum albumin level (P = 0.016 and 0.002), and the expected 5
year survival (P = 0.033 and 0.034) were significant factors for mid-phase
incompletion and total interruption. Age >= 75 years (P = 0.008), concurrent
chemotherapy (P = 0.017), and the extent of radiation field (P = 0.027) were
factors associated with total interruption. CONCLUSION: Overall, 19.3% of the
elderly patients showed treatment intolerance during conventional radiotherapy.
Serum albumin level and ECOG performance score should be considered as surrogate
markers for radiotherapy interruption prior to the decision regarding definite
conventional radiotherapy.
PMID- 29797032
TI - [Acupuncture techniques in professional football].
AB - The number of scientific studies about acupuncture has increased significantly
during recent years. Acupuncture can be used as an evidence-based adjunct therapy
for a variety of indications in professional football. This review summarizes
various acupuncture techniques and related techniques for utilization in the
field of professional soccer. Besides knee, shoulder, spinal, elbow and
postoperative pain, scientific meta-analyses also point towards the effectiveness
of acupuncture in ankle sprains, allergic rhinoconjunctivitis and nausea. Dry
needling is an emerging option for treatment of myofascial trigger points and
could potentially result in improved prevention of muscular injuries and
enhancement of muscular performance.
PMID- 29797033
TI - [Quantification of treatment success for geriatric sacral fractures].
AB - BACKGROUND: Geriatric sacral fractures represent an independent fracture entity
of increasing incidence and growing socioeconomic relevance. The goals of
treatment are very different to those in younger patients with high-energy pelvic
fractures. Hence, new outcome measurement instruments are required in order to
assess the success of treatment. OBJECTIVE: Literature review summarizing
existing concepts and providing an overview of outcome measurement instruments
for geriatric sacral fractures. METHODS: Narrative review article based on an
analysis of the German and English-speaking literature from the last 10 years.
RESULTS: Geriatric sacral fractures result in impaired mobility, increased
physical and social loss of dependency and increased morbidity and mortality
rates. There is a lack of standardized specific assessment procedures for
functional outcome measurement after geriatric sacral fractures. Until these are
developed and validated, a parallel acquisition of mortality, the timed up and go
test, the Oswestry disability index (ODI) and a generic healthcare questionnaire
score (SF-36, EQ-5D) seem to be most suitable. CONCLUSION: At present our
knowledge about the natural course of geriatric sacral fractures is limited by
the lack of well-validated instruments to measure functional and radiographic
outcomes. This has to be considered when evaluating the success of new treatment
options for these patients. Future studies should validate existing scores for
this population and develop new specific outcome instruments.
PMID- 29797031
TI - [Prehospital application of tourniquets for life-threatening extremity hemorrhage
: Systematic review of literature].
AB - INTRODUCTION: The effectiveness of a tourniquet in the case of life-threatening
hemorrhages of the extremities is well recognized and led to the recommendations
on "Tourniquet" of the German Society of Anaesthesiology and Intensive Care
(DGAI) in 2016. The aim of this systematic review was to re-evaluate the current
medical literature in relation to the published DGAI recommendations. MATERIAL
AND METHODS: Based on the analysis of all studies published from January 2015
until January 2018 in the PubMed databases, the publicized recommendations for
action on "Tourniquet" of the DGAI were critically re-evaluated. For this
purpose, 17 questions on 6 subjects were formulated in advance. The systematic
review followed the PRISMA recommendations and is registered in PROSPERO
(International prospective register of systematic reviews, Reg.-ID:
CRD42018091528). RESULTS: Of the 284 studies identified with the keywords
tourniquet and trauma in the period from January 2015 to January 2018 in PubMed,
50 original papers discussing the prehospital application of tourniquet for life
threatening hemorrhage of the extremities were included. The overall level of
evidence is low. No article addressed any of the formulated questions with a
prospective randomized interventional study. Scientific deductions could be found
only in an indirect way in a descriptive manner. CONCLUSION: The 50 original
articles included in this qualitative, systematic review revealed that the
recommendations "Tourniquet" of the DGAI published in 2016 are mostly still up to
date despite an inhomogeneous study situation. A deviation occurred in the
conversion of a tourniquet but due to the short prehospital treatment time in the
civilian setting this is of little importance; however, in the future a strict
distinction should be made between tourniquets which were placed for tactical
reasons and those placed as a medical necessity.
PMID- 29797034
TI - Combination of all-stage and high-temperature adult-plant resistance QTL confers
high-level, durable resistance to stripe rust in winter wheat cultivar Madsen.
AB - KEY MESSAGE: Wheat cultivar Madsen has a new gene on the short arm of chromosome
1A and two QTL for all-stage resistance and three QTL for high-temperature adult
plant resistance that in combination confer high-level, durable resistance to
stripe rust. Wheat cultivar Madsen has maintained a high-level resistance to
stripe rust over 30 years. To map quantitative trait loci (QTL) underlying the
high-level, durable resistance, 156 recombinant inbred lines (RILs) developed
from cross Avocet S * Madsen were phenotyped with selected races of Puccinia
striiformis f. sp. tritici in the greenhouse seedling tests, and in naturally
infected fields during 2015-2017. The RILs were genotyped by SSR and SNP markers
from genotyping by sequencing and the 90 K wheat SNP chip. Three QTL for all
stage resistance were mapped on chromosomes 1AS, 1BS and 2AS, and two QTL for
high-temperature adult-plant (HTAP) resistance were mapped on 3BS and 6BS. The
most effective QTL on 2AS, explaining 8.97-23.10% of the phenotypic variation in
seedling tests and 8.60-71.23% in field tests, contained Yr17 for all-stage
resistance and an additional gene for HTAP resistance. The 6BS QTL, detected in
all field tests, was identified as Yr78. The 1AS QTL, conferring all-stage
resistance, was identified as a new gene, which explained 20.45 and 30.23% of
variation in resistance to races PSTv-37 and PSTv-40, respectively, and
contributed significantly to field resistance at Pullman in 2015-2017, but was
not detected at Mount Vernon. The interactions among QTL were mostly additive,
and RILs with all five QTL had the highest level of resistance in the field,
similar to Madsen. Genotyping 148 US Pacific Northwest wheat cultivars with
markers for the 1AS, 2AS and 6BS QTL validated the genes and markers, and
indicated their usefulness for marker-assisted selection.
PMID- 29797035
TI - Pharmacokinetics of imipenem in plasma and cerebrospinal fluid in patients with
intracerebral hemorrhage.
PMID- 29797037
TI - Constant inhibition in congenital lower extremity shortening: does it begin in
utero?
AB - BACKGROUND: Limb-length discrepancy (LLD) in children with congenital lower
extremity shortening is constant in proportion from birth to skeletal maturity
(known as constant inhibition), but its developmental pattern in utero is
unknown. The popular prenatal multiplier method to predict LLD at birth assumes
constant inhibition in utero to be true. Verifying the in utero developmental
pattern of LLD, and thus confirming the validity of the prenatal multiplier
method, is crucial for meaningful prenatal parental counseling. OBJECTIVE: To
elucidate the in utero developmental pattern of LLD in fetuses with congenital
lower extremity shortening. MATERIALS AND METHODS: Clinical indications for 3,605
lower extremity radiographs performed on infants (<1 year old) at a large
tertiary hospital over a 17-year period were reviewed. Inclusion criteria were
(1) diagnosis of congenital lower extremity shortening, (2) bilateral lower limb
postnatal radiographs documenting LLD and (3) fetal ultrasound (US) documenting
LLD. Available measurements of femoral, tibial and fibular lengths on fetal US
and postnatal radiographs were collected. Prenatal and postnatal length ratios of
shorter-to-longer bones were calculated and compared. RESULTS: Eighteen infants
met inclusion criteria. Diagnoses were proximal focal femoral deficiency=4,
congenital short femur=2, tibial hemimelia=3, posteromedial tibial bowing=6 and
fibular hemimelia=3. The correlations between postnatal and prenatal length
ratios were high for the femur, tibia and fibula (R>0.98, P<0.0001). The relative
differences in the postnatal and prenatal length ratios of these bones were small
(|average|<0.026, standard deviation <0.068). CONCLUSION: Our data indicate that
the postnatal and prenatal length ratios were equivalent, supporting the constant
inhibition pattern of LLD in utero, thus validating the prenatal multiplier
method for predicting LLD.
PMID- 29797036
TI - Comparative safety and efficacy of balloon use in air enema reduction for
pediatric intussusception.
AB - BACKGROUND: Intussusception, a common cause of bowel obstruction in young
children, is primarily treated with air enema reduction. There is little
literature comparing the safety and efficacy of air reduction without or with a
rectal balloon. OBJECTIVE: To determine the safety and efficacy of a rectal
balloon seal in air enema reduction. MATERIALS AND METHODS: We retrospectively
reviewed the records of children who underwent air reduction for ileocolic or
ileo-ileocolic intussusception over an 8-year period. We sorted data from 566
children according to whether a rectal balloon was used in the reduction, and
further sorted them by type and experience level of the practitioner. Using
logistic regression analyses, we identified risk factors for iatrogenic bowel
perforation or failed reduction. RESULTS: Significant associations with bowel
perforation included balloon use (P=0.038), age <1 year (P<0.0001), and attending
physician's level of experience <5 years (P=0.043). Younger age was associated
with both perforation (P<0.0001) and procedural failure (P=0.001). The risk
adjusted predicted probability of perforation decreased with age, approaching
zero by 10 months regardless of balloon use. For cases without bowel resection,
the risk-adjusted predicted probability of failure decreased toward zero by 30
months with balloon use, while remaining constant at 3-12% regardless of age when
not using a balloon. CONCLUSION: The likelihood of a successful air reduction
might be safely increased by using an inflated rectal balloon in children older
than 9 months. Use of a balloon in younger infants is associated with a higher
risk of iatrogenic bowel injury.
PMID- 29797038
TI - Metrology for stable isotope reference materials: 13C/12C and 18O/16O isotope
ratio value assignment of pure carbon dioxide gas samples on the Vienna PeeDee
Belemnite-CO2 scale using dual-inlet mass spectrometry.
AB - Isotope ratio measurements have been conducted on a series of isotopically
distinct pure CO2 gas samples using the technique of dual-inlet isotope ratio
mass spectrometry (DI-IRMS). The influence of instrumental parameters, data
normalization schemes on the metrological traceability and uncertainty of the
sample isotope composition have been characterized. Traceability to the Vienna
PeeDee Belemnite(VPDB)-CO2 scale was realized using the pure CO2 isotope
reference materials(IRMs) 8562, 8563, and 8564. The uncertainty analyses include
contributions associated with the values of iRMs and the repeatability and
reproducibility of our measurements. Our DI-IRMS measurement system is
demonstrated to have high long-term stability, approaching a precision of 0.001
parts-per-thousand for the 45/44 and 46/44 ion signal ratios. The single- and two
point normalization bias for the iRMs were found to be within their published
standard uncertainty values. The values of 13C/12C and 18O/16O isotope ratios are
expressed relative to VPDB-CO2 using the [Formula: see text] and [Formula: see
text] notation, respectively, in parts-per-thousand (0/00 or per mil). For the
samples, value assignments between (-25 to +2) 0/00 and (-33 to -1) 0/00 with
nominal combined standard uncertainties of (0.05, 0.3) 0/00 for [Formula: see
text] and [Formula: see text], respectively were obtained. These samples are used
as laboratory reference to provide anchor points for value assignment of isotope
ratios (with VPDB traceability) to pure CO2 samples. Additionally, they serve as
potential parent isotopic source material required for the development of
gravimetric based iRMs of CO2 in CO2-free dry air in high pressure gas cylinder
packages at desired abundance levels and isotopic composition values. Graphical
abstract CO2 gas isotope ratio metrology.
PMID- 29797039
TI - [Imaging of abdominal tumors in childhood and adolescence : Part I: Background,
hepatic, splenic and pancreatic tumors].
AB - In comparison to adult patients, other specific entities of abdominal tumors
occur in childhood and adolescence. These include malignant tumors originating
from embryonic tissue, such as hepatoblastoma. Some of the abdominal tumors are
already diagnosed prenatally or in neonates. Sonography functions as the primary
radiological basic diagnostics of pediatric abdominal space-occupying lesions, if
necessary supplemented by administration of ultrasound contrast medium or the
implementation of novel techniques (e.g. innovative Doppler and elastography
procedures). Magnetic resonance imaging (MRI) is used for a comprehensive and
detailed depiction of the tumors including the question of resectability and
vascular supply. Various weighting and different MRI sequences are used in order
to be able to assess the signal behavior of the tumor and therefore the possible
presence of calcification, necrosis or hemorrhage, the behavior of dynamic
contrast enhancement, and the presence and extent of diffusion disorders. This
information is decisive in order to be able to assess the entity and malignancy
of the abdominal space-occupying lesion. Rare but relevant tumors with respect to
the entity occur in childhood and adolescence in the abdominal organs liver,
spleen and pancreas.
PMID- 29797040
TI - [Dandy-Walker malformation].
AB - The Dandy-Walker malformation is the most frequent cerebral malformation. It is
defined by hypoplasia and upward rotation of the vermis cerebelli, a cystic
enlargement of the fourth ventricle and in total an enlarged posterior fossa with
cranially shifted position of the lateral sinus, tentorium and torcula herophili.
This malformation was first described by Dandy and Blackfan in 1914 then
supplemented again by Taggart and Walker in 1942. The current description as
Dandy-Walker malformation was introduced in 1954 by Bender. In addition to these
classical findings, the Dandy-Walker malformation is characterized by other
abnormalities and malformations of the central nervous system (CNS) including
agenesis of the corpus callosum, heterotopia, occipital meningocele, visual
deficits and epilepsy. Neurogenetic and imaging examinations have led to a better
understanding of this malformation.
PMID- 29797042
TI - [Contrast-enhanced ultrasound: Tingling].
PMID- 29797041
TI - [Spina bifida].
AB - Spina bifida is a congenital disorder with incomplete closure of the spinal
column due to a bony vertebral defect. The term spina bifida literally means
cleft spine and is used as a generic term of spinal dysraphism (Greek: Raphe =
seam). It is a midline defect that occurs during the embryonic period. The
insufficient closure of one or more vertebral arches is the result of an
incomplete junction of the neural tube. Depending on the extent of the neural
tube defect, various types of spina bifida can be differentiated. Closed spinal
dysraphisms, also known as spina bifida occulta, are solely characterized by a
bony defect of the vertebral arch, whereas, spina bifida cystica (synonym: open
spina bifida or spina bifida aperta) can be distinguished by a protruding cyst,
containing either meninges or meninges in combination with spinal cord tissue and
are defined as open spinal dysraphisms.
PMID- 29797044
TI - Interaction dynamics between grouping principles in touch: phenomenological and
psychophysical evidence.
AB - In two experiments, we investigated the interactions between the grouping
principles of spatial proximity and texture similarity in touch. For that
purpose, we adapted to touch two paradigms widely employed in vision. In
Experiment 1, we used an experimental phenomenological task consisting of rating
the strength of grouping in both acting alone and conjoined cooperative and
competitive conditions. In Experiment 2, participants performed a psychophysical
task in which an objective (in)correct response was defined by selectively
attending to one grouping cue in different blocks of trials. The results showed
that spatial proximity dominated over texture similarity when the two principles
were conjoined in competition. In addition, the present results are compatible
with an additive model of grouping effects as indicated by the greater grouping
effect in the cooperative condition and the smaller grouping effect in the
competitive condition relative to a.0cting alone grouping principles. The
similarities and differences between vision and touch are discussed.
PMID- 29797043
TI - [Contrast-enhanced ultrasound (CEUS) and image fusion for procedures of liver
interventions].
AB - CLINICAL/METHODICAL ISSUE: Contrast-enhanced ultrasound (CEUS) is becoming
increasingly important for the detection and characterization of malignant liver
lesions and allows percutaneous treatment when surgery is not possible. Contrast
enhanced ultrasound image fusion with computed tomography (CT) and magnetic
resonance imaging (MRI) opens up further options for the targeted investigation
of a modified tumor treatment. METHODICAL INNOVATIONS: Ultrasound image fusion
offers the potential for real-time imaging and can be combined with other cross
sectional imaging techniques as well as CEUS. PERFORMANCE: With the
implementation of ultrasound contrast agents and image fusion, ultrasound has
been improved in the detection and characterization of liver lesions in
comparison to other cross-sectional imaging techniques. In addition, this method
can also be used for intervention procedures. The success rate of fusion-guided
biopsies or CEUS-guided tumor ablation lies between 80 and 100% in the
literature. ACHIEVEMENTS: Ultrasound-guided image fusion using CT or MRI data, in
combination with CEUS, can facilitate diagnosis and therapy follow-up after liver
interventions. PRACTICAL RECOMMENDATIONS: In addition to the primary applications
of image fusion in the diagnosis and treatment of liver lesions, further useful
indications can be integrated into daily work. These include, for example,
intraoperative and vascular applications as well applications in other organ
systems.
PMID- 29797045
TI - Priming by motivationally salient distractors produces hemispheric asymmetries in
visual processing.
AB - Stimuli that reliably herald the availability of rewards or punishers can acquire
value associations, potentially imbuing them with emotional significance and
attentional prioritization. Previous work has shown that an emotional stimulus
(prime) presented just prior to an attention-demanding task disrupts performance
in a lateralized manner that is independent of the prime's emotional valence.
Here, we asked whether neutral stimuli with acquired value associations would
similarly disrupt attention. In two experiments, adult participants first learned
to associate specific face or chair stimuli with a high or low probability of
either winning or losing points. These conditioned stimuli then served as primes
in a speeded letter-search task. Primes with high versus low outcome probability,
regardless of valence, slowed search for targets appearing in the left but not
the right visual hemifield, mirroring previous results using emotional primes,
and suggesting that motivational mechanisms that compete for control with non
emotional cognitive processes are right-lateralized in the human brain.
PMID- 29797046
TI - [Journal Club].
PMID- 29797050
TI - Distance to hospital is not a risk factor for emergency colon cancer surgery.
AB - PURPOSE: The purpose of this study is to see if the distance to a hospital
performing colon cancer surgery is a risk factor for emergency surgical
intervention and to determine the variability between defined but demographically
divergent catchment areas. METHODS: Data on patients living in Vasterbotten
County who underwent colon cancer surgery between 2007 and 2010 were extracted
from the Swedish Colorectal Cancer Register (SCRCR). Of the 436 registrations
matching these criteria, 380 patients were used in the analysis, and their
distance to the nearest hospital providing care for colorectal cancer (CRC) was
estimated using Google MapsTM. The correlations between the risk for emergency
surgery and the distance to a hospital, gender, age, income level and hospital
catchment area were analysed in uni- and multivariate models. RESULTS: Distance
to the nearest hospital had no significant effect on the proportion of emergency
operations for colon cancer. There was significant variability in risk for
emergency surgery between hospital catchment areas, where the catchment areas of
the university hospital and the most rural hospital had a higher proportion than
the other local hospital catchment area (OR, 2.00 (p = 0.038) and OR, 2.97 (p =
0.005)). These results were still significant when analysed with multivariate
logistic regression (OR, 2.13 (p = 0.026) and OR, 3.05 (p = 0.013)). CONCLUSION:
Distance to a hospital performing colon cancer surgery had no effect on the
proportion of emergency surgeries. However, a variability between defined
catchment areas was seen. Future studies will focus on possible factors behind
this variability.
PMID- 29797048
TI - Engineering herbicide-resistant watermelon variety through CRISPR/Cas9-mediated
base-editing.
PMID- 29797049
TI - Successful overexpression of wild-type inhibitor-2 of PP1 in cardiovascular
cells.
AB - About half of the cardiac serine/threonine phosphatase activity is due to the
activity of protein phosphatase type 1 (PP1). The activity of PP1 can be
inhibited by an endogenous protein for which the expression inhibitor-2 (I-2) has
been coined. We have previously described a transgenic mouse overexpressing a
truncated form of I-2. Here, we have described and initially characterized
several founders that overexpress the non-truncated (i.e., full length) I-2 in
the mouse heart (TG) and compared them with non-transgenic littermates (WT). The
founder with the highest overexpression of I-2 displayed under basal conditions
no difference in contractile parameters (heart rate, developed tension, and its
first derivate) compared to WT. The relative level of PP1 inhibition was similar
in mice overexpressing the non-truncated as well as the truncated form of I-2.
For comparison, we overexpressed I-2 by an adenoviral system in several cell
lines (myocytes from a tumor-derived cell line (H9C2), neonatal rat
cardiomyocytes, smooth muscle cells from rat aorta (A7R5)). We noted gene dosage
dependent staining for I-2 protein in infected cells together with reduced PP1
activity. Finally, I-2 expression in neonatal rat cardiomyocytes led to an
increase of Ca2+ transients by about 60%. In summary, we achieved immunologically
confirmed overexpression of wild-type I-2 in cardiovascular cells which was
biochemically able to inhibit PP1 in the whole heart (using I-2 transgenic mice)
as well as in isolated cells including cardiomyocytes (using I-2 coding virus)
indirectly underscoring the importance of PP1 for cardiovascular function.
PMID- 29797047
TI - Physcomitrella patens, a versatile synthetic biology chassis.
AB - KEY MESSAGE: During three decades the moss Physcomitrella patens has been
developed to a superb green cell factory with the first commercial products on
the market. In the past three decades the moss P. patens has been developed from
an obscure bryophyte to a model organism in basic biology, biotechnology, and
synthetic biology. Some of the key features of this system include a wide range
of Omics technologies, precise genome-engineering via homologous recombination
with yeast-like efficiency, a certified good-manufacturing-practice production in
bioreactors, successful upscaling to 500 L wave reactors, excellent homogeneity
of protein products, superb product stability from batch-to-batch, and a reliable
procedure for cryopreservation of cell lines in a master cell bank. About a dozen
human proteins are being produced in P. patens as potential biopharmaceuticals,
some of them are not only similar to their animal-produced counterparts, but are
real biobetters with superior performance. A moss-made pharmaceutical
successfully passed phase 1 clinical trials, a fragrant moss, and a cosmetic moss
product is already on the market, highlighting the economic potential of this
synthetic biology chassis. Here, we focus on the features of mosses as versatile
cell factories for synthetic biology and their impact on metabolic engineering.
PMID- 29797051
TI - Limits of noise for autoregulated gene expression.
AB - Gene expression is influenced by extrinsic noise (involving a fluctuating
environment of cellular processes) and intrinsic noise (referring to fluctuations
within a cell under constant environment). We study the standard model of gene
expression including an (in-)active gene, mRNA and protein. Gene expression is
regulated in the sense that the protein feeds back and either represses (negative
feedback) or enhances (positive feedback) its production at the stage of
transcription. While it is well-known that negative (positive) feedback reduces
(increases) intrinsic noise, we give a precise result on the resulting
fluctuations in protein numbers. The technique we use is an extension of the
Langevin approximation and is an application of a central limit theorem under
stochastic averaging for Markov jump processes (Kang et al. in Ann Appl Probab
24:721-759, 2014). We find that (under our scaling and in equilibrium), negative
feedback leads to a reduction in the Fano factor of at most 2, while the noise
under positive feedback is potentially unbounded. The fit with simulations is
very good and improves on known approximations.
PMID- 29797053
TI - Correction to: Light localization with low-contrast targets in a patient
implanted with a suprachoroidal-transretinal stimulation retinal prosthesis.
AB - The original publication of this paper contain an error because of an incorrect
captured corresponding author.
PMID- 29797055
TI - ICD lead type and RV lead position in CRT-D recipients.
AB - BACKGROUND: Data on preferred ICD lead type and optimal RV lead position in
patients undergoing CRT-D implantation are limited. OBJECTIVES: To compare dual-
versus single-coil ICD leads and non-apical versus apical RV lead position and
their impact on clinical parameters and survival in CRT-D recipients. METHODS: A
total of 563 consecutive patients with advanced heart failure and indication for
CRT-D implantation were enrolled in two European tertiary centers. Endpoints were
improvement in NYHA functional class, changes in echo- and electrocardiographic
parameters, and all-cause and cardiovascular mortality. RESULTS: In this
retrospective analysis, a total of 313 (56%) dual- and 250 (44%) single-coil ICD
leads were used. RV leads were placed non-apically in 262 (47%) and apically in
296 (53%) patients, respectively. Over a mean follow-up of 41 +/- 34 months, all
cause mortality and cardiovascular mortality were similar for patients with dual-
versus single-coil ICD lead (adjusted HR 0.81, 95% CI 0.58-1.12 and aHR 1.22, 95%
CI 0.73-2.04) and non-apical versus apical RV lead position (aHR 0.98, 95% CI
0.71-1.36 and aHR 0.76, 95% CI 0.44-1.31). Non-apical RV lead position was
associated with greater reduction in QRS duration after CRT implantation (- 14.4
+/- 32.1 vs. - 4.3 +/- 34.3 ms, p < 0.001). CONCLUSIONS: We found no association
between ICD lead type or RV lead position and outcomes in CRT-D recipients. Non
apical RV lead position was associated with larger reduction in QRS duration.
PMID- 29797054
TI - Value of the CHA2DS2-VASc score and Fabry-specific score for predicting new-onset
or recurrent stroke/TIA in Fabry disease patients without atrial fibrillation.
AB - OBJECTIVES: To evaluate potential risk factors for stroke or transient ischemic
attacks (TIA) and to test the feasibility and efficacy of a Fabry-specific stroke
risk score in Fabry disease (FD) patients without atrial fibrillation (AF).
BACKGROUND: FD patients often experience cerebrovascular events (stroke/TIA) at
young age. METHODS: 159 genetically confirmed FD patients without AF (aged 40 +/-
14 years, 42.1% male) were included, and risk factors for stroke/TIA events were
determined. All patients were followed up over a median period of 60 (quartiles
35-90) months. The pre-defined primary outcomes included new-onset or recurrent
stroke/TIA and all-cause death. RESULTS: Prior stroke/TIA (HR 19.97, P < .001),
angiokeratoma (HR 4.06, P = .010), elevated creatinine (HR 3.74, P = .011),
significant left ventricular hypertrophy (HR 4.07, P = .017), and reduced global
systolic strain (GLS, HR 5.19, P = .002) remained as independent risk predictors
of new-onset or recurrent stroke/TIA in FD patients without AF. A Fabry-specific
score was established based on above defined risk factors, proving somehow
superior to the CHA2DS2-VASc score in predicting new-onset or recurrent
stroke/TIA in this cohort (AUC 0.87 vs. 0.75, P = .199). CONCLUSIONS: Prior
stroke/TIA, angiokeratoma, renal dysfunction, left ventricular hypertrophy, and
global systolic dysfunction are independent risk factors for new-onset or
recurrent stroke/TIA in FD patients without AF. It is feasible to predict new or
recurrent cerebral events with the Fabry-specific score based on the above
defined risk factors. Future studies are warranted to test if FD patients with
high risk for new-onset or recurrent stroke/TIA, as defined by the Fabry-specific
score (>= 2 points), might benefit from antithrombotic therapy. Clinical trial
registration HEAL-FABRY (evaluation of HEArt invoLvement in patients with FABRY
disease, NCT03362164).
PMID- 29797057
TI - Koroska 8000 Himalayan expedition: digit responses to cold stress following
ascent to Broadpeak (Pakistan, 8051 m).
AB - PURPOSE: Cold-induced vasodilatation (CIVD) is a peripheral blood flow response,
observed in both the hands and feet. Exercise has been shown to enhance the
response, specifically by increasing mean skin temperatures (Tsk), in part due to
the increased number of CIVD waves. In contrast, hypobaric hypoxia has been
suggested to impair digit skin temperature responses, particularly during
subsequent hand rewarming following the cold stimulus. This study examined the
combined effect of exercise and hypobaric hypoxia on the CIVD response. We
compared the CIVD responses in the digits of both the hands and feet of a team of
alpinists (N = 5) before and after a 35-day Himalayan expedition to Broadpeak,
Pakistan (8051 m). METHODS: Five elite alpinists participated in hand and foot
cold water immersion tests 20 days before and immediately upon return from their
expedition. RESULTS: The alpinists summited successfully without supplemental
oxygen. Post-expedition, all alpinists demonstrated higher minimum Tsk in their
hands (pre: 9.9 +/- 1.1, post: 10.1 +/- 0.7 degrees C, p = 0.031). Four
alpinists had either greater CIVD waves, and, consequently, higher mean Tsk in
their hands, or higher recovery temperatures (pre: 26.0 +/- 5.5 degrees C post:
31.0 +/- 4.1 degrees C, p = 0.052), or faster rewarming rate (pre: 2.6 +/- 0.5
degrees C min-1 post: 3.1 +/- 0.4 degrees C min-1,p = 0.052). In the feet, the
responses varied: 1/5 had higher wave amplitudes and 1/5 had higher passive
recovery temperatures, whereas 3/5 had lower mean toe temperatures during cold
exposure. CONCLUSIONS: The results of the cold stress test suggest after a 35-day
Himalayan expedition, alpinists experienced a slight cold adaptation of the
hands, but not the feet.
PMID- 29797056
TI - Diffusion kurtosis imaging in the characterisation of rectal cancer: utilizing
the most repeatable region-of-interest strategy for diffusion parameters on a 3T
scanner.
AB - OBJECTIVES: Our goal was to investigate the correlation between histopathology
and diffusion parameters by utilising the most repeatable region-of-interest
(ROI) strategy for diffusion parameters in rectal cancer on a 3T scanner.
METHODS: 113 patients underwent DKI-MR and 66 of these patients received surgery
without neoadjuvant chemoradiotherapy. Two readers independently measured the
parameters using three slice protocols including single slice, three slices and
whole-tumour slice (WTS), combined with one of two ROIs, including outline and
round ROI. ANOVA, Kruskal-Wallis, a paired sample t-test, interclass correlation
coefficient (ICC), Bland-Altman, Student's t-tests, receiver operating
characteristic curves and z statistic were used for statistical analysis.
RESULTS: There were no significant differences among the three slice protocols in
ADC values (p = 0.822, 0.987), K values (p = 0.842, 0.859) and D values (p =
0.917, 0.988) using round and outline ROI, respectively. The ADC and D values
derived from outline ROIs were higher than those from round ROIs (all p < 0.001
for ADC, all p < 0.001 for D), while K values derived from outline ROIs were
lower than those from round ROIs (p < 0.001, p = 0.001, p < 0.001) using three
slice protocols, respectively. The WTS-outline ROI resulted in the best intra-
and inter-observer ICC. Utilising the WTS-outline ROI method, the AUC for
assessment of well-differentiated tumours was 0.871 by K and 0.809 by ADC; and
the AUC for T2 was 0.768 by K. CONCLUSIONS: The most repeatable strategy was the
WTS-outline ROI method. In addition to DWI, DKI also have diagnostic value for
rectal cancer histopathological characteristics utilising the WTS-outline ROI on
a 3T scanner. KEY POINTS: * DKI using a 3T scanner is feasible for assessing
rectal cancer. * ROI and slice protocol show considerable influence on DKI
parameters. * DKI parameters exhibit excellent repeatability using whole-tumour
slice-outline ROI on 3T scanner. * DKI has considerable diagnostic value for the
estimation of rectal cancer characteristics.
PMID- 29797052
TI - Mammalian urine concentration: a review of renal medullary architecture and
membrane transporters.
AB - Mammalian kidneys play an essential role in balancing internal water and salt
concentrations. When water needs to be conserved, the renal medulla produces
concentrated urine. Central to this process of urine concentration is an osmotic
gradient that increases from the corticomedullary boundary to the inner medullary
tip. How this gradient is generated and maintained has been the subject of study
since the 1940s. While it is generally accepted that the outer medulla
contributes to the gradient by means of an active process involving
countercurrent multiplication, the source of the gradient in the inner medulla is
unclear. The last two decades have witnessed advances in our understanding of the
urine-concentrating mechanism. Details of medullary architecture and permeability
properties of the tubules and vessels suggest that the functional and anatomic
relationships of these structures may contribute to the osmotic gradient
necessary to concentrate urine. Additionally, we are learning more about the
membrane transporters involved and their regulatory mechanisms. The role of
medullary architecture and membrane transporters in the mammalian urine
concentrating mechanism are the focus of this review.
PMID- 29797059
TI - Clinical characteristics and cardiovascular outcomes in patients with atrial
fibrillation receiving rhythm-control therapy: the Fushimi AF Registry.
AB - Management of atrial fibrillation (AF) with current rhythm-control therapy has an
uncertain impact on outcomes. Among 3731 patients in the Fushimi AF Registry, a
community-based prospective survey of AF patients in Fushimi-ku, Kyoto, we
investigated the characteristics and outcomes in 478 patients receiving rhythm
control therapy (anti-arrhythmic drug and/or catheter ablation) alone, with 1279
patients receiving rate-control therapy (beta-blockers, calcium channel blockers,
and digoxin) alone serving as a reference. The Rhythm-control group, 26% of which
had prior catheter ablation, was younger (70.5 +/- 10.8 vs. 74.3 +/- 10.4 years,
P < 0.001) with lower CHA2DS2-VASc score (2.71 +/- 1.63 vs. 3.64 +/- 1.62, P <
0.001) and received oral anticoagulants less frequently than the Rate-control
group. During the median follow-up of 1107 days, the incidence of the composite
of cardiac death and heart failure (HF) hospitalization was lower with rhythm
control (hazard ratio (HR) 0.24, 95% confidence interval (CI) 0.14-0.36; P <
0.001), whereas that of ischemic stroke/systemic embolism was not significantly
different (HR 0.64, 95% CI 0.35-1.10; P = 0.12), when compared to rate control.
Propensity score-matching analysis as well as multivariate analysis further
supported the relation of Rhythm-control group to the lower incidence of the
composite of cardiac death and HF hospitalization. Rhythm-control therapy by anti
arrhythmic drug and/or catheter ablation in the contemporary clinical practice
was associated with the lower incidence of the composite of cardiac death and HF
hospitalization, as compared with rate-control therapy in a Japanese AF cohort.
However, given the fundamental differences in baseline clinical characteristics
between the rhythm- and Rate-control groups, the results cannot be generalizable.
PMID- 29797058
TI - Prognostic value of age adjusted segment involvement score as measured by
coronary computed tomography: a potential marker of vascular age.
AB - Extent of coronary atherosclerotic disease (CAD) burden on coronary computed
tomography angiography (CCTA) as measured by segment involvement score (SIS) has
a prognostic value. We sought to investigate the incremental prognostic value of
'age adjusted SIS' (aSIS), which may be a marker of premature atherosclerosis and
vascular age. Consecutive patients were prospectively enrolled into the CONFIRM
(Coronary CT Angiography EvaluatioN For Clinical Outcomes: An InteRnational
Multicentre) multinational observational study. Patients were followed for the
outcome of all-cause death. aSIS was calculated on CCTA for each patient, and its
incremental prognostic value was evaluated. A total of 22,211 patients [mean age
58.5 +/- 12.7 years, 55.8% male) with a median follow-up of 27.3 months (IQR
17.8, 35.4)] were identified. After adjustment for clinical factors and presence
of obstructive CAD, higher aSIS was associated with increased death on
multivariable analysis, with hazard ratio (HR) 2.40 (1.83-3.16, p < 0.001), C
statistic 0.723 (0.700-0.756), net reclassification improvement (NRI) 0.36 (0.26
0.47, p < 0.001), and relative integrated discrimination improvement (IDI) 0.33
(p = 0.009). aSIS had HR 3.48 (2.33-5.18, p < 0.001) for mortality in those
without obstructive CAD, compared to HR 1.79 (1.25-2.58, p = 0.02) in those with
obstructive CAD. In conclusion, aSIS has an incremental prognostic value to
traditional risk factors and obstructive CAD, and may enhance CCTA risk
stratification.
PMID- 29797061
TI - Split cord malformation type 1 with two hemicord lesions.
AB - Split cord malformations are rare entities which may present in an occult manner
or in association with other lesions or congenital anomalies. Rarely, these cases
may have associated hemicord lesions. We report an unusual case, the first of its
kind, a type 1 split cord malformation with two pathologically different lesions
(lipoma and dermoid) on one hemicord.
PMID- 29797060
TI - Correlates and predictors of paediatric leg pain: a case-control study.
AB - Paediatric leg pains, long described as 'growing pains', frequently present to
clinicians, are prevalent in early childhood, disrupt sleep, and distress
affected children and parents. There are many cited associations, but no defined
leg pain sub-types, nor revealed predictive factors. We explored the implicated
factors (viz. foot arches, foot strength, joint mobility, vitamin D, iron) in
children with leg pain versus a control group. Leg pain sub-groups-growing pains
(GP), restless legs (RLS), both (mixed)-are defined for the first time. A case
controlled study design, in a primary care setting, Mumbai, India. A total of 77
children with leg pains (n = 64) and controls (n = 13), aged 3-12 years,
identified by paediatricians, completed data collection. Blood assays for iron
and vitamin D, pain, Beighton score, foot arch, foot strength and
anthropometrical data were collected. All outcome measures were validated, with
standardised protocols. Leg pain (all groups) was predicted by increased joint
mobility and increased ankle dorsiflexion strength (beta = 0.56, P < 0.05). GP
sub-group was predicted by increased ankle dorsiflexion strength (beta = - 0.06,
P < 0.05). Mixed (GP/RLS) and RLS sub-groups were predicted by increased ankle
dorsiflexion strength (beta = 0.66, P < 0.05) and pain questionnaire (beta =
0.11, P < 0.05). Hypovitaminosis D was detected in 87% of the sample, and anaemia
in 13%. Increased strength of ankle dorsiflexors and joint flexibility were each
found predictive for leg pain. Increased body weight, waist girth, and BMI were
all associated with leg pain.
PMID- 29797064
TI - DBS in pediatric patients: institutional experience.
AB - INTRODUCTION: DBS is initially used for treatment of essential tremor and
Parkinson's disease in adults. In 1996, a child with severe life-threatening
dystonia was offered DBS to the internal globus pallidus (GPi) with lasting
efficacy at 20 years. Since that time, increasing number of children benefited
from DBS. PATIENTS AND METHODS: We retrospectively evaluated our database of
patients who underwent DBS from 2011 to 2017. All patients <= 17 years of age at
the time of implantation of DBS were included in this series. Subjective Benefit
Rating Scale (SBRS), Hoehn Yahr Scale (HYS), Fahn Marsden Rating Scale (FMRS),
Clinical Global Impressions Scales (CGI), and Yale Global Tic Severity Scale
(YGT) were used to evaluate clinical outcome. RESULTS: Between May 2014 and
October 2017, 11 children underwent DBS procedure in our institution. Six of them
were female and five of them were male. Mean age at surgery was 11.8 +/- 4.06
years (range 5-17 years). In our series, four patients had primary dystonia (PDY)
(36.3%), three patients had secondary dystonia (SDY) (27.2%), two patients had JP
(18.1%), and two patients had Tourette Syndrome (TS) (18.1%). Two JP patients
underwent bilateral STN DBS while the other nine patients underwent bilateral GPi
DBS. SBRS scores were 1.75 +/- 0.5 for patients with PDY, 3 +/- 0 for patients
with JP, 2.5 +/- 0.7 for patients with TS, and 2 +/- 1 for patients with SDY.
Mean FMRS reduction rate was 40.5 for patients with dystonia. Significant
improvement was also defined in patients with TS and JP after DBS. None of the
patients experienced any intracerebral hemorrhage or other serious adverse
neurological effect related to the DBS. Wound complications occurred in two
patients. CONCLUSION: There are many literatures that support DBS as a treatment
option for pediatric patients with medically refractory neurological disorders.
DBS has replaced ablative procedures as a treatment of choice not only for adult
patients, but also for pediatric patients. Wound-related complications still
remain the most common problem in pediatric patients. Development of smaller and
more flexible hardware will improve quality of children's life and minimize wound
related complications in the future.
PMID- 29797063
TI - Mechanical relationship of filum terminale externum and filum terminale internum:
is it possible to detether the spinal cord extradurally?
AB - INTRODUCTION: Intradural transection of the filum terminale (FTI) is often used
to treat tethered cord syndrome. Recently, some have proposed that the extradural
part of the filum terminale (FTE) can be sectioned with equal results but with
fewer complications. Therefore, the present cadaveric study aimed to evaluate the
anatomical foundation of such procedures. METHODS: A posterior lumbosacral
approach was performed on five fresh-frozen cadaveric specimens to expose both
the FTI and FTE. Tension was then applied to the FTE and observations and
measurements made of any movement of the FTI. Other morphological measurements
(e.g., length, diameter) of the FTI and FTE were also made. RESULTS: Although
very minimal movement of the FTI was seen in the majority of specimens following
tension on the FTE, no specimen was found to have more cranial movement of the
conus medullaris or cauda equina. The mean length and diameter of the FTI was
52.2 and 0.38 mm, respectively. The mean length and diameter of the FTE was 77
and 0.60 mm, respectively. The force necessary to move the FTI with tension
applied to the FTE had a mean of 0.03 N. The average distance that the FTI moved
with distal FTE tension was 1.33 mm. All specimens had a thecal sac that
terminated at the S2 vertebral level. And no specimen had a low-lying conus
medullaris, cutaneous stigmata of occult spinal dysraphism, or grossly visible
adipose tissue in either the FTI or FTE. CONCLUSIONS: Based on our studies,
tension placed on the FTE has very little effect on the FTI and no obvious effect
on the conus medullaris or cauda equina. Therefore, isolated transection of the
FTE for a patient with tethered cord syndrome is unlikely to have significant
effect. To our knowledge, this is the first study to quantitate the distal forces
needed on the FTE to move the FTI.
PMID- 29797066
TI - Intracerebral juvenile xanthogranuloma: an infrequent involvement of
hystiocitosis in children.
PMID- 29797065
TI - Pediatric intramedullary spinal cord tumor outcomes using the WeeFIM scale.
AB - PURPOSE: The Functional Independence Measure for children (WeeFIM) is a user
friendly functional outcomes tool that has been validated across other
traditional functional scales. Historically, the significant neurologic and
functional deficits of intramedullary spinal cord tumors (IMSCTs) have been
monitored by coarse or overbearing functional outcomes tools that make it
difficult to measure the slow improvement/decline seen in these patients. This
study is the first to report WeeFIM outcomes on a series of IMSCTs with emphasis
on an aggressive surgical strategy. METHODS: A retrospective review from 2005 to
2014 was performed for nine patients who underwent resective surgery for
intramedullary spinal cord tumors with intraoperative ultrasound and
neurophysiologic monitoring. WeeFIM scales were assessed at admission and
discharge to evaluate changes in severity of disability and need for assistance.
RESULTS: At the time of this submission, 7/9 patients are alive. The mean WeeFIM
improvement was 27 points (8-50 points) with a mean WeeFIM efficiency of 2.0
points/day. CONCLUSIONS: The WeeFIM scale is an appropriate and useful scale for
measuring postoperative improvements in patients with IMSCTs undergoing
aggressive resective surgery. Attention to intraoperative spinal cord monitoring
and prevention of spinal column instability may prevent morbidity related to
surgery, and functional outcomes do not appear to be compromised by an aggressive
surgical approach.
PMID- 29797062
TI - When and why is surgical revascularization indicated for the treatment of
moyamoya syndrome in patients with RASopathies? A systematic review of the
literature and a single institute experience.
AB - BACKGROUND: Moyamoya disease (MMD) is a cerebrovascular disorder characterized by
the progressive occlusion of the supraclinoid internal carotid artery (ICA),
resulting in the formation of an abnormal cerebral vascular network. When MMD
occurs in association with an underlying medical condition, including some
distinctive genetic disorders, it is named moyamoya syndrome (MMS). The
discrimination between MMD and MMS has been validated by recent genetic
researches and international reviews. Similarly to patients suffering from MMD,
patients with MMS generally become symptomatic because of ischemic complications,
which lead to hemiparesis, transient ischemic events, seizures, and sensory
symptoms. RASopathies are a group of neurodevelopmental disorders that can be
associated with MMS. RESULTS: We retrospectively reviewed 18 RASopathy patients
with MMS treated at our institution from 2000 to 2015 (16 neurofibromatosis type
1, 1 Costello syndrome, and 1 Schimmelpenning syndrome). Here, we report clinical
data, performed surgical procedures, and clinic-radiological outcome of these
patients. Most of them received both indirect revascularization and medical
therapy. CONCLUSIONS: At the moment, there are no univocal recommendations on
which of these two treatment strategies is the treatment of choice in patients
with RASopathies and MMS. We suggest that patients with a good overall prognosis
(primarily depending on the distinctive underlying genetic disorder) and initial
cerebrovascular disease could benefit from a prophylactic surgical
revascularization, in order to prevent the cognitive impairment due to the
progression of the vasculopathy.
PMID- 29797067
TI - Kv7 channels are upregulated during striatal neuron development and promote
maturation of human iPSC-derived neurons.
AB - Kv7 channels determine the resting membrane potential of neurons and regulate
their excitability. Even though dysfunction of Kv7 channels has been linked to
several debilitating childhood neuronal disorders, the ontogeny of the
constituent genes, which encode Kv7 channels (KNCQ), and expression of their
subunits have been largely unexplored. Here, we show that developmentally
regulated expression of specific KCNQ mRNA and Kv7 channel subunits in mouse and
human striatum is crucial to the functional maturation of mouse striatal neurons
and human-induced pluripotent stem cell-derived neurons. This demonstrates their
pivotal role in normal development and maturation, the knowledge of which can now
be harnessed to synchronise and accelerate neuronal differentiation of stem cell
derived neurons, enhancing their utility for disease modelling and drug
discovery.
PMID- 29797068
TI - Minimal Risk of Biliary Tract Complications, Including Hepatic Abscess, After
Transarterial Embolization for Hepatocellular Carcinoma Using Concentrated
Antibiotics Mixed with Particles.
AB - PURPOSE: To assess the incidence of biliary complications, cholecystitis, and
abscess formation in HCC patients following transarterial embolization (TAE)
using particles mixed with concentrated antibiotics. MATERIALS AND METHODS:
Retrospective review of HCC patients treated with embolization over a 10-year
period revealed 499 procedures in 257 patients. TAE was performed with particles
mixed with concentrated antibiotics in addition to IV antibiotics. All follow-up
imaging after treatment was retrospectively reviewed for the development of
bilomas, biliary strictures, acute cholecystitis, and hepatic abscess. Clinical
notes and laboratory tests were also reviewed. RESULTS: Mean follow-up duration
was 18.2 months. In total, there was one biliary complication consisting of
biloma formation. This patient had subsegmental hepatic infarction identified on
imaging 8 days post-embolization in the setting of subsegmental portal vein
thrombus, with subsequent biloma development. There were no cases of new biliary
strictures in the embolized portion of the liver at any point after treatment.
One patient developed acute gangrenous cholecystitis 10 days post-procedure. No
patients developed a hepatic abscess, although 10 patients had bilioenteric
anastomoses or incompetent sphincters of Oddi. CONCLUSIONS: Biliary complications
and cholecystitis occurred extremely rarely after TAE, at a markedly lower rate
than historical data on TACE. Despite significant risk factors for abscess
formation in 10 patients, TAE with particles mixed with concentrated antibiotics
resulted in zero abscesses, in contrast to a very high rate after TACE in the
literature.
PMID- 29797070
TI - Sedation with Propofol for Bronchoscopy in Cystic Fibrosis Lung Transplant
Recipients.
AB - INTRODUCTION: Flexible fiberoptic bronchoscopy (FFB) plays an important role in
the surveillance of cystic fibrosis (CF) patients after lung transplantation
(LTx). With rapid onset and clearance, propofol provides a safe and efficient
method for sedation during FFB, yet sedation requirements for CF patients are not
well described. OBJECTIVES: Due to pharmacokinetic differences for other classes
of drugs in CF patients, this study was performed to examine propofol
requirements for sedation during bronchoscopy in lung transplant recipients with
CF. METHODS: A single-center retrospective cohort study was performed to examine
propofol sedation requirements during outpatient surveillance. FFB procedures
with transbronchial biopsy (TBB) in post-LTx recipients between 2009 and 2014
were conducted. RESULTS: A total of 40 FFB procedures with TBB were performed 20
CF (11 females), 20 non-CF (11 females). Mean (+/- SD) age was 25.6 +/- 9.2
(range 13-42) years and 22.2 +/- 10.8 (range 11-39) years for the CF and non-CF
groups, respectively. Propofol requirements were significantly higher in the CF
patients compared to the non-CF patients. Mean (+/- SD) propofol dose for CF
patients was 334 +/- 86 versus 214 +/- 88 mg for non-CF patients (p < 0.001).
Mean (+/- SD) propofol dose per weight (mg/kg) was 6.5 +/- 2.1 for CF patients
versus 3.8 +/- 1.6 for non-CF patients (p < 0.001). CONCLUSIONS: Compared to a
non-CF cohort, CF lung transplant recipients required higher dosages of propofol
for sedation during FFB with TBB.
PMID- 29797072
TI - [Telematics services and telemedicine under ophthalmological legal aspects].
AB - Telemedical solutions are increasing with respect to diversity, frequency and
scope. It should be part of medical practice to remind again and again that
telemedicine does not represent a special medical field or even a subspecialty.
The concept of telemedicine is more used as a superior term for different medical
care concepts, provided across distance and time barriers. Telematic solutions
implemented as a new field of service in ophthalmological practice or in
hospitals must fulfill the following obligatory requirements in conformity with
the law: patients must be informed about the range of telemedical solutions,
operators must ensure medical specialist qualifications and all care
responsibilities combined with the telemedical services must be guaranteed. The
legal assessment standard is always the direct comparison between telemedical
measures and the individual patient result of medical treatment in a face to face
situation in an ophthalmologists practice as the gold standard. The court makes a
targeted examination on whether the individual damage to health of a patient
under telemedical care would also have normally occurred under medical treatment
within the framework of regular care by a medical specialist in a practice or
clinic. If the court has a well-founded doubt, the operator must be able to
justify either constraints in individual cases or a better prognosis and success
rate (reversal of burden of proof). Especially due to the latter aspect it is
important for the operator that the standards for telemedical services of the
specific medical fields are predefined by the specialist societies or that
corresponding results from healthcare research projects are available.
PMID- 29797069
TI - Increased Airway Wall Thickness is Associated with Adverse Longitudinal First
Second Forced Expiratory Volume Trajectories of Former World Trade Center
workers.
AB - RATIONALE: Occupational exposures at the WTC site after September 11, 2001 have
been associated with several presumably inflammatory lower airway diseases. In
this study, we describe the trajectories of expiratory air flow decline, identify
subgroups with adverse progression, and investigate the association of a
quantitative computed tomography (QCT) imaging measurement of airway wall
thickness, and other risk factors for adverse progression. METHODS: We examined
the trajectories of expiratory air flow decline in a group of 799 former WTC
workers and volunteers with QCT-measured (with two independent systems) wall area
percent (WAP) and at least 3 periodic spirometries. We calculated individual
regression lines for first-second forced expiratory volume (FEV1), identified
subjects with rapidly declining and increasing ("gainers"), and compared them to
subjects with normal and "stable" FEV1 decline. We used multivariate logistic
regression to model decliner vs. stable trajectories. RESULTS: The mean
longitudinal FEV1slopes for the entire study population, and its stable,
decliner, and gainer subgroups were, respectively, - 35.8, - 8, - 157.6, and +
173.62 ml/year. WAP was associated with "decliner" status (ORadj 1.08, 95% CI
1.02, 1.14, per 5% increment) compared to stable. Age, weight gain, baseline FEV1
percent predicted, bronchodilator response, and pre-WTC occupational exposures
were also significantly associated with accelerated FEV1 decline. Analyses of
gainers vs. stable subgroup showed WAP as a significant predictor in unadjusted
but not consistently in adjusted analyses. CONCLUSIONS: The apparent normal age
related rate of FEV1 decline results from averaging widely divergent
trajectories. WAP is significantly associated with accelerated air flow decline
in WTC workers.
PMID- 29797073
TI - [A young patient with full visual acuity, small visual field defects, and normal
fluorescence angiogram].
AB - A 28-year-old female patient came to our clinic complaining of small central
visual field defects in both eyes, without any impairments of visual acuity. She
reported recent flu-like symptoms and work-related stress associated with high
caffeine intake. Dark reddish cloverleaf-shaped lesions were noted in the macular
region with red-free fundoscopy, and alterations of the external retinal layers
were observed in spectral domain optical coherence tomography (SD-OCT). The
visual field test (Octopus, program 32) revealed small central scotoma on both
eyes. The fluorescein angiograms were normal. A suspected diagnosis of acute
macular neuroretinopathy (AMNR) was made and the patient was scheduled for follow
up 4 weeks later. The follow-up examination showed persisting central scotoma as
well as persistence of the lesions in the external retinal layers in OCT. The
best-corrected visual acuity was still 20/20. In OCT angiography (OCT-A), a
reduced correlation signal and therefore perfusion was detected in the outer
retinal capillary plexus within the area of the fundoscopic macular lesions.
Multimodal imaging, including SD-OCT and A-OCT, plays a pivotal role in the
diagnosis of acute macular neuroretinopathy. Even though no serious impairments
of visual acuity are reported, patients should be informed about the possibility
of permanent central scotoma.
PMID- 29797071
TI - Phenotypes of COPD in an Austrian population : National data from the POPE study.
AB - BACKGROUND: Chronic obstructive pulmonary disease (COPD) represents a major
global health problem; however, there are no data regarding clinical phenotypes
of these patients in Austria. METHODS: This was an analysis from the Austrian
cohort of the cross-sectional Phenotypes of COPD in Central and Eastern Europe
(POPE) study, which was offered to patients with stable COPD in a real-life
setting. Patients were recruited at 5 different outpatient facilities in 3
different provinces in Austria. All consecutive patients aged >=40 years with a
diagnosis of COPD confirmed by a post-bronchodilator forced expired volume in 1
s/forced vital capacity (FEV1/FVC) ratio <0.7 during a stable state (>=4 weeks
without exacerbation or worsening of any relevant comorbidities) were considered
eligible. The primary aim of this study was to assess the prevalence of
phenotypes according to predefined criteria. Secondary aims included analyses of
differences in patient characteristics, symptom load, comorbidities, and
pharmacological treatment. RESULTS: Among 283 patients fulfilling the inclusion
criteria, 49.5% were considered non-exacerbators, 21.6% were classified as
exacerbators with chronic bronchitis, 21.2% exacerbators without chronic
bronchitis, and 7.8% were patients with an asthma-COPD overlap. Exacerbators had
significantly higher prevalence of symptoms, lower lung function and exercise
capacity, and a higher prevalence of comorbidities, such as heart failure and
depression, compared with the other patient phenotypes. A large majority of
patients with stable COPD in this cohort received inhaled triple therapy,
irrespective of exacerbation history. CONCLUSIONS: There were significant
differences in COPD outcome measures between predefined phenotypes of COPD in
this study. The majority of patients with stable COPD in this Austrian population
were not treated according to current COPD guidelines. While non-exacerbators
appear to have been overtreated, patients with an asthma-COPD overlap appear to
have been undertreated.
PMID- 29797074
TI - Complicated primary cesarean delivery increases the risk for uterine rupture at
subsequent trial of labor after cesarean.
AB - PURPOSE: To evaluate whether cesarean delivery (CD) indication, labor status, and
other primary CD characteristics affect the risk for uterine rupture in
subsequent deliveries. METHODS: A case-control study of women attempting trial of
labor after cesarean (TOLAC) in a single, tertiary, university-affiliated medical
center (2007-2016). Deliveries complicated by uterine rupture were matched to
successful vaginal birth after cesarean (VBAC) deliveries in a 1:3 ratio.
Indication, labor status and post-partum complications (postpartum hemorrhage and
postpartum infection) at primary CD were compared between study and control
group. RESULTS: During study period, there were 75,682 deliveries, of them, 3937
(5.2%) were TOLAC. Study group included 53 cases of uterine rupture at TOLAC and
159 women with successful VBAC. Women in study group had significantly lower
rates of previous VBAC (15.1 vs. 28.9%, p = 0.047). Rate of postpartum
complications at primary CD was significantly higher in women with TOLAC
complicated by uterine rupture (7.5 vs. 1.9%, respectively, p = 0.042). Utilizing
the multivariate logistic regression analysis, postpartum complications remained
an independent risk factor for uterine rupture in the following TOLAC (aOR 4.07,
95% CI 1.14-14.58, p = 0.031). CONCLUSION: Postpartum hemorrhage and infection,
in primary CD, seem to be associated with increased risk for uterine rupture
during subsequent TOLAC.
PMID- 29797075
TI - Prospective cohort study of pregnancy complications and birth outcomes in women
with asthma.
AB - BACKGROUND: Asthma is the most common potentially serious medical complication in
pregnancy. The purpose of this study was to determine the association between
maternal asthma and a spectrum of adverse neonatal and maternal outcomes.
METHODS: Events during pregnancy and birth outcome were evaluated in 34 asthmatic
as well as 1569 non-asthmatic pregnant women who were enrolled in a prospective
cohort study undertaken at the antenatal clinics of Mobini Hospital in Iran. The
women were interviewed and classified according to clinical severity and asthma
control as per GINA guidelines. Information on asthma symptoms was collected by a
questionnaire as well as by spirometry and physical examination. All subjects
were followed until delivery, and postpartum charts were reviewed to assess
neonatal and maternal outcomes. Eosinophil cells counts were obtained and total
IgE was measured by ELISA. Results were assessed by multivariate logistic
regression adjusting for maternal age and parity, and for birth outcomes, for
gestational diabetes, and hypertension/pre-eclampsia. RESULTS: The well-known
relationship between family history of asthma and asthma in pregnancy was again
supported (p < 0.001). Women with asthma had more bleeding events 3 weeks or more
before delivery (OR 3.30, 95% CI 1.41-7.26), more often placenta problems (OR
6.86, 95% CI 1.42-33.02), and gestational diabetes mellitus (OR 3.82, 95% CI 1.06
13.75). No significant differences between asthmatic and non-asthmatic mothers
regarding duration of gestation, birthweight, low Apgar scores, or neonatal
respiratory difficulties were found. Total IgE antibody levels and eosinophil
counts did not differ by asthma control and severity. CONCLUSIONS: Asthma in
pregnancy poses some risk for pregnancy complications and adverse perinatal
outcomes. Managing asthma effectively throughout pregnancy could benefit women
and their babies and help to reduce the health burden associated with asthma
during pregnancy.
PMID- 29797077
TI - Predator and parasitoid insects along elevational gradients: role of temperature
and habitat diversity.
AB - Elevational gradients are characterized by strong abiotic variation within small
geographical distances and provide a powerful tool to evaluate community response
to variation in climatic and other environmental factors. We explored how
temperature and habitat diversity shape the diversity of holometabolous predator
and parasitoid insects along temperate elevational gradients in the European
Alps. We surveyed insect communities along 12 elevational transects that were
selected to separate effects of temperature from those of habitat diversity.
Pitfall traps and pan traps were placed every 100 m of elevation increment along
the transects ranging from 120 to 2200 m a.s.l. Sampling took place once a month
from June to September 2015. Four groups characterized by having at least one
life stage behaving as predator or parasitoid were examined: tachinids (Diptera),
hoverflies (Diptera), sphecids (Hymenoptera) and ground beetles (Coleoptera).
Species richness and evenness changed with elevation, but the shape and direction
of the elevation-diversity patterns varied between groups. The effect of
temperature on species richness was positive for all groups except for
hoverflies. Habitat diversity did not affect species richness, while it modulated
the evenness of most groups. Often, elevational patterns of species richness and
evenness were contrasting. Our study indicates that natural enemies characterized
by diverse ecological requirements can be differentially affected by temperature
and habitat diversity across the same elevational gradients. As climate warming
is predicted to increase mean annual temperatures and exacerbate weather
variability, it is also expected to strongly influence natural enemies and their
ability to regulate herbivore populations.
PMID- 29797076
TI - Clinical and Psychosocial Factors Influencing Retinal Screening Uptake Among
Young Adults with Type 2 Diabetes.
AB - PURPOSE OF REVIEW: Young adults with type 2 diabetes (T2D, 18-39 years)
experience early-onset and rapid progression of diabetic retinopathy (DR), the
leading cause of vision loss for working age adults. Despite this, uptake of
retinal screening, the crucial first step in preventing vision loss from DR, is
low. The aim of this review is to summarize the clinical and psychosocial factors
affecting uptake of retinal screening. RECENT FINDINGS: Barriers include lack of
diabetes-related symptoms, low personal DR risk perception, high rates of
depression and diabetes-related distress, fatalism about inevitability of
complications, time and financial constraints, disengagement with existing
diabetes self-management services, and perceived stigma due to having a condition
associated with older adults. Young adults with T2D are an under-researched
population who face an accumulation of barriers to retinal screening. Tailored
interventions that address the needs, characteristics, and priorities of young
adults with T2D are warranted.
PMID- 29797078
TI - Spatiotemporal analysis of the effect of climate change on vegetation health in
the Drakensberg Mountain Region of South Africa.
AB - The impact of climate change on mountain ecosystems has been in the spotlight for
the past three decades. Climate change is generally considered to be a threat to
ecosystem health in mountain regions. Vegetation indices can be used to detect
shifts in ecosystem phenology and climate change in mountain regions while
satellite imagery can play an important role in this process. However, what has
remained problematic is determining the extent to which ecosystem phenology is
affected by climate change under increasingly warming conditions. In this paper,
we use climate and vegetation indices that were derived from satellite data to
investigate the link between ecosystem phenology and climate change in the
Namahadi Catchment Area of the Drakensberg Mountain Region of South Africa. The
time series for climate indices as well as those for gridded precipitation and
temperature data were analyzed in order to determine climate shifts, and
concomitant changes in vegetation health were assessed in the resultant epochs
using vegetation indices. The results indicate that vegetation indices should
only be used to assess trends in climate change under relatively pristine
conditions, where human influence is limited. This knowledge is important for
designing climate change monitoring strategies that are based on ecosystem
phenology and vegetation health.
PMID- 29797079
TI - Nasal vestibulitis: an under-recognized and under-treated side effect of cancer
treatment?
AB - PURPOSE: To evaluate the frequency of nasal symptoms termed nasal vestibulitis,
including nasal dryness, crusting, bleeding, and pain, among patients receiving
systemic, antineoplastic therapy. METHODS: Patients undergoing systemic
antineoplastic therapy were interviewed regarding the presence of nasal symptoms.
In an explorative approach, Fisher's exact tests were used to identify groups in
which frequencies of nasal symptoms were higher than the comparator arm. To
account for potential confounding factors, including demographic variables and
concurrent therapies, logistic regression analyses were performed, and estimated
proportions with their standard errors (SEs) and odds ratios (ORs) were reported.
RESULTS: Forty-one percent of the 100 surveyed patients had nasal symptoms,
including dryness, pain, bleeding, and scabbing. Higher frequencies were reported
among those who had received taxanes (71%) and VEGF-related therapies (78%). For
the patients who had received taxanes, after controlling for other factors, the
odds of experiencing nasal symptoms were 4.86 times higher than those for
patients who did not receive taxanes (90% CI 2.01, 11.76). For patients who
received VEGF-related therapies, after controlling for other factors and exposure
to taxanes, the odds of experiencing nasal symptoms were 7.38 (90% CI 1.68,
32.51) times higher than those for patients who did not. Sixty-one percent of
patients with symptoms said they reported them to their provider, but only 41% of
chart notes contained documentation of such; 49% of patients reported treating
their symptoms. CONCLUSIONS: Nasal vestibulitis is common among patients
receiving taxane- and VEGF-related therapies; these symptoms are infrequently
recorded or treated by healthcare providers.
PMID- 29797080
TI - Impact of ferric carboxymaltose on the evolution of hemoglobin and ECOG
performance status in iron-deficient patients with solid tumors: a 3-month follow
up retrospective study.
AB - BACKGROUND: Anemia is often associated with a lower quality of life and less
tolerance to treatments in cancer patients. OBJECTIVE: The aims of this
retrospective study were to assess the biological (hemoglobin, Hb) and clinical
(ECOG index) impact of ferric carboxymaltose (FCM) and to identify predictive
factors of response in cancer patients with iron deficiency. METHODS: We included
133 patients with solid tumors who received at least one dose of FCM in 2015.
RESULTS: At baseline, most patients had metastatic cancer (70%), were undergoing
chemotherapy (82%), suffered from anemia (90%), and 72% had an ECOG 0-1 index.
Mean Hb level was statistically higher at M1 (108.3 g/L +/- 13.9), M2 (110.3 g/L
+/- 16.1), and M3 (111.7 g/L +/- 12.6) than M0 (99.2 g/L +/- 13.9). Mean ECOG
score increased significantly at M1 (1.31 +/- 0.80) and M2 (1.31 +/- 0.87)
compared to M0 (1.13 +/- 0.80). Variations of ECOG index between M0 and M1 were
independent of levels of Hb and ferritin at inclusion and pretreatment use of
transfusion and ESAs. Increase of Hb level was higher in patients with Hb < 100
g/L, ferritinemia < 800 ng/ml, or transfused before inclusion. In multivariate
analysis, an ECOG index of 0 was the only predictive factor of an increase of
ECOG index and Hb level < 100 g/L and ferritinemia < 800 ng/ml were predictive of
an increase in Hb. CONCLUSION: Even though there was no improvement in ECOG
index, this study did identify an increase of Hb for patients receiving FCM,
indicating its potential benefit in iron-deficient cancer patients.
PMID- 29797081
TI - Can we predict the success of a parasite to colonise an invasive host?
AB - To understand whether a parasite can exploit a novel invasive host species, we
measured reproductive performance (number of eggs per female per day, egg size,
development rate and size of new imagoes) of fleas from the Negev desert in
Israel (two host generalists, Synosternus cleopatrae and Xenopsylla ramesis, and
a host specialist, Parapulex chephrenis) when they exploited either a local murid
host (Gerbillus andersoni, Meriones crassus and Acomys cahirinus) or two alien
hosts (North American heteromyids, Chaetodipus penicillatus and Dipodomys
merriami). We asked whether (1) reproductive performance of a flea differs
between an alien and a characteristic hosts and (2) this difference is greater in
a host specialist than in host generalists. The three fleas performed poorly on
alien hosts as compared to local hosts, but the pattern of performance differed
both among fleas and within fleas between alien hosts. The response to alien
hosts did not depend on the degree of host specificity of a flea. We conclude
that successful parasite colonisation of an invasive host is determined by some
physiological, immunological and/or behavioural compatibility between a host and
a parasite. This compatibility is unique for each host-parasite association, so
that the success of a parasite to colonise an invasive host is unpredictable.
PMID- 29797082
TI - The course of experimental giardiasis in Mongolian gerbil.
AB - Fifteen Mongolian gerbils were inoculated with 10 * 106 viable trophozoites of
Giardia intestinalis. Their faeces were examined daily by flotation method and
the number of shed cysts was counted. Two animals (male and female) were
euthanised at 4- to 5-day intervals (9, 14, 18 days post-infection (DPI)). The
remaining nine gerbils were sacrificed and dissected at the end of the experiment
(23 DPI). Their small intestinal tissues were processed for examination using
histological sectioning and scanning electron microscopy and their complete blood
count (CBC) was examined. The highest number of trophozoites at the total was
observed in the duodenum in gerbils sacrificed on 14 DPI. Number of shed cysts
was positively correlated with number of trophozoites rinsed from the intestine.
Infected gerbils had lower body weight gain in comparison with control group and
in three male gerbils; diarrhoea occurred during infection. Cyst shedding was
negatively correlated with values of mean corpuscular haemoglobin concentration.
Females showed another pattern in cyst shedding than males. This information
needs to be taken into account while planning the experiments.
PMID- 29797083
TI - The cellulose synthase BcsA plays a role in interactions of Salmonella
typhimurium with Acanthamoeba castellanii genotype T4.
AB - Pathogenic bacteria share their natural habitat with many other organisms such as
animals, plants, insects, parasites and amoeba. Interactions between these
organisms influence not only the life style of the host organisms, but also
modulate bacterial physiology. Adaptation can include biofilm formation, capsule
formation, and production of virulence factors. Although biofilm formation is a
dominant mode of bacterial life in environmental settings, its role in host
pathogen interactions is not extensively studied. In this work, we investigated
the role of molecular pathways involved in rdar biofilm formation in the
interaction of Salmonella typhimurium with the Acanthamoeba castellanii genotype
T4. Genes coding for the rdar biofilm activator CsgD, the cellulose synthase
BcsA, and curli fimbriae subunits CsgBA were deleted from the genome of S.
typhimurium. Assessment of interactions of wild-type and mutant strains of S.
typhimurium with A. castellanii revealed that deletion of the cellulose synthase
BcsA promoted association and uptake by A. castellanii, whereas the interactions
with csgD and csgBA mutants were not changed. Our findings suggest that cellulose
synthase BcsA inhibits the capabilities of S. typhimurium to associate with and
invade into A. castellanii.
PMID- 29797084
TI - Conditioned media and organic elicitors underpin the production of potent
antiplasmodial metabolites by endophytic fungi from Cameroonian medicinal plants.
AB - Plasmodial resistance to artemisinin-based combination therapies emphasizes the
need for new drug development to control malaria. This paper describes the
antiplasmodial activity of metabolites produced by endophytic fungi of three
Cameroonian plants. Ethyl acetate extracts of fungi cultivated on three different
media were tested against Plasmodium falciparum chloroquine-sensitive (Pf3D7) and
chloroquine-resistant (PfINDO) strains using the SYBR green florescence assay.
Selected endophytes were further grown in potato dextrose broth supplemented with
small organic elicitors and their extracts tested for activity. The effect of
elicitors on de novo metabolite synthesis was assessed by reverse-phase HPLC.
Activity screening of 81 extracts indicated that Aspergillus niger 58 (IC50 2.25
6.69 MUg/mL, Pf3D7), Fusarium sp. N240 (IC50 1.62-4.38 MUg/mL, Pf3D7), Phomopsis
sp. N114 (IC50 0.34-7.26 MUg/mL, Pf3D7), and Xylaria sp. N120 (IC50 2.69-6.77
MUg/mL, Pf3D7) produced potent extracts when grown in all three media. Further
culture of these endophytes in potato dextrose broth supplemented with each of
the eight small organic elicitors and subsequent extracts screening indicated the
extract of Phomopsis sp. N114 grown with 1% 1-butanol to be highly selective and
extremely potent (IC50 0.20-0.33 MUg/mL; SI > 666). RPHPLC profiles of extracts
of Phomopsis sp. N114 grown with or without 1-butanol showed some peaks of
enhanced intensities in the former without any qualitative change in the
chromatograms. This study showed the ability of selected endophytes to produce
potent and selective antiplasmodial metabolites in varied culture conditions. It
also showed how the production of desired metabolites can be enhanced by use of
small molecular weight elicitors.
PMID- 29797087
TI - CGRP Receptor Biology: Is There More Than One Receptor?
AB - Calcitonin gene-related peptide (CGRP) has many reported pharmacological actions.
Can a single receptor explain all of these? This chapter outlines the molecular
nature of reported CGRP binding proteins and their pharmacology. Consideration of
whether CGRP has only one or has more receptors is important because of the key
role that this peptide plays in migraine. It is widely thought that the
calcitonin receptor-like receptor together with receptor activity-modifying
protein 1 (RAMP1) is the only relevant receptor for CGRP. However, some closely
related receptors also have high affinity for CGRP and it is still plausible that
these play a role in CGRP biology, and in migraine. The calcitonin receptor/RAMP1
complex, which is currently called the AMY1 receptor, seems to be the most likely
candidate but more investigation is needed to determine its role.
PMID- 29797085
TI - Immunization efficacy of cryopreserved genetically attenuated Plasmodium berghei
sporozoites.
AB - Malaria is transmitted through the injection of Plasmodium sporozoites into the
skin by Anopheles mosquitoes. The parasites first replicate within the liver
before infecting red blood cells, which leads to the symptoms of the disease.
Experimental immunization with attenuated sporozoites that arrest their
development in the liver has been extensively investigated in rodent models and
humans. Recent technological advances have included the capacity to cryopreserve
sporozoites for injection, which has enabled a series of controlled studies on
human infection with sporozoites. Here, we used a cryopreservation protocol to
test the efficiency of genetically attenuated cryopreserved sporozoites for
immunization of mice in comparison with freshly isolated controls. This showed
that cryopreserved sporozoites are highly viable as judged by their capacity to
migrate in vitro but show only 20% efficiency in liver infection, which impacts
their capacity to generate protection of animals in immunization experiments.
PMID- 29797088
TI - The Structure of the CGRP and Related Receptors.
AB - The canonical CGRP receptor is a complex between calcitonin receptor-like
receptor (CLR), a family B G-protein-coupled receptor (GPCR) and receptor
activity-modifying protein 1 (RAMP1). A third protein, receptor component protein
(RCP) is needed for coupling to Gs. CGRP can interact with other RAMP-receptor
complexes, particularly the AMY1 receptor formed between the calcitonin receptor
(CTR) and RAMP1. Crystal structures are available for the binding of CGRP27-37
[D31,P34,F35] to the extracellular domain (ECD) of CLR and RAMP1; these show that
extreme C-terminal amide of CGRP interacts with W84 of RAMP1 but the rest of the
analogue interacts with CLR. Comparison with the crystal structure of a fragment
of the allied peptide adrenomedullin bound to the ECD of CLR/RAMP2 confirms the
importance of the interaction of the ligand C-terminus and the RAMP in
determining pharmacology specificity, although the RAMPs probably also have
allosteric actions. A cryo-electron microscope structure of calcitonin bound to
the full-length CTR associated with Gs gives important clues as to the structure
of the complete receptor and suggests that the N-terminus of CGRP makes contact
with His5.40b, high on TM5 of CLR. However, it is currently not known how the
RAMPs interact with the TM bundle of any GPCR. Major challenges remain in
understanding how the ECD and TM domains work together to determine ligand
specificity, and how G-proteins influence this and the role of RCP. It seems
likely that allosteric mechanisms are particularly important as are the dynamics
of the receptors.
PMID- 29797089
TI - The Mechanism FA-Dependent H+ Transport by UCP1.
AB - Uncoupling protein 1 (UCP1) is an integral protein of the inner mitochondrial
membrane (IMM) that is expressed specifically in brown and beige fat depots. UCP1
is responsible for the production of heat to control core body temperature, the
regulation of fat metabolism, and the energy balance. As an uncoupling protein,
UCP1 transports H+ across the IMM in presence of long-chain fatty acids (FA),
which makes brown fat mitochondria produce heat at the expense of ATP. However,
the exact mechanism of UCP1 action has remained difficult to elucidate, because
direct methods for studying currents generated by UCP1 were unavailable.
Recently, the patch-clamp technique was successfully applied to brown and beige
fat mitochondria to directly study H+ currents across the IMM and characterize
UCP1 function. A new model of the UCP1 mechanism was proposed based on the patch
clamp analysis. In this model, both FA anions (FA-) and H+ are transport
substrates of UCP1, and UCP1 operates as a non-canonical FA-/H+ symporter. Here,
we summarize recent findings obtained with the patch-clamp technique that
describe how UCP1 can transport not only H+ but also FA-.
PMID- 29797086
TI - Management of Non-Diffuse Large B Cell Lymphoma Post-Transplant
Lymphoproliferative Disorder.
AB - OPINION STATEMENT: Post-transplant lymphoproliferative disorder (PTLD) is one of
the most common neoplasms seen after solid organ and hematopoietic stem cell
transplantation, and is associated with significant morbidity and mortality. The
pathogenesis is related to post-transplant immunosuppression and EBV infection.
Prevention of PTLD depends upon judicious use of immunosuppression and serial EBV
monitoring. Preemptive therapy consists of reduction of immunosuppression,
antiviral medications, and single-agent rituximab. There are no randomized phase
III trials on PTLD treatment, so current management guidelines are largely based
on recent phase II trials, single-institution retrospective studies, and expert
opinion. Management of PTLD is dependent upon its subtypes. Early-type and
polymorphic PTLD generally respond to reduction of immunosuppression and
rituximab monotherapy, whereas monomorphic PTLD often requires additional
concurrent or sequential use of chemotherapy. For rare subtypes of PTLD, standard
of-care guidelines for de novo lymphomas are recommended. Surgical resection or
radiotherapy may be used as adjunctive therapy depending on the extent of
disease. Non-chemotherapy options such as adoptive T cell therapy have shown
promising efficacy and must be explored further. Despite progress in the last
decade, overall survival rates continue to be low in published series. This
review highlights the need for prospective randomized trials incorporating novel
agents to improve outcomes in PTLD.
PMID- 29797090
TI - Native xylose-inducible promoter expands the genetic tools for the biomass
degrading, extremely thermophilic bacterium Caldicellulosiruptor bescii.
AB - Regulated control of both homologous and heterologous gene expression is
essential for precise genetic manipulation and metabolic engineering of target
microorganisms. However, there are often no options available for inducible
promoters when working with non-model microorganisms. These include extremely
thermophilic, cellulolytic bacteria that are of interest for renewable
lignocellulosic conversion to biofuels and chemicals. In fact, improvements to
the genetic systems in these organisms often cease once transformation is
achieved. This present study expands the tools available for genetically
engineering Caldicellulosiruptor bescii, the most thermophilic cellulose-degrader
known growing up to 90 degrees C on unpretreated plant biomass. A native xylose
inducible (P xi ) promoter was utilized to control the expression of the reporter
gene (ldh) encoding lactate dehydrogenase. The P xi -ldh construct resulted in a
both increased ldh expression (20-fold higher) and lactate dehydrogenase activity
(32-fold higher) in the presence of xylose compared to when glucose was used as a
substrate. Finally, lactate production during growth of the recombinant C. bescii
strain was proportional to the initial xylose concentration, showing that tunable
expression of genes is now possible using this xylose-inducible system. This
study represents a major step in the use of C. bescii as a potential platform
microorganism for biotechnological applications using renewable biomass.
PMID- 29797092
TI - Pycnodysostosis: the disease of Henri de Toulouse-Lautrec.
AB - Pycnodysostosis or Maroteaux-Lamy syndrome is a genotypic bone disorder, with
autosomal recessive inheritance, individualized by Lamy and Maroteaux in 1962. It
is characterized by diffuse condensation of the skeleton with thickening of the
cortex and narrowing of the medullary cavity. This condensation is reminiscent of
the one observed in Albers-Schonberg disease, which differs essentially in
dysmorphism of the skull (no closure of fontanelles, gaping sutures, hypoplasia
of the lower jaw with open mandibular angle) and extremities (hypoplasia or
osteolysis of the phalanges). The patients have a short stature, short hands and
feet, and malformed nails. The first scientifically correct diagnosis was made by
Dr. G. Sejournet who, under the guidance of his teacher Professor J.-A. Lievre,
performed extensive research and diagnosed Henri de Toulouse-Lautrec with
achondroplasia-related dwarfism. This article describes pycnodysostosis and
reports the life of the painter Henri de Toulouse-Lautrec who died from the
disease.
PMID- 29797093
TI - Posterior dislocation following revision total knee replacement arthroplasty: a
case report and literature analysis.
AB - Knee dislocation following total knee replacement arthroplasty is a rare but
serious complication. The incidence of dislocation following primary total knee
arthroplasty with posterior stabilized implants ranges from 0.15 to 0.5%, and
posterior dislocation after revision total knee arthroplasty is even rarer. Here,
we report the case of a 76-year-old male who presented with posterior dislocation
after posterior stabilized revision total knee arthroplasty.
PMID- 29797094
TI - Functional outcomes of the failed plate fixation in distal tibial fractures
salvaged by hexapod external fixator.
AB - PURPOSE: The purpose of this study was to evaluate the clinical and functional
outcomes of failed plate fixation in distal tibia fractures salvaged with hexapod
circular fixators. MATERIALS AND METHODS: The database of a specialized limb
reconstruction center was searched for all patients with failed plate fixation
undergoing limb reconstruction with a circular external fixator between 2008 and
2017. Patients between the ages of 18-65 years, with a symptomatic distal tibia
malunion or non-union following plate and screw fixation were included. The SF-12
and Foot Function Index (FFI) scoring systems were used to measure clinical and
functional outcomes. RESULTS: Ten patients with a mean age of 38 +/- 13 years met
the inclusion criteria. Seven patients had an infected non-union, two
hypertrophic non-unions, and one a malunion. The mean follow-up was 41.7 +/- 28.3
months. The mean duration of external fixation was 232.9 +/- 146.6 days. The SF
12 demonstrated a mean score of 49.4 +/- 7.7 for the physical component and a
mean score of 55.3 +/- 8.1 for the mental component. Five patients (50%) scored
above 45 points for the SF12 physical component, and nine patients (90%) scored
above 45 points for the mental component, indicating good outcome can be
achieved. The mean FFI score was 24.9 +/- 19.9, and six patients had a score
below 14 points (good outcome). Radiological union was observed in all 10
patients at a mean of 29 +/- 14 months. CONCLUSIONS: The results of this study
suggest that hexapod circular external fixation is an attractive surgical
alternative for the treatment of failed plate fixation of distal tibial
fractures, and can reliably achieve bony union and result in very satisfactory
clinical outcomes. LEVEL OF EVIDENCE: Level IV case series.
PMID- 29797096
TI - Correction to: Antimicrobial-coated sutures to decrease surgical site infections:
a systematic review and meta-analysis.
AB - In the original version of this article, reference citations found in Tables 2,
3, and 4 contain errors in linking. The correct tables are reproduced below.
PMID- 29797091
TI - Molecular and epigenetic regulations and functions of the LAFL transcriptional
regulators that control seed development.
AB - The LAFL (i.e. LEC1, ABI3, FUS3, and LEC2) master transcriptional regulators
interact to form different complexes that induce embryo development and
maturation, and inhibit seed germination and vegetative growth in Arabidopsis.
Orthologous genes involved in similar regulatory processes have been described in
various angiosperms including important crop species. Consistent with a prominent
role of the LAFL regulators in triggering and maintaining embryonic cell fate,
their expression appears finely tuned in different tissues during seed
development and tightly repressed in vegetative tissues by a surprisingly high
number of genetic and epigenetic factors. Partial functional redundancies and
intricate feedback regulations of the LAFL have hampered the elucidation of the
underpinning molecular mechanisms. Nevertheless, genetic, genomic, cellular,
molecular, and biochemical analyses implemented during the last years have
greatly improved our knowledge of the LALF network. Here we summarize and discuss
recent progress, together with current issues required to gain a comprehensive
insight into the network, including the emerging function of LEC1 and possibly
LEC2 as pioneer transcription factors.
PMID- 29797097
TI - Reflex activity of pelvic floor muscles during drop landings and mini
trampolining-exploratory study.
AB - INTRODUCTION: Complex functional movements such as jumping typically provoke
stress urinary incontinence (SUI) in women. The aim of this study was to
investigate pelvic floor muscle (PFM) activity in young, healthy women during
jumps to explore their activity characteristics. METHODS: Surface
electromyography (EMG) from PFMs was measured in 16 healthy women with a tripolar
vaginal probe during drop landings from heights of 15, 30 and 45 cm (DL 15, 30,
45) as well as during mini-trampolining with a pace of 90 and 75 jumps per minute
(MT 90, 75). Time of foot strike and body weight force (BWF) in % (= ground
reaction force, normalised to body weight) was determined by force plates. Root
mean square values of the EMG signals were analyzed from 30 ms before to 150 ms
after foot strike. Peak activity during maximum voluntary contraction (MVC) was
set as 100% for EMG normalization. The PFM onset threshold was determined as the
mean of rest activity plus 2 standard deviations. Data were analysed with non
parametric statistical methods. RESULTS: EMG activity during all jumps was above
the PFM onset threshold. Mean pre- and reflex activity increased significantly
with jumping height (p < 0.05) as well as with increasing BWF. The PFM activation
pattern of DL was with peak activity of 115-182 %MVC between 34 and 44 ms after
foot strike, which was different from MT with peak PFM activity of 85-115 %MVC
reached at 133 ms. CONCLUSIONS: Jumping and mini-trampolining provoked
significant PFM activity in healthy volunteers. The next research step will be to
examine the PFM activity of women suffering from SUI during jumps.
PMID- 29797095
TI - Leveraging epigenomics and contactomics data to investigate SNP pairs in GWAS.
AB - Although Genome Wide Association Studies (GWAS) have led to many valuable
insights into the genetic bases of common diseases over the past decade, the
issue of missing heritability has surfaced, as the discovered main effect genetic
variants found to date do not account for much of a trait's predicted genetic
component. We present a workflow, integrating epigenomics and topologically
associating domain data, aimed at discovering trait-associated SNP pairs from
GWAS where neither SNP achieved independent genome-wide significance. Each
analyzed SNP pair consists of one SNP in a putative active enhancer and another
SNP in a putative physically interacting gene promoter in a trait-relevant
tissue. As a proof-of-principle case study, we used this approach to identify
focused collections of SNP pairs that we analyzed in three independent Type 2
diabetes (T2D) GWAS. This approach led us to discover 35 significant SNP pairs,
encompassing both novel signals and signals for which we have found orthogonal
support from other sources. Nine of these pairs are consistent with eQTL results,
two are consistent with our own capture C experiments, and seven involve signals
supported by recent T2D literature.
PMID- 29797098
TI - Amino acid tracers in PET imaging of diffuse low-grade gliomas: a systematic
review of preoperative applications.
AB - Positron emission tomography (PET) imaging using amino acid tracers has in recent
years become widely used in the diagnosis and prediction of disease course in
diffuse low-grade gliomas (LGG). However, implications of preoperative PET for
treatment and prognosis in this patient group have not been systematically
studied. The aim of this systematic review was to evaluate the preoperative
diagnostic and prognostic value of amino acid PET in suspected diffuse LGG.
Medline, Cochrane Library, and Embase databases were systematically searched
using keywords "PET," "low-grade glioma," and "amino acids tracers" with their
respective synonyms. Out of 2137 eligible studies, 28 met the inclusion criteria.
Increased amino acid uptake (lesion/brain) was consistently reported among
included studies; in 25-92% of subsequently histopathology-verified LGG, in 83
100% of histopathology-verified HGG, and also in some non-neoplastic lesions. No
consistent results were found in studies reporting hot spot areas on PET in MRI
suspected LGG. Thus, the diagnostic value of amino acid PET imaging in suspected
LGG has proven difficult to interpret, showing clear overlap and inconsistencies
among reported results. Similarly, the results regarding the prognostic value of
PET in suspected LGG and the correlation between uptake ratios and the molecular
tumor status of LGG were conflicting. This systematic review illustrates the
difficulties with prognostic studies presenting data on group-level without
adjustment for established clinical prognostic factors, leading to a loss of
additional prognostic information. We conclude that the prognostic value of PET
is limited to analysis of histological subgroups of LGG and is probably strongest
when using kinetic analysis of dynamic FET uptake parameters.
PMID- 29797099
TI - The Use of Sideline Video Review to Facilitate Management Decisions Following
Head Trauma in Super Rugby.
AB - BACKGROUND: Sideline video review has been increasingly used to evaluate risk of
concussive injury during match play of a number of collision sports, with the
view to reducing the incidence of match play concussion injuries. The purpose of
this study was to evaluate the effectiveness of sideline video review for
identifying and evaluating head impact events in Rugby Union. METHODS: All
Australian teams' 2015 Super Rugby season matches were studied. Meaningful head
impact events (HIEs) were identified, comprising events identified and acted upon
during matches and events identified through a post-season retrospective review.
Video footage of each HIE was coded by two experienced independent sports
medicine clinicians to evaluate management decisions made by match-day (MDD) and
team doctors (TD). HIE incidences for matches with and without sideline video
were compared, and the agreement between game-day video interpretation and the
independent clinician opinion calculated. RESULTS: Seventy HIEs were identified
in 83 matches (47 identified during matches and 23 identified post-season),
equating to 42.5 HIEs per 1000 player match hours. When video review was
available, an unnoticed HIE occurred once every 4.3 matches, compared to once
every 2.3 matches when the sideline video review was unavailable. Of the 47
identified in-match HIEs evaluated by TD and MDD during the season, 18 resulted
in an immediate and permanent removal, 28 resulted in temporary removal for an
off-field assessment, and one resulted in the player continuing the game. Game
day head injury assessment process video decisions agreed with the independent
clinician view in 72% of cases, kappa = 0.49 (95% CI 0.38-0.59, weak agreement).
CONCLUSIONS: These findings suggest that access to sideline video review is an
important supplementary component to identify potential concussions; however,
there is a critical need for improved systems and processes to reduce the
likelihood of missing an incident.
PMID- 29797100
TI - Factors associated with acute medication overuse in people with migraine: results
from the 2017 migraine in America symptoms and treatment (MAST) study.
AB - BACKGROUND: The MAST Study is a longitudinal, cross-sectional survey study of US
adults with migraine. These analyses were conducted to estimate rates of acute
medication overuse (AMO) and determine associations of AMO with individual and
headache characteristics. METHODS: Eligible respondents had ICHD-3-beta migraine,
reported >=3 monthly headache days (MHDs) in the past 3 months, >=1 MHD in the
past 30 days, and currently took acute headache medication. AMO was defined
according to ICHD-3-beta thresholds for monthly days of medication taking when
diagnosing medication overuse headache. RESULTS: Eligible respondents (N =
13,649) had a mean age of 43.4 +/- 13.6 years; most were female (72.9%) and
Caucasian (81.9%). Altogether, 15.4% of respondents met criteria for AMO.
Compared with those not overusing medications, respondents with AMO were
significantly more likely to be taking triptans (31.3% vs 14.2%), opioids (23.8%
vs 8.0%), barbiturates (7.8% vs 2.7%), and ergot alkaloids (3.1% vs 0.6%) and
significantly less likely to be taking NSAIDs (63.3% vs 69.8%) (p < 0.001 for all
comparisons). Respondents with AMO had significantly more MHDs (12.9 +/- 8.6 vs
4.3 +/- 4.3, p < 0.001); higher migraine symptom severity (17.8 +/- 2.7 vs 16.4
+/- 3.0, p < 0.001), higher pain intensity scores (7.4 vs 6.5, p < 0.001);
and higher rates of cutaneous allodynia (53.7% vs 37.5%, p < 0.001). Adjusted
for MHDs, the odds of AMO were increased by each additional year of age (OR 1.02,
95% CI 1.02, 1.03); being married (OR 1.19, 95% CI 1.06, 1.34); smoking (OR 1.54,
95% CI 1.31, 1.81); having psychological symptoms (OR 1.62, 95% CI 1.43, 1.83) or
cutaneous allodynia (OR 1.22, 95% CI 1.08, 1.37); and greater migraine symptom
severity (OR 1.06, 95% CI 1.04, 1.09) and pain intensity (OR 1.27, 95% CI 1.22,
1.32). Cutaneous allodynia increased the risk of AMO by 61% in males (OR 1.61,
95% CI 1.28, 2.03) but did not increase risk in females (OR 1.08, 95% CI 0.94,
1.25). CONCLUSIONS: AMO was present in 15% of respondents with migraine. AMO was
associated with higher symptom severity scores, pain intensity, and rates of
cutaneous allodynia. AMO was more likely in triptan, opioid, and barbiturate
users but less likely in NSAID users. Cutaneous allodynia was associated with AMO
in men but not women. This gender difference merits additional exploration.
PMID- 29797102
TI - Interleukin-10 and collagen type II immunoexpression are modulated by
photobiomodulation associated to aerobic and aquatic exercises in an experimental
model of osteoarthritis.
AB - The aim of this study was to compare the effects of photobiomodulation (PBM)
associated with an aerobic and an aquatic exercise training on the degenerative
process related to osteoarthritis (OA) in the articular cartilage in rats. Fifty
male Wistar rats were randomly divided into 5 groups: OA control group (CG), OA
plus aerobic training group (AET), OA plus aquatic training group (AQT), OA plus
aerobic training associated with PBM group (AETL), OA plus aquatic training
associated with PBM group (AQTL). The aerobic training (treadmill; 16 m/min; 50
min/day) and the aquatic training (water jumping; 50-80% of their body mass)
started 4 weeks after the surgery and they were performed 3 days/week for 8
weeks. Moreover, PBM was performed after the physical exercise trainings on the
left joint. Morphological characteristics and immunoexpression of IL-10, TGF
beta, and collagen type I (Col I) and II (Col II) of the articular cartilage were
evaluated. The results showed that all the treated groups (exercise and PBM)
presented less intense signs of degradation (measured by histopathological
analysis and OARSI grade system). Additionally, aerobic and aquatic exercise
training rats (associated or not with PBM) showed increased IL-10 (AET p =
0.0452; AETL p = 0.03; AQTL p = 0.0193) and Col II (AET p = 0.012; AQT p =
0.0437; AETL p = 0.0001; AQTL p = 0.0001) protein expression compared to CG.
Furthermore, a statistically higher TGF-beta expression was observed in AET (p =
0.0084) and AETL (p = 0.0076) compared to CG. These results suggest that PBM
associated with aerobic and aquatic exercise training were effective in mediating
chondroprotective effects and maintaining the integrity of the articular tissue
in the knees of OA rats.
PMID- 29797101
TI - Considerations for the Use of Polysorbates in Biopharmaceuticals.
AB - PURPOSE: Polysorbates are commonly added to protein formulations and serve an
important function as stabilizers. This paper reviews recent literature detailing
some of the issues seen with the use of polysorbate 80 and polysorbate 20 in
protein formulations. Based on this knowledge, a development strategy is proposed
that leads to a control strategy for polysorbates in protein formulations.
METHODS: A consortium of Biopharmaceutical scientists working in the area of
protein formulations, shared experiences with polysorbates as stabilizers in
their formulations. RESULTS: Based on the authors experiences and recent
published literature, a recommendation is put forth for a development strategy
which will lead into the appropriate control strategy for these excipients.
CONCLUSIONS: An appropriate control strategy may comprise one or more elements of
raw material, in-process and manufacturing controls. Additionally, understanding
the role, if any, polysorbates play during stability will require knowledge of
the criticality of the excipient, based upon its impact on CQAs due to variations
in concentration and degradation level.
PMID- 29797103
TI - Limited English Proficiency and Health Service Use in Asian Americans.
AB - The present study examined the extent to which limited English proficiency (LEP)
poses a risk to health service use in Asian Americans. With data drawn from the
2015 Asian American Quality of Life Survey (N = 2594), logistic regression was
used to model the odds for four outcomes (no usual place for care, no regular
check-up, unmet needs for medical care, and communication problems in healthcare
settings). More than 62% of the sample had LEP. In the group with LEP, the odds
of not having usual place for care increased by 2.09 times, of not having regular
check-up by 1.69 times, of having unmet needs for medical care by 1.89 times, and
of having communication problems in healthcare settings by 4.95 times. The
findings highlight the vulnerabilities of Asian Americans with LEP in health
service use and provide implications for health planning and interventions.
PMID- 29797105
TI - Feasibility study of advanced focused cardiac measurements within the emergency
department.
AB - BACKGROUND: This study aims to compare the increased time needed to perform
advanced focused cardiac measurements in the emergency department, including
diastolic heart failure evaluation via E/E', and cardiac output with LVOT/VTI.
Patients with pertinent cardiopulmonary symptoms in the emergency department had
a focused cardiac ultrasound performed by the emergency department ultrasound
team. The ability to obtain basic cardiac windows, evaluate for effusion,
systolic ejection fraction, and right-sided heart pressures were recorded.
Advanced measurements, along with time to obtain all images and the training
level of the provider, were recorded. RESULTS: Fifty-three patients were
enrolled. Basic focused cardiac windows were able to be obtained in 80% of
patients. The average 4-window focused cardiac ultrasound took 4 min and 49 s to
perform. Diastolic measurements were able to be obtained in 51% of patients,
taking an average of 3 min and 17 s. Cardiac output measurements were able to be
obtained in 53% of patients, taking an average of 3 min and 8 s. CONCLUSION: The
ability to obtain these images improved with increasing level of training.
Performing both cardiac output and diastolic measurements increased the time with
bedside ultrasound by 6 min and 25 s, and were able to be obtained in slightly
over half of all ED patients.
PMID- 29797106
TI - A nationwide survey of undergraduate training in oral and maxillofacial surgery.
AB - PURPOSE: To investigate the current status of undergraduate training in oral and
maxillofacial (OMF) surgery in Germany using a nationwide survey and hence
contribute to an educational improvement in the field. METHODS: A 39-item
questionnaire was sent to all university clinics with an OMF surgery chair in
Germany (n = 34). RESULTS: Ninety-two percent of OMF clinics are involved in the
curricular training in medicine and 100% in dentistry. Eighty-one percent of OMF
clinics perform curricular examinations and, respectively, 86% in dentistry.
Examinations are mainly performed written with multiple-choice tests (62%
medicine, 76% dentistry) and using non-structured oral examinations (57%
medicine, 86% dentistry). Objective structured clinical examinations (OSCEs) are
only used in 19% of all faculties. CONCLUSION: OMF surgery with its involvement
both in medical and dental education has a special position as a surgical
discipline. Our results show that OMF as a specialty is underrepresented in
dental and especially in medical education considering the numerical and health
economic importance of OMF consultations. Enhancing curricular integration and
developing more structured examination forms is necessary to guarantee a high
quality of OMF education.
PMID- 29797108
TI - "We Raise our Grandchildren as our Own:" Alaska Native Grandparents Raising
Grandchildren in Southwest Alaska.
AB - This study explores continuity and change in the roles of rural Alaska Native
grandparents, describing their importance in contemporary Yup'ik social life and
structure. The study is distinctive in its focus on the experiences of Yup'ik
grandparents who are primary caregivers raising their grandchildren in Southwest
Alaska. Qualitative data were gathered using a semi-structured interview from 20
Yup'ik grandparents, ages 46 to 95, who raised their grandchildren as the primary
caregiver for at least one year. Content analysis was used to establish a
culturally grounded understanding of the role of a grandparent raising
grandchildren and meanings of these roles to Yup'ik grandparents. Findings reveal
areas of continuity and change in the role and place of grandparents in Yup'ik
families and communities. Important continuities persist in the role of Yup'ik
grandparents, who continue to be a vital resource within their families and
communities. As in the past, the grandparent role is essential in passing down
cultural knowledge, upholding traditional Yup'ik values and teachings, and
facilitating development of a strong and healthy cultural identity among youth.
However, significant change has taken place within Yup'ik communities as a result
of Western colonization. Grandparent roles are also shifting and expanding as a
result of these changes, as part of an adaptive community response to ensure the
safety and well-being of youth during times of great change and disruption.
PMID- 29797107
TI - Use of 3-D printing technologies in craniomaxillofacial surgery: a review.
AB - Three-dimensional (3-D) printing is a method of manufacturing in which materials
like plastic or metal are deposited onto one another in layers to produce a 3-D
object. Because of the complex anatomy of craniomaxillofacial structures, full
recovery of craniomaxillofacial tissues from trauma, surgeries, or congenital
malformations is extremely challenging. 3-D printing of scaffolds, tissue
analogs, and organs has been proposed as an exciting alternative to address some
of these key challenges in craniomaxillofacial surgery. There are four broad
types of 3-D printing surgical applications that can be used in
craniomaxillofacial surgery: contour models (positive-space models to allow
preapplication of hardware before surgery), guides (negative-space models of
actual patient data to guide cutting and drilling), splints (negative-space
models of virtual postoperative positions to guide final alignment), and implants
(negative-space 3-D printed implantable materials or 3-D printed molds into which
nonprintable materials are poured). 3-D printing technology is being successfully
used for surgeries for head and neck malignancies, mandibular reconstruction,
orthognathic surgeries, for mandibulectomies after osteoradionecrosis, orbital
floor fracture surgeries, nasal reconstruction, and cranioplasties. The
excitement behind 3-D printing continues to increase and hopefully will drive
improvements in the technology and its surgical applications, especially in
craniomaxillofacial region. This present review sets out to explore use of 3-D
printing technologies in craniomaxillofacial surgery.
PMID- 29797109
TI - Effectiveness and safety of switching from prostaglandin analog monotherapy to
prostaglandin/timolol fixed combination therapy or adding ripasudil.
AB - PURPOSE: To compare the effectiveness and safety of either switching from topical
prostaglandin (PG) analog monotherapy to topical PG/timolol fixed combination
therapy or adding topical ripasudil therapy. STUDY DESIGN: An open-label,
prospective, randomized, parallel group, comparative study METHODS: Fifty-one
patients (51 eyes) with primary open-angle glaucoma who experienced insufficient
intraocular pressure (IOP) control while taking a PG analog were enrolled. The
participants were divided into the following treatment groups: PG/timolol fixed
combination (switched group) or ripasudil therapy addition (added group). Blood
pressure, IOP, and pulse rate were measured at baseline and after 1 and 3 months
of study treatment. Adverse reactions and decreased effectiveness were examined.
RESULTS: The mean IOP after 3 months of therapy was 14.3 +/- 2.2 mmHg in the
switched group and 14.7 +/- 3.0 mmHg in the added group, both of which were
significantly lower than those at baseline (switched, 16.3 +/- 3.0 mmHg; added,
16.6 +/- 2.8 mmHg; both P < .001). At 3 months, the IOP was reduced by 2.0 +/-
1.7 mmHg (11.7 +/- 9.6%) in the switched group and by 1.8 +/- 2.1 mmHg (10.7 +/-
12.5%) in the added group. In the added group, the diastolic blood pressure after
1 month of therapy was significantly lower than that at baseline (P < .05). In
the switched group, 10 (40.0%) and 2 (8.0%) participants experienced adverse
reactions at 1 and 3 months, respectively. In the added group, 6 (23.1%) and 4
(15.4%) participants experienced adverse reactions at 1 and 3 months,
respectively. Treatment was discontinued in 4 participants (16.0%) in the
switched group and in 1 participant (3.8%) in the added group. CONCLUSION:
Treatment changes involving either switching from a PG analog to PG/timolol fixed
combination eye drops or adding ripasudil to PG analog therapy were equally safe
and effective in reducing IOP.
PMID- 29797110
TI - Pigeons play the percentages: computation of probability in a bird.
AB - The ability to compute probability, previously shown in nonverbal infants, apes,
and monkeys, was examined in three experiments with pigeons. After responding to
individually presented keys in an operant chamber that delivered reinforcement
with varying probabilities, pigeons chose between these keys on probe trials.
Pigeons strongly preferred a 75% reinforced key over a 25% reinforced key, even
when the total number of reinforcers obtained on each key was equated. When both
keys delivered 50% reinforcement, pigeons showed indifference between them, even
though three times more reinforcers were obtained on one key than on the other.
It is suggested that computation of probability may be common to many classes of
animals and may be driven by the need to forage successfully for nutritional food
items, mates, and areas with a low density of predators.
PMID- 29797111
TI - EMS providers do not use FOAM for education.
AB - BACKGROUND: Free open access to medical education (FOAM, #FOAM) is the free
availability of educational materials on various medicine topics. We hope to
evaluate the use of social media and FOAM by emergency medical services (EMS)
providers. METHODS: We designed an online survey distributed to EMS providers
with questions about demographics and social media/FOAM use by providers. The
survey was sent to the American College of Emergency Physicians (ACEP) EMS
Listserv of medical directors and was asked to be distributed to their respective
agencies. The survey was designed to inquire about the providers' knowledge of
FOAM and social media and their use of the above for EMS education. RESULTS:
There were 169 respondents out of a total of 523 providers yielding a response
rate of 32.3%. Fifty-three percent of respondents are paramedics, 37% are EMT
Basic trained, and the remainder (16%) were "other." The minority (20%) of
respondents had heard of FOAM. However, 54% of respondents had heard of "free
medical education online" regarding pertinent topics. Of the total respondents
who used social media for education, 31% used Facebook and 23% used blogs and
podcasts as resources for online education. Only 4% of respondents stated they
produced FOAM content. Seventy-six percent of respondents said they were
"interested" or "very interested" in using FOAM for medical education. If FOAM
provided continuing medical education (CME), 83% of respondents would be
interested in using it. CONCLUSION: Social media is not used frequently by EMS
providers for the purposes of FOAM. There is interest within EMS providers to use
FOAM for education, even if CME was not provided. FOAM can provide a novel area
of education for EMS.
PMID- 29797112
TI - Interplay among gut microbiota, intestinal mucosal barrier and enteric neuro
immune system: a common path to neurodegenerative diseases?
AB - Neurological diseases, such as Parkinson's disease, Alzheimer's disease,
amyotrophic lateral sclerosis (ALS) and multiple sclerosis, are often associated
with functional gastrointestinal disorders. These gastrointestinal disturbances
may occur at all stages of the neurodegenerative diseases, to such an extent that
they are now considered an integral part of their clinical picture. Several lines
of evidence support the contention that, in central neurodegenerative diseases,
changes in gut microbiota and enteric neuro-immune system alterations could
contribute to gastrointesinal dysfunctions as well as initiation and upward
spreading of the neurologic disorder. The present review has been intended to
provide a comprehensive overview of the available knowledge on the role played by
enteric microbiota, mucosal immune system and enteric nervous system, considered
as an integrated network, in the pathophysiology of the main neurological
diseases known to be associated with intestinal disturbances. In addition, based
on current human and pre-clinical evidence, our intent was to critically discuss
whether changes in the dynamic interplay between gut microbiota, intestinal
epithelial barrier and enteric neuro-immune system are a consequence of the
central neurodegeneration or might represent the starting point of the
neurodegenerative process. Special attention has been paid also to discuss
whether alterations of the enteric bacterial-neuro-immune network could represent
a common path driving the onset of the main neurodegenerative diseases, even
though each disease displays its own distinct clinical features.
PMID- 29797113
TI - Region-specific constitutive modeling of the plantar soft tissue.
AB - Recent research has shown that hyperelastic properties of the plantar soft tissue
consisting of adipose tissue and fibrous septa change from region to region.
However, relatively little research has been conducted to develop analytical or
computational models to describe the region-specific behavior of the plantar soft
tissue. The objective of the research is to develop a region-specific
constitutive model of the plantar soft tissue. Plantar soft tissue specimens were
dissected from six regions [subcalcaneal (CA), sublateral (LA), subnavicular
(Nav), 1st, 3rd, and 5th submetatarsal (M1, M3, M5)] from cadaveric foot samples,
and a picrosirius red staining technique was used to visualize the collagen
fibers in fibrous septa. The volume fractions of adipose tissue and fibrous septa
and the volume fractions of the principal orientations of the fibrous septa were
calculated with the intensity gradient method. Region-specific constitutive
models were then developed in finite element analysis considering the
microstructure of the plantar soft tissue. The hyperelastic region specific
material properties of the plantar soft tissue were validated with experimental
unconfined compression tests and indentation tests from the literature. The
results show that the models give reasonable predictions of the stiffness of the
soft tissue within a standard deviation of the tests. The region-specific
constitutive models help to explain how changes in the constituents are related
to mechanical behavior of the soft tissue on a region specific basis.
PMID- 29797115
TI - Long-Term Outcome After Surgery for a Localized Retroperitoneal Soft Tissue
Sarcoma in Elderly Patients: Results from a Retrospective, Single-Center Study.
AB - BACKGROUND: To evaluate short- and long-term results after curative surgery for a
retroperitoneal sarcoma (RPS) in elderly patients. METHODS: We retrospectively
analyzed data of all patients operated in our single, tertiary care center for a
nonmetastatic RPS and identified patients aged 70 years and older. RESULTS: Among
296 patients with an RPS treated between 1994 and 2015, 60 (20%) were aged 70
years and older (median age 74 years; range 70-85). The median tumor size was 24
cm (range 6-46). Forty-six patients (77%) had mass-related symptoms at the time
of diagnosis. The most frequent histological subtypes were de-differentiated
liposarcoma (53%, n = 32) and well-differentiated liposarcoma (35%, n = 21).
Twenty-two patients (37%) had perioperative radiotherapy and/or chemotherapy.
Fifty-eight patients (97%) had macroscopically complete resection. The
postoperative mortality was 8% and severe morbidity (Dindo/Clavien >= 3) was 32%.
A reoperation was required for ten patients (17%). After a median follow-up of 20
months (range 1-121), the 5-year overall survival (OS) rate was 90% (95%
confidence interval [CI] 79-100%), and median OS was not reached. The cancer
specific death rate was 88%. No prognostic factor for disease-specific survival
was detected. The 5-year disease-free survival (DFS) rate was 52% (95% CI 33-84%)
and 5-year locoregional recurrence-free survival rate was 52% (95% CI 33-84%).
Median DFS was 94 months (95% CI 35-NA). Reoperation after inappropriate surgery
and postoperative morbidity were independent predictive factors of locoregional
relapse. No predictive factors of distant metastasis were found. CONCLUSIONS:
Curative surgery is feasible in selected elderly patients but with higher
mortality and morbidity rates than in younger patients. It enables a prolonged
survival. Future studies should focus on selection process to minimize
postoperative mortality and morbidity.
PMID- 29797104
TI - Patterns of medicinal cannabis use, strain analysis, and substitution effect
among patients with migraine, headache, arthritis, and chronic pain in a
medicinal cannabis cohort.
AB - BACKGROUND: Medicinal cannabis registries typically report pain as the most
common reason for use. It would be clinically useful to identify patterns of
cannabis treatment in migraine and headache, as compared to arthritis and chronic
pain, and to analyze preferred cannabis strains, biochemical profiles, and
prescription medication substitutions with cannabis. METHODS: Via electronic
survey in medicinal cannabis patients with headache, arthritis, and chronic pain,
demographics and patterns of cannabis use including methods, frequency, quantity,
preferred strains, cannabinoid and terpene profiles, and prescription
substitutions were recorded. Cannabis use for migraine among headache patients
was assessed via the ID MigraineTM questionnaire, a validated screen used to
predict the probability of migraine. RESULTS: Of 2032 patients, 21 illnesses were
treated with cannabis. Pain syndromes accounted for 42.4% (n = 861) overall;
chronic pain 29.4% (n = 598;), arthritis 9.3% (n = 188), and headache 3.7% (n =
75;). Across all 21 illnesses, headache was a symptom treated with cannabis in
24.9% (n = 505). These patients were given the ID MigraineTM questionnaire, with
68% (n = 343) giving 3 "Yes" responses, 20% (n = 102) giving 2 "Yes" responses
(97% and 93% probability of migraine, respectively). Therefore, 88% (n = 445) of
headache patients were treating probable migraine with cannabis. Hybrid strains
were most preferred across all pain subtypes, with "OG Shark" the most preferred
strain in the ID MigraineTM and headache groups. Many pain patients substituted
prescription medications with cannabis (41.2-59.5%), most commonly
opiates/opioids (40.5-72.8%). Prescription substitution in headache patients
included opiates/opioids (43.4%), anti-depressant/anti-anxiety (39%), NSAIDs
(21%), triptans (8.1%), anti-convulsants (7.7%), muscle relaxers (7%), ergots
(0.4%). CONCLUSIONS: Chronic pain was the most common reason for cannabis use,
consistent with most registries. The majority of headache patients treating with
cannabis were positive for migraine. Hybrid strains were preferred in ID
MigraineTM, headache, and most pain groups, with "OG Shark", a high THC (Delta9
tetrahydrocannabinol)/THCA (tetrahydrocannabinolic acid), low CBD
(cannabidiol)/CBDA (cannabidiolic acid), strain with predominant terpenes beta
caryophyllene and beta-myrcene, most preferred in the headache and ID MigraineTM
groups. This could reflect the potent analgesic, anti-inflammatory, and anti
emetic properties of THC, with anti-inflammatory and analgesic properties of beta
caryophyllene and beta-myrcene. Opiates/opioids were most commonly substituted
with cannabis. Prospective studies are needed, but results may provide early
insight into optimizing crossbred cannabis strains, synergistic biochemical
profiles, dosing, and patterns of use in the treatment of headache, migraine, and
chronic pain syndromes.
PMID- 29797116
TI - Using invertebrate model organisms for neuroscience research and training: an
opportunity for Africa.
AB - Africa is faced with an increasing underrepresentation of her research progress
in many fields of science including neuroscience. This underrepresentation stems
from the very low investments directed towards research by African governments as
these are thought to be high-priced. Scientists and researchers within the
continent are left to compete highly for the very limited research grants or
choose to fund research from their personal purse. Therefore, presenting a need
for all possible strategies to make science and research approaches more
affordable in Africa. This paper presents one of such strategy, which advocates
the use of invertebrate animal models for neuroscience research in place of the
commonly used vertebrate models. Invertebrates are cheaper, more available and
easy to handle options and their use is on the rise, even in the developed
societies of the world. Here, we investigate the current state of invertebrate
neuroscience research in Africa looking at countries and institutions conducting
neuroscience research with invertebrates and their publication output. We discuss
the factors which impede invertebrate neuroscience research in Africa like lack
of research infrastructure and adequate expert scientists and conclude by
suggesting solutions to these challenges.
PMID- 29797117
TI - Elevated plasma homocysteine levels are associated with disability progression in
patients with multiple sclerosis.
AB - The aims of this study were to verify whether hyperhomocysteinemia is associated
with disability progression in Multiple Sclerosis (MS) patients and whether TNF
pathways and cellular adhesion molecules (CAM) are involved in this process. This
study included 180 MS patients, who were divided according to their levels of
homocysteine (Hyperhomocysteinemia >=11.35 MUmol/L) and 204 healthy individuals
(control group). MS patients showed higher levels of homocysteine (p < 0.001),
tumor necrosis factor alpha (TNF-alpha, p < 0.001), TNF receptor 1 (TNFR1, p =
0.038), TNF receptor 2 (TNFR2, p < 0.001), and lower levels of PECAM (p = 0.001),
ICAM (p < 0.001) and VCAM (p = 0.005) than controls. The multivariate binary
logistic regression analysis showed that plasma levels of homocysteine, TNFR1,
TNFR2 and PECAM were associated with the presence of disease. MS patients with
hyperhomocysteinemia showed higher disease progression evaluated by the Multiple
Sclerosis Severity Score (MSSS, p < 0.001), disability evaluated by Expanded
Disability Status Score EDSS (p < 0.001), TNFR1 (p = 0.039) and ICAM (p = 0.034)
than MS patients with lower levels of homocysteine. Hyperhomocysteinemia was
independently associated with MSSS in MS patients, but were not associated with
TNF-alpha, TNFR, and CAM. Homocysteine levels was higher in progressive forms
than relapsing-remitting MS (p < 0.001), independently of sex and age. In
conclusion, this is the first study in which homocysteinemia was associated with
progression of the disease (MSSS), although this finding was not directly related
to TNF-alpha and TNFR pathways or to CAM.
PMID- 29797118
TI - Functional Parameters of Physiological Systems of Laboratory Primates after
Administration of Doxorubicin and Transplantation of Mesenchymal Stem Cells.
AB - We studied physiological parameters of rhesus monkeys after administration of
anthracycline antibiotic doxorubicin. Intravenous administration of the drug
caused intoxication manifested in in an abrupt body weight loss, baldness,
vomiting, and exicosis. Intoxication in monkeys determined by the damaging
effects of doxorubicin on organs and tissues is also characterized by significant
changes in the blood: leukopenia, thrombocytopenia, neutropenia, monocytopenia,
lymphocytosis, and a sharp drop of CD20+ B cell content. The total protein and
albumin content in the blood significantly decreased. A sharp increase in C
reactive protein was also accompanied by an increase in activity of
proinflammatory cytokine IL-6. Transplantation of mesenchymal stem cells in some
cases can significantly alleviate doxorubicin-induced damage to organs and
maintain normal clinical status of monkeys after two injections of the drug. Late
transplantation of stem cells does not have a protective effect and does not
protect the animals from the damaging effects of doxorubicin. We found that the
protective effect of mesenchymal stem cells depends on the dose of the drug,
total number of cells, and the time of their transplantation. It should be noted
that human and monkey mesenchymal stem cells produce similar regenerative
effects, at least in the doxorubicin toxicity model.
PMID- 29797119
TI - Optical and Electron Microscopic Study of the Morphology and Ultrastructure of
Biofilms Formed by Streptococcus pyogenes.
AB - Our study confirmed the capacity of S. pyogenes strains to form biofilms on
abiotic surfaces. Chains of streptococci surrounded by bluish film were seen
under a microscope after alcian blue staining of the preparations grown on
slides. On ultrathin sections in transmission electron microscope, the
extracellular matrix (indicator of biofilm maturity) became visible after
staining with alcian blue. Microscopy of the sections shows structures
characteristic of a biofilm in spaces between the cells. Scanning electron
microscopy also demonstrates the presence of a biomembrane. Importantly that type
1M strain forming in fact no membranes when cultured on plastic plates (Costar)
formed biofilms on the glass. It seems that the conditions for the biofilm
formation on the plastic and on the glass differ, due to which the exopolymeric
matrices formed on different surfaces vary by biochemical composition.
PMID- 29797120
TI - Effect of Coenzyme Q10 on Expression of UbiAd1 Gene in Rat Model of Local
Cerebral Ischemia.
AB - The study examined the effect of endogenous lipid-soluble antioxidant coenzyme
Q10 on the expression of UbiA gene of prenyltransferase domain-containing protein
1 (UbiAd1) involved in synthesis of vitamin K2 (and probably of coenzyme Q10) on
a rat model of ischemic stroke provoked by ligation of the middle cerebral artery
in the left hemisphere. Ischemia enhanced expression of mRNA of UbiAd1 gene in
both cerebral hemispheres, but the effect was significant only in the
contralateral one. The study revealed no effect of intraperitoneal injection of
coenzyme Q10 (30 mg/kg) on ischemia-produced elevation of mRNA of UbiAd1 gene.
Further studies are needed to assess possible neuroprotective effects of
antioxidant coenzyme Q10.
PMID- 29797121
TI - Expression of Beclin-1 and LAMP-2 in Rat Hippocampus under Conditions of
Simulated Gravity Overload in the Caudocranial Vector.
AB - Structural changes in the rat hippocampus in response to chronic cerebrovascular
disorders induced by gravity exposure in the caudocranial vector were studied.
Qualitative and quantitative morphological analysis detected significant
cytoarchitectonic changes in the pyramidal layer: spongiosis, manifest
pericellular and perivascular edema, and a drastic increase in the counts of
pyramidal neurons with signs of impairment in all hippocampal zones. The density
of perikarya in the pyramidal layer decreased. Immunohistochemical study detected
high expression of Beclin-1 in CA1 field. High expression of LAMP-2 was detected
in CA4 field. Field CA2 was characterized by the maximum counts of damaged cells
and high expression of Beclin-1 and LAMP-2.
PMID- 29797122
TI - IL6R Gene Polymorphic Variant rs2228145(C >A) as a Marker of Genetic Liability to
Nonalcoholic Steatohepatitis in the Russian Population of Karelia.
AB - Association of IL6R gene polymorphic variant rs2228145(C>A) with the development
of nonalcoholic steatohepatitis in Karelia residents is detected. The risk of
nonalcoholic steatohepatitis is more than 2-fold higher in carriers of CC
genotype by rs2228145 polymorphic marker than in carriers of other genotypes.
Plasma levels of IL-6 and the content of IL6R gene transcripts in the peripheral
blood leukocytes are higher in patients with nonalcoholic steatohepatitis than in
normal subjects. No relationships between rs2228145 polymorphism and the level of
IL-6 and content of IL6 and IL6R mRNA were detected. Gene IL6R polymorphic
variant rs2228145(C>A) seems to be involved in genetic predisposition of the
population of Karelia to nonalcoholic steatohepatitis. However, biochemical and
molecular mechanisms underlying the relationship of rs2228145 with the
development of nonalcoholic steatohepatitis are not yet studied.
PMID- 29797123
TI - Interspecific Differences in Behavioral Responses and Neuromotorics between
Laboratory Rodents Receiving Rations with Easily Digested Carbohydrates.
AB - We assessed the effect of intake of easily digested carbohydrates for 133 days on
quantitative parameters of neuromotorics and cognitive function in Wistar rats
and C57Bl/6J mice. Neuromotorics (muscle tone) was assessed in rats and mice by
the forelimb muscle force (grip strength) over 4 months. Anxiety was assessed in
the elevated plus-maze test and cognitive function (short-term and long-term
memory) was evaluated by conditioned passive avoidance response (CPAR) test over
3 months. The mice, in contrast to rats, receiving the diet with easily digested
sugars demonstrated suppression of neuromotorics. Anxiety increased with age in
female mice, but not in rats, irrespective of the diet. Cognitive function in
rats receiving experimental rations did not change significantly in comparison
with the control. In mice, consumption of equimolar mixture of fructose and
glucose impared short-term, but not long-term memory, in comparison with the
group receiving glucose alone. We revealed a small (by 14-17%), but statistically
significant increase in the brain weight in mice receiving fructose and sucrose.
The study demonstrates sufficient interspecies differences in the influence of
carbohydrate rations on neuromotorics and behavioral responses in the in vivo
metabolic syndrome model.
PMID- 29797124
TI - Mechanisms of Antiviral Activity of the Polyphenol Complex from Seagrass of the
Zosteraceae Family against Tick-Borne Encephalitis Virus.
AB - Antiviral activity of the polyphenol complex from seagrass of the Zosteraceae
family against highly pathogenic strain of the tick-borne encephalitis virus was
studied on passaged culture of porcine embryo kidney cells. The antiviral effect
of the test compound manifested in a decrease in the infectious titer of the
virus and depended on the concentration and application schemes. Polyphenol
complex in a concentration of 100 MUg/ml suppressed accumulation of the pathogen
in the cell culture: pretreatment of the virus reduced its titer by 4 log,
pretreatment of cells by 1.4 log, and application of the compound 1 h after cell
infection by 2.8 log. Antiviral action of the test compound is determined by
direct inactivation of the virus and inhibition of virus replication at the early
stage, which attests to potential of this compound in the treatment of tick-borne
encephalitis.
PMID- 29797125
TI - Use of Mesenchymal Stem Cells for Possible Repair of Doxorubicin-Damaged Organs
and Tissues in Experimental Monkeys.
AB - Three injections of doxorubicin to rhesus macaques cause severe intoxication,
characterized by anemia, cachexia, and degeneration of the viscera. The life span
of monkeys injected with the drug and receiving after 24 h mesenchymal stem cell
transplantation varied from 96 to 120 days in comparison with 50-74 days in
animals receiving stem cells before doxorubicin. Controls received doxorubicin
and saline; the lifespan of one monkey was 24 days, of the other - 1 year and 8
months. The increase in activity of proinflammatory cytokine IL-6 was paralleled
by an increase in the level of C-reactive protein.
PMID- 29797126
TI - Loss of Heterozygosity in BRCA1 and BRCA2 Genes in Patients with Ovarian Cancer
and Probability of Its Use for Clinical Classification of Variations.
AB - Changes (or variants) in BRCA1 and BRCA2 gene sequences can have different
lengths and clinical significance: from single nucleotide variants (SNV) and
short insertions/deletions (<50 bp) to extended deletions and duplications (so
called copy number variations, or CNV). According to their clinical significance,
all variants can be divided into pathogenic, likely pathogenic, variants of
uncertain significance, likely benign, and benign. Moreover, variants can be
germinal (i.e. inherited from parents) and somatic (arising in the process of
development of the organism). A specific somatic event is loss of heterozygosity
(LOH), i.e. transition of one or many point and short variants from heterozygous
to homozygous state. Such an event can be the key to the development of
carcinogenesis for cells carrying a pathogenic variant, if we consider it within
the framework of the Knudson's two-hit carcinogenesis theory. We studied the
prevalence and nature of LOH in of ovarian cancer samples carrying or not
carrying a pathogenic variant. To this end, a full coding sequence of BRCA1/2
genes was determined in 30 pairs of DNA samples isolated from blood cells and
paraffinized histological blocks of patients on a MiSeq Illumina instrument.
Analyss of the obtained reads revealed 9 pathogenic point and short variants (30%
patients): 6 germinal (20%) and 3 somatic (10%), and 8 somatic CNV (3 deletions
and 5 duplications of several or all exons of the BRCA1 gene). LOH was detected
in 70% patients; among the carriers of pathogenic variants - in 83%. For
pathogenic variants, the percentage of reads with the alternative allele
increased more often than for benign variants located in another gene, or
detected in other patients (67% vs. 44%). However, the difference was
statistically insignificant, which can be due to insufficient number of patients.
Only in 3 of 21 cases of LOH (14%), it can be attributed to CNV. In other cases,
LOH is most likely determined by gene conversion, but further research is needed.
PMID- 29797127
TI - Polymorphism of Oprm1 Gene and Its Association with Manifestations of N-(1
Phenethyl-4-Piperidyl)Propionanilide Intoxication in Rats.
AB - We studied association of Oprm1 gene polymorphisms with signs of N-(1-phenethyl-4
piperidyl)propionanilide intoxication in rats. It was found that the rate of
intoxication in laboratory animals depends on genetic features. A polymorphic
variant rs105312806 of Oprm1 gene can be a possible marker of animal sensitivity
to opioid receptor agonists. This hypothesis was supported by differences in the
rats of intoxication signs such as time to lateral posture and sleep duration in
homozygous rats carrying different alleles. In rats with AA genotype, the time to
lateral posture was shorter by 1.3 times and sleep duration was longer by 3.5
times than in carriers of GG genotype.
PMID- 29797128
TI - Role of JAK/STAT3 Signaling in Functional Stimulation of Mesenchymal Progenitor
Cells by Fibroblast Growth Factor.
AB - JAK/STAT signaling pathway was examined during functional stimulation of
mesenchymal progenitor cells with fibroblast growth factor. The differences were
observed in the realizations of the proliferation-differentiation potential of
CFU-fibroblasts under blockade of JAKs or during selective inactivation of STAT3.
The study revealed stimulating influences of JAKs and STAT3 on mitotic activity
of progenitor cells and individual roles of these proteins in the control of
their maturation. Blockade of JAKs diminished the level of fibroblast colony
formation and the score of actively proliferating CFU-fibroblasts at the
background increase of the differentiation rate of progenitor cells. In contrast,
STAT3 inhibitor resulted in a coordinated decrease of all examined parameters.
PMID- 29797129
TI - Analysis of Blood Plasma Protein Composition in Patients with Cerebral Ischemia.
AB - Blood plasma proteome in patients with cerebral ischemia and healthy individuals
was studied using comparative proteomic analysis based on tandem HPLC-MS/MS. Mass
spectra were analysed in an automated mode using Progenesis LS-MS software and
256 proteins were identified. Significant quantitative differences were revealed
for 20 proteins. It was found that changes in the blood plasma proteome in
subjects with cerebral ischemia involved a wide range of proteins: molecular
chaperones, fibrinolysis, angiogenesis, and immune system proteins, proteins
involved in homeostasis maintenance, cell differentiation and proliferation,
regulators of apoptosis, and cytoskeleton proteins.
PMID- 29797130
TI - Effect of Thymalin on the Tumor and Thymus under Conditions of Activation Therapy
In Vivo.
AB - Pronounced antitumor effect of Thymalin in doses lower than the therapeutic doses
was shown in experiments on albino outbred male rats with transplanted sarcoma
45. Tumor growth arrest and its regression were observed in more than half of
animals and in other cases, the growth was suppressed by 78%. Microstructural
changes in the thymus were analyzed. Significant increase in lymphoproliferative
activity and the content of tissue basophils and plasmocytes in the thymus
lobules was observed. Tumor regression was accompanied by the development of
stable antistress adaptation reactions of calm and elevated activation. High
efficiency of Thymalin can be attributed to the use of lower doses of the
substance and their modulation during the treatment course in accordance with the
regimes of activation therapy.
PMID- 29797131
TI - N-(5-Hydroxynicotinoil)-L-Glutamic Acid Calcium Salt Modifies Responses of Rat
Hippocampal CA1 Pyramidal Neurons during Orthodromic Stimulation.
AB - The study examined the effect of calcium salt of N-(5-hydroxynicotinoil)-L
glutamic acid (Ampasse preparation) on neuronal activity in hippocampal CA1 area
evoked by stimulation of Schaffer collaterals at a rate of 1 Hz (30 impulses
during 30 sec) in the surviving hippocampal slices of Wistar rats. The records of
1st and 30th orthodromic population spikes showed that Ampasse in concentrations
of 500 MUM, 1, 2, and 10 mM facilitated the synaptic transmission in Schaffer
collaterals - hippocampal CA1 pyramidal neurons axis; the maximum effect was
observed at 2 mM Ampasse. When used in a concentration of 10 mM, Ampasse provoked
epileptiform activity, which could be prevented by MK-801, a specific
noncompetitive antagonist of the NMDA-receptor complex.
PMID- 29797132
TI - Immunochemical Diagnosis of Multiple Myeloma.
AB - The diagnostic potentialities of complex immunochemical analysis of the serum and
daily urine were evaluated in 118 patients with multiple myeloma. In 95 patients,
we observed secretion of monoclonal intact immunoglobulins with heavy chains G
(N=69), A (N=19), and M (N=4) and biclonal secretion of paraproteins G and A
(N=3). Bence-Jones protein was detected in the sera and daily urine of 16
patients and Bence-Jones proteinuria alone was detected in 3 patients. The
diagnostic sensitivity of serum immunoelectrophoresis in multiple myeloma is
94.1%. Analysis of paraproteinuria is particularly important in Bence-Jones
myeloma, when paraprotein excretion may be not associated with paraproteinemia.
Complex study by immunoelectrophoretic and immunoturbidimetric methods in
multiple myeloma increases the diagnostic sensitivity to 99.2%.
PMID- 29797133
TI - New Fluorescent Reporter Systems for Evaluation of the Expression of E- and N
Cadherins.
AB - During metastatic growth, cells of solid tumors undergo phenotypical changes
related to epithelial-mesenchymal transition. Epithelial-mesenchymal transition
is regarded as a potential target for prospective antitumor drugs. Fluorescent
reporter systems for evaluation of the expression of markers of epithelial and
mesenchymal status (E- and N-cadherins) were created. The described approaches
can be used for creation of analogous reporter systems.
PMID- 29797134
TI - Correction of Cholinergic Abnormalities in Mnestic Processes with Diterpene
Alkaloid Songorine.
AB - Repeated administration of songorine to mice restored mnestic processes impaired
by scopolamine treatment, which manifested in improvement of CPAR conditioning
and normalization of behavioral activity throughtout the observation period. This
thearpeutical effect surpassed that of pyracetam used as the reference drug.
PMID- 29797135
TI - Insulin Increases Viability of Neurons in Rat Cerebral Cortex and Normalizes
Bax/Bcl-2 Ratio under Conditions of Oxidative Stress.
AB - We studied the protective effect of insulin in various concentrations and its
effect on the Bax/ Bcl-2 ratio in neurons of rat cerebral cortex under conditions
of oxidative stress. The protective effect of insulin was dose-dependent within
the nanomolar range (1 nM<10 nM<100 nM). Preincubation with insulin in
concentrations of 100 nM and 1 MUM significantly increased Bcl-2 content in
neurons in 5, 30, and 45 min and 1, 2, and 4 h after the start of cell exposure
to H2O2. This prooxidant increased the Bax/Bcl-2 ratio in neurons to 141-164% in
comparison with the control (100%); preincubation of neurons with insulin
returned this ratio to normal.
PMID- 29797136
TI - Extracellular NAD+ Suppresses Adrenergic Effects in the Atrial Myocardium of Rats
during the Early Postnatal Ontogeny.
AB - The effects of sympathetic cotransmitter NAD+ (10 MUM) on bioelectric activity of
the heart under conditions of adrenergic stimulation were studied on isolated
spontaneously contracting preparations (without stimulation) of the right atrium
from 2-7-day-old rats. Action potentials were recorded in the working myocardium
using standard microelectrode technique. Perfusion of the right atrium with
norepinephrine solution (1 MUM) altered the configuration and significantly
lengthened the action potentials. NAD + against the background of norepinephrine
stimulation significantly decreased the duration of action potentials, in
particular, at 25% repolarization. The effect of purine compounds NAD + , ATP,
and adenosine on bioelectrical activity of the heart of newborn rats was studied
under basal conditions (without norepinephrine stimulation). The effect of NAD +
against the background of adrenergic stimulation was more pronounced than under
basal conditions and was probably determined by suppression of ICaL, which can be
the main mechanism of NAD + action on rat heart.
PMID- 29797137
TI - Vascularization of the Damaged Nerve under the Effect of Experimental Cell
Therapy.
AB - Quantitative analysis of blood vessels in the distal segment of rat sciatic nerve
after its ligation for 40 sec and subperineurial administration of mesenchymal
stem cells or dissociated cells of rat embryonic spinal cord was carried our by
immunohistochemical tracing of von Willebrand factor, a marker of endothelial
cells of blood vessels. It was found that the number of blood vessels per unit
area of the nerve trunk in 21 days after injury and administration of mesenchymal
stem cells increased by more than 1.5 times in comparison with the control
(damaged nerve). After administration of dissociated cells of the embryonic
spinal cord, this effect was not observed. It is assumed that mesenchymal stem
cells stimulate the growth of vessels of the damaged nerve via production of
angiogenic factors.
PMID- 29797138
TI - Comparative Analysis of the Expression of Glutathione Peroxidase and Glutathione
Reductase Genes in Human Sperm after Cryopreservation.
AB - We analyzed the effect of cryopreservation on the expression of glutathione
peroxidase (GPX1) and glutathione reductase (GSR) genes in human sperm cells (15
sperm samples from fertile donors and 10 samples from infertile patients). The
relative expression of GPX1 and GSR genes was determined by real-time PCR. The
rate of post-thaw recovery was 2.1 times higher in the group of fertile donors. A
significant increase in the expression of GPX1, but not GSR, was observed in
sperm samples from infertile patients, while in patients with infertility, GPX1
expression significantly decreased after cryopreservation/thawing, in samples
from fertile donors after the same procedure it increased to the level observed
in the sperm samples from infertile patients. A positive correlation was revealed
between GPX1 expression and sperm cryotolerance.
PMID- 29797140
TI - Mechanical Performance of Two Left Atrial Appendage Occlusion Systems: In Vitro
Comparison of Tug Force, Radial Force, Sealing and Deformation.
AB - The aim of this study was to establish in vitro bench-tests of left atrial
appendage occlusion (LAAo) devices regarding tug force, radial force and sealing
capacity. Two LAAo devices, namely the WATCHMANTM and the Occlutech(r), of three
different sizes underwent testing in novel dedicated in vitro setups. Radial
force was assessed in a commercial radial force tester. At baseline, tug force of
the WATCHMANTM was significantly higher when compared to Occlutech(r) for all
devices. Repeated resheathing resulted in a reduction of device-diameter in the
WATCHMANTM devices of max. 7.9%, whereas diameters of Occlutech(r) occluders
remained unchanged. Tug force was not significantly impacted by resheathing in
both devices. At baseline, sealing capacity in a bench-test using silicone LAA
models did not differ between the devices. Resheathing lead to an in vitro loss
of sealing capacity of the WATCHMANTM devices, increasing with resheathing and
resulting in a max. peridevice leak of 91.1 +/- 7.9%. Radial force was higher for
the Occlutech(r) devices and decreased for WATCHMANTM occluders after
resheathing. The WATCHMANTM occluder series showed progressive deformation,
increased peridevice leakage and decreased radial force after resheathing,
presumably as a result of diameter reduction. Tug force of the WATCHMANTM was not
impaired by resheathing and was significantly higher than that of the
Occlutech(r) device.
PMID- 29797139
TI - A Docosahexaenoic Acid-Derived Pro-resolving Agent, Maresin 1, Protects Motor
Neuron Cells Death.
AB - Maresin 1 is a novel pro-resolving mediator derived from docosahexaenoic acid
(DHA), with potent anti-inflammation effects against several animal models,
including brain ischemia, sepsis, and lung fibrosis. However, its effect against
motor neuron cell death is still not investigated. Therefore, we investigated the
effects of maresin 1 on several stress-induced motor neuron cell death. Maresin 1
suppressed combinatorial stress which was evoked by superoxide dismutase 1
(SOD1)G93A and serum-free, -induced motor neuron cells death in a concentration
dependent manner, and had a stronger neuroprotective effective than DHA. Maresin
1 also had neuroprotective effects against transactivation response DNA-binding
protein (TDP)-43A315T and serum-free stress, H2O2, and tunicamycin-induced cell
death. Maresin 1 reduced the reactive oxygen species (ROS) production caused by
SOD1G93A or TDP-43A315T. Moreover, maresin 1 suppressed the NF-kappaB activation
induced by SOD1G93A and serum-free stress. These data indicate that maresin 1 has
motor neuron protective effects against several stresses by reduction of ROS
production or attenuation of the NF-kappaB activation. Maresin 1 also had
neuroprotective effects against H2O2, and tunicamycin-induced cell death in a
concentration-dependent manner. Finally, maresin 1 ameliorated the motor function
deficits of spinal muscular atrophy model in which endoplasmic reticulum stress
was upregulated. Thus, maresin 1 may be beneficial to protect against motor
neuron diseases.
PMID- 29797141
TI - In-Human Robot-Assisted Retinal Vein Cannulation, A World First.
AB - Retinal Vein Occlusion (RVO) is a blinding disease caused by one or more occluded
retinal veins. Current treatment methods only focus on symptom mitigation rather
than targeting a solution for the root cause of the disorder. Retinal vein
cannulation is an experimental eye surgical procedure which could potentially
cure RVO. Its goal is to dissolve the occlusion by injecting an anticoagulant
directly into the blocked vein. Given the scale and the fragility of retinal
veins on one end and surgeons' limited positioning precision on the other,
performing this procedure manually is considered to be too risky. The authors
have been developing robotic devices and instruments to assist surgeons in
performing this therapy in a safe and successful manner. This work reports on the
clinical translation of the technology, resulting in the world-first in-human
robot-assisted retinal vein cannulation. Four RVO patients have been treated with
the technology in the context of a phase I clinical trial. The results show that
it is technically feasible to safely inject an anticoagulant into a [Formula: see
text]-thick retinal vein of an RVO patient for a period of 10 min with the aid of
the presented robotic technology and instrumentation.
PMID- 29797143
TI - Gastric Cancer in a Patient with Laparoscopic Adjustable Gastric Band: Case
Report and Review of Literature.
PMID- 29797142
TI - Systemic hematogenous dissemination of mouse oral candidiasis is induced by oral
mucositis.
AB - The causes of fungemia include immunosuppression and neutropenia stemming from
diverse factors as well as the placement of central venous catheters. However,
the relationship between fungemia and the oral cavity has not been substantiated.
In this study, we explored the pathological conditions of Candida albicans
derived oral candidiasis in a mouse model, which always develops oral mucositis
as a complication. In oral candidiasis, the hyphae of C. albicans are believed to
primarily invade the stratum granulosum, but not the subepithelium, of the mucous
membrane. We provide histological evidence that in concomitant oral mucositis,
the hyphae infiltrate the subepithelium and blood vessels. Blood cultures and
tissue samples revealed the onset of fungemia only in the mucositis-induced
groups. Positive numbers of colony-forming units were found in groups A
(chemotherapy), B (chemotherapy + mucositis) and C (mucositis), but were highest
in group B. Some organs revealed positive CFU in groups B and C. The presence of
fungal DNA in blood plasma and tissue was confirmed by PCR. The fungal DNA
frequency was significantly higher in the mucositis group when compared with the
non-mucositis group. The results suggest that fungi first invade the
subepithelium and then the blood vessels, from which they disseminate throughout
the body, and that oral mucositis is an important risk factor for fungemia. This
study clearly demonstrates the relationship between oral mucositis, fungemia, and
the potential systemic fungal dissemination, which has not been previously
proven. Our findings highlight the importance of oral care for patients at risk
of fungemia.
PMID- 29797144
TI - Efficacy of Docetaxel and Oxaliplatin Regimen as a Second-Line Therapy for
Patients with Advanced Pancreatic Adenocarcinoma.
AB - BACKGROUND AND AIM OF WORK: Pancreatic cancer is the deadliest of the 21 most
common cancers, largely because it is often identified at a late stage, we aimed
to determine the control rates, and PFS for patients who received docetaxel
oxaliplatin regimen as a 2nd line therapy. PATIENTS AND METHODS: Twenty-five
patients with advanced cancer pancreas progressed or failed on 1st line
treatments and justified the inclusion criteria were eligible to receive
Docetaxel 75 mg/m2 over 1h iv infusion on day 1, Oxaliplatin 80 mg/m2 over 2 h iv
infusion on day 2, the cycle was repeated every 3 weeks for 6-8 cycles unless
disease progression or severe toxicity appeared. RESULTS: No patients achieved
complete response (CR), and the control rate (control rate = partial response (PR
= 6/25, 24%) + stable disease (SD = 9/25, 36%) was 60% while disease progression
(DP) was demonstrated in (10/25) 40% of patients, the median PFS was 7 +/- 0.777
ms (95% confidence interval: 5.467-8.524 ms), grade 3 neutropenia, fatigue,
diarrhea, and vomiting were developed in 12%, 8%, 12% and 8% of patients
respectively. CONCLUSIONS: Docetaxel-oxaliplatin regimen was an active regimen in
advanced cancer pancreas based on our encouraging results without occurrence of
grade four toxicities.
PMID- 29797145
TI - Giant Duodenal Lipoma: a Rare Cause of Vomiting, Anorexia, Unintentional Weight
Loss, and Duodenal Intussusception.
PMID- 29797146
TI - Kin Selection and Male Homosexual Preference in Indonesia.
AB - Male homosexual preference (MHP) challenges evolutionary thinking because the
preference for male-male relationships is heritable, implies a fertility cost
(lower offspring number), and is relatively frequent in some societies (2-6% in
Western countries) for a costly trait. It has been proposed that individuals with
a MHP counterbalance reproductive costs through the transfer of resources to kin,
thereby improving their indirect reproduction through kin's reproductive success.
This kin selection hypothesis is not supported in Western countries and Japan,
although consistent evidence has been obtained in Samoa. In this study, data from
Java (Indonesia) were obtained to assess the avuncular tendencies of men with
contrasting sexual orientation to measure possible resource transfer. Consistent
with the kin selection hypothesis, males with a homosexual orientation reported
an increased willingness to transfer resources toward nephews and nieces and
declared having transferred more money to nephews and nieces. We developed a
method to quantitatively estimate the contribution of kin selection on inclusive
reproduction associated to sexual orientation, taking into account various
possible biases. Kin selection reduced the direct reproductive cost of homosexual
men by 20%, so suggesting that kin selection alone is insufficient to explain the
maintenance of male homosexuality. Other potential factors are discussed, as well
as the limitations of the study and the social determinant operating for the
expression of increased avuncular tendencies of homosexual men.
PMID- 29797147
TI - Associations Between Latent Classes of Interpersonal Polyvictimization and
Polyperpetration and Sexual Risk Behaviors Among Young Pregnant Couples: A Dyadic
Analysis.
AB - Interpersonal violence victimization and perpetration have been associated with
sexual risk behaviors among adolescents and young adults, but research is lacking
on: (1) how patterns of interpersonal polyvictimization and polyperpetration are
associated with sexual risk among young pregnant couples, and (2) how individual
and partner experiences of violence differentially impact sexual risk. The
current analyses used baseline data from a longitudinal study that followed 296
pregnant young couples from pregnancy to 12 months postpartum. Couples were
recruited at obstetrics and gynecology clinics, and an ultrasound clinic in the
U.S. Latent class analysis identified subgroups based on polyvictimization and
polyperpetration. Using the Actor-Partner Interdependence Model, path analyses
assessed actor-partner effects of class membership on sexual risk. Three latent
classes were used for women: Class 1: Polyvictim-Polyperpetrator; Class 2:
Nonvictim-Nonperpetrator; and Class 3: Community and Prior IPV Victim. Four
latent classes were used for men: Class 1: Community and Prior IPV Victim; Class
2: Polyvictim-Nonpartner Perpetrator; Class 3: Prior IPV and Peer Victim; and
Class 4: Nonvictim-Nonperpetrator. Path analyses revealed that females in Class 2
and their male partners had higher condom use than females in Class 3. Males in
Class 2 had more sexual partners than males in Class 1. Among nonmonogamous
couples, males in Class 2 were less likely to be involved with a female partner
reporting unprotected sex than males in Class 1. Among nonmonogamous couples,
females in Class 2 had more acts of unprotected sex than females in Class 1.
Males in Class 4 were less likely to have concurrent sexual partners compared to
males in Class 1. Risk reduction interventions should address both victimization
and perpetration. Additional research is needed to understand how mechanisms
driving differential sexual risk by patterns of interpersonal polyvictimization
and polyperpetration.
PMID- 29797148
TI - Cpf1-assisted efficient genomic integration of in vivo assembled DNA parts in
Saccharomyces cerevisiae.
AB - OBJECTIVES: To test the applicability of Cpf1 from Francisella novivida in
genomic integration of in vivo assembled DNA parts in Saccharomyces cerevisiae.
RESULTS: An easy-to-use vector toolkit, containing a CEN6/ARS4 plasmid expressing
Cpf1 from Francisella novivida (FnCpf1) and a 2 MU plasmid for crRNA or crRNA
array expressing, was constructed for Cpf1-assisted genomic integration in S.
cerevisiae. Our results showed that FnCpf1 allowed for targeted singleplex,
doubleplex, and tripleplex genomic integration of in vivo assembled DNA parts
with efficiencies of 95, 52, and 43%, respectively. CONCLUSIONS: CRISPR-Cpf1
system allows for efficient genomic integration of in vivo assembled DNA parts in
S. cerevisiae, and thus provides an alternative CRISPR-Cas method for metabolic
pathway engineering in addition to CRISPR-Cas9 system previously reported for
yeast.
PMID- 29797149
TI - Plant growth-promoting bacterium Pseudomonas fluorescens FR1 secrets a novel type
of extracellular polyhydroxybutyrate polymerase involved in abiotic stress
response in plants.
AB - OBJECTIVES: Identification of novel microbial factors contributing to plant
protection against abiotic stress. RESULTS: The genome of plant growth-promoting
bacterium Pseudomonas fluorescens FR1 contains a short mobile element encoding a
novel type of extracellular polyhydroxybutyrate (PHB) polymerase (PhbC)
associated with a type I secretion system. Genetic analysis using a phbC mutant
strain and plants showed that this novel extracellular enzyme is related to the
PHB production in planta and suggests that PHB could be a beneficial microbial
compound synthesized during plant adaptation to cold stress. CONCLUSION:
Extracellular PhbC can be used as a new tool for improve crop production under
abiotic stress.
PMID- 29797150
TI - The Child and Adolescent Services Assessment: Interrater Reliability and
Predictors of Rater Disagreement.
AB - The current study evaluated the interrater reliability of the Child and
Adolescent Services Assessment (CASA), a widely used structured interview
measuring pediatric mental health service use. Interviews (N = 72) were randomly
selected from a pediatric effectiveness trial, and audio was coded by an
independent rater. Regressions were employed to identify predictors of rater
disagreement. Interrater reliability was high for items (> 94%) and summary
metrics (ICC > .79) across service sectors. Predictors of disagreement varied by
domain; significant predictors indexed higher clinical severity or social
disadvantage. Results support the CASA as a reliable and robust assessment of
pediatric service use, but administrators should be alert when assessing
vulnerable populations.
PMID- 29797151
TI - Informing Federal Policy on Firearm Restrictions for Veterans with Fiduciaries:
Risk Indicators in the Post-Deployment Mental Health Study.
AB - This article examines the public safety rationale for a federal policy of
prohibiting gun sales to veterans with psychiatric disabilities who are assigned
a fiduciary to manage their benefits from the Department of Veterans Affairs. The
policy was evaluated using data on 3200 post-deployment veterans from the Iraq
and Afghanistan war era. Three proxy measures of fiduciary need-based on
intellectual disability, drug abuse, or acute psychopathology-were associated in
bivariate analysis with interpersonal violence and suicidality. In multivariate
analysis, statistical significance remained only for the measure based on acute
psychopathology. Implications for reforms to the fiduciary firearm restriction
policy are discussed.
PMID- 29797152
TI - Monitoring of glyphosate and AMPA in soil samples from two olive cultivation
areas in Greece: aspects related to spray operators activities.
AB - The persistence of glyphosate and its primary metabolite AMPA
(aminomethylphosphonic acid) was monitored in two areas in Southern Greece (Peza,
Crete and Chora Trifilias, Peloponnese) with a known history of glyphosate use,
and the levels of residues were linked to spray operators' activities in the
respective areas. A total of 170 samples were collected and analysed from both
areas during a 3-year monitoring study. A new method (Impact Assessment Procedure
- IAP) designed to assess potential impacts to the environment caused by growers'
activities, was utilised in the explanation of the results. The level of residues
was compared to the predicted environmental concentrations in soil. The ratio of
the measured concentrations to the predicted environmental concentrations
(MCs/PECs) was > 1 in Chora the first 2 years of sampling and < 1 in the third
year, whilst the MCs/PECs ratio was < 1 in Peza, throughout the whole monitoring
period. The compliance to the instructions for best handling practices, which
operators received during the monitoring period, was reflected in the amount of
residues and the MCs/PECs ratio in the second and especially the third sampling
year. Differences in the level of residues between areas as well as sampling
sites of the same area were identified. AMPA persisted longer than the parent
compound glyphosate in both areas.
PMID- 29797153
TI - Quick, safe, and effective maneuver to prevent phrenic nerve injury during
cryoballoon ablation of atrial fibrillation.
AB - PURPOSE: Phrenic nerve (PN) injury is a typical complication of cryoballoon
ablation (CBA) of pulmonary veins. The PN function is monitored by palpating the
abdomen during PN pacing, and freezing is prematurely terminated when a reduction
in the diaphragm movement is recognized. This study aimed to investigate the
efficacy and safety of a "pull-back" maneuver to prevent PN injury. METHODS: A
total of 284 patients were included, and the PN function was monitored by
recording the diaphragmatic compound motor action potentials (CMAP) during the
cryoballoon applications for pulmonary vein (PV) isolation. When the CMAP
amplitude was reduced by more than 30% compared to the control, the "pull-back"
maneuver (PBM) was undertaken to prevent PN injury. RESULTS: The average CMAP
amplitude significantly decreased from 0.81 +/- 0.04 to 0.31 +/- 0.21 (p < 0.01)
mV during the cryoballoon applications of PVs in 92 PVs. The PBM was employed in
all cases, and the average CMAP amplitude recovered to 0.87 +/- 0.31 mV (p <
0.01) in 79 out of 92 PVs (85.9%), accomplishing the CBA. Cryofreezing had to be
prematurely terminated due to failure of the PBM in 13 out of 92 cases (14.1%).
CONCLUSIONS: The PBM was an effective maneuver to prevent PN injury by creating a
distance between the PN and location of the cryoballoon. No adverse events were
provoked by this procedure.
PMID- 29797155
TI - Neuromodulating Attention and Mind-Wandering Processes with a Single Session Real
Time EEG.
AB - Our minds are continuously alternating between external attention (EA) and mind
wandering (MW). An appropriate balance between EA and MW is important for
promoting efficient perceptual processing, executive functioning, decision
making, auto-biographical memory, and creativity. There is evidence that EA
processes are associated with increased activity in high-frequency EEG bands
(e.g., SMR), contrasting with the dominance of low-frequency bands during MW
(e.g., Theta). The aim of the present study was to test the effects of two
distinct single session real-time EEG (rtEEG) protocols (SMR up-training/Theta
down-training-SMR?Theta?; Theta up-training/SMR down-training-Theta?SMR?) on EA
and MW processes. Thirty healthy volunteers were randomly assigned to one of two
rtEEG training protocols (SMR?Theta?; Theta?SMR?). Before and after the rtEEG
training, participants completed the attention network task (ANT) along with
several MW measures. Both training protocols were effective in increasing SMR
(SMR?Theta?) and theta (Theta?SMR?) amplitudes but not in decreasing the
amplitude of down-trained bands. There were no significant effects of the rtEEG
training in either EA or MW measures. However, there was a significant positive
correlation between post-training SMR increases and the use of deliberate MW
(rather than spontaneous) strategies. Additionally, for the Theta?SMR? protocol,
increase in post-training Theta amplitude was significantly associated with a
decreased efficiency in the orientation network.
PMID- 29797157
TI - The Resolution Axis Method (RAM) for lengthening of the femur with or without
associated frontal plane deformity (a new method).
AB - INTRODUCTION: Femoral lengthening with or along intramedullary (IM) nails will
occur along the axis of the nail coincident with the anatomical axis of the bone.
In the femur particularly, such lengthening often creates lateral mechanical axis
deviation as the knee is driven medially. In cases where shortening is associated
with frontal plane deformity the surgeon needs to correct the deformity intra
operatively, however, subsequent lengthening along the anatomical axis will
create deformity. Thus, planning for lengthening of the femur with or along IM
nails, whether shortening is associated with frontal plane deformity or not,
requires a completely different planning strategy. The author questioned if a
resolution anatomical axis can be identified and used for planning when
lengthening the femur along or with IM nails while still applying the same
classic CORA deformity analysis method. METHODS: In a prospective study, the
author included eight patients who needed femoral lengthening, five with
associated frontal plane deformity and three without. The author identified a
method to determine the trajectory of the nail in the lower femoral segment. It
was done by calculating the angle enclosed between this resolution anatomical
axis and the mechanical axis, also known as the anatomical-mechanical angle.
RESULTS: This new method has proven to be effective in achieving normal alignment
after lengthening is completed. CONCLUSION: The Resolution Axis Method is a new
and alternative method providing a solution for planning when lengthening the
femur along the anatomical axis using an IM nail, whether a deformity is present
or not.
PMID- 29797154
TI - Transcriptome profiling analysis reveals the role of latrophilin in controlling
development, reproduction and insecticide susceptibility in Tribolium castaneum.
AB - Latrophilin of Tribolium castaneum (Tclph) has been reported to play crucial
roles in growth, development and reproduction. However, the regulatory mechanism
of Tclph associated with these physiology processes is unknown. Thus, the global
transcriptome profiles between RNAi treated (ds-Tclph) and control larvae of T.
castaneum were analyzed by RNA-sequencing. Totally, 274 differentially expressed
genes (DEGs) were identified between the ds-Tclph and control samples. These DEGs
were classified into 42 GO functional groups, including developmental process,
reproduction and stress response. The results indicated that knockdown of Tclph
disturbed the antioxidant activity process, and partially inhibited the serine
protease (SP) and lipase signaling pathways to regulate the development and
reproduction as well as the decreasing of the stress response in T. castaneum.
Additionally, knockdown of Tclph suppressed IMD immunity pathways which likely
modulated the effects of Tclph on stress response. Interestingly, CSPs, ESTs,
CYPs, AOXs and BGs were significantly down-regulated in ds-Tclph larvae, implying
that they cooperated with Tclph to reduce the activity of cellular metabolism
system. FMOs was up-regulated in ds-Tclph insects suggested it may be involved in
detoxifying alkaloid of insect metabolism system. These results implied that
Tclph participated in phase 0, I and II cellular detoxification. Furthermore,
RNAi against Tclph increased larval susceptibility to carbamates and
organophosphates insecticides, supporting that Tclph was indeed involved into the
insecticide susceptibility in T. castaneum.
PMID- 29797158
TI - Drought and Distress in Southeastern Australia.
AB - Droughts may increase the risk of mental health problems, but evidence suggests a
complex story with some groups being vulnerable while others are not. Previous
studies from Australia have found associations with suicide, depression and
distress that vary by age, gender and remoteness. Understanding the effects of
drought on mental health is important because drought is predicted to be more
intense in some areas in the future. We investigated the associations between
drought and distress in a survey of rural Australians by age, gender and farming
status. We collected distress data using a survey of 5312 people from across the
state of Victoria, Australia, in 2015. Respondents completed the Kessler 10 (K10)
Psychological Distress Index, and demographic and general health data were
collected. We linked a climatic drought index to the locality of residence of
respondents. Associations between distress and drought were analyzed using
multivariable regression models with interactions by age, gender and farming
occupation. Parts of Victoria were in drought in 2015. Drought duration was
associated with higher distress in younger rural women (aged 40-54: odds ratio
1.18 per inter-quartile range increase in drought duration) but not older rural
women or men. This pattern did not vary between farmers and non-farmers. Drought
was associated with increased distress, but this differed between subgroups. Our
results suggest that supporting younger women may be particularly important, and
understanding ways older Australian rural women cope may enable us to build
adaptive capacity and resilience.
PMID- 29797156
TI - The Relation Between Trait Anger and Impulse Control in Forensic Psychiatric
Patients: An EEG Study.
AB - Inhibitory control is considered to be one of the key factors in explaining
individual differences in trait anger and reactive aggression. Yet, only a few
studies have assessed electroencephalographic (EEG) activity with respect to
response inhibition in high trait anger individuals. The main goal of this study
was therefore to investigate whether individual differences in trait anger in
forensic psychiatric patients are associated with individual differences in anger
primed inhibitory control using behavioral and electrophysiological measures of
response inhibition. Thirty-eight forensic psychiatric patients who had a medium
to high risk of recidivism of violent and/or non-violent behaviors performed an
affective Go/NoGo task while EEG was recorded. On the behavioral level, we found
higher scores on trait anger to be accompanied by lower accuracy on NoGo trials,
especially when anger was primed. With respect to the physiological data we
found, as expected, a significant inverse relation between trait anger and the
error related negativity amplitudes. Contrary to expectation, trait anger was not
related to the stimulus-locked event related potentials (i.e., N2/P3). The
results of this study support the notion that in a forensic population trait
anger is inversely related to impulse control, particularly in hostile contexts.
Moreover, our data suggest that higher scores on trait anger are associated with
deficits in automatic error-processing which may contribute the continuation of
impulsive angry behaviors despite their negative consequences.
PMID- 29797159
TI - Descriptive Modeling of the Dynamical Systems and Determination of Feedback
Homeostasis at Different Levels of Life Organization.
AB - The state-of-art research in the field of life's organization confronts the need
to investigate a number of interacting components, their properties and
conditions of sustainable behaviour within a natural system. In biology, ecology
and life sciences, the performance of such stable system is usually related to
homeostasis, a property of the system to actively regulate its state within a
certain allowable limits. In our previous work, we proposed a deterministic model
for systems' homeostasis. The model was based on dynamical system's theory and
pairwise relationships of competition, amensalism and antagonism taken from
theoretical biology and ecology. However, the present paper proposes a different
dimension to our previous results based on the same model. In this paper, we
introduce the influence of inter-component relationships in a system, wherein the
impact is characterized by direction (neutral, positive, or negative) as well as
its (absolute) value, or strength. This makes the model stochastic which, in our
opinion, is more consistent with real-world elements affected by various random
factors. The case study includes two examples from areas of hydrobiology and
medicine. The models acquired for these cases enabled us to propose a convincing
explanation for corresponding phenomena identified by different types of natural
systems.
PMID- 29797160
TI - "Closing the Loop" Developing State-Level Data Sharing Interventions to Promote
Optimum Outcomes Along the HIV Continuum of Care.
AB - This manuscript describes the experiences of three state departments of health
(SDoH) that successfully launched data sharing interventions involving
surveillance and/or patient data collected in clinics to improve care outcomes
among people living with HIV. We examined 58 key informant interviews, gathered
at two time points, to describe the development and implementation of data
sharing interventions. We identified three common themes across states'
experiences: creating standard practices, fostering interoperability, and
negotiating the policy environment. Projects were successful when state teams
adapted to changing circumstances and were committed to a consistent
communication process. Once implemented, the interventions streamlined processes
to promote linkage and retention in care among low-income populations living with
HIV. Despite using routinely collected data, key informants emphasized the labor
intensive process to develop and sustain the interventions. Lessons learned from
these three state experiences can help inform best practices for other SDoH that
are considering launching similar interventions.
PMID- 29797161
TI - Female Gender and HIV Transmission Risk Behaviors Among People Living with HIV
Who Have Ever Used Injection Drugs in St. Petersburg, Russia.
AB - Among persons who inject drugs, women have a higher HIV prevalence (than men) in
many settings. Understanding how gender affects risk for infection among HIV
negative, and transmission among HIV-positive people who currently or previously
injected drugs is key to designing effective prevention and treatment programs.
We analyzed data from 291 persons living with HIV who had ever injected drugs.
Participants were drawn from the Russia Alcohol Research Collaboration on
HIV/AIDS cohort (2012-2015) to examine associations between female gender and HIV
transmission risk. Primary outcomes were sharing drug injecting equipment (e.g.,
needle/syringes) and condomless sex. Secondary outcomes were alcohol use before
sharing drug injecting equipment; before condomless sex; and both sharing drug
injecting equipment and condomless sex. Logistic regression models assessed
associations between gender and outcomes, controlling for demographics, partner
HIV status and use of antiretroviral treatment. Female gender was not
significantly associated with sharing drug injecting equipment [aOR = 1.45, 95%
confidence interval (CI) 0.85-2.46, p value = 0.18] but was associated with
condomless sex (aOR = 1.91, 95% CI 1.12-3.23, p = 0.02) in adjusted models.
Female gender was not significantly associated with any secondary outcomes.
Better understanding of risky sex and drug use behaviors among people who
currently or previously injected drugs can support the design of effective gender
tailored HIV prevention interventions.
PMID- 29797162
TI - Missed Opportunities for HIV Prevention: Individuals Who HIV Seroconverted
Despite Accessing Healthcare.
AB - Individuals with a negative HIV test before a positive one (seroconverters) may
represent missed opportunities for prevention. To inform HIV prevention
strategies, we aimed to characterize patients who seroconverted despite accessing
care. We identified patients at a large, urban healthcare system who
seroconverted between 2009 and 2014. Demographics, visits, and HIV-related
variables were extracted from the medical records. We performed descriptive
statistics, assessed for trends, and tested for associations according to sex.
220 seroconverters were identified: 45% were female, 87% were non-Hispanic Black
or Hispanic, and median number of negative tests prior to diagnosis was 2 (IQR 1
3). Overall, 49% reported heterosexual contact as their risk factor and the
proportion with heterosexual risk increased over time (24% in 2009 vs. 56% in
2014, p = 0.03). Compared to men, women were older at the time of diagnosis (35
vs. 26 years old, p < 0.01), had more visits between their latest negative and
positive HIV test (4 vs. 2, p < 0.01), and were more likely to be diagnosed in
the context of screening (64% vs. 56%, p = 0.05). We identified a population that
became HIV-infected despite multiple healthcare encounters and undergoing HIV
testing multiple times. Patients were mostly heterosexual and almost half were
female. To avoid missed opportunities for those already accessing care, HIV
prevention efforts should include strategies tailored to individuals with less
frequently recognized risk profiles.
PMID- 29797163
TI - Collecting Mobility Data with GPS Methods to Understand the HIV Environmental
Riskscape Among Young Black Men Who Have Sex with Men: A Multi-city Feasibility
Study in the Deep South.
AB - While research increasingly studies how neighborhood contexts influence HIV among
gay, bisexual and other men who have sex with men (MSM) populations, to date, no
research has used global positioning system (GPS) devices, an innovative method
to study spatial mobility through neighborhood contexts, i.e., the environmental
riskscape, among a sample of Black MSM. The purpose of this study was to examine
the feasibility of collecting two-week GPS data (as measured by a pre- and post
surveys as well as objectively measured adherence to GPS protocol) among a
geographically-diverse sample of Black MSM in the Deep South: Gulfport, MS,
Jackson, MS, and New Orleans LA (n = 75). GPS feasibility was demonstrated
including from survey items, e.g. Black MSM reported high ratings of pre-protocol
acceptability, ease of use, and low levels of wear-related concerns. Findings
from this study demonstrate that using GPS methods is acceptable and feasible
among Black MSM in the Deep South.
PMID- 29797165
TI - The modified Dunn procedure provides superior short-term outcomes in the
treatment of the unstable slipped capital femoral epiphysis as compared to the
inadvertent closed reduction and percutaneous pinning: a comparative clinical
study.
AB - PURPOSE: The aim of this study was to compare clinical outcomes and radiographic
correction after modified Dunn procedure versus inadvertent closed reduction and
percutaneous pinning for the treatment of unstable slipped capital femoral
epiphysis (SCFE). METHODS: We evaluated 45 patients with unstable SCFE treated
using the modified Dunn procedure (n = 27) or percutaneous pinning (n = 18)
during a minimum follow-up of one year. Clinical outcomes were assessed using the
Heyman and Herndon scores. The Southwick angle, alpha angle, and femoral head
neck offset were used to assess radiographic correction. The occurrence of
complications and unplanned re-operations were recorded. RESULTS: At latest
follow-up, 67% (18/27) in the modified Dunn procedure group and 28% (5/18) in the
in situ pinning group had good or excellent Heyman and Herndon outcomes (p =
0.016). The morphology of the femoral head and neck was improved in the modified
Dunn procedure group compared to percutaneous pinning (Southwick angle, alpha
angles; femoral head-neck offset; p < 0.001). The proportion of osteonecrosis (26
vs. 28%; p > 0.999) and unplanned re-operations (26 vs. 33%; p = 0.894) was
similar in both groups. CONCLUSION: Compared to inadvertent reduction and
percutaneous pinning, the modified Dunn procedure provided better clinical and
radiographic outcomes with similar proportion of osteonecrosis and unplanned re
operations following an unstable SCFE.
PMID- 29797164
TI - Mechanism of Resistance to Camptothecin, a Cytotoxic Plant Secondary Metabolite,
by Lymantria sp. Larvae.
AB - Camptothecin (CPT), a monoterpene indole alkaloid, is a potent inhibitor of
eukaryotic topoisomerase I (Top 1). Because of this property, several derivatives
of CPT are widely used as chemotherapeutic agents. The compound is produced by
several plant species, including Nothapodytes nimmoniana (Family: Icacinaceae)
presumably as a deterrent to insect pests. Here, we report, a lepidopteran larva,
Lymantria sp. of Lymantriidae family which feeds voraciously on the leaves of N.
nimmoniana, without any adverse consequences. Larval body weight and molting
period were unaffected despite captive feeding of the larva with CPT enriched
leaves. Mass spectrometric analysis indicated that nearly 46% of the ingested CPT
was excreted while the rest was sequestered predominantly in the exuviae and
setae (~35%). Although most of the CPT was in the parental form as found in the
plant, traces of inactive, sulfated forms of CPT were recovered from the larva.
Compared to that in plant, there were no critical mutations at the CPT binding
domain of the insect's Top 1. The gut pH of the larva was alkaline (pH 10.0). The
alkaline gut environment converts CPT from its active, lactone form to inactive,
carboxylate form. It is likely that such conversion might help the larva to
reduce the overall burden of CPT in its gut. We discuss the results in the
context of the mechanisms of resistance adapted by insects to plant toxins.
PMID- 29797166
TI - Strength of suture-button fixation versus ligament reconstruction in syndesmotic
injury: a biomechanical study.
AB - PURPOSE: To compare the biomechanical characteristics of suture-button fixation
versus ligament reconstruction using semitendinosus tendon autograft in treatment
of syndesmotic injury in cadaver biomechanical study. METHODS: Eight matched
pairs of human cadaveric lower-extremities were measured intact, then following
simulated syndesmosis injury by cutting the anterior tibiofibular ligament
(AITFL), the distal 15 cm of the interosseous membrane (IO), and the deltoid
ligament. Thereafter, the syndesmotic injury was treated by suture-button
fixation or ligament reconstruction. The semitendinosus tendon was harvested as a
graft. Biomechanical testing was performed after the surgical fixation. The foot
underwent rotation from neutral position to an external rotation at a rate of 5
degrees /s to 12.5 Nm. The three-dimensional syndesmotic diastasis readings,
final rotation torque, and rotational angle were recorded. RESULTS: No difference
was found in fibular displacements between two groups. Moreover, no significant
difference was found in final rotation torque (11.95 +/- 1.03 VS 11.66 +/- 1.18
Nm, P = 0.62) and rotation angle (43.61 degrees +/- 14.77 degrees VS 40.93
degrees +/- 10.94 degrees , P = 0.56) in the suture-button group and ligament
reconstruction group. CONCLUSION: The stability of the suture-button fixation was
equivalent to ligament reconstruction using semitendinosus tendon autograft in
treatment of syndesmotic injury as determined with biomechanical testing.
However, this study does not prove that one is advantageous over the other.
PMID- 29797167
TI - Cementless total hip arthroplasty for osteonecrosis and osteoarthritis produce
similar results at ten years follow-up when matched for age and gender.
AB - PURPOSE: The aim of this study was to compare the clinical outcomes of cementless
total hip arthroplasty (THA) used for the treatment of osteonecrosis of the
femoral head (ONFH) and for osteoarthritis (OA) at a mean ten years follow-up.
METHODS: Case-control study of 78 patients (86 hips) who underwent THA for ONFH
treatment (ONFH group). Patients were matched for age and sex to 78 patients (86
hips) who underwent THA for OA (OA group). We compared the clinical and patient
reported outcomes, implant survival rates, and rates of complications between the
groups. RESULTS: There were no between-group differences in the Harris Hip Score
and in the following patient-reported outcomes at the last follow-up: Japanese
Orthopedic Association Hip-Disease Evaluation Questionnaire (JHEQ) pain and
movement scores and the Physical Component Summary and Role/Social Component
Summary scores of Short Form-36 (SF-36). However, the mental score of the JHEQ,
the Mental Component Summary score of SF-36, and The Visual Analog Scale score
for satisfaction were lower for the ONFH group than for OA group. The rate of
complication was equivalent between the groups: 5% for the ONFH group and 3% for
the OA group. The ten year implant survival rate was equivalent between the
groups, at 97.5% for the ONFH group and 98.2% for the OA group. CONCLUSION: The
functional outcomes, implant survival, and rate of complications for cementless
THAs are comparable at a mean follow-up of ten years for ONFH and OA.
PMID- 29797168
TI - The role of autophagy in steroid necrosis of the femoral head: a comprehensive
research review.
AB - Steroid-induced osteonecrosis of the femoral head (ONFH) has the incidence of 9
40% in patients receiving long-term treatment and is mainly involved in the
middle and young people. It is mostly bilateral, with a wide range of necrosis
and high disability rate, which brings disaster for patients and families. The
experimental study shows that autophagy participates in the pathological process
of steroid ONFH and is closely related to apoptosis, and the interaction between
autophagy and bone cells is related to the dose of hormones. Moreover, autophagy
also affects the interaction between osteoblasts and osteoclasts in ONFH. In the
present review, we have discussed the role of autophagy in the pathological
process of the steroid-induced ONFH.
PMID- 29797169
TI - Predation and behavioral changes in the neotropical lacewing Chrysoperla externa
(Hagen) (Neuroptera: Chrysopidae) exposed to lambda-cyhalothrin.
AB - Pyrethroid insecticides are widely recommended against various defoliating pest
species, but usually lack efficacy against sucking pests such as aphids, which
are preferred prey of lacewing larvae. Interaction of pyrethroids and lacewings
are likely to occur in fields infested by both defoliating and sap-sucking pests
and should provide a complementary control. Therefore, our study aimed to
estimate dose-mortality curves and behavioral changes by Chrysoperla externa
exposed to lambda-cyhalothrin. We tested the susceptibility of two populations
from different locations and insecticide history exposure through topical
application. Based on the LD50-calculated, the population exhibiting the greater
LD50 was exposed to resistance enhancement (Sel) by treating larvae once for
seven successive generations. The population with lower LD50 was kept without
selection (Nsel). Subsequently, walking, predation and oviposition behavioral
after exposure to dried insecticide residues were investigated. After seven
generations with insecticide selection, the resistance rations between Sel and
Nsel populations were 5.85- and 9.37-fold higher for larvae and 3.38- and 2.75
fold higher for adults, respectively. Selected females caged in partially treated
arenas laid similar eggs number on both treated and untreated surfaces, while
Nsel females laid fewer eggs on treated surfaces. Insecticide repellency was not
observed in either population, although irritability was observed for Nsel
larvae. Selected larvae confined on fully and partially treated surfaces walked
further, for a longer time, and with greater speeds compared to Nsel larvae.
Furthermore, Sel and Nsel larvae had reduced predation rates when confined on
treated surfaces, and Nsel larvae consumed less prey than Sel larvae. Results
indicate changes in susceptibility, behavior, and predation rate of C. externa
following exposure to lambda-cyhalothrin.
PMID- 29797170
TI - Non-target toxicity of synthetic insecticides on the biological performance and
population growth of Bracon hebetor Say.
AB - Bracon hebetor Say (Hymenoptera: Braconidae) is an important biological control
agent of various species of order Lepidoptera and extensively used in biological
control program worldwide. Present study evaluated the lethal and sublethal
effects of insecticides on B. hebetor using demographic and population growth
parameters. Doses of all the tested insecticides were within a maximum range of
their recommended field dosages and adults were treated using residual glass
vials method. For control experiments adults were treated with distilled water.
Among the tested insecticides, the survivorship of various stages of B. hebetor
was considerably prolonged on cyantraniliprole followed by chlorantraniliprole
and shortest on chlorpyrifos and profenofos treated group. Total immature
development time was prolonged in chlorpyrifos and profenofos treated group.
Population growth parameters like intrinsic rate of natural increase (rm), net
reproductive rate (R0), finite rate of increase (lambda) and mean generation time
(Tc) were considerably reduced in B. hebetor groups treated with chlorpyrifos and
profenofos. However, B. hebetor groups treated with chlorantraniliprole and
cyantraniliprole showed a little or no much difference in population growth
parameters when compared with untreated group. It was also observed that
chlorpyrifos and profenofos modified the sex ratio, thereby female emergence get
reduced. On the basis of present findings it can be concluded that all tested
insecticides caused considerable ecotoxic effects on B. hebetor compared to
control. However, comparisons among the tested insecticides on the basis of IOBC
criteria showed that chlorantraniliprol and cyntraniliprol was less toxic as
compared to other insecticides tested on this biological control agent.
PMID- 29797171
TI - Morphological, physiological and molecular responses of Nitzschia palea under
cadmium stress.
AB - The impact of cadmium on the diatom Nitzschia palea (Kutzing) W. Smith 1856 was
studied by examining the relation between valve deformities and response through
biological processes and genetic expression. Cultures of N. palea were exposed to
two Cd treatments (C1 = 2.4 +/- 0.6 and C2 = 42.6 +/- 4.2 ug Cd/L) along with a
control (C0 = 0 ug Cd/L) for 28 days. Cadmium bioaccumulation, diatoms growth,
photosynthetic efficiencies, valve deformities and genetic expression were
investigated during the course of the experiment. Cadmium exposure had
significant effects on bioaccumulation, growth, valve deformities and genetic
expression. Maximal effects for all studied endpoints were recorded after 7 days
of exposure for the C2 treatment, which corresponded to the sampling time and
condition with maximum cadmium bioaccumulation. Abnormal raphe formations
(deviation from its lateral position) were significantly more abundant in the C2
treatment compared to the control. Molecular responses were related to cadmium
level based on the number of genes impacted, intensity of the response and the
frequency of observations. The expression of genes involved in the regulation of
mitochondrial metabolism, photosynthesis, oxidative stress and silica metabolism
was affected by cadmium exposure.
PMID- 29797172
TI - Enhancing the human dimensions of children's neuromuscular care: piloting a
methodology for fostering team reflexivity.
AB - For those with chronic, progressive conditions, high quality clinical care
requires attention to the human dimensions of illness-emotional, social, and
moral aspects-which co-exist with biophysical dimensions of disease. Reflexivity
brings historical, institutional, and socio-cultural influences on clinical
activities to the fore, enabling consideration of new possibilities. Continuing
education methodologies that encourage reflexivity may improve clinical practice
and trainee learning, but are rare. We piloted a dialogical methodology with a
children's rehabilitation team to foster reflexivity (patient population: young
people with Duchenne's or Becker's muscular dystrophy). The methodology involved
three facilitated, interactive dialogues with the clinical team. Each dialogue
involved clinicians learning to apply a social theory (Mol's The Logic of Care)
to ethnographic fieldnotes of clinical appointments, to make routine practice
less familiar and thus open to examination. Discourse analyses that preserve
group dynamics were completed to evaluate the extent to which the dialogues
spurred reflexive dialogue within the team. Overall, imagining impacts of
clinical care on people's lives-emphasized in the social theory applied to
fieldnotes-showed promise, shifting how clinicians interpreted routine practices
and spurring many plans for change. However, this reflexive orientation was not
sustained throughout, particularly when examining entrenched assumptions
regarding 'best practices'. Clinicians defended institutional practices by co
constructing the metaphor of balancing logics in care delivery. When invoked, the
balance metaphor deflected attention from emotional, social, and moral impacts of
clinical care on patients and their families. Emergent findings highlight the
value of analysing reflexivity-oriented dialogues using discourse analysis
methods.
PMID- 29797173
TI - Anti-inflammatory activity of dimethyl octenol and oleanene tetrol isolated from
Trianthema decandra L.
AB - Dimethyl octenol from chloroform extract and oleanene tetrol from water extract
of Trianthema decandra (TD) were isolated and characterized by using HPLC, UV, FT
IR, NMR, LC-MS and CHNS, their structure were elucidated from their respective
spectral data. The anti-inflammatory activity of chloroform extract, water
extract, dimethyl octenol and oleanene tetrol of T. decandra were studied and
underlying cellular and molecular mechanisms of action were investigated in vitro
and in vivo using macrophage-like cell line (RAW264.7 cells) and type II collagen
induced arthritis mice models. Nitric oxide production was inhibited and TNF
alpha secretion was supressed in stimulated RAW cells treated with the chloroform
extract and dimethyl octenol of T. decandra. Further, the chloroform and water
extract, dimethyl octenol and oleanene tetrol inhibited protein denaturation and
stabilized HRBC membranes in vitro. Reduction in inflammation as a measure of paw
diameter was recorded in all the treated animals when compared to control
animals. Catalase, peroxidase and glutathione peroxidase levels significantly
increased in the joint tissue of treated groups. The possible mechanism of action
of these compounds was studied using in silico molecular docking methods with
phospholipase A2 (PLA2), cycloxygenase-1 (COX-1) and cycloxygenase-2 (COX-2) as
targets. Among the three target proteins, the inhibition of the inflammatory
protein PLA2 and COX-2 towards dimethyl octenol and oleanene tetrol respectively.
Our results contribute towards confirmation of the traditional use of TD and its
compounds for the therapy of rheumatoid arthritis and other inflammatory joint
disorders.
PMID- 29797174
TI - Cytotoxicity of doxrubicin loaded single-walled carbon nanotubes.
AB - Carbon nanotube (CNTs) is a new alternative for efficient drug delivery and it
has a great potential to change drug delivery system profile in pharmaceutical
industry. One of the important advantage of CNTs is their needle-like,
cylindrical shape. This shape provides a high surface area for multiple
connections and adsorption onto for millions of therapeutic molecules. CNTs can
be internalized by cells via endocytosis, passive diffusion and phagocytosis and
release the drug with different effects like pH and temperature. The acidic
nature of cancer cells and the susceptibility of CNTs to release the drug in the
acidic environment have made it a promising area of research in cancer drug
delivery. In this research, we investigated cell viability, cytotoxicity and drug
delivery in breast cancer cell line by designing non-covalent single walled
carbon nanotubes (SWNT)-doxorubicin (DOX) supramolecular complex that can be
developed for cancer therapy. Applied high concentrations of DOX loaded SWNTs
changed the actin structure of the cells and prevented the proliferation of the
cells. It was showed that doxorubicin loaded SWNTs were more effective than free
doxorubicin at relatively small concentrations. Once we applied same procedure
for short and long (short: 1-1.3 um; long: 2.5-4 um) SWNTs and compared the
results, more disrupted cell structure and reduction in cell proliferation were
observed for long CNTs. DOX is bounded more to nanotubes in basic medium, less
bound in acidic environment. Cancer cells were also examined for concentration at
which they were effective by applying DOX and it was seen that 3.68 uM
doxorubicin kills more than 55% of the cells.
PMID- 29797176
TI - SF-6D population norms for the Hong Kong Chinese general population.
AB - PURPOSE: To estimate population norms for the SF-6D health preference (utility)
scores derived from the MOS SF-36 version 1 (SF-36v1), SF-36 version 2 (SF-36v2),
and (SF-12v2) health surveys collected from a representative adult sample in Hong
Kong, and to assess differences in SF-6D scores across sociodemographic
subgroups. METHODS: A random telephone survey of 2410 Chinese adults was
conducted. All respondents completed questionnaires on sociodemographics and
presence of chronic diseases (hypertension, diabetes, chronic rheumatism, chronic
lung diseases, stroke, and mental illness), and the short-form 36-item health
survey (SF-36) version 1, and selected items of the SF-36v2 that were different
from those of SF-36v1. Responses of short-form 12-item health survey (SF-12) were
extracted from responses of the SF-36 items. SF-6D health utility scores were
derived from SF-36 version 1 (SF-6DSF-36v1), SF-36 version 2 (SF-6DSF-36v2), and
SF-12 version 2 (SF-6DSF-12v2) using Hong Kong SF-6D value set. RESULTS:
Population norms of SF-6DSF-36v1, SF-6DSF-36v2, and SF-6DSF-12v2 for the Hong
Kong Chinese were 0.7947 (+/- 0.0048), 0.7862 (+/- 0.0049), and 0.8147 (+/-
0.0050), respectively. Three SF-6D scores were highly correlated (0.861-0.954),
and had a high degree of reliability and absolute agreement. Males had higher
health utility scores (SF-6DSF-36v1: 0.0025; SF-6DSF-36v2: 0.025; SF-6DSF-12v2:
0.018) but reported less problems in all the dimensions than women. Respondents
with a higher number of chronic diseases had lower SF-6D scores. Among all
respondents with one or more chronic diseases, those with hypertension scored the
highest whereby those with mental illness scored the least. CONCLUSIONS: The SF
6D utility scores derived from different SF-36 or SF-12 health surveys were
different. The population norms based on these three health surveys enable the
normative comparisons of health utility scores from specific population or
patient groups, and provide estimates of age-gender adjusted health utility
scores for health economic evaluations.
PMID- 29797175
TI - Combining online and in-person methods to evaluate the content validity of PROMIS
fatigue short forms in rheumatoid arthritis.
AB - PURPOSE: Fatigue is frequent and often severe and disabling in RA, and there is
no consensus on how to measure it. We used online surveys and in-person
interviews to evaluate PROMIS Fatigue 7a and 8a short forms (SFs) in people with
RA. METHODS: We recruited people with RA from an online patient community (n =
200) and three academic medical centers (n = 84) in the US. Participants
completed both SFs then rated the comprehensiveness and comprehensibility of the
items to their fatigue experience. Cognitive debriefing of items was conducted in
a subset of 32 clinic patients. Descriptive statistics were calculated, and
associations were evaluated using Pearson and Spearman correlation coefficients.
RESULTS: Mean SF scores were similar (p >= .61) among clinic patients reflecting
mild fatigue (i.e., 54.5-55.9), but were significantly higher (p < .001) in
online participants. SF Fatigue scores correlated highly (r >= 0.82; p < .000)
and moderately with patient assessments of disease activity (r >= 0.62; p =
.000). Most (70-92%) reported that the items "completely" or "mostly" reflected
their experience. Almost all (>= 94%) could distinguish general fatigue from RA
fatigue. Most (>= 85%) rated individual items questions as "somewhat" or "very
relevant" to their fatigue experience, averaged their fatigue over the past 7
days (58%), and rated fatigue impact versus severity (72 vs. 19%). 99% rated
fatigue as an important symptom they considered when deciding how well their
current treatment was controlling their RA. CONCLUSIONS: Results suggest that
items in the single-score PROMIS Fatigue SFs demonstrate content validity and can
adequately capture the wide range of fatigue experiences of people with RA.
PMID- 29797177
TI - Third molar removal and its impact on quality of life: systematic review and meta
analysis.
AB - OBJECTIVE: The purpose of this systematic review was to assess the impact of
third molar removal on patient's quality of life. METHODS: To address the study
purpose, investigators designed and implemented a systematic review. The primary
outcome variable was the quality of life after third molar extraction. An
electronic search was conducted through March, 2017, on the PUBMED, Virtual
Health Library (VHL), Web of Science, and OVID, to identify relevant literatures.
Research studies (randomized or non-randomized clinical trials) were included
that evaluated the quality of life in individuals before and after third molar
extraction, using validated measures of oral health-related quality of life with
quantitative approach, besides procedures performed under local anesthesia. The R
software was used to measure the mean difference on the quality of life between
the preoperative period and follow-up days. RESULTS: A total of 1141 studies were
identified. Of this total, 13 articles were selected in the present systematic
review, of which six studies were included in the meta-analysis. All of these 13
articles used the OHIP-14, and 4 of this 13 used OHQoLUK-16 to evaluate the
quality of life. Regarding quality assessment, four of the 13 included studies in
this review received a maximum score of 9 points, according to the Newcastle
Ottawa (NOS). The OHIP-14 mean score on the first postoperative day was 17.57
(95% CI 11.84-23.30, I2 = 96%) higher than the preoperative period. On the
seventh postoperative day, the quality of life assessed by OHIP-14 got worse
again. CONCLUSION: This systematic review revealed that the highest negative
impact on quality of life of individuals submitted to third molar surgery was
observed on the first postoperative day, decreasing over the follow-up period.
PMID- 29797178
TI - Generalized Processing Tree Models: Jointly Modeling Discrete and Continuous
Variables.
AB - Multinomial processing tree models assume that discrete cognitive states
determine observed response frequencies. Generalized processing tree (GPT) models
extend this conceptual framework to continuous variables such as response times,
process-tracing measures, or neurophysiological variables. GPT models assume
finite-mixture distributions, with weights determined by a processing tree
structure, and continuous components modeled by parameterized distributions such
as Gaussians with separate or shared parameters across states. We discuss
identifiability, parameter estimation, model testing, a modeling syntax, and the
improved precision of GPT estimates. Finally, a GPT version of the feature
comparison model of semantic categorization is applied to computer-mouse
trajectories.
PMID- 29797179
TI - Use of a Caco-2 permeability assay to evaluate the effects of several Kampo
medicines on the drug transporter P-glycoprotein.
AB - In modern medical care in which Kampo and Western drugs are often combined, it is
extremely important to clarify drug-drug interaction (DDI) to ensure safety and
efficacy. However, there is little evidence of DDI in Kampo medicines. Therefore,
as part of our studies to clarify the DDI risk for Kampo medicines, we evaluated
the effects of five Kampo medicines [yokukansan (YKS), rikkunshito (RKT),
shakuyakukanzoto (SKT), hangeshashinto (HST), and goshajinkigan (GJG)] that are
widely used in Japan, on drug transporter P-glycoprotein (P-gp) using a Caco-2
permeability assay. These Kampo medicines inhibited the P-gp transport of digoxin
through a Caco-2 cell monolayer. The IC50 values were 1.94-10.80 mg/ml. Of the
five Kampo medicines, YKS showed the strongest inhibition (IC50 = 1.94 mg/ml),
which was attributed to Uncariae Uncis Cum Ramulus. Unfortunately, we could not
find the active ingredients responsible for its action. Finally, the Igut/IC50
values for the five Kampo medicines were calculated, and the DDI risk was
objectively evaluated according to the criteria in the DDI guidance issued by the
Japanese Ministry of Health, Labor, and Welfare and the US Food and Drug
Administration. The Igut/IC50 values for the five Kampo medicines were <=3.4. As
these values were <10, they were evaluated as having a weak P-gp inhibitory
effect that does not require further verification in humans, suggesting that the
DDI risk due to P-gp inhibition for these Kampo medicines is low. The results
should provide useful clinical information on the safety and efficacy of the
combined use of Kampo and Western medicines.
PMID- 29797182
TI - The impact of multi-walled carbon nanotubes (MWCNTs) on macrophages: contribution
of MWCNT characteristics.
AB - Multi-walled carbon nanotubes (MWCNTs) have wide application prospects but also
exhibit notable biotoxicity that is tightly associated with macrophages.
Macrophages simultaneously act as initiators and defenders in MWCNT-induced organ
lesions, and targeting macrophages with MWCNTs may be a potential immunotherapy
and oncotherapy approach. This review focuses on the impacts of MWCNTs on
macrophages and further discusses the influence of MWCNT characteristics on their
bioactivity. Based on existing studies, MWCNTs stimulate macrophage migration,
induce secretion of various cytokines and activate inflammatory pathways in
macrophages, especially NLRP3-mediated IL-1beta production. This inflammatory
state, together with the oxidative stress and cell membrane lesions induced by
MWCNTs, contributes to decreased phagocytic ability and cell viability, which
finally results in cell apoptosis and necrosis. A series of intracellular and
systemic components, such as toll-like receptor, high-mobility group box 1, Rho
associated kinases, scavenger receptor and complement components, may be involved
in the above-mentioned cell-MWCNT interactions. The characteristics of MWCNTs can
influence their bioactivity in macrophages both mechanically and chemically. The
size (length and/or diameter), functionalization, purification and even the
experimental method can affect the influence of MWCNTs on macrophages, and a
better understanding of these MWCNT characteristics may benefit utilization of
this nanomaterial in associated nanomedical applications.
PMID- 29797180
TI - Pre- and early postoperative GFAP serum levels in glioma and brain metastases.
AB - SUBJECT: To date there is no established tumor marker for the clinical follow-up
of glioblastoma, WHO grade IV, (GBM) which constitutes the most frequent and
malignant primary brain tumor. However, since there is promising data that the
serum glial fibrillary acidic protein (sGFAP) may serve as a biomarker for glial
brain tumors, this prospective study aimed at evaluating the diagnostic relevance
of perioperative changes in sGFAP levels for the assessment of residual glial
tumor tissue in patients undergoing surgery of intracerebral tumors. METHODS:
Serum GFAP was measured using an electrochemiluminometric immunoassay (ElecsysR
GFAP prototype test, Roche Diagnostics, Penzberg/Germany) in 32 prospectively
recruited patients between September 2009 and August 2010. Twenty-five were
diagnosed with glioma and seven with brain metastases (BM). We assessed sGFAP
levels prior to and at different time points during the early postoperative phase
until patient discharge. RESULTS: There were only significant differences in the
pre-operative sGFAP levels of patients with gliomas compared to BM (0.18 vs. 0.08
ug/l; p = 0.0198, Welch's t-Test). Even though there was an increase of sGFAP
after surgery, there were no significant differences between glioma and BM
patients at any other time point. Peak sGFAP levels where reached on
postoperative day 1 followed by a slight decrease, but not reaching pre-operative
levels until postop day 7. There was no significant correlation between
postoperative glioma tumor volume and sGFAP levels in univariate analyses.
CONCLUSION: According to our data sGFAP does not appear to be suitable to detect
residual glioma tissue in the acute postoperative phase.
PMID- 29797184
TI - Cellular Trafficking of Amyloid Precursor Protein in Amyloidogenesis
Physiological and Pathological Significance.
AB - The accumulation of excess intracellular or extracellular amyloid beta (Abeta) is
one of the key pathological events in Alzheimer's disease (AD). Abeta is
generated from the cleavage of amyloid precursor protein (APP) by beta secretase
1 (BACE1) and gamma secretase (gamma-secretase) within the cells. The endocytic
trafficking of APP facilitates amyloidogenesis while at the cell surface, APP is
predominantly processed in a non-amyloidogenic manner. Several adaptor proteins
bind to both APP and BACE1, regulating their trafficking and recycling along the
secretory and endocytic pathways. The phosphorylation of APP at Thr668 and BACE1
at Ser498, also influence their trafficking. Neurotrophins and proneurotrophins
also influence APP trafficking through their receptors. In this review, we
describe the molecular trafficking pathways of APP and BACE1 that lead to Abeta
generation, the involvement of different signaling molecules or adaptor proteins
regulating APP and BACE1 subcellular localization. We have also discussed how
neurotrophins could modulate amyloidogenesis through their receptors.
PMID- 29797181
TI - Tumor-related epilepsy: epidemiology, pathogenesis and management.
AB - INTRODUCTION: Seizure is a common comorbidity in patients with brain tumor. It
may be the presenting symptom or develop after the tumor diagnosis. The
underlying pathophysiology of brain tumor-related epilepsy remains poorly
understood. METHODS: A comprehensive literature review of Pubmed English articles
from 1980-2017 was performed to summarize current knowledge and treatment options
of brain tumor-related epilepsy. RESULTS: Multiple factors have been found to
contribute to tumor-related epilepsy, including tumor type, speed of tumor
growth, location, and tumor burden. The underlying pathogenesis of epilepsy is
not clear but perturbations in the peri-tumoral regions, both structural and
cellular communications, have been implicated. CONCLUSIONS: Surgical and medical
treatments of tumor-related epilepsy remain challenging as additional factors
such as the extent of surgical resection, interactions with tumor-related
oncological treatments and anti-epileptic medication related side effects need to
be considered.
PMID- 29797183
TI - Adenosine A1-Dopamine D1 Receptor Heteromers Control the Excitability of the
Spinal Motoneuron.
AB - While the role of the ascending dopaminergic system in brain function and
dysfunction has been a subject of extensive research, the role of the descending
dopaminergic system in spinal cord function and dysfunction is just beginning to
be understood. Adenosine plays a key role in the inhibitory control of the
ascending dopaminergic system, largely dependent on functional complexes of
specific subtypes of adenosine and dopamine receptors. Combining a selective
destabilizing peptide strategy with a proximity ligation assay and patch-clamp
electrophysiology in slices from male mouse lumbar spinal cord, the present study
demonstrates the existence of adenosine A1-dopamine D1 receptor heteromers in the
spinal motoneuron by which adenosine tonically inhibits D1 receptor-mediated
signaling. A1-D1 receptor heteromers play a significant control of the motoneuron
excitability, represent main targets for the excitatory effects of caffeine in
the spinal cord and can constitute new targets for the pharmacological therapy
after spinal cord injury, motor aging-associated disorders and restless legs
syndrome.
PMID- 29797185
TI - Are Tanycytes the Missing Link Between Type 2 Diabetes and Alzheimer's Disease?
AB - Tanycytes are highly specialized bipolar ependymal cells that line the
ventrolateral wall and the floor of the third ventricle in the brain and form a
blood-cerebrospinal fluid barrier at the level of the median eminence. They play
a pivotal role in regulating metabolic networks that control body weight and
energy homeostasis. Due to the glucosensing function of tanycytes, they could be
considered as a critical player in the pathogenesis of type 2 diabetes. Genetic
fate mapping studies have established the role of tanycytes for the newly
detected adult hypothalamic neurogenesis with important implications for
metabolism as well as pathophysiology of various neurodegenerative diseases. We
believe that a comprehensive understanding of the physiological mechanisms
underlying their neuroplasticity, glucosensing, and cross talk with endothelial
cells will enable us to achieve metabolic homeostasis in type 2 diabetes patients
and possibly delay the progression of Alzheimer's disease and hopefully improve
cognitive function.
PMID- 29797186
TI - Cost Effectiveness of Secukinumab for the Treatment of Active Ankylosing
Spondylitis in the UK.
AB - OBJECTIVE: To determine the cost effectiveness of secukinumab, a fully human
interleukin-17A inhibitor, for adults in the UK with active ankylosing
spondylitis (AS) who have not responded adequately to previous treatment with
conventional care (CC; biologic-naive population) or previous biologic therapy
(biologic-experienced population). PERSPECTIVE AND SETTING: UK National Health
Service (NHS). METHODS: The model was structured as a 3-month decision tree
leading into a Markov model. Comparators were licensed tumour necrosis factor
inhibitors (including available biosimilars) and CC in the biologic-naive and
biologic-experienced populations, respectively. Clinical parameters captured
treatment response, short-term disease activity and patient functioning, as well
as long-term structural disease progression. Utilities were derived from
secukinumab trial data. List prices were used for all drugs. The cost year was
2017 and costs and outcomes were discounted at 3.5%. RESULTS: In the biologic
naive population, secukinumab dominated adalimumab and certolizumab pegol.
Incremental cost-effectiveness ratios (ICERs) versus other comparators were
either below L10,000 per quality-adjusted life-year (QALY) gained or south-west
ICERs that implied cost effectiveness of secukinumab. In biologic-experienced
patients, the ICER for secukinumab versus CC was L4927 per QALY gained. Treatment
response rates, short-term treatment effects, long-term radiographic progression
and biologic acquisition costs were key model drivers. Scenario analysis found
results to be robust to changes in model structural assumptions. Probabilistic
analysis identified greater uncertainty in results in the biologic-naive
population. CONCLUSIONS: Even at list price, secukinumab appears to represent a
cost-effective use of NHS resources for biologic-naive and biologic-experienced
patients with active AS. Further research on long-term radiographic progression
outcomes would be valuable for future cost-effectiveness analyses in AS.
PMID- 29797187
TI - Cost Effectiveness of Secukinumab for the Treatment of Active Psoriatic Arthritis
in the UK.
AB - OBJECTIVE: The aim was to determine the cost effectiveness of secukinumab, a
fully human interleukin-17A inhibitor, for adults in the UK with active psoriatic
arthritis (PsA) who are tumour necrosis factor inhibitor (TNFi) naive and without
concomitant moderate-to-severe psoriasis, and who have responded inadequately to
conventional systemic disease-modifying anti-rheumatic drugs (csDMARDs).
PERSPECTIVE AND SETTING: The study took the perspective and setting of the UK
National Health Service (NHS). METHODS: The model structure was a 3-month
decision tree leading into a Markov model. Separate analyses based on the number
of prior csDMARDs (one and two or more) were conducted, with secukinumab 150 mg
compared to standard of care (SoC) and TNFis, respectively, for each
subpopulation. Clinical parameters, including response at 3 months, were from the
FUTURE 2 trial and a network meta-analysis. Outcomes included total costs and
quality-adjusted life years (QALYs) over the 40-year time horizon (3.5% annual
discount for both outcomes; cost year 2017), and incremental cost effectiveness
ratios (ICERs). RESULTS: The ICER for secukinumab 150 mg versus SoC was L28,748
per QALY gained (one prior csDMARD). Secukinumab 150 mg dominated golimumab,
certolizumab pegol and etanercept, and had an ICER of L5680 per QALY gained
versus adalimumab and > L1 million saved per QALY foregone versus infliximab (two
or more prior csDMARDs). Valuing one QALY at between L20,000 and L30,000, the
probability of secukinumab having the highest net monetary benefit was 48.9% (one
prior csDMARD) and 88.9% (two or more prior csDMARDs). Parameters related to
Health Assessment Questionnaire scores were most influential. CONCLUSIONS:
Secukinumab 150 mg at list price appears to represent a cost-effective use of NHS
resources for adults with PsA who have responded inadequately to one or two or
more prior csDMARDs.
PMID- 29797188
TI - A detection dog for obstructive sleep apnea.
AB - PURPOSE: We sought to assess whether a dog can be trained to distinguish
obstructive sleep apnea patients from healthy controls based on the olfactory
detection of urine. METHODS: Urine samples were collected from 23 adult male
obstructive sleep apnea patients and from 20 voluntary adult male volunteers.
Three dogs were trained through reinforced operant conditioning. RESULTS: Two of
the three dogs correctly detected two thirds of obstructive sleep apnea patients
(p < 0.000194 and p < 0.000003, respectively). CONCLUSIONS: We found that dogs
can be trained to distinguish obstructive sleep apnea patients from healthy
controls based on the smell of urine. Potentially, dogs could be utilized to
identify novel biomarkers or possibly screen for obstructive sleep apnea.
PMID- 29797189
TI - CRISPR/Cas9 editing of carotenoid genes in tomato.
AB - CRISPR/Cas9 technology is rapidly spreading as genome editing system in crop
breeding. The efficacy of CRISPR/Cas9 in tomato was tested on Psy1 and CrtR-b2,
two key genes of carotenoid biosynthesis. Carotenoids are plant secondary
metabolites that must be present in the diet of higher animals because they exert
irreplaceable functions in important physiological processes. Psy1 and CrtR-b2
were chosen because their impairment is easily detectable as a change of fruit or
flower color. Two CRISPR/Cas9 constructs were designed to target neighboring
sequences on the first exon of each gene. Thirty-four out of forty-nine (69%)
transformed plants showed the expected loss-of-function phenotypes due to the
editing of both alleles of a locus. However, by including the seven plants edited
only at one of the two homologs and showing a normal phenotype, the editing rate
reaches the 84%. Although none chimeric phenotype was observed, the cloning of
target region amplified fragments revealed that in the 40% of analyzed DNA
samples were present more than two alleles. As concerning the type of mutation,
it was possible to identify 34 new different alleles across the four
transformation experiments. The sequence characterization of the CRISPR/Cas9
induced mutations showed that the most frequent repair errors were the insertion
and the deletion of one base. The results of this study prove that the CRISPRCas9
system can be an efficient and quick method for the generation of useful
mutations in tomato to be implemented in breeding programs.
PMID- 29797190
TI - Synthesis and Supercapacitor Performance of Polyaniline/Nitrogen-Doped Ordered
Mesoporous Carbon Composites.
AB - The electrochemical property of ordered mesoporous carbon (OMC) can be changed
significantly due to the incorporating of electron-donating heteroatoms into OMC.
Here, we demonstrate the successful fabrication of nitrogen-doped ordered
mesoporous carbon (NOMC) materials to be used as carbon substrates for loading
polyaniline (PANI) by in situ polymerization. Compared with NOMC, the PANI/NOMC
prepared with a different mass ratio of PANI and NOMC exhibits remarkably higher
electrochemical specific capacitance. In a typical three-electrode configuration,
the hybrid has a specific capacitance about 276.1 F/g at 0.2 A/g with a specific
energy density about 38.4 Wh/kg. What is more, the energy density decreases very
slowly with power density increasing, which is a different phenomenon from other
reports. PANI/NOMC materials exhibit good rate performance and long cycle
stability in alkaline electrolyte (~ 80% after 5000 cycles). The fabrication of
PANI/NOMC with enhanced electrochemical properties provides a feasible route for
promoting its applications in supercapacitors.
PMID- 29797191
TI - Anthropogenic activities impact on atmospheric environmental quality in a gas
flaring community: application of fuzzy logic modelling concept.
AB - We present a modelling concept for evaluating the impacts of anthropogenic
activities suspected to be from gas flaring on the quality of the atmosphere
using domestic roof-harvested rainwater (DRHRW) as indicator. We analysed seven
metals (Cu, Cd, Pb, Zn, Fe, Ca, and Mg) and six water quality parameters
(acidity, PO43-, SO42-, NO3-, Cl-, and pH). These were used as input parameters
in 12 sampling points from gas-flaring environments (Port Harcourt, Nigeria)
using Ibadan as reference. We formulated the results of these input parameters
into membership function fuzzy matrices based on four degrees of impact:
extremely high, high, medium, and low, using regulatory limits as criteria. We
generated indices that classified the degree of anthropogenic activity impact on
the sites from the product membership function matrices and weight matrices, with
investigated (gas-flaring) environment as between medium and high impact compared
to those from reference (residential) environment that was classified as between
low and medium impact. Major contaminants of concern found in the harvested
rainwater were Pb and Cd. There is also the urgent need to stop gas-flaring
activities in Port Harcourt area in particular and Niger Delta region of Nigeria
in general, so as to minimise the untold health hazard that people living in the
area are currently faced with. The fuzzy methodology presented has also indicated
that the water cannot safely support potable uses and should not be consumed
without purification due to the impact of anthropogenic activities in the area
but may be useful for other domestic purposes.
PMID- 29797192
TI - Trapping fresh sea breeze in desert? Health status of Camanchaca, Atacama's fog.
AB - Water fog composition was investigated at a fog harvesting installation in the
coast of Atacama (North Chile). Chanaral is historically affected by mining
contamination discharges. Hydro-chemical characterization of fog water from top
of the mountain (where capture installation is located) and at the bottom of the
mountain (after vertical transportation where an aquaponic system is located)
revealed many compositional differences that compromise the use of water. High
acidity and high concentrations in Cu and As in water collected on top of the
mountain were found; meanwhile, acidity and Cu decreased, and As levels
overpassed the drinking water standards after the vertical transportation.
Collected data was assessed according to national and international regulatory
standards, neutralization factors (NF), sodium adsorption ratio (SAR), and ion
ratios to determine origin of contamination and suitability of destined for human
consumption, irrigation, or aquaculture purposes.
PMID- 29797193
TI - Associations between maternal exposure to air pollution and birth outcomes: a
retrospective cohort study in Taizhou, China.
AB - Previous studies from Western country settings had shown correlation between
maternal exposure to air pollution and pregnancy outcomes; however, the evidence
is difficult to clearly interpret. We aimed to investigate the association of
maternal exposure to air pollution expressed as particulate matter (PM2.5, PM10)
and nitrogen dioxide (NO2). The exposure was assessed for the 1st, 2nd, and 3rd
trimester and related to the birth outcomes. During each trimester of gestation,
the effect of PM2.5, PM10, and NO2 exposure as well as the entire pregnancy on
the preterm birth, low birth weight, and term babies' birth weight was explored.
The dataset of 26,998 delivered live births between January 1, 2013 and May 31,
2016, were collected from the Taizhou Maternal and Child Care Service Center. Air
monitoring data were collected from the Taizhou Municipal Environmental
Monitoring Center for the same period. We used multi-variable logistic and linear
regression analyses to investigate the correlation of air pollution to maternal
and outcomes of birth. In models of adjusted single pollutant for second
trimester, NO2 concentration was positively correlated with term low birth weight
and preterm birth [aRR for an interquartile range increase: 1.59 (1.44, 1.75);
1.27 (1.12, 1.44)]; likewise, a 1 MUg/m3 increase in NO2 was correlated with a
reduction in birth weight 2.94 g (P < 0.001) in linear models. Each of PM2.5 and
PM10 concentration was also associated with preterm birth [aRR for an
interquartile range increase 1.30 (1.21, 1.38); 1.39 (1.27, 1.52)]. In co
pollutant models, the results were similar. Maternal exposure to air pollutant in
Taizhou was associated with an increased risk of preterm birth and reduction in
birth weight. We reported a potential link between maternal exposure to air
pollution and negative outcomes of birth in Taizhou, China.
PMID- 29797195
TI - Unravelling motor behaviour hallmarks in intoxicated adolescents: methylmercury
subtoxic-dose exposure and binge ethanol intake paradigm in rats.
AB - Methylmercury (MeHg) is a hazardous environmental pollutant, affecting Amazon
basin communities by anthropogenic activities. The exact safe level of MeHg
exposure is unclear, despite the efforts of health international societies to
avoid mercury (Hg) poisoning. Central nervous system is severely impacted by Hg
intoxication, reflecting on motor impairment. In addition, alcohol has been
associated to an overall brain damage. According to lifestyle of Amazon riverside
communities, alcohol intake occurs frequently. Thus, we investigated if
continuous MeHg exposure at low doses during adolescence displays motor deficits
(experiment 1). In the experiment 2, we examine if the co-intoxication (i.e. MeHg
plus ethanol exposure) during adolescence intensify motor damage. In the
experiment 1, Wistar adolescent rats (31 days old) received chronic exposure to
low dose (CELD) of MeHg (40 MUg/kg/day) for 35 days. For the experiment 2, five
sessions of alcohol binge drinking paradigm (3ON-4OFF; 3.0 g/kg/day) were
employed associated to MeHg intoxication. Motor behaviour was evaluated by the
open field, pole test, beam walking and rotarod paradigms. CELDS of MeHg display
motor function damage, related to hypoactivity, bradykinesia-like behaviour,
coordination deficits and motor learning impairment. Co-intoxication of MeHg plus
ethanol reduced cerebellar Hg content, however also resulted in motor behavioural
impairment, as well as additive effects on bradykinesia and fine motor
evaluation.
PMID- 29797196
TI - Adsorptive removal of phenolic compounds from aqueous solutions using pine cone
biomass: kinetics and equilibrium studies.
AB - In this study, a novel inexpensive biosorbent of pine cone powder was used for
the treatment of wastewater contaminated with phenol and chlorophenols (CPhs).
The biosorbent was thoroughly characterized by using CHN and BET measurements, as
well as FTIR, SEM, and XRD analyses. Kinetic and equilibrium biosorption
experiments showed that the uptake was more than 80% within the first 30 min of
contact time at pH 5.0. The biosorption of 4-CPh onto pine cone powder was higher
than those of phenol and 2-CPh. The kinetic data were consistent with the pseudo
first-order kinetic model, and the Langmuir isotherm model best represented the
equilibrium data. The maximum biosorption capacities of phenol, 2-CPh, and 4-CPh
were 164.51, 189.44, and 220.12 mg/g, respectively, at 30 +/- 1 degrees C.
Therefore, the pine cone powder is an effective low-cost adsorbent for the
removal of phenol and CPhs from the contaminated water.
PMID- 29797194
TI - Erythrocyte acetylcholinesterase as biomarker of pesticide exposure: new and
forgotten insights.
AB - Acetylcholinesterase (AChE) acts on the hydrolysis of acetylcholine, rapidly
removing this neurotransmitter at cholinergic synapses and neuromuscular
junctions as well as in neuronal growth and differentiation, modulation of cell
adhesion ("electrotactins") and aryl-acylamidase activity (AAA). This enzyme is
also found in erythrocyte, as 160 kDa dimer that anchors to the plasma membrane
via glycophosphatidylinositol. The function of this enzyme in erythrocytes has
not yet been elucidated; however, it is suspected to participate in cell-to-cell
interactions. Here, a review on erythrocyte AChE characteristics and use as
biomarker for organophosphorus and carbamate insecticides is presented since it
is the first specific target/barrier of the action of these pesticides, besides
plasma butyrylcholinesterase (BChE). However, some past and current methods have
disadvantages: (a) not discriminating the activities of AChE and BChE; (b) low
accuracy due to interference of hemoglobin in whole blood samples. On the other
hand, extraction methods of hemoglobin-free erythrocyte AChE allows: (a) the
freezing and transporting of samples; (b) samples free of colorimetric
interference; (c) data from only erythrocyte AChE activity; (d) erythrocyte AChE
specific activity presents higher correlation with the central nervous system
AChE than other peripheral ChEs; (e) slow spontaneous regeneration against anti
ChEs agents of AChE in comparison to BChE, thus increasing the chances of
detecting such compounds following longer interval after exposure. As monitoring
perspectives, hemoglobin-free methodologies may be promising alternatives to
assess the degree of exposure since they are not influenced by this interfering
agent.
PMID- 29797197
TI - The content of essential and toxic elements in the hair of the mane of the
trotter horses depending on their speed.
AB - A study on the Russian trotting breeds was conducted to assess the impact of
horses' sporting results and the degree of accumulation of chemical elements in
the hair. In the first phase of the research, the elemental composition of the
mane hair of trotter horses (n = 215) was studied. Based on these studies,
percentile intervals for the distribution of concentrations of chemical elements
in the hair have been established, and the values of 25 and 75 percentile adopted
as a "physiological standard" have been defined. In the second stage of the
research into clinically healthy Russian trotting breeds (n = 56), it was
estimated that the sporting results were dependent on the elemental status
defined by the hair. The elemental composition of the hair was defined by 25
chemical elements using atomic emission and mass spectrometry. It is established
that the mane hair is closely related to the sporting results of trotter horses.
Thus, in animal wool with the highest sporting achievements, there were reliably
less I, Cr, Co, Li, V, Al, Pb, and Cd, and reliably more Si than the low ones.
Differences in individual elements exceeded 200%. As sporting performance
diminished, the number of elements within the standard increased. For example,
for mares with average speed, there were deviations from the physiological
standard by 6 elements (P, Fe, Mn, I, Co, Si), with the low one by 13 elements
(P, Fe, Cu, Mn, I, Co, Si, K, Cr, Ni, V, Al, Pb). A comparative estimate of the
mineralization of the horses' mane measured by the sum of the amount of
substances showed that there was a negative correlation between the accumulation
of toxic elements and the speed (r = - 0.59). On the basis of the above, a
conclusion is reached on the future use of the mane hair to assess the speed
qualities of trotter horses.
PMID- 29797198
TI - Optimization of operating conditions for the valorization of olive mill
wastewater using membrane processes.
AB - The main goal of this work was to assess the performance of an integrated
membrane system for the treatment and valorization of wastewater generated from
an olive mill (OMW) coming from a traditional extraction press. Two different
lots of this effluent were firstly processed in an ultrafiltration (UF) pilot
unit after a pretreatment by screening. The effect of transmembrane pressure
(TMP) and temperature on the chemical oxygen demand (COD) reduction and removal
of total phenolic (TPh) content was investigated. Under optimal conditions (TMP =
1.5 bar and T = 20 degrees C), 20.6 and 26.8% for COD and TPh removal were
achieved, respectively. The permeate from UF was then treated by nanofiltration
(NF) in order to obtain a retentate enriched in phenolic compounds. The influence
of pressure drop (DeltaP), temperature, and pH on the removal of COD, TPh, and
permeate flow was examined using a 23 full-factorial experimental design. The
effect of the independent variables and their interactions on the process
performance was analyzed with Pareto charts. Multivariable regression models
allowed to built 3D surface plots. The best conditions that maximize the COD
abatement (83.3%) and TPh removal (93.1%) were DeltaP = 18 bar, T = 20 degrees
C, and a pH 2.7. It can be concluded that the integration of UF and NF processes
investigated in this work is a promising strategy for the treatment and
valorization of OMW.
PMID- 29797199
TI - Removal of Cs+ from aqueous solutions by perlite.
AB - Perlite is an abundant mineral that requires minimum processing before use either
as raw or expanded perlite, resulting in a low-cost, natural porous material. The
application of materials for the removal of radioactive cesium from liquid
effluents and contaminated waters is currently of great interest. Perlite has
been evaluated in the last years for the sorption of a variety of metals, but it
had not been investigated before for removal of Cs+ from contaminated waters. The
present work examines the use of perlites from a deposit in Salta, Argentina, for
removal of Cs+ from aqueous solutions. The mineral was characterized by means of
powder X-ray diffraction, thermal analysis, analysis of specific area, and
scanning electron microscopy. The effect of solution pH, presence of concomitant
ions, contact time, Cs+ initial concentration, perlite dose, and basic or acidic
treatment of the sorbent were studied by batch experiments. Removal increased at
high pHs and after treatment with NaOH. Sorption of Cs+ by perlite presented a
rapid rise in the first 80 min of contact. The selected material (from Pava mine)
yielded removal efficiencies of 84 and 89% before and after treatment with NaOH,
respectively, for a dose of 30 g perlite/L and initial cation concentration of 10
mg/L. Our results demonstrate that perlite is a material capable of removing Cs+
from aqueous solutions, even when applied at low doses. These findings are
relevant in the context of removal of radioactive Cs isotopes from nuclear
effluents and in case of contamination of environmental waters.
PMID- 29797200
TI - The invisible hand and EKC hypothesis: what are the drivers of environmental
degradation and pollution in Africa?
AB - This study examined the drivers of environmental degradation and pollution in 17
countries in Africa from 1971 to 2013. The empirical study was analyzed with
Westerlund error-correction model and panel cointegration tests with 1000
bootstrapping samples, U-shape test, fixed and random effect estimators, and
panel causality test. The investigation of the nexus between environmental
pollution economic growth in Africa confirms the validity of the EKC hypothesis
in Africa at a turning point of US$ 5702 GDP per capita. However, the nexus
between environmental degradation and economic growth reveals a U shape at a
lower bound GDP of US$ 101/capita and upper bound GDP of US$ 8050/capita, at a
turning point of US$ 7958 GDP per capita, confirming the scale effect hypothesis.
The empirical findings revealed that energy consumption, food production,
economic growth, permanent crop, agricultural land, birth rate, and fertility
rate play a major role in environmental degradation and pollution in Africa, thus
supporting the global indicators for achieving the sustainable development goals
by 2030.
PMID- 29797201
TI - Phosphorous-doped TiO2 nanoparticles: synthesis, characterization, and visible
photocatalytic evaluation on sulfamethazine degradation.
AB - Mesoporous phosphorous-doped TiO2 (TP) with different wt% of P (0.5, 1.0, and
1.5) was synthetized by microwave-assisted sol-gel method. The obtained materials
were characterized by XRD with cell parameters refinement approach, Raman, BET
specific surface area analysis, SEM, ICP-OES, UV-Vis with diffuse reflectance,
photoluminescence, FTIR, and XPS. The photocatalytic activity under visible light
was evaluated on the degradation of sulfamethazine (SMTZ) at pH 8. The
characterization of the phosphorous materials (TP) showed that incorporation of P
in the lattice of TiO2 stabilizes the anatase crystalline phase, even increasing
the annealing temperature. The mesoporous P-doped materials showed higher surface
area and lower average crystallite size, band gap, and particle size; besides,
more intense bands attributed to O-H bond were observed by FTIR analysis compared
with bare TiO2. The P was substitutionally incorporated in the TiO2 lattice
network as P5+ replacing Ti4+ to form Ti-O-P bonds and additionally present as
PO43- on the TiO2 surface. All these characteristics explain the observed
superior photocatalytic activity on degradation (100%) and mineralization (32%)
of SMTZ under visible radiation by TP catalysts, especially for P-doped TiO2 1.0
wt% calcined at 450 degrees C (TP1.0-450). Ammonium, nitrate, and sulfate ions
released during the photocatalytic degradation were quantified by ion
chromatography; the nitrogen and sulfur mass balance evidenced the partial
mineralization of this recalcitrant molecule.
PMID- 29797203
TI - Total sediment transport from an urbanizing watershed in the upper Yellow River,
China.
AB - For many event-based, high-sediment yield rivers draining arid zones, where
erosion activities in the watershed and fluvial erosion in the stream channel are
nearly equally important in sediment transport, determination of fluvial sediment
dynamics are of great importance in establishing reliable strategies to manage
environmental changes in watershed scale. Wash load rating curve indicating
watershed characteristic changes and Ackers and White's bed load function (wash
load excluded) used for determining bed load transport dynamics are distinguished
for the first time to recognize the true sediment transport mode in the lower
Huangshui River, which is the largest tributary of the upper Yellow River,
contributing a lot to the wash load of the Inner Mongolia desert reach of the
Yellow River and causing complicated water-sediment response. Based on the
continuous and detailed hydrological data monitored at the Minhe gauge station,
our results indicated that the sediment transport regime has altered since the
1980s in response to the eco-environmental changes mainly due to urbanization,
with suspended sediment concentration (SSC) decreased by 50% on average compared
with the natural state (1950-1980). The combined use of wash load rating curve
and theoretical bed load function derived an estimate of total sediment transport
due to comprehensive ecological management since the 2000s to be 3.43 * 107 t for
the lower Huangshui River, among which the total bed load is 1.40 * 107 t, and
the wash load is 2.03 * 107 t. The transport ratio of wash load to total bed load
is 1.45:1.
PMID- 29797204
TI - Experimental analysis of performance and emission on DI diesel engine fueled with
diesel-palm kernel methyl ester-triacetin blends: a Taguchi fuzzy-based
optimization.
AB - The energy situation and the concerns about global warming nowadays have ignited
research interest in non-conventional and alternative fuel resources to decrease
the emission and the continuous dependency on fossil fuels, particularly for
various sectors like power generation, transportation, and agriculture. In the
present work, the research is focused on evaluating the performance, emission
characteristics, and combustion of biodiesel such as palm kernel methyl ester
with the addition of diesel additive "triacetin" in it. A timed manifold
injection (TMI) system was taken up to examine the influence of durations of
several blends induced on the emission and performance characteristics as
compared to normal diesel operation. This experimental study shows better
performance and releases less emission as compared with mineral diesel and in
turn, indicates that high performance and low emission is promising in PKME
triacetin fuel operation. This analysis also attempts to describe the application
of the fuzzy logic-based Taguchi analysis to optimize the emission and
performance parameters.
PMID- 29797202
TI - Global development of the studies focused on antibiotics in aquatic systems from
1945 to 2017.
AB - Antibiotics are used to fight diseases in humans and farm animals. Their
residues, however, can enter aquatic environments and affect the resistance of
non-target microbial strains, and the prevalence of antibiotic resistance genes
(ARGs) potentially poses negative impacts on human health. In order to better
understand how the studies of antibiotics have been conducted, we analyzed the
publications on antibiotics in aquatic systems for the period of 1945-2017. We
applied a bibliometric analysis method by coupling cluster analysis and network
analysis. Results indicated that early research on antibiotics in water was
mostly performed in America and Europe, while, in recent years, publications for
the same subject were dominated by China and the USA. The majority of the
articles were published in journal Chemosphere and the most representative
subject categories of the seven sections were "Environmental science and
ecology," "Chemistry," "Engineering," "Biochemistry and molecular biology,"
"Water resources," "Agriculture," and "Pharmacology and pharmacy." The most
studied class of antibiotics was tetracyclines in wastewater. Antibiotic
resistance, ARGs, Escherichia coli, and some mechanistic studies such as
adsorption, toxicity, degradation, and kinetics were common topics in this field.
ARGs present a major public health concern and much attention should be directed
at the problems with antibiotics in the future studies of water.
PMID- 29797205
TI - Pretreated animal and human waste as a substantial nutrient source for
cultivation of microalgae for biodiesel production.
AB - The use of human and animal wastes for fertilization of aquaculture ponds has
been practiced for thousands of years. In the present work, we have used the
excreta (human urine, poultry waste, cow dung, and urine) as a nutrient source
for the cultivation of Chlorella singularis, Micractinium pusillum, and Chlorella
sorokiniana strains of microalgae. Different solid wastes were treated with 60 mM
H2SO4 for the extraction of nutrients. After treatment, the supernatant of
different solid wastes and liquid waste were diluted 5, 10, 15, and 20% to be
used as a media for the cultivation of microalgae. Chlorella sorokiniana was able
to grow in all concentration of excreta media. The maximum growth rate 140 +/-
3.1 mg/L/day and lipid production (45.5 +/- 2.3 mg/L/day) was obtained in 20%
poultry. Among the different excreta media used for cultivation of microalgae,
poultry media displayed the best results and thus, should be used for large scale
cultivation of microalgae.
PMID- 29797206
TI - Trivalent Chromium Supplementation Ameliorates Oleic Acid-Induced Hepatic
Steatosis in Mice.
AB - Trivalent chromium [Cr(III)] is recognized as an essential trace element for
human health, whereas its effect on hepatic lipid metabolism has not yet been
fully understood. This study aimed to investigate the beneficial effects and
potential mechanisms of Cr(III) on hepatic steatosis in an oleic acid (OA)
induced mice model. Mice were fed with high OA for 12 weeks to induce lipid
accumulation, and co-administrated with Cr(III) supplementation. Indexes of liver
lipid accumulation, associated lipid genes expression, fatty acids (FAs) profile
and inflammatory cytokines were analyzed. The data showed that Cr(III)
supplementation could attenuate disease progress of hepatic steatosis and protect
liver from high OA. After Cr(III) supplementation, elevated body weight and liver
injury in steatosis mice were reversed, excessive lipid accumulation and FAs were
also reduced. The up-regulation of cluster of differentiation 36 (CD36) and
diacylglycerol acyltransferase 2 (DGAT2) following steatosis induction were
inhibited by Cr(III). Cr(III) reduced the content of pro-inflammatory cytokines
(IL-1beta and TNF-alpha, IL-12) and restored the level of anti-inflammatory
cytokine (IL-10) to the control values. Our results suggest that Cr(III)
supplementation is a novel strategy for alleviating OA-induced hepatic steatosis.
PMID- 29797207
TI - Influence of Chronic Toxicity, Lipid Metabolism, Learning and Memory Ability, and
Related Enzyme in Sprague-Dawley Rats by Long-Term Chromium Malate
Supplementation.
AB - In our previous study, chromium malate is beneficial for type 2 diabetic rats in
control glycometabolism and lipid metabolism. The present study was designed to
observe the chronic toxicity, lipid metabolism, learning and memory ability, and
related enzymes of chromium malate in rats during the year. The results showed
that pathological, toxic, feces, and urine of chromium malate (at daily doses of
10.0, 15.0, and 20.0 MUg Cr/kg bm) did not change measurably. Chromium malate (at
daily doses of 15.0 and 20.0 MUg Cr/kg bm) could significantly reduce the levels
of total cholesterol (TC), LDL, and triglyceride (TG), and increase the level of
HDL in male rats compared to control group and chromium picolinate group.
Significant escalating trends of the escape latency and swimming speed (Morris
water maze test), and the original platform quadrant stops, residence time, and
swimming speed (Space exploration test) in male rats of chromium malate groups
were obtained. The SOD, GSH-Px, and TChE activities of chromium malate (at daily
doses of 15.0 and 20.0 MUg Cr/kg bm) were enhanced significantly in male rats
compared with those of the normal control group and chromium picolinate group.
Glycometabolism and related enzymes had no significant changes compared to normal
control group and chromium picolinate group. These results indicated that long
term chromium malate supplementation did not cause measurable toxicity at daily
doses of 10.0, 15.0, and 20.0 MUg Cr/kg bm and could improve dyslipidemia and
learning and memory deficits.
PMID- 29797208
TI - Safety and effectiveness of direct oral anticoagulants following ultrasound
assisted catheter directed thrombolysis for venous thromboembolism.
AB - Ultrasound-assisted catheter-directed thrombolysis (USAT) is a novel approach for
the treatment of venous thromboembolism (VTE) that is thought to be associated
with a decreased risk of bleeding. Direct oral anticoagulants (DOACs) are
approved for the treatment of VTE but have not been studied in a post
fibrinolysis setting. The intention of this retrospective observational study was
to determine the safety and effectiveness of DOACs compared to the vitamin-K
antagonist (VKA) warfarin following USAT in patients with documented VTE.
Included patients were aged 18 years or older who had documented VTE and received
oral anticoagulation with either a DOAC or VKA following USAT. The primary
outcome of this study was to compare the 90-day composite incidence of major and
minor bleeding and recurrent VTE between patients receiving DOACs after USAT to
those receiving VKA after USAT. Similar rates of bleeding and recurrent VTE were
observed (4/42; 9.5% in the DOAC group versus 2/34; 5.9% in the VKA group). The
use of DOAC therapy post-USAT for VTE was not associated with higher rates of 90
day major or minor bleeding or 90-day recurrent VTE.
PMID- 29797209
TI - The Superoxide Dismutase Mimetic TEMPOL and Its Effect on Retinal Ganglion Cells
in Experimental Methanol-Intoxicated Rats.
AB - INTRODUCTION: The incidence of blindness due to methanol intoxication is higher
in males of productive age. The management of methanol-induced toxic optic
neuropathy is yet to produce satisfactory results. Antioxidant therapy is now
used as an alternative method of preventing methanol intoxication. The aim of
this study was to observe the effect of TEMPOL (4-hydroxy-2,2,6,6
tetramethylpiperidinyl-1-oxyl), a superoxide dismutase (SOD) mimetic, on retinal
ganglion cells in methanol-intoxicated rats. METHODS: This experimental study was
conducted with 20 male Wistar rats that were 10-12 weeks old and weighed 300-350
g. The rats were divided into four groups that each received a different
treatment: a negative control group, a positive control group, a methanol group,
and a methanol + TEMPOL group. Enucleated eyes from all groups were sliced and
stained using hematoxylin-eosin (HE). Retinal layer and ganglion cells were
assessed based on cellular structure, cellular swelling, and vacuole formation in
the ganglion cell layer as observed at * 200 magnification. The Kruskal-Wallis
test and the Mann-Whitney test were used, with significance taken to correspond
to p < 0.05. RESULTS: Retinal ganglion cells of the control group had fewer
vacuoles and a more well-organized cellular structure compared to those of the
methanol group. The histopathologic scores of the methanol-intoxicated group were
lower than those of the TEMPOL therapy group; p = 0.011 (i.e., p < 0.05).
CONCLUSIONS: TEMPOL had a positive impact on the cellular structure of retinal
ganglion cells in methanol-intoxicated rats.
PMID- 29797210
TI - Colorimetric Analysis of Hibiscus Beverages and their Potential Antioxidant
Properties.
AB - In food industry, roselle beverages and their subproducts could be functional
ingredients since they are an excellent source of bioactive compounds with
improved performance due to their important anthocyanins content. The aim of this
study was to analyze anthocyanin content and antioxidant properties of aqueous
infusions elaborated with color contrasting Hibiscus materials and design a
mathematical model in order to predict color-composition relationship. Color
measurements of beverages from roselle (Negra, Sudan and Rosa) were made by
transmission spectrophotometry, anthocyanins quantification was determined by
HPLC, and antioxidant potential was evaluated by in vitro methods (ABTS and FRAP
assays). Beverages prepared with particle size minor of 250 MUm presented until 4
and 2- times more anthocyanins content and antioxidant capacity respectively, in
comparison to beverages prepared with powders with particle size major of 750
MUm. Positive correlations among pigments composition and color parameters were
found (p < 0.05), showing that anthocyanins content, antioxidant capacity, C*ab
and hab values increased in relation with the smallest particle size of flours.
Also, mathematical models were stablished to predict anthocyanin content (r >=
0.97) and antioxidant capacity (r >= 0.89) from color data; we propose equations
for quick estimation of the antioxidant capacity in the Hibiscus beverages with
high anthocyanin content. The obtained models could be an important tool to be
used in food industry for pigment characterization or functional compounds with
potential health benefits.
PMID- 29797211
TI - Use of 99mTc-sestamibi SPECT/CT when conventional imaging studies are negative
for localizing suspected recurrence in differentiated thyroid cancer: a method
and a lesson for clinical management.
AB - PURPOSE: The detection of recurrent disease in differentiated thyroid cancer
(DTC) patients with elevated or rising serum thyroglobulin (Tg) levels and
multiple negative conventional imaging studies can be challenging, especially
when 18F-FDG PET/CT scan is also negative. We report a patient and review the
literature on the diagnostic use of 99mTc-sestamibi scans to identify the source
of elevated or rising Tg in patients with negative conventional imaging including
negative 18F-FDG PET/CT scans. PATIENT AND METHODS: A 73-year-old woman was
referred for widely-invasive metastatic follicular thyroid cancer with bone
metastasis to her left mandible. She had a total thyroidectomy, left mandibular
resection, and 131I therapy of 145 mCi (5.4 GBq) and her subsequent unstimulated
serum Tg level was 29 ng/ml (TgAb negative). At six months' follow-up, her
stimulated Tg was 527 ng/ml (TSH 188 mIU/L, TgAb negative). All imaging studies
performed within the prior 12 months were reported as negative for recurrence or
metastasis; this included neck ultrasound, diagnostic radioiodine scan, chest CT
and, 18F-FDG PET/CT. The patient was injected with 24.6 mCi (910 MBq) of 99mTc
sestamibi intravenously, and whole-body and SPECT/CT images were acquired.
RESULTS: The 99mTc-sestamibi whole-body posterior image demonstrated abnormal
focal uptake in the right posterior calvarium and corresponded to an occipital
lytic bone lesion on the SPECT/CT. The patient underwent surgical resection of
the skull metastasis, and pathology confirmed metastatic follicular thyroid
cancer. Five months post-surgery, the suppressed Tg was markedly reduced and
remained stable at ~3.2 ng/ml. With the knowledge of the DTC recurrence location,
the two sets of 18F-FDG images were re-evaluated. The more thorough and targeted
interpretation underscored the importance of structured image reporting. The
current literature on the utility of 99mTc-sestamibi scans when radioiodine, 18F
FDG PET/CT, and other imaging studies are negative is sparse and inconsistent.
CONCLUSIONS: 99mTc-sestamibi may have a role in thyroid cancer localization when
physical exam, neck ultrasound, radioiodine scan, chest/abdomen CT, and 18F-FDG
PET/CT does not identify the source of elevated Tg levels in DTC.
PMID- 29797212
TI - Comparison of subcutaneous insulin aspart and intravenous regular insulin for the
treatment of mild and moderate diabetic ketoacidosis in pediatric patients.
AB - PURPOSE: To compare the safety/efficacy of intermittent subcutaneous rapid-acting
insulin aspart with the standard low-dose intravenous infusion protocol of
regular insulin for treatment of pediatric diabetic-ketoacidosis. METHODS: For a
prospective randomized-controlled clinical trial on 50 children/adolescents with
mild/moderate diabetic-ketoacidosis, the diagnostic criteria for ketoacidosis
included: blood glucose level >250 mg/dl, ketonuria>++, venous pH <7.3 and/or
bicarbonate <15 mEq/l. DATA COLLECTED: age, sex, clinical/laboratory parameters
including blood sugar, arterial blood gases, urine ketones, severity of diabetic
ketoacidosis, amount of insulin administered to correct acidosis, time to recover
from diabetic-ketoacidosis, number of days of hospitalization, and complications.
Patients were randomly assigned to intervention (subcutaneous) and control
(intravenous) groups. Controls received 0.05-0.1 unit/kg/hour intravenous regular
insulin infusion until resolution of diabetic-ketoacidosis and stayed in the
intensive care unit. Interventions received 0.15 unit/kg subcutaneous insulin
aspart every two hours and stayed in regular medical ward. RESULTS: From 50
children (age 2-17 years), 56% (28) were females, and 48% (24) had established
type I diabetes. Intervention and control groups had similar baseline
clinical/laboratory findings. Average age (years) was 8.6 +/- 0.8 for
intervention and 8.86 +/- 0.7 for control group (p = 0.4) with 64% having
moderate diabetic-ketoacidosis. The mean total-dose of insulin units needed for
treatment of diabetic-ketoacidosis in intervention (subcutaneous insulin aspart)
was lower than controls (intravenous regular insulin) (p < 0.001). No
mortality/serious events happened. Three diabetic-ketoacidosis recurrences among
interventions and one among controls occurred. CONCLUSIONS: To manage
mild/moderate diabetic-ketoacidosis in children/adolescents, subcutaneous rapid
acting insulin aspart is an alternative to intravenous infusion of regular
insulin. Subcutaneous insulin treated moderate DKA with faster recovery/shorter
hospital stay.
PMID- 29797213
TI - Evaluation of melatonin and AFMK levels in women with breast cancer.
AB - PURPOSE: Changes in the circadian rhythm may contribute to the development of
cancer and are correlated with the high risk of breast cancer (BC) in night
workers. Melatonin is a hormone synthesized by the pineal gland at night in the
absence of light. Levels of melatonin and the metabolite of oxidative metabolism
AFMK (acetyl-N-formyl-5-methoxykynurenamine), are suggested as potential
biomarkers of BC risk. The aims of this study were to evaluate levels of
melatonin and AFMK in women recently diagnosed with BC, women under adjuvant
chemotherapy, and night-shift nurses, and compare them with healthy women to
evaluate the relation of these compounds with BC risk. METHODS: Blood samples
were collected from 47 women with BC, 9 healthy women, 10 healthy night shift
nurses, and 6 patients under adjuvant chemotherapy. Compound levels were measured
by mass spectrometry. RESULTS AND CONCLUSIONS: Our results showed that women with
BC had lower levels of melatonin compared to control group women, and even lower
in night-shift nurses and in patients under adjuvant chemotherapy. There was no
significant difference of AFMK levels between the groups. In addition to this,
high levels of melatonin and AFMK were related to patients with metastasis, and
high levels of AFMK were related to the presence of lymph node-positive, tumor >
20 mm and patients who sleep with light at night. Our results showed a reduction
of melatonin levels in BC patients, suggesting a relation with the disease, and
in addition, point to the importance of melatonin supplementation in women that
work at night to reduce the BC risk.
PMID- 29797214
TI - Epidemiology of acromegaly in Italy: analysis from a large longitudinal primary
care database.
AB - PURPOSE: Epidemiological data are pivotal for the estimation of disease burden in
populations. AIM: Of the study was to estimate the incidence and prevalence of
acromegaly in Italy along with the impact of comorbidities and hospitalization
rates as compared to the general population. METHODS: Retrospective
epidemiological study (from 2000 to 2014) and case control-study. Data were
extracted from the Health Search Database (HSD). HSD contains patient records
from about 1000 general practitioners (GPs) throughout Italy, covering a
population of more than 1 million patients. It includes information about patient
demographics and medical data including clinical diagnoses and diagnostic tests.
RESULTS: At the end of the study period, 74 acromegaly patients (out of 1,066,871
people) were identified, resulting in a prevalence of 6.9 per 100,000 inhabitants
[95% CI 5.4-8.5]. Prevalence was higher in females than men (p = 0.004), and
showed a statistically significant trend of increase over time (p < 0.0001).
Overall, incidence during the study period was 0.31 per 100,000 person-years.
Hypertension and type II diabetes mellitus were the comorbidities more frequently
associated with acromegaly (31.3 and 14.6%, respectively) and patients were more
likely to undergo a high frequency of yearly hospitalization (>=3 accesses/year,
p < 0.001) compared to sex-age matched controls. CONCLUSIONS: This
epidemiological study on acromegaly carried out using a large GP-based database,
documented a disease prevalence of about 7 cases per 100,000 inhabitants. As
expected, acromegaly was associated with a number of comorbidities (mainly
hypertension and type II diabetes mellitus) and a high rate of patients'
hospitalization.
PMID- 29797216
TI - Diuretic renography in hydronephrosis: a retrospective single-center study.
AB - PURPOSE: Ureteropelvic junction obstruction (UPJO) is one of the most common
causes of hydronephrosis other than transient hydronephrosis. In children with
unilateral hydronephrosis, mercaptoacetyltriglycine-3 diuretic renography (MAG3)
is used to calculate differential renal function and to assess drainage. The aim
of our study is to examine whether anteroposterior pelvic diameter on renal
ultrasound (US) scan can predict both differential renal function (DFR) and
obstruction in pediatric patients, and whether an US adequately identifies those
patients who need further investigation. We also aimed to design a study with a
larger sample size than previous studies investigating the relationship between
MAG3 and US. METHODS: We retrospectively reviewed the MAG3, US,
dimercaptosuccinic acid scan (DMSA) findings, and medical records of pediatric
patients with hydronephrosis and/or atrophy who were at follow-up between January
2013 and December 2016 in our center which is located in the south-east region of
Turkey. RESULTS: Two hundred and twenty-five pediatric patients (M/F = 156/69)
with unilateral hydronephrosis but without VUR were enrolled in this study. The
mean age of the children was 45.4 +/- 48.3 months (range 2-173 months). Sixty
nine patients had obstructive pattern on 99mTc-MAG3. With respect to obstructive
pattern, there was a significant difference between the hydronephrosis groups
both with 15 and 20 mm border. An APD of greater than 20 mm had 15.8 times (95%
CI 5.72-43.69) higher likelihood of having obstruction MAG3 findings. On the
other hand, an APD of greater than 15 cm had 9.2 times (95% CI 3.01-28.57) higher
likelihood of having obstruction MAG3 findings. The incidence of urinary tract
infections was lower than in the obstructive group than the other groups. The
regression analysis showed that an APD of greater than 20 mm was a risk factor
for low DRF (OR = 5.208, 95% CI 1.529-17.743, p = 0.008). However, the regression
analysis showed that an APD of greater than 15 mm was not a risk factor low DRF.
CONCLUSIONS: The combination of ultrasound and MAG3 provides the necessary
anatomical and functional information to follow the degree of obstruction and to
decide between surgical intervention and conservative follow-up. Our study
supports a threshold of 20 mm rather than 15 mm for severe obstruction and a low
DRF. An APD threshold greater than 15 mm did not have a predictive value in DRF
estimation.
PMID- 29797217
TI - Which Complex Patients Should Be Referred for Intensive Care Management? A Mixed
Methods Analysis.
AB - BACKGROUND: A large and increasing proportion of health care costs are spent
caring for a small segment of medically and socially complex patients. To date,
it has been difficult to identify which patients are best served by intensive
care management. OBJECTIVE: To characterize factors that best identify which
complex patients are most suited for intensive care management. DESIGN: We
conducted a mixed-methods study involving 35 care managers (CMs; 10 licensed
social workers and 25 registered nurses) working in intensive care management
programs within Kaiser Permanente Northern California (KPNC) outpatient medical
centers. We asked CMs to review a randomly selected list of up to 50 patients
referred to them in the prior year and to categorize each patient as either (1)
"good candidates" for care management, (2) "not needing" intensive care
management, or (3) "needing more" than traditional care management could provide.
We then conducted semi-structured interviews to understand how CMs separated
patients into these three groups. RESULTS: CMs assigned 1178 patients into the 3
referral categories. Less than two thirds (62%, n = 736) of referred patients
were considered good candidates, with 18% (n = 216) categorized as not needing
care management and 19% (n = 226) as needing more. Compared to the other two
categories, good candidates were older (76.2 years vs. 73.2 for not needing and
69.8 for needing more, p < 0.001), prescribed more medications (p = 0.02) and had
more prior year outpatient visits (p = 0.04), while the number of prior year
hospital and emergency room admissions were greater than not needing but less
than needing more (p < 0.001). A logistic regression model using available
electronic record data predicted good candidate designation with a c statistic of
0.75. Several qualitative themes emerged that helped define appropriateness for
referral, including availability of social support, patient motivation, non
medical transitions, recent trajectory of medical condition, and psychiatric or
substance use issues. CONCLUSION: Many apparently complex patients are not good
candidates for intensive care management. Current electronic medical records do
not capture several of the most salient characteristics that determine
appropriateness for care management. Our findings suggest that systematic
collection of social support, patient motivation, and recent non-medically
related life change information may help identify which complex patients are most
likely to benefit from care management.
PMID- 29797215
TI - The application of a single-use fiberoptic flexible ureteroscope for the
management of upper urinary calculi.
AB - OBJECTIVE: To evaluate the clinical outcomes with a Chinese single-use fiberoptic
flexible ureteroscope (YouCare Tech) from a prospective database. MATERIALS AND
METHODS: A prospective study was conducted in a single center in China between
January 2016 and October 2017. All consecutive patients who underwent flexible
ureteroscopy performed by YouCare flexible ureteroscope were analyzed. Patients'
demographics, clinical characteristics, intraoperative parameters, postoperative
complications, and stone-free rate were evaluated and recorded. Stone-free status
was defined as no visible stones or clinically insignificant residual stones < 2
mm on a postoperative image study. RESULTS: A total of 684 procedures were
performed for 653 patients (31 patients had bilateral stones). A double J stent
had been previously placed in 431 patients. The location of the calculi was upper
calyx, middle calyx, lower calyx, and renal pelvis and proximal ureter in 74,
101, 211, 115, and 183 patients, respectively. The median operative time was 52
min. The postoperative stone-free rate for the first 2 weeks after surgery was
78.5%, which increased to 91.1% at the first month. The overall stone-free rate
was 95.2%. The complication rate was minor and short-term, such as gross
hematuria or flank pain. CONCLUSION: YouCare flexible ureteroscope can be
considered effective and safe in the treatment of both upper ureteral and renal
stones in selected patients. Further evaluation of comparison with other FURS's
surgical outcomes and cost-effectiveness analysis will help to present the best
utility of this single-use FURS in clinical practice.
PMID- 29797218
TI - Chronic Multisymptom Illness Among Iraq/Afghanistan-Deployed US Veterans and
Their Healthcare Utilization Within the Veterans Health Administration.
PMID- 29797221
TI - Correction to: Predictive and prognostic value of 18F-DOPA PET/CT in patients
affected by recurrent medullary carcinoma of the thyroid.
AB - The following affiliation should be added to the authors Agostino Chiaravalloti
and Orazio Schillaci.
PMID- 29797219
TI - Loss of EGFR confers acquired resistance to AZD9291 in an EGFR-mutant non-small
cell lung cancer cell line with an epithelial-mesenchymal transition phenotype.
AB - PURPOSE: AZD9291 is an irreversible, small-molecule inhibitor which has potency
against mutant EGFR- and T790M-resistant mutation. Despite the encouraging
efficacy in clinical, the acquired resistance will finally occur. Further study
will need to be done to identify the acquired resistance mechanisms and determine
the next treatment. METHODS: We established an AZD9291-resistant cell line
(HCC827/AZDR) from parental HCC827 cell line through stepwise pulsed selection of
AZD9291. The expression of EGFR and its downstream pathways were determined by
western blot analysis or immunofluorescence assay. The sensitivity to indicated
agents were evaluated by MTS. RESULTS: Compared with parental HCC827 cells, the
HCC827/AZDR cells showed high resistance to AZD9291 and other EGFR-TKIs, and
exhibited a mesenchymal-like phenotype. Almost complete loss of EGFR expression
was observed in HCC827/AZDR cells. But the activation of downstream pathway, MAPK
signaling, was found in HCC827/AZDR cells even in the presence of AZD9291.
Inhibition of MAPK signaling had no effect on cell viability of HCC827/AZDR and
could not reverse AZD9291 resistance because of the subsequent activation of AKT
signaling. When treated with the combination of AKT and MAPK inhibitor,
HCC827/AZDR showed remarkable growth inhibition. CONCLUSIONS: Loss of EGFR could
be proposed as a potential acquired resistance mechanism of AZD9291 in EGFR
mutant NSCLC cells with an EMT phenotype. Despite the loss of EGFR, the
activation of MAPK pathway which had crosstalk with AKT pathway could maintain
the proliferation and survival of resistant cells. Blocking MAPK and AKT
signaling may be a potential therapeutic strategy following AZD9291 resistance.
PMID- 29797220
TI - Effectiveness and tolerability of targeted drugs for the treatment of metastatic
castration-resistant prostate cancer: a network meta-analysis of randomized
controlled trials.
AB - PURPOSE: Castration-resistant prostate cancer (CRPC) refers to prostate cancer
that has progressed after initial androgen deprivation therapy (ADT). Over the
years, treatment strategies for metastatic CRPC (mCRPC) have undergone
considerable changes. We performed a network meta-analysis to assess the
effectiveness and tolerability of targeted agents for mCRPC. METHODS: We search
databases including MEDLINE, EMBASE, and the Cochrane Library through Sep 5,
2017. The major effectiveness outcomes were progression-free survival (PFS) and
overall survival (OS). The tolerability outcome was severe adverse events (AEs)
of grade >= 3. RESULTS: Twenty-six articles assessing a total of 20,314 patients
were included in this study. A random-effect analysis showed that targeted agents
could significant prolong PFS in mCRPC patients (I2 = 94.3%; hazard ratio (HR):
0.74; 95% confidence interval (CI): 0.65-0.84; p < 0.001). In addition, the
surface under the cumulative ranking curve (SUCRA) ranking from the network
analysis showed that enzalutamide was the most effective in improving the PFS of
mCRPC patients (100%), followed by abiraterone (90.1%) and tasquinimod (84.2%).
Additionally, targeted agents could clearly prolong OS in mCRPC patients (I2 =
71.6%; HR: 0.91; 95% CI: 0.85-0.97; p < 0.001). Furthermore, based on SUCRA
ranking, enzalutamide was the most effective in improving the OS of mCRPC
patients (97.2%), followed by abiraterone (91.1%) and zibotentan (65.8%).
Intetumumab was associated with the lowest incidence of severe AEs (94.9%),
followed by atrasentan (85.1%) and placebo (79.3%). CONCLUSION: In patients with
mCRPC, enzalutamide, abiraterone and tasquinimod can prolong PFS, and
enzalutamide and abiraterone can prolong OS. Additionally, enzalutamide and
abiraterone can improve both PFS and OS with a low risk of causing severe AEs.
PMID- 29797222
TI - Metabolic reconstruction and experimental verification of glucose utilization in
Desulfurococcus amylolyticus DSM 16532.
AB - Desulfurococcus amylolyticus DSM 16532 is an anaerobic and hyperthermophilic
crenarchaeon known to grow on a variety of different carbon sources, including
monosaccharides and polysaccharides. Furthermore, D. amylolyticus is one of the
few archaea that are known to be able to grow on cellulose. Here, we present the
metabolic reconstruction of D. amylolyticus' central carbon metabolism. Based on
the published genome, the metabolic reconstruction was completed by integrating
complementary information available from the KEGG, BRENDA, UniProt, NCBI, and
PFAM databases, as well as from available literature. The genomic analysis of D.
amylolyticus revealed genes for both the classical and the archaeal version of
the Embden-Meyerhof pathway. The metabolic reconstruction highlighted gaps in
carbon dioxide-fixation pathways. No complete carbon dioxide-fixation pathway
such as the reductive citrate cycle or the dicarboxylate-4-hydroxybutyrate cycle
could be identified. However, the metabolic reconstruction indicated that D.
amylolyticus harbors all genes necessary for glucose metabolization. Closed batch
experimental verification of glucose utilization by D. amylolyticus was performed
in chemically defined medium. The findings from in silico analyses and from
growth experiments are discussed with respect to physiological features of
hyperthermophilic organisms.
PMID- 29797223
TI - The heterologous expression potential of an acid-tolerant Talaromyces pinophilus
beta-glucosidase in Saccharomyces cerevisiae.
AB - A filamentous fungus displaying high cellulase activity was isolated from a
compost heap with triticale (a wheat-rye hybrid) as the main constituent. It was
preliminarily identified as a Talaromyces pinophilus species. A 2577 base pair
beta-glucosidase gene was cloned from complementary DNA and heterologously
expressed in Saccharomyces cerevisiae. The recombinant beta-glucosidase
production profile was assessed and compared to that of the Saccharomycopsis
fibuligera beta-glucosidase which served as a benchmark. The enzyme was also
characterised in terms of pH and temperature tolerance as well as response to
inhibitors. Maximal extracellular beta-glucosidase activity of 0.56 nkat/mg total
protein was measured using p-nitrophenyl-beta-D-glucopyranoside as substrate. The
recombinant protein displayed a pH optimum of 4.0, and good thermostability as
70% of maximal enzyme activity was retained after 1 h at 60 degrees C. Activity
of the recombinant beta-glucosidase was adversely affected by the presence of
glucose and ethanol at higher concentrations while xylose had no effect. The
expression of the T. pinophilus beta-glucosidase did not reach the same titres as
for the benchmark; however, in the context of constructing a yeast strain for
bioethanol production in a consolidated bioprocess, the enzyme may still show
good potential.
PMID- 29797224
TI - Rapid and simultaneous detection of Salmonella spp., Escherichia coli O157, and
Listeria monocytogenes by magnetic capture hybridization and multiplex real-time
PCR.
AB - The application of rapid, specific, and sensitive methods for pathogen detection
and quantification is very advantageous in diagnosis of human pathogens in
several applications, including food analysis. The aim of this study was the
evaluation of a method for the multiplexed detection and quantification of three
significant foodborne pathogenic species (Escherichia coli O157, Salmonella spp.,
and Listeria monocytogenes). The assay combines specific DNA extraction by
multiplex magnetic capture hybridization (mMCH) with multiplex real-time PCR. The
amplification assay showed linearity in the range 106-10 genomic units (GU)/PCR
for each co-amplified species. The sensitivity corresponded to 1 GU/PCR for E.
coli O157 and L. monocytogenes, and 10 GU/PCR for Salmonella spp. The
immobilization process and the hybrid capture of the MCH showed good efficiency
and reproducibility for all targets, allowing the combination in equal amounts of
the different nanoparticle types in mMCH. MCH and mMCH efficiencies were similar.
The detection limit of the method was 10 CFU in samples with individual pathogens
and 102 CFU in samples with combination of the three pathogens in unequal amounts
(amount's differences of 2 or 3 log). In conclusion, this multiplex molecular
platform can be applied to determine the presence of target species in food
samples after culture enrichment. In this way, this method could be a time-saving
and sensitive tool to be used in routine diagnosis.
PMID- 29797225
TI - Emergence of Eurasian Avian-Like Swine Influenza A (H1N1) Virus from an Adult
Case in Fujian Province, China.
PMID- 29797228
TI - Assessment and clinical implications of cognitive impairment in acutely ill
geriatric patients using a revised simplified short-term memory recall test (STMT
R).
AB - BACKGROUND: Cognitive dysfunction due to delirium or dementia is a common finding
in acutely ill geriatric patients, but often remains undetected. A brief and
sensitive clinical identification method could prevent errors or complications
while evaluating the mental status of elderly patients. AIMS: To evaluate the
usefulness and clinical implications of the revised simplified short-term memory
recall test (STMT-R) in geriatric patients admitted in the emergency department;
with age, gender, dementia history, serum albumin, underlying diseases and
clinical outcome used as comparative factors. METHODS: Mini-mental state
examination and STMT-R scores were initially compared and a positive correlation
was observed (r = 0.66, p < 0.001). Subsequently, 885 inpatients aged over 50
years underwent STMT-R evaluation between October 2014 and September 2015. We
considered as cognitive dysfunction STMT-R scores <= 4 of a maximum score of 8.
RESULTS: Among enrolled patients, 52.2% were female and the mean age was 78.9
years. There were 159 patients who were unable to complete the test (incomplete
testing group). We observed cognitive dysfunction in 460 patients, while 266 did
not have cognitive dysfunction. There were significant differences between those
with and without cognitive dysfunction in terms of age, dementia history,
underlying respiratory diseases, and hospital outcome. CONCLUSION: Cognitive
dysfunction at admission can have a negative effect on the hospital outcomes of
elderly patients. Age, a history of dementia and underlying respiratory diseases
may also influence cognitive functional decline.
PMID- 29797226
TI - Short-Term Effects of Cerebellar tDCS on Standing Balance Performance in Patients
with Chronic Stroke and Healthy Age-Matched Elderly.
AB - Transcranial direct current stimulation (tDCS) may serve as an adjunct approach
in stroke rehabilitation. The cerebellum could be a target during standing
balance training due to its role in motor adaptation. We tested whether
cerebellar tDCS can lead to short-term effects on standing balance performance in
patients with chronic stroke. Fifteen patients with a chronic stroke were
stimulated with anodal stimulation on the contra-lesional cerebellar hemisphere,
ipsi-lesional cerebellar hemisphere, or sham stimulation, for 20 min with 1.5 mA
in three sessions in randomized order. Ten healthy controls participated in two
sessions with cerebellar stimulation ipsi-lateral to their dominant leg or sham
stimulation. During stimulation, subjects performed a medio-lateral postural
tracking task on a force platform. Standing balance performance was measured
directly before and after each training session in several standing positions.
Outcomes were center of pressure (CoP) amplitude and its standard deviation, and
velocity and its standard deviation and range, subsequently combined into a CoP
composite score (comp-score) as a qualitative outcome parameter. In the patient
group, a decrease in comp-score in the tandem position was found after contra
lesional tDCS: beta = - 0.25, CI = - 0.48 to - 0.03, p = 0.03. No significant
differences in demographics and clinical characteristics were found between
patients who responded (N = 10) and patients who did not respond (N = 5) to the
stimulation. Contra-lesional cerebellar tDCS shows promise for improving standing
balance performance. Exploration of optimal timing, dose, and the relation
between qualitative parameters and clinical improvements are needed to establish
whether tDCS can augment standing balance performance after stroke.
PMID- 29797229
TI - Correction to: Editorial: Special Issue on Heart Valve Mechanobiology : New
Insights into Mechanical Regulation of Valve Disease and Regeneration.
AB - Original article has been updated to include names and affiliations of authors.
PMID- 29797227
TI - Physical training improves thermogenesis and insulin pathway, and induces
remodeling in white and brown adipose tissues.
AB - Physical training (PT) has been considered as a treatment in metabolic syndrome
(MS), since it induces thermogenic activity in brown (BAT) and white (WAT)
adipose tissues. We evaluated the therapeutic effect of PT on activity of WAT and
BAT in rats with MS induced by high-fat diet (30% lard) for 13 weeks and
submitted, for the last 6 weeks, to swimming or kept sedentary (SED) rats. MS-SED
rats compared to control diet (CT-SED) rats showed low physical fitness and high
levels of glucose, insulin, homeostasis evaluation of insulin resistance (HOMA
IR), homeostasis evaluation of the functional capacity of beta-cells (HOMA-beta),
and blood pressure. The gastrocnemius muscle decreased in peroxisome proliferator
activated receptor gamma coactivator 1-alpha and beta (PGC-1alpha, PGC-1beta),
and uncoupled protein 2 and 3 (UCP2 and UCP3) expressions. Both WAT and BAT
increased in the adipocyte area and decreased in blood vessels and fibroblast
numbers. WAT increased in expression of pro-inflammatory adipokines and decreased
in anti-inflammatory adipokine and adiponectin. WAT and gastrocnemius showed
impairment in the insulin signaling pathway. In response to PT, MS rats showed
increased physical fitness and restoration of certain biometric and biochemical
parameters and blood pressure. PT also induced thermogenic modulations in
skeletal muscle, WAT and BAT, and also improved the insulin signaling pathway.
Collectively, PT was effective in treating MS by inducing improvement in physical
fitness and interchangeable effects between skeletal muscle, WAT and BAT,
suggesting a development of brown-like adipocyte cells.
PMID- 29797231
TI - Psychometric Evaluation of a Revised Scoring Approach for the Children's Saving
Inventory in a Canadian Sample of Youth With Obsessive-Compulsive Disorder.
AB - The Children's Saving Inventory (CSI) was introduced in 2011 and is the first
parent-rated questionnaire specifically designed to measure the severity of
hoarding symptoms in youth. To date, however, no replication studies of the CSI
have been published. Additionally, the total CSI score includes several items
measuring acquisition, a behavioural dimension that has since been excluded from
DSM-5's hoarding disorder criteria. Given these limitations, the primary goal of
the present study was to test a modified, DSM-5-consistent, total score of the
CSI. Because a confirmatory factor analysis did not support the 2011 four-factor
model of the CSI, we reviewed the original CSI and excluded all acquisition
items. An exploratory factor analysis yielded a strong three-factor solution
(difficulty discarding, Clutter, and distress/impairment) with good reliability
and validity for a 15-item version of the CSI. Overall, our results support the
use of the 15-item CSI in youth with OCD.
PMID- 29797230
TI - Geometry of the left ventricular outflow tract assessed by 3D TEE in patients
with aortic stenosis: impact of upper septal hypertrophy on measurements of
Doppler-derived left ventricular stroke volume.
AB - BACKGROUND: It is unclear how upper septal hypertrophy (USH) affects Doppler
derived left ventricular stroke volume (SV) in patients with AS. The aims of this
study were to: (1) validate the accuracy of 3D transesophageal echocardiography
(TEE) measurements of the left ventricular outflow tract (LVOT), (2) evaluate the
differences in LVOT geometry between AS patients with and without USH, and (3)
assess the impact of USH on measurement of SV. METHODS: In protocol 1, both 3D
TEE and multi-detector computed tomography were performed in 20 patients with AS
[aortic valve area (AVA) <= 1.5 cm2]. Multiplanar reconstruction was used to
measure the LVOT short and long diameters in four parts from the tip of the
septum to the annulus. In protocol 2, the same 3D TEE measurements were performed
in AS patients (AVA <= 1.5 cm2, n = 129) and controls (n = 30). We also performed
2D and 3D transthoracic echocardiography in all patients. RESULTS: In protocol 1,
excellent correlations of LVOT parameters were found between the two modalities.
In protocol 2, the USH group had smaller LVOT short and long diameters than the
non-USH group. Although no differences in mean pressure gradient, or SV
calculated with the 3D method existed between the two groups, the USH group had
greater SV calculated with the Doppler method (73 +/- 15 vs. 66 +/- 15 ml) and
aortic valve area (0.89 +/- 0.26 vs. 0.73 +/- 0.24 cm2) than the non-USH group.
CONCLUSIONS: 3D TEE can provide a precise assessment of the LVOT in AS. USH
affects the LVOT geometry in patients with AS, which might lead to inaccurate
assessments of disease severity.
PMID- 29797233
TI - Functional heterogeneity of circulating T regulatory cell subsets in breast
cancer patients.
AB - BACKGROUND: Regulatory T cells (Tregs) play a major role in tumor escape from
immunosurveillance by suppressing effector cells. The number of Tregs is
increased in tumor sites and peripheral blood of breast cancer patients. However,
the data regarding phenotypic and functional heterogeneity of Treg subpopulations
in breast cancer are limited. The present study aimed to investigate the number
and suppressive potential of Tregs that possess natural naive-(N nTregs),
effector/memory-like (EM nTregs), and Tr1-like phenotypes in breast cancer
patients and healthy women. METHODS: The study included 10 HW and 17 primary
breast cancer patients. Numbers of CD4+CD25+FoxP3+CD45RA+ N nTregs,
CD4+CD25+FoxP3+CD45RA- EM nTregs, and CD4+IL-4-IL-10+ Tr1 subsets and the
expression of CTLA-4, CD39, GITR, LAP, and IL-35 by these Treg subsets were
measured in freshly obtained peripheral blood by flow cytometry. RESULTS: Herein,
we demonstrate that the percentages of N nTregs, EM nTregs, CD25+ and FoxP3+ Tr1
cells are elevated in the peripheral blood of breast cancer patients, but do not
correlate with cancer stages. Nevertheless, the frequency of CD25+ Tr1 cells was
associated with nodal involvement, while the number of EM nTregs correlated with
clinical outcome. The expression of CTLA-4 and IL-35 by all assessed Treg subsets
was increased throughout all tumor stages (I-III). CONCLUSIONS: Collectively, the
current study shows phenotypic alterations in suppressive receptors of Treg
subsets, suggesting that breast cancer patients have increased activity of N
nTregs, EM nTregs and Tr1 cells; and EM nTregs and CD25+ Tr1 cells represent
prospective markers for assessing disease prognosis.
PMID- 29797234
TI - Artesunate promotes G2/M cell cycle arrest in MCF7 breast cancer cells through
ATM activation.
AB - BACKGROUND: Recent studies have revealed that artesunate (ART) has clear anti
tumor activity, suggesting that it could be a good candidate chemotherapeutic
agent. In this study, we researched the inhibitory effect of ART on MCF7 cells
and explored the possible mechanisms. METHODS: MTT assay was used to detect the
effect of ART on the proliferation of MCF7 cells. Crystal violet staining was
used to observe morphological and quantitative changes. Flow cytometry was used
to detect the cell cycle of the drug-acting MCF7 cells. In addition, western
blotting was used to detect the drug influence on expression of the ATM, phospho
ATM(S1981), H2AX, gammaH2AX(S139), CHK2 and phospho-CHK2(T68), cdc25C, and
phospho-cdc25C(S216). RESULTS: In the experimental groups, the proliferation of
MCF7 cells was inhibited in a dose-dependent manner and the original cell
morphology was lost. The number of G2/M phase cells in the experimental groups
increased significantly, and the expression of DNA damage response-associated
proteins was significantly increased, such as phospho-ATM(S1981),
gammaH2AX(S139), phospho-CHK2(T68), and phospho-cdc25C(S216). CONCLUSIONS: ART
can inhibit cell proliferation and promote G2/M arrest in MCF7 cells through ATM
activation and the ensuing "ATM-Chk2-Cdc25C" pathway, thus implicating ART as a
novel candidate for breast cancer chemotherapy.
PMID- 29797232
TI - Current Modalities and Mechanisms Underlying Cardioprotection by Ischemic
Conditioning.
AB - Ischemic preconditioning is a process which serves to mitigate reperfusion
injury. Preconditioning of the heart can be achieved through natural,
pharmacological, and mechanical means. Mechanical preconditioning appears to have
the greatest chance of good outcomes while methods employing pharmacologic
preconditioning have been largely unsuccessful. Remote ischemic preconditioning
achieves a cardioprotective effect by applying cycles of ischemia and reperfusion
in a distal limb, stimulating the release of a neurohumoral cardioprotective
factor incited by stimulation of afferent neurons. The cardioprotective factor
stimulates the reperfusion injury salvage kinase (RISK) and survivor activator
factor enhancement (SAFE) signaling cascades in cardiomyocytes which promote cell
survival by the expression of anti-apoptotic genes and inhibition of the opening
of mitochondrial permeability transition pores. Clinical application of ischemic
preconditioning involving targets in the RISK and SAFE signaling appears
promising in the treatment of acute myocardial infarction; however, clinical
trials have yet to demonstrate additional benefit to current therapy.
PMID- 29797235
TI - Pharmacokinetic and Pharmacodynamic Modelling to Characterize the Tolerability of
Alternative Up-Titration Regimens of Roflumilast in Patients with Chronic
Obstructive Pulmonary Disease.
AB - BACKGROUND: In the OPTIMIZE study, 4 weeks of roflumilast 250 ug once daily
before escalation to the approved 500 ug once daily maintenance dose reduced
treatment discontinuations and improved tolerability to roflumilast among
patients with chronic obstructive pulmonary disease (COPD). In this study, we
present the pharmacokinetic (PK) results and PK/pharmacodynamic (PD) modelling
data from OPTIMIZE. METHODS: OPTIMIZE was a multicentre, double-blind, phase III
study in which patients with severe COPD were randomized 1:1:1 to receive oral
roflumilast 250 MUg once daily, 500 MUg every other day, or 500 MUg once daily
for 4 weeks, followed by 500 MUg once daily for 8 weeks. A population PK (popPK)
model characterized roflumilast exposure levels (total phosphodiesterase-4
inhibition [tPDE4i]). Furthermore, models characterized the percentage of
patients with adverse events (AEs) of interest (PK/AE model), and time to
discontinuation due to such AEs (PK/time-to-event model). RESULTS: The popPK
model adequately described average plasma concentrations and variability from
1238 patients. The percentage of patients with AEs of interest increased with
predicted tPDE4i exposure (logit scale slope 0.484; confidence interval 0.262
0.706; p = 2 * 10-5). PK/time-to-event model analysis predicted that patients
receiving the 250 MUg up-titration regimen had significantly lower
discontinuation rates and longer time to discontinuation compared with
roflumilast 500 MUg every other day or 500 MUg once daily (p = 0.0014).
CONCLUSIONS: In this PK/PD model, a 4-week up-titration regimen with roflumilast
250 ug once daily was found to reduce discontinuations and improve tolerability,
confirming the main clinical findings of the OPTIMIZE study. However, use of this
lower dose as long-term maintenance therapy may not induce sufficient
phosphodiesterase-4 inhibition to exert clinical efficacy, supporting the
approval of 500 ug as maintenance dose. TRIAL REGISTRATION: OPTIMIZE:
NCT02165826; REACT: NCT01329029.
PMID- 29797236
TI - Anticoagulation and antiplatelet therapy in acute coronary syndromes: choosing
between the Scylla of bleeding and the Charybdis of ischaemic events.
PMID- 29797237
TI - Effects of 10 weeks of regular running exercise with and without parallel PDTC
treatment on expression of genes encoding sarcomere-associated proteins in murine
skeletal muscle.
AB - Physical exercise can induce various adaptation reactions in skeletal muscle
tissue, such as sarcomere remodeling. The latter involves degradation of damaged
sarcomere components, as well as de novo protein synthesis and sarcomere
assembly. These processes are controlled by specific protease systems in parallel
with molecular chaperones that assist in folding of newly synthesized polypeptide
chains and their incorporation into sarcomeres. Since acute exercise induces
oxidative stress and inflammation, leading to activation of the transcription
factor NFkappaB (nuclear factor kappa B), we speculated that this transcription
factor might also play a role in the regulation of long-term adaptation to
regular exercise. Thus, we studied skeletal muscle adaptation to running exercise
in a murine model system, with and without parallel treatment with the NFkappaB
inhibitory, anti-oxidant and anti-inflammatory drug pyrrolidine dithiocarbamate
(PDTC). In control mice, 10 weeks of uphill (15 degrees incline) treadmill
running for 60 min thrice a week at a final speed of 14 m/min had differential,
but only minor effects on many genes encoding molecular chaperones for sarcomere
proteins, and/or factors involved in the degradation of the latter. Furthermore,
there were marked differences between individual muscles. PDTC treatment
modulated gene expression patterns as well, both in sedentary and exercising
mice; however, most of these effects were also modest and there was little effect
of PDTC treatment on exercise-induced changes in gene expression. Taken together,
our data suggest that moderate-intensity treadmill running, with or without
parallel PDTC treatment, had little effect on the expression of genes encoding
sarcomere components and sarcomere-associated factors in murine skeletal muscle
tissue.
PMID- 29797238
TI - Brain herniation into the transverse sinuses' arachnoid granulations in the
pediatric population investigated with 3 T MRI.
AB - We aimed to evaluate the frequency, radiological-clinical findings of brain
herniation into arachnoid granulation (BHAG) in pediatric age group using 3 T
magnetic resonance imaging. Patients were under 18 years of age and underwent
brain MRI examination which consists of 3D T1, 3D T2 FLAIR and 3D T2 sequences. A
total of 2320 patients were enrolled in the study. All cases of AG into
transverse sinus were included. The location of the AG, the deep, transverse,
vertical and neck diameters and volume of AG were recorded. Clinical findings and
imaging findings of patients were also recorded. The patients were categorized as
BHAG and AG without brain herniation (AGWBH). The mean diameters (deep,
transverse, vertical and neck) of AG, volume of AG, age, sex, clinical findings
and imaging findings were evaluated and compared in each group. 135 patients (71
female, 64 male) had AG in a total of 2320 patients (prevalence 5.81%). Fifteen
patients (10.7% of all patients, 11 female, 4 male) had BHAG. The mean diameters
(deep, transverse, vertical and neck) and volume of AGWBH were 5.23 +/- 1.91,
4.07 +/- 1.58, 4.99 +/- 1.68, 3.64 +/- 1.84 mm and 85.05 +/- 89.10 mm3,
respectively. The mean diameters (deep, transverse, vertical and neck) and volume
of BHAG were 7.46 +/- 2.6, 6.85 +/- 2.34, 8.32 +/- 2.35, 5.41 +/- 1.79 mm and 331
+/- 361.26 mm3, respectively. The mean diameters and volume of BHAG were
significantly larger than AGWBH (p < 0.001 for all parameters). There was no
significant difference related to clinical and imaging findings between groups (p
> 0.05). Brain herniation into arachnoid granulation is seen in pediatric age
group as frequently as adults. Its frequency is not related to age. It is not
significantly associated with neurological symptoms. As the AG size increases,
the risk of BHAG increases.
PMID- 29797239
TI - Association of Statin Use with Increased Risk of Musculoskeletal Conditions: A
Retrospective Cohort Study.
AB - INTRODUCTION: Musculoskeletal conditions, including osteoarthritis (OA), result
in tremendous disability and cost. Statins are among the most commonly prescribed
medications and their use for primary prevention in many otherwise healthy
individuals, including those who are physically active, is increasing. There is
conflicting evidence regarding the relationship of statin use and musculoskeletal
conditions. Given the rising disability associated with musculoskeletal
conditions, understanding predisposing factors, including medication-related
exposures, deserves further attention. OBJECTIVES: We examined the association
between statin use and the risk of being diagnosed with non-traumatic
arthropathies, use-related injury, and undergoing rehabilitation in a cohort with
longitudinal follow-up. METHODS: Patients enrolled in a regional military
healthcare system between 2003 and 2012 were evaluated in this retrospective
cohort study. A propensity score was generated to match statin-users and nonusers
using 115 baseline characteristics. Outcomes included ICD-9 diagnoses codes for
Agency for Healthcare Research and Quality disease categories of: non-traumatic
arthropathies, use-related injury and undergoing rehabilitation. Primary analysis
examined the outcomes in statin-users and nonusers after propensity score
matching using conditional logistic regression analysis. RESULTS: Initially,
60,455 patients were identified. We propensity score-matched 6728 statin users
with 6728 nonusers (52 years of age, ~ 47% women). In the propensity score
matched cohort, non-traumatic arthropathies occurred in 59.8% of statin users and
56.0% of nonusers [odds ratio (OR) 1.17, 95% confidence interval (95% CI) 1.09
1.25] and use related injury occurred in 31.9% of statin users and 29.8% of
nonusers (OR 1.11, 95% CI 1.03-1.19). There was no difference between statin
users and nonusers undergoing rehabilitation (22.6% among statin users, 21.9%
among nonusers, OR 1.04, 95% CI 0.96-1.13). CONCLUSION: Statin use was associated
with a significant increased risk of non-traumatic arthropathies and use-related
injury. Our results provide additional data that can inform patient and clinician
conversations about the benefits and risks of statin use.
PMID- 29797240
TI - Coronary Events After Dispensing of Ibuprofen: A Propensity Score-Matched Cohort
Study Versus Paracetamol in the French Nationwide Claims Database Sample.
AB - INTRODUCTION: Non-steroidal anti-inflammatory drugs are associated with a dose
and duration-dependent coronary risk. There is little information concerning
analgesic-dose ibuprofen, among the most widely used drugs worldwide. OBJECTIVE:
Our objective was to measure the risks of acute coronary syndrome (ACS) after
dispensing of ibuprofen, versus paracetamol. METHODS: Propensity score 1:2
matched cohorts of ibuprofen or paracetamol treatment episodes (TEs) in
Echantillon Generaliste de Beneficiaires (EGB), the 1/97 sample of Systeme
National des Donnees de Sante (SNDS), the French nationwide claims database, from
2009 to 2014, were compared. Outcomes were hospital admissions for ACS during the
3 months after the dispensing of ibuprofen or paracetamol. Hazard ratios (HRs)
and 95% confidence intervals (CIs) were calculated overall and stratified on low
dose aspirin dispensing. RESULTS: A total of 315,269 ibuprofen TEs in 168,400
persons were matched to 630,457 paracetamol TEs in 395,952 patients. Event rates
were 50-100 times higher in low-dose aspirin users (27 vs 0.28 per 1000 patient
years). Overall there was no difference in risk of ACS at 3 months (HR 0.94, 95%
CI 0.74-1.20) despite a transient increase in the first 2 weeks in ibuprofen
users (HR 1.70, 95% CI 1.11-2.59). In the stratified analysis, this short-term
risk was only found in aspirin users (5% of population, HR 1.84, 95% CI 1.24
3.24), but not in non-aspirin users (HR 1.09, 95% CI 0.40-2.94). CONCLUSIONS:
There was no evidence for an increased risk of ACS in patients dispensed
ibuprofen compared to paracetamol.
PMID- 29797243
TI - The Impact of Recruitment on the Dynamics of an Immune-Suppressed Within-Human
Host Model of the Plasmodium falciparum Parasite.
AB - A model is developed and used to study within-human malaria parasite dynamics.
The model integrates actors involved in the development-progression of
parasitemia, gametocytogenesis and mechanisms for immune response activation.
Model analyses under immune suppression reveal different dynamical behaviours for
different healthy red blood cell (HRBC) generation functions. Existence of a
threshold parameter determines conditions for HRBCs depletion. Oscillatory
dynamics reminiscent of malaria parasitemia are obtained. A dependence exists on
the type of recruitment function used to generate HRBCs, with complexities
observed for a more nonlinear function. An upper bound that delimits the size of
feasible parasitized steady-state solution exists for a logistic function but not
a constant function. The upper bound is completely characterized and is affected
by parameters associated with HRBCs recruitment, parasitized red blood cells
generation and the release and time-to-release of free merozoites. A stable
density size for mature gametocytes, the bridge to invertebrate hosts, is
derived.
PMID- 29797242
TI - Estrogenic effects of phytoestrogens derived from Flemingia strobilifera in MCF-7
cells and immature rats.
AB - Phytoestrogen (PE) has received considerable attention due to the physiological
significance of its estrogenicity. Flemingia strobilifera (FS) has been used as a
folk medicine in Asia for the treatment of inflammation, cancer, and infection;
however, the estrogenic effects and chemical components of FS have not yet been
reported. We aimed to uncover the estrogenic properties and PEs derived from FS
using phytochemical and pharmacological evaluation. PEs from FS extract (FSE)
were analyzed by NMR, HPLC, and MS. To evaluate estrogenic activity, FSE and its
compounds were evaluated by in vitro and in vivo assays, including human estrogen
receptor alpha (hERalpha) binding, estrogen response element (ERE)-luciferase
reporter assays, and uterotrophic assays. FSE and its compounds 1-5 showed
binding affinities for hERalpha and activated ERE transcription in MCF-7 cells.
Additionally, FSE and compounds 1-5 induced MCF-7 cell proliferation and trefoil
factor 1 (pS2) expression. In immature female rats, significant increases in
uterine weight and pS2 gene were observed in FSE-treated groups. We identified
estrogenic activities of FSE and its bioactive compounds, suggesting their
possible roles as PEs via ERs. PEs derived from FSE are promising candidates for
ER-targeted therapy for post-menopausal symptoms.
PMID- 29797241
TI - Role of PKM2 in directing the metabolic fate of glucose in cancer: a potential
therapeutic target.
AB - BACKGROUND: Many of the hallmarks of cancer are not inherently unique to cancer,
but rather represent a re-enactment of normal host responses and activities. A
vivid example is aerobic glycolysis ('Warburg effect'), which is used not only by
cancer cells but also by normal cells that undergo rapid proliferation. A common
feature of this metabolic adaptation is a shift in the expression of pyruvate
kinase (PK) isoform M1 to isoform M2. Here, we highlight the key role of PKM2 in
shifting cancer metabolism between ATP production and biosynthetic processes.
Since anabolic processes are highly energy dependent, the fate of glucose in
energy production versus the contribution of carbon in biosynthetic processes
needs to be finely synchronised. PKM2 acts to integrate cellular signalling and
allosteric regulation of metabolites in order to align metabolic activities with
the changing needs of the cell. CONCLUSIONS: The central role of PKM2 in
directing the flow of carbon between catabolic (ATP-producing) and anabolic
processes provides unique opportunities for extending the therapeutic window of
currently available and/or novel anti-neoplastic agents.
PMID- 29797244
TI - The Last Jedi Takes His Own Life: Rational Suicide and Homicide-Suicide in Star
Wars.
PMID- 29797245
TI - Colonic conduit prolapse after transanal total mesorectal excision (taTME).
PMID- 29797246
TI - Embolization of superior rectal arteries for transfusion dependent haemorrhoidal
bleeding in severely cardiopathic patients: a new field of application of the
"emborrhoid" technique.
PMID- 29797248
TI - ELDA qASO-PCR for High Sensitivity Detection of Tumor Cells in Bone Marrow and
Peripheral Blood.
AB - A quantitative allele-specific polymerase chain reaction in combination with an
extreme limiting dilution approach (ELDA qASO-PCR) enables the detection of tumor
cells in patients with multiple myeloma (MM) in bone marrow (BM) samples and in
peripheral blood (PB) with a sensitivity of <10-6. The two-step procedure of
patient-specific tumor cell identification via the immunoglobulin heavy chain
(IgH) and kappa/lambda light chain (k/lambda LC) locus, followed by tumor cells
quantification by ELDA qASO-PCR allows for the application of this method to the
majority of MM patients, including those with Bence Jones proteinuria.
PMID- 29797249
TI - EuroFlow-Based Next-Generation Flow Cytometry for Detection of Circulating Tumor
Cells and Minimal Residual Disease in Multiple Myeloma.
AB - Here, we describe the detailed protocol for minimal residual disease (MRD)
assessment as well as circulating tumor cell (CTC) detection in patients with
plasma cell disorders using the next-generation flow (NGF) method developed by
EuroFlow. This includes the previous setup of flow cytometers, preparation and
staining of samples, cell acquisition, quality control of instruments, methods
and samples, as well as data interpretation to monitor MRD and CTCs with great
sensitivity. It should be noted that the NGF method fully described below can be
equally applied to monitor MRD in patients with systemic light-chain amyloidosis
and to detect occult bone marrow involvement in patients with solitary
plasmocytoma.
PMID- 29797250
TI - Cytoplasmic Immunoglobulin Vs. DNA Analysis by Flow Cytometry.
AB - Cytoplasmic immunoglobulin (CIg) vs DNA by flow cytometric (FCM) method allows us
to detect DNA content of the neoplastic plasma cells with monotypic cytoplasmic
immunoglobulin and also provide us with clear distinction from normal polytypic
plasma cells. Abnormalities in cellular DNA content (DNA aneuploidy) and cell
cycle determination (proliferative activity) can be measured rapidly by flow
cytometry. FCM can measure gross differences in DNA content and distinguish a
cell population with normal DNA content, or diploid from a cell population with
an abnormal or aneuploid DNA content. With the dual parameter procedure a
monoclonal plasma cell population can be identified down to less than 0.1-0.05%
of all cells.
PMID- 29797251
TI - Deep Profiling of the Immune System of Multiple Myeloma Patients Using Cytometry
by Time-of-Flight (CyTOF).
AB - Mass cytometry has emerged as a new state-of-the-art technology that enables in
depth characterization of cellular populations and functions at a single cell
resolution. We describe the application of this technology to deeply phenotype
the blood and bone marrow components of multiple myeloma patients in a clinical
setting. This technology allows for simultaneous quantification of more than 40
markers, overcoming the challenges of traditional fluorescence-based flow
cytometry.
PMID- 29797252
TI - Fluorescence In Situ Hybridization (FISH) in Multiple Myeloma.
AB - The application of fluorescence in situ hybridization (FISH) technology in
diagnosis and molecular classification of cancer-risk has become an essential
tool in the proceeding of personalized therapy. In multiple myeloma, the precise
FISH detection of numerical and structural genetic aberrations can be carried out
on metaphase chromosome spreads, interphase nuclei, and formalin fixed paraffin
embedded (FFPE) tissues. To dissect highly complex cancer genomes, a broad
variety of novel DNA probes, which outpace supplies from commercial resources on
the market, are also crucial to the advanced translational researches. Here, we
provide the protocols for the creation of custom-made DNA probes and for
conducting hybridizations on various targeting cells and tissues.
PMID- 29797253
TI - Whole Exome Sequencing in Multiple Myeloma to Identify Somatic Single Nucleotide
Variants and Key Translocations Involving Immunoglobulin Loci and MYC.
AB - Multiple myeloma is a malignancy of terminally differentiated plasma cells in the
bone marrow. These plasma cells produce high levels of immunoglobulin which cause
end-organ damage. Rearrangements within the immunoglobulin loci are a
physiological part of B cell development, but these DNA level double-strand
breaks may result in interchromosomal translocations. There are five main
translocations involving the Ig loci: t(4;14) 12%, t(6;14) 1%, t(11;14) 15%,
t(14;16) 3%, and t(14;20) 2%. These are primary events, found in all cells within
the tumor clone and are associated with different prognosis. The t(4;14),
t(14;16), and t(14;20) are associated with a poor prognosis, whereas the others
are associated with a more favorable prognosis. Rearrangements at the MYC locus
are also associated with a poor prognosis and increased expression of MYC. MYC
rearrangements are frequent (25%) and involve interchromosomal translocations
involving Ig loci or other partners, but also include intrachromosomal
inversions, duplications and deletions. As such, the Ig and MYC loci are key
players in the myeloma genome and including these in any genomic studies is key
to understanding the relationship with other abnormalities. We have designed a
custom capture of the Ig and MYC loci which can be added to exome or targeted
captures to inform on these key events. This saves on performing additional tests
to determine these events, which are generally mandatory for any genetic
investigations in myeloma. This custom capture is also relevant to other B cell
malignancies where MYC and Ig translocations occur.
PMID- 29797247
TI - Nutritional treatment of advanced CKD: twenty consensus statements.
AB - The Italian nephrology has a long tradition and experience in the field of
dietetic-nutritional therapy (DNT), which is an important component in the
conservative management of the patient suffering from a chronic kidney disease,
which precedes and integrates the pharmacological therapies. The objectives of
DNT include the maintenance of an optimal nutritional status, the prevention
and/or correction of signs, symptoms and complications of chronic renal failure
and, possibly, the delay in starting of dialysis. The DNT includes modulation of
protein intake, adequacy of caloric intake, control of sodium and potassium
intake, and reduction of phosphorus intake. For all dietary-nutritional
therapies, and in particular those aimed at the patient with chronic renal
failure, the problem of patient adherence to the dietetic-nutritional scheme is a
key element for the success and safety of the DNT and it can be favored by an
interdisciplinary and multi-professional approach of information, education,
dietary prescription and follow-up. This consensus document, which defines twenty
essential points of the nutritional approach to patients with advanced chronic
renal failure, has been written, discussed and shared by the Italian
nephrologists together with representatives of dietitians (ANDID) and patients
(ANED).
PMID- 29797254
TI - RNA-Sequencing from Low-Input Material in Multiple Myeloma for Application in
Clinical Routine.
AB - RNA sequencing is a recently developed approach for transcriptome profiling with
several advantages over gene expression profiling using DNA microarrays. Here we
describe a RNA-sequencing protocol optimized for low-input analysis of total RNA
from CD138+ purified plasma cells from myeloma patients which is applicable in
clinical routine.
PMID- 29797255
TI - Protocol for M3P: A Comprehensive and Clinical Oriented Targeted Sequencing Panel
for Routine Molecular Analysis in Multiple Myeloma.
AB - Over the past 10 years next generation sequencing (NGS) approaches deciphered a
large number of genomes from a wide variety of tumor types. However, despite most
relevant findings, this technology has not yet been implemented into standard
diagnostic workflows. Broad access to NGS technology is still limited,
sequencing/analysis times exceed clinically relevant timeframes and despite huge
cuts, costs remain significant. We proposed a custom-tailored gene panel, which
focuses on a selected number of relevant genes and developed a clinically
oriented NGS targeted sequencing approach for the molecular characterization of
Multiple Myeloma (MM) tumors, allowing the description of the tumor genetic
heterogeneity and its changes under selective pressure of antitumor therapy, in a
more cost effective and faster turnaround timeframe.
PMID- 29797256
TI - Analysis of Circulating Tumor DNA.
AB - Circulating tumor DNA (ctDNA) analysis is currently gaining momentum as an
innovative methodology for characterizing the tumor genome and monitoring
therapeutic efficacy in the multifocal, genetically and spatially heterogeneous
plasma cell malignancy, multiple myeloma (MM). Circulating cell-free DNA (cfDNA),
which consists of a combination of DNA derived from both tumor and normal cells,
is present in extracellular bodily fluids. The presence of ctDNA within this
admixture has been demonstrated recently in MM. In this chapter, we describe the
routinely utilized methodology for the extraction and longitudinal analysis of
specific mutations present in ctDNA derived from peripheral blood plasma of MM
patients.
PMID- 29797257
TI - Detection of Cross-Sample Contamination in Multiple Myeloma Samples and
Sequencing Data.
AB - The increasing applicability and sensitivity of next generation sequencing
methods exacerbate one of the main issues in the molecular biology laboratory,
namely cross-sample contamination. This type of contamination, which could
massively increase the rate of false-positive calls in sequencing experiments,
can originate at each step during the processing of multiple myeloma samples,
such as CD138-selection of tumor cells, RNA and DNA isolation or the processing
of sequencing libraries. Here we describe a Droplet Digital PCR (ddPCR) method
and a simple bioinformatic solution for the detection of contamination in
patient's samples and derived sequencing data, which are based on the same
principle: detection of alternative alleles for single-nucleotide polymorphisms
(SNPs) that are homozygous according to the control (germ line) sample.
PMID- 29797258
TI - Analysis of Global Gene Expression Profiles.
AB - DNA microarrays have considerably helped to improve the understanding of
biological processes and diseases including multiple myeloma (MM). GEP analyses
have been successful to classify MM, define risk, identify therapeutic targets,
predict treatment response, and understand drug resistance.This generated large
amounts of publicly available data that could benefit from easy-to-use
bioinformatics resources to analyze them. Here we present easy-to-use and open
access bioinformatics tools to extract and visualize the most prominent
information from GEP data.
PMID- 29797259
TI - Genome Wide Mapping of Methylated and Hydroxyl-Methylated Cytosines Using a
Modified HpaII Tiny Fragment Enrichment by Ligation Mediated PCR Tagged
Sequencing Protocol.
AB - Here we describe a method for genome wide investigation of methylation and
hydroxymethylation status of cytosines. This protocol is an improvement of the
HELP-tagging protocol previously described by Suzuki et al. It involves the
glucosylation of 5-hydroxymethylcytosines (5-hmC) with beta-glucosyl transferase
(beta-GT), thus rendering them resistant to digestion by MspI. Parallel digestion
of beta-GT treated samples with MspI, untreated sample with MspI and another
untreated sample with HpaII, followed by adapter ligation, parallel sequencing
and bioinformatics processing results in a differential display of MspI digestion
sites that allows the determination of the distribution of 5-methylcytosines (5
mC) and 5-hmC at these sites.
PMID- 29797260
TI - A Rapid and Robust Protocol for Reduced Representation Bisulfite Sequencing in
Multiple Myeloma.
AB - Reduced representation bisulfite sequencing (RRBS) is one of the most
comprehensive yet economic ways of mapping whole genome DNA-methylation. Here, we
have substantially modified the RRBS protocol by combining end-repair and A
tailing steps, and by introducing a bead-based method for rapid and easy size
selection of the library molecules. The method has been optimized for myeloma
clinical samples, where the input DNA concentration can be as low as 100 ng. The
method developed can be accomplished in 3 days, including the initial overnight
MspI enzyme digestion. Although the protocol has been optimized in myeloma
samples, it is broadly applicable to any clinical sample, which is restricted by
very low input DNA concentrations.
PMID- 29797261
TI - Microfluidic Production and Application of Lipid Nanoparticles for Nucleic Acid
Transfection.
AB - Lipid nanoparticles (LNPs) are established in the biopharmaceutical industry for
efficient encapsulation and cytosolic delivery of nucleic acids for potential
therapeutics, with several formulations in clinical trials. The advantages of
LNPs can also be applied in basic research and discovery with a microfluidic
method of preparation now commercially available that allows preparations to be
scaled down to quantities appropriate for cell culture. These preparations
conserve expensive nucleic acids while maintaining the particle characteristics
that have made LNPs successful in later stages of genetic medicine development.
Additionally, this method and the resulting LNPs are seamlessly scalable to
quantities appropriate for in vivo models and development of nucleic acid
therapeutics.The present work describes the methodology for preparing LNPs loaded
with siRNA, mRNA or plasmids using a commercially available microfluidic
instrument and an accompanying transfection kit. Guidelines for application to
cultured cells in a well-plate format are also provided.
PMID- 29797262
TI - Microfluidic Assembly of Liposomes with Tunable Size and Coloading Capabilities.
AB - Liposomes used for the delivery of pharmaceuticals have difficulties scaling up
and reaching clinical translation as they suffer from batch-to-batch variability.
Here, we describe a microfluidic approach for creating reproducible, homogenous
nanoparticles with tunable characteristics. These nanoparticles of sizes ranging
from 30 to 500 nm are rapidly self-assembled by controlling the flow rates of
ethanol and aqueous streams. This method of microfluidic assembly allows for the
efficient encapsulation of both hydrophobic and hydrophilic drugs in the lipid
bilayer and particle core, respectively, either separately or in combination.
PMID- 29797263
TI - Fibre Ultrastructure.
AB - Mammalian hair fibres can be structurally divided into three main components: a
cuticle, cortex and sometimes a medulla. The cuticle consists of a thin layer of
overlapping cells on the surface of the fibre, constituting around 10% of the
total fibre weight. The cortex makes up the remaining 86-90% and is made up of
axially aligned spindle-shaped cells of which three major types have been
recognised in wool: ortho, meso and para. Cortical cells are packed full of
macrofibril bundles, which are a composite of aligned intermediate filaments
embedded in an amorphous matrix. The spacing and three-dimensional arrangement of
the intermediate filaments vary with cell type. The medulla consists of a
continuous or discontinuous column of horizontal spaces in the centre of the
cortex that becomes more prevalent as the fibre diameter increases.
PMID- 29797264
TI - The Follicle Cycle in Brief.
AB - This chapter presents a very succinct overview of the cyclic biology of the hair
follicle as it transitions from the quiescent telogen stage to the anagen stage
in which hairs are actively produced before regressing through the catagen stage
to telogen.
PMID- 29797265
TI - Diversity of Trichocyte Keratins and Keratin Associated Proteins.
AB - Wool and hair fibres are primarily composed of proteins of which the keratins and
keratin associated proteins (KAPs) are the major component. Considerable
diversity is known to exist within these two groups of proteins. In the case of
the keratins two major families are known, of which there are 11 members in the
acidic Type I family and 7 members in the neutral-basic Type II family. The KAPs
are even more diverse than the keratins, with 35 families being known to exist
when the KAPs found in monotremes, marsupials and other mammalian species are
taken into consideration. Human hair and wool are known to have 88 and 73 KAPs
respectively, though this number rises for wool when polymorphism within KAP
families is included.
PMID- 29797266
TI - Evolution of Trichocyte Keratins.
AB - The evolution of keratins was closely linked to the evolution of epithelia and
epithelial appendages such as hair. The characterization of keratins in model
species and recent comparative genomics studies have led to a comprehensive
scenario for the evolution of keratins including the following key events. The
primordial keratin gene originated as a member of the ancient gene family
encoding intermediate filament proteins. Gene duplication and changes in the exon
intron structure led to the origin of type I and type II keratins which evolved
further by nucleotide sequence modifications that affected both the amino acid
sequences of the encoded proteins and the gene expression patterns. The
diversification of keratins facilitated the emergence of new and epithelium type
specific properties of the cytoskeleton. In a common ancestor of reptiles, birds,
and mammals, a rise in the number of cysteine residues facilitated extensive
disulfide bond-mediated cross-linking of keratins in claws. Subsequently, these
cysteine-rich keratins were co-opted for an additional function in epidermal
follicular structures that evolved into hair, one of the key events in the
evolution of mammals. Further diversification of keratins occurred during the
evolution of the complex multi-layered organisation of hair follicles. Thus,
together with the evolution of other structural proteins, epithelial patterning
mechanisms, and development programmes, the evolution of keratins underlied the
evolution of the mammalian integument.
PMID- 29797267
TI - Evolution of Trichocyte Keratin Associated Proteins.
AB - The major components of hair are keratins and keratin associated proteins
(KRTAPs). KRTAPs form the interfilamentous matrix between intermediate filament
bundles through extensive disulfide bond cross-linking with the numerous cysteine
residues in hair keratins. A variable number of approximately100-180 genes
compose the KRTAP gene family in mammals. KRTAP gene family members present a
typical pattern of concerted evolution, and its evolutionary features are
consistent with the evolution of mammalian hair. KRATP genes might be more
important in determining the structure of cashmere fibers in domestic mammals
like sheep and goats. KRTAP gene variants thus should provide information for
improved wool by sheep and goat breeding.
PMID- 29797268
TI - Structural Hierarchy of Trichocyte Keratin Intermediate Filaments.
AB - Although trichocyte keratins (hair, wool, quill, claw) have been studied since
the 1930s it is only over the last 30 years or so that major advances have been
made in our understanding of the complex structural hierarchy of the filamentous
component of this important filament-matrix composite. A variety of techniques,
including amino acid sequence analysis, computer modelling, X-ray fibre
diffraction and protein crystallography, various forms of electron microscopy,
and crosslinking methods have now combined to reveal much of the structural
detail. The heterodimeric structure of the keratin molecule is clear, as are the
highly-specific modes by which these molecules aggregate to form functionally
viable IF. The observation that hair keratin can adopt not one but two
structurally-distinct conformations, one formed in the living cells at the base
of the hair follicle in a reducing environment and the second in the fully
differentiated hair in dead cells in an oxidized state, was unexpected but has
major implications for the mechanism of hair growth. Insights have also been made
into the mechanism of the uppermost level of hair superstructure, relating to the
assembly of the IF in the paracortical and orthocortical macrofibrils.
PMID- 29797269
TI - Trichocyte Keratin-Associated Proteins (KAPs).
AB - The trichocyte (hard alpha-) keratins are epidermal appendages (hair, wool, hoof,
horn, claw, baleen and quill) with a classic filament-matrix composite structure.
In human hair, for example, keratin intermediate filaments (IF) of diameter 7.5
nm are embedded in a matrix formed from at least 89 different types of keratin
associated proteins (KAPs). The latter fall into three families, generally
defined in terms of their cysteine residue or glycine plus tyrosine residue
content. The KAPs, which infiltrate the space between the IF, are recognized as
having especially important roles in the organisation of the IF into
macrofibrils, in determining some of the most important physical attributes of
the fully-keratinised hair fibre, including its hardness, toughness and
pliability, and in linking IF to one another, either directly or indirectly, with
a resultant increase in durability and resistance to degradation by
microorganisms. Sequence data for many KAPs are now available, and repeating
motifs of varying extent have been observed in a number of them. Little, however,
is known about their three-dimensional structures, though modelling has indicated
that some local structural regularity is likely to exist. Current data suggest
that the KAPs in vivo may adopt a variety of energetically-similar conformations
stabilized predominantly by intramolecular disulfide bonds. The role of KAPs in
hair diseases relates more to modulation in gene expression than to point
mutations, in contrast to that observed for the IF proteins.
PMID- 29797270
TI - Introduction to Hair Development.
AB - The anagen phase of the hair follicle cycle is when the follicle is configured to
grow hair. In short hairs (e.g., mouse underhairs and human eye lashes) anagen
phase is short, but in the wool of sheep and in human scalp hair anagen is a
prolonged state lasting for years. In this chapter we describe the morphological
and biological divisions within the anagen follicle.
PMID- 29797271
TI - Environment of the Anagen Follicle.
AB - Hair follicles are part of the skin. Almost universally, follicles are described
as an epithelium-derived tubular down growth into the skin's dermis. Because
follicles are complex structures, especially when in anagen phase and configured
to actively grow fibres, it is easy to forget that they are part of a crowded
environment within the skin. This chapter introduces some of the structures which
surround the follicle as well as some of the peripheral parts of the follicle,
including follicle groups, and the dermal sheath, vasculature, adipocytes, nerves
and the arrector pili muscle.
PMID- 29797272
TI - Development of Hair Fibres.
AB - The growth of hairs occurs during the anagen phase of the follicle cycle. Hair
growth begins with basement membrane-bound stem cells (mother cells) around the
dermal papilla neck which continuously bud off daughter cells which further
divide as a transient amplifying population. Division ceases as cell line
differentiation begins, which entails changes in cell junctions, cell shape and
position, and cell-line specific cytoplasmic expression of keratin and
trichohyalin. As the differentiating cells migrate up the bulb, nuclear function
ceases in cortex, cuticle and inner root sheath (IRS) layers. Past the top of the
bulb, cell shape/position changes cease, and there is a period of keratin and
keratin-associated protein (KAP) synthesis in fibre cell lines, with increases,
in particular of KAP species. A gradual keratinization process begins in the
cortex at this point and then non-keratin cell components are increasingly broken
down. Terminal cornification, or hardening, is associated with water loss and
precipitation of keratin. In the upper follicle, the hair, now in its mature
form, detaches from the IRS, which is then extracted of material and becomes
fragmented to release the fibre. Finally, the sebaceous and sudoriferous (if
present) glands coat the fibre in lipid-rich material and the fibre emerges from
the skin. This chapter follows the origin of the hair growth in the lower bulb
and traces the development of the various cell lines.
PMID- 29797273
TI - Macrofibril Formation.
AB - Macrofibrils are the main structural component of the hair cortex, and are a
composite material in which trichokeratin intermediate filaments (IFs) are
arranged as organised arrays embedded in a matrix composed of keratin-associated
proteins (KAPs) and keratin head groups. Various architecture of macrofibrils is
possible, with many having a central core around which IFs are helically
arranged, an organisation most accurately described as a double-twist
arrangement. In this chapter we describe the architecture of macrofibrils and
then cover their formation, with most of the material focusing on the theory that
the initial stages of macrofibril formation are as liquid crystals.
PMID- 29797274
TI - Crosslinking Between Trichocyte Keratins and Keratin Associated Proteins.
AB - Trichocyte keratins differ considerably from their epithelial cousins in having a
higher number of cysteine residues, of which the greater proportion are located
in the head and tail regions of these proteins. Coupled with this is the presence
of a large number of keratin associated proteins in these fibres that are high in
their cysteine content, the high sulfur proteins and ultra-high sulfur proteins.
Thus it is the crosslinking that occurs between the cysteines in the keratins and
KAPs that is an important determinant in the functionality of wool and hair
fibres. Studies have shown the majority of the cysteine residues are involved in
internal crosslinking in the KAPs leaving only a few specific cysteines to
interact with the keratins, with most evidence pointing to interactions between
these KAP cysteines and the keratin head groups.
PMID- 29797275
TI - The Thermodynamics of Trichocyte Keratins.
AB - This chapter is an attempt at an excursion into the world of keratins with the
help of thermodynamics.After briefly introducing some of the thermodynamic
concepts involved in deciphering the behaviour of keratins, we will use them to
look into the process of aggregation of keratin molecules into intermediate
filaments, and keratin fibres, and then for analysing how keratin materials react
to mechanical, thermal and moisture stresses, respectively.In most of the cases
entropy appears to be the major driving force of the response occurring in
keratins under environmental assault. This fact points to the important role
played for keratins by temperature, which, aside from influencing the kinetics of
the processes (accelerating or decelerating the rates of the rates), helps
increase or decrease the entropic contribution to the Gibbs free energy and,
thus, allows thermodynamically the occurrence of the observed behaviour of
keratins.
PMID- 29797276
TI - Oxidative Modification of Trichocyte Keratins.
AB - Oxidation of keratin results in a range of deleterious effects, including
discolouration and compromised physical and mechanical properties. Keratin
oxidative degradation is driven by molecular-level events, with accumulation of
modifications at the protein primary level resulting directly in changes to
secondary, tertiary and quaternary structure, as well as eventually changes in
the observable physical and chemical properties. Advances in proteomic analysis
techniques provide an increasingly clearer insight into the cascade of molecular
modification underpinning keratin oxidation and how this translates through to
higher order changes in properties. This chapter summarises the effects of
oxidation on keratin-based materials, the types of molecular modification
associated with this, and advances in techniques and approaches for
characterising this modification.
PMID- 29797277
TI - Mapping the social impacts of small dams: The case of Thailand's Ing River basin.
AB - The social impacts of large dams have been studied extensively. However, small
dams' social impacts have been largely neglected by the academic community. Our
paper addresses this gap. We examine the social impacts of multiple small dams in
one upstream and one downstream village in Thailand's Ing River basin. Our
research is based on semi-structured interviews with beneficiaries, government
and NGOs. We argue that small dams' social impacts are multi-faceted and unequal.
The dams were perceived to reduce fish abundance and provide flood mitigation
benefits. Furthermore, the dams enabled increased access to irrigation water for
upstream farmers, who re-appropriated water via the dams at the expense of those
downstream. The small dams thus engendered water allocation conflicts. Many
scholars, practitioners and environmentalists argue that small dams are a benign
alternative to large dams. However, the results of our research mandate caution
regarding this claim.
PMID- 29797278
TI - Illness as the saturated phenomenon: the contribution of Jean-Luc Marion.
AB - During the last few decades, many thinkers have advocated for the importance of
the phenomenological approach in developing the understanding of the lived
experience of illness. In their attempts, they have referred to ideas found in
the history of phenomenology, most notably, in the works of Edmund Husserl,
Martin Heidegger, Maurice Merleau-Ponty and Jean-Paul Sartre. The aim of this
paper is to sketch out an interpretation of illness based on a yet unexplored
conceptual framework of the phenomenology of French thinker Jean-Luc Marion.
Focusing on concepts of the saturated phenomenon and flesh, the paper develops an
interpretation of illness as the saturated phenomenon, which highlights a variety
of dimensions of illness already elaborated within the phenomenology of medicine,
such as the affective dimension of illness, the disruptive dimension of illness,
the transformed perception of the self in illness, mineness of flesh in illness
and the inexpressible and hermeneutical dimension of illness. In addition to
that, the paper explores some of the consequences the proposed interpretation of
illness offers regarding the nature of illness and health. It is argued that
illness in its essence is very similar to the experience of other saturated
phenomena, suggesting that the difference between them does not lie within the
character of the affective givenness, but rather within the dynamic relationship
between the affective givenness and its conceptualization. It is also shown that
the experience of health is compatible with the experience of saturation and thus
is not limited to the tacit and harmonious background state.
PMID- 29797279
TI - Correction to: Vitamin D Supplementation in Childhood - A Review of Guidelines.
AB - There was mistake on the published online version. A reader has brought to our
notice a typographical error in an article entitled "Vitamin D Supplementation in
Childhood - A Review of Guidelines" published in Indian J Pediatr. March
2018;85(3):194-201.
PMID- 29797281
TI - Postcraniometric sex and ancestry estimation in South Africa: a validation study.
AB - With the acceptance of the Daubert criteria as the standards for best practice in
forensic anthropological research, more emphasis is being placed on the
validation of published methods. Methods, both traditional and novel, need to be
validated, adjusted, and refined for optimal performance within forensic
anthropological analyses. Recently, a custom postcranial database of modern South
Africans was created for use in Fordisc 3.1. Classification accuracies of up to
85% for ancestry estimation and 98% for sex estimation were achieved using a
multivariate approach. To measure the external validity and report more realistic
performance statistics, an independent sample was tested. The postcrania from 180
black, white, and colored South Africans were measured and classified using the
custom postcranial database. A decrease in accuracy was observed for both
ancestry estimation (79%) and sex estimation (95%) of the validation sample. When
incorporating both sex and ancestry simultaneously, the method achieved 70%
accuracy, and 79% accuracy when sex-specific ancestry analyses were run.
Classification matrices revealed that postcrania were more likely to misclassify
as a result of ancestry rather than sex. While both sex and ancestry influence
the size of an individual, sex differences are more marked in the postcranial
skeleton and are therefore easier to identify. The external validity of the
postcranial database was verified and therefore shown to be a useful tool for
forensic casework in South Africa. While the classification rates were slightly
lower than the original method, this is expected when a method is generalized.
PMID- 29797280
TI - Touch the table before the target: contact with an underlying surface may assist
the development of precise visually controlled reach and grasp movements in human
infants.
AB - Multiple motor channel theory posits that skilled hand movements arise from the
coordinated activation of separable neural circuits in parietofrontal cortex,
each of which produces a distinct movement and responds to different sensory
inputs. Prehension, the act of reaching to grasp an object, consists of at least
two movements: a reach movement that transports the hand to a target location and
a grasp movement that shapes and closes the hand for target acquisition. During
early development, discrete pre-reach and pre-grasp movements are refined based
on proprioceptive and tactile feedback, but are gradually coordinated together
into a singular hand preshaping movement under feedforward visual control. The
neural and behavioural factors that enable this transition are currently unknown.
In an attempt to identify such factors, the present descriptive study used frame
by-frame video analysis to examine 9-, 12-, and 15-month-old infants, along with
sighted and unsighted adults, as they reached to grasp small ring-shaped pieces
of cereal (Cheerios) resting on a table. Compared to sighted adults, infants and
unsighted adults were more likely to make initial contact with the underlying
table before they contacted the target. The way in which they did so was also
similar in that they generally contacted the table with the tip of the thumb
and/or pinky finger, a relatively open hand, and poor reach accuracy. Despite
this, infants were similar to sighted adults in that they tended to use a pincer
digit, defined as the tip of the thumb or index finger, to subsequently contact
the target. Only in infants was this ability related to their having made prior
contact with the underlying table. The results are discussed in relation to the
idea that initial contact with an underlying table or surface may assist infants
in learning to use feedforward visual control to direct their digits towards a
precise visual target.
PMID- 29797283
TI - The Danish STR sequence database: duplicate typing of 363 Danes with the
ForenSeqTM DNA Signature Prep Kit.
AB - Some STR loci have internal sequence variations, which are not revealed by the
standard STR typing methods used in forensic genetics (PCR and fragment length
analysis by capillary electrophoresis (CE)). Typing of STRs with next-generation
sequencing (NGS) uncovers the sequence variation in the repeat region and in the
flanking regions. In this study, 363 Danish individuals were typed for 56 STRs
(26 autosomal STRs, 24 Y-STRs, and 6 X-STRs) using the ForenSeqTM DNA Signature
Prep Kit to establish a Danish STR sequence database. Increased allelic diversity
was observed in 34 STRs by the PCR-NGS assay. The largest increases were found in
DYS389II and D12S391, where the numbers of sequenced alleles were around four
times larger than the numbers of alleles determined by repeat length alone.
Thirteen SNPs and one InDel were identified in the flanking regions of 12 STRs.
Furthermore, 36 single positions and five longer stretches in the STR flanking
regions were found to have dubious genotyping quality. The combined match
probability of the 26 autosomal STRs was 10,000 times larger using the PCR-NGS
assay than by using PCR-CE. The typical paternity indices for trios and duos were
500 and 100 times larger, respectively, than those obtained with PCR-CE. The
assay also amplified 94 SNPs selected for human identification. Eleven of these
loci were not in Hardy-Weinberg equilibrium in the Danish population, most likely
because the minimum threshold for allele calling (30 reads) in the ForenSeqTM
Universal Analysis Software was too low and frequent allele dropouts were not
detected.
PMID- 29797282
TI - Male individualization using 12 rapidly mutating Y-STRs in Araein ethnic group
and shared paternal lineage of Pakistani population.
AB - A multiplex assay has been developed with newly designed primer sets comprising
high mutation rate 12 RM Y-STR markers (DYS570, DYF399S1, DYS547, DYS612,
DYF387S1, DYS449, DYS576, DYS5626, DYF403S1 (a + b), DYS627, DYS526, and
DYF404S1). Rapidly mutating Y-STRs were evaluated in 167 male individuals among
97 were unrelated from Araein ethnic group and 70 belonged to shared paternal
lineage including 20 pairs of father-son and 15 pairs of brother-brother
relationship collected from Punjabi population of Pakistan. Forensic competency
parameters were implemented for each marker and exceptionally significant results
found wherein polymorphism information content (PIC) was in range of 0.7494
(DYS576) to 0.8994 (DYS627). Samples were also analyzed with Y-filer kit for
comparison and marked differentiations observed. Haplotype discrimination
capacity was 100% as no haplotype shared among all the unrelated individuals of
same ethnic group as compared to 17 Y-filer loci (78%). While in closely related
males, discrimination capacity was 96.4% with haplotype diversity value of 0.98.
Resulted high mutation rate 1 * 10-2 to 7.14 * 10-2 as compared to Y-filer (1 *
10-4 to 1 * 10-3) manifested the power of RM Y-STRs for considering absolute
individualization of interrelated and unrelated male individuals. However,
multiplex assay would be useful for male discrimination in mixed DNA specimen,
azoospermic males, and multiple male DNA contributors in sexual assault cases and
mass disasters victim's identification as well as anthropological studies.
PMID- 29797284
TI - Quality Assurance and Improvement in Head and Neck Cancer Surgery: From Clinical
Trials to National Healthcare Initiatives.
AB - OPINION STATEMENT: It is without question in the best interest of our patients,
if we can identify ways to improve the quality of care we deliver to them. Great
progress has been made within the last 25 years in terms of development and
implementation of quality-assurance (QA) platforms and quality improvement
programs for surgery in general, and within this context for head and neck
surgery. As of now, we have successfully identified process indicators that
impact outcome of our patients and the quality of care we deliver as surgeons. We
have developed risk calculators to determine the risk for complications of
individual surgical patients. We have created perioperative guidelines for
complex head and neck procedures. We have in Europe and North America created
audit registries that can gather and analyze data from institutions across the
world to better understand which processes need change to obtain good outcomes
and improve quality of care. QA platforms can be tested within the clearly
defined environment of prospective clinical trials. If positive, such programs
could be rolled out within national healthcare systems, if feasible. Testing
quality programs in clinical trials could be a versatile tool to help head neck
cancer patients benefit directly from such initiatives on a global level.
PMID- 29797285
TI - Parkour as a Donor Sport for Athletic Development in Youth Team Sports: Insights
Through an Ecological Dynamics Lens.
AB - Analyses of talent development in sport have identified that skill can be
enhanced through early and continued involvement in donor sports which share
affordances (opportunities for action) with a performer's main target sport.
Aligning key ideas of the Athletic Skills Model and ecological dynamics theory,
we propose how the sport of parkour could provide a representative and adaptive
platform for developing athletic skill (e.g. coordination, timing, balance,
agility, spatial awareness and muscular strength). We discuss how youth sport
development programmes could be (re) designed to include parkour-style
activities, in order to develop general athletic skills in affordance-rich
environments. It is proposed that team sports development programmes could
particularly benefit from parkour-style training since it is exploratory and
adaptive nature shapes utilisation of affordances for innovative and autonomous
performance by athletes. Early introduction to varied, relevant activities for
development of athleticism and skill, in a diversified training programme, would
provide impetus for a fundamental shift away from the early specialisation
approach favoured by traditional theories of skill acquisition and expertise in
sport.
PMID- 29797287
TI - Estimating renal function in patients with atrial fibrillation: which dose of
direct oral anticoagulants?
PMID- 29797286
TI - Effects of vitrification on the viability of alginate encapsulated isolated
bovine pre-antral follicles.
AB - PURPOSE: Individual follicle cryopreservation techniques, without hydrogel
support, are labor-intensive and a substantial proportion of isolated follicles
are lost during handling and after warming. Therefore, the viability and
morphology of isolated bovine (as a model for human) pre-antral follicles after
vitrification and warming, when encapsulated in alginate beads, were
investigated. METHODS: Bovine pre-antral follicles were mechanically isolated and
divided into four different groups: (1) culture in 2% alginate beads (3D system)
and vitrification in beads using mesh cups (3DVIT), (2) culture in 2% alginate
beads (3DCUL), (3) culture in 96-well plates (2D system) and vitrification using
High Security Vitrification straws(r) (2DVIT), (4) culture in a 2D system
(2DCUL). The same vitrification and warming protocols were used for embedded
(3DVIT) and non-embedded follicles (2DVIT). RESULTS: No differences were observed
in follicle viability between group 2DCUL and 3DCUL. Group 3DVIT showed the
lowest viability (45.9%) according to calcein and neutral red staining among all
groups. Group 2DVIT displayed the highest viability (87.5%) and largest
percentage of follicles with a well-preserved morphology. CONCLUSIONS: Our
results show that, using a vitification protocol optimized for non-embedded
follicles, 2D culture is more effective in vitrifying isolated follicles.
However, embedding in alginate allow to handle follicles more efficiently, i.e.,
without excessive manipulation and thus less labor-intensive in combination with
a reduced loss of follicles during the procedure. Based on the increased work
efficiency, but lower viability and higher proportion of follicles showing
impaired morphology, we consider it advantageous to optimize the protocol for the
vitrification of embedded follicles to increase survival and maintain morphology
after vitrification.
PMID- 29797289
TI - Getting with the times: a narrative review of the literature on group decision
making in virtual environments and implications for promotions committees.
AB - INTRODUCTION: Concerns around the time and administrative burden of trainee
promotion processes have been reported, making virtual meetings an attractive
option for promotions committees in undergraduate and postgraduate medicine.
However, whether such meetings can uphold the integrity of decision-making
processes has yet to be explored. This narrative review aimed to summarize the
literature on decision making in virtual teams, discuss ways to improve the
effectiveness of virtual teams, and explore their implications for practice.
METHODS: In August 2017, the Web of Science platform was searched with the terms
'decision making' AND 'virtual teams' for articles published within the last 20
years. The search yielded 336 articles, which was narrowed down to a final set of
188 articles. A subset of these, subjectively deemed to be of high-quality and
relevant to the work of promotions committees, was included in this review.
RESULTS: Virtual team functioning was explored with respect to team composition
and development, idea generation and selection, group memory, and communication.
While virtual teams were found to potentially offer a number of key benefits over
face-to-face meetings including convenience and scheduling flexibility, inclusion
of members at remote sites, and enhanced idea generation and external storage,
these benefits must be carefully weighed against potential challenges involving
planning and coordination, integration of perspectives, and relational conflict
among members, all of which can potentially reduce decision-making quality.
DISCUSSION: Avenues to address these issues and maximize the outcomes of virtual
promotions meetings are offered in light of the evidence.
PMID- 29797288
TI - Emergency Department influenza vaccination campaign allows increasing influenza
vaccination coverage without disrupting time interval quality indicators.
AB - To evaluate the impact of an influenza vaccination (IV) coverage (IVC) in a
vaccination campaign of an Emergency Department (EDVC) and its impact on ED time
interval quality indicators. We conducted a 4 year observational study, with an
intervention during the 4th year. IVC was calculated during pre-and early
epidemic periods. During the final period, a 12 weeks EDVC was implemented.
Physicians and nurses were trained and sensitized in the importance of
vaccination, and their role in the prevention of severe forms of influenza was
reinforced. The vaccine was proposed by physicians and nurses, and delivered by
them. Repeated measures ANOVA is a validated method for related not independent
groups ( https://statistics.laerd.com/statistical-guides/repeated-measures-anova
statistical-guide.php ). Overall, IVC was 987/3191 (30.9%) with an increasing
trend from 28.8 to 33.2%. In the fourth period, out of 868 patients identified
with IV indication, 288 had already been vaccinated (IVC 33.2%). After excluding
patients presenting criteria of exclusion, IV was proposed to 475 patients: 317
(66.7%) accepted. The vaccination rate after patient's acceptance was 89.6%
(288/317). At the end of the EDVC, influenza vaccination coverage was 572 (284 +
288)/868 (65.9%). The delay between arrival at the ED and seeing the triage nurse
and physician as well as the overall ED length of stay were not modified during
the study period and before and during EDVC. EDVC effectively doubled the
influenza vaccination coverage, without modifying ED time interval quality
indicators.
PMID- 29797290
TI - Diagnostic accuracy of diffusion weighted imaging for differentiation of
supratentorial pilocytic astrocytoma and pleomorphic xanthoastrocytoma.
AB - PURPOSE: Supratentorial pilocytic astrocytoma (PA) may mimic pleomorphic
xanthoastrocytoma (PXA) on conventional MR imaging, and a differentiation is
clinically important because of distinct recurrence rate and anaplastic
transformation rate. The purpose of this study was to investigate the diagnostic
potential of diffusion-weighted imaging (DWI) in differentiating supratentorial
PA from PXA. METHODS: We retrospectively reviewed DWI and conventional MR imaging
of 16 patients with supratentorial PA and 8 patients with PXA. Variables of mean
ADC values (ADCmean) and minimum ADC values (ADCmin) were calculated from the
ROIs containing the contrast-enhancing lesion on DWI. ADCmean values and ADCmin
values were compared among all supratentorial PA and PXA as well as between the
subgroup of lobar PA and PXA by using an unpaired Student's t test. The optimum
threshold, sensitivity, specificity, accuracy, and the area under the receiver
operating characteristic curve (AUC) were determined. RESULTS: Both ADCmean
values (1542 +/- 186 vs 1084 +/- 201 * 10-6 mm2/s; P < 0.001) and ADCmin values
(1355 +/- 183 vs 988 +/- 180 * 10-6 mm2/s; P < 0.001) were significantly higher
in supratentorial PA compared with PXA. The ADCmean values and ADCmin values were
also significantly higher in lobar PA than those in PXA. The ADCmean values were
useful for differentiating supratentorial PA from PXA, with a threshold value of
> 1189.8 * 10-6 mm2/s (sensitivity, 93.8%; specificity, 100%). The optimal
threshold values of > 1189.8 * 10-6 mm2/s for ADCmean values provide sensitivity
and specificity of 85.7 and 100%, respectively, for discriminating lobar PA from
PXA. The optimum threshold value for ADCmin was > 1063.5 * 10-6 mm2/s.
CONCLUSION: DWI is helpful in characterization and differentiation of
supratentorial PA from PXA.
PMID- 29797291
TI - Dynamic of VE-cadherin-mediated spermatid-Sertoli cell contacts in the mouse
seminiferous epithelium.
AB - Spermatids are haploid differentiating cells that, in the meantime they
differentiate, translocate along the seminiferous epithelium towards the tubule
lumen to be just released as spermatozoa. The success of such a migration depends
on dynamic of spermatid-Sertoli cell contacts, the molecular nature of which has
not been well defined yet. It was demonstrated that the vascular endothelial
cadherin (VEC) is expressed transitorily in the mouse seminiferous epithelium.
Here, we evaluated the pattern of VEC expression by immunohistochemistry first in
seminiferous tubules at different stages of the epithelial cycle when only unique
types of germ cell associations are present. Changes in the pattern of VEC
localization according to the step of spermatid differentiation were analysed in
detail using testis fragments and spontaneously released germ cells. Utilizing
the first wave of spermatogenesis as an in vivo model to have at disposal
spermatids at progressive steps of differentiation, we checked for level of
looser VEC association with the membrane by performing protein solubilisation
under mild detergent conditions and assays through VEC-immunoblotting. Being
changes in VEC solubilisation paralleled in changes in phosphotyrosine (pY)
content, we evaluated if spermatid VEC undergoes Y658 phosphorylation and if this
correlates with VEC solubilisation and spermatid progression in differentiation.
Altogether, our study shows a temporally restricted pattern of VEC expression
that culminates with the presence of round spermatids to progressively decrease
starting from spermatid elongation. Conversely, pY658-VEC signs elongating
spermatids; its intracellular polarized compartmentalization suggests a possible
involvement of pY658-VEC in the acquisition of spermatid cell polarity.
PMID- 29797292
TI - Telemedicine in Complex Diabetes Management.
AB - PURPOSE OF REVIEW: Telehealth has the potential to positively transform the
quality and cost-effectiveness of complex diabetes management in adults. This
review explores the landscape of telemedicine approaches and evidence for
incorporation into general practice. RECENT FINDINGS: Telemedicine for diabetes
care is feasible based on over 100 randomized clinical trials. Evidence shows
modest benefits in A1c lowering and other clinical outcomes that are better
sustained over time vs. usual care. While telemedicine interventions are likely
cost-effective in diabetes care, more research is needed using implementation
science approaches. Telehealth platforms have been shown to be both feasible and
effective for health care delivery in diabetes, although there are many caveats
that require tailoring to the institution, clinician, and patient population.
Research in diabetes telehealth should focus next on how to increase access to
patients who are known to be marginalized from traditional models of health care.
PMID- 29797293
TI - The BioGIT System: a Valuable In Vitro Tool to Assess the Impact of Dose and
Formulation on Early Exposure to Low Solubility Drugs After Oral Administration.
AB - The purpose of this study was to evaluate the usefulness of the in vitro
biorelevant gastrointestinal transfer (BioGIT) system in assessing the impact of
dose and formulation on early exposure by comparing in vitro data with previously
collected human plasma data of low solubility active pharmaceutical ingredients.
Eight model active pharmaceutical ingredients were tested; Lu 35-138C (salt of
weak base in a HP-beta-CD solution, three doses), fenofibrate (solid dispersion,
tablet, two doses), AZD2207 EQ (salt of weak base, capsule, three doses),
posaconazole (Noxafil(r) suspension, two doses), SB705498 (weak base, tablets vs.
capsules), cyclosporine A (Sandimmun(r) vs. Sandimmun(r) Neoral), nifedipine
(Adalat(r) capsule vs. Macorel(r) tablet), and itraconazole (Sporanox(r) capsule
vs. Sporanox(r) solution). AUC0-0.75h values were calculated from the apparent
concentration versus time data in the duodenal compartment of the BioGIT system.
Differences in AUC0-0.75h values were evaluated versus differences in AUC0-1h and
in AUC0-2h values calculated from previously collected plasma data in healthy
adults. Ratios of mean AUC0-0.75h, mean AUC0-1h, and mean AUC0-2h values were
estimated using the lowest dose or the formulation with the lower AUC0-0.75h
value as denominator. The BioGIT system qualitatively identified the impact of
dose and of formulation on early exposure in all cases. Log-transformed mean
BioGIT AUC0-0.75h ratios correlated significantly with log-transformed mean
plasma AUC0-1h ratios. Based on this correlation, BioGIT AUC0-0.75h ratios
between 0.3 and 10 directly reflect corresponding plasma AUC0-1h ratios. BioGIT
system is a valuable tool for the assessment of the impact of dose and
formulation on early exposure to low solubility drugs.
PMID- 29797294
TI - The effect of inhibition on the existence of traveling wave solutions for a
neural field model of human seizure termination.
AB - In this paper we study the influence of inhibition on an activity-based neural
field model consisting of an excitatory population with a linear adaptation term
that directly regulates the activity of the excitatory population. Such a model
has been used to replicate traveling wave data as observed in high density local
field potential recordings (Gonzalez-Ramirez et al. PLoS Computational Biology,
11(2), e1004065, 2015). In this work, we show that by adding an inhibitory
population to this model we can still replicate wave properties as observed in
human clinical data preceding seizure termination, but the parameter range over
which such waves exist becomes more restricted. This restriction depends on the
strength of the inhibition and the timescale at which the inhibition acts. In
particular, if inhibition acts on a slower timescale relative to excitation then
it is possible to still replicate traveling wave patterns as observed in the
clinical data even with a relatively strong effect of inhibition. However, if
inhibition acts on the same timescale as the excitation, or faster, then
traveling wave patterns with the desired characteristics cease to exist when the
inhibition becomes sufficiently strong.
PMID- 29797295
TI - Enhanced Production of Crude Violacein from Glucose in Escherichia coli by
Overexpression of Rate-Limiting Key Enzyme(S) Involved in Violacein Biosynthesis.
AB - Crude violacein, consisting of violacein and deoxyviolacein, displays many
attractive bio-activities in the field of drug therapy. To produce crude
violacein from an industrially economic carbon source, we firstly introduced the
violacein pathway into Escherichia coli B8/pTRPH1, which was previously
engineered to accumulate tryptophan from glucose. A crude violacein production
capacity of 0.25 g L-1 OD600-1 was obtained using glucose-containing medium. By
further overexpressing each of the five genes involved in violacein synthesis
pathway, VioE was found as the rate-limiting step for the violacein production.
The optimal strain of B8/pTRPH1-pVio-VioE was then used for fed-batch
fermentation in a 5-L bioreactor and a crude violacein titer of 4.45 g L-1, as
well as a productivity of 98.7 mg L-1 h-1, was obtained. This engineered strain
showed the highest violacein titer and productivity reported so far. Our optimal
strain of E. coli B8/pTRPH1-pVio-VioE by overexpression of the rate-limiting VioE
in violacein synthesis pathway was a potential violacein producer by directly
using glucose for industrial application.
PMID- 29797296
TI - Calcium Alginate Bead-mediated Enhancement of the Selective Recovery of a Lead
Novel Antifungal Bacillomycin Variant.
AB - In the pursuit of new antifungal compounds, five coproduced lipopeptide variants
(AF1 to AF5) from wild-type Bacillus subtilis RLID 12.1 were identified in our
previous study. Out of five, AF4 was identified as a novel lead molecule
belonging to the bacillomycin family showing less cytotoxicity at its respective
minimum inhibitory concentrations (MIC) evaluated against 81 strains of Candida
and Cryptococcus species (including clinical isolates); besides this, AF4
purified in the present study exhibited encouraging MIC values against 10
clinical mycelial fungi. Aiming for a selective production augmentation of AF4
lipopeptide variant, a new fermentation media comprising malt extract (1.01%),
dextrose (0.55%), peptone (1.79%), MnSO4 (2 mM), and NaCl (0.5%) was formulated.
Maximum production of 954.8 +/- 10.8 mg/L was achieved with 44% selectivity at 30
degrees C compared to unoptimized conditions (186.4 +/- 6.1 mg/L). Use of
calcium alginate beads in the formulated media during the onset of lipopeptide
production resulted in an augmentation in the selectivity of the most efficacious
AF4 variant to about 72% presumably due to attenuation of other coproduced
lipopeptide variants AF1 and AF2. Difference in yield of lipopeptides varied with
bead size, bead preparation ratios, and sodium alginate concentrations. Use of Ca
alginate beads in the upstream production process of the lead AF4 variant may be
considered as a novel strategy to address the potential challenge that may arise
during the scale-up and downstream processing steps. Another significant finding
derived from the study is that the proportion of bacillomycin variants of B.
subtilis RLID 12.1 could be controlled by temperature and metal ions under static
and shaking conditions.
PMID- 29797297
TI - Correlation Between Size and Activity Enhancement of Recombinantly Assembled
Cellulosomes.
AB - As multienzyme complexes, cellulosomes hydrolyze cellulosic biomass with high
efficiency, which is believed to be attributed to either one or both factors: (1)
synergy among the catalytic and substrate-binding entities and (2) the large size
of cellulosome complexes. Although the former factor has been extensively
documented, the correlation between size and specific activity of cellulosomes is
still elusive to date. In this study, primary and secondary scaffoldins with 1,
3, or 5 copies of type I/II cohesin domains were recombinantly synthesized and
various cellulosomes carrying 1, 3, 5, 9, 15, or 25 molecules of cellulase
mixtures of family 5, 9, and 48 glycoside hydrolases were assembled. In addition,
the assembled complex was annexed to cellulose with the aid of a family 3a
carbohydrate-binding module (CBM3a). Measuring cellulolytic hydrolysis activities
of assembled cellulosomes on crystalline Avicel revealed that higher degree of
cellulosome complexity resulted in more efficient cellulose hydrolysis with
plateaued synergic effects after the cellulosome size reaches certain degree.
PMID- 29797299
TI - Schizosaccharomyces pombe as an Efficient Yeast to Convert Sugarcane Bagasse
Pretreated with Ionic Liquids in Ethanol.
AB - Pretreatment of lignocellulosic biomass with ionic liquids (ILs) has been
extensively studied, being regarded as one of the most promising methods for
obtaining fermentable sugars. In this research, it was investigated the
production of ethanol from sugars released from sugarcane bagasse pretreated with
the ionic liquids [C4mim][OAc] and [C2mim][OAc], hydrolysed with Penicillium
echinulatum enzymes and using Saccharomyces cerevisiae and Schizosaccharomyces
pombe. Yields of about 43 and 56% of ethanol were observed for S. cerevisiae and
biomass pretreated with [C2mim][OAc] and [C4mim][OAc], respectively. S. pombe
yielded 52 and 78% ethanol for [C2mim][OAc] and [C4mim][OAc], respectively. These
results indicate that S. pombe showed best performance for alcoholic fermentation
from sugars released from pretreated biomass by ILs.
PMID- 29797301
TI - Cost-Effectiveness Analysis of Intracapsular Tonsillectomy and Total
Tonsillectomy for Pediatric Obstructive Sleep Apnea.
AB - PURPOSE: We performed an economic evaluation using a decision-tree model to
analyze the relative cost effectiveness from the United States Centers for
Medicare and Medicaid Services (CMS) perspective of two different methods of
tonsillectomy (traditional total tonsillectomy and partial intracapsular) for
pediatric obstructive sleep apnea (OSA). MATERIALS AND METHODS: Procedural costs
were drawn from published literature and Medicare values. Effectiveness and
probabilities were drawn from medical literature. Primary intervention was
monopolar-technique total tonsillectomy or microdebrider-assisted partial
intracapsular tonsillectomy. Secondary interventions included operative control
of hemorrhage, treatment of severe dehydration, or revision tonsillectomy. The
decision model starts with pediatric patients with OSA, choosing between total
and partial tonsillectomy. Outcomes were measured by costs (US dollars),
effectiveness [quality-adjusted life year (QALY)], and a willingness-to-pay
threshold of US$100,000/QALY. Base case analysis, probabilistic sensitivity
analysis (PSA) and deterministic sensitivity analyses were performed. Primary
outcome was incremental cost-effectiveness ratio (ICER) for each of the two
tonsillectomy techniques. RESULTS: Base case analysis demonstrated that total
tonsillectomy was more cost effective at US$12,453.40 per QALY gained. In PSA,
82.84% of the simulations show total tonsillectomy to be the more cost-effective
strategy. Deterministic sensitivity analyses showed that when the rate of OSA
recurrence is lower than 3.12%, partial tonsillectomy would be more cost
effective. When the failure rate of partial tonsillectomy is below 1.0%, it is
more cost effective even when total tonsillectomy is 100% successful. CONCLUSION:
Study results suggest that overall monopolar-technique total tonsillectomy is
more cost effective. However, with varying adjustments for disutility caused by
procedural complications, intracapsular tonsillectomy could become a more cost
effective technique for treating pediatric OSA.
PMID- 29797300
TI - Biological Response of Osteoblastic and Chondrogenic Cells to Graphene-Containing
PCL/Bioactive Glass Bilayered Scaffolds for Osteochondral Tissue Engineering
Applications.
AB - Graphene-containing 13-93 bioactive glass and poly(epsilon-caprolactone)-based
bilayer, electrically conductive scaffolds were prepared for osteochondral tissue
repair. Biological response of osteoblastic MC3T3-E1 and chondrogenic ATDC5 cells
to the composite scaffolds was assessed under mono-culture and co-culture
conditions. Cytotoxicity was investigated using MTT assay, cartilage matrix
production was evaluated by Alcian blue staining, and mineralization of both
types of cells in the different culture systems was observed by Alizarin red S
staining. Results showed that osteoblastic and chondrogenic cells utilized in the
study did not show toxic response to the prepared scaffolds under mono-culture
conditions and higher cell viability rates were obtained in co-culture
conditions. Larger mineralized areas were determined under co-culture conditions
and calcium deposition amount significantly increased compared with that in
control group samples after 21 days. Additionally, the amount of
glycosaminoglycans synthesized in co-culture was higher compared to mono-culture
conditions. Electric stimulation applied under mono-culture conditions suppressed
the viability of MC3T3-E1 cells whereas it enhanced the viability rates of ATDC5
cells. The study suggests that the designed bilayered osteochondral constructs
have the potential for osteochondral defect repair.
PMID- 29797298
TI - Activity Essential Residue Analysis of Taxoid 10beta-O-Acetyl Transferase for
Enzymatic Synthesis of Baccatin.
AB - Taxoid 10beta-O-acetyl transferase (DBAT) is a key enzyme in the biosynthesis of
the famous anticancer drug paclitaxel, which catalyses the formation of baccatin
III from 10-deacetylbaccatin III (10-DAB). However, the activity essential
residues of the enzyme are still unknown, and the acylation mechanism from its
natural substrate 10-deacetylbaccatin III and acetyl CoA to baccatin III remains
unclear. In this study, the homology modelling, molecular docking, site-directed
mutagenesis, and kinetic parameter determination of the enzyme were carried out.
The results showed that the enzyme mutant DBATH162A resulted in complete loss of
enzymatic activity, suggesting that the residue histidine at 162 was essential to
DBAT activity. Residues D166 and R363 which were located in the pocket of the
enzyme by homology modelling and molecular docking were also important for DBAT
activity through the site-directed mutations. Furthermore, four amino acid
residues including S31 and D34 from motif SXXD, D372 and G376 from motif DFGWG
also played important roles on acylation. This was the first report of the
elucidation of the activity essential residues of DBAT, making it possible for
the further structural-based re-design of the enzyme for efficient
biotransformation of baccatin III and paclitaxel.
PMID- 29797303
TI - Erratum to: Total Knee Arthroplasty versus Osteochondral Allograft: Prevalence
and Risk Factors following Tibial Plateau Fractures.
PMID- 29797304
TI - Novel Colloidal Microstructures of beta-Escin and the Liposomal Components
Cholesterol and DPPC.
AB - The discovery of immunostimulating complex formation by the saponin Quil A from
the plant Quillaja saponaria with cholesterol and a phospholipid opened up new
avenues for the development of drug delivery systems for vaccine application with
additional adjuvant properties. In this study, beta-escin, a monodesmosidic
triterpene saponin from horse chestnut, was investigated in terms of its
interaction with liposomal components (cholesterol,
dipalmitoylphosphatidylcholine) by Langmuir film balance studies and with regard
to particle formation visualized by transmission electron microscopy. A strong
interaction of beta-escin with cholesterol was observed by Langmuir isotherms due
to the intercalation of the saponin into the monolayer, whereas no interaction
occurred with dipalmitoylphosphatidylcholine. Transmission electron microscopy
studies also confirmed the strong interaction of beta-escin with cholesterol. In
aqueous pseudo-ternary systems (beta-escin, dipalmitoylphosphatidylcholine,
cholesterol) and in pseudo-binary systems (beta-escin, cholesterol), new
colloidal structures built up from ring-like and worm-like subunits were observed
with a size of about 100 - 200 nm. These colloidal structures are formed in
pseudo-binary systems by aggregation of the subunits, whereas in pseudo-ternary
systems, they are formed among others by attacking the liposomal membrane. The
rehydration of the liposomal dispersions in NANOpure water or Tris buffer pH 7.4
(140 mM) resulted in the same particle formation. In contrast, the sequence of
the dispersions' production process affected the particle formation. Unless
adding the saponin to the other components from the beginning, just a liposomal
dispersion was formed without any colloidal aggregates of the subunits mentioned
above.
PMID- 29797305
TI - Ethnobotany and Medicinal Plant Biotechnology: From Tradition to Modern Aspects
of Drug Development.
AB - Secondary natural products from plants are important drug leads for the
development of new drug candidates for rational clinical therapy and exhibit a
variety of biological activities in experimental pharmacology and serve as
structural template in medicinal chemistry. The exploration of plants and
discovery of natural compounds based on ethnopharmacology in combination with
high sophisticated analytics is still today an important drug discovery to
characterize and validate potential leads. Due to structural complexity, low
abundance in biological material, and high costs in chemical synthesis,
alternative ways in production like plant cell cultures, heterologous
biosynthesis, and synthetic biotechnology are applied. The basis for any
biotechnological process is deep knowledge in genetic regulation of pathways and
protein expression with regard to todays "omics" technologies. The high number
genetic techniques allowed the implementation of combinatorial biosynthesis and
wide genome sequencing. Consequently, genetics allowed functional expression of
biosynthetic cascades from plants and to reconstitute low-performing pathways in
more productive heterologous microorganisms. Thus, de novo biosynthesis in
heterologous hosts requires fundamental understanding of pathway reconstruction
and multitude of genes in a foreign organism. Here, actual concepts and
strategies are discussed for pathway reconstruction and genome sequencing
techniques cloning tools to bridge the gap between ethnopharmaceutical drug
discovery to industrial biotechnology.
PMID- 29797306
TI - Identification of a Collagenase-Inhibiting Flavonoid from Alchemilla vulgaris
Using NMR-Based Metabolomics.
AB - This paper describes the use of 1H NMR profiling and chemometrics in order to
facilitate the selection of medicinal plants as potential sources of collagenase
inhibitors. A total of 49 plants with reported ethnobotanical uses, such as the
healing of wounds and burns, treatment of skin-related diseases, rheumatism,
arthritis, and bone diseases, were initially chosen as potential candidates. The
in vitro collagenase inhibitory activity of hydroalcoholic extracts of these
plants was tested. Moreover, their phytochemical profiles were analyzed by 1H NMR
and combined with the inhibitory activity data by an orthogonal partial least
squares model. The results showed a correlation between the bioactivity and the
concentration of phenolics, including flavonoids, phenylpropanoids, and tannins,
in the extracts. Considering the eventual false-positive effect on the
bioactivity given by tannins, a tannin removal procedure was performed on the
most active extracts. After this procedure, Alchemilla vulgaris was the most
persistently active, proving to owe its activity to compounds other than tannins.
Thus, this plant was selected as the most promising and further investigated
through bioassay-guided fractionation, which resulted in the isolation of a
flavonoid, quercetin-3-O-beta-glucuronide, as confirmed by NMR and HRMS spectra.
This compound showed not only a higher activity than other flavonoids with the
same aglycone moiety, but was also higher than doxycycline (positive control),
the only Federal Drug Administration-approved collagenase inhibitor. The approach
employed in this study, namely the integration of metabolomics and bioactivity
guided fractionation, showed great potential as a tool for plant selection and
identification of bioactive compounds in natural product research.
PMID- 29797308
TI - Comparison of Current Swiss Fetal Biometry Reference Charts with Reference Charts
from 1999. Are Fetuses Getting Bigger?
AB - PURPOSE: To create current fetal biometry reference ranges and to compare them
with references published in 1999, from the same local area in order to generate
data for secular trend in fetal size. MATERIALS AND METHODS: Applying the same
methodology as previously published, we calculated reference ranges for
biparietal diameter (BPD), occipitofrontal diameter (OFD), head circumference
(HC), abdominal circumference (AC) and femur length (FL) in 7863 patients
examined at the obstetric clinics in a cross-sectional, prospective study in a
university setting from January 2008 to December 2014. In order to compare the
new reference ranges with our previously published data, we used Z-Scores and
displayed the pick-up of fetal biometry data below the 5th and above the 95th
percentile using the previously published reference charts. RESULTS: The
comparison of the charts showed a minimal but clinically relevant increase in
mean fetal body measures (BPD, HC, AC). Applying the 1999 charts to the new
dataset, we would classify only 162 of 339 fetuses (47.8 %) to be correctly below
the 5th percentile for AC and only 134 of 349 (38.4 %) fetuses were correctly
below the 5th percentile for HC. On the other hand, the 1999 charts classified
426 instead of 332 fetuses to be above the 95th percentile for AC, which means an
overestimation of 28.3 %. CONCLUSION: Applying a similar methodology, study
collective and clinical setting, our new charts showed clinically relevant
differences compared to the 1999 charts. The data suggest that within one
generation fetuses are getting bigger and regular updates of fetal reference
charts are needed.
PMID- 29797307
TI - Validation of Multiparametric Ultrasonography Criteria with Digital Subtraction
Angiography in Carotid Artery Disease: A Prospective Multicenter Study.
AB - PURPOSE: The German Society of Ultrasound in Medicine (DEGUM) recently revised
its multiparametric criteria for duplex ultrasonography (DUS) grading of internal
carotid artery (ICA) disease. We determined the diagnostic accuracy of the
revised DEGUM criteria for ultrasonography grading of ICA disease in a
prospective multicenter study. MATERIALS AND METHODS: We evaluated consecutive
patients who underwent digital subtraction angiography of the extracranial
carotid arteries at four tertiary care hospitals. Blinded investigators graded
ICA disease according to DEGUM-recommended ultrasonography criteria and
calculated NASCET-type percent stenosis from angiography images. Endpoints
included overall classification accuracy, prediction of clinically relevant
disease categories and between-test agreement in the continuous range of percent
stenosis. RESULTS: A total of 121 patients (median age: 69 [IQR, 16] years; 74 %
men; median time between DUS and angiography: 1 day [IQR, 2]) provided 163 DUS
angiography carotid artery pairs. The classification accuracy of the DEGUM
criteria to predict stenosis within 10 % increments as compared to angiography
was 34.9 % (95 % CI, 28.0 - 42.6). The sensitivity of DUS for the detection of
moderate (50 - 69 %) and severe (70 - 99 %) stenosis was 35 % and 81 %, with an
overall accuracy of 73 % and 74 %, respectively. The specificity was 89 % and 69
%, respectively. Considering the continuous spectrum of the disease (0 - 100 %),
the Bland-Altman interval limit of agreement was 51 %. CONCLUSION: At
laboratories experienced with ultrasound grading of the extracranial ICA, the
revised DEGUM multiparametric ultrasonography criteria do not eliminate the need
for a confirmatory test for the identification of clinically relevant grades of
the disease.
PMID- 29797309
TI - Eosinophilic fasciitis and lichen sclerosus in a patient treated with nivolumab.
PMID- 29797310
TI - Targeted mutation screening of 292 candidate genes in 38 children with inborn
haematological cytopenias efficiently identifies novel disease-causing mutations.
AB - Establishing a precise diagnosis is essential in inborn haematological cytopenias
to enable appropriate treatment decisions and avoid secondary organ damage.
However, both diversity and phenotypic overlap of distinct disease entities may
make the identification of underlying genetic aetiologies by classical Sanger
sequencing challenging. Instead of exome sequencing, we established a systematic
next generation sequencing-based panel targeting 292 candidate genes and screened
38 consecutive patients for disease-associated mutations. Efficient
identification of the underlying genetic cause in 17 patients (44.7%), including
13 novel mutations, demonstrates that this approach is time- and cost-efficient,
enabling optimal management and genetic counselling.
PMID- 29797311
TI - The isothiocyanate sulforaphane modulates platelet function and protects against
cerebral thrombotic dysfunction.
AB - BACKGROUND AND PURPOSE: Platelet activation provides a critical link between
inflammation and thrombosis. Sulforaphane (SFN), a naturally occurring
isothiocyanate, has been shown to display both anti-inflammatory and anti
thrombotic actions in the systemic microvasculature. As inflammation promotes
thrombosis and vice versa, in this study we investigated whether SFN is able to
reduce inflammatory potentiation of thrombotic events, suppress platelet
activation and thrombus formation in the cerebral microvasculature. EXPERIMENTAL
APPROACH: Thrombosis was induced in the murine brain using the light/dye-injury
model, in conjunction with LPS treatment, with and without SFN treatment. In
vitro and in vivo platelet assays (aggregation, flow and other functional tests)
were also employed, using both human and murine platelets. KEY RESULTS: SFN was
found to reduce LPS-mediated enhancement of thrombus formation in the cerebral
microcirculation. In tail-bleed experiments, LPS treatment prolonged bleeding
time, and SFN treatment was found to protect against this LPS-induced derangement
of platelet function. SFN inhibited collagen-mediated platelet aggregation in
vitro and in vivo and the associated adhesion and impaired calcium signalling.
Furthermore, glycoprotein VI was shown to be involved in the protective effects
observed with SFN treatment. CONCLUSIONS AND IMPLICATIONS: The data presented
here provide evidence for the use of SFN in preventing stroke in selected high
risk patient cohorts.
PMID- 29797312
TI - A prospective observational study of pigmented naevi changes in psoriasis
patients on biologic therapy.
AB - BACKGROUND/OBJECTIVES: Patients on biologic therapy are thought to be at
increased risk of developing non-melanoma skin cancers and melanomas. It is
unknown whether biologic therapy alters the natural history of melanocytic naevi.
Therefore, a prospective observational study was conducted to determine whether
psoriasis patients on biologic therapy develop changes in naevi. METHODS:
Clinical and dermoscopic assessment of all melanocytic naevi was performed in 45
psoriasis patients on biologic therapy versus a control cohort of 43 subjects,
using sequential digital dermoscopic imaging and total body photography. The mean
follow-up period was 1.5 years. RESULTS: The study and control patients had
comparable age, gender, previous and family history of non-melanoma skin cancers
and melanomas, as well as previous sun exposure and total number of naevi. The
number of naevi with major dermoscopic changes was 3% in the study and 1.9% in
the control group, with an adjusted incidence rate ratio of 1.45 (95% confidence
interval 0.90-2.33; P = 0.125). The rate of minor changes was 15.9% in the study
group versus 19.4% in the control (adjusted incidence rate ratio 0.77, 95%
confidence interval 0.57-1.08; P = 0.14). There were six new dysplastic naevi in
4/45 biologic patients and four in 4/43 controls; however, the difference was not
significant (relative risk 0.96, 95% confidence interval -0.12 to 0.12; P =
0.95). There were no melanomas in either group. CONCLUSION: Over a mean follow-up
period of 1.5 years there was no evidence of significantly different changes in
naevi or development of new dysplastic naevi in psoriasis patients on biologic
treatment compared to controls.
PMID- 29797313
TI - Patterns of RSA and observed distress during the still-face paradigm predict
later attachment, compliance and behavior problems: A person-centered approach.
AB - This study examined profiles of infant observed distress and physiological
regulation indexed by respiratory sinus arrhythmia (RSA) levels during the still
face paradigm at 6 months using a person-centered approach. Mothers and infants
(N = 206) participated in the study when infants were 6 months, 1 year, and 2
years old. Attachment was assessed at 1 year via the Strange Situation.
Children's compliance behaviors were assessed at 2 years during a toy clean-up
task. Mothers reported children's behavior problems at 4.5 years. Latent profile
analysis yielded four profiles: highly distressed, but regulating; over
regulated; resilient to distress; and under-regulated. Infants in the "resilient
to distress" profile characterized by high RSA levels and low negative affect
exhibited the most adaptive outcomes such as lower attachment avoidance, higher
compliance, and lower behavior problems. Therefore, this study highlights the
importance of considering patterns of behavioral and physiological indicators of
infant emotionality together for understanding adaptive functioning.
PMID- 29797314
TI - Physicians' Views on Advance Care Planning and End-of-Life Care Conversations.
AB - OBJECTIVES: To evaluate physicians' views on advance care planning, goals of
care, and end-of-life conversations. DESIGN: Random sample telephone survey.
SETTING: United States. PARTICIPANTS: Physicians (primary care specialists;
pulmonology, cardiology, oncology subspecialists) actively practicing medicine
and regularly seeing patients aged 65 and older (N=736; 81% male, 75% white, 66%
aged >=50. MEASUREMENTS: A 37-item telephone survey constructed by a professional
polling group with national expert oversight measured attitudes and perceptions
of barriers and facilitators to advance care planning. Summative data are
presented here. RESULTS: Ninety-nine percent of participants agreed that it is
important to have end-of-life conversations, yet only 29% reported that they have
formal training for such conversations. Those most likely to have training
included younger physicians and those caring for a racially and ethnically
diverse population. Patient values and preferences were the strongest motivating
factors in having advance care planning conversations, with 92% of participants
rating it extremely important. Ninety-five percent of participants reported that
they supported a new Medicare fee-for-service benefit reimbursing advance care
planning. The biggest barrier mentioned was time availability. Other barriers
included not wanting a patient to give up hope and feeling uncomfortable.
CONCLUSION: With more than half of physicians reporting that they feel
educationally unprepared, there medical school curricula need to be strengthened
to ensure readiness for end-of-life conversations. Clinician barriers need to be
addressed to meet the needs of older adults and families. Policies that focus on
payment for quality should be evaluated at regular intervals to monitor their
effect on advance care planning.
PMID- 29797315
TI - A significant proportion of children of African descent with HbSbeta0
thalassaemia are inaccurately diagnosed based on phenotypic analyses alone.
PMID- 29797316
TI - Experimental evidence of spatial signatures of approaching regime shifts in
macroalgal canopies.
AB - Developing early warning signals to predict regime shifts in ecosystems is a
central issue in current ecological research. While there are many studies
addressing temporal early warning indicators, research into spatial indicators is
far behind, with field experiments even more rare. Here, we tested the
performance of spatial early warning signals in an intertidal macroalgal system,
where removal of algal canopies pushed the system toward a tipping point
(corresponding to approximately 75% of canopy loss), marking the transition
between a canopy- to a turf-dominated state. We performed a two-year experiment
where spatial early warning indicators were assessed in transects where the
canopy was differentially removed (from 0 to 100%). Unlike Moran correlation
coefficient at lag-1, spatial variance, skewness, and spatial spectra at low
frequency increased along the gradient of canopy degradation and dropped, or did
not show any further increase beyond the transition point from a canopy- to a
turf-dominated state (100% canopy removal). Our study provides direct evidence of
the suitability of spatial early warning signals to anticipate regime shifts in
natural ecosystems, emphasizing the importance of field experiments as a powerful
tool to establish causal relationships between environmental stressors and early
warning indicators.
PMID- 29797317
TI - Light harvesting control in plants.
AB - In 1991, my colleagues and I published a hypothesis article that proposed a
mechanism that controls light harvesting in plants and protects them against
photodamage. The major light harvesting complex, LHCII, was suggested to undergo
aggregation upon exposure of the plant to damaging levels of light. Aggregated
LHCII was found to be much less efficient in light harvesting, as it promptly
dissipated absorbed energy into heat, possessing a very low chlorophyll
fluorescence yield. Nonphotochemical quenching (NPQ) is a term coined to describe
this reduction in chlorophyll fluorescence yield. This article is a story of how
the hypothesis that LHCII aggregation is involved in NPQ is developed into a
model that is now becoming broadly accepted by the research community.
PMID- 29797318
TI - Isolation-induced ultrasonic vocalizations in pups: A comparison between Long
Evans, Sprague-Dawley, and Wistar rats.
AB - Rat pup ultrasonic vocalizations (USV) are usually studied in outbred rats
belonging to either Long-Evans, Sprague-Dawley, or Wistar stocks, but these were
not compared so far. We therefore performed a stock comparison and analyzed USV
of male pups (postnatal day 11) belonging to these three stocks. Pups of all
three stocks showed substantial isolation-induced USV, but differed in various
call features, like call numbers, peak frequency, and frequency modulation. Also,
three different call types were identified by means of a quantitative approach
based on peak frequency and frequency modulation, and it was found that their
proportions differed between stocks. These results are discussed with respect to
functional aspects of pup USV.
PMID- 29797319
TI - Robotic-assisted surgical procedures are the future of gynaecology in
Australasia.
PMID- 29797320
TI - The prevalence and morphological characteristics of the knee anterolateral
ligament in a Chinese population.
AB - The anterolateral ligament, a distinct structure connecting the lateral femoral
epicondyle to the anterolateral proximal tibia, is gaining attention because of
its possible function in ensuring internal rotational stability of the tibia. To
study the prevalence and precise anatomical characteristics of the anterolateral
ligament and its relationship to adjacent structures in a Chinese population, a
total of 20 amputated knee specimens were collected. The anterolateral regions of
the knees underwent detailed surgical dissection, followed by precise measurement
of the anterolateral ligament and its adjacent structures. Histological analysis
of the anterolateral ligament was performed using hematoxylin and eosin (H&E)
staining. A thin soft tissue deep to the iliotibial band running obliquely across
the lateral fibula ligament and connecting the lateral head of the gastrocnemius
with the tibia, termed the 'gastrocnemius-tibial ligament' or superficial layer
of the anterolateral ligament, was observed in 18 of the 20 specimens,
corresponding to a prevalence of 90%. Furthermore, a well-defined anterolateral
ligament deep to the gastrocnemius-tibial ligament and distinct from the lateral
fibula ligament was found in all 20 knees (prevalence, 100%). The independent
gastrocnemius-tibial ligament and anterolateral ligament had separate femoral
originations at the lateral head of the gastrocnemius and the lateral femoral
epicondyle, and the same osseous tibial insertion at the midpoint between Gerdy's
tubercle and the most lateral aspect of the fibular head. H&E staining showed
that both the anterolateral ligament and gastrocnemius-tibial ligament were
ligaments consisting of collagenous bundles. In the Chinese Han population, the
gastrocnemius-tibial ligament and anterolateral ligament may form a complex at
the anterolateral aspect of the knee, which is likely involved in ensuring the
internal rotational stability of the tibia.
PMID- 29797321
TI - Sequence analysis of exon 1 of the ferritin light chain (FTL) gene can reveal the
rare disorder 'hereditary hyperferritinaemia without cataracts'.
PMID- 29797322
TI - Jussara berry (Euterpe edulis M.) oil-in-water emulsions are highly stable: the
role of natural antioxidants in the fruit oil.
AB - BACKGROUND: Antioxidants help prevent lipid oxidation, and therefore are critical
to maintain sensory quality and chemical characteristics of edible oils. Jussara
berry (Euterpe edulis M.) oil is a source of minor compounds with potential
antioxidant activity. The aim of this work was to investigate the role of such
compounds on the effectiveness to prevent or delay oxidation of oil present in
oil-in-water emulsions, and how the emulsions' physical stability would be
affected. RESULTS: Jussara berry oil extracted by ethanol extraction, its
stripped variations (partially stripped, highly stripped and highly stripped with
added butylhydroxytoluene), and expeller-pressed oil were used to prepare oil-in
water emulsions. Jussara berry oils were analyzed before emulsions preparation to
ensure their initial quality and composition, and oil-in-water emulsions were
analyzed regarding their oxidative and physical stability. Ethanol extracted oil
emulsion presented higher oxidative stability than highly stripped oil emulsion
with added synthetic antioxidant butylahydroxytoluene (oxidative stability index
45% lower, after 60 days, and reached undetectable levels after 90 days). All
emulsions remained physically stable for up to 120 days of storage. CONCLUSION:
Our results indicate that natural antioxidants in jussara berry oil protect
emulsions from oxidation while keeping physical stability unchanged. (c) 2018
Society of Chemical Industry.
PMID- 29797324
TI - Effect of university students' sedentary behavior on stress, anxiety, and
depression.
AB - PURPOSE: We identified the effect of sedentary behavior on stress, anxiety, and
depression among Korean university students. DESIGN AND METHODS: Data were
collected from 244 students using self-reported sitting time, the Perceived
Stress Scale, the Beck Anxiety Inventory, and the Center for Epidemiological
Studies-Depression Scale. FINDINGS: Mean sitting time was 7.96 h per day. As
sitting hours increased, university students' stress, anxiety, and depression
significantly increased despite controlling for sex, economic level, body mass
index, underlying disease, and health self-management. PRACTICAL IMPLICATIONS:
Intervention programs that reduce sedentary behavior and improve physical
activity and mental health for university students are necessary.
PMID- 29797323
TI - Effects of Ascophyllum nodosum seaweed extracts on lettuce growth, physiology and
fresh-cut salad storage under potassium deficiency.
AB - BACKGROUND: Potassium (K) deficiency in leafy vegetables such as lettuce is a
major concern regarding quality. Seaweed (SW) extracts, as biostimulants, are
biodegradable materials and have become increasingly popular as they are reported
to enhance crop growth and yield. RESULTS: In order to overcome K deficiencies
(i.e. 375 vs 125 mg L-1 ), alternative foliar applications with extracts of
Ascophyllum nodosum SW or K were examined using lettuce plants which were grown
hydroponically. Potassium deficiency (at 125 mg L-1 ) reduced plant biomass,
photosynthetic rate, leaf stomatal conductance, lettuce potassium content and
tissue antioxidant capacity as compared with the higher K level (375 mg L-1 ).
Application of SW increased the relative growth of lettuce in the low-K
treatment. The K level and/or SW application altered the plant's enzyme
protective activity (superoxide dismutase, SOD; catalase, CAT; peroxidase, POD)
against oxidative stress and hydrogen peroxide (H2 O2 ) production. Spray
applications of SW mitigated the effects of K deficiency on indicators of enzyme
activity and plant damage, back to levels of high K content (375 mg L-1 ). The
high K level, but also SW application, increased the antioxidant activity of the
processed lettuce before storage. Foliar application of the SW extract increased
the quality of cut lettuce grown in 125 mg L-1 K conditions by reducing the rate
of respiration and increasing consumer preference. CONCLUSION: The SW application
could alter the detrimental effects of K deficiency during lettuce growth and
storage of processed products. (c) 2018 Society of Chemical Industry.
PMID- 29797325
TI - Type 1 cryoglobulinaemia leading to gangrene of the toes and ischaemic
ulceration.
PMID- 29797326
TI - Treating disorganized attachment in the Group Attachment-Based Intervention
(GABI(c)): A case study.
AB - This paper describes the treatment of a mother and child who demonstrated
disorganized attachment behaviors in their interactions with one another. The
mother, who was diagnosed with Borderline Personality Disorder, felt incapable of
managing her aggressive toddler and his emotional needs. The dyad was referred
for therapy due to concerns about his developmental progress, evident delays
having been mainly attributed to the problems observed within the parent-child
relationship. The primary intervention applied to working with the dyad was the
Group Attachment-Based Intervention (GABI(c)), developed by Anne Murphy in
collaboration with Miriam Steele and Howard Steele. The mother also received
individual psychotherapy as a supplement to the dyadic and group work of GABI(c).
The process and outcome of this comprehensive approach to treating a vulnerable
dyad is explored in this case study.
PMID- 29797327
TI - Transcriptomic rationale for synthetic lethality-targeting ERCC1 and CDKN1A in
chronic myelomonocytic leukaemia.
AB - Despite the absence of mutations in the DNA repair machinery in myeloid
malignancies, the advent of high-throughput sequencing and discovery of splicing
and epigenetics defects in chronic myelomonocytic leukaemia (CMML) prompted us to
revisit a pathogenic role for genes involved in DNA damage response. We screened
for misregulated DNA repair genes by enhanced RNA-sequencing on bone marrow from
a discovery cohort of 27 CMML patients and 9 controls. We validated 4
differentially expressed candidates in CMML CD34+ bone marrow selected cells and
in an independent cohort of 74 CMML patients, mutationally contextualized by
targeted sequencing, and assessed their transcriptional behavior in 70
myelodysplastic syndrome, 66 acute myeloid leukaemia and 25 chronic myeloid
leukaemia cases. We found BAP1 and PARP1 down-regulation to be specific to CMML
compared with other related disorders. Chromatin-regulator mutated cases showed
decreased BAP1 dosage. We validated a significant over-expression of the double
strand break-fidelity genes CDKN1A and ERCC1, independent of promoter methylation
and associated with chemorefractoriness. In addition, patients bearing mutations
in the splicing component SRSF2 displayed numerous aberrant splicing events in
DNA repair genes, with a quantitative predominance in the single strand break
pathway. Our results highlight potential targets in this disease, which currently
has few therapeutic options.
PMID- 29797328
TI - Mechanism of peptide absorption in the isolated forestomach epithelial cells of
dairy cows.
AB - BACKGROUND: Peptide absorption from the forestomach plays a vital role in protein
nutrition of dairy cows. This study was conducted to investigate the mechanism of
dipeptide absorption in the forestomach of dairy cows using isolated omasal
epithelial cells (OECs) and ruminal epithelial cells (RECs). RESULTS: Compared
with RECs, the OECs formed a less tight monolayer, but had greater ability to
transport glycylsarcosine (Gly-Sar) (P < 0.05). The OEC monolayers were
immunopositive for the antibodies of anti-junction proteins. Gly-Sar transport
was significantly greater at 37 degrees C than that at 4 degrees C, with an
optimal pH of 6.0-6.5, and was decreased significantly by diethylpyrocarbonate
and dipeptide Met-Gly (P < 0.05). The apical-to-basolateral transport was
significantly greater than basolateral-to-apical transport (P < 0.05). Knockdown
of peptide transporter 1 (PepT1) resulted in less Gly-Sar uptake in OECs, whereas
overexpression of PepT1 in OECs resulted in higher Gly-Sar uptake (P < 0.05).
Additionally, the expression of PepT1 was upregulated by the treatment with
various dipeptides (P < 0.05). CONCLUSION: The OECs have a greater ability to
transport Gly-Sar than RECs do. Both passive and active routes are involved in
the process of Gly-Sar absorption in the isolated cultured forestomach epithelial
cells from dairy cows. (c) 2018 Society of Chemical Industry.
PMID- 29797329
TI - Can we conserve trans-resveratrol content and antioxidant activity during
industrial production of chocolate?
AB - BACKGROUND: Cocoa exhibits high content of phenolic compounds, among which trans
resveratrol stands out, associated with several bioactive activities such as
antioxidant properties. Chocolate contains reduced amounts of these bioactive
compounds due to losses during the production process. Therefore, this study
aimed to assess changes in total phenolic content, and specifically trans
resveratrol, as well as changes in the antioxidant activity of cocoa and its
products during industrial production of chocolate. RESULTS: A total of ten
different cocoa products were analyzed. The processes of fermentation and
roasting caused significant loss of total phenolic compounds and antioxidant
activity. The high temperature of roasting had a major influence on this loss
(71% for total phenolic compounds and 53-77% for antioxidant activity), except
for trans-resveratrol. The trans-resveratrol content formed after fermentation
(9.8 MUg kg-1 ) showed little variation during the processes, and it was detected
in higher concentrations both in natural (11.4 MUg kg-1 ) and in alkalized cocoa
powder (13.5 MUg kg-1 ). Alkalization of cocoa products led to loss of capacity
of deactivating superoxide radical. CONCLUSION: These findings contribute to the
optimization of the production process of chocolate and other food products
containing cocoa and its derivatives, aiming to better preserve their bioactive
compounds. (c) 2018 Society of Chemical Industry.
PMID- 29797330
TI - Human cytomegalovirus (HCMV)-specific T cell but not neutralizing or IgG binding
antibody responses to glycoprotein complexes gB, gHgLgO, and pUL128L correlate
with protection against high HCMV viral load reactivation in solid-organ
transplant recipients.
AB - Immune correlates of protection against human cytomegalovirus (HCMV) infection
are still debated. This study aimed to investigate which arm of the immune
response plays a major role in protection against HCMV infection in kidney
transplant recipients (n = 40) and heart transplant recipients (n = 12). Overall,
patients were divided into 2 groups: one including 37 patients with low viral
load (LVL), and the other including 15 patients with high viral load (HVL). All
LVL patients resolved the infection spontaneously, whereas HVL patients were all
treated with one or more courses of antivirals. In HVL patients, viral DNAemia,
which was more than 100 times higher than LVL, appeared and peaked at
significantly earlier times, but disappeared much later than in LVL patients.
During a 1-year follow-up, all LVL patients had levels of HCMV-specific CD4+ (and
CD8+ ) T cells significantly higher than HVL patients. On the contrary, titers of
neutralizing antibodies and enzyme-linked immunosorbent assay-IgG antibodies to
gB, gHgLgO, and pentamer gHgLpUL128L were overlapping in the 2 patient groups. In
conclusion, while a valid HCMV-specific T-cell response was detected in more than
90% of LVL patients, >90% of HVL patients lacked an adequate T-cell response.
Antibody responses did not appear to be associated directly or indirectly with
protection.
PMID- 29797331
TI - Comparative safety and efficacy of apixaban versus warfarin in patients with end
stage renal disease: Meta-analysis.
PMID- 29797332
TI - Brain size growth in wild and captive chimpanzees (Pan troglodytes).
AB - Despite many studies of chimpanzee brain size growth, intraspecific variation is
under-explored. Brain size data from chimpanzees of the Tai Forest and the Yerkes
Primate Research Center enable a unique glimpse into brain growth variation as
age at death is known for individuals, allowing cross-sectional growth curves to
be estimated. Because Tai chimpanzees are from the wild but Yerkes apes are
captive, potential environmental effects on neural development can also be
explored. Previous research has revealed differences in growth and health between
wild and captive primates, but such habitat effects have yet to be investigated
for brain growth. Here, I use an iterative curve fitting procedure to estimate
brain growth and regression parameters for each population, statistically
comparing growth models using bootstrapped confidence intervals. Yerkes and Tai
brain sizes overlap at all ages, although the sole Tai newborn is at the low end
of captive neonatal variation. Growth rate and duration are statistically
indistinguishable between the two populations. Resampling the Yerkes sample to
match the Tai sample size and age group composition shows that ontogenetic
variation in the two groups are remarkably similar despite the latter's limited
size. Best fit growth curves for each sample indicate cessation of brain size
growth at around 2 years, earlier than has previously been reported. The overall
similarity between wild and captive chimpanzees points to the canalization of
brain growth in this species.
PMID- 29797333
TI - Priming to protect maize from Fusarium verticillioides and its fumonisin
accumulation.
AB - BACKGROUND: Systemic infection through the seed is one of the routes used by the
mycotoxinogenic pathogen Fusarium verticillioides for colonizing maize plants.
The prohibition of the use of most chemical fungicides by the EU has promoted
research on plant resistance inducers as an effective and sustainable
alternative. Induction of a priming state in maize seeds might affect their
susceptibility to contamination and accumulation of fumonisins. This state by
application of a natural fertilizer called Chamae on maize seeds, was
investigated in two varieties to control the colonization by the fungus and the
accumulation of fumonisins B1 , B2 and B3 , germinating seeds, dead plants and
yield. RESULTS: After inoculation of F. verticillioides on germinating seeds, the
colonization by the fungus and the accumulation of fumonisins were significantly
lower in seedlings coming from treated seeds, but a significant number of plants
stopped their development by necrosis. In a field trial, the 0.01% (v/v)
application dilution showed a lower plant density, although the level of biomass
at harvest was not affected. CONCLUSION: The priming state contributed to the
control of F. verticillioides development from seed infection and fumonisin
accumulation in the early stage of plant growth, without affecting the final crop
yield, and could reduce fungicide use and environmental contamination. (c) 2018
Society of Chemical Industry.
PMID- 29797334
TI - Pelvic mass: Schwannoma of the left seminal vesicle.
AB - Schwannomas rarely occur in seminal vesicles. Here, we report a schwannoma of the
left seminal vesicle. A 55-year-old man presented no clinical symptoms, and a
mass in the left region of the seminal vesicle was found incidentally in a
medical examination. A computed tomography and magnetic resonance imaging of
pelvic were obtained and revealed a 5.17 * 2.59 * 3.5 cm mass on the left seminal
vesicle. Transrectal ultrasound-guided seminal biopsy revealed a diagnosis of
seminal vesical schwannoma. Laparoscopic resection of the tumour was performed.
Postoperative pathology and immunohistochemical analysis revealed schwannoma
arising from seminal vesical.
PMID- 29797335
TI - Bayesian methods for analysis of biosimilar phase III trials.
AB - A biologic is a product made from living organisms. A biosimilar is a new version
of an already approved branded biologic. Regulatory guidelines recommend a
totality-of-the-evidence approach with stepwise development for a new biosimilar.
Initial steps for biosimilar development are (a) analytical comparisons to
establish similarity in structure and function followed by (b) potential animal
studies and a human pharmacokinetics/pharmacodynamics equivalence study. The last
step is a phase III clinical trial to confirm similar efficacy, safety, and
immunogenicity between the biosimilar and the biologic. A high degree of
analytical and pharmacokinetics/pharmacodynamics similarity could provide
justification for an eased statistical threshold in the phase III trial, which
could then further facilitate an overall abbreviated approval process for
biosimilars. Bayesian methods can help in the analysis of clinical trials, by
adding proper prior information into the analysis, thereby potentially decreasing
required sample size. We develop proper prior information for the analysis of a
phase III trial for showing that a proposed biosimilar is similar to a reference
biologic. For the reference product, we use a meta-analysis of published results
to set a prior for the probability of efficacy, and we propose priors for the
proposed biosimilar informed by the strength of the evidence generated in the
earlier steps of the approval process. A simulation study shows that with few
exceptions, the Bayesian relative risk analysis provides greater power, shorter
90% credible intervals with more than 90% frequentist coverage, and better root
mean squared error.
PMID- 29797336
TI - Emperipolesis and S100 expression may be seen in cutaneous xanthogranulomas: A
multi-institutional observation.
AB - Cutaneous Rosai-Dorfman disease (RDD) can be difficult to distinguish from other
non-Langerhans cell histiocytoses, particularly xanthogranuloma (XG).
Pathologists use S100 immunoreactivity, abundant plasma cells, and the presence
of emperipolesis to distinguish RDD from XG. However, S100 expression has been
reported in XG and, in practice, we have occasionally observed emperipolesis in
cases that were otherwise clinically and pathologically consistent with XG. We
present 10 cases of XG with emperipolesis and variable S100 immunoreactivity.
Histologically, 7 cases were most in keeping with XG, and a histologic
differential of XG versus RDD was raised in the remaining 3 cases. All 10 cases
were clinically consistent with XG. Notably, none of these cases showed abundant
plasma cells. Nine cases showed variable S100 immunostaining, ranging from
focal/weak expression, to focal/strong, diffuse/moderate, and diffuse/strong
expression. Histiocytes in all cases were CD68 positive and CD1a negative. We
conclude that emperipolesis and S100 expression in a skin biopsy cannot reliably
distinguish XG from cutaneous manifestations of RDD. Clinical correlations are
essential, as are histologic clues to a diagnosis of classic XG that include an
abundance of foamy mononuclear cells, Touton giant cells, and an absence of pale
stained histiocytes, abundant plasma cells, fibrosis, or vascular proliferation.
PMID- 29797337
TI - Re: Chokesuwattanaskul et al. Safety and efficacy of apixaban versus warfarin in
patients with end-stage renal disease: Meta-analysis.
PMID- 29797338
TI - Urinary oxytocin in capuchin monkeys: Validation and the influence of social
behavior.
AB - In highly social species, like primates, oxytocin plays an important role in
cooperation, and in the formation and maintenance of social relationships.
Despite recent interest in the relationship between oxytocin and social behavior
in nonhuman primates, relatively little is known about endogenous oxytocin in
social New World Monkeys. In this paper, we investigate the relationship between
oxytocin and affiliative behaviors in socially-housed captive capuchin monkeys
(Sapajus [Cebus] apella) by first validating methods of analysis of urinary
oxytocin in this species and, second, examining the effects of grooming and fur
rubbing behavior on oxytocin concentrations and further affiliative behavior. In
the validation, we found that intranasal exogenous oxytocin significantly
increased urinary oxytocin 15-60 min post-administration. Oxytocin was also
implicated in both grooming and fur-rubbing behaviors. We found that oxytocin
concentrations increased after subjects engaged in grooming or fur-rubbing. In
addition, we found that fur-rubbing influenced affiliative behaviors, both during
and after a social fur-rubbing bout. While individuals spent more time in contact
and proximity while fur-rubbing, immediately following the fur-rubbing event (15
30 min afterwards) all affiliative behaviors decreased. This supports previous
research that oxytocin may, in fact, initially be related to increased social
distance in this species. Yet, an increase in all affiliative behaviors 30-45 min
after the onset of fur-rubbing suggests that fur-rubbing, like grooming, may
ultimately function to strengthen social relationships. Overall, these results
support a critical role for oxytocin in affiliative behaviors that maintain and
strengthen social relationships in capuchin monkeys, and highlight the complexity
of the interactions among oxytocin, affiliative behaviors, and social bonding.
PMID- 29797340
TI - Differential insular cortex subregional vulnerability to alpha-synuclein
pathology in Parkinson's disease and dementia with Lewy bodies.
AB - AIM: The insular cortex consists of a heterogenous cytoarchitecture and diverse
connections and is thought to integrate autonomic, cognitive, emotional and
interoceptive functions to guide behaviour. In Parkinson's disease (PD) and
dementia with Lewy bodies (DLB), it reveals alpha-synuclein pathology in advanced
stages. The aim of this study is to assess the insular cortex cellular and
subregional vulnerability to alpha-synuclein pathology in well-characterized PD
and DLB subjects. METHODS: We analysed postmortem insular tissue from 24 donors
with incidental Lewy body disease, PD, PD with dementia (PDD), DLB and age
matched controls. The load and distribution of alpha-synuclein pathology and
tyrosine hydroxylase (TH) cells were studied throughout the insular subregions.
The selective involvement of von Economo neurons (VENs) in the anterior insula
and astroglia was assessed in all groups. RESULTS: A decreasing gradient of alpha
synuclein pathology load from the anterior periallocortical agranular towards the
intermediate dysgranular and posterior isocortical granular insular subregions
was found. Few VENs revealed alpha-synuclein inclusions while astroglial
synucleinopathy was a predominant feature in PDD and DLB. TH neurons were
predominant in the agranular and dysgranular subregions but did not reveal alpha
synuclein inclusions or significant reduction in density in patient groups.
CONCLUSIONS: Our study highlights the vulnerability of the anterior agranular
insula to alpha-synuclein pathology in PD, PDD and DLB. Whereas VENs and
astrocytes were affected in advanced disease stages, insular TH neurons were
spared. Owing to the anterior insula's affective, cognitive and autonomic
functions, its greater vulnerability to pathology indicates a potential
contribution to nonmotor deficits in PD and DLB.
PMID- 29797341
TI - Comparison of five methods for the estimation of methane production from vented
in vitro systems.
AB - BACKGROUND: There are several methods for estimating methane production (MP) from
feedstuffs in vented in vitro systems. One method (A; 'gold standard') measures
methane proportions in the incubation bottle's headspace (HS) and in the vented
gas collected in gas bags. Four other methods (B, C, D and E) measure methane
proportion in a single gas sample from the HS. Method B assumes the same methane
proportion in the vented gas as in the HS, method C assumes constant methane to
carbon dioxide ratio, method D has been developed based on empirical data, and
method E assumes constant individual venting volumes. This study aimed to compare
the MP predictions from these methods to that of the gold standard method under
different incubation scenarios, to validate these methods based on their
concordance with a gold-standard method. RESULTS: Methods C, D and E had greater
concordance (0.85, 0.88 and 0.81), lower root-mean-square error (RMSE; 0.80, 0.72
and 0.85) and lower mean bias (0.20, 0.35, -0.35) with the gold standard than did
method B (concordance 0.67, RMSE 1.49 and mean bias 1.26). Methods D and E were
simpler to perform than method C, and method D was slightly more accurate than
method E. CONCLUSION: Based on precision, accuracy and simplicity of
implementation, it is recommended that, when method A cannot be used, methods D
and E are preferred to estimate MP from vented in vitro systems. (c) 2018 Society
of Chemical Industry.
PMID- 29797339
TI - Oxytocin- and arginine vasopressin-containing fibers in the cortex of humans,
chimpanzees, and rhesus macaques.
AB - Oxytocin (OT) and arginine-vasopressin (AVP) are involved in the regulation of
complex social behaviors across a wide range of taxa. Despite this, little is
known about the neuroanatomy of the OT and AVP systems in most non-human
primates, and less in humans. The effects of OT and AVP on social behavior,
including aggression, mating, and parental behavior, may be mediated primarily by
the extensive connections of OT- and AVP-producing neurons located in the
hypothalamus with the basal forebrain and amygdala, as well as with the
hypothalamus itself. However, OT and AVP also influence social cognition,
including effects on social recognition, cooperation, communication, and in-group
altruism, which suggests connectivity with cortical structures. While OT and AVP
V1a receptors have been demonstrated in the cortex of rodents and primates, and
intranasal administration of OT and AVP has been shown to modulate cortical
activity, there is to date little evidence that OT-and AVP-containing neurons
project into the cortex. Here, we demonstrate the existence of OT- and AVP
containing fibers in cortical regions relevant to social cognition using
immunohistochemistry in humans, chimpanzees, and rhesus macaques. OT
immunoreactive fibers were found in the straight gyrus of the orbitofrontal
cortex as well as the anterior cingulate gyrus in human and chimpanzee brains,
while no OT-immunoreactive fibers were found in macaque cortex. AVP
immunoreactive fibers were observed in the anterior cingulate gyrus in all
species, as well as in the insular cortex in humans, and in a more restricted
distribution in chimpanzees. This is the first report of OT and AVP fibers in the
cortex in human and non-human primates. Our findings provide a potential
mechanism by which OT and AVP might exert effects on brain regions far from their
production site in the hypothalamus, as well as potential species differences in
the behavioral functions of these target regions.
PMID- 29797343
TI - Epithelial sheath neuroma with extension to the subcutis.
AB - Epithelial sheath neuroma (ESN) is a rare entity, histologically showing enlarged
nerves in the superficial dermis surrounded by cytologically bland keratinocytes,
classically presenting clinically as an erythematous papule or nodule on the back
of middle-aged to elderly individuals. Clear-cut recommendations for treatment
are not available, with many reports citing biopsy alone or simple excision as
curative. We report a case of biopsy-proven ESN presenting as a significantly
painful nodule in an elderly woman. Surgical excision was performed for symptom
control and the residual ESN was found to extend deep into the subcutis.
PMID- 29797342
TI - Integration of hepatitis B virus DNA in chronically infected patients assessed by
Alu-PCR.
AB - Hepatitis B virus (HBV) infection is the main risk factor for hepatocellular
carcinoma (HCC) worldwide. Integration of HBV DNA into the human genome has been
found in >80% of HBV-related HCC cases. Some studies have, however, found similar
integration patterns in tumorous and nontumorous tissues. Thus, the role of
integrations for the development of HCC as well as the rate of integration in
different stages of infection remain unclear. The aim of this study was to
investigate integrations in patients without HCC, representing different stages
of chronic HBV (CHB) infection. Extracted DNA in liver biopsies from 74 patients
(one with 2 available biopsies) with CHB infection was analyzed by Alu-PCR.
Amplicons were further analyzed by Sanger sequencing. Integration was detected in
39 biopsies (52%) as an amplicon containing both human and HBV sequences by Alu
PCR with one primer targeting a region in the HBV genome. Integrations were found
in patients representing the different stages of CHB infection. A majority of the
HBV sequences were located upstream or downstream of nucleotide position 1820,
which previously has been identified as a common breakpoint in the HBV genome in
integrated sequences. Approximately 60% of the HBV integrations were found in
noncoding regions of the human genome. Integrations of HBV DNA into the human
genome is an event frequently found in mild phases of chronic hepatitis.
PMID- 29797345
TI - Therapeutic effect of urethral sphincter onabotulinumtoxinA injection for
urethral sphincter hyperactivity.
AB - PURPOSE: Urethral sphincter hyperactivity resulting in voiding dysfunction is
frequently encountered. Medical treatment might not achieve a satisfactory
result. OnabotlinumtoxinA urethral sphincter injection relaxes sphincter tonicity
and possibly resumes efficient voiding. This study analyzed the treatment
outcomes and predictor for successful onabotulinumtoxinA treatment on these
patients. METHODS: Patients with voiding dysfunction due to urethral sphincter
hyperactivity and treated with injections of 100 U onabotulinumtoxinA into the
urethral sphincter were retrospectively reviewed. Treatment outcomes were
assessed 1 month after injection using the Global Response Assessment and were
analyzed by demographic and baseline video-urodynamic characteristics. RESULTS:
Of the 95 patients included, satisfactory outcomes were reported in 58 (61.1%)
patients. Treatment outcome was not related to age, gender, or voiding
dysfunction subtype. Patients with satisfactory outcomes had a significantly
smaller volume at first sensation of filling (P = 0.046), greater detrusor
pressure (P = 0.027), higher maximum flow rate (P = 0.017), and smaller post-void
residual (P = 0.006). In multivariate analysis, an open bladder neck during
voiding was the only predictor for successful outcome (88% in satisfactory
outcome, 12% in failure outcome, P < 0.001). Patients with non-neurogenic voiding
dysfunction had a significantly longer therapeutic duration than those with
neurogenic voiding dysfunction (9.55 +/- 4.18 vs 7.44 +/- 2.91 months, P =
0.033). Increased urinary incontinence was reported in 18 patients, including 6
with stress urinary incontinence and 12 with urgency urinary incontinence.
CONCLUSION: Subjective improvement was reported in 61.1% of patients with voiding
dysfunction due to urethral sphincter hyperactivity after onabotulinumtoxinA
urethral sphincter injection. An open bladder neck during voiding at baseline
predicts a successful outcome.
PMID- 29797344
TI - Atypical presentation of Dowling-Degos disease with novel and recurrent mutations
in POFUT1.
PMID- 29797346
TI - Hemin provides protection against lead neurotoxicity through heme oxygenase
1/carbon monoxide activation.
AB - The neurotoxicity of lead (Pb) is well established, and oxidative stress is
strongly associated with Pb-induced neurotoxicity. Heme oxygenase 1 (HO-1) is an
important antioxidative enzyme for protection against oxidative stress in many
disease models. In this study, we applied hemin, the substrate and a well-known
inducer of HO-1, to investigate the possible role of HO-1 in protecting against
Pb neurotoxicity. Hemin can significantly attenuate Pb acetate-induced cell death
and oxidative stress in the hippocampus and frontal cortex of developmental rats.
Consistent with in vivo results, the protective effects of hemin were also
observed in SH-SY5Y cells after inducing cell survival and maintaining redox
balance. However, knocking down HO-1 could significantly abolish the
cytoprotective action of hemin against Pb toxicity, confirming HO-1 contributed
to the protection. Finally, the HO-1-derived production of carbon monoxide, but
not of bilirubin or Fe2+ , mediated the protective effects of HO-1 activation
induced by hemin treatment against Pb-induced cell death and oxidative stress in
SHSY5Y cells. Overall, this study showed that hemin provided protection against
Pb neurotoxicity by HO-1/carbon monoxide activation.
PMID- 29797348
TI - Dendritic cells and routing cargo into exosomes.
AB - Extracellular vesicles, released from cells, are important for intercellular
communication. They are heterogeneous but fall into two broad categories based on
origin and function: microvesicles formed by outward budding from the plasma
membrane; and exosomes that originate as intraluminal vesicles in multivesicular
endosomes that fuse with the plasma membrane to release them. Extracellular
vesicles generally and exosomes in particular have powerful effects on specific
immune responses, and recent advances highlight their potential therapeutic uses.
Dendritic cells (DC) that have internalized antigen release exosomes that express
MHC class II molecules loaded with antigenic peptides, co-stimulatory molecules
and intact antigen. Depending on the setting, these stimulate CD4 T-cell
proliferation either directly or only in the context of accessory antigen naive
DC. Here, we discuss the reasons for this; and review current knowledge about the
loading of antigen, class II and other cargo into exosomes released by DC and
other professional antigen-presenting cells in the context of advances in exosome
biology more generally.
PMID- 29797347
TI - WITHDRAWN: Resorbable versus titanium plates for facial fractures.
AB - BACKGROUND: Rigid internal fixation of the jaw bones is a routine procedure for
the management of facial fractures. Titanium plates and screws are routinely used
for this purpose. The limitations of this system has led to the development of
plates manufactured from bioresorbable materials which, in some cases, omits the
necessity for the second surgery. However, concerns remain about the stability of
fixation and the length of time required for their degradation and the
possibility of foreign body reactions. OBJECTIVES: To compare the effectiveness
of bioresorbable fixation systems with titanium systems for the management of
facial fractures. SEARCH METHODS: We searched the following databases: The
Cochrane Oral Health Group's Trials Register (to 20th August 2008), the Cochrane
Central Register of Controlled Trials (CENTRAL) (The Cochrane Library 2008, Issue
3), MEDLINE (1950 to 20th August 2008), EMBASE (from 1980 to 20th August 2008),
http://www.clinicaltrials.gov/ and http://www.controlled-trials.com (to 20th
August 2008). SELECTION CRITERIA: Randomised controlled trials comparing
resorbable versus titanium fixation systems used for facial fractures. DATA
COLLECTION AND ANALYSIS: Retrieved studies were independently screened by two
review authors. Results were to be expressed as random-effects models using mean
differences for continuous outcomes and risk ratios for dichotomous outcomes with
95% confidence intervals. Heterogeneity was to be investigated including both
clinical and methodological factors. MAIN RESULTS: The search strategy retrieved
53 potentially eligible studies. None of the retrieved studies met our inclusion
criteria and all were excluded from this review. One study is awaiting
classification as we failed to obtain the full text copy. Three ongoing trials
were retrieved, two of which were stopped before recruiting the planned number of
participants. In one study, the excess complications in the resorbable arm was
declared as the reason for stopping the trial. AUTHORS' CONCLUSIONS: This review
illustrates that there are no published randomised controlled clinical trials
relevant to this review question. There is currently insufficient evidence for
the effectiveness of resorbable fixation systems compared with conventional
titanium systems for facial fractures. The findings of this review, based on the
results of the aborted trials, do not suggest that resorbable plates are as
effective as titanium plates. In future, the results of ongoing clinical trials
may provide high level reliable evidence for assisting clinicians and patients
for decision making. Trialists should design their studies accurately and
comprehensively to meet the aims and objectives defined for the study.
PMID- 29797349
TI - White-coat hypertension from a paediatric perspective.
AB - AIM: This mini review explored the prevalence of white-coat hypertension (WCH),
which is very common in children. It results in elevated office blood pressure
(BP) but normal ambulatory BP monitoring (ABPM) readings. METHODS: WCH can only
be identified by analysing and comparing office BP readings and ABPM, which
periodically records BP every 20-30 minutes over 24-hour period. This study
provides initially the background for WCH in adults, together with a
comprehensive overview of the most relevant paediatric data on WCH. RESULTS:
Accurate measurements of BP are very important for the diagnosis and management
of hypertension. It is important to acknowledge the clinical relevance of WCH and
follow up children who display this BP phenotype by carrying out ABPM, so that
clinicians can build up an accurate picture of their BP. It is also important to
identify children who have BP issues and are overweight or obese, so that
treatment of this modifiable cardiovascular risk factor can be initiated.
CONCLUSION: Using ABPM provides paediatricians with a more precise evaluation of
a child's BP readings than office BP readings. It is the gold standard for
diagnosing WCH.
PMID- 29797350
TI - Resilience and social support as protective factors against abuse of patients
with dementia: A study on family caregivers.
AB - OBJECTIVE: Scientific literature has identified different vulnerability factors
associated to abuse in people with dementia (PWD), but little is known about the
psychosocial protective variables against abuse. The main objective of this study
is to investigate a set of caregiver and patient factors linked to abuse-related
behavior of PWD. METHODS: A total of 326 primary and family caregivers, residents
of the Castilla and Leon community (Spain), were evaluated. All participants
filled out a standardized protocol, which assessed the sociodemographic
characteristics, patient and care-related variables, as well as the perceived
burden, resilience, and social support. Abuse-related behavior was evaluated
using the Caregiver Abuse Screen. RESULTS: Results show that the severity of
cognitive impairment and behavior disorders of PWD, a greater number of
caregiving hours, a worse previous relationship with the caregiver, and perceived
burden are positively related with abuse. However, resilience and social support
showed a negative relationship with Caregiver Abuse Screen scores, suggesting a
protective effect on abuse, even after controlling the effect of a number of
covariates. Indeed, resilience was the only variable that remained significant
after including the effect of burden. CONCLUSIONS: This paper states the role of
burden in abuse of PWD, while resilience and social support are abuse protective
factors. These variables should be considered in future guidelines for the
prevention of abuse against PWD.
PMID- 29797351
TI - Decreased noradrenaline transporter density in the motor cortex of Parkinson's
disease patients.
AB - Reduced noradrenaline levels have been reported to occur in the motor cortices of
PD patients postmortem. Imaging techniques have recently become available to
specifically study noradrenergic terminal function in vivo using PET. The
objective of this study was to evaluate cortical 11 C-MeNER binding in PD
patients. Thirty PD patients and 12 healthy control subjects comparable in age,
sex, and cognitive performance underwent PET imaging with 11 C-MeNER, a specific
ligand of the noradrenaline transporter. Cortical noradrenaline transporter
binding was compared at a voxel level using Statistical Parametric Mapping,
whereas cortical thickness was assessed using FreeSurfer software with MRI. PD
patients showed reduced 11 C-MeNER binding in the primary motor cortex unrelated
to cortical thickness; other cortical regions did not differ between groups. In a
subgroup analysis, patients with higher Hoehn & Yahr stage exhibited more
pronounced 11 C-MeNER binding reductions. Loss of cortical noradrenergic
projections to the primary motor cortex occurs in PD associated with disease
stage. (c) 2018 International Parkinson and Movement Disorder Society.
PMID- 29797352
TI - Cardiac repolarization and depolarization in people with Type 1 diabetes with
normal ejection fraction and without known heart disease: a case-control study.
AB - AIMS: To investigate depolarization and repolarization durations in people with
Type 1 diabetes, including the relationship to age. METHODS: 855 persons with
Type 1 diabetes without known heart disease were included and matched with 1710
participants from a general population study. Clinical examinations,
questionnaires and biochemistry were assessed. A 10-second 12-lead ECG was
performed and analysed digitally. RESULTS: QTc was longer in people with Type 1
diabetes compared to controls (414+/-16 vs. 411+/-19 ms, P <0.001), and
particularly so in young people with Type 1 diabetes. The fully adjusted increase
was 13.8 ms (95% confidence interval (CI): 8.6-19.0 ms, P <0.001) at age 20 years
and 3.4 ms (CI: 1.5-5.3 ms, P<0.001) at age 40 years. The rate-corrected QRSc was
increased in people with Type 1 diabetes (97+/-11 vs. 95+/-11 ms, P <0.001) and
was age-independent (P =0.5). JTc was increased in the young people with Type 1
diabetes (10.7 ms (CI: 5.4-16.0 ms, P <0.001) at age 20 years), but not in older
people with Type 1 diabetes (interaction age-diabetes, P <0.01). CONCLUSIONS: For
people with Type 1 diabetes, cardiac depolarization is increased at all ages,
whereas repolarization is increased only relatively in young people with Type 1
diabetes. Hence, young people with Type 1 diabetes may be more prone to
ventricular arrhythmias. The findings contribute to the understanding of sudden
cardiac death in young people with Type 1 diabetes.
PMID- 29797353
TI - High accuracy of proximity extension assay technology for the quantification of
plasma brain natriuretic peptide.
AB - BACKGROUND: Novel multiplex assays allow the simultaneous identification of a
large number of plasma proteins. While these new technologies have been shown to
be highly sensitive and accurate for the identification of plasma proteins, the
use of this technology to quantify those proteins has not been properly
investigated. In this pilot study, we tested the accuracy of the proximity
extension assay (PEA) for the quantification of the cardiac biomarker brain
natriuretic peptide (BNP) compared to a standard clinically approved method.
METHODS: Concentrations of BNP were assessed in 120 plasma samples from 30
patients with PEA and compared to chemiluminescent microparticle immunoassay
(CMIA). Venous blood samples were collected from in tubes containing
ethylenediaminetetraacetic acid, centrifuged within 6 hours at 3,500 rpm for 15
minutes at 4 degrees C, frozen and stored at -80 degrees C until analyzed.
Correlation between the CMIA and PEA techniques was tested using the Spearman's
rank correlation coefficient (rho) and the agreement was described with a Bland
Altman plot. RESULTS: Brain natriuretic peptide values obtained by CMIA and PEA
were highly correlated (Spearman's rho = 0.865, P < .0001). In two patients, PEA
consistently overestimated resp. underestimated BNP values compared to CMIA.
After removal of those two patients, a very high correlation between the two
techniques was shown (rho = 0.966, P < .0001). A high agreement between the two
techniques over the whole range of tested concentrations was shown. CONCLUSION:
This pilot study showed for the first time an excellent correlation between a
clinically approved method and the PEA-based approach for quantification of
circulating plasma BNP.
PMID- 29797354
TI - Sperm nuclear protamines: A checkpoint to control sperm chromatin quality.
AB - Protamines are nuclear proteins which are specifically expressed in haploid male
germ cells. Their replacement of histones and binding to DNA is followed by
chromatin hypercondensation that protects DNA from negative influences by
environmental factors. Mammalian sperm contain two types of protamines: PRM1 and
PRM2. While the proportion of the two protamines is highly variable between
different species, abnormal ratios within a species are known to be associated
with male subfertility. Therefore, it is more than likely that correct protamine
expression represents a kind of chromatin checkpoint during sperm development
rendering protamines as suitable biomarkers for the estimation of sperm quality.
This review presents an overview of our current knowledge on protamines comparing
gene and protein structures between different mammalian species with particular
consideration given to man, mouse and stallion. At last, recent insights into the
possible role of inherited sperm histones for early embryo development are
provided.
PMID- 29797355
TI - Selective forgetting of self-threatening statements: Mnemic neglect for dementia
information in people with mild dementia.
AB - OBJECTIVE: We tested whether people with dementia manifest selective forgetting
for self-threatening information, the mnemic neglect effect (MNE). This selective
forgetting is observed among healthy adults in the recall, but not the
recognition, of self-threatening feedback. METHODS: Sixty-four statements about
dementia were rated for their level of negativity by 280 staff and students at
University of the West of England. The 12 statements rated as most negative and
the 12 statements rated as least negative were then read to 62 people with
dementia. Participants were randomized to 1 of 2 conditions with the statements
referring either to self or to another person. High-negativity and self-referent
statements had strong threat potential. Participants recalled the statements and
then completed a recognition task, which consisted of the 24 previously read
statements and 24 new statements. RESULTS: Participants manifested the MNE: They
recalled fewer high-negativity (compared with low-negativity) statements, but
only when these referred to the self rather than another person. This pattern
occurred independently of levels of depression or anxiety. Participants also made
more self-protective intrusion errors when the statements referred to the self
than another person. Participants did not differ in their recognition of
statements. CONCLUSION: The MNE occurs among people with dementia. The selective
forgetting of highly negative, self-referent statements serves to protect the
self against the threat that dementia represents. Given the similarities between
the MNE and the clinical phenomenon of repression, the findings may mark
psychological processes that are implicated in the acceptance (or lack thereof)
of a dementia diagnosis.
PMID- 29797356
TI - Increased autophagy contributes to impaired smooth muscle function in neurogenic
lower urinary tract dysfunction.
AB - AIMS: To explore whether autophagy plays a role in the remodeling of bladder
smooth muscle cells (SMCs) in children with neurogenic lower urinary tract
dysfunction (NLUTD), we investigated the effect of autophagy in NLUTD in the
paediatric population. METHODS: Bladder biopsies were taken from children with
NLUTD and healthy donors as controls. Samples were labeled with the SMC markers
calponin, smoothelin, and the autophagy proteins LC3, ATG5, and Beclin1. The
contractile ability of bladder derived SMCs was investigated. RESULTS: ATG5 gene
and protein was upregulated in NLUTD muscle tissue compared to normal bladder.
NLUTD muscle exhibited a punctated immunostaining pattern for LC3 in a subset of
the SMCs, confirming the accumulation of autophagosomes. Pronounced elevation of
ATG5 in the SMC in NLUTD tissue was associated with a downregulation of the key
contractile proteins smoothelin and calponin. Pharmacological blocking of
autophagy completely stopped the cells growth in normal bladder SMCs. Inhibition
of autophagy in the NLUTD SMCs, with already elevated levels of ATG5, resulted in
a reduction of ATG5 protein expression to the basal level found in normal
controls. CONCLUSIONS: Our study suggests that autophagy is an important factor
affecting the remodeling of SMCs and the alteration of functionality in bladder
smooth muscle tissue in the NLUTD. Since autophagy can be influenced by oral
medication, this finding might lead to novel strategies preventing the
deterioration of NLUTD muscle.
PMID- 29797358
TI - Mechanotargeting: Mechanics-Dependent Cellular Uptake of Nanoparticles.
AB - Targeted delivery of nanoparticle (NP)-based diagnostic and therapeutic agents to
malignant cells and tissues has exclusively relied on chemotargeting, wherein NPs
are surface-coated with ligands that specifically bind to overexpressed receptors
on malignant cells. Here, it is demonstrated that cellular uptake of NPs can also
be biased to malignant cells based on the differential mechanical states of
cells, enabling mechanotargeting. Owing to mechanotransduction, cell lines (HeLa
and HCT-8) cultured on hydrogels of various stiffness are directed into different
stress states, measured by cellular force microscopies. In vitro NP delivery
reveals that increases in cell stress suppress cellular uptake, counteracting the
enhanced uptake that occurs with increases in exposed surface area of spread
cells. Upon prolonged culture on stiff hydrogels, cohesive HCT-8 cell colonies
undergo metastatic phenotypic change and disperse into individual malignant
cells. The metastatic cells are of extremely low stress state and adopt an
unspread, 3D morphology, resulting in several-fold higher uptake than the
nonmetastatic counterparts. This study opens a new paradigm of harnessing
mechanics for the design of future strategies in nanomedicine.
PMID- 29797357
TI - The challenge of diagnosing seborrheic keratosis by reflectance confocal
microscopy.
AB - BACKGROUND: Seborrheic keratosis (SK) is one of the most common skin tumors seen
by dermatologists. It should be differentiated with many diseases, especially
skin tumors. Reflectance confocal microscopy (RCM) has been applied for
evaluation of SK. There are a few studies that describe the RCM of SK. The aim of
the study was to find the challenge of diagnosing seborrheic keratosis by
reflectance confocal microscopy. METHODS: A total of 390 patients with a clinical
suspicious diagnosis of seborrheic keratosis were enrolled in this study, and
lesions from each patient were imaged with RCM. Thirty-seven of these patients
performed a biopsy in order to be given a histological diagnosis. We
retrospectively analyzed the outcomes of RCM diagnosis and histological
diagnosis, and then found the RCM characteristics of biopsy-proven lesions.
RESULTS: According to RCM images, 258 of 390 (66.2%) patients were diagnosed with
SK, 97 of 390 (24.9%) patients could not be diagnosed by the dermatologist
according to RCM. Of all 37 biopsied lesions, 23 were SK, 6 were actinic
keratosis, 2 were basal cell carcinoma, and 2 were squamous cell carcinoma.
CONCLUSION: It is challenge to diagnose seborrheic keratosis by reflectance
confocal microscopy. It may due to the variable clinical and RCM appearances of
SK, and limited depth of RCM.
PMID- 29797359
TI - Reverse Saturable Absorption Induced by Phonon-Assisted Anti-Stokes Processes.
AB - In materials showing reverse saturable absorption (RSA), optical transmittance
decreases at intense laser irradiation. One approach to application of these
materials is to protect the sensors or human eyes from laser damage. To date,
research has mainly concentrated on thin films and suspensions of graphite and
its nanostructure (including nanotubes, graphene, and graphene oxides), which are
mainly used as an optical limiter for nanosecond laser pulses. Moreover, thin
individual pieces of semiconductor usually exhibit increased transmittance due to
saturable absorption when the laser energy (Elaser ) is higher than the band gap
(EB ). Here, it is shown that indirect gap semiconductor WSe2 exhibits high RSA
on exposure to a femtosecond laser under Elaser > EB near band gap excitation,
which is attributed to the longitudinal optical phonon-assisted anti-Stokes
transition by the annihilation of phonons and the absorption of photons. An
optical limiting threshold (~21.6 mJ cm-2 ) lower than those reported for other
optical-limiting materials currently for femtosecond laser at 800 nm is observed.
PMID- 29797360
TI - Strategies to assist uptake of pelvic floor muscle training for people with
urinary incontinence: A clinician viewpoint.
AB - AIMS: The experiences and information needs of clinicians who use pelvic floor
muscle training to manage urinary incontinence were explored. METHODS:
Qualitative methods were used to conduct thematic analysis of data collected from
clinician focus groups and interviews. Participants were registered
physiotherapists and continence nurses in Melbourne, Australia. Recruitment was
through a combination of purposive and "snowball" sampling and continued until
data adequacy was reached. RESULTS: Twenty-eight physiotherapists and one
continence nurse participated in seven focus groups and one interview. The main
finding communicated by the participants was that pelvic floor muscle training
requires comprehensive descriptions of program details in order for clinicians to
implement evidence-based interventions. The following themes were identified: (1)
pelvic floor muscle training tailored to the needs of each individual is
essential; (2) training-specific cues and verbal prompts assist patients to learn
and engage with exercises; and (3) clinicians can benefit from research summaries
and reports that provide explicit and comprehensive descriptions and decision
rules about intervention content and progression. The data indicated that some
clinicians can have difficulty interpreting and applying research findings
because it is not always well reported. CONCLUSIONS: Clinicians who use pelvic
floor muscle training to treat urinary incontinence advised can benefit from
accessing explicit details of interventions tested in research and reported as
effective. They viewed tailoring therapy to individual goals and the use of
verbal prompts and visualization cues as important engagement strategies for
effective exercise performance. Explicit reporting could be facilitated by using
an exercise guideline template, such as the Consensus on Exercise Reporting
Template (CERT).
PMID- 29797361
TI - Travelling the circle together, solo: An individual protocol for the Circle of
Security intensive intervention.
AB - Circle of Security (COS) is an approach to help parents better understand the
attachment needs of their children. The COS model, which began as an intensive,
individualized intervention delivered in the context of a group, has been
implemented in a variety of formats, including a DVD-based format (Circle of
Security Parenting [COS-P]). This article presents a return to the original
intensive intervention, adapted to be delivered in an individual therapy context
and incorporating clips from COS-P. This approach emphasizes the importance of
retaining video review within this intervention, showing the client directly
interacting with his or her own child. The application of this hybrid format is
demonstrated through the case of a mother and 5-year-old child presenting with
difficulty negotiating separations.
PMID- 29797362
TI - Cholinergic modulation of striatal microcircuits.
AB - The purpose of this review is to bridge the gap between earlier literature on
striatal cholinergic interneurons and mechanisms of microcircuit interaction
demonstrated with the use of newly available tools. It is well known that the
main source of the high level of acetylcholine in the striatum, compared to other
brain regions, is the cholinergic interneurons. These interneurons provide an
extensive local innervation that suggests they may be a key modulator of striatal
microcircuits. Supporting this idea requires the consideration of functional
properties of these interneurons, their influence on medium spiny neurons, other
interneurons, and interactions with other synaptic regulators. Here, we underline
the effects of intrastriatal and extrastriatal afferents onto cholinergic
interneurons and discuss the activation of pre- and postsynaptic muscarinic and
nicotinic receptors that participate in the modulation of intrastriatal neuronal
interactions. We further address recent findings about corelease of other
transmitters in cholinergic interneurons and actions of these interneurons in
striosome and matrix compartments. In addition, we summarize recent evidence on
acetylcholine-mediated striatal synaptic plasticity and propose roles for
cholinergic interneurons in normal striatal physiology. A short examination of
their role in neurological disorders such as Parkinson's, Huntington's, and
Tourette's pathologies and dystonia is also included.
PMID- 29797363
TI - A novel tomato F-box protein, SlEBF3, is involved in tuning ethylene signaling
during plant development and climacteric fruit ripening.
AB - Ethylene is instrumental to climacteric fruit ripening and EIN3 BINDING F-BOX
(EBF) proteins have been assigned a central role in mediating ethylene responses
by regulating EIN3/EIL degradation in Arabidopsis. However, the role and mode of
action of tomato EBFs in ethylene-dependent processes like fruit ripening remains
unclear. Two novel EBF genes, SlEBF3 and SlEBF4, were identified in the tomato
genome, and SlEBF3 displayed a ripening-associated expression pattern suggesting
its potential involvement in controlling ethylene response during fruit ripening.
SlEBF3 downregulated tomato lines failed to show obvious ripening-related
phenotypes likely due to functional redundancy among SlEBF family members. By
contrast, SlEBF3 overexpression lines exhibited pleiotropic ethylene-related
alterations, including inhibition of fruit ripening, attenuated triple-response
and delayed petal abscission. Yeast-two-hybrid system and bimolecular
fluorescence complementation approaches indicated that SlEBF3 interacts with all
known tomato SlEIL proteins and, consistently, total SlEIL protein levels were
decreased in SlEBF3 overexpression fruits, supporting the idea that the reduced
ethylene sensitivity and defects in fruit ripening are due to the SlEBF3-mediated
degradation of EIL proteins. Moreover, SlEBF3 expression is regulated by EIL1 via
a feedback loop, which supposes its role in tuning ethylene signaling and
responses. Overall, the study reveals the role of a novel EBF tomato gene in
climacteric ripening, thus providing a new target for modulating fleshy fruit
ripening.
PMID- 29797364
TI - Abnormal Synergetic Effect of Organic and Halide Ions on the Stability and
Optoelectronic Properties of a Mixed Perovskite via In Situ Characterizations.
AB - The mixed cation lead mixed halide perovskite (MLMP) Csx FA1-x PbIy Br3-y is one
of the most promising candidates for both single-junction and tandem solar cells
due to its high efficiency and remarkable stability. However, the composition
effect on thermal stability and photovoltaic performances has not yet been
comprehensively investigated. Therefore, the interplay between composition,
crystal structure, morphology, and optoelectronic properties under heat stress,
is systematically elucidated here through a series of in situ characterizations.
It is revealed for the first time that the FA+ and Br- release synchronously at
first even under mild annealing. This leads to a serious FA- and Br-deficiency
issue, with only 88.3% of Br and 90.2% of FA retained after annealing at 100
degrees C, which significantly magnifies the hysteresis, phase segregation, and
instability issues. Finally, a trace amount of FA+ and Br- is introduced onto the
post-annealed MLMP surface to compensate for the deficiency through vacancy
filling. The degradation lifetime to 80% of the initial efficiency (t80 ) is
improved from 504 to 1056 h and the hysteresis issue is also well resolved. This
work highlights the importance of the synergetic composition effect of the
organic cation and halide anion on stability and efficiency optimization for long
term applications.
PMID- 29797365
TI - Endobronchial ultrasound guided transbronchial needle aspirate from subcarinal
lymph node: Mesothelial lesion, a diagnostic dilemma.
PMID- 29797366
TI - Nucleotide-binding resistance gene signatures in sugar beet, insights from a new
reference genome.
AB - Nucleotide-binding (NB-ARC), leucine-rich-repeat genes (NLRs) account for 60.8%
of resistance (R) genes molecularly characterized from plants. NLRs exist as
large gene families prone to tandem duplication and transposition, with high
sequence diversity among crops and their wild relatives. This diversity can be a
source of new disease resistance, but difficulty in distinguishing specific
sequences from homologous gene family members hinders characterization of
resistance for improving crop varieties. Current genome sequencing and assembly
technologies, especially those using long-read sequencing, are improving
resolution of repeat-rich genomic regions and clarifying locations of duplicated
genes, such as NLRs. Using the conserved NB-ARC domain as a model, 231 tentative
NB-ARC loci were identified in a highly contiguous genome assembly of sugar beet,
revealing diverged and truncated NB-ARC signatures as well as full-length
sequences. The NB-ARC-associated proteins contained NLR resistance gene domains,
including TIR, CC and LRR, as well as other integrated domains. Phylogenetic
relationships of partial and complete domains were determined, and patterns of
physical clustering in the genome were evaluated. Comparison of sugar beet NB-ARC
domains to validated R-genes from monocots and eudicots suggested extensive Beta
vulgaris-specific subfamily expansions. The NLR landscape in the rhizomania
resistance conferring Rz region of Chromosome 3 was characterized, identifying 26
NLR-like sequences spanning 20 MB. This work presents the first detailed view of
NLR family composition in a member of the Caryophyllales, builds a foundation for
additional disease resistance work in B. vulgaris, and demonstrates an additional
nucleic-acid-based method for NLR prediction in non-model plant species.
PMID- 29797367
TI - Copy number variations of MTHFSD gene across pig breeds and its association with
litter size traits in Chinese indigenous Xiang pig.
AB - Copy number variation (CNV) is a major proportion of genetic variation, which
changes the gene structure and dosage and affects gene expression and function.
To validate the presence and the function of CNV in pig, we used real-time
quantitative polymerase chain reaction (qPCR) method to validate a 496 kb CNV
region comprising MTHFSD gene on chromosome 6 of Xiang pig detected by single
nucleotide polymorphism (SNP) array. Then we investigated the distribution of the
MTHFSD CNV in a total of 545 pigs in four breeds. About 46.2% and 32.7%
individuals in the four pig breeds were detected to be types of loss and gain of
MTHFSD locus. The relative copy numbers of MTHFSD gene showed the largest
variation range (0-55 copies) in the Xiang pig population. The copy numbers of
MTHFSD gene presented the positive correlations with the transcript level of
MTHFSD gene in adult ovaries. Statistical analysis indicated that CNVs of MTHFSD
gene was significantly changed the litter size traits of Xiang pigs, and the
individuals with CNV gain showed more litter size than the CNV loss pigs. We have
reasons to believe that the MTHFSD as RNA-binding protein play an important role
in pig reproduction as a result of regulating MTHFS mRNA metabolism.
PMID- 29797368
TI - SIG1459: A novel phytyl-cysteine derived TLR2 modulator with in vitro and
clinical anti-acne activity.
AB - Cutibacterium (formerly Propionibacterium acnes) is a major contributor to the
pathogenesis of acne. C. acnes initiates an innate immune response in
keratinocytes via recognition and activation of toll-like receptor-2 (TLR2), a
key step in comedogenesis. Tetramethyl-hexadecenyl-cysteine-formylprolinate
(SIG1459), a novel anti-acne isoprenylcysteine (IPC) small molecule, is shown in
this study to have direct antibacterial activity and inhibit TLR2 inflammatory
signalling. In vitro antibacterial activity of SIG1459 against C. acnes was
established demonstrating minimal inhibitory concentration (MIC = 8.5 MUmol?L),
minimal bactericidal concentration (MBC = 16.1 MUmol?L) and minimal biofilm
eradication concentration (MBEC = 12.5 MUmol?L). To assess SIG1459's anti
inflammatory activity, human keratinocytes were exposed to C. acnes and different
TLR2 ligands (peptidoglycan, FSL-1, Pam3CSK4) that induce pro-inflammatory
cytokine IL-8 and IL-1alpha production. Results demonstrate SIG1459 inhibits TLR2
induced IL-8 release from TLR2/TLR2 (IC50 = 0.086 MUmol?L), TLR2/6 (IC50 =
0.209 MUmol?L) and IL-1alpha from TLR2/TLR2 (IC50 = 0.050 MUmol?L). To assess
the safety and in vivo anti-acne activity of SIG1459, a vehicle controlled
clinical study was conducted applying 1% SIG1459 topically (n = 35 subjects) in a
head-to-head comparison against 3% BPO (n = 15 subjects). Utilizing the
Investigator Global Assessment scale for acne as primary endpoint, results
demonstrate 1% SIG1459 significantly outperformed 3% BPO over 8 weeks, resulting
in 79% improvement as compared to 56% for BPO. Additionally, 1% SIG1459 was well
tolerated. Thus, SIG1459 and phytyl IPC compounds represent a novel anti-acne
technology that provides a safe dual modulating benefit by killing C. acnes and
reducing the inflammation it triggers via TLR2 signalling.
PMID- 29797369
TI - Instant Detection of Hydrogen Cyanide Gas and Cyanide Salts in Solid Matrices and
Water by using CuII and NiII Complexes of Intramolecularly Hydrogen Bonded
Zwitterions.
AB - A series of intramolecularly hydrogen-bonded zwitterionic compartmental ligands
HL1-HL4, containing a pendent diamine arm that is monoprotonated and an aldehyde
functionality at two different ortho-positions of a 4-halophenoxide, is reported
herein. Single-crystal X-ray diffraction (SXRD) provides persuasive evidence for
the identification of this class of proton-transferred zwitterions at room
temperature. The solid-state photoluminescent nature of these zwitterions remains
intact in aqueous and organic solutions. Grinding of HL1 and HL2 with Cu2+ /Ni2+
salts develop turn-on probes 1-4. Compounds 1 and 4 are dinuclear CuII and NiII
species, respectively. Compound 2 is a tetranuclear CuII complex. Interestingly,
compound 3 is a mononuclear NiII species in which both nitrogen atoms in the
pendant diamine arm are protonated and, therefore, not coordinated to the NiII
center. All these probes (1-4) display an instant response to the poison gas
hydrogen cyanide (HCN) and cyanide salts present in both solid matrices and
aqueous (100 % water) solution. Selective and rapid sensing of HCN gas and
cyanide salts in solid/soil/water phases, without any interference, by the
mechanosynthesized complexes 1-4 can be perceived easily by the naked eye under a
hand-held UV lamp.
PMID- 29797370
TI - Differential outcome subgroups in children with autism spectrum disorder
attending early intervention.
AB - BACKGROUND: The finding of positive outcomes at the group level for children with
autism spectrum disorder (ASD) who complete comprehensive early intervention
programmes often masks considerable individual variability. We therefore aimed to
identify subgroups of children based on their response to intervention and to
compare outcome variables between groups at two points in time. METHOD: We used
model-based cluster analysis to explore response to intervention using a
longitudinal design for 210 children with ASD who had completed an early
intervention programme. Children were assessed on entry at time 1 and again at
time 2, which was after 12 months or when they exited the programme (whichever
came first) using measures of ASD symptoms (Social Communication Questionnaire),
cognition (Mullen Scales of Early Learning) and adaptive behaviour (Vineland
Adaptive Behaviour Scales-II). RESULTS: A two-cluster solution was identified,
including a high change group who improved consistently more than the low change
group across measures, and showed significantly fewer autism symptoms, higher non
verbal and verbal cognition and adaptive behaviour composite scores at time 1.
CONCLUSIONS: The findings indicated that children's response to early
intervention is not uniform but instead included subgroups characterised by
patterns of high and low change. Further research is needed to identify
clinically relevant mediators of differential response group membership.
PMID- 29797371
TI - Neuropathic pain is not adequately treated in the older general population:
Results from the KORA F4 survey.
AB - PURPOSE: We evaluated the pharmacological treatment of distal sensorimotor
polyneuropathy (DSPN) among older subjects from the general population. METHODS:
The study included subjects aged 61 to 82 years from the KORA F4 survey (2006
2008). DSPN was defined as the presence of bilaterally impaired foot-vibration
perception and/or bilaterally impaired foot-pressure sensation. Pain intensity
was assessed with the painDETECT questionnaire. RESULTS: From the included 1076
older persons, 172 (16%) persons reported pain in the lower extremities and DSPN
was present in 150 (14%) subjects. Forty-eight people with pain in the lower
extremities reported DSPN. Only 38% of the subjects with DSPN reporting an
average pain level of >=4 during the past 4 weeks received medical treatment,
predominantly nonsteroidal anti-inflammatory drugs (NSAIDs 20% and opioids 12%).
The medication of choice for neuropathic pain, antidepressants, anticonvulsants,
and opioids was relatively being underused. However, opioids and neuropathy
preparations were prescribed preferably for subjects with painful DSPN.
CONCLUSIONS: In the older general population, only a small proportion of subjects
with painful DSPN receive analgesic pharmacotherapy. Although not recommended by
guidelines for the treatment of neuropathic pain, NSAIDs were the most frequently
used class of analgesic drugs.
PMID- 29797372
TI - Addressing population levels of physical activity requires investment beyond the
health sector.
PMID- 29797373
TI - A Rapid and Robust Diagnostic for Liver Fibrosis Using a Multichannel Polymer
Sensor Array.
AB - Liver disease is the fifth most common cause of premature death in the Western
world, with the irreversible damage caused by fibrosis, and ultimately cirrhosis,
a primary driver of mortality. Early detection of fibrosis would facilitate
treatment of the underlying liver disease to limit progression. Unfortunately,
most cases of liver disease are diagnosed late, with current strategies reliant
on invasive biopsy or fragile lab-based antibody technologies. A robust, fully
synthetic fluorescent-polymer sensor array is reported, which, rapidly (in 45
minutes), detects liver fibrosis from low-volume serum samples with clinically
relevant specificity and accuracy, using an easily readable diagnostic output.
The simplicity, rapidity, and robustness of this method make it a promising
platform for point-of-care diagnostics for detecting and monitoring liver
disease.
PMID- 29797374
TI - Clinical evaluation of Roche COBAS(r) AmpliPrep/COBAS(r) TaqMan(r) CMV test using
nonplasma samples.
AB - Cytomegalovirus (CMV) infection is a leading cause of loss of hearing, vision,
and mental retardation in congenitally infected children. It is also associated
with complications of organ transplant and opportunistic HIV coinfection. The
Roche COBAS(r) AmpliPrep/COBAS(r) TaqMan(r) CMV test is an FDA-approved test that
measures CMV DNA viral load in plasma for the diagnosis and management of
patients at risk of CMV-associated diseases. Besides plasma, CMV is often found
in bronchoalveolar lavage (BAL), cerebrospinal fluid (CSF), and urine. Thus,
monitoring of CMV for critical care of patients in these nonplasma samples
becomes necessary. The objective of this study was to conduct an analytic and
clinical feasibility study of the Roche CMV test in BAL, CSF, and urine. The
lower limit of detection, analytic measurement range, assay sensitivity,
specificity, and precision were determined. Results of this study showed that the
lower limit of detections were 50, 100, and 300 IU/mL for BAL, CSF, or urine,
respectively. The analytic measurement ranges were from log10 2.48 to log10 5.48.
The assay specificity was 94.4% for BAL and 100% for CSF and urine. The assay
precision was all within the acceptable range. The performance of Roche test was
further compared with 2 comparators including the RealTime CMV assay (Abbott
Molecular) and a CMV Quantitative Polymerase Chain Reaction test (Vela
Diagnostics). There was a general positive correlation between the Roche method
and the Abbott or the Vela method. Overall, this study suggests that the Roche
CMV test is suitable for the quantification of CMV viral load DNA in the
described nonplasma samples.
PMID- 29797375
TI - Medical nutrition treatment of women with gestational diabetes mellitus by a
telemedicine system based on smartphones.
AB - AIM: To explore whether WeChat platform-based treatment of women with gestational
diabetes mellitus (GDM) reduces the risk of perinatal complications and explore
factors affecting gestational age at delivery. METHODS: Pregnant women with GDM
(n = 107) and normal glucose tolerance (n =50, group C) according to oral glucose
tolerance test (OGTT) results during gestational weeks 24-28 were included. Women
with GDM were divided into groups A (n =57) and B (n =50) according to informed
consent. According to GDM treatment norms, group B was given routine outpatient
treatment and health education guidance. In addition to the interventions in
group B, group A was given access to both a smartphone-based telemedicine system
and articles providing continuous health education. The PBG level in groups A and
B was compared, as were differences in maternal and fetal outcomes. Data were
analyzed by t-test, analysis of variance (anova), chi-square test and multiple
linear regression, with P < 0.05 considered significant. RESULTS: Fasting blood
glucose (FBG) and 2-h postprandial blood glucose (PBG) were significantly lower
and premature delivery was significantly less likely in group A than in group B
(all P < 0.05). Compared with group B, caesarean section was more likely in group
A (P < 0.05). Pregnancy-induced hypertension had a higher incidence in group B
than in group C (P < 0.05). Gestational age at delivery was associated with
OGTT2h, premature fetal membrane rupture and self-monitoring of blood glucose.
CONCLUSION: GDM treatment based on the WeChat platform effectively reduces FBG
and 2-h PBG and may improve pregnancy outcomes. However, 1-h PBG was not affected
by treatment. Obstetricians should consider the OGTT2h value to increase
gestational age at delivery.
PMID- 29797376
TI - Linaclotide inhibits colonic and urinary bladder hypersensitivity in adult female
rats following unpredictable neonatal stress.
AB - BACKGROUND: Irritable bowel syndrome (IBS) and bladder pain syndrome (BPS) are
female-predominant, chronic functional pain disorders that are associated with
early life stress (ELS) and therapeutic options for such patients remain limited.
Linaclotide, a guanylate cyclase-C (GC-C) agonist, relieves abdominal pain and
bowel symptoms in adult patients suffering from IBS with constipation. Here, we
test the hypothesis that linaclotide will reverse colon and bladder hyperalgesia
in a female-specific rodent model of adverse early life experience. METHODS:
Neonatal rats were exposed to an odor-attachment learning paradigm of early life
stress (ELS). In adulthood, the effect of linaclotide (3 MUg kg-1 d-1 , p.o.) on
colonic and bladder sensitivity was assessed via quantification of the
visceromotor response to colorectal distension and the frequency of withdrawal
responses to the application of von Frey hairs to the suprapubic region. In
another cohort of rats, the effect of linaclotide on ELS-induced colonic and
bladder permeability was investigated via measurements of transepithelial
electrical resistance (TEER). KEY RESULTS: Rats exposed to unpredictable ELS
exhibited colonic and bladder hypersensitivity that was significantly reduced by
linaclotide compared to vehicle-treated controls. Colonic and bladder tissue
isolated from adult rats exposed to unpredictable ELS exhibited a decrease in
colonic and bladder TEER that was reversed by linaclotide. CONCLUSIONS AND
INFERENCES: Our results demonstrate that neonatal rats exposed to unpredictable
ELS develop increased sensitivity and permeability of the colon and bladder in
adulthood through a mechanism involving activation of peripheral GC-C signaling.
PMID- 29797378
TI - Compassion satisfaction, burnout and secondary traumatic stress among termination
of pregnancy providers in two South African provinces.
AB - Focusing on compassion satisfaction, burnout and secondary traumatic stress (STS)
among termination of pregnancy (TOP) providers, a cross - sectional study was
conducted among these providers in Gauteng and North West provinces of South
Africa during 2014 and 2015. During 2014 and 2015, a cross-sectional study was
conducted among TOP service providers in Gauteng and North West provinces.
Consented providers completed a self-administered professional quality of life
(PRoQOL) questionnaire, sociodemographic information and possible reasons for
working as TOP providers. STATA 13 was used for data analysis. We recruited 105
TOP providers, obtaining 98% response rate. Participants mean age was 43.4
(standard deviation [SD] = 8.7), majority were nurses (70.9%), female (82%),
married (47.6%) and 63% were working in hospitals. The overall mean score for
compassion satisfaction was high at 42 (SD 5.5). Predictors of compassion
satisfaction were finding work stimulating, belief in making a difference,
enjoying relationships with other nurses and years of TOP service (P < 0.05).
Province and gender were significant, with significant interaction. Burnout mean
scores were average at 33 (SD = 4.0), with belief in helping women to make
informed choices a marginally significant predictor of burnout. The overall STS
mean score was average with a mean of 23 (SD = 6.8). Significant predictors of
STS scores were finding work stimulating, belief in women's rights, belief in
allowing informed choices, age and gender. Health policy makers and managers
should endeavor to provide employee assistance programs that enhance compassion
satisfaction and reduce burnout and STS among TOP providers.
PMID- 29797380
TI - Ultrathin Amorphous Iron-Nickel Boride Nanosheets for Highly Efficient
Electrocatalytic Oxygen Production.
AB - A cost-effective and efficient electrocatalyst for the oxygen evolution reaction
during the electrolysis of water is highly desired. In an effort to develop an
economical material for replacing precious-metal-based catalysts, a novel and
self-standing amorphous ultrathin nanosheet (NS) of bimetallic iron-nickel boride
(Fe-Ni-B NSs) on Ni foam is presented, which displays a better oxygen-evolving
activity compared to the precious-metal catalyst RuO2 . In 1.0 m KOH electrolyte
solution, it requires an overpotential of only 237 mV to reach a current density
of 10 mA cm-2 with a small Tafel slope of 38 mV dec-1 and shows prominent long
term electrochemical stability. A synergistic effect between highly abundant
catalytically active sites on the 3D porous substrate improved the electron
transport arising from the presence of highly negative boron, and the high
conductivity of the substrate results in an outstanding electrocatalytic
activity. The advanced catalytic activity, facile electrode fabrication, and low
costs make it a potential oxygen-evolving material, which may be extended to
other energy-conversion and storage technologies.
PMID- 29797379
TI - A high-resolution anorectal manometry parameter based on integrated pressurized
volume: A study based on 204 male patients with constipation and 26 controls.
AB - BACKGROUND: Conventional anorectal manometric parameters based on linear waves
cannot properly predict balloon expulsion (BE) time. We aimed to determine the
correlation between integrated pressurized volume (IPV) parameters during
simulated evacuation (SE) and BE time in healthy individuals and constipated
patients and to assess the correlation between each parameter and symptoms.
METHODS: A total of 230 male participants (including 26 healthy volunteers and
204 chronically constipated patients) underwent high-resolution anorectal
manometry (HRAM) and BE tests. The IPV was calculated by multiplying the
amplitude, distance, and time from the HRAM profile. Receiver operating
characteristic curve (ROC) analysis and partial least square regression (PLSR)
were performed. KEY RESULTS: ROC analysis indicated that the IPV ratio between
the upper 1 cm and lower 4 cm of the anal canal was more effective for predicting
BE time (area under the curve [AUC]: 0.74, 95% confidence interval [CI]: 0.67
0.80, P < .01) than the conventional anorectal parameters, including defecation
index and rectoanal gradient (AUC: 0.60, 95% CI: 0.52-0.67, P = .01). PLSR
analysis of a linear combination of IPV parameters yielded an AUC of 0.79.
Moreover, the IPV ratio showed a greater clinical correlation with patient
symptoms than conventional parameters. CONCLUSIONS AND INFERENCES: The IPV
parameters and the combination of IPV parameters via PLSR were more significantly
correlated with BE time than the conventional parameters. Thus, this study
presents a useful diagnostic tool for the evaluation of pathophysiologic
abnormalities in dyssynergic defecation using IPV and BE time.
PMID- 29797381
TI - Characteristics of drugs safety signals that predict safety related product
information update.
AB - PURPOSE: Investigation of drug safety signals is one of the major tasks in
pharmacovigilance. Among many potential signals identified, only a few reflect
adverse drug reactions requiring regulatory actions, such as product information
(PI) update. Limited information is available regarding the signal
characteristics that might predict PI update following signal evaluation. The
objective of this study was to identify signal characteristics associated with PI
updates following signal evaluation by the European Medicines Agency
Pharmacovigilance Risk Assessment Committee during 2012 to 2016. METHODS: A
comparative study was performed based on data from 172 safety signals.
Characteristics of signals were extracted from the European Pharmacovigilance
Issues Tracking Tool database. Multivariable logistic regression analysis was
used to assess the relationship between signal characteristics and the decision
to update the PI. RESULTS: Multivariable logistic regression analysis showed that
the presence of evidence in multiple types of data sources (adjusted odds ratio
[OR] 7.8 95% CI [1.5, 40.1]); mechanistic plausibility of the drug-event
association (adjusted OR 3.9 95% CI [1.9, 8.0]); seriousness of the event
(adjusted OR 4.2 95% CI [1.3, 13.9]); and age of drugs <=5 years (adjusted OR 3.9
95% CI [1.2, 12.7]) were associated with the decision to change the PI (P <
0.05). CONCLUSIONS: This study identified 4 characteristics of drug safety
signals that have shown to be associated with PI changes as outcome of signal
evaluation. These characteristics may be used as criteria for selection and
prioritization of potential signals that are more likely to necessitate product
information updates.
PMID- 29797382
TI - Systemic cytokines are elevated in a subset of patients with irritable bowel
syndrome but largely unrelated to symptom characteristics.
AB - BACKGROUND: Serum levels of pro-inflammatory cytokines tend to be increased in
irritable bowel syndrome (IBS) patients, or subgroups thereof. Still, the link
between cytokine levels and IBS symptoms is unclear. We aim to determine systemic
cytokine levels in IBS patients and healthy subjects (HS), confirm the presence
of a subset of patients with an increased immune activity and to establish if
cytokines are linked to IBS symptoms and pathophysiological factors. METHODS:
Serum levels of interleukin (IL)-1beta, IL-6, IL-8, tumor necrosis factor (TNF),
and IL-10 were measured. All subjects reported IBS symptoms using validated
questionnaires and underwent colonic sensorimotor testing. Multivariate
supervised orthogonal partial least squares-discriminant analysis (OPLS-DA) and
unsupervised principal component analysis (PCA) and hierarchical cluster analysis
(HCA) were implemented. KEY RESULTS: Irritable bowel syndrome patients (n = 246)
had higher serum levels of IL-1beta, IL-6, IL-8, TNF, and IL-10 compared to HS (n
= 21); however, serum cytokine profiles could not discriminate patients from HS.
Moreover, cytokine levels were not correlated with symptoms among patients.
Supervised OPLS-DA identified 104 patients (40% of patients) and unsupervised HCA
analysis identified 49 patients (20%) with an increased immune activity indicated
by elevated levels of serum cytokines compared to HS and the other patients.
However, irrespective of how patients with increased immune activity were
identified they were symptomatically similar to patients with no indication of
increased immune activity. CONCLUSIONS & INFERENCES: Serum cytokines are elevated
in IBS patients compared to HS. Immune activation characterizes a subset of
patients, but modest associations between cytokine profile and symptoms suggest
immune activity does not directly influence symptoms in IBS.
PMID- 29797377
TI - Vagus nerve stimulation promotes gastric emptying by increasing pyloric opening
measured with magnetic resonance imaging.
AB - BACKGROUND: Vagus nerve stimulation (VNS) is an emerging electroceutical therapy
for remedying gastric disorders that are poorly managed by pharmacological
treatments and/or dietary changes. Such therapy seems promising as the vagovagal
neurocircuitry modulates the enteric nervous system to influence gastric
functions. METHODS: Here, the modulatory effects of left cervical VNS on gastric
emptying in rats were quantified using a (i) feeding protocol in which the animal
voluntarily consumed a postfast, gadolinium-labeled meal and (ii) a non-invasive
imaging method to measure antral motility, pyloric activity and gastric emptying
based on contrast-enhanced magnetic resonance imaging (MRI) and computer-assisted
image processing pipelines. KEY RESULTS: Vagus nerve stimulation significantly
accelerated gastric emptying (sham vs VNS: 29.1% +/- 1.5% vs 40.7% +/- 3.9% of
meal emptied per 4 hours), caused a greater relaxation of the pyloric sphincter
(sham vs VNS: 1.5 +/- 0.1 vs 2.6 +/- 0.4 mm2 cross-sectional area of lumen), and
increased antral contraction amplitude (sham vs VNS: 23.3% +/- 3.0% vs 32.5% +/-
3.0% occlusion), peristaltic velocity (sham vs VNS: 0.50 +/- 0.02 vs 0.67 +/-
0.03 mm s-1 ), but not its contraction frequency (sham vs VNS: 6.1 +/- 0.2 vs 6.4
+/- 0.2 contractions per minute, P = .22). The degree to which VNS relaxed the
pylorus was positively correlated with gastric emptying rate (r = .5887, P <
.001). CONCLUSIONS & INFERENCES: The MRI protocol employed in this study is
expected to enable advanced preclinical studies to understand stomach
pathophysiology and its therapeutics. Results from this study suggest an
electroceutical treatment approach for gastric emptying disorders using cervical
VNS to control the degree of pyloric sphincter relaxation.
PMID- 29797383
TI - Evaluating the efficiency of the Dental Teacher system as a digital preclinical
teaching tool.
AB - AIM: To investigate the use of a preparation evaluation system for enhancing the
learning and performance of undergraduate dental students when cutting
preparations. MATERIALS AND METHODS: Two groups of eighteen students each were
randomly chosen from the fourth year of the dental programme. The task chosen for
this study was to make a cavity in preparation for a mesio-occlusal ceramic onlay
in a plastic tooth. The dimensions of the cavity were defined, and 2 burs of
known size were used for preparation. For assessment, each tooth preparation was
scanned with a digital scanner and analysed using the Dental Teacher software. In
the control group, a second corrective preparation was made following the
supervisor's instructions. In the test group, the second preparation was made
based on Dental Teacher analysis. The final cavities were all scanned and
assessed by Dental Teacher comparing the similarity of students' onlay cavity
preparations to the ideal preparation. All data were recorded and analysed by the
software, including cavity depth and width in the occlusal and proximal box, the
extent of mesiobuccal cusp reduction and shoulder width around the mesiobuccal
cusp. Finally, the data were statistically evaluated using a Wilcoxon matched
pairs test and a Mann-Whitney U test. RESULTS: Three of the 6 cavity dimension
parameters improved significantly in the test group whilst no improvement was
found in the control group. A positive correlation was found between the
improvement and the deviation measured for the first preparations, and it was
stronger in the test group than in the control group. CONCLUSIONS: The use of
Dental Teacher helped students to learn the preparation technique for onlay
restorations more efficiently and seems to be a promising and useful method to
facilitate their individual performance. Student feedback showed a great demand
for digital aids in education.
PMID- 29797384
TI - Identifying and integrating patient and caregiver perspectives in clinical
practice guidelines for percutaneous renal biopsy.
AB - AIMS: Percutaneous renal biopsy is often essential for providing reliable
diagnostic and prognostic information for people with suspected kidney disease,
however the procedure can lead to complications and concerns among patients. This
study aims to identify and integrate patient priorities and perspectives into the
Kidney Health Australia - Caring for Australasians with Renal Impairment clinical
practice guidelines for renal biopsy, to ensure patient-relevance. METHODS: We
convened a workshop, consisting of three simultaneous focus groups and a plenary
session, with ten patients who had undergone a renal biopsy and seven caregivers.
Topics and outcomes prioritised by patients and their caregivers were compared to
those identified by the guideline working group, which was comprised of seven
nephrologists. Transcripts and flipcharts were analysed thematically to identify
the reasons for participants' choices. RESULTS: In total, 34 topics/outcomes were
identified, 14 of which were common to the list of 28 previously identified by
the guideline working group. Most of the new topics identified by
patients/caregivers were related to communication and education, psychosocial
support, and self-management. We identified five themes underpinning the reasons
for topic and outcome selection: alleviating anxiety and unnecessary distress,
minimising discomfort and disruption, supporting family and caregivers, enabling
self-management, and protecting their kidney. A new topic on patient care and
education was added to the guideline as a result. CONCLUSIONS: Patient and
caregiver involvement in developing guidelines on renal biopsy ensured that their
concerns and needs for education, psychosocial support, and self-management were
explicitly addressed; enabling a patient-centred approach to renal biopsies. This
article is protected by copyright. All rights reserved.
PMID- 29797385
TI - Genome Mining and Comparative Biosynthesis of Meroterpenoids from Two
Phylogenetically Distinct Fungi.
AB - Two homologous meroterpenoid gene clusters consisting of contiguous genes
encoding polyketide synthase (PKS), prenyltransferase (PT), terpenoid cyclase
(TC) and other tailoring enzymes were identified from two phylogenetically
distinct fungi through computational analysis. Media optimization guided by
reverse-transcription PCR (RT-PCR) enabled two strains to produce eight new and
two known meroterpenoids (1-10). Using gene inactivation, heterologous
expression, and biochemical analyses, we revealed a new polyketide-terpenoid
assembly line that utilizes a pair of PKSs to synthesize 2,4-dihydroxy-6
alkylbenzoic acid, followed by oxidative decarboxylation, farnesyl transfer, and
terpene cyclization to construct the meroterpenoid scaffold. In addition, two of
the isolated meroterpenoids (3 and 17 d) showed immunosuppressive bioactivity.
Our work reveals a new strategy for meroterpenoid natural products discovery, and
reveals the biosynthetic pathway for compounds 1-10.
PMID- 29797386
TI - Post-Translational Backbone Engineering through Selenomethionine-Mediated
Incorporation of Freidinger Lactams.
AB - Amino-gamma-lactam (Agl) bridged dipeptides, commonly known as Freidinger
lactams, have been shown to constrain peptide backbone topology and stabilize
type II' beta-turns. The utility of these links as peptide constraints has
inspired new approaches to their incorporation into complex peptides and
peptoids, all of which require harsh reaction conditions or protecting groups
that limit their use on unprotected peptides and proteins. Herein, we employ a
mild and selective alkylation of selenomethionine in acidic aqueous solution,
followed by immobilization of the alkylated peptide on to bulk reverse-phase C18
silica and base-induced lactamization in DMSO. The utilization of
selenomethionine, which is readily introduced by synthesis or expression, and the
mild conditions enable selective backbone engineering in complex peptide and
protein systems.
PMID- 29797388
TI - Efficient Fabrication of Stable Graphene-Molecule-Graphene Single-Molecule
Junctions at Room Temperature.
AB - We present a robust approach to fabricate stable single-molecule junctions at
room temperature using single-layer graphene as nanoelectrodes. Molecular scale
nano-gaps in graphene were generated using an optimized fast-speed feedback
controlled electroburning process. This process shortened the time for creating a
single nano-gap to be less than one minute while keeping a yield higher than 97
%. To precisely control the gap position and minimize the effects of edge defects
and the quantum confinement, extra-narrow grooves were pre-patterned in the
graphene structures with oxygen plasma etching. Molecular junctions were formed
by bridging the nano-gaps with amino-functionalized hexaphenyl molecules by
taking advantage of chemical reactions between the amino groups at the two ends
of the molecules and the carboxyl groups at the edges of graphene electrodes.
Electronic transport measurements and transition voltage spectroscopy analysis
verified the formation of single-molecule devices. First-principles quantum
transport calculations show that the highest occupied molecular orbital of
hexaphenyl is closer to the Fermi level of the graphene electrodes and thus the
devices exhibit a hole-type transport characteristics. Some of these molecular
devices remained stable up to four weeks, highlighting the potential of graphene
nano-electrodes in the fabrication of stable single-molecule devices at room
temperature.
PMID- 29797387
TI - Eyelid sebaceous carcinoma in situ presenting as haemorrhagic cyst.
PMID- 29797390
TI - GbSOBIR1 confers Verticillium wilt resistance by phosphorylating the
transcriptional factor GbbHLH171 in Gossypium barbadense.
AB - Receptor-like kinases (RLKs) are important components of plant innate immunity.
Although recent studies have revealed that the RLK suppressor of BIR1-1 (SOBIR1)
can interact with multiple receptor-like proteins and is required for resistance
against fungal pathogens, how the signal is transduced and triggers immune
responses remains enigmatic. In this study, we identified a defence-related RLK
from Gossypium barbadense (designated GbSOBIR1) and investigated its functional
mechanism. Expression of the GbSOBIR1 gene is ubiquitous in cotton plants and is
induced by Verticillium dahliae inoculation. Knock-down of GbSOBIR1 by virus
induced gene silencing resulted in attenuated resistance of cotton plants to V.
dahliae, while heterologous overexpression of GbSOBIR1 in Arabidopsis improves
resistance. We also found that the kinase region of GbSOBIR1 interacts with a
basic helix-loop-helix (bHLH) transcription factor identified as GbbHLH171 in a
yeast-two-hybrid screen. GbbHLH171 could interact with and be phosphorylated by
GbSOBIR1 in vitro and in vivo and contributes positively to the resistance of
cotton against V. dahliae. Furthermore, we found that this phosphorylation is
essential to the transcriptional activity and functional role of GbbHLH171. We
also show by spectrometric analysis and site-directed mutagenesis that Ser413 is
the GbSOBIR1-mediated phosphorylation site of GbbHLH171. These results
demonstrate that GbSOBIR1 interacts with GbbHLH171 and plays a critical role in
cotton resistance to V. dahliae.
PMID- 29797389
TI - The management of type 2 diabetes with fixed-ratio combination insulin
degludec/liraglutide (IDegLira) versus basal-bolus therapy (insulin glargine U100
plus insulin aspart): A short-term cost-effectiveness analysis in the UK setting.
AB - AIM: To evaluate the cost-effectiveness of IDegLira versus basal-bolus therapy
(BBT) with insulin glargine U100 plus up to 4 times daily insulin aspart for the
management of type 2 diabetes in the UK. METHODS: A Microsoft Excel model was
used to evaluate the cost-utility of IDegLira versus BBT over a 1-year time
horizon. Clinical input data were taken from the treat-to-target DUAL VII trial,
conducted in patients unable to achieve adequate glycaemic control (HbA1c <7.0%)
with basal insulin, with IDegLira associated with lower rates of hypoglycaemia
and reduced body mass index (BMI) in comparison with BBT, with similar HbA1c
reductions. Costs (expressed in GBP) and event-related disutilities were taken
from published sources. Extensive sensitivity analyses were performed. RESULTS:
IDegLira was associated with an improvement of 0.05 quality-adjusted life years
(QALYs) versus BBT, due to reductions in non-severe hypoglycaemic episodes and
BMI with IDegLira. Costs were higher with IDegLira by GBP 303 per patient,
leading to an incremental cost-effectiveness ratio (ICER) of GBP 5924 per QALY
gained for IDegLira versus BBT. ICERs remained below GBP 20 000 per QALY gained
across a range of sensitivity analyses. CONCLUSIONS: IDegLira is a cost-effective
alternative to BBT with insulin glargine U100 plus insulin aspart, providing
equivalent glycaemic control with a simpler treatment regimen for patients with
type 2 diabetes inadequately controlled on basal insulin in the UK.
PMID- 29797392
TI - Comment on Pacheco-Gonzalez et al.
PMID- 29797391
TI - Laparoscopic-assisted techniques in overcoming bulky sigmoid colon cancer - a
video vignette.
PMID- 29797393
TI - Leucocyte telomere shortening is associated with nonalcoholic fatty liver disease
related advanced fibrosis.
AB - BACKGROUND & AIM: Telomere length and telomerase have been linked with cirrhosis
and hepatocellular carcinoma. However, the impact of telomere length on
nonalcoholic fatty liver disease and advanced fibrosis in a large national
population sample is not well understood. METHODS: Cross-sectional data from the
National Health and Nutrition Examination Survey 1999-2002 were utilized.
Suspected nonalcoholic fatty liver disease was diagnosed if serum alanine
aminotransferase was >30 IU/L for men and >19 IU/L for women in the absence of
other causes of chronic liver disease. Presence of advanced fibrosis was
determined by the nonalcoholic fatty liver disease fibrosis score, aspartate
aminotransferase to platelet ratio index and FIB-4 score. RESULTS: Of the 6738
participants (mean age 46.3 years, 48.4% male), suspected nonalcoholic fatty
liver disease prevalence was inversely associated with leucocyte telomere length
in young adults aged 20-39 years, though this was not seen in the overall
population. Percentage of participants with advanced fibrosis increased
corresponding with leucocyte telomere length (longest to shortest). The shortest
quartile of leucocyte telomere length was associated with a significantly higher
odds ratio (95% confidence interval) of advanced fibrosis of 2.36 (1.32-4.24) in
a univariate model compared to the longest quartile, and 2.01 (1.13-3.58) in a
multivariate model adjusted for age, gender, ethnicity, waist circumference,
smoking, diabetes, hypertension, total cholesterol and high-density lipoprotein
cholesterol (P for trend <.05 respectively). CONCLUSIONS: In this large
nationally representative sample of American adults, leucocyte telomere
shortening was associated with increased risk of advanced fibrosis in the setting
of suspected nonalcoholic fatty liver disease independent of other known risk
factors.
PMID- 29797395
TI - The diversity of neutrophil inclusion bodies in fulminant sepsis.
PMID- 29797394
TI - Blood pressures immediately following ischemic strokes are associated with
cerebral perfusion and neurologic function.
AB - The optimal range of blood pressure levels in the early phase of ischemic stroke
with hypertension is still controversial. Based on our stroke registry database,
we explored the relationship between blood pressure levels and cerebral perfusion
in the early phase of ischemic stroke with hypertension and neurofunctional
recovery at 3 months after stroke. Total 732 stroke patients with hypertension
were finally analyzed. Patients were divided into quintiles according to systolic
blood pressure (SBP) and diastolic blood pressure (DBP) to perform multivariable
logistic regression to analyze their relation with neurofunctional recovery,
respectively. The cerebral perfusion levels displayed a reverse "U" shape curve
with the change of blood pressure levels. Sufficient estimated cerebral blood
flow (ECBF) in the early phase of ischemic stroke was associated with good
neurofunctional recovery at 3 months after stroke. The best neurofunctional
recovery was observed in the middle quintiles with SBP at 161 to 177 mm Hg and
DBP at 103 to 114 mm Hg, respectively. So maintaining appropriate blood pressure
levels in the early phase of ischemic stroke might be beneficial to cerebral
perfusion and neurofunctional recovery.
PMID- 29797396
TI - Technical note: A 3D-printed phantom for routine accuracy check of Gamma Knife
Icon HDMM system.
AB - PURPOSE: To report a novel 3D-printed device ("SH phantom") that is designed for
routine accuracy check of the Gamma Knife Icon High Definition Motion Management
(HDMM) system. METHODS: SH phantom was designed using tinkerCAD software and
printed on a commercial 3D printer. We evaluated the SH phantom on our Gamma
Knife Icon unit regarding its usability and accuracy for routine HDMM QA.
RESULTS: Single-axis and multiple-axis measurements validated the SH phantom
design and implementation. An HDMM QA accuracy of 0.22 mm or better along single
axis was found using SH phantom. CONCLUSIONS: The SH phantom proved to be a quick
and simple tool to use to perform the HDMM system QA. The SH phantom was tested
successfully and adopted by us as part of monthly QA for the Gamma Knife Icon.
PMID- 29797397
TI - Projecting the current and future potential global distribution of Hyphantria
cunea (Lepidoptera: Arctiidae) using CLIMEX.
AB - BACKGROUND: The international invasive and quarantined defoliating insect
Hyphantria cunea Drury (Lepidoptera: Arctiidae) causes huge ecological and
economic losses in the world. Furthermore, future climate change may alter the
distribution of H. cunea and aggravate the damage. In the present study, we used
CLIMEX to project the potential global distribution of H. cunea according to both
historical climate data (1961-1990) and future climate warming estimates (2011
2100) to define the impact of climate change. RESULTS: Under the historical
climate scenario, we found that H. cunea can survive on every continent, and
temperature is the main factor that limits its establishment. With climate
change, suitability will increase in middle and high latitude regions, while
decrease in the low latitude regions. Moreover, tropic regions will be the most
sensitive to climate change impacts for the pest to survive. The impacts of
climate change will also increase over time, whether they be positive impacts or
negative impacts. CONCLUSION: The projected potential distributions provide a
theoretical basis for quarantine and control strategies for the management of
this pest in each country. Furthermore, these results provide substantial
guidance for studies of the effects of climate change on other major forest
pests. (c) 2018 Society of Chemical Industry.
PMID- 29797399
TI - Repressed Beauveria bassiana infections in Delia antiqua due to associated
microbiota.
AB - BACKGROUND: Insects form both mutualistic and antagonistic relationships with
microbes, and some antagonistic microbes have been used as biocontrol agents
(BCAs) in pest management. Contextually, BCAs may be inhibited by beneficial
insect symbionts, which can become potential barriers to entomopathogen-dependent
pest biocontrol. Using the symbioses formed by one devastating dipteran pest,
Delia antiqua, and its associated microbes as a model system, we sought to
determine whether the antagonistic interaction between BCAs and microbial
symbionts could affect the outcome of entomopathogen-dependent pest biocontrol.
RESULTS: The result showed that in contrast to non-axenic D. antiqua larvae,
i.e., onion maggots, axenic larvae lost resistance to the entomopathogenic
Beauveria bassiana, and the re-inoculation of microbiota increased the resistance
of axenic larvae to B. bassiana. Furthermore, bacteria frequently isolated from
larvae, including Citrobacter freundii, Enterobacter ludwigii, Pseudomonas
protegens, Serratia plymuthica, Sphingobacterium faecium and Stenotrophomonas
maltophilia, suppressed B. bassiana conidia germination and hyphal growth, and
the re-inoculation of specific individual bacteria enhanced the resistance of
axenic larvae to B. bassiana. CONCLUSION: Bacteria associated with larvae,
including C. freundii, E. ludwigii, P. protegens, S. plymuthica, S. faecium and
S. maltophilia, can inhibit B. bassiana infection. Removing the microbiota can
suppress larval resistance to fungal infection. (c) 2018 Society of Chemical
Industry.
PMID- 29797400
TI - Comments on a new classification, treatment algorithm and prognosis-estimating
system for ileosigmoid knotting: the role of endoscopic treatment.
PMID- 29797398
TI - Apolipoprotein E region molecular signatures of Alzheimer's disease.
AB - Although the APOE region is the strongest genetic risk factor for Alzheimer's
diseases (ADs), its pathogenic role remains poorly understood. Elucidating
genetic predisposition to ADs, a subset of age-related diseases characteristic
for postreproductive period, is hampered by the undefined role of evolution in
establishing molecular mechanisms of such diseases. This uncertainty is
inevitable source of natural-selection-free genetic heterogeneity in
predisposition to ADs. We performed first large-scale analysis of linkage
disequilibrium (LD) structures characterized by 30 polymorphisms from five genes
in the APOE 19q13.3 region (BCAM, NECTIN2, TOMM40, APOE, and APOC1) in 2,673 AD
affected and 16,246 unaffected individuals from five cohorts. Consistent with the
undefined role of evolution in age-related diseases, we found that these
structures, being highly heterogeneous, are significantly different in subjects
with and without ADs. The pattern of the difference represents molecular
signature of AD comprised of single nucleotide polymorphisms (SNPs) from all five
genes in the APOE region. Significant differences in LD in subjects with and
without ADs indicate SNPs from different genes likely involved in AD
pathogenesis. Significant and highly heterogeneous molecular signatures of ADs
provide unprecedented insight into complex polygenetic predisposition to ADs in
the APOE region. These findings are more consistent with a complex haplotype than
with a single genetic variant origin of ADs in this region.
PMID- 29797401
TI - Cannibalism by erythroleukaemic blasts.
PMID- 29797402
TI - Cost-effectiveness of using kidneys from hepatitis C nucleic acid test-positive
donors for transplantation in hepatitis C-negative recipients.
AB - Kidneys from deceased donors who are hepatitis C virus (HCV) nucleic acid test
positive are infrequently used for transplantation in HCV-negative patients due
to concerns about disease transmission. With the development of direct-acting
antivirals (DAAs) for HCV, there is now potential to use these kidneys in HCV
negative candidates. However, the high cost of DAAs poses a challenge to adoption
of this strategy. We created a Markov model to examine the cost-effectiveness of
using deceased donors infected with HCV for kidney transplantation in uninfected
waitlist candidates. In the primary analysis, this strategy was cost saving and
improved health outcomes compared to remaining on the waitlist for an additional
2 or more years to receive a HCV-negative transplant. The strategy was also cost
effective with an incremental cost-effectiveness ratio of $56 018 per quality
adjusted life year (QALY) from the payer perspective, and $4647 per QALY from the
societal perspective, compared to remaining on the waitlist for 1 additional
year. The results were consistent in 1-way and probabilistic sensitivity
analyses. We conclude that the use of kidneys from deceased donors with HCV
infection is likely to lead to improved clinical outcomes at reduced cost for HCV
negative transplant candidates.
PMID- 29797403
TI - Serine/threonine protein phosphatase 5 is a potential therapeutic target in
cholangiocarcinoma.
AB - BACKGROUND & AIMS: Few molecules are currently verified to be actionable drug
targets in cholangiocarcinoma (CCA). Serine/threonine protein phosphatase 5 (PP5)
dysregulation is related to several malignancies. However, the role of PP5 in CCA
is poorly defined. METHODS: Colony and tumorsphere formation assays were
conducted to establish the role of PP5 in CCA tumorigenesis. Cantharidin (CTD)
and norcantharidin (NCTD), both potent PP5 inhibitors, were used in in vitro and
in vivo experiments to validate the potential therapeutic role of PP5. RESULTS:
Increased cell growth, colony formation and tumorsphere formation were observed
in PP5-overexpressing CCA cells, whereas PP5 knockdown by shRNA decreased cell
growth and colony formation. Tumours from HuCCT1 xenograft-bearing mice treated
with PP5-shRNA showed decreased growth and increased AMP-activated protein kinase
(AMPK) phosphorylation. Furthermore, CTD treatment decreased cell viability,
reduced PP5 activity and enhanced AMPK phosphorylation in CCA cell lines.
Overexpressing PP5 or enhancing PP5 activity suppressed AMPK phosphorylation and
decreased CTD-induced cell death. Suppressing p-AMPK with siRNA or inhibitors
also decreased CTD-induced cell death, suggesting a pivotal role for PP5-AMPK
cascades in CCA. Immunoprecipitation revealed that PP5 interacted with AMPK.
Importantly, treatment of HuCCT1 xenograft-bearing mice with NCTD, a CTD analogue
with a lower systemic toxicity in vivo, suppressed PP5 activity, increased p-AMPK
and reduced tumour volume. CONCLUSIONS: Protein phosphatase 5 negatively
regulates AMPK phosphorylation and contributes to CCA aggressiveness; thus, PP5
may be a potential therapeutic target in CCA.
PMID- 29797405
TI - Fusarium species and moniliformin occurrence in sorghum grains used as ingredient
for animal feed in Argentina.
AB - BACKGROUND: A survey on Fusarium species and moniliformin (MON) occurrence in
sorghum grains collected from one of the main sorghum-producing areas of
Argentina was conducted. Also, growth of F. thapsinum, one of the main sorghum
pathogens, and MON production under different water activity (aw ) conditions on
a sorghum-based medium were determined. RESULTS: Infection of sorghum grains by
Fusarium species ranged from 82.5 to 99%; closely related species F.
verticillioides, F. thapsinum and F. andiyazi were the most frequently recovered,
followed by F. proliferatum and F. subglutinans. By sequencing a portion of the
translation elongation factor-1alpha (TEF-1alpha) gene and by maximum parsimony
analysis, F. verticillioides and closely related species were identified as F.
thapsinum, F. andiyazi and F. verticillioides. Species within the F. graminearum
species complex (FGSC) were isolated in high frequency. Maximum growth rates of
12 F. thapsinum strains were obtained at 0.995 aw . All evaluated strains were
able to produce MON at all aw values tested, but MON production was higher at
0.995-0.982 aw . MON was detected in 41% of the samples at levels ranging from
363.2 to 914.2 ug kg-1 . CONCLUSION: This study provides new data on the
occurrence of Fusarium species in sorghum grains destined for animal consumption
in Argentina. The production of MON at different aw values showed that the toxin
can be produced under field conditions. The risk to livestock exposed to daily
low levels of MON associated with the toxin occurrence in the sorghum grains
analyzed is unknown. (c) 2018 Society of Chemical Industry.
PMID- 29797406
TI - Meningococcal epiglottitis in a healthy adult patient: The first documented case
in Australia.
PMID- 29797404
TI - Stillbirth rates in 20 countries of Latin America: an ecological study.
AB - OBJECTIVE: To describe country-level stillbirth rates and their change over time
in Latin America, and to measure the association of stillbirth rates with socio
economic and health coverage indicators in the region. DESIGN: Ecological study.
SETTING: 20 countries of Latin America. POPULATION OR SAMPLE: Aggregated data
from pregnant women with countries as units of analysis. METHODS: We used
stillbirth estimates, and socio-economic and healthcare coverage indicators
reported from 2006 to 2016 from UNICEF, United Nations Development Programme and
World Bank datasets. We calculated Spearman's correlation coefficients between
stillbirths rates and socioeconomic and health coverage indicators. MAIN OUTCOME
MEASURES: National estimates of stillbirth rates in each country. RESULTS: The
estimated stillbirth rate for Latin America for 2015 was 8.1 per 1000 births
(range 3.1-24.9). Seven Latin America countries had rates higher than 10
stillbirths per 1000 births. The average annual reduction rate for the region was
2% (range 0.1-3.8%), with the majority of Latin America countries ranging between
1.5 and 2.5%. National stillbirth rates were correlated to: women's schooling (rS
= -0.7910), gross domestic product per capita (rS = -0.8226), fertility rate (rS
= 0.6055), urban population (rS = -0.6316), and deliveries at health facilities
(rS = -0.6454). CONCLUSIONS: Country-level estimated stillbirth rates in Latin
America varied widely in 2015. The trend and magnitude of reduction in stillbirth
rates between 2000 and 2015 was similar to the world average. Socio-economic and
health coverage indicators were correlated to stillbirth rates in Latin America.
TWEETABLE ABSTRACT: Stillbirth rates decreased in Latin America but remain
relatively high, with wide variations among countries.
PMID- 29797407
TI - Visibility of bony structures around hip prostheses in dual-energy CT: With or
without metal artefact reduction software.
AB - INTRODUCTION: The development of dual-energy CT and metal artefact reduction
software provides a further chance of reducing metal-related artefacts. However,
there have been only a few studies regarding whether MARs practically affect
visibility of structures around a metallic hip prosthesis on post-operative CT
evaluation. METHODS: Twenty-seven patients with 42 metallic hip prostheses
underwent DECT. The datasets were reconstructed with 70, 90 and 110 keV with and
without MARs. The areas were classified into 10 zones according to the reference
zone. All the images were reviewed in terms of the severity of the beam-hardening
artefacts, differentiation of the bony cortex and trabeculae and visualization of
trabecular patterns with a three-point scale. The metallic screw diameter was
measured in the acetabulum with 110 keV images. RESULTS: The scores were the
worst on 70 keV images without MARs [mean scores:1.84-4.22 (p < 0.001-1.000)].
The structures in zone II were best visualized on 110 keV (p < 0.001-0.011, mean
scores: 2.86-5.22). In other zones, there is general similarity in mean scores
whether applying MARs or not (p < 0.001-0.920). The mean diameter of the screw
was 5.85 mm without MARs and 3.44 mm with MARs (mean reference diameter: 6.48
mm). CONCLUSION: The 110 keV images without MARs are best for evaluating
acetabular zone II. The visibility of the bony structures around the hip
prosthesis is similar in the other zones with or without MARs regardless of keV.
MARS may not be needed for the evaluation of the metallic hip prosthesis itself
at sufficient high-energy levels; however, MARS still has a role in the
evaluation of other soft tissues around the prosthesis.
PMID- 29797408
TI - Interaction between quinoline yellow and human serum albumin: spectroscopic,
chemometric and molecular docking studies.
AB - BACKGROUND: Quinoline yellow (QY), a synthetic colourant widely used in the food
industry, has caused extensive concerns because of its potentially harmful
effects on human health. In the present work, the interactions between QY and
human serum albumin (HSA) were characterized by multiple spectroscopic methods, a
chemometric algorithm, and molecular modelling studies. RESULTS: The
concentration profiles and pure spectra obtained for the components (QY, HSA and
QY-HSA complex) from analyses of the expanded UV-visible absorption data matrices
by multivariate curve resolution alternating least squares confirmed the QY-HSA
interaction process. QY quenched the fluorescence of HSA through formation of a
QY-HSA complex that was stabilized by moderate affinity. Hydrophobic forces and
hydrogen bonding play major roles in the binding of QY to HSA. Site-specific
marker-induced displacement results suggest that QY binds to subdomain IIA of
HSA. This was corroborated by the molecular docking results. Decreases in HSA
surface hydrophobicity and free sulfhydryl group content indicate that QY causes
a contraction of the peptide strand in HSA, hiding the hydrophobic patches of the
protein. Analyses by UV-visible absorption, circular dichroism, and three
dimensional fluorescence spectroscopy found that QY causes microenvironmental
perturbations around the fluorophores and secondary structure changes in HSA.
CONCLUSION: This work shows that QY binds to HSA, affecting its structural and
functional properties, and provides new insights into the binding mechanism and a
comprehensive understanding of the toxicity of QY to biological processes. (c)
2018 Society of Chemical Industry.
PMID- 29797409
TI - Effects of humidity on skin friction against medical textiles as related to
prevention of pressure injuries.
AB - Sustained pressure, shear forces, and friction, as well as elevated
humidity/moisture, are decisive physical factors in the development of pressure
injuries (PIs). To date, further research is needed in order to understand the
influence of humidity and moisture on the coefficient of friction (COF) of skin
against different types of medical textiles. The aim of this work was to
investigate the effects of moisture caused by sweat, urine, or saline on the
resulting COF of skin against different textiles used in the medical setting in
the context of PI prevention. For that purpose, we performed physical
measurements of static COFs of porcine skin followed by finite element (FE)
computational modelling in order to illustrate the effect of increased COF at the
skin on the resulting strains and stresses deep within the soft tissues of the
buttocks. The COF of dry skin obtained for the 3 textiles varied between 0.59
(adult diaper) and 0.91 (polyurethane dressing). In addition, the COF increased
with the added moisture in all of the tested cases. The results of the FE
simulations further showed that increased COF results in elevated strain energy
density and shear strain values in the skin and deeper tissues and, hence, in an
increased risk for PI development. We conclude that moisture may accelerate PI
formation by increasing the COF between the skin and the medical textile,
regardless of the type of the liquid that is present. Hence, reduction of the
wetness/moisture between the skin and fabrics in patients at a high risk of
developing PIs is a key measure in PI prevention.
PMID- 29797410
TI - A combination of the on-treatment FIB-4 and alpha-foetoprotein predicts clinical
outcomes in cirrhotic patients receiving entecavir.
AB - BACKGROUND & AIMS: This study investigates the long-term incidences and
predictors of developing hepatocellular carcinoma (HCC), cirrhotic events and
mortality in cirrhotic patients receiving entecavir (ETV) therapy. METHODS: We
enrolled 481 nucleos(t)ide analogue-naive chronic hepatitis B (CHB) patients who
had compensated cirrhosis upon entry and had received ETV monotherapy for >12
months. RESULTS: The 8-year cumulative incidences of developing HCC, cirrhotic
events and liver-related mortality were 26.5%, 8.62% and 10.03% respectively.
Multivariate analysis revealed that diabetic mellitus (DM), higher fibrosis-4
(FIB-4) and alpha-foetoprotein (AFP) levels at 12 months of treatment, and FIB-4
increase from baseline to 12 months were independent factors of HCC. FIB-4 and
AFP levels at 12 months of treatment were also independent factors of cirrhotic
events and mortality. FIB-4 cut-off values of 3, 3 and 5 as well as AFP cut-offs
of 5, 5, and 9 ng/mL at 12 months of treatment were optimal for predicting HCC,
cirrhotic events and mortality during therapy respectively. The FIB-4 and AFP
levels at 12 months of treatment were assessed for the combined risk of
developing clinical outcomes. The 8-year incidences of HCC, cirrhotic events and
liver-related mortality in the subgroups with low FIB-4 and AFP levels were only
5.95%, 1.03% and 2.43% respectively. DM was an independent predictor of HCC and
mortality. CONCLUSION: The combination of FIB-4 and AFP levels at 12 months of
treatment is a useful marker for predicting the development of HCC, cirrhotic
events and mortality in compensated cirrhotic patients with CHB who are receiving
ETV therapy.
PMID- 29797411
TI - Transsphenoidal surgery: accuracy of an image-guided neuronavigation system to
approach the pituitary fossa (sella turcica).
AB - OBJECTIVE: To determine the accuracy of locating the pituitary fossa with the
Brainsight neuronavigation system by determining the mean target error of the
rostral (tuberculum sellae) and caudal (dorsum sellae) margins of the pituitary
fossa. STUDY DESIGN: Experimental cadaveric study. ANIMALS: Ten canine cadavers.
METHODS: Computed tomography (CT) and MRI were performed on each cadaver with
fiducials in place. Images were saved to the neuronavigation computer and used to
plan the drilling approach. The cadavers were placed in the surgical head clamp
of the Brainsight system and positioned for a transsphenoidal approach. On the
basis of the planning, 2 localization points were drilled, 1 each at the rostral
and caudal margins of the pituitary fossa, and CT was repeated. Error was
assessed from the difference in millimeters between the targets identified during
Brainsight planning and the actual location of the 2 points drilled on each
cadaver skull as identified by postdrilling CT. RESULTS: The rostral and caudal
margins of the pituitary fossa provided 2 target points per cadaver. The median
target error (interquartile range) for all target sites (n = 20) was 3.533 mm
(range, 2.013-4.745). CONCLUSION: This stereotactic system allowed the surgeon to
locate the rostral and caudal margins of the pituitary fossa with clinically
acceptable accuracy and confidence. CLINICAL SIGNIFICANCE: Using the Brainsight
neuronavigation system for localization during transsphenoidal hypophysectomy may
decrease morbidity and surgical time.
PMID- 29797412
TI - Egg proteins: fractionation, bioactive peptides and allergenicity.
AB - Eggs are an important source of macro and micronutrients within the diet,
comprised of proteins, lipids, vitamins, and minerals. They are constituted by a
shell, the white (containing 110 g kg-1 proteins: ovalbumin, ovotransferrin,
ovomucoid, lysozyme and ovomucin), and the yolk (containing 150-170 g kg-1
proteins: lipovitellins, phosvitin, livetins, and low-density lipoproteins).
Owing to their nutritional value and biological characteristics, both the egg
white and yolk proteins are extensively fractionated using different techniques
(e.g., liquid chromatography, ultrafiltration, electrophoresis, and chemical
precipitation), in which liquid chromatography is the most commonly used
technique to obtain individual proteins with high protein recovery and purity to
develop novel food products. However, concerns over allergenic responses induced
by certain egg proteins (e.g., ovomucoid, ovalbumin, ovotransferrin, lysozyme,
alpha-livetin, and lipoprotein YGP42) limit their widespread use. As such,
processing technologies (e.g., thermal processing, enzymatic hydrolysis, and high
pressure treatment) are investigated to reduce the allergenicity by
conformational changes. In addition, biological activities (e.g., antioxidant,
antimicrobial, antihypertensive, and anticancer activities) associated with egg
peptides have received more attention, in which enzyme hydrolysis is demonstrated
as a promising way to break polypeptides sequences and produce bioactive peptides
to provide nutritional and therapeutic benefits for human health. (c) 2018
Society of Chemical Industry.
PMID- 29797413
TI - Evaluation of microbial contamination of canine plasma eyedropper bottles
following clinical use in canine patients.
AB - OBJECTIVE: To investigate microbial contamination of canine plasma eye drops when
used clinically and to compare the effect of two different eyedropper bottles on
contamination rate. METHODS: Forty-six bottles containing plasma were randomly
dispensed for use on 42 dogs with ulcerative keratitis. Of these, 23 were
standard eyedropper bottles and 23 were Novelia(r) bottles designed to prevent
contamination. After use for up to 2 weeks, samples for bacterial culture were
obtained from a drop of plasma, the bottle tip, the plasma inside the bottle, and
the corneal surface. Fungal culture was performed from a drop of plasma. RESULTS:
The overall microbial contamination rate was 17.4% (8/46 bottles); however, only
one bottle had growth from the plasma inside the bottle. There was a lower
contamination rate of Novelia(r) bottles (3/23 = 13.0%) compared to standard
bottles (5/23 = 21.7%), but this difference was not statistically significant (P
= .57). There were also no significant differences in contamination rate of
bottles used greater than 7 days compared to less than or equal to 7 days, or in
bottles used greater than 4 times daily compared to 4 times daily or less. Three
corneal samples (6.5%) had bacterial growth, but none matched contamination from
the bottles. CONCLUSIONS: Novelia(r) bottles may decrease contamination of plasma
eye drops used clinically. However, while microbial contamination of plasma
bottles was documented, no clinically relevant complications were observed. This
study supports safe use of plasma eye drops for up to 2 weeks when refrigerated
and dispensed from either Novelia(r) or standard eyedropper bottles.
PMID- 29797415
TI - Influence of maternal obesity on Bishop Score and failed induction of labour: A
retrospective cohort study in a regional tertiary centre.
AB - BACKGROUND: Maternal obesity is a growing health concern that has previously been
associated with increased need for induction of labour (IOL) and caesarean
section (CS) rates. Currently, limited evidence explores the influence of
maternal body mass index (BMI) on Bishop Score (BS) and outcome of IOL. This
study hypothesises that maternal obesity will lead to a lower BS at presentation,
higher rates of failed IOL, and increased CS rates. AIMS: To explore the
influence of maternal obesity on BS and likelihood of failed IOL. METHODS: A
retrospective cohort analysis was conducted of all live, term, singleton,
cephalic deliveries initiated with IOL across normal BMI (18.00-24.99),
overweight BMI (25.00-29.99), and obese BMI (>30.00) at the Townsville Hospital
and Health Service (THHS) between July 2011 to June 2016. Student's t-test, chi2
test, and binary logistic regression were used for statistical analysis. BS and
failed IOL, defined as CS with cervical dilatation <3 cm, were the primary
outcome measures. Delivery mode was the secondary outcome measure. RESULTS: A
total of 1543 women were included, 678 with normal BMI, 370 with overweight BMI,
and 495 with obese BMI. Obese women are more likely to have a low BS (<5) at
presentation (unadjusted odds ratio (OR) 1.5 (1.1-2.0), P < 0.05), an increased
rate of failed IOL (adjusted OR (aOR) 1.6 (1.0-2.5), P < 0.05) and increased CS
rate (aOR 1.1 (1.0-1.9), P < 0.05), compared to normal weight women. CONCLUSIONS:
Maternal obesity is associated with a lower BS, more difficult IOL process, and
increased risk of failed IOL and CS.
PMID- 29797417
TI - Lycium barbarum Polysaccharide Supplementation Improves Alcoholic Liver Injury in
Female Mice by Inhibiting Stearoyl-CoA Desaturase 1.
AB - SCOPE: Lycium barbarum polysaccharide (LBP) is a water fraction of wolfberry,
which has been demonstrated to possess a hepatoprotective effect in several liver
disease models. However, the anti-alcoholic liver disease (anti-ALD) mechanism of
LBP has not been investigated thoroughly. Its protective effects on both male and
femal mice are investigated in the current study. METHODS AND RESULTS: A chronic
ethanol-fed ALD in vivo model is applied to study the effect of LBP in both male
and female mice. It is observed that ethanol causes more severe liver injury in
female than male mice, and the ameliorative effects of LBP are also more
significant in female mice, which are impaired after complete bilateral
oophorectomy. The hepatic SCD1 expression is found to be positively correlated
with the severity of the liver damage and the main mediator of LBP inducer of
protection. The AMPK-CPT pathway is also activated by LBP to rebalance the
dysregulated lipid metabolism during ALD development. By using concurrent sodium
palmitate and an ethanol-induced in vitro cell damage model in AML-12 cell line,
it is characterized that LBP directly interacts with ERalpha instead of ERbeta to
activate the SCD1-AMPK-CPT pathway. CONCLUSIONS: LBP is an effective and safe
hepatoprotective agent against ALD primarily through the SCD1-AMPK-CPT pathway
after ERalpha agonist.
PMID- 29797416
TI - Risk factors for pneumonitis in patients treated with anti-programmed death-1
therapy: A case-control study.
AB - Immune checkpoint blockade-related pneumonitis is a rare but potentially life
threatening adverse effect, but its risk factors are not completely understood.
This case-control study was conducted to identify pneumonitis risk factors in
patients treated with anti-PD1 monoclonal antibodies (mAbs), including all the
patients who developed pneumonitis after anti-PD-1 mAbs treatment in the Cancer
Center of the Chinese People's Liberation Army from September 2015 to September
2017. Two controls per case were matched according to a propensity-score matching
algorithm to account for confounding effects caused by individual baseline
variables. Demographic and clinical information was obtained from medical
records. In total, 55 cases and 110 controls were included in the study. No
association was observed between smoking status or primary lung cancer and risk
of pneumonitis. Significant risk factors for pneumonitis related to anti-PD-1
mAbs were prior thoracic radiotherapy, prior lung disease and combination therapy
with odds ratios of 3.34 (1.51-7.39), 2.86 (1.45-5.64) and 2.73 (1.40-5.31),
respectively. The associations remained significant in the multivariable logistic
regression model. The risk of pneumonitis induced by anti-PD-1 mAbs is associated
with prior thoracic radiotherapy, prior lung disease, and combination therapy.
Clinicians should monitor these features in patients receiving anti-PD-1 therapy
to optimize clinical safety and efficacy.
PMID- 29797414
TI - Droplet microfluidics for high-sensitivity and high-throughput detection and
screening of disease biomarkers.
AB - Biomarkers are nucleic acids, proteins, single cells, or small molecules in human
tissues or biological fluids whose reliable detection can be used to confirm or
predict disease and disease states. Sensitive detection of biomarkers is
therefore critical in a variety of applications including disease diagnostics,
therapeutics, and drug screening. Unfortunately for many diseases, low abundance
of biomarkers in human samples and low sample volumes render standard benchtop
platforms like 96-well plates ineffective for reliable detection and screening.
Discretization of bulk samples into a large number of small volumes (fL-nL) via
droplet microfluidic technology offers a promising solution for high-sensitivity
and high-throughput detection and screening of biomarkers. Several microfluidic
strategies exist for high-throughput biomarker digitization into droplets, and
these strategies have been utilized by numerous droplet platforms for nucleic
acid, protein, and single-cell detection and screening. While the potential of
droplet-based platforms has led to burgeoning interest in droplets, seamless
integration of sample preparation technologies and automation of platforms from
biological sample to answer remain critical components that can render these
platforms useful in the clinical setting in the near future. This article is
categorized under: Diagnostic Tools > Biosensing Diagnostic Tools > Diagnostic
Nanodevices Therapeutic Approaches and Drug Discovery > Emerging Technologies
Therapeutic Approaches and Drug Discovery > Nanomedicine for Infectious Disease.
PMID- 29797419
TI - New approach to predict photoallergic potentials of chemicals based on murine
local lymph node assay.
AB - Photoallergic dermatitis, caused by pharmaceuticals and other consumer products,
is a very important issue in human health. However, S10 guidelines of the
International Conference on Harmonization do not recommend the existing
prediction methods for photoallergy because of their low predictability in human
cases. We applied local lymph node assay (LLNA), a reliable, quantitative skin
sensitization prediction test, to develop a new photoallergy prediction method.
This method involves a three-step approach: (1) ultraviolet (UV) absorption
analysis; (2) determination of no observed adverse effect level for skin
phototoxicity based on LLNA; and (3) photoallergy evaluation based on LLNA.
Photoallergic potential of chemicals was evaluated by comparing lymph node cell
proliferation among groups treated with chemicals with minimal effect levels of
skin sensitization and skin phototoxicity under UV irradiation (UV+) or non-UV
irradiation (UV-). A case showing significant difference (P < .05) in lymph node
cell proliferation rates between UV- and UV+ groups was considered positive for
photoallergic reaction. After testing 13 chemicals, seven human photoallergens
tested positive and the other six, with no evidence of causing photoallergic
dermatitis or UV absorption, tested negative. Among these chemicals, both
doxycycline hydrochloride and minocycline hydrochloride were tetracycline
antibiotics with different photoallergic properties, and the new method clearly
distinguished between the photoallergic properties of these chemicals. These
findings suggested high predictability of our method; therefore, it is promising
and effective in predicting human photoallergens.
PMID- 29797418
TI - Resuscitative hysterotomy: Training for this rare life-saving intervention.
PMID- 29797421
TI - Risk factors for opioid overdose among hospitalized patients.
AB - WHAT IS KNOWN AND OBJECTIVE: Hospitalized patients are at risk for opioid
overdose. Little is known about the risk factors for these events. METHOD: Opioid
overdose cases were identified by naloxone orders in computerized order entry
system from a single institution. For each case, two controls were randomly
selected. Data were collected on factors including age, gender, weight, opioid
dose, route of administration, concomitant CNS depressants, renal function and
comorbid conditions. RESULTS AND DISCUSSION: Between 2010 and 2013, we identified
44 cases of opioid overdose (OD), none of which were fatal, and matched these to
88 controls (no OD). Patients with a history of substance use disorder were
excluded from the study. Factors associated with opioid overdose included age of
65 or older (40.9% OD vs 29.5% no OD, P = .026), being in an ICU (MICU/CICU 27.3%
OD vs. 3.4% no OD, P < .001; SICU 18.1% OD vs 5.7% no OD, P = .031) and renal
impairment (eGFR <=60, 50.0% OD vs 28.4% no OD, P = .034). Total 24-hour opioid
dose was lower in OD group, but the difference was not statistically significant
(71.9 vs 107.2 mg morphine equivalent, P = .116). OD cases were more likely to
have received concomitant CNS depressants, but the difference was statistically
significant only for those who received 3 or more (15.9% OD vs 0% no OD, P =
<.001). Heart disease was the only comorbidity significantly associated with an
increased risk of opioid overdose (43.2% vs 20.5%, P = .025). Patient's BMI,
duration of opioid use, route of administration and history of COPD and/or
psychiatry were not associated with opioid overdoses. WHAT IS NEW AND CONCLUSION:
Among hospitalized patients, risk factors of opioid overdose include age of 65 or
greater, being in an ICU, renal impairment and concomitant administration of CNS
depressant medications. These findings may help with the development and
implementation of measures to prevent overdose.
PMID- 29797420
TI - Prospective associations of breastfeeding and smoking cessation among low-income
pregnant women.
AB - Although low-income pregnant women have high rates of smoking and low rates of
breastfeeding, few studies have examined prospective associations between these
risk factors in community samples. Doing so may help improve breast-feeding
support programs in this population. We used a secondary analysis of 247 low
income pregnant smokers in Memphis, Tennessee, who were interviewed up to 4 times
(twice during pregnancy and twice through 6 months postpartum). Smoking cessation
during prepartum and postpartum was defined as a self-report of not smoking for
>=1 week and an expired carbon monoxide level of <10 ppm. Multivariable logistic
regression analyses were used to determine whether intent to breastfeed was
associated with smoking cessation and whether smoking cessation was associated
with actual breastfeeding. Models were adjusted for sociodemographic, pregnancy
related, and smoking-related confounders. Thirty-nine percent of participants
intended to breastfeed, and 38% did so. Women who intended to breastfeed were 2
times more likely to quit smoking prepartum (adjusted OR = 1.99, 95% CI [1.06,
3.74]), but not postpartum (adjusted OR = 1.27, 95% CI [0.57, 2.84]). Quitting
smoking at baseline and during pregnancy was associated with subsequent
breastfeeding (adjusted OR 2.27, 95% CI [1.05, 4.94] and adjusted OR = 2.49, 95%
CI [1.21, 5.11]). Low-income women who intended to breastfeed were more likely to
quit smoking during pregnancy and those who quit smoking at baseline and
prepartum were more likely to breastfeed. Simultaneously supporting breastfeeding
and smoking cessation may be very useful to change these important health
behaviours among this high-risk population.
PMID- 29797422
TI - Chemically Modified Polyaniline for the Detection of Volatile Biomarkers of
Minimal Sensitivity to Humidity and Bending.
AB - Flexible sensors can be widely used in future wearable devices to monitor
people's health states. However, most of the sensors are sensitive to humidity
and bending effects, limiting their application in a real-world environment. A
new strategy is proposed for obtaining flexible sensors with good tolerance to
humidity. By integrating a hydrophobic layer on the surface of doped polyaniline,
a flexible sensor that can resist water response with a concentration up to 350
ppm is developed. Good resilience against mechanical bending is also achieved in
this flexible sensor. These results may trigger a renaissance in flexible sensor
applications for disease diagnosing by wearable devices.
PMID- 29797423
TI - Increased virulence in the locust-specific fungal pathogen Metarhizium acridum
expressing dsRNAs targeting the host F1 F0 -ATPase subunit genes.
AB - BACKGROUND: Metarhizium acridum is a host-specific fungal pathogen with great
potential for locust control. However, the slow killing action of M. acridum has
impeded its widespread application. To enhance fungal virulence, we constructed
transgenic M. acridum strains that express double-stranded (ds)RNAs targeting the
genes of the F1 F0 -ATP synthase alpha and beta subunits in Locusta migratoria.
RESULTS: The two host genes were transcriptionally suppressed in L. migratoria
nymphs (instar V) infected by RNA interference (RNAi) strains targeting one or
two subunit genes of the host ATP synthase, followed by reduced ATPase activity
and ATP synthesis. Consequently, the RNAi strain targeting both subunit genes
displayed high virulence that was 3.7-fold that in the wild-type strain.
CONCLUSION: Our results demonstrate that dsRNA expression in M. acridum can cause
host RNA silencing during infection and greatly enhances the fungal virulence
through interference with critical host genes, highlighting a new strategy for
augmentation of fungal virulence against insect pests. (c) 2018 Society of
Chemical Industry.
PMID- 29797424
TI - Oculocardiac reflex: 'My heart just stopped'.
PMID- 29797425
TI - Intracranial, extraneural ectopic lymph node in a bovine (Bos taurus).
AB - The brain from a field necropsied 8-month-old feedlot heifer presenting with an
acute history of depression, lethargy, dyspnoea and anorexia was evaluated
grossly and by histopathology. The meninges overlying the left cerebral
hemisphere contained a 12 * 26 * 32 mm, dark red, soft, ovoid mass. Histologic
examination of this tissue revealed a well-organized lymph node with normal
architecture. Organization of reactive lymphoid tissue resembling normal lymph
node architecture may occur under chronic stimulation. However, there are no
known aggregates of lymphoid tissue present within the cranial vault in any
veterinary species. This is the first reported case of an intracranial ectopic
lymph node in any species.
PMID- 29797427
TI - Aquaporin-2 plays an important role in water transportation through the bladder
wall in rats.
AB - AIM: We investigated the role of the bladder wall in permeating water, focusing
on aquaporins. METHODS: Female Sprague-Dawley rats weighing 300 g were used to
investigate the role of the bladder wall in saline permeation. Changes in
intravesical fluid volume and sodium concentration were measured in the
desmopressin acetate hydrate-loaded and control groups 3 h after administration.
Bladders were resected to measure aquaporin-1, 2, and 3 gene expression using qRT
PCR. Additionally, the change of aquaporin-2 expression was measured using
Western blotting and immunohistochemistry in intravesical aquaporin-2 siRNA
treated and control groups. RESULTS: Although the intravesical fluid volume and
sodium concentration significantly decreased from 0 to 3 h (1.00 +/- 0.00 vs 0.83
+/- 0.08 mL, 157.80 +/- 1.30 vs 146.8 +/- 1.92 mEq/mL, P < 0.01, respectively in
the control group), administration of desmopressin did not affect the extent of
volume change. Aquaporin-2 expression was significantly higher in the 3-h
distended bladders than in the empty bladder. Aquaporin-2 siRNA treatment
suppressed aquaporin-2 expression and the change of intravesical fluid volume
from 0 to 3 h (1.00 +/- 0.00 and 0.99 +/- 0.02 mL), which was related to the
suppression of sodium concentration change in comparison with control siRNA
treatment (149.6 +/- 2.4 vs 143.6 +/- 3.67 mEq/mL, P < 0.05). CONCLUSIONS: The
rat urinary bladder absorbs water and salts under the full-filled condition.
Aquaporin-2 plays an important role in the transport of water, accompanied by
sodium concentration change. We demonstrated a part of the bladder absorption
mechanism, which may lead to development of a new method for regulating bladder
storage function.
PMID- 29797426
TI - Modeling individualized coefficient alpha to measure quality of test score data.
AB - Individualized coefficient alpha is defined. It is item and subject specific and
is used to measure the quality of test score data with heterogenicity among the
subjects and items. A regression model is developed based on 3 sets of
generalized estimating equations. The first set of generalized estimating
equation models the expectation of the responses, the second set models the
response's variance, and the third set is proposed to estimate the individualized
coefficient alpha, defined and used to measure individualized internal
consistency of the responses. We also use different techniques to extend our
method to handle missing data. Asymptotic property of the estimators is
discussed, based on which inference on the coefficient alpha is derived.
Performance of our method is evaluated through simulation study and real data
analysis. The real data application is from a health literacy study in Hunan
province of China.
PMID- 29797428
TI - A novel HLA variant, HLA-C*03:376, detected by next generation sequencing.
AB - HLA-C*03:376 differs from HLA-C*03:04:01 in exon 6 by a single nucleotide
substitution.
PMID- 29797429
TI - Factors contributing to the failure of humidified high-flow nasal cannulae.
PMID- 29797430
TI - Refined 4-group classification of left ventricular hypertrophy based on
ventricular concentricity and volume dilatation outlines distinct noninvasive
hemodynamic profiles in a large contemporary echocardiographic population.
AB - BACKGROUND: Left ventricular hypertrophy (LVH) may reflect a wide variety of
physiologic and pathologic conditions. Thus, it can be misleading to consider all
LVH to be homogenous or similar. Refined 4-group classification of LVH based on
ventricular concentricity and dilatation may be identified. To determine whether
the 4-group classification of LVH identified distinct phenotypes, we compared
their association with various noninvasive markers of cardiac stress. METHODS:
Cohort of unselected adult outpatients referred to a seven tertiary care
echocardiographic laboratory for any indication in a 2-week period. We evaluated
the LV geometric patterns using validated echocardiographic indexation methods
and partition values. RESULTS: Standard echocardiography was performed in 1137
consecutive subjects, and LVH was found in 42%. The newly proposed 4-group
classification of LVH was applicable in 88% of patients. The most common pattern
resulted in concentric LVH (19%). The worst functional and hemodynamic profile
was associated with eccentric LVH and those with mixed LVH had a higher
prevalence of reduced EF than those with concentric LVH (P < .001 for all).
CONCLUSIONS: The new 4-group classification of LVH system showed distinct
differences in cardiac function and noninvasive hemodynamics allowing clinicians
to distinguish different LV hemodynamic stress adaptations in patients with LVH.
PMID- 29797431
TI - Efficacy of non-surgical treatments for androgenetic alopecia: a systematic
review and network meta-analysis.
AB - Androgenetic alopecia, or male/female pattern baldness, is the most common type
of progressive hair loss disorder. The aim of this study was to review recent
advances in non-surgical treatments for androgenetic alopecia and identify the
most effective treatments. A network meta-analysis (NMA) was conducted of the
available literature of the six most common non-surgical treatment options for
treating androgenetic alopecia in both men and women; dutasteride 0.5 mg,
finasteride 1 mg, low-level laser therapy (LLLT), minoxidil 2%, minoxidil 5% and
platelet-rich plasma (PRP). Seventy-eight studies met the inclusion criteria, and
22 studies had the data necessary for a network meta-analysis. Relative effects
show LLLT as the superior treatment. Relative effects show PRP, finasteride 1 mg
(male), finasteride 1 mg (female), minoxidil 5%, minoxidil 2% and dutasteride
(male) are approximately equivalent in mean change hair count following
treatment. Minoxidil 5% and minoxidil 2% reported the most drug-related adverse
events (n = 45 and n = 23, respectively). The quality of evidence of minoxidil 2%
vs. minoxidil 5% was high; minoxidil 5% vs. placebo was moderate; dutasteride
(male) vs. placebo, finasteride (female) vs. placebo, minoxidil 2% vs. placebo
and minoxidil 5% vs. LLLT was low; and finasteride (male) vs. placebo, LLLT vs.
sham, PRP vs. placebo and finasteride vs. minoxidil 2% was very low. Results of
this NMA indicate the emergence of novel, non-hormonal therapies as effective
treatments for hair loss; however, the quality of evidence is generally low. High
quality randomized controlled trials and head-to-head trials are required to
support these findings and aid in the development of more standardized protocols,
particularly for PRP. Regardless, this analysis may aid physicians in clinical
decision-making and highlight the variety of non-surgical hair restoration
options for patients.
PMID- 29797432
TI - Comparative genomic analysis of Myroides odoratimimus isolates.
AB - Myroides odoratimimus is an important nosocomial pathogen. Management of M.
odoratimimus infection is difficult owing to the multidrug resistance and the
unknown pathogenesis mechanisms. Based on our previous genomic sequencing data of
M. odoratimimus PR63039 (isolated from a patient with the urinary tract
infection), in this study, we further performed comparative genomic analysis for
10 selected Myroides strains. Our results showed that these Myroides genome
contexts were very similar and phylogenetically related. Various prophages were
identified in the four clinical isolate genomes, which possibly contributed to
the genome evolution among the Myroides strains. CRISPR elements were only
detected in the two clinical (PR63039 and CCUG10230) isolates and two
environmental (CCUG12700 and H1bi) strains. With more stringent cutoff parameters
in CARD analysis, the four clinical M. odoratimimus contained roughly equal
antibiotic resistance genes, indicating their similar antibiotic resistance
profiles. The three clinical (CCUG10230, CCUG12901, CIP101113) and three
environmental (CCUG12700, L41, H1bi) M. odoratimimus strains were speculated to
carry the indistinguishable virulent factors (VFs), which may involve in the
similar pathogenesis mechanism. Moreover, some VFs might confer to the high
capacity of dissemination, attacking tissue cells and induction of autoimmune
complications. Our results facilitate the research of antibiotic resistance and
the development of therapeutic regimens for the M. odoratimimus infections.
PMID- 29797433
TI - Experimental Evidence of Chiral Ferrimagnetism in Amorphous GdCo Films.
AB - Inversion symmetry breaking has become a vital research area in modern magnetism
with phenomena including the Rashba effect, spin Hall effect, and the
Dzyaloshinskii-Moriya interaction (DMI)-a vector spin exchange. The latter one
may stabilize chiral spin textures with topologically nontrivial properties, such
as Skyrmions. So far, chiral spin textures have mainly been studied in
helimagnets and thin ferromagnets with heavy-element capping. Here, the concept
of chirality driven by interfacial DMI is generalized to complex multicomponent
systems and demonstrated on the example of chiral ferrimagnetism in amorphous
GdCo films. Utilizing Lorentz microscopy and X-ray magnetic circular dichroism
spectroscopy, and tailoring thickness, capping, and rare-earth composition,
reveal that 2 nm thick GdCo films preserve ferrimagnetism and stabilize chiral
domain walls. The type of chiral domain walls depends on the rare-earth
composition/saturation magnetization, enabling a possible temperature control of
the intrinsic properties of ferrimagnetic domain walls.
PMID- 29797434
TI - Exploring the inhibition mechanism on HIF-2 by inhibitor PT2399 and 0X3 using
molecular dynamics simulations.
AB - Targeting transcription factors HIF-2 is currently considered to be the most
direct way for the therapy of clear cell renal cell carcinoma. The preclinical
inhibitor PT2399 and artificial inhibitor 0X3 have been identified as promising
on-target inhibitors to inhibit the heterodimerization of HIF-2. However, the
inhibition mechanism of PT2399 and 0X3 on HIF-2 remains unclear. To this end,
molecular dynamics (MD) simulations and molecular docking were applied to
investigate the effects of 2 inhibitors on structural motifs and
heterodimerization of HIF-2. Our simulation results reveal that the binding of
inhibitors disrupts the crucial hydrogen bond and hydrophobic interactions of
interdomain of HIF-2 heterodimer due to the local conformational changes of
binding interface, confirming the hypothesis that the perturbation of few
residues is sufficient to disrupt the heterodimerization of HIF-2. In addition,
it can be found that PT2399 with dominant substituents (cyano, fluorine,
sulfuryl, and hydroxyl) is more preferred than 0X3 as HIF-2 inhibitor and these
substituents play a crucial role in involving more hydrogen bond interactions
with residues of interface and then cause the larger structural change of
protein. This study may provide a deeper atomic-level insight into the effect of
on-target inhibitors on HIF-2 heterodimer, which is expected to contribute to
further rational design of effective clear cell renal cell carcinoma drugs.
PMID- 29797435
TI - Low-Temperature Growth of All-Carbon Graphdiyne on a Silicon Anode for High
Performance Lithium-Ion Batteries.
AB - In situ weaving an all-carbon graphdiyne coat on a silicon anode is scalably
realized under ultralow temperature (25 degrees C). This economical strategy not
only constructs 3D all-carbon mechanical and conductive networks with reasonable
voids for the silicon anode at one time but also simultaneously forms a robust
interfacial contact among the electrode components. The intractable problems of
the disintegrations in the mechanical and conductive networks and the interfacial
contact caused by repeated volume variations during cycling are effectively
restrained. The as-prepared electrode demostrates the advantages of silicon
regarding capacity (4122 mA h g-1 at 0.2 A g-1 ) with robust capacity retention
(1503 mA h g-1 ) after 1450 cycles at 2 A g-1 , and a commercial-level areal
capacity up to 4.72 mA h cm-2 can be readily approached. Furthermore, this method
shows great promises in solving the key problems in other high-energy-density
anodes.
PMID- 29797436
TI - Effects of different periodontal treatments in changing the prevalence and levels
of Archaea present in the subgingival biofilm of subjects with periodontitis: A
secondary analysis from a randomized controlled clinical trial.
AB - OBJECTIVE: The aim of this randomized double-blind and placebo-controlled study
was to assess if periodontal treatment with or without systemic antibiotic would
change the mean level of Archaea. METHODS: Fifty-nine (59) subjects were randomly
assigned to receive scaling and root planing (SRP) alone or combined with
metronidazole (MTZ; 400 mg/TID) or either with MTZ and amoxicillin (AMX; 500
mg/TID) for 14 days. Clinical and microbiological examinations were performed at
baseline and at 6 months post-SRP. Six subgingival plaque samples per subject
were analysed for the presence and levels of Archaea using quantitative
polymerase chain reaction. RESULTS: Scaling and root planing alone or combined
with MTZ or MTZ + AMX significantly reduced the prevalence of subjects colonized
by Archaea at 6 months post-therapy, without significant differences among groups
(P > .05). Both therapies led to a statistically significant decrease in the mean
percentage of sites colonized by Archaea (P < .05). The MTZ and MTZ + AMX group
had a significantly lower mean number of sites colonized by Archaea and lower
levels of these micro-organisms at sites with probing depth >=5 mm at 6 months
compared with SRP group (P < .05). CONCLUSION: Periodontal treatments including
adjunctive MTZ or MTZ + AMX are more effective than mechanical treatment alone in
reducing the levels and prevalence of sites colonized by Archaea in subjects with
chronic periodontitis.
PMID- 29797437
TI - Bullous pemphigoid complicated by necrotising fasciitis successfully treated with
systemic corticosteroids and antibiotics in combination with i.v. immunoglobulin.
PMID- 29797438
TI - Effect of endocannabinoid signalling on cell fate: life, death, differentiation
and proliferation of brain cells.
AB - Cell fate events are regulated by different endogenous developmental factors such
as the cell micro-environment, external or remote signals and epigenetic factors.
Among the many regulatory factors, endocannabinoid-associated signalling pathways
are known to conduct several of these events in the developing nervous system and
in the adult brain. Interestingly, endocannabinoids exert modulatory actions in
both physiological and pathological conditions. Endocannabinoid signalling can
promote cell survival by acting on non-transformed brain cells (neurons,
astrocytes or oligodendrocytes) and can have either a protumoural or antitumoural
effect on transformed cells. Moreover, endocannabinoids are able to attenuate the
detrimental effects on neurogenesis and neuroinflammation associated with ageing.
Thus, the endocannabinoid system emerges as an important regulator of cell fate,
controlling cell survival/cell death decisions depending on the cell type and its
environment.
PMID- 29797439
TI - MP2RAGE and Susceptibility-Weighted Imaging in Lesional Epilepsy at 7T.
AB - BACKGROUND AND PURPOSE: Surgery is the first choice therapeutic approach in case
of drug-resistant epilepsy. Unfortunately, up to 43% of patients referred for
presurgical assessment do not have a lesion detectable by routine 3T magnetic
resonance imaging (MRI) (MRI-negative), although most of them likely have an
underlying epileptogenic lesion. Thus, new MRI modalities with increased
sensibility for epileptogenic lesions are required. This paper describes the
magnetization-prepared two rapid acquisition gradient echoes (MP2RAGE) and
susceptibility-weighted imaging (SWI) findings at 7T in a series of patients with
drug-resistant epilepsy of different etiologies. METHODS: Prospective pilot study
of 7 patients with drug-resistant lesional epilepsy and absence of
contraindications for MRI underwent a research 7T head-only scanner. Qualitative
analysis of the high-resolution MP2RAGE and SWI sequences is given for each case.
This study was approved by the local ethics committee. Written informed consent
was obtained from each participant. RESULTS: This study shows that such sequences
at ultra-high field are new and valuable approaches to unravel and characterize
epileptogenic lesions. Particularly, MP2RAGE shows a better delineation of
lesions due to high gray-white matter contrast and structural resolution, and SWI
reveals new imaging signs related to improved magnitude and phase contrast
imaging. CONCLUSION: MRI at ultra-high field is very promising for the detection
of inconspicuous epileptogenic lesions and may facilitate epilepsy surgery of a
great number of to-date MRI-negative patients.
PMID- 29797440
TI - Paraneoplastic pemphigus in Russian patients: a single center case series.
PMID- 29797441
TI - High resolution manometry catheter, test retest reliability and the working
mechanism of urethral bulking?
PMID- 29797442
TI - Stomach herniation prior to nonreassuring fetal status in a case of fetal
gastroschisis.
AB - The neonatal prognosis in gastroschisis is generally good; however, intrauterine
fetal death (IUFD) and nonreassuring fetal status (NRFS) sometimes occur in fetal
cases of gastroschisis. Previously, we reported stomach herniation as a possible
risk factor for IUFD or NRFS in fetuses with gastroschisis. We report a case of
fetal gastroschisis showing stomach herniation and increased velocity of the
umbilical venous (UV) flow the day before NRFS by electronic fetal monitoring
(EFM). A 27-year-old pregnant woman was followed up from 16 weeks' gestation due
to fetal gastroschisis. At 33 + 1 weeks' gestation, EFM displayed a reassuring
fetal state, while the ultrasound examination revealed fetal stomach herniation
and an increased flow velocity of the UV in the abdomen. One day later, EFM
displayed NRFS, and the patient underwent emergency caesarean section. This case
showed stomach herniation preceding the occurrence of NRFS. Stomach herniation
may be a predictor of NRFS in fetuses with gastroschisis.
PMID- 29797444
TI - Evaluation of beta-carotene assimilation in leopard geckos (Eublepharis
macularius).
AB - Although leopard geckos (Eublepharis macularius) are commonly kept under human
care, their vitamin requirements are largely unknown. Many invertebrate preys
display a low vitamin A concentration; thus, gut-loading insects with vitamin A
or carotenoids is a common practice. The objective of this prospective
experimental study was to investigate whether dietary supplementation with beta
carotene, including prey gut-loading, leads to sufficient vitamin A hepatic
storage and prevents epithelial squamous metaplasia development in leopard
geckos. Ten clinically healthy female leopard geckos were randomly divided in two
groups with various supplementations: a group receiving vitamin A supplementation
and a group receiving beta-carotene. Insects were gut-loaded continuously with a
supplement containing vitamin A or beta-carotene, depending on the group. Oral
supplementation with cod liver oil or carrot juice was administered weekly to
each lizard from "vitamin A group" and "carotenoid group" respectively. After 10
weeks of supplementation, surgical hepatic biopsies were obtained in three geckos
of each group while the two remaining geckos were euthanized to undergo complete
necropsy. Hepatic vitamin A concentration was determined for each lizard (n = 10)
by ultra-performance liquid chromatography. Histopathology revealed
hepatocellular vacuolization and vitellogenic follicles in five females.
Epithelial squamous metaplasia was not observed in any of the geckos. Hepatic
vitamin A concentration was significantly higher in the carotenoid-supplemented
group than in the vitamin A-supplemented group (p = 0.03). Our results suggest
that in leopard geckos, dietary supplementation with beta-carotene allows
sufficient vitamin A hepatic storage.
PMID- 29797446
TI - Immune cell trafficking, lymphatics and hypertension.
AB - Activated immune cell infiltration into organs contributes to the development and
maintenance of hypertension. Studies targeting specific immune cell populations
or reducing their inflammatory signalling have demonstrated a reduction in BP.
Lymphatic vessels play a key role in immune cell trafficking and in resolving
inflammation, but little is known about their role in hypertension. Studies from
our laboratory and others suggest that inflammation-associated or induction of
lymphangiogenesis is organ protective and anti-hypertensive. This review provides
the basis for hypertension as a disease of chronic inflammation in various
tissues and highlights how renal lymphangiogenesis is a novel regulator of kidney
health and BP.
PMID- 29797443
TI - Cigarette smoke directly impairs skeletal muscle function through capillary
regression and altered myofibre calcium kinetics in mice.
AB - KEY POINTS: Cigarette smoke components directly alter muscle fatigue resistance
and intracellular muscle fibre Ca2+ handling independent of a change in lung
structure. Changes in muscle vascular structure are associated with a depletion
of satellite cells. Sarcoplasmic reticulum Ca2+ uptake is substantially impaired
in myofibres during fatiguing contractions in mice treated with cigarette smoke
extract. ABSTRACT: Cigarette smokers exhibit exercise intolerance before a
decline in respiratory function. In the present study, the direct effects of
cigarette smoke on limb muscle function were tested by comparing cigarette smoke
delivered to mice by weekly injections of cigarette smoke extract (CSE), or nose
only exposure (CS) 5 days each week, for 8 weeks. Cigarette smoke delivered by
either route did not alter pulmonary airspace size. Muscle fatigue measured in
situ was 50% lower in the CSE and CS groups than in control. This was accompanied
by 34% and 22% decreases in soleus capillary-to-fibre ratio of the CSE and CS
groups, respectively, and a trend for fewer skeletal muscle actin-positive
arterioles (P = 0.07). In addition, fewer quiescent satellite cells (Nes+Pax7+)
were associated with soleus fibres in mice with skeletal myofibre VEGF gene
deletion (decreased 47%) and CS exposed (decreased 73%) than with control fibres.
Contractile properties of isolated extensor digitorum longus and soleus muscles
were impaired. In flexor digitorum brevis myofibres isolated from CSE mice,
fatigue resistance was diminished by 43% compared to control and CS myofibres,
and this was accompanied by a pronounced slowing in relaxation, an increase in
intracellular Ca2+ accumulation, and a slowing in sarcoplasmic reticulum Ca2+
uptake. These data suggest that cigarette smoke components may impair hindlimb
muscle vascular structure, fatigue resistance and myofibre calcium handling, and
these changes ultimately affect contractile efficiency of locomotor muscles
independent of a change in lung function.
PMID- 29797445
TI - Hope and mealtime insulin boluses are associated with depressive symptoms and
glycemic control in youth with type 1 diabetes mellitus.
AB - Adherence to treatment regimen is associated with improved glycemic control and
is particularly problematic during adolescence for those with type 1 diabetes
mellitus (T1DM). Both glycemic control and adherence may be negatively impacted
by poor psychological outcomes in adolescence, such as depressive symptoms and
low hopefulness. The purpose of this study was to examine associations between
the mealtime insulin bolus score (BOLUS), a more robust proxy measure of
adherence than frequency of blood glucose monitoring or self-report, with
depressive symptoms, hope, and hemoglobin A1c (HbA1c). Ninety adolescents
completed measures of hope (Children's Hope Scale) and depressive symptoms
(Center for Epidemiologic Studies-Depression Scale), as well as lab-based
measures of HbA1c and mealtime insulin bolus scores (BOLUS). Higher levels of
hope were associated with lower levels of depressive symptoms, more frequent
mealtime insulin boluses, and better glycemic control. Higher levels of BOLUS
behavior were associated with lower depressive symptoms and better glycemic
control. Both BOLUS behavior and hope independently and significantly impacted
the relationship between depressive symptoms and HbA1c, suggesting a possible
mediation. Since hope and BOLUS were each associated with the relationship
between depressive symptoms and glycemic control, following confirmation of this
relationship in a longitudinal study, clinics may consider measuring hope and
BOLUS among youth with high depressive symptoms and further investigating BOLUS
behavior and hope as potential intervention targets to improve glycemic control.
PMID- 29797447
TI - Concomitant use of low-dose methotrexate and NSAIDs and the risk of serious
adverse events among patients with rheumatoid arthritis.
AB - PURPOSE: Case reports and pharmacokinetic studies have suggested that concomitant
use of low-dose methotrexate and nonsteroidal anti-inflammatory drugs (NSAIDs)
may be associated with increased risk of methotrexate toxicity. This study aimed
to investigate the risk of serious adverse events associated with concomitant use
of low-dose methotrexate and NSAIDs, compared with use of methotrexate alone,
among patients with rheumatoid arthritis. METHODS: The study was conducted as a
register-based cohort study in Denmark, 2004 to 2015, including episodes of
concomitant use of methotrexate and NSAIDs (n = 21 536) and control episodes of
use of methotrexate alone (n = 21 725). The primary outcome was the composite end
point any serious adverse event, including liver toxicity, acute renal failure,
and cytopenia. Secondary outcomes were the individual outcome components.
Analyses were conducted using proportional-hazards regression, with adjustment
using inverse-probability-of-treatment weighting based on propensity scores.
RESULTS: During follow-up, 110 cases of the primary outcome occurred during
concomitant use of methotrexate and NSAIDs (unadjusted incidence rate 12.1 per
1000 person-years) and 129 during control episodes (11.0 per 1000 person-years).
Concomitant use of methotrexate and NSAIDs was associated with a significantly
increased risk of any serious adverse event (weighted hazard ratio 1.40; 95% CI,
1.07-1.82). In secondary analyses, concomitant use of methotrexate and NSAIDs was
associated with a significantly increased risk of acute renal failure and
cytopenia. CONCLUSIONS: Concomitant use of low-dose methotrexate and NSAIDs was
associated with a significantly increased risk of serious adverse events,
expanding on the evidence base for current regulatory recommendations that
advocate caution when low-dose methotrexate and NSAID are coprescribed.
PMID- 29797448
TI - Contemporary trends in the utilisation of radical prostatectomy.
PMID- 29797449
TI - Osmotic stress-responsive promoter upstream transcripts (PROMPTs) act as carriers
of MYB transcription factors to induce the expression of target genes in Populus
simonii.
AB - Complex RNA transcription and processing produces a diverse range catalog of long
noncoding RNAs (lncRNAs), important biological regulators that have been
implicated in osmotic stress responses in plants. Promoter upstream transcript
(PROMPT) lncRNAs share some regulatory elements with the promoters of their
neighbouring protein-coding genes. However, their function remains unknown. Here,
using strand-specific RNA sequencing, we identified 209 differentially regulated
osmotic-responsive PROMPTs in poplar (Populus simonii). PROMPTs are transcribed
bidirectionally and are more stable than other lncRNAs. Co-expression analysis of
PROMPTs and protein-coding genes divided the regulatory network into five
independent subnetworks including 27 network modules. Significantly enriched
PROMPTs in the network were selected to validate their regulatory roles. We used
delaminated layered double hydroxide lactate nanosheets (LDH-lactate-NS) to
transport synthetic nucleic acids into live tissues to mimic overexpression and
interference of a specific PROMPT. The altered expression of PROMPT_1281 induced
the expression of its cis and trans targets, and this interaction was governed by
its secondary structure rather than just its primary sequence. Based on this
example, we proposed a model that a concentration gradient of PROMPT_1281 is
established, which increases the probability of its interaction with targets near
its transcription site that shares common motifs. Our results firstly
demonstrated that PROMPT_1281 act as carriers of MYB transcription factors to
induce the expression of target genes under osmotic stress. In sum, our study
identified and validated a set of poplar PROMPTs that likely have regulatory
functions in osmotic responses.
PMID- 29797450
TI - Prediction of skin anti-aging clinical benefits of an association of ingredients
from marine and maritime origins: Ex vivo evaluation using a label-free
quantitative proteomic and customized data processing approach.
AB - BACKGROUND: The application of ingredients from marine and maritime origins is
increasingly common in skin care products, driven by consumer expectations for
natural ingredients. However, these ingredients are typically studied for a few
isolated in vitro activities. OBJECTIVES: The purpose of this study was to carry
out a comprehensive evaluation of the activity on the skin of an association of
ingredients from marine and maritime origins using label-free quantitative
proteomic analysis, in order to predict the clinical benefits if used in a skin
care product. METHODS: An aqueous gel containing 6.1% of ingredients from marine
and maritime origins (amino acid-enriched giant kelp extract, trace element
enriched seawater, dedifferentiated sea fennel cells) was topically applied on
human skin explants. The skin explants' proteome was analyzed in a label-free
manner by high-performance liquid nano-chromatography coupled with tandem mass
spectrometry. A specific data processing pipeline (CORAVALID) providing an
objective and comprehensive interpretation of the statistically relevant
biological activities processed the results. RESULTS: Compared to untreated skin
explants, 64 proteins were significantly regulated by the gel treatment (q-value
<= 0.05). Computer data processing revealed an activity of the ingredients on the
epidermis and the dermis. These significantly regulated proteins are involved in
gene expression, cell survival and metabolism, inflammatory processes, dermal
extracellular matrix synthesis, melanogenesis and keratinocyte proliferation,
migration, and differentiation. CONCLUSIONS: These results suggest that the
tested ingredients could help to preserve a healthy epidermis and dermis, and
possibly to prevent the visible signs of skin aging.
PMID- 29797452
TI - A discussion of qualification frameworks, work-readiness and dental education in
Australia.
PMID- 29797451
TI - Quantitative phosphoproteomics of lectin receptor-like kinase VI.4 dependent
abscisic acid response in Arabidopsis thaliana.
AB - Lectin receptor-like kinases (LecRKs) play important roles in the responses to
adverse environment stress. Abscisic acid (ABA) is a plant hormone involved in
plant growth, development and adverse environmental stress responses. Although
some studies of ABA response LecRK genes have been reported, the molecular
mechanisms of LecRKs regulation of downstream pathways under ABA induction are
not well understood. The present study showed that LecRK-VI.4 responded to ABA
and negatively regulated stomatal closure. Here, a quantitative phosphoproteomics
approach based on mass spectrometry was employed to study the roles of LecRK-VI.4
in the ABA signaling pathway. Metal oxide affinity beads and C18 chromatography
were used for phosphopeptide enrichment and separation. The isobaric tags for
relative and absolute quantitation were used for profiling the phosphoproteome of
mutant lecrk-vi.4-1 and wild-type Col-0 Arabidopsis under normal growth
conditions or ABA treatments. In total, 475 unique phosphopeptides were
quantified, including 81 phosphopeptides related to LecRK-VI.4 regulation. Gene
ontology, protein-protein interaction and motif analysis were performed. The
bioinformatics data showed that phosphorylated proteins regulated by LecRK-VI.4
had close relations with factors of stomatal function, which included aquaporin
activity, H+ pump activity and the Ca2+ concentration in the cytoplasm. These
data have expanded our understanding of how LecRK-VI.4 regulates ABA-mediated
stomatal movements.
PMID- 29797453
TI - Management of digital eye strain.
AB - Digital eye strain, an emerging public health issue, is a condition characterised
by visual disturbance and/or ocular discomfort related to the use of digital
devices and resulting from a range of stresses on the ocular environment. This
review aims to provide an overview of the extensive literature on digital eye
strain research with particular reference to the clinical management of symptoms.
As many as 90 per cent of digital device users experience symptoms of digital eye
strain. Many studies suggest that the following factors are associated with
digital eye strain: uncorrected refractive error (including presbyopia),
accommodative and vergence anomalies, altered blinking pattern (reduced rate and
incomplete blinking), excessive exposure to intense light, closer working
distance, and smaller font size. Since a symptom may be caused by one or more
factors, a holistic approach should be adopted. The following management
strategies have been suggested: (i) appropriate correction of refractive error,
including astigmatism and presbyopia; (ii) management of vergence anomalies, with
the aim of inducing or leaving a small amount of heterophoria (~1.5Delta Exo);
(iii) blinking exercise/training to maintain normal blinking pattern; (iv) use of
lubricating eye drops (artificial tears) to help alleviate dry eye-related
symptoms; (v) contact lenses with enhanced comfort, particularly at end-of-day
and in challenging environments; (vi) prescription of colour filters in all
vision correction options, especially blue light-absorbing filters; and (vii)
management of accommodative anomalies. Prevention is the main strategy for
management of digital eye strain, which involves: (i) ensuring an ergonomic work
environment and practice (through patient education and the implementation of
ergonomic workplace policies); and (ii) visual examination and eye care to treat
visual disorders. Special consideration is needed for people at a high risk of
digital eye strain, such as computer workers and contact lens wearers.
PMID- 29797454
TI - Use of split-thickness plantar skin grafts in the management of leg and foot skin
defects.
AB - The basic principle of donor site selection is to take skin from areas that will
heal with minimal scarring while balancing the needs of the recipient site. For
skin loss from the lower legs and feet, the most common harvest site for split
thickness skin grafts is the anterior or posterior thigh; grafts from the plantar
areas have been mostly used to cover the volar aspect of digits and palms.
Between September 2015 and September 2017, 42 patients with areas of skin loss on
the legs or feet were treated with plantar skin grafts because of their cosmetic
benefits and the convenience of the surgical procedure and postoperative wound
care. Our technique of harvesting a single layer of split-thickness skin graft
(0.014 in. thick) from a non-weight-bearing area of the foot of the injured leg
is simple and provided good functional and cosmetic outcomes at both the donor
and recipient sites. All patients were very satisfied with the recovery progress
and final results. Therefore, in the management of skin defects in the lower legs
or feet that comprise less than 1.5% of the total body surface area, our surgical
method is a reliable alternative to anterior or posterior thigh skin grafting.
PMID- 29797455
TI - Understanding the geographical burden of stunting in India: A regression
decomposition analysis of district-level data from 2015-16.
AB - India accounts for approximately one third of the world's total population of
stunted preschoolers. Addressing global undernutrition, therefore, requires an
understanding of the determinants of stunting across India's diverse states and
districts. We created a district-level aggregate data set from the recently
released 2015-2016 National and Family Health Survey, which covered 601,509
households in 640 districts. We used mapping and descriptive analyses to
understand spatial differences in distribution of stunting. We then used
population-weighted regressions to identify stunting determinants and regression
based decompositions to explain differences between high- and low-stunting
districts across India. Stunting prevalence is high (38.4%) and varies
considerably across districts (range: 12.4% to 65.1%), with 239 of the 640
districts have stunting levels above 40% and 202 have prevalence of 30-40%. High
stunting districts are heavily clustered in the north and centre of the country.
Differences in stunting prevalence between low and high burden districts were
explained by differences in women's low body mass index (19% of the difference),
education (12%), children's adequate diet (9%), assets (7%), open defecation
(7%), age at marriage (7%), antenatal care (6%), and household size (5%). The
decomposition models explained 71% of the observed difference in stunting
prevalence. Our findings emphasize the variability in stunting across India,
reinforce the multifactorial determinants of stunting, and highlight that
interdistrict differences in stunting are strongly explained by a multitude of
economic, health, hygiene, and demographic factors. A nationwide focus for
stunting prevention is required, while addressing critical determinants district
by-district to reduce inequalities and prevalence of childhood stunting.
PMID- 29797456
TI - Complex regional pain syndrome of the face in a child.
PMID- 29797457
TI - Rex in Caldicellulosiruptor bescii: Novel regulon members and its effect on the
production of ethanol and overflow metabolites.
AB - Rex is a global redox-sensing transcription factor that senses and responds to
the intracellular [NADH]/[NAD+ ] ratio to regulate genes for central metabolism,
and a variety of metabolic processes in Gram-positive bacteria. We decipher and
validate four new members of the Rex regulon in Caldicellulosiruptor bescii; a
gene encoding a class V aminotransferase, the HydG FeFe Hydrogenase maturation
protein, an oxidoreductase, and a gene encoding a hypothetical protein.
Structural genes for the NiFe and FeFe hydrogenases, pyruvate:ferredoxin
oxidoreductase, as well as the rex gene itself are also members of this regulon,
as has been predicted previously in different organisms. A C. bescii rex deletion
strain constructed in an ethanol-producing strain made 54% more ethanol (0.16
mmol/L) than its genetic parent after 36 hr of fermentation, though only under
nitrogen limited conditions. Metabolomic interrogation shows this rex-deficient
ethanol-producing strain synthesizes other reduced overflow metabolism products
likely in response to more reduced intracellular redox conditions and the
accumulation of pyruvate. These results suggest ethanol production is strongly
dependent on the native intracellular redox state in C. bescii, and highlight the
combined promise of using this gene and manipulation of culture conditions to
yield strains capable of producing ethanol at higher yields and final titer.
PMID- 29797458
TI - Modulation of STAT3 phosphorylation by PTPN2 inhibits naive pluripotency of
embryonic stem cells.
AB - STAT3 phosphorylation at tyrosine 705 (STAT3pY705 ), triggered by the addition of
the leukemia inhibitory factor (LIF), can maintain mouse embryonic stem cell
(mESC) self-renewal and reprogram mouse epiblast stem cells (EpiSCs) to enter a
naive pluripotent state. The activation of STAT3pY705 occurs mainly through Janus
kinases. However, it remains unclear how STAT3pY705 levels are decreased in
mESCs. Our study shows that upregulation of the protein tyrosine phosphatase
(PTPN2) inhibits STAT3 activity by reducing its phosphorylation level and
promotes mESC differentiation, whereas PTPN2 knockout by CRISPR/CAS9 delays mESC
differentiation. Consistently, PTPN2 knockdown facilitates the generation of mESC
like colonies in STAT3-overexpressing EpiSCs. PTPN2-mediated STAT3 activity,
thus, contributes to the exit of ESCs from the pluripotent ground state. These
findings expand the current understanding of the regulatory network of naive
pluripotency.
PMID- 29797459
TI - Mucosal melanoma: clinical and genetic profile.
PMID- 29797460
TI - Using CRISPR/Cas9 genome editing in tomato to create a gibberellin-responsive
dominant dwarf DELLA allele.
AB - The tomato PROCERA gene encodes a DELLA protein, and loss-of-function mutations
derepress growth. We used CRISPR/Cas9 and a single guide RNAs (sgRNA) to target
mutations to the PROCERA DELLA domain, and recovered several loss-of-function
mutations and a dominant dwarf mutation that carries a deletion of one amino acid
in the DELLA domain. This is the first report of a dominant dwarf PROCERA allele.
This allele retains partial responsiveness to exogenously applied gibberellin.
Heterozygotes show an intermediate phenotype at the seedling stage, but adult
heterozygotes are as dwarfed as homozygotes.
PMID- 29797462
TI - A novel HLA-C variant, HLA-C*04:01:85, detected by next generation sequencing.
AB - HLA-C*04:01:85 differs in exon 1 from C*04:01:01 by a single nucleotide
substitution in codon 3.
PMID- 29797461
TI - Development of Inhalable Superparamagnetic Iron Oxide Nanoparticles (SPIONs) in
Microparticulate System for Antituberculosis Drug Delivery.
AB - Tuberculosis (TB) is an infectious disease which affects millions of people
worldwide. Inhalable polymeric dry powders are promising alternatives as anti-TB
drug carriers to the alveoli milieu and infected macrophages, with potential to
significantly improve the therapeutics efficiency. Here, the development of a
magnetically responsive microparticulate system for pulmonary delivery of an anti
TB drug candidate (P3) is reported. Microparticles (MPs) are developed based on a
cast method using calcium carbonate sacrificial templates and incorporate
superparamagnetic iron oxide nanoparticles to concentrate MPs in alveoli and
enable drug on demand release upon actuation of an external alternate magnetic
field (AMF). The MPs are shown to be suitable for P3 delivery to the lower
airways and for alveolar macrophage phagocytosis. The developed MPs reveal unique
and promising features to be used as an inhalable dry powder allowing the AMF
control over dosage and frequency of drug delivery anticipating improved TB
treatments.
PMID- 29797465
TI - Concomitant Acute Toxic Leukoencephalopathy and Posterior Reversible
Encephalopathy Syndrome.
AB - BACKGROUND AND PURPOSE: Posterior reversible encephalopathy syndrome (PRES) and
acute toxic leukoencephalopathy (ATL) are both potentially reversible
clinicoradiologic entities. Although their magnetic resonance imaging (MRI)
findings differ, rarely both may occur simultaneously in acutely encephalopathic
patients. Our aim was to determine the incidence and causes of concomitant "ATL
PRES." METHODS: Retrospective search of suspected acutely encephalopathic adults
since 1998 throughout our picture archiving and communication system revealed 167
patients with PRES and 106 patients with ATL. Images of these patients were
retrospectively evaluated by two neuroradiologists and a fellow to identify the
cases which carry both features of PRES and ATL. Imaging findings were scored
based on previously reported scoring system as mild, moderate, and severe. The
clinical outcome of the patients was determined according to the modified Rankin
scale. RESULTS: Our search revealed a series of 6 patients (%2.2) in 273 patients
who presented acutely with either encephalopathy or seizures, caused by various
etiologies, including immunosuppression following transplantation (n = 2),
hypertensive crisis (n = 2), chemotherapy (n = 1), and sepsis (n = 1). MRI
demonstrated findings consistent with both PRES and ATL simultaneously on FLAIR
and diffusion weighted imaging. Severity of imaging findings of concomitant "ATL
PRES" was concordant with each other (rho ~ 1.0, P < .00001), and each patient
eventually returned to clinical baseline. This finding, along with their similar
etiologies, raises the possibility of an underlying common pathophysiologic
thread, perhaps being endothelial toxicity. CONCLUSIONS: Concomitant "ATL-PRES"
was found in 2.2% of the patients in a large cohort of ATL and PRES. Etiologies
varied. Clinical symptoms and MRI findings were potentially reversible.
PMID- 29797463
TI - Japanese scoring systems to predict resistance to intravenous immunoglobulin in
Kawasaki disease were unreliable for Caucasian Israeli children.
AB - AIM: This study assessed the validity of using established Japanese risk scoring
methods to predict intravenous immunoglobulin (IVIG) resistance to Kawasaki
disease in Israeli children. METHODS: We reviewed the medical records of 282
patients (70% male) with Kawasaki disease from six Israeli medical centres
between 2004 and 2013. Their mean age was 2.5 years. The risk scores were
calculated using the Kobayashi, Sano and Egami scoring methods and analysed to
determine whether a higher risk score predicted IVIG resistance in this
population. Factors that predicted a lack of response to the initial IVIG dose
were identified. RESULTS: We found that 18% did not respond to the first IVIG
dose. The three scoring methods were unable to reliably predict IVIG resistance,
with sensitivities of 23%-32% and specificities of 67%-87%. Calculating a
predictive score that was specific for this population was also unsuccessful. The
factors that predicted a lacked of response to the first IVIG dose included low
albumin, elevated total bilirubin and ethnicity. CONCLUSION: The established risk
scoring methods created for Japanese populations with Kawasaki disease were not
suitable for predicting IVIG resistance in Caucasian Israeli children, and we
were unable to create a specific scoring method that was able to do this.
PMID- 29797466
TI - Strong Coupling of MoS2 Nanosheets and Nitrogen-Doped Graphene for High
Performance Pseudocapacitance Lithium Storage.
AB - Layered material MoS2 is widely applied as a promising anode for lithium-ion
batteries (LIBs). Herein, a scalable and facile dopamine-assisted hydrothermal
technique for the preparation of strongly coupled MoS2 nanosheets and nitrogen
doped graphene (MoS2 /N-G) composite is developed. In this composite, the
interconnected MoS2 nanosheets are well wrapped onto the surface of graphene,
forming a unique veil-like architecture. Experimental results indicate that
dopamine plays multiple roles in the synthesis: a binding agent to anchor and
uniformly disperse MoS2 nanosheets, a morphology promoter, and the precursor for
in situ nitrogen doping during the self-polymerization process. Density
functional theory calculations further reveal that a strong interaction exists at
the interface of MoS2 nanosheets and nitrogen-doped graphene, which facilitates
the charge transfer in the hybrid system. When used as the anode for LIBs, the
resulting MoS2 /N-G composite electrode exhibits much higher and more stable Li
ion storage capacity (e.g., 1102 mAh g-1 at 100 mA g-1 ) than that of MoS2 /G
electrode without employing the dopamine linker. Significantly, it is also
identified that the thin MoS2 nanosheets display outstanding high-rate capability
due to surface-dominated pseudocapacitance contribution.
PMID- 29797467
TI - The critical importance of pharyngeal contractile forces on the validity of
intrabolus pressure as a predictor of impaired pharyngo-esophageal junction
compliance.
AB - INTRODUCTION: Restrictive defects of the pharyngo-esophageal junction (PEJ) are
common in both structural and neurological disorders and are amenable to
therapies aiming to reduce outflow resistance. Intrabolus pressure (IBP) acquired
with high-resolution manometry and impedance (HRMI) is an indicator of resistance
and a marker of reduced PEJ compliance. Constraints and limitations of IBP as
well as the optimal IBP parameter remain undefined. AIMS: To determine: (i) the
impact of peak pharyngeal pressure (PeakP) on the diagnostic accuracy of IBP for
the detection of a restrictive defect at the PEJ and (ii) the optimal IBP
parameter for this purpose. METHODS: In 52 dysphagic patients previously treated
for head and neck cancer. Five candidate IBP measures and PeakP were obtained
with HRMI, as well as a presence of a stricture determined by a mucosal tear
after endoscopic dilatation. Predictive values of IBP measures were evaluated by
receiver operating characteristic (ROC) analysis for all patients and reiterated
as patients with lowest PeakP were progressively removed from the cohort.
RESULTS: All IBP parameters had fair to good accuracy at predicting strictures.
Intrabolus pressure measured at a discrete point of maximum admittance 1 cm above
the maximal excursion of the upper esophageal sphincter had highest sensitivity
(0.76) and specificity (0.78). When PeakP was at least 57 mm Hg both sensitivity
and specificity improved to 0.9. CONCLUSIONS: Pharyngeal propulsive force has
substantial impact on the accuracy of IBP as a predictor of a PEJ stricture. When
PeakP is >=57 mm Hg, an elevated IBP is highly predictive of a restrictive defect
at the PEJ.
PMID- 29797468
TI - A New Approach to Sustainability: A Moore's Law for Chemistry.
AB - "... How do we have a major impact on delivering sustainable chemistry? Carbon
neutral laboratories can drive down the environmental costs of chemistry. We
propose that sustainable chemistry requires some overarching goal that can be
embraced by everyone in the chemical supply chain as well as by the public ..."
Read more in the Guest Editorial by Martyn Poliakoff, Peter Licence, and Michael
W. George.
PMID- 29797469
TI - Sexual behaviour is impaired by the abused inhalant toluene in adolescent male
rats.
AB - Inhalant misuse is a worldwide problem, especially among adolescents. Toluene is
the most widely misused inhalant. One hallmark of adolescence is the emergence of
sexual behaviour, which can be affected by drug use. The aim of this study was to
analyse the effects of toluene inhalation on different aspects of male rats'
sexual behaviour using a binge pattern of exposure in adolescent rats. Male
Wistar rats were individually exposed to air or 6,000 ppm toluene for 30 min
(acute exposure; n = 8 each) or twice a day for 12 days (repeated exposure; n = 9
each) in static exposure chambers. Independent groups of sexually experienced,
adolescent rats (postnatal day 63, PN63) were tested after acute toluene exposure
for copulation, sexual incentive motivation or noncontact erections. Sexually
naive, adolescent rats (PN45-59) were repeatedly exposed to toluene and tested
for sexual behaviour after completing the 1st, 3rd, 5th, 7th, 9th, 11th and 13th
exposure sessions. Acute toluene exposure impaired copulatory performance,
diminished sexual incentive motivation and delayed noncontact erection occurrence
in sexually experienced rats. Repeated toluene exposure during adolescence
completely inhibited the onset of copulatory behaviours in sexually naive rats,
at the time at which they should have appeared. However, once the inhalant
exposure ended, copulatory responses appeared gradually, and animals attained a
typical, stable copulatory pattern. In conclusion, acute toluene exposure impairs
sexual behaviour in young, sexually experienced animals, while repeated toluene
exposure during adolescence prevents the onset of copulatory behaviour, although
this effect is transitory.
PMID- 29797471
TI - A Reversible Fluorescent Probe for Real-Time Live-Cell Imaging and Quantification
of Endogenous Hydropolysulfides.
AB - The chemical biology of reactive sulfur species, including hydropolysulfides, has
been a subject undergoing intense study in recent years, but further
understanding of their "intact" function in living cells has been limited owing
to a lack of appropriate analytical tools. In order to overcome this limitation,
we developed a new type of fluorescent probe that reversibly and selectively
reacts to hydropolysulfides. The probe enables live-cell visualization and
quantification of endogenous hydropolysulfides without interference from
intrinsic thiol species such as glutathione. Additionally, real-time reversible
monitoring of oxidative-stress-induced fluctuation of intrinsic hydropolysulfides
has been achieved with a temporal resolution on the order of seconds, a result
which has not yet been realized using conventional methods. These results reveal
the probe's versatility as a new fluorescence imaging tool to understand the
function of intracellular hydropolysulfides.
PMID- 29797470
TI - Easy-to-use algorithm would provide faster diagnoses for mucopolysaccharidosis
type I and enable patients to receive earlier treatment.
AB - AIM: The aim of this study was to develop an algorithm to prompt early clinical
suspicion of mucopolysaccharidosis type I (MPS I). METHODS: An international
working group was established in 2016 that comprised 11 experts in paediatrics,
rare diseases and inherited metabolic diseases. They reviewed real-world clinical
cases, selected key signs or symptoms based on their prevalence and specificity
and reached consensus about the algorithm. The algorithm was retrospectively
tested. RESULTS: An algorithm was developed. In patients under two years of age,
kyphosis or gibbus deformity were the key symptoms that raised clinical suspicion
of MPS I and in those over two years they were kyphosis or gibbus deformity, or
joint stiffness or contractures without inflammation. The algorithm was tested on
35 cases, comprising 16 Hurler, 10 Hurler-Scheie, and nine Scheie patients. Of
these 35 cases, 32 (91%) - 16 Hurler, nine Hurler-Scheie and seven Scheie
patients - would have been referred earlier if the algorithm had been used.
CONCLUSION: The expert panel developed and tested an algorithm that helps raise
clinical suspicion of MPS I and would lead to a more prompt final diagnosis and
allow earlier treatment.
PMID- 29797472
TI - Impacting incidence of disease through population-based interventions: Appraisal
of the ARIC study findings.
PMID- 29797473
TI - The categorisation of resistance: interpreting failure to follow a proposed line
of action in the diagnosis of autism amongst young adults.
AB - Many characteristics typical of autism, a neurodevelopmental condition
characterised by socio-communicative impairments, are most evident during social
interaction. Accordingly, procedures such as the Autism Diagnosis Observation
Schedule (ADOS) are interactive and intended to elicit interactional impairments:
a diagnosis of autism is given if interactional difficulties are attributed as a
persistent quality of the individual undergoing diagnosis. This task is
difficult, first, because behaviours can be interpreted in various ways and,
second, because conversation breakdown may indicate a disengagement with, or
resistance to, a line of conversation. Drawing upon conversation analysis, we
examine seven ADOS diagnosis sessions and ask how diagnosticians distinguish
between interactional resistance as, on the one hand, a diagnostic indicator and,
on the other, as a reasonable choice from a range of possible responses. We find
evidence of various forms of resistance during ADOS sessions, but it is a
resistance to a line of conversational action that is often determined to be
indicative of autism. However, and as we show, this attribution of resistance can
be ambiguous. We conclude by arguing for reflexive practice during any diagnosis
where talk is the problem, and for a commitment to acknowledge the potential
impact of diagnostic procedures themselves upon results.
PMID- 29797474
TI - Optogenetic activation of the central amygdala generates addiction-like
preference for reward.
AB - Drug and behavioural addictions are characterized by an intense and focused
pursuit of a single reward above all others. Pursuit of the addictive reward is
often compulsively sought despite adverse consequences and better alternative
outcomes. Here, we explored the ability of the central amygdala (CeA) to
powerfully bias choice, causing specific rewards to be almost compulsively
preferred. Rats were trained on an operant choice task in which they could choose
to respond on either of the two levers to receive a sucrose reward, one of which
was paired with optogenetic stimulation of the CeA using channelrhodopsin-2
(ChR2). Rats developed an almost exclusive preference for the laser-paired reward
over the otherwise equal unpaired reward. We found that this preference for
stimulation-paired reward persists even when a much larger sucrose reward is
offered as an alternative (contingency management) or when this preferred reward
is paired with adverse consequences such as progressively larger electric foot
shock, time delays or effort requirements. We also report that when challenged
with foot shock, a small proportion of these animals (~20%) retained an exclusive
laser-paired reward preference, whereas others began to seek the alternate reward
when the shock reached high levels. Lastly, we confirmed that optogenetic CeA
stimulation was not independently rewarding if delivered in the absence of a
paired sucrose reward. These results suggest a role for the CeA in focusing
motivation and desire to excessive levels, generating addiction-like behaviour
that persists in the face of more rewarding alternatives and adverse
consequences.
PMID- 29797477
TI - New Members and Foreign Associates of the National Academy of Sciences.
PMID- 29797476
TI - Molecular and physiological characterization of six-way resistance in an
Amaranthus tuberculatus var. rudis biotype from Missouri.
AB - BACKGROUND: Previous research reported the first case of six-way herbicide
resistance in a common waterhemp (Amaranthus tuberculatus var. rudis) biotype
from Missouri, USA designated MO-Ren. This study investigated the mechanisms of
multiple-resistance in the MO-Ren biotype to herbicides from six site-of-action
(SOA) groups, i.e. synthetic auxins, 5-enolypyruvyl-shikimate-3-phosphate
synthase (EPSPS)-, protoporphyrinogen oxidase (PPO)-, acetolactate synthase (ALS)
, photosystem II (PSII)-, and 4-hydroxyphenyl-pyruvate-dioxygenase (HPPD)
inhibitors. RESULTS: Genomic DNA sequencing confirmed the presence of known
mutations associated with ALS- or PPO-inhibiting herbicide resistance: the Trp
574-Leu amino acid substitution in the ALS enzyme and the codon deletion
corresponding to the DeltaG210 in the PPX2 enzyme. No target-site point mutations
associated with resistance to PSII- and EPSPS-inhibitors were detected.
Quantitative polymerase chain reaction (qPCR) indicated that MO-Ren plants
contained five-fold more copies of the EPSPS gene than susceptible plants.
Malathion in combination with 2,4-D (2,4-dichlorophenoxyacetic acid), mesotrione,
and chlorimuron POST enhanced the activity of these herbicides indicating that
metabolism due to cytochrome P450 monooxygenase activity was involved in
herbicide resistance. 4-Chloro-7-nitrobenzofurazan (NBD-Cl), a glutathione-S
transferase (GST)-inhibitor, in combination with atrazine did not reduce the
biomass accumulation. Reduced absorption or translocation of 2,4-D did not
contribute to resistance. However, the resistant biotype metabolized 2,4-D, seven
to nine-fold faster than the susceptible. CONCLUSION: Target-site point
mutations, gene amplification, and elevated rates of metabolism contribute to six
way resistance in the MO-Ren biotype, suggesting both target site and non-target
site mechanisms contribute to multiple herbicide resistance in this Amaranthus
tuberculatus biotype. (c) 2018 Society of Chemical Industry.
PMID- 29797475
TI - Clinical value of jointly detection pleural fluid Midkine, pleural fluid
adenosine deaminase, and pleural fluid carbohydrate antigen 125 in the
identification of nonsmall cell lung cancer-associated malignant pleural
effusion.
AB - BACKGROUND: Midkine (MK) level has been shown to be elevated in serum of patients
with nonsmall cell lung cancer (NSCLC). However, the diagnostic value of MK in
pleural effusion in NSCLC has not been well validated and established. METHODS:
Samples of NSCLC-associated malignant pleural effusions (MPE) and benign
effusions (BPE) were collected. The pleural fluid MK (pMK), pleural fluid
adenosine deaminase (pADA), pleural fluid lactate dehydrogenase (pLDH), pleural
fluid glucose (pGLU), pleural fluid ferritin (pFER), pleural fluid CA199
(pCA199), pleural fluid CA125 (pCA125), pleural effusion white cell count (pWBC),
and pleural effusion red cell count (pRBC) were analyzed, and the clinical data
of each group were collected for statistical analysis. RESULT: The level of pMK,
pCA125, pMK + pCA125, and pMK + pCA125 + pADA in the MPE was significantly higher
than the BPE group (P = .003, .000, .000, .000). The pADA level in the BPE was
significantly higher than the MPE group (P = .003). It showed that the area under
the ROC curve (AUC) (0.816) of jointly detection pMK, pCA125, and pADA was
significantly higher than other markers for the diagnosis of MPE. Therefore,
joint detection of pMK + pCA125 + pADA suggested that the sensitivity,
specificity, and AUC was 82.54%, 74.19% at the cutoff 0.47 and diagnostic
performance was higher than others. CONCLUSION: Joint detection of pMK + pCA125 +
pADA can be used as a good indicator for the identification of MPE of NSCLC.
PMID- 29797478
TI - Varicella zoster virus as a possible trigger for the development of pityriasis
lichenoides et varioliformis acuta: retrospective analysis of our institutional
cases.
AB - Although numerous infective agents, including varicella zoster virus (VZV), have
been described in association with pityriasis lichenoides et varioliformis acuta
(PLEVA) and pityriasis lichenoides chronica (PLC), none has been identified
consistently in these lesions. We sought to immunohistochemically identify VZV
glycoprotein (g)E antigens in the vascular endothelium in PLEVA and PLC lesions,
based on our previous observation that gE was detected in the vascular
endothelium and eccrine unit up until 2 months and 2.5, respectively, years after
herpes zoster (HZ) infection. In five of the six cases of PLEVA, VZV gE was
identified in the endothelial cells and eccrine epithelium, as observed in HZ
lesions, whereas VZV gE was detected in only one of seven patients with PLC. None
of the patients with PLEVA who had VZV gE-positive vascular endothelial cells had
experienced previous episodes of HZ. VZV may be one of the aetiological agents
for PLEVA while other aetiological factors could exist in PLC.
PMID- 29797479
TI - Evolutionary divergence of mitochondrial genomes in two Tetranychus species
distributed across different climates.
AB - There is increasing evidence that mitochondrial genomes (mitogenomes) can be
under selection, whereas the selective regimes shaping mitogenome evolution
remain largely unclear. To test for mitogenome evolution in relation to the
climate adaptation, we explored mtDNA variation in two spider mite (Tetranychus)
species that distribute across different climates. We sequenced 26 complete
mitogenomes of Tetranychus truncates, which occurs in both warm and cold regions,
and nine complete mitogenomes of Tetranychus pueraricola, which is restricted to
warm regions. Patterns of evolution in the two species' mitogenomes were compared
through a series of dN /dS methods and physicochemical profiles of amino acid
replacements. We found that: (1) the mitogenomes of both species were under
widespread purifying selection; (2) elevated directional adaptive selection was
observed in the T. truncatus mitogenome, perhaps linked to the cold climates
adaptation of T. truncatus; and (3) the strength of selection varied across
genes, and diversifying positive selection detected on ND4 and ATP6 pointed to
their crucial roles during adaptation to different climatic conditions. This
study gained insight into the mitogenome evolution in relation to the climate
adaptation.
PMID- 29797480
TI - A novel psbA mutation (Phe274-Val) confers resistance to PSII herbicides in wild
radish (Raphanus raphanistrum).
AB - BACKGROUND: Wild radish (Raphanus raphanistrum) is a globally important weed of
crops. Two atrazine-resistant wild radish populations (R1 and R2), collected from
the Western Australia grain belt, were investigated for resistance to photosystem
II (PSII) herbicides. RESULTS: Sequencing of the full-length psbA gene revealed
the well-known Ser264-Gly substitution in population R1, whereas population R2
displayed a novel Phe274-Val substitution. Herbicide dose-response studies
confirmed that the population with the Ser264-Gly mutation exhibited high-level
resistance to atrazine, but super-sensitivity to bromoxynil. Plants possessing
the novel Phe274-Val mutation exhibited a modest level of resistance to atrazine,
metribuzin and diuron, and were bromoxynil susceptible. Structural modelling of
the mutant D1 proteins predicts that the Ser264-Gly mutation endows atrazine
resistance by abolishing H-bonds, but confers bromoxynil super-sensitivity by
enhancing hydrogen bonding. The Phe274-Val substitution provides resistance to
atrazine and diuron by indirectly affecting H-bond formation between the Ser264
residue and the herbicides. CONCLUSION: The results demonstrate that the Phe274
Val mutation is likely responsible for resistance to PSII-inhibiting triazine and
urea herbicides. To our knowledge, this is the first evidence of the psbA Phe274
Val mutation in wild radish conferring resistance to PSII herbicides. (c) 2018
Society of Chemical Industry.
PMID- 29797481
TI - Refining area of occupancy to address the modifiable areal unit problem in
ecology and conservation.
AB - The modifiable areal unit problem is prevalent across many aspects of spatial
analysis within ecology and conservation. The problem is particularly manifested
when calculating metrics for extinction risk estimation, for example, area of
occupancy (AOO). Although embedded in the International Union for the
Conservation of Nature (IUCN) Red List criteria, AOO is often not used or is
poorly applied. We evaluated new and existing methods for calculating AOO from
occurrence records and devised a method for determining the minimum AOO with a
uniform grid. We evaluated the grid cell shape, origin, and rotation with real
world and simulated data and reviewed the effects on AOO values and possible
impacts for species already assessed on the IUCN Red List. The AOO varied by up
to 80%, and a ratio of cells to points of 1:1.21 yielded the maximum variation in
the number of occupied cells. These findings potentially impact 3% of existing
species on the IUCN Red List and species not yet assessed. Our new method
combined grid rotation and moving grid origin and gave fast, robust, and
reproducible results and, in the majority of cases, achieved the minimum AOO. As
well as determining minimum AOO, our method yielded a confidence interval that
should be incorporated into existing tools that support species risk assessment.
We recommend when recording AOO and other areal measurements that the methods;
summary statistics across multiple iterations; angle and origin of the minimum
grid; map projection; and datum be recorded, this will lead to more robust
species risk assessments.
PMID- 29797483
TI - Serial passage through resistant and susceptible cucumber cultivars affects the
virulence of Fusarium oxysporum f. sp. cucumerinum.
AB - Fusarium oxysporum f. sp. cucumerinum (Foc) is the causal pathogen of cucumber
Fusarium wilt resulting in losses to cucumber production. To investigate the
effects of the selective pressures of host plants on the virulence of Foc, a low
virulence isolate, foc-3b, was successively inoculated on resistant and
susceptible cucumber cultivars for five generations. The virulence of the
original isolate diverged; virulence was significantly strengthened after serial
passage on the resistant cultivar and weakened on the susceptible plants (p ?
.05). The expression of four virulence-related genes of F. oxysporum, G-protein
alpha subunit gene fga1, sucrose nonfermenting 1 gene snf1, F-box protein gene
frp1, and Class V chitin synthase gene chsV, was quantified using real-time PCR.
All genes were significantly upregulated after serial passage on the resistant
cultivar, compared to the original strain, and the expression of snf1 was
downregulated in strains re-isolated from the susceptible plants (p ? .05). A
significant positive correlation was found between the expression levels of gene
snf1, frp1, and chsV and disease severity of cucumber Fusarium wilt, suggesting
these genes may impact virulence differentiation. This study will improve the
management of cucumber Fusarium wilt and provide insight into the mechanisms
underlying virulence of F. oxysporum.
PMID- 29797484
TI - Flexibility of pigment cell behavior permits the robustness of skin pattern
formation.
AB - The striped pigmentation pattern of zebrafish is determined by the interaction
between pigment cells with different colors. Recent studies show the behaviors of
pigment cells are substantially different according to the environment.
Interestingly, the resulting patterns are almost identical, suggesting a
robustness of the patterning mechanism. To know how this robustness originates,
we investigated the behavior of melanophores in various environments including
different developmental stages, different body positions, and different genetic
backgrounds. Normally, when embryonic melanophores are excluded from the yellow
stripe region in the body trunk, two different cellular behaviors are observed.
Melanophores migrate to join the black stripe or disappear (die) in the position.
In environments where melanophore migration was restricted, we observed that most
melanophores disappeared in their position, resulting in the complete exclusion
of melanophores from the yellow stripe. In environments where melanophore cell
death was restricted, most melanophores migrated to join the black stripes, also
resulting in complete exclusion. When both migration and cell death were
restricted, melanophores remained alive in the yellow stripes. These results show
that migration and cell death complement each other to achieve the exclusion of
melanophores. This flexibility may be the basis of the mechanistic robustness of
skin pattern formation.
PMID- 29797482
TI - Pbx loss in cranial neural crest, unlike in epithelium, results in cleft palate
only and a broader midface.
AB - Orofacial clefting represents the most common craniofacial birth defect. Cleft
lip with or without cleft palate (CL/P) is genetically distinct from cleft palate
only (CPO). Numerous transcription factors (TFs) regulate normal development of
the midface, comprising the premaxilla, maxilla and palatine bones, through
control of basic cellular behaviors. Within the Pbx family of genes encoding
Three Amino-acid Loop Extension (TALE) homeodomain-containing TFs, we previously
established that in the mouse, Pbx1 plays a preeminent role in midfacial
morphogenesis, and Pbx2 and Pbx3 execute collaborative functions in domains of
coexpression. We also reported that Pbx1 loss from cephalic epithelial domains,
on a Pbx2- or Pbx3-deficient background, results in CL/P via disruption of a
regulatory network that controls apoptosis at the seam of frontonasal and
maxillary process fusion. Conversely, Pbx1 loss in cranial neural crest cell
(CNCC)-derived mesenchyme on a Pbx2-deficient background results in CPO, a
phenotype not yet characterized. In this study, we provide in-depth analysis of
PBX1 and PBX2 protein localization from early stages of midfacial morphogenesis
throughout development of the secondary palate. We further establish CNCC
specific roles of PBX TFs and describe the developmental abnormalities resulting
from their loss in the murine embryonic secondary palate. Additionally, we
compare and contrast the phenotypes arising from PBX1 loss in CNCC with those
caused by its loss in the epithelium and show that CNCC-specific Pbx1 deletion
affects only later secondary palate morphogenesis. Moreover, CNCC mutants exhibit
perturbed rostro-caudal organization and broadening of the midfacial complex.
Proliferation defects are pronounced in CNCC mutants at gestational day (E)12.5,
suggesting altered proliferation of mutant palatal progenitor cells, consistent
with roles of PBX factors in maintaining progenitor cell state. Although the
craniofacial skeletal abnormalities in CNCC mutants do not result from overt
patterning defects, osteogenesis is delayed, underscoring a critical role of PBX
factors in CNCC morphogenesis and differentiation. Overall, the characterization
of tissue-specific Pbx loss-of-function mouse models with orofacial clefting
establishes these strains as unique tools to further dissect the complexities of
this congenital craniofacial malformation. This study closely links PBX TALE
homeodomain proteins to the variation in maxillary shape and size that occurs in
pathological settings and during evolution of midfacial morphology.
PMID- 29797485
TI - Genome editing reveals the function of Yorkie during the embryonic and early
larval development in silkworm, Bombyx mori.
AB - As a transcriptional coactivator, Yorkie (Yki) is a major downstream target of
the Hippo signalling pathway to regulate the organ size during animal development
and regeneration. Previous microarray analysis in the silkworm, Bombyx mori, has
shown that genes associated with the Hippo pathway were primarily expressed in
gonads and imaginal discs. The RNA-interference-mediated silencing of Yki at the
early wandering stage delayed B. mori development and ovary maturation, whereas
baculovirus-mediated overexpression at the late larval instar facilitated organ
growth and accelerated metamorphosis. Here, we employed CRISPR/Cas9-mediated
mutagenesis to investigate the function of Yki in B. mori (BmYki) at the
embryonic and early larval stages. Knocking out of BmYki led to reduced body
size, moulting defects and, eventually, larval lethality. Sequence analysis of
CRISPR/Cas9 mutants exhibited an array of deletions in BmYki. As a critical
downstream effector of the Hippo kinase cassette, silencing of BmYki at the
embryonic stage is indispensable and the consequence is lethal. Given that the
Hippo signalling pathway is evolutionarily conserved, Yki has the potential to be
a novel molecular target for genetic-based pest management practices.
PMID- 29797486
TI - Analysis of predictors of pain response in patients with bone metastasis
undergoing palliative radiotherapy: Does age matter?
AB - INTRODUCTION: To evaluate whether age is a predictor of pain response after
radiotherapy for painful bone metastasis (BM). METHODS: Between June 2010 and
June 2014, 204 patients with BM undergoing palliative radiotherapy participated
in a multicentre prospective study. Patients completed the Brief Pain Inventory
(BPI) to rate the intensity pain (from 0 to 10) at baseline and 4 weeks after
radiotherapy. To determine which variables predicted pain response and
particularly whether age is a predictor, logistic regression analysis was used.
Baseline variables considered were: age (<=65/66-75/>75 years), sex, Eastern
Cooperative Oncology Group performance status (0-1/>=2), pretreatment pain score
(<=4/5-7/>=8), radiotherapy (single/multiple fraction), primary tumour location,
visceral metastases (yes/no), concomitant systemic chemotherapy and
bisphosphonate use (yes/no). RESULTS: Pain response was assessed in the 128
patients who completed BPI pretreatment and at 4 weeks after radiotherapy.
According to univariate analysis, pain response was better in over 75-year-olds
than younger patients: (OR, 3.2; 95% CI, 1.1-9.1; P = 0.031). Response was better
in patients receiving multiple fractions rather than a single fraction of 8 Gy
(OR, 2.8; 95% CI, 1.2-6.1; P = 0.01), and in patients with a pretreatment pain
score >=8 vs <=7 (OR, 2.4; 95% CI, 1.1-5.0; P = 0.017). No other variables were
significant. Multivariate analysis showed that treatment schedule (OR, 3.4; 95%
CI 1.4-7.9; P = 0.004) and pre-radiotherapy pain score (OR, 2.8; 95% CI 1.3-6.3;
P = 0.009) were the only independent predictors of pain response. CONCLUSION: All
patients with painful bone metastasis should be referred for palliative
radiotherapy to relieve the pain regardless of age. Therefore, an older age
should not be a reason to withhold palliative radiation treatment.
PMID- 29797487
TI - Adsorption of Hydrogen Sulfide, Hydrosulfide and Sulfide at Cu(110) -
Polarizability and Cooperativity Effects. First Stages of Formation of a Sulfide
Layer.
AB - Understanding the surface site preference for single adsorbates, the interactions
between adsorbates, how these interactions affect surface site specificity in
adsorption and perturb the electronic states of surfaces is important for
rationalizing the structure of interfaces and the growth of surface products.
Herein, using density functional theory (DFT) calculations, we investigated the
adsorption of H2 S, HS and, S onto Cu(110). The surface site specificity observed
for single adsorbates can be largely affected by the presence of other
adsorbates, especially S that can affect the adsorption of other species even at
distances of 13 A. The large supercell employed with a surface periodicity of
(6*6) allowed us to safely use the Helmholtz method for the determination of the
dipole of the surface-adsorbate complex at low adsorbate coverages. We found that
the surface perturbation induced by S can be explained by the charge transfer
model, H2 S leads to a perturbation of the surface that arises mostly from Pauli
exclusion effects, whereas HS shows a mix of charge transfer and Pauli exclusion
effects. These effects have a large contribution to the long range adsorbate
adsorbate interactions observed. Further support for the long range adsorbate
adsorbate interactions are the values of the adsorption energies of adsorbate
pairs that are larger than the sum of the adsorption energies of the single
adsorbates that constitute the pair. This happens even for large distances and
thus goes beyond the H-bond contribution for the H-bond capable adsorbate pairs.
Exploiting this knowledge we investigated two models for describing the first
stages of growth of a layer of S-atoms at the surface: the formation of islands
versus the formation of more homogeneous surface distributions of S-atoms. We
found that for coverages lower than 0.5 ML the S-atoms prefer to cluster as
islands that evolve to stripes along the [1 1? 0] direction with increasing coverage. At 0.5
ML a homogeneous distribution of S-atoms becomes more stable than the formation
of stripes. For the coverage equivalent to 1 ML, the formation of two half
monolayers of S-atoms that disrupt the Cu-Cu bonds between the first and second
layer is more favorable than the formation of 1 ML homogeneous coverage of S
atoms. Here the S-Cu bond distances and geometries are reminiscent of pyrite,
covellite, and to some extent chalcocite. The small energy difference of ~0.1 eV
that exists between this structure and the formation of 1 ML suggests that in a
real system at finite temperature both structures may coexist leading to a
structure with even lower symmetry.
PMID- 29797488
TI - Primary prevention of chronic kidney disease through population-based strategies
for blood pressure control: The ARIC study.
AB - While much of the chronic kidney disease (CKD) literature focuses on the role of
blood pressure reduction in delaying CKD progression, little is known about the
benefits of modest population-wide decrements in blood pressure on incident CKD.
The authors used multivariable linear regression to characterize the impact on
incident CKD of two approaches for blood pressure management: (1) a 1-mm Hg
reduction in systolic BP across the entire study population; and (2) a 10%
reduction in participants with unaware, untreated, and uncontrolled BP above goal
as defined by the Seventh Report of the Joint National Committee on Prevention,
Detection, Evaluation, and Treatment of High Blood Pressure (JNC 7) thresholds.
Over a mean of 20 years of follow-up (ARIC [Atherosclerosis Risk in Communities]
study, n = 15 390), 3852 incident CKD events were ascertained. After adjustment,
a 1-mm Hg decrement in systolic BP across the population was associated with an
estimated 11.7 (95% confidence interval [CI], 6.2-17.3) and 13.4 (95% CI, 10.3
16.6) fewer CKD events per 100 000 person-years in blacks and whites,
respectively. Among participants with BP above JNC 7 goal, a 10% decrease in
unaware, untreated, or uncontrolled BP was associated with 3.2 (95% CI, 2.0-4.9),
2.8 (95% CI, 1.8-4.3), and 5.8 (95% CI, 3.6-8.8) fewer CKD events per 100 000
person-years in blacks and 3.1 (95% CI, 2.3-4.1), 0.7 (95% CI, 0.5-0.9), and 1.0
(95% CI, 1.3-2.4) fewer CKD events per 100 000 person-years in whites. Modest
population-wide reductions in systolic BP hold potential for the primary
prevention of CKD.
PMID- 29797489
TI - Novel autosomal recessive LAMA3 and PLEC variants underlie junctional
epidermolysis bullosa generalized intermediate and epidermolysis bullosa simplex
with muscular dystrophy in two consanguineous families.
PMID- 29797490
TI - Congenital acute myeloid leukaemia with KMT2A rearrangement.
PMID- 29797491
TI - The effectiveness of a nail-biting prevention program among primary school
students.
AB - PURPOSE: This study aimed to demonstrate the effect of a program called "Do Not
Bite Your Nails, Cut Your Nails," which is based on a health promotion model to
change the nail-biting habit among primary school students. DESIGN AND METHODS:
This quasi-experimental study was conducted using the pre- and post-test and
interrupted time series design. The study sample included 299 students. Nurse
observation form and nail follow-up chart were used to evaluate behavioral
outcomes. This program was conducted under the guidance of school nurses and
lasted 6 weeks. The data were analyzed using McNemar and Cochran Q tests.
RESULTS: The number of those who selected "yes" for "I cut my nails this weekend"
and "I didn't bite my nails today" increased. However, the number of the students
that selected "yes" for "I pulled out my nails," "I pulled out and ate," "I
pulled out my nail skin," "My cuticle bled today," and "My nails hurt today"
decreased significantly and statistically compared with pretest, first and second
observation, and post-test (P < 0.001). The rate of the students with minimum one
abnormal finger was 83.9% according to observations, and 6% following the
intervention (P < 0.001). New insights were provided into the program to diminish
nail-biting habit. PRACTICE IMPLICATIONS: The program significantly reduced the
nail-biting rate among primary school students. The nurse that implement this
program will be able to do a comprehensive evaluation of students' nail-biting
habits, perform solution-focused interventions, and prevent advanced
complications that might develop in connection to nail-biting. Thus, the
effectiveness of nursing implementations will improve in the prevention of nail
biting habit, early diagnosis, and changing students' habits. "Do Not Bite Your
Nails, Cut Your Nails" program can be applied again in different schools.
PMID- 29797492
TI - The regulation of three new members of the cytochrome P450 CYP6 family and their
promoters in the cotton aphid Aphis gossypii by plant allelochemicals.
AB - BACKGROUND: The expression of P450 genes in insects can be induced by plant
allelochemicals. To understand the induction mechanisms, we measured the
expression profiles of three P450 genes and their promoter activities under the
induction of plant allelochemicals. RESULTS: The inducible expression of CYP6CY19
was the highest among three genes, followed by those of CYP6CY22 and CYP6DA1. The
regions from -687 to +586 bp of CYP6DA1, from -666 to +140 bp of CYP6CY19 and
from -530 to +218 bp of CYP6CY22 were essential for basal transcriptional
activity. The cis-elements for plant allelochemicals induction were identified
between -193 and +56 bp of CYP6DA1, between -157 and +140 bp of CYP6CY19 and
between -108 and +218 bp of CYP6CY22. These promoter regions were found to
contain a potential aryl hydrocarbon receptor element binding site with a
conservative sequence motif 5'-C/TAC/ANCA/CA-3'. All these four plant
allelochemicals were able to induce the expression of these P450 genes. Tannic
acid had a better inductive effect than other three plant allelochemicals.
CONCLUSIONS: Our study identified the plant allelochemical responsive cis
elements. This provides further research targets aimed at understanding the
regulatory mechanisms of P450 genes expression and their interactions with plant
allelochemicals in insect pests. (c) 2018 Society of Chemical Industry.
PMID- 29797493
TI - The integration and application of genomic information in mangrove conservation.
PMID- 29797494
TI - Marine macroalgae as sources of protein and bioactive compounds in feed for
monogastric animals.
AB - Marine macroalgae are considered as promising sustainable alternatives to
conventional terrestrial animal feed resources. The advantages include high
growth rate, potential cultivation in saltwater, and no occupation of arable
land. Macroalgae are broadly classified as brown (Phaeophyta), red (Rhodophyta)
and green (Chlorophyta) algae, and are a diverse group of marine organisms. The
nutritional value of macroalgae is highly variable. The protein and essential
amino acid content can be low, especially in brown species, and indigestible
polysaccharides adversely affect the energy value. Optimal use of macroalgae in
feeds requires suitable processing, and biorefinery approaches may increase
protein content and improve nutrient availability. Macroalgae are rich in unique
bioactive components and there is a growing interest in the potentially
beneficial health effects of compounds such as laminarin and fucoidan in
different macroalgal and macroalgal products. This review summarizes current
literature on different aspects of the use of macroalgae as sources of protein
and health-promoting bioactive compounds in feed for monogastric animal species.
(c) 2018 The Authors. Journal of The Science of Food and Agriculture published by
John Wiley & Sons Ltd on behalf of Society of Chemical Industry.
PMID- 29797495
TI - Enantioselective Alkylation of N-Arylhydrazones Derived from alpha-Keto Esters
and Isatin Derivatives through Asymmetric Phase-Transfer Catalysis.
AB - The phase-transfer-catalyzed asymmetric alkylation reactions of N-arylhydrazones
derived from alpha-keto-esters and isatin derivatives afford enantioenriched azo
compounds that bear a tetra-substituted carbon stereocenter in good yields with
high chemo- and enantioselectivity. The alkylation products can be readily
converted into chiral amino esters, hydrazine derivatives, and aza-beta-lactams
without loss of enantiopurity.
PMID- 29797496
TI - Polypharmacological Drug-target Inference for Chemogenomics.
AB - Pharmacological drug actions are often caused by multi-target effects. While most
of the currently approved synthetic drugs were designed to interact with a single
'on-target', these chemical agents often interact with additional 'off-targets'.
Understanding and rationalizing these multiple interactions will be indispensable
for the design of future precision medicines. We employed computational
predictions of drug-target interactions to analyze functional drug-drug
relationships. 900 approved drugs were represented in terms of their predicted
activity fingerprints, considering 1158 potential target activities. A drug
relationship network was constructed based on fingerprint similarity. The
resulting network graph highlights clusters of compounds sharing similar
predicted on- and off-targets, and allows to identify mutual targets of drugs
that were originally developed for different therapeutic indications. Such an
analysis offers straightforward access to spotting potential off-target
liabilities and drug-drug interactions, as well as drug repurposing
opportunities.
PMID- 29797497
TI - Piepkorn type of osteochondrodysplasia: Defining the severe end of FLNB-related
skeletal disorders in three fetuses and a 106-year-old exhibit.
AB - The Piepkorn type of lethal osteochondrodysplasia (POCD) is a rare and lethal
dwarfing condition. Four cases have been reported to date. The characteristic
features are distinctly shortened "flipper-like" limbs, polysyndactyly, excessive
underossification, especially of the limb bones and vertebrae, and large (giant)
chondrocytes in the cartilaginous bone primordia. These characteristics allowed
the diagnosis of Piepkorn type of osteochondrodysplasia in four new cases, three
fetuses of 15 to 22 weeks and one 106-year-old museum exhibit. Piepkorn type of
osteochondrodysplasia has been assigned to the giant cell chondrodysplasias such
as atelosteogenesis type 1 (AO1) and boomerang dysplasia (BD). Analysis of the
Filamin B gene in 3p14.3, which is associated with these disorders, allowed the
identification of the first FLNB mutations in Piepkorn type of
osteochondrodysplasia. The heterozygous missense mutations, found in the three
fetuses, were located in exons 28 and 29, encoding the immunoglobulin-like repeat
region R15, one of three mutational hot spots in dominant FLNB-related skeletal
disorders. Direct preparations and alcian blue staining revealed single upper and
lower arm and leg bone primordia, preaxial oligodactyly, and polysyndactyly with
complete fusion and doubling of the middle and end phalanges II-V to produce
eight distal finger rays. Considering the unique clinical features and the extent
of underossification, Piepkorn type of osteochondrodysplasia can be regarded as a
distinct entity within the AO1-BD-POCD continuum.
PMID- 29797498
TI - Challenging behaviours at early adulthood in autism spectrum disorders:
topography, risk factors and evolution.
AB - BACKGROUND: Challenging behaviours are highly prevalent in children and
adolescents with autism spectrum disorders (ASD), but little is known about the
prevalence and course of these behaviours during adulthood. The aims of this
study were to describe the topography of challenging behaviours in a cohort of
106 young adults with ASD and to identify the risk factors for challenging
behaviours. Our secondary objective was to study the changes in challenging
behaviours from adolescence to early adult years. METHOD: The present study uses
data from the EpiTED prospective follow-up study in France. The presence of
challenging behaviours was assessed by the Aberrant Behaviour Checklist (ABC)
completed by parent informants. Several dimensions of behaviour were studied:
irritability, stereotypy, lethargy, hyperactivity and self-injury. Clinical
variables were collected on ASD symptom severity, cognitive and language levels,
adaptive behaviours and comorbid medical disorders. RESULTS: The presence of
challenging behaviours at early adulthood was related to the young adult's
cognitive and language level, ASD symptom severity and comorbid gastrointestinal
and sleep disorders. The main risk factor for challenging behaviours was ASD
symptom severity. The level of language impairment was a significant predictor of
self-injury. Gastrointestinal disorders were a significant predictor of
stereotypy. The change in behaviour topography from adolescence to early adult
years corresponded with decreased parent report of hyperactivity, but no
significant decrease in parent reports of irritability, stereotypy, lethargy and
self-injurious behaviours. CONCLUSIONS: The challenging behaviours in individuals
with ASD persist in early adulthood and are related to core symptom severity,
levels of cognitive and language impairments and medical comorbidity. The results
emphasise the importance of early interventions for children with ASD to target
cognitive and language abilities and to alleviate the severity of ASD symptoms.
They also underscore the need to enhance opportunities for individuals with ASD
to better communicate discomforts and pain in the context of medical illness.
PMID- 29797499
TI - Survival benefit of conversion surgery for patients with initially unresectable
pancreatic cancer who responded favorably to nonsurgical treatment.
AB - BACKGROUND: Conversion surgery (CS) is expected as a new therapeutic strategy for
patients with unresectable pancreatic cancer (UR-PC). We analyzed outcomes of CS
for patients with UR-PC and evaluated the survival benefit of CS. METHODS: Thirty
four patients diagnosed with UR-PC according to the National Comprehensive Cancer
Network guideline underwent CS in our hospital. Resectability was considered by
multimodal images in patients who underwent nonsurgical treatment (NST) for more
than 6 months. CS was performed only in patients who were judged to be able to
undergo R0 resection. RESULTS: Twenty-six patients had locally advanced PC, and
eight had distant metastases. The median duration of NST was 9 (range 5-44)
months. R0 resection was achieved in 30 patients (88.2%). Six patients (17.6%)
showed Evans grade >=III. Three- and 5-year overall survival (OS) rates from
initial treatment were 74% and 56.9%, respectively, with median survival time
(MST) of 5.3 years. The actual 5-year OS rate in 19 patients was 47.4% with an
MST of 4.0 years. Patients with Evans grade >=III had a better prognosis than
those with Evans grade 1-week washouts. Treatments
consisted of fructose or allulose at 0 g (control), 5 g or 10 g added to a 75-g
glucose solution. A standard 75-g oral glucose tolerance test protocol was
followed with blood samples at -30, 0, 30, 60, 90 and 120 minutes. The primary
outcome measure was plasma glucose incremental area under the curve (iAUC).
RESULTS: Allulose significantly reduced plasma glucose iAUC by 8% at 10 g
compared with 0 g (717.4 +/- 38.3 vs. 777.5 +/- 39.9 mmol * min/L, P = 0.015)
with a linear dose response gradient between the reduction in plasma glucose iAUC
and dose (P = 0.016). Allulose also significantly reduced several related
secondary and exploratory outcome measures at 5 g (plasma glucose absolute mean
and total AUC) and 10 g (plasma glucose absolute mean, absolute and incremental
maximum concentration [Cmax ], and total AUC) (P < .0125). There was no effect of
fructose at any dose. Although allulose showed statistically significant
reductions in plasma glucose iAUC compared with fructose at 5 g, 10 g and pooled
doses, these reductions were within the pre-specified equivalence margins of +/
20%. CONCLUSION: Allulose, but not fructose, led to modest reductions in the
postprandial blood glucose response to oral glucose in individuals with type 2
diabetes. There is a need for long-term randomized trials to confirm the
sustainability of these improvements.
PMID- 29797505
TI - Fetal lung size after thoracoamniotic shunting reflects survival in primary fetal
hydrothorax with hydrops.
AB - AIM: To assess the role of lung size and abnormal Doppler findings in the
umbilical artery (UA) in determining the outcomes of fetuses with primary fetal
hydrothorax (FHT) associated with hydrops who underwent thoracoamniotic shunting
(TAS). METHODS: This was a retrospective study at a single center. We included
cases of primary FHT with hydrops who underwent TAS at our hospital between 2004
and 2016. We assessed the relationship between mortality until 28 days after
birth and ultrasound findings, including absent or reversed end-diastolic
velocity (AREDV) in the UA and the lung-to-thorax transverse area ratio (LTR),
before and after TAS. RESULTS: Forty-one cases of primary FHT with hydrops
underwent TAS. The median (range) gestational age at TAS was 28.5 (19.3-33.8)
weeks. Bilateral pleural effusion was observed in 39 cases (95.1%). Among the 41
cases, 19 (46.4%) survived, 11 (26.8%) died in utero, and 11 (26.8%) died in the
neonatal period. AREDV in the UA before and after TAS were not associated with
mortality (P = 0.32 and 0.47, respectively). The odds ratio for mortality in LTR
0.2-0.3 before TAS was 0.62 (vs LTR < 0.2, P = 0.45) and that in LTR 0.2-0.3 and
> 0.3 after TAS were 0.27 and 0.06, respectively (vs LTR < 0.2, P for trend
<0.01). CONCLUSION: A larger LTR after TAS was significantly associated with a
better prognosis in hydropic primary FHT. The fetal lung size after the procedure
may be a prognostic factor of primary FHT.
PMID- 29797506
TI - A commentary about the importance of fetal and neonatal soft-tissue assessment.
PMID- 29797507
TI - The Ghent Global IAD Monitoring Tool (GLOBIAD-M) to monitor the healing of
incontinence-associated dermatitis (IAD): Design and reliability study.
AB - The aim of this study was to design and evaluate the reliability of the Ghent
Global incontinence-associated dermatitis (IAD) Monitoring Tool (GLOBIAD-M). The
tool was designed based on the internationally validated Ghent Global IAD
Categorisation Tool (GLOBIAD). After designing and validation by experts, one
trained researcher carried out 36 observations of 9 patients affected with IAD.
Photographs of the IAD lesions were independently assessed by a second trained
researcher. Measures for inter-rater agreement (po ) and reliability [Cohen's
Kappa (K) and intra-class correlation coefficients (ICC)] were analysed. The po
ranged between 0.86 for the item 'maceration' and 0.97 for the item 'clinical
signs of infection'. The K for the item 'GLOBIAD classification' was 0.61 [95%
confidence interval (CI) 0.28-0.95] and 0.72 (95% CI 0.50-0.95) for 'maceration'.
The lowest K was found for the item 'oedema' (0.27; 95% CI -0.24-0.79). The ICC
of the item 'redness' was 0.83 (95% CI 0.69-0.91) and 0.87 (95% CI 0.76-0.93) for
'skin loss'. The inter-rater agreement and reliability of the GLOBIAD-M appears
to be good for the assessment of photographs by experts. This tool could support
clinical decision-making for IAD treatment. Further validation with clinicians
is, however, needed.
PMID- 29797508
TI - Synergetic Combinations of Dual-Targeting Ligands for Enhanced In Vitro and In
Vivo Tumor Targeting.
AB - The concept of dual-ligand targeting has been around for quite some time, but
remains controversial due to the intricate interplay between so many different
factors such as the choice of dual ligands, their densities, ratios and length
matching, etc. Herein, the synthesis of a combinatorial library of single and
dual-ligand nanoparticles with systematically varied properties (ligand
densities, ligand ratios, and lengths) for tumor targeting is reported. Folic
acid (FA) and hyaluronic acid (HA) are used as two model targeting ligands. It is
found that the length matching and ligand ratio play critical roles in achieving
the synergetic effect of the dual-ligand targeting. When FA is presented on the
nanoparticle surface through a 5K polyethylene glycol (PEG) chain, the dual
ligand formulations using the HA with either 5K or 10K length do not show any
targeting effect, but the right length of HA (7K) with a careful selection of the
right ligand ratio do enhance the targeting efficiency and specificity
significantly. Further in vitro 3D tumor spheroid models and in vivo xenograft
mice models confirm the synergetic targeting efficiency of the optimal dual
ligand formulation (5F2H7K ). This work provides a valuable insight into the
design of dual-ligand targeting nanosystems.
PMID- 29797510
TI - Neisseria meningitidis urethritis: synthesis of published data.
PMID- 29797509
TI - PRUNE1-related disorder: Expanding the clinical spectrum.
AB - Neurodevelopmental disorder with microcephaly, hypotonia, and variable brain
anomalies (NMIHBA) (OMIM #617481) is an autosomal recessive disease characterized
by progressive microcephaly, plagiocephaly, hypotonia, spastic quadriparesis,
global developmental delay, intellectual disability, optic features and abnormal
brain magnetic resonance imaging (MRI). NMIHBA was recently reported to be caused
by PRUNE1 mutations. Eight mutations have been reported in 13 unrelated families.
Here, we report 3 PRUNE1 mutations in 1 Caucasian and 3 Japanese families. One
recurrent missense mutation (p.Asp106Asn) was previously reported in Turkish and
Italian families, while the other 2 mutations (p.Leu18Serfs*8 and p.Cys180*) are
novel. We also show that mutant PRUNE1 mRNA can be subject to nonsense-mediated
mRNA decay. The patients presented in this study showed atypical NMIHBA
phenotypes with no progressive microcephaly. Furthermore, one Caucasian case had
significant macrocephaly; therefore, patients with PRUNE1 mutations can exhibit a
broad and heterogeneous spectrum of phenotypes.
PMID- 29797511
TI - Validated quantitative trait loci for eggshell quality in experimental and
commercial laying hens.
AB - Compromised eggshell quality causes considerable economic losses for the egg
industry. Breeding for improved eggshell quality has been very challenging.
Eggshell quality is a trait that would greatly benefit from marker-assisted
selection, which would allow the selection of sires for their direct contribution
to the trait and would also allow implementation of measurements integrating a
number of shell parameters that are difficult to measure. In this study, we
selected the most promising autosomal quantitative trait loci (QTL) affecting
eggshell quality on chromosomes 2, 3, 6 and 14 from earlier experiments and we
extended the F2 population to include 1599 F2 females. The study was repeated on
two commercial populations: Lohmann Tierzucht Rhode Island Red line (n = 692
females) and a Hy-Line White Plymouth Rock line (n = 290 progeny tested males).
We analyzed the selected autosomal QTL regions on the three populations with SNP
markers at 4-13 SNPs/Mb density. QTL for eggshell quality were replicated on all
studied regions in the F2 population. New QTL were detected for eggshell color on
chromosomes 3 and 6. Marker associations with eggshell quality traits were
validated in the tested commercial lines on chromosomes 2, 3 and 6, thus paving
the way for marker-assisted selection for improved eggshell quality.
PMID- 29797512
TI - Characterization of spectral and intensity changes with measurement geometry in
various light guides used in scintillation dosimetry.
AB - PURPOSE: To characterize response changes of various light guides used in
megavoltage (MV) photon beam scintillation dosimetry as a function of irradiation
conditions. Particular emphasis was placed on quantifying the impact of response
changes on the Cerenkov light ratio (CLR). METHODS: Intensity and spectral
response measurements as a function of dose, depth, and fiber-beam angle were
performed with a commercial scintillation detector stripped of its scintillation
material and five different custom-made light guides. The core materials of the
light guides investigated consisted of polymethyl methacrylate (PMMA), low- and
high-hydroxyl content silica, and polystyrene. Dose levels ranging from 50
monitor units (MU) to 1000 MU, depths ranging from 1 to 20 cm, and fiber-beam
angles ranging from 10 degrees to 90 degrees were investigated. All
measurements were performed at a photon beam energy of 6 MV. The CLR was
calculated by taking the ratio of the responses in the blue to green spectral
regions. RESULTS: There was no significant change in the CLR measured with the
modified commercial scintillation detector as a function of delivered dose. In
addition, increases in the CLR as functions of depth and fiber-beam angle were
observed where the maximum changes were 4.2% and 3.6%, respectively. The spectrum
measurements showed no observable changes in spectral shape with depth except for
the low-hydroxyl content silica fiber. Variations in the measured spectral shape
with fiber-beam angle were observed for all fibers investigated. The magnitude of
the changes in the spectral shape varied with fiber type, where the silica fibers
exhibited the largest changes and the plastic fibers exhibited the smallest
changes. Increases in the CLR were observed for the silica fibers with depth and
for all fibers with fiber-beam angle. The plastic fibers showed no significant
change in the CLR as a function of depth. Increases of 3.1% and 9.5% in the CLR
were observed for the high- and low-hydroxyl content silica fibers, respectively,
over the range of depths investigated. Variations of 2.3%, 6.1%, 5.1% and 11.9%
were observed for the PMMA, polystyrene, high-hydroxyl, and low-hydroxyl content
silica fiber CLR values as a function of fiber-beam angle, respectively.
CONCLUSIONS: The insignificant change in the CLR with delivered dose indicates
that a single CLR value over the investigated dose range is sufficient for
accurate Cerenkov subtraction. Variations in the stem-effect spectrum shape can
occur with changes in irradiation geometry. The magnitude of the changes are
governed by the fiber construction and the optical properties of the fiber. The
observed spectral shape changes can be explained by a combination of variations
in optical path length through the fiber and the fiber fluorescent signal
contribution to the stem-effect. These spectral shape variations directly
influence the calculated CLR values. This work confirms that careful
characterization of scintillation detectors is important as changes in the stem
effect spectrum can cause changes in the CLR. If the CLR changes between the
reference and measurement conditions, this could result in an incorrect stem
effect subtraction and reduced measurement accuracy.
PMID- 29797514
TI - Effectiveness, treatment completion and safety of sofosbuvir/ledipasvir and
paritaprevir/ritonavir/ombitasvir + dasabuvir in patients with chronic kidney
disease: an ERCHIVES study.
AB - BACKGROUND: Chronic kidney disease (CKD) was a relative contraindication to
hepatitis C virus (HCV) treatment in the interferon/ribavirin era. AIM: To
determine the efficacy, tolerability and safety of sofosbuvir/ledipasvir
(SOF/LDV) and paritaprevir/ritonavir/ombitasvir/dasabuvir (PrOD) regimens in
persons with CKD. METHODS: We identified persons initiated on a SOF/LDV or PrOD
regimen from October 30, 2014 to April 30, 2016. We excluded those with missing
HCV genotype or eGFR values. We determined treatment completion and sustained
virologic response (SVR) rates, and proportion developing worsening renal
function or grade 3/4 haematologic toxicity. RESULTS: Among 13 663 persons on
SOF/LDV+/-ribavirin, 14% and 1% persons had CKD Stage 3 and 4-5 respectively,
67.8% completed treatment, 98.2% achieved SVR. Treatment completion or SVR rates
did not decline with advanced CKD or ribavirin administration. Among 3961 persons
on PrOD+/-ribavirin, 9% and 3% persons had CKD Stage 3 and 4-5, respectively,
74.0% completed treatment and 98.2% achieved SVR. A decrease in treatment
completion rates was seen in CKD stage 4-5 and those on ribavirin, but this did
not impact SVR rates. A >10 mL/min/1.73 m2 drop in eGFR from baseline was
observed in 30%-38% of persons with baseline eGFR >=60 mL/min/1.73 m2 , but in
only 0%-6% with CKD4-5. Grade 3/4 anaemia was more frequent in persons with CKD4
5, but ribavirin co-administration did not appear to affect this. CONCLUSIONS:
SOF/LDV and PrOD achieved high SVR rates in CKD population. Treatment completion
rates were lower than expected. A decline in eGFR and development of anaemia were
observed in a substantial proportion of persons, but the clinical implications
remain unclear.
PMID- 29797513
TI - The Development of a Conceptual Framework and Preliminary Item Bank for
Childbirth-Specific Patient-Reported Outcome Measures.
AB - OBJECTIVE: To develop a conceptual framework and preliminary item bank for
childbirth-specific patient-reported outcome (PRO) domains. DATA SOURCES: Women,
who were U.S. residents, >=18 years old, and >=20 weeks pregnant, were surveyed
regarding their childbirth values and preferences (V&P) using online panels.
STUDY DESIGN: Using community-based research techniques and Patient-Reported
Outcomes Management Information System (PROMIS(r) ) methodology, we conducted a
comprehensive literature review to identify self-reported survey items regarding
patient-reported V&P and childbirth experiences and outcomes (PROs). The V&P/PRO
domains were validated by focus groups. We conducted a cross-sectional
observational study and fitted a multivariable logistic regression model to each
V&P item to describe "who" wanted each item. PRINCIPAL FINDINGS: We identified
5,880 V&P/PRO items that mapped to 19 domains and 58 subdomains. We present
results for the 2,250 survey respondents who anticipated a vaginal delivery in a
hospital. Wide variation existed regarding each V&P item, and personal
characteristics, such as maternal confidence and ability to cope well with pain,
were frequent predictors in the models. The resulting preliminary item bank
consisted of 60 key personal characteristics and 63 V&P/PROs. CONCLUSIONS: The
conceptual framework and preliminary (PROMIS(r) ) item bank presented here
provide a foundation for the development of childbirth-specific V&P/PROs.
PMID- 29797515
TI - Spontaneous Intracranial Hypotension: 10 Myths and Misperceptions.
AB - OBJECTIVE: To discuss common myths and misperceptions about spontaneous
intracranial hypotension (SIH), focusing on common issues related to diagnosis
and treatment, and to review the evidence that contradicts and clarifies these
myths. BACKGROUND: Recognition of SIH has increased in recent years. With
increasing recognition, however, has come an increased demand for management by
neurologists and headache specialists, some of whom have little prior experience
with the condition. This dearth of practical experience, and lack of awareness of
recent investigations into SIH, produces heterogeneity in diagnostic and
treatment pathways, driven in part by outdated, confusing, or unsubstantiated
conceptions of the condition. We sought to address this heterogeneity by
identifying 10 myths and misperceptions that we frequently encounter when
receiving referrals for suspected or confirmed SIH, and to review the literature
addressing these topics. METHODS: Ten topics relevant to diagnosis and treatment
SIH were generated by the authors. A search for studies addressing SIH was
conducted using PubMed and EMBASE, limited to English language only, peer
reviewed publications from inception to 2018. Individual case reports were
excluded. The resulting studies were reviewed for relevance to the topics in
question. RESULTS: The search generated 557 studies addressing SIH; 75 case
reports were excluded. Fifty-four studies were considered to be of high relevance
to the topics addressed, and were included in the data synthesis. The topics are
presented in the form of a narrative review. CONCLUSIONS: The understanding of
SIH has evolved over the recent decades, leading to improvements in knowledge
about the pathophysiology of the condition, diagnostic strategies, and expanded
treatments. Awareness of these changes, and dispelling outdated misconceptions
about SIH, is critical to providing appropriate care for patients and guiding
future investigations going forward.
PMID- 29797516
TI - Exercising the hepatobiliary-gut axis. The impact of physical activity
performance.
AB - BACKGROUND: Physical inactivity puts the populations at risk of several health
problems, while regular physical activity brings beneficial effects on
cardiovascular disease, mortality and other health outcomes, including obesity,
glycaemic control and insulin resistance. The hepatobiliary tract is greatly
involved in several metabolic aspects which include digestion and absorption of
nutrients in concert with intestinal motility, bile acid secretion and flow
across the enterohepatic circulation and intestinal microbiota. Several metabolic
abnormalities, including nonalcoholic fatty liver as well as cholesterol
cholelithiasis, represent two conditions explained by changes of the
aforementioned pathways. MATERIALS AND METHODS: This review defines different
training modalities and discusses the effects of physical activity in two
metabolic disorders, that is nonalcoholic fatty liver disease (NAFLD) and
cholelithiasis. Emphasis is given to pathogenic mechanisms involving intestinal
bile acids, microbiota and inflammatory status. RESULTS: A full definition of
physical activity includes the knowledge of aerobic and endurance exercise,
metabolic equivalent tasks, duration, frequency and intensity, beneficial and
harmful effects. Physical activity influences the hepatobiliary-gut axis at
different levels and brings benefits to fat distribution, liver fat and
gallbladder disease while interacting with bile acids as signalling molecules,
intestinal microbiota and inflammatory changes in the body. CONCLUSIONS: Several
beneficial effects of physical activity are anticipated on metabolic disorders
linking liver steatosis, gallstone disease, gut motility, enterohepatic
circulation of signalling bile acids in relation to intestinal microbiota and
inflammatory changes.
PMID- 29797517
TI - Dosimetric characterization of a new directional low-dose rate brachytherapy
source.
AB - PURPOSE: CivaTech Oncology Inc. (Durham, NC) has developed a novel low-dose rate
(LDR) brachytherapy source called the CivaSheet.TM The source is a planar array
of discrete elements ("CivaDots") which are directional in nature. The CivaDot
geometry and design are considerably different than conventional LDR
cylindrically symmetric sources. Thus, a thorough investigation is required to
ascertain the dosimetric characteristics of the source. This work investigates
the repeatability and reproducibility of a primary source strength standard for
the CivaDot and characterizes the CivaDot dose distribution by performing in
phantom measurements and Monte Carlo (MC) simulations. Existing dosimetric
formalisms were adapted to accommodate a directional source, and other
distinguishing characteristics including the presence of gold shield x-ray
fluorescence were addressed in this investigation. METHODS: Primary air-kerma
strength (SK ) measurements of the CivaDots were performed using two free-air
chambers namely, the Variable-Aperture Free-Air Chamber (VAFAC) at the University
of Wisconsin Medical Radiation Research Center (UWMRRC) and the National
Institute of Standards and Technology (NIST) Wide-Angle Free-Air Chamber (WAFAC).
An intercomparison of the two free-air chamber measurements was performed along
with a comparison of the different assumed CivaDot energy spectra and associated
correction factors. Dose distribution measurements of the source were performed
in a custom polymethylmethacrylate (PMMA) phantom using GafchromicTM EBT3 film
and thermoluminescent dosimeter (TLD) microcubes. Monte Carlo simulations of the
source and the measurement setup were performed using MCNP6 radiation transport
code. RESULTS: The CivaDot SK was determined using the two free-air chambers for
eight sources with an agreement of better than 1.1% for all sources. The NIST
measured CivaDot energy spectrum intensity peaks were within 1.8% of the MC
predicted spectrum intensity peaks. The difference in the net source-specific
correction factor determined for the CivaDot free-air chamber measurements for
the NIST WAFAC and UW VAFAC was 0.7%. The dose-rate constant analog was
determined to be 0.555 cGy h-1 U-1 . The average difference observed in the
estimated CivaDot dose-rate constant analog using measurements and MCNP6
predicted value (0.558 cGy h-1 U-1 ) was 0.6% +/- 2.3% for eight CivaDot sources
using EBT3 film, and -2.6% +/- 1.7% using TLD microcube measurements. The CivaDot
two-dimensional dose-to-water distribution measured in phantom was compared to
the corresponding MC predictions at six depths. The observed difference using a
pixel-by-pixel subtraction map of the measured and the predicted dose-to-water
distribution was generally within 2-3%, with maximum differences up to 5% of the
dose prescribed at the depth of 1 cm. CONCLUSION: Primary SK measurements of the
CivaDot demonstrated good repeatability and reproducibility of the free-air
chamber measurements. Measurements of the CivaDot dose distribution using the
EBT3 film stack phantom and its subsequent comparison to Monte Carlo-predicted
dose distributions were encouraging, given the overall uncertainties. This work
will aid in the eventual realization of a clinically viable dosimetric framework
for the CivaSheet based on the CivaDot dose distribution.
PMID- 29797518
TI - Anti-viral therapy is associated with improved survival but is underutilised in
patients with hepatitis B virus-related hepatocellular carcinoma: real-world east
and west experience.
AB - BACKGROUND: Hepatitis B virus (HBV) is the leading cause of hepatocellular
carcinoma (HCC) worldwide. It remains incompletely understood in the real world
how anti-viral therapy affects survival after HCC diagnosis. METHODS: This was an
international multicentre cohort study of 2518 HBV-related HCC cases diagnosed
between 2000 and 2015. Cox proportional hazards models were utilised to estimate
hazard ratios (HR) with 95% (CI) for anti-viral therapy and cirrhosis on
patients' risk of death. RESULTS: Approximately, 48% of patients received anti
viral therapy at any time, but only 17% were on therapy at HCC diagnosis (38% at
US centres, 11% at Asian centres). Anti-viral therapy would have been indicated
for >60% of the patients not on anti-viral therapy based on American criteria.
Patients with cirrhosis had lower 5-year survival (34% vs 46%; P < 0.001) while
patients receiving anti-viral therapy had increased 5-year survival compared to
untreated patients (42% vs 25% with cirrhosis and 58% vs 36% without cirrhosis; P
< 0.001 for both). Similar findings were seen for other patient subgroups by
cancer stages and cancer treatment types. Anti-viral therapy was associated with
a decrease in risk of death, whether started before or after HCC diagnosis
(adjusted HR 0.62 and 0.79, respectively; P < 0.001). CONCLUSIONS: Anti-viral
therapy improved overall survival in patients with HBV-related HCC across cancer
stages and treatment types but was underutilised at both US and Asia centres.
Expanded use of anti-viral therapy in HBV-related HCC and better linkage-to-care
for HBV patients are needed.
PMID- 29797520
TI - An exploration of the lived experience of professional grief among occupational
therapists working in palliative care settings.
AB - BACKGROUND/AIM: Occupational therapists who work in palliative care are
frequently exposed to the experience of death and dying. Previous occupational
therapy research has offered insight into factors that influence feelings
relating to death and dying and associated coping strategies. However, existing
literature is dated and has not specifically examined the concept of grief. This
study addresses this gap in knowledge by exploring the lived experience of
professional grief among occupational therapists working in palliative care
settings. METHODS: Semi-structured interviews were conducted with six Australian
palliative care occupational therapists. The data were collected and analysed
using an interpretive phenomenological approach. RESULTS: Four interrelated
themes were identified as core to the lived experience of professional grief
among participants. These included; 'knowledge of self', 'giving permission for
connections and feelings', 'filtering experience' and 'being present at work and
at home'. CONCLUSION: Considered in combination, these themes offer a guide for
therapists to explore their own experience of and responses to professional grief
and, in doing so, foster the development of personalised management strategies.
PMID- 29797519
TI - Long-term efficacy and safety of ustekinumab for Crohn's disease through the
second year of therapy.
AB - BACKGROUND: In Phase 3 studies of ustekinumab, a fully human monoclonal IL
12/23p40 antibody approved for moderate-to-severe Crohn's disease, patients
entered a long-term extension after completing 8 weeks of induction and 44 weeks
of maintenance treatment. Efficacy through 92 weeks and safety through 96 weeks
of IM-UNITI maintenance are reported. METHODS: UNITI-1 (TNF-antagonist failures)
and UNITI-2 (conventional therapy failures) patients (N = 1281) entered IM-UNITI,
including 397 ustekinumab intravenous induction responders randomised to
subcutaneous ustekinumab 90 mg every 12 weeks, every 8 weeks, or placebo and 884
nonrandomised patients. Dose-adjustment to 90 mg every 8 weeks occurred in
patients randomised to 90 mg every 12 weeks and placebo patients with loss of
response (Weeks 8-32). All Week 44 completers could enter the long-term extension
without further dose adjustment. Placebo patients discontinued following study
unblinding. RESULTS: A total of 718 patients (all treated) entered the long-term
extension (298 randomised and 420 not randomised). Overall, 86.5% (621/718)
completed Week 96. The proportions of randomised patients in clinical remission
were generally maintained from Week 44 through 92 in ustekinumab 90 mg every 12
weeks (77.4% to 72.6%), every 8 weeks (84.1% to 74.4%), and prior dose adjustment
groups (63.4% to 53.5%). At Week 92, the proportions of patients in clinical
remission were similar in the ustekinumab 90 mg every 12 weeks and every 8 weeks
groups and lower in patients with prior dose adjustment. Proportions of patients
in clinical remission at Week 92 for all treated every 8 weeks (64.4%) and every
12 weeks (64.3%) groups were lower than randomised every 8 weeks (74.4%) and
every 12 weeks (72.6%) groups, but similarly maintained. Safety events (per
hundred patient-years) were similar among all placebo and ustekinumab patients
(Week 0-96), including adverse events (484.39 vs 447.76), serious adverse events
(19.24 vs 18.82), and serious infections (4.09 vs 4.02). No dose effect was
observed. CONCLUSIONS: Subcutaneous ustekinumab maintained clinical response and
remission through Week 92. No new safety signals were observed.
ClinicalTrials.gov number NCT01369355.
PMID- 29797521
TI - Angiosarcoma arising within a nonfunctioning arteriovenous fistula.
PMID- 29797522
TI - Cutaneous squamous cell carcinoma, thyroid cancer and Langerhans cell
histiocytosis in a patient with X-linked recessive Mendelian susceptibility to
mycobacterial diseases with a nuclear factor-kappaB essential modifier mutation.
AB - Nuclear factor (NF)-kappaB essential modifier (NEMO), also known as IkappaB
kinase subunit-gamma (IKKgamma), is a pivotal molecule in the NF-kappaB signaling
pathway. Mutations of NEMO cause incontinentia pigmenti and X-linked ectodermal
dysplasia with immunodeficiency. Mendelian susceptibility to mycobacterial
diseases (MSMD), which confers an almost selective predisposition to
mycobacterial infection, is also caused by NEMO mutations. We herein report the
first case of a patient with X-linked recessive (XR) MSMD who developed cutaneous
squamous cell carcinoma, thyroid cancer and Langerhans cell histiocytosis. The
relationship between NEMO mutation and oncogenesis is discussed.
PMID- 29797523
TI - Coexistence of mucosa-associated lymphoid tissue lymphoma and systemic sclerosis
showing positive for anticentromere antibody and anti-RNA polymerase III
antibody: A case report and published work review.
PMID- 29797524
TI - Development and validation of a UPLC-MS/MS method for determination of
Sarsasapogenin-AA22 in rat plasma and its application to a pharmacokinetic study.
AB - A sarsasapogenin derivative, sarsasapogenin-AA22 (AA22), with cyclobutylamine at
the 3-hydroxyl position of sarsasapogenin, has great neuroprotective activity in
PC12 cells and NO production inhibitory activity in RAW264.7 cell lines. A method
was developed to determine AA22 in rat plasma which was further applied to
evaluate the pharmacokinetics of AA22 after taking a single dose of AA22. Liquid
chromatography tandem mass spectrometry was used in the method, while diosgenin
was used as internal standard. A simple protein precipitation based on
acetonitrile was utilized. A simple sample cleanup promoted the throughput of the
method considerably. The method was validated over the range of 1-1000 ng/mL with
a correlation coefficient > 0.99. The lower limit of quantification was 1 ng/mL
for AA22 in plasma. Intra- and inter-day accuracies for AA22 were 92-111 and 100
103%, respectively, and the inter-day precision was <15%. After a single oral
dose of 25 mg/kg of AA22, the mean peak plasma concentration of AA22 was 2114 +/-
362 ng/mL at 6 h. The area under the plasma concentration-time curve was 196,098
+/- 69,375 h ng/mL, and the elimination half-life was 8.7 +/- 2.2 h.
PMID- 29797525
TI - Four cases of acute infectious urticaria showing significant elevation of plasma
D-dimer level.
AB - D-dimer, a fibrinolytic end-product, has been regarded as a biomarker indicating
the severity of urticaria, especially in chronic urticaria. Regarding acute
urticaria, D-dimer level is also suggested to be elevated, which may be
significant in comparison with chronic urticaria. However, the clinical features
of acute urticaria with concomitant significant elevation of D-dimer level have
not been investigated in detail so far. We present four cases of acute urticaria
fulfilling the proposed diagnostic criterion of acute infectious urticaria, in
which significant elevation of D-dimer level and rapid spontaneous normalization
in parallel with the resolution of fever and urticaria occurs. No cases had deep
vein thrombosis, disseminated intravascular coagulation and malignancy. All cases
responded well to antihistaminic treatment in combination with antibiotics, and
their fever and urticaria resolved within 10 days. All cases showed severe wheals
persistent for several days resolving with hyperpigmentation. Histologically,
infiltration into blood vessel walls and interstitial infiltration of lymphocytes
and polymorphonuclear cells were marked in the dermis. In our cases, clinical
features accorded with acute infectious urticaria, and their histological
features were similar to those of neutrophilic urticaria as described previously.
In conclusion, there is a certain group of acute urticaria associated with
significant elevation of D-dimer level. These common features of our cases may be
characteristic in acute urticaria showing the coagulative and fibrinolytic
abnormalities.
PMID- 29797526
TI - Development and validation of an LC-MS/MS Method for the quantitation of heparan
sulfate in human urine.
AB - Heparan sulfate is a linear polysaccharide and serves as an important biomarker
to monitor patient response to therapies for MPS III disorder. It is challenging
to analyze heparan sulfate intact owing to its complexity and heterogeneity.
Therefore, a sensitive, robust and validated LC-MS/MS method is needed to support
the clinical studies for the quantitation of heparan sulfate in biofluids under
regulated settings. Presented in this work are the results of the development and
validation of an LC-MS/MS method for the quantitation of heparan sulfate in human
urine using selected high-abundant disaccharides as surrogates. During sample
processing, a combination of analytical technologies have been employed,
including rapid digestion, filtration, solid-phase extraction and chemical
derivatization. The validated method is highly sensitive and is able to analyze
heparan sulfate in urine samples from healthy donors. Disaccharide constitution
analysis in urine samples from 25 healthy donors was performed using the assay
and demonstrated the proof of concept of using selected disaccharides as a
surrogate for validation and quantitation.
PMID- 29797527
TI - Key component of inflammasome, NLRC4, was identified in the lesional epidermis of
psoriatic patients.
AB - Inflammasomes are multimolecular complexes that control the inflammatory
response. The function of inflammasomes in the pathogenesis of psoriasis is still
unclear. To clarify the relationship between inflammasomes and the
pathophysiology of psoriasis, and in particular, to identify molecules
interacting with caspase-1, a crucial component of inflammasomes, scale extracts
obtained from patients with psoriasis were immunoprecipitated with anti-caspase-1
antibody and analyzed by liquid chromatography coupled with electrospray tandem
mass spectrometry (LC-MS/MS). The expression of the inflammasome component was
assessed by immunohistochemical analysis and an in vitro assay. We identified
several candidates for caspase-1-interacting proteins from the psoriatic scale
extracts by immunoprecipitation and LC-MS/MS. Nucleotide-binding oligomerization
domain-containing protein-like receptor family CARD domain-containing protein 4
(NLRC4) was the only inflammasome component among the candidates; thus, the
protein is considered to be a key factor of inflammasomes in psoriasis. No
inflammasome component was found in the extracts of atopic dermatitis or normal
skin by LC-MS/MS. Immunohistochemical analysis demonstrated upregulation of NLRC4
in the lesional epidermis of some psoriatic patients whereas weak expression of
NLRC4 was detected in the normal and non-lesional epidermis. The mRNA expression
of the NLRC4 gene increased in keratinocytes at confluency, 48 h after air
exposure and after the addition of 1.5 mmol/L calcium chloride. Our findings
suggest that NLRC4 may be involved in the exacerbation or modification of
psoriatic lesions.
PMID- 29797528
TI - Pigmented mammary Paget's disease without underlying carcinoma.
PMID- 29797529
TI - Physical deconditioning is the common denominator in both obese and overweight
subjects with nonalcoholic steatohepatitis.
AB - BACKGROUND: Obesity and decreased physical activity mirror increasing prevalence
of nonalcoholic fatty liver disease (NAFLD). AIM: We aimed to investigate
associations between aerobic fitness, anthropometrics and disease parameters in
patients with nonalcoholic steatohepatitis (NASH). We hypothesised that NASH
subjects have lower aerobic power and capacity than untrained, sedentary, normal
subjects. METHODS: Forty subjects (60% obese, 40% overweight) with biopsy
confirmed NASH and NAFLD activity score (NAS) >=4 were enrolled in a clinical
trial where anthropometrics, laboratories, liver fat content by MRI, activity,
and aerobic fitness by cycle ergometry data were obtained. RESULTS: NASH subjects
were significantly deconditioned compared to 148 untrained, sedentary, healthy
subjects from our laboratory in aerobic power (VO2peak) (NASH 16.8 +/- 6.6 vs
control 28.4 +/- 10.6 mL/kg/min, P < 0.0001) and capacity (VO2 at lactate
threshold [LT]) (NASH 8.3 +/- 2.5 vs control 14.1 +/- 5.9 mL/kg/min, P < 0.0001).
NASH subjects' fitness was comparable to the "least fit" tertile of controls:
VO2peak [NASH 16.8 +/- 6.6 vs "least fit" 17.3 +/- 3.3, P = 0.64]) and VO2 at LT
(NASH 8.3 +/- 2.5 vs "least fit" 9.3 +/- 2.1, P = 0.31). Fitness was similar in
obese compared to overweight subjects (adjusted for gender) and was not
correlated with visceral adiposity or NAS. Engaging in dedicated cardiovascular
activity correlated with higher VO2peak and VO2peak at LT. CONCLUSIONS: Aerobic
deconditioning was universally present in NASH subjects. NASH subjects' fitness
was similar to our laboratory's "least fit" untrained, sedentary control
subjects. Further research investigating NASH patients' ability to improve low
baseline aerobic fitness is warranted.
PMID- 29797530
TI - Case of xeroderma pigmentosum group A with West syndrome.
PMID- 29797531
TI - HLA-G regulatory variants and haplotypes with susceptibility to recurrent
pregnancy loss.
AB - HLA-G is a nonclassical Class I major histocompatibility complex (MHC) gene. This
gene has a limited protein alteration that is produced by alternative splicing
and can be important in the preservation of pregnancy. Recent findings suggest
that alteration in HLA-G gene expression can lead to pregnancy failure, such as
recurrent pregnancy loss (RPL). As the promoter SNPs of the gene may impact the
HLA-G expression levels, the study of these SNPs is very important. In this
study, for the promoter region of HLA-G gene in the case group (100 women with a
history of two or more repeated miscarriages) and the control group (100 women
with at least two successful pregnancies), PCR reaction was performed.
Thereafter, PCR products were sequenced and the results were compared between the
two groups. The results showed that -1573T>C and -1746C>A SNPs in the promoter of
the HLA-G gene associated with RPL. The outcome of the haplotype analysis also
showed that the association of two haplotypes, including H1 (ATCCAGGTACGCAA) and
H2 (CTTCGAGAACGCAG) with RPL, is significant. The results showed that H1 is
associated with a decreased and H2 is associated with an increased risk of RPL.
These results indicate the importance of the HLA-G promoter SNPs in the pregnancy
outcome. But to reach a more definite conclusion, subsequent studies on 3' UTR
and other positions with polymorphism in the 5' UTR regions larger samples are
necessary.
PMID- 29797532
TI - Interaction of microbial pathogens with host exocytic pathways.
AB - Many microbial pathogens co-opt or perturb host membrane trafficking pathways.
This review covers recent examples in which microbes interact with host
exocytosis, the fusion of intracellular vesicles with the plasma membrane. The
bacterial pathogens Listeria monocytogenes and Staphylococcus aureus subvert
recycling endosomal pathways of exocytosis in order to induce their entry into
human cells. By contrast, entry of the protozoan pathogen Trypanosoma cruzi or
the virus adenovirus into host cells involves exploitation of lysosomal
exocytosis. Toxins produced by Bacillus anthracis or Vibrio cholerae interfere
with exocytosis pathways mediated by the GTPase Rab11 and the exocyst complex. By
doing so, anthrax or cholera toxins impair recycling of cadherins to cell-cell
junctions and disrupt the barrier properties of endothelial cells or intestinal
epithelial cells, respectively. Uropathogenic Escherichia coli (UPEC) is expelled
from bladder epithelial cells through two different exocytic routes that involve
sensing of bacteria in vacuoles by host Toll-like receptor 4 (TLR4) or monitoring
of the pH of lysosomes harbouring UPEC. The TLR4 pathway is mediated by multiple
Rab GTPases and the exocyst, whereas the other pathway involves exocytosis of
lysosomes. Expulsion of UPEC through these pathways is thought to benefit the
host.
PMID- 29797533
TI - Making Glycine Methyl Ester Chiral.
AB - We demonstrate that the simple achiral amino acid glycine as its methyl ester
inherits the chiral imprint of methyl lactate upon complexation, resulting in
induced vibrational optical activity of the methylene C-H bonds. To mimic
conditions of ice on comets that are considered long-term reaction as well as
storage entities for (organic) molecules, we employ the matrix isolation
technique in conjunction with vibrational circular dichroism spectroscopy and DFT
computations. The observed chirality transfer is likely a key element for the
realization of concepts rationalizing chirogenesis, that is, the generation of a
chiral imbalance.
PMID- 29797534
TI - Malnutrition is independently associated with skin tears in hospital inpatient
setting-Findings of a 6-year point prevalence audit.
AB - Skin tears cause pain, increased length of stay, increased costs, and reduced
quality of life. Minimal research reports the association between skin tears, and
malnutrition using robust measures of nutritional status. This study aimed to
articulate the association between malnutrition and skin tears in hospital
inpatients using a yearly point prevalence of inpatients included in the
Queensland Patient Safety Bedside Audit, malnutrition audits and skin tear audits
conducted at a metropolitan tertiary hospital between 2010 and 2015. Patients
were excluded if admitted to mental health wards or were <18 years. A total of
2197 inpatients were included, with a median age of 71 years. The overall
prevalence of skin tears was 8.1%. Malnutrition prevalence was 33.5%. Univariate
analysis demonstrated associations between age (P ? .001), body mass index (BMI)
(P < .001) and malnutrition (P ? .001) but not gender (P = .319). Binomial
logistic regression analysis modelling demonstrated that malnutrition diagnosed
using the Subjective Global Assessment was independently associated with skin
tear incidence (odds ratio, OR: 1.63; 95% confidence interval, CI: 1.13-2.36) and
multiple skin tears (OR 2.48 [95% CI 1.37-4.50]). BMI was not independently
associated with skin tears or multiple skin tears. This study demonstrated
independent associations between malnutrition and skin tear prevalence and
multiple skin tears. It also demonstrated the limitations of BMI as a nutritional
assessment measure.
PMID- 29797535
TI - Selective ortho C-H Cyanoalkylation of (Diacetoxyiodo)arenes through [3,3]
Sigmatropic Rearrangement.
AB - We herein report a robust catalyst-free cross-coupling between ArI(OAc)2 and
alpha-stannyl nitriles, aided by TMSOTf. The transformation introduces a
cyanoalkyl group to the ortho position of ArI(OAc)2 and simultaneously reduces
the aryl iodine(III) to iodide, thus providing alpha-(2-iodoaryl) nitrile as the
product. This transformation could be completed within 5 min at -78 degrees C
and features superb functional-group tolerance and efficient scalability. DFT
calculations indicate that the formation of a ketenimine(aryl)iodonium
intermediate and subsequent [3,3]-sigmatropic rearrangement are involved as key
steps.
PMID- 29797536
TI - Evaluation of a community-based training to promote responsible self-medication
in East Java, Indonesia.
AB - OBJECTIVE: To explore pharmacist/pharmacy staff trainers' perspectives on
conducting community-based training to promote responsible self-medication, and
to evaluate knowledge gained among community representatives participating in the
training. METHODS: Training was conducted in four districts/cities in East Java,
Indonesia in 2016. A pre-test/post-test study was used to evaluate the knowledge
of 129 community representatives (participants) before/after the training; pre
test and post-test scores as well as absolute gain were determined. Four focus
group discussions with 20 pharmacist/pharmacy staff (trainers) were conducted
after the training, and the data were thematically analysed. KEY FINDINGS:
Overall mean test scores for community representatives significantly improved
from 14.11 to 15.70 after the training (P < 0.001). The average total absolute
gain was 1.85 (95% CI 1.29 to 2.39). To reach local communities, trainers
suggested improvements to the content and structure of the module, training aids,
trainer competency, approach and time allocation. CONCLUSIONS: Community-based
training provides a potential strategy to improve community knowledge of
medications. Findings from this study should inform strategies for a broader
uptake amongst local communities in Indonesia.
PMID- 29797537
TI - The role of the maternal and fetal inflammatory response in retinopathy of
prematurity.
AB - PROBLEM: There is a paucity of research on the contribution of placental
inflammation to severe retinopathy of prematurity (ROP). METHOD OF STUDY: A
retrospective cohort study (n = 1217) was conducted of infants screened for ROP
(2006-2016). The outcomes of the study were severe ROP (type 1 or type 2 ROP) and
low grade ROP. We categorized the placental pathology as the presence of (i)
maternal plus fetal inflammatory response, (ii) maternal inflammatory response
only, (iii) fetal inflammatory response only and, (iv) no evidence of a maternal
or fetal inflammatory response. The data were analyzed using univariate and
multivariate logistic regression analyses (P < .05). RESULTS: In this cohort, the
number of infants with the maternal plus fetal inflammatory response, the
maternal inflammatory response only, the fetal inflammatory response only, and no
maternal or fetal inflammatory response was 305 (25%), 82 (7%), 8 (1%), and 822
(67%), respectively. Adjusted for covariates, the maternal plus fetal
inflammatory response was a significant risk factor for severe ROP (AOR = 2.6,
95% CI 1.1-5.9, P = .03). None of the categories of placental inflammation were
significantly associated with low grade ROP. CONCLUSION: Placental pathology
distinguished by the maternal plus fetal inflammatory response was a significant
risk factor for severe ROP. Our study supports a link between intrauterine
inflammatory events and the subsequent development of severe ROP.
PMID- 29797539
TI - Group IV Monochalcogenides MX (M=Ge, Sn; X=S, Se) as Chemical Anchors of
Polysulfides for Lithium-Sulfur Batteries.
AB - Although rechargeable lithium-sulfur batteries are considered as advanced energy
systems, their practical implementation is impeded by many factors, in particular
the rapid capacity fade and low Coulomb efficiency caused by the shuttle effect.
To overcome this problem for achieving longer cycle life and higher rate
performance, anchoring materials for lithium polysulfides are highly desirable.
In this work, for the first time, we report phosphorene-like MX (M=Ge, Sn; X=S,
Se) monolayers as promising anchoring materials to restrain the lithium
polysulfides shuttling. Our study provides fundamental selection criteria for the
effective suppression of the polysulfides shuttling. Adsorption calculations
reveal that polysulfide capture by the MX is through chemisorption with a
suitable range of adsorption energies. Morever, we show that excellent surface
diffusion of Li and polysulfides endow a fast charge/discharge rate for lithium
sulfur batteries. Graphene with desirable electronic properties is constructed to
improve the electrical conductivity in the new graphene@MX heterostructures.
Based on the strong anchoring ability, improved rate capability, and enhanced
conductivity, MX-based composites hold great promise as an anchoring material for
high-energy lithium-sulfur batteries.
PMID- 29797538
TI - Extracellular RNA profiles with human age.
AB - Circulating extracellular RNAs (exRNAs) are potential biomarkers of disease. We
thus hypothesized that age-related changes in exRNAs can identify age-related
processes. We profiled both large and small RNAs in human serum to investigate
changes associated with normal aging. exRNA was sequenced in 13 young (30-32
years) and 10 old (80-85 years) African American women to identify all RNA
transcripts present in serum. We identified age-related differences in several
RNA biotypes, including mitochondrial transfer RNAs, mitochondrial ribosomal RNA,
and unprocessed pseudogenes. Age-related differences in unique RNA transcripts
were further validated in an expanded cohort. Pathway analysis revealed that EIF2
signaling, oxidative phosphorylation, and mitochondrial dysfunction were among
the top pathways shared between young and old. Protein interaction networks
revealed distinct clusters of functionally-related protein-coding genes in both
age groups. These data provide timely and relevant insight into the exRNA
repertoire in serum and its change with aging.
PMID- 29797540
TI - Soluble B7-H4 blood serum levels are elevated in women at high risk for
preeclampsia in the first trimester, as well as in patients with confirmed
preeclampsia.
AB - PROBLEM: B7-H4 negatively regulates T-cell-mediated immunity and might play an
important role in preeclampsia (PE). Here, we have investigated the association
between PE and maternal soluble B7-H4 (sB7-H4) serum levels and B7-H4 mRNA
expression in the placenta. METHOD OF STUDY: Maternal serum levels of sB7-H4 were
determined by enzyme-linked immunosorbent assay in women between 11 and 13 weeks'
gestation with elevated risk for PE (n = 48) and women without elevated risk for
PE (n = 47). In the third trimester, sB7-H4 serum levels (n = 166) and B7-H4 mRNA
expression in the placenta (n = 54) were determined in women with early-onset PE,
late-onset PE, fetal growth restriction (FGR), and in healthy controls. RESULTS:
In the first trimester, significant higher levels of sB7-H4 were detected in
women at elevated risk for PE compared to women without risk for PE (P < .0001).
sB7-H4 has some predictive ability to identify cases with an elevated risk of
developing PE with area under the curve (AUC) value of 0.88 (95% CI 0.8-0.94).
Using a specificity of 90.0% led to a sensitivity of 47.9% and a threshold of
3.63 ng/mL. In the third trimester, the highest serum levels of sB7-H4 and B7-H4
mRNA expression in the placenta were observed in early-onset PE. Significant
higher serum levels of sB7-H4 and B7-H4 mRNA expression in the placenta were
observed in women with early-onset PE (P = .01 and P = .006, respectively) and
late-onset PE (P = .03 and P = .004, respectively) compared to healthy controls,
but not compared to FGR. CONCLUSION: sB7-H4 is involved in the regulation of
immune tolerance in women with PE in the third trimester. In the first trimester
of pregnancy, sB7-H4 might serve as a predictive immunological biomarker for
women who are at elevated risk of developing PE.
PMID- 29797541
TI - MANF attenuates neuronal apoptosis and promotes behavioral recovery via Akt/MDM
2/p53 pathway after traumatic spinal cord injury in rats.
AB - The aim of this study was to investigate the potential effect and mechanism of
action of MANF in attenuating neuronal apoptosis following t-SCI. A clip
compressive model was used to induce a crush injury of the spinal cord in a total
of 230 rats. The Basso, Beattie, and Bresnahan (BBB) score, spinal cord water
content, and blood spinal cord barrier (BSCB) permeability were evaluated. The
expression levels of MANF and its downstream proteins were examined by western
blotting. Immunofluorescence staining of MANF, NeuN, GFAP, Iba-1, cleaved caspase
3, and TUNEL staining were also performed. Cells were counted in six randomly
selected fields in the gray matter regions of the sections from two spinal cord
sites (2 mm rostral and caudal to the epicenter of the injury) per sample. A cell
based mechanical injury model was also conducted using SH-SY5Y cells. Cell
apoptosis and viability were assessed by flow cytometry, an MTT assay, and trypan
blue staining. Subcellular structures were observed by transmission electron
microscopy. MANF was mainly expressed in neurons. The expression levels of MANF,
and its downstream target, p-Akt, were gradually increased and after t-SCI.
Treatment with MANF increased Bcl-2 and decreased Bax and CC-3 levels; these
effects were reversed on treatment with MK2206. The BBB score, spinal cord water
content, and BSCB destruction were also ameliorated by MANF treatment. MANF
decreases neuronal apoptosis and improves neurological function through Akt/MDM
2/p53 pathway after t-SCI. Therefore, MANF might be a potential treatment for
patients with t-SCI.(c) 2018 BioFactors, 2018.
PMID- 29797542
TI - Electrochemical Double-Layer Capacitor Energized by Adding an Ambipolar Organic
Redox Radical into the Electrolyte.
AB - Carbon-based electrochemical double-layer capacitors (EDLCs) generally exhibit
high power and long life, but low energy density/capacitance. Pore/morphology
optimization and pseudo-capacitive materials modification of carbon materials
have been used to improve electrode capacitance, but leading to the consumption
of tap density, conductivity and stability. Introducing soluble redox mediators
into electrolyte is a promising alternative to improve the capacitance of
electrode. However, it is difficult to find one redox mediator that can provide
additional capacitance for both positive and negative electrodes simultaneously.
Here, an ambipolar organic radical, 2, 2, 6, 6-tetramethylpiperidinyloxyl (TEMPO)
is first introduced to the electrolyte, which can substantially contribute
additional pseudo-capacitance by oxidation at the positive electrode and
reduction at the negative electrode simultaneously. The EDLC with TEMPO mediator
delivers an energy density as high as 51 Wh kg-1 , 2.4 times of the capacitor
without TEMPO, and a long cycle stability over 4000 cycles. The achieved results
potentially point a new way to improve the energy density of EDLCs.
PMID- 29797543
TI - The serine/threonine protein kinase of Streptococcus suis serotype 2 affects the
ability of the pathogen to penetrate the blood-brain barrier.
AB - Streptococcus suis serotype 2 (SS2) is a zoonotic agent that causes meningitis in
humans and pigs. However, the mechanism whereby SS2 crosses the microvasculature
endothelium of the brain is not understood. In this study, transposon (TnYLB-1)
mutagenesis was used to identify virulence factors potentially associated with
invasive ability in pathogenic SS2. A poorly invasive mutant was identified and
was found to contain a TnYLB-1 insertion in the serine/threonine kinase (stk)
gene. Transwell chambers containing hBMECs were used to model the blood-brain
barrier (BBB). We observed that the SS2 wild-type ZY05719 strain crossed the BBB
model more readily than the mutant strain. Hence, we speculated that STK is
associated with the ability of crossing blood-brain barrier in SS2. In vitro,
compared with ZY05719, the ability of the stk-deficient strain (Deltastk) to
adhere to and invade both hBMECs and bEnd.3 cells, as well as to cross the BBB,
was significantly attenuated. Immunocytochemistry using antibodies against
claudin-5 in bEnd.3 cells showed that infection by ZY05719 disrupted BBB tight
junction proteins to a greater extent than in infection by Deltastk. The studies
revealed that SS2 initially binds at or near intercellular junctions and crosses
the BBB via paracellular traversal. Claudin-5 mRNA levels were indistinguishable
in ZY05719- and Deltastk-infected cells. This result indicated that the decrease
of claudin-5 was maybe induced by protein degradation. Cells infected by ZY05719
exhibited higher ubiquitination levels than cells infected by Deltastk. This
result indicated that ubiquitination was involved in the degradation of claudin
5. Differential proteomic analysis showed that E3 ubiquitin protein ligase HECTD1
decreased by 1.5-fold in Deltastk-infected bEnd.3 cells relative to ZY05719
infected cells. Together, the results suggested that STK may affect the
expression of E3 ubiquitin ligase HECTD1 and subsequently increase the
degradation of claudin-5, thus enabling SS2 to traverse the BBB.
PMID- 29797544
TI - Automated three-dimensional cell counting method for grading uveitis of rodent
eye in vivo with optical coherence tomography.
AB - In preclinical vision research, cell grading in small animal models is essential
for the quantitative evaluation of intraocular inflammation. Here, we present a
new and practical optical coherence tomography (OCT) image analysis method for
the automated detection and counting of aqueous cells in the anterior chamber
(AC) of a rodent model of uveitis. Anterior segment OCT images are acquired with
a 100 kHz swept-source OCT system. The proposed method consists of 2 steps. In
the first step, we first despeckle and binarize each OCT image. After removing AS
structures in the binary image, we then apply area thresholding to isolate cell
like objects. Potential cell candidates are selected based on their best fit to
roundness. The second step performs the cell counting within the whole AC, in
which additional cell tracking analysis is conducted on the successive OCT images
to eliminate redundancy in cell counting. Finally, 3D cell grading using the
proposed method is demonstrated in longitudinal OCT imaging of a mouse model of
anterior uveitis in vivo. Rendering of anterior segment (orange) of mouse eye and
automatically counted anterior chamber cells (green). Inset is a top view of the
rendering, showing the cell distribution across the anterior chamber.
PMID- 29797545
TI - Validation of "Wound QoL" Hebrew version disease-specific questionnaire for
patients with lower extremity ulcerations.
AB - The slow healing process and high recurrence rate of lower extremity ulcerations
(LEU) impose a considerable medical and economic burden and affect quality of
life (QoL). Analyses of LEU-related QoL in Israel are limited due to lack of a
validated Hebrew disease-specific evaluation instrument. The aim of this study
was to validate the disease-specific Hebrew "Wound QoL" questionnaire. The
validation of the "Wound QoL" disease-specific instrument, translated from
English to Hebrew, was based on a comparison with the valid Hebrew version of the
SF12v.2 health-related questionnaire. The convenience sample for the "Wound QoL"
validation (n = 32) was obtained from patient populations in the Maccabi Health
Services' outpatient clinics at the northern and southern parts of Israel. The
study was conducted between June and September 2017. Face/construct validity was
accepted by specialists' consensus. Internal consistency assessed by Cronbach's
alpha was .893. Concurrent validity reflected by Pearson's correlations between
the tools was in the range of 0.830 to 0.950. The Wound QoL Hebrew version is a
valid and reliable instrument suitable for implementation in an Israeli cultural
environment.
PMID- 29797546
TI - Preparation and purification of canthinone and beta-carboline alkaloids from
Picrasma quassioides based on bioautography and mass-spectrometry-directed
autopurification system.
AB - Picrasma quassioides (D. Don) Benn. is a widely used traditional Chinese medicine
for anti-inflammation and antibiosis. Canthinone and beta-carboline alkaloids are
the main characteristic constituents that possess diverse pharmacological
effects, such as anti-inflammatory and anti-infectious properties. In this study,
bioautography in thin-layer chromatography indicated that the antiradical
activity compound may be alkaloids. Then, a simple, fast, and efficient method
was established for the separation and purification of two types of alkaloids
from P. quassioides by mass-spectrometry-directed autopurification system. Eight
alkaloids were isolated and purified in this one-step methodology. Among them,
five compounds (3, 95.1%, 58.8 mg; 4, 98.4%, 71.7 mg; 6, 97.8%, 365.4 mg; 7,
97.7%, 172.7 mg; 8, 98.2%, 180.3 mg) were obtained in large amounts with
extremely high purities. Then, the antiradical activities of the isolates showed
that 4-methoxy-5-hydroxycanthin-6-one (6) exhibited obvious 1,1-diphenyl-2-picryl
hydrazyl free radical scavenging activity with an IC50 value of 84.037 MUM. This
study offers a new method for the preparation of targeted bioactive alkaloids in
P. quassioides. This work also provides a reference for the separation of other
targeted chemical components with potential activities from traditional Chinese
herbal medicines.
PMID- 29797547
TI - Assessing indocyanine green pharmacokinetics in mouse liver with a dynamic
diffuse fluorescence tomography system.
AB - Fluorescence pharmacokinetic rates in tissues can provide additional specific and
quantitative physiological and pathological information for evaluating organ
function. This modality requires a highly sensitive diffuse fluorescence
tomography (DFT) working in dynamic way to finally extract the pharmacokinetic
rates from the measured pharmacokinetics-associated temporally varying boundary
intensity, normally with the support of a priori anatomy. This paper is devoted
to study pharmacokinetics of indocyanine green (ICG) in mouse liver based on
synergistic dynamic-DFT and X-ray computer tomography (XCT): A highly sensitive
dynamic DFT system of CT-scanning mode working with parallel 4 photomultiplier
tube photon-counting channels generates informative and instantaneous sampling
datasets; An XCT system provides priori information of the target localization
for improvement of the reconstruction quality; An analysis procedure extracts the
pharmacokinetic rates from the reconstructed ICG concentration-time curves, using
the Gauss-Newton scheme for fitting to a 2-compartment model. The uptake and
excretion rates of ICG which were obtained in livers of 10 healthy mice in the in
vivo experiments can be used to quantitatively evaluate liver function. The
results can validate the effectiveness of both the imaging measurements system
and pharmacokinetic analysis method.
PMID- 29797548
TI - Conservation of the behavioral and transcriptional response to social experience
among Drosophilids.
AB - While social experience has been shown to significantly alter behaviors in a wide
range of species, comparative studies that uniformly measure the impact of a
single experience across multiple species have been lacking, limiting our
understanding of how plastic traits evolve. To address this, we quantified
variations in social feeding behaviors across 10 species of Drosophilids, tested
the effect of altering rearing context on these behaviors (reared in groups or in
isolation) and correlated observed behavioral shifts to accompanying
transcriptional changes in the heads of these flies. We observed significant
variability in the extent of aggressiveness, the utilization of social cues
during food search, and social space preferences across species. The sensitivity
of these behaviors to rearing experience also varied: socially naive flies were
more aggressive than their socialized conspecifics in some species, and more
reserved or identical in others. Despite these differences, the mechanism of
socialization appeared to be conserved within the melanogaster subgroup as
species could cross-socialize each other, and the transcriptional response to
social exposure was significantly conserved. The expression levels of
chemosensory-perception genes often varied between species and rearing
conditions, supporting a growing body of evidence that behavioral evolution is
driven by the differential regulation of this class of genes. The clear
differences in behavioral responses to socialization observed in Drosophilids
make this an ideal system for continued studies on the genetic basis and
evolution of socialization and behavioral plasticity.
PMID- 29797549
TI - Minimizing polymerase biases in metabarcoding.
AB - DNA metabarcoding is an increasingly popular method to characterize and quantify
biodiversity in environmental samples. Metabarcoding approaches simultaneously
amplify a short, variable genomic region, or "barcode," from a broad taxonomic
group via the polymerase chain reaction (PCR), using universal primers that
anneal to flanking conserved regions. Results of these experiments are reported
as occurrence data, which provide a list of taxa amplified from the sample, or
relative abundance data, which measure the relative contribution of each taxon to
the overall composition of amplified product. The accuracy of both occurrence and
relative abundance estimates can be affected by a variety of biological and
technical biases. For example, taxa with larger biomass may be better represented
in environmental samples than those with smaller biomass. Here, we explore how
polymerase choice, a potential source of technical bias, might influence results
in metabarcoding experiments. We compared potential biases of six commercially
available polymerases using a combination of mixtures of amplifiable synthetic
sequences and real sedimentary DNA extracts. We find that polymerase choice can
affect both occurrence and relative abundance estimates and that the main source
of this bias appears to be polymerase preference for sequences with specific GC
contents. We further recommend an experimental approach for metabarcoding based
on results of our synthetic experiments.
PMID- 29797550
TI - W(OTf)6 -Catalyzed Synthesis of gamma-Lactones by Ring Contraction of Macrolides
or Ring Closing of Terminal Hydroxyfatty Acids in Ionic Liquid.
AB - gamma-Lactones are an important class of fine chemical products and are widely
used in perfumes, medicines, pesticides, dyes, and other fields. Herein, a new
method for gamma-lactones preparation based on ring contraction was developed.
Starting from macrolides, W(OTf)6 was used to catalyze the ring-opening
polymerization then depolymerization. The depolymerization step was not a common
ring-closing process, and the carbon number of the ring was reduced one by one by
rearrangement to form the most thermodynamically stable five-membered ring
compounds. gamma-Caprolactone (180 degrees C for 10 h) was obtained in a yield
of 94 % when [EMIM]OTf was used as the solvent, and the yield of isolated product
was up to 85 %. The interaction of various components and the reaction mechanism
were studied by FTIR spectroscopy and 1 H NMR spectroscopy, respectively.
Furthermore, gamma-lactones could be produced when the substrate was extended to
terminal hydroxyfatty acids. Unexpectedly, the catalyst was poisoned by 1
equivalent of H2 O added during the process and thus the yield decreased greatly.
The reaction is green and simple, and proceeds in one pot with high atom economy
(100 % for macrolides and with water as the only byproduct for terminal
hydroxyfatty acid), which provides a promising approach to synthesizing gamma
lactones.
PMID- 29797552
TI - Response to Camacho.
PMID- 29797553
TI - Response to Harcombe.
PMID- 29797551
TI - Combination vinblastine, prednisolone and toceranib phosphate for treatment of
grade II and III mast cell tumours in dogs.
AB - This retrospective study evaluates the progression-free interval and survival
outcomes of 40 canine (Canis familiaris) patients with Patnaik grade II and III
mast cell tumours treated with combination vinblastine, prednisolone and
toceranib phosphate from 2011 to 2015. Patients were subdivided into three
groups; patients who received neoadjuvant therapy for poorly operable lesions,
patients who received adjuvant therapy following surgical resection and patients
being palliated for gross metastatic disease. Median survival time (MST) for the
neoadjuvant group was not reached. Median survival time for the remaining groups
was 893 days and 218 days, respectively. This combination demonstrated response
in 90% (26/29) patients with measurable disease. The predominant side effects
related to this chemotherapy combination were gastrointestinal in origin. Further
prospective studies are required to further validate the efficacy of this
treatment protocol.
PMID- 29797554
TI - Comments on "Low carbohydrate diets may increase risk of neural tube defects".
PMID- 29797557
TI - BMI Trajectories: What Do They Really Teach Us?
PMID- 29797555
TI - Impact of Early-Life Weight Status on Cognitive Abilities in Children.
AB - OBJECTIVE: Whether obesity is associated with childhood cognition is unknown.
Given the sensitivity of the developing brain to environmental factors, this
study examined whether early-life weight status was associated with children's
cognition. METHODS: Using data from mother-child pairs enrolled in the Health
Outcomes and Measures of the Environment (HOME) Study (2003-2006), children's
early-life weight status was assessed using weight-for-length/height standard
deviation (SD) scores. A battery of neuropsychological tests was administered to
assess cognition, executive function, and visual-spatial abilities at ages 5 and
8 years. Using linear mixed models, associations between early-life weight status
and cognition were estimated. RESULTS: Among 233 children, 167 were lean (<=1 SD)
and 48 were nonlean (>1 SD). After covariate adjustment, the results suggest that
full-scale intelligence quotient scores decreased with a 1-unit increase in
weight-for-height SD score (beta = -1.4, 95% CI: -3.0 to 0.1). For individual
component scores, with a 1-unit increase in weight-for-height SD score,
perceptual reasoning (beta = -1.7, 95% CI: -3.3 to 0.0) and working memory (beta:
-2.4, CI: -4.4 to -0.4) scores decreased. Weight status was generally not
associated with other cognition measures. CONCLUSIONS: Within this cohort of
typically developing children, early-life weight status was inversely associated
with children's perceptual reasoning and working memory scores and possibly with
full-scale intelligent quotient scores.
PMID- 29797556
TI - Does the Immigrant Advantage in Overweight/Obesity Persist over Time in Mexican
American Youth? NHANES 1988-1994 to 2005-2014.
AB - OBJECTIVE: To examine whether the relationship between nativity and
overweight/obesity has changed over time among Mexican American children and to
investigate the implications of this pattern on overweight/obesity disparities
relative to non-Hispanic white children. METHODS: Using cross-sectional data from
Mexican American and non-Hispanic white children aged 4 to 17 years participating
in the National Health and Nutrition Examination Surveys (1988-1994 [N = 4,720]
and 2005-2014 [N = 7,275]) log-binomial regression was used to calculate
prevalence ratios (PRs) of overweight/obesity by nativity status adjusting for
sociodemographic covariates, survey period, and a nativity-by-survey period
interaction. A separate covariate-adjusted model tested a three-level interaction
between ethnicity, nativity, and survey period that included non-Hispanic white
children. RESULTS: In 1988-1994, foreign-born Mexican Americans had significantly
lower prevalence of overweight/obesity compared with US-born Mexican Americans
(PR = 0.75, 95% CI: 0.61-0.94). However, by 2005-2014, the nativity gap in
overweight/obesity had closed (PR = 0.94, 95% CI: 0.84-1.07). Moreover, while
foreign-born Mexican Americans had the lowest levels of overweight/obesity in
1988-1994, by 2005-2014, foreign-born and US-born Mexican Americans had
comparable estimates, both significantly higher than that of non-Hispanic white
individuals. CONCLUSIONS: Although overweight/obesity disparities between Mexican
Americans and non-Hispanic white Americans had previously been specific to US
born Mexican Americans, disparities in more recent years have extended to foreign
born Mexican Americans.
PMID- 29797558
TI - Differences in the Neighborhood Retail Food Environment and Obesity Among US
Children and Adolescents by SNAP Participation.
AB - OBJECTIVE: The goal of this study was to understand the association between
children's neighborhood food access and overweight/obesity in a national sample
of US households, and whether this association differs by Supplemental Nutrition
Assistance Program (SNAP) participation or household purchases. METHODS: Data
were obtained from the National Household Food Acquisition and Purchase Survey
(2012-2013; n = 3,748 children aged 2 to 18 years). Logistic regression was used
to examine associations between neighborhood retail food access (<=1 mile from
home), food purchases (including sugary beverages), and overweight/obesity,
stratified by SNAP status (1,720 participants, 453 eligible nonparticipants,
1,575 SNAP ineligible). Store types included supermarkets/grocery, combination
grocery/other (independent drug, dollar, and general stores), convenience, fast
food, and non-fast food restaurants. RESULTS: Odds of childhood
overweight/obesity (OR [95% CI]) were higher with greater access to combination
grocery/other stores overall (1.10 [1.03-1.17]) and for children in SNAP (1.14
[1.05-1.24]). Eligible non-SNAP children had higher odds of overweight/obesity
with greater access to convenience stores (1.11 [1.04-1.18]). The average child
lived in a household with 6.3% of total spending at food outlets on sugary
beverages (SNAP: 8.3%, eligible non-SNAP: 7.7%, SNAP ineligible: 5.5%).
CONCLUSIONS: Greater neighborhood access to combination grocery/other stores is
associated with higher obesity prevalence for children overall and those in SNAP.
PMID- 29797560
TI - Plastid genomes reveal recurrent formation of allopolyploid Fragaria.
AB - PREMISE OF THE STUDY: Recurrent formation of polyploid taxa is a common
observation in many plant groups. Haploid, cytoplasmic genomes like the plastid
genome can be used to overcome the problem of homeologous genes and recombination
in polyploid taxa. Fragaria (Rosaceae) contains several octo- and decaploid
species. We use plastome sequences to infer the plastid ancestry of these taxa
with special focus on the decaploid Fragaria cascadensis. METHODS: We used genome
skimming of 96 polyploid Fragaria samples on a single Illumina HiSeq 3000 lane to
obtain whole plastome sequences. These sequences were used for phylogenetic
reconstructions and dating analyses. Ploidy of all samples was inferred with flow
cytometry, and plastid inheritance was examined in a controlled cross of F.
cascadensis. KEY RESULTS: The plastid genome phylogeny shows that only the
octoploid F. chiloensis is monophyletic, all other polyploid taxa were supported
to be para- or polyphyletic. The decaploid Fragaria cascadensis has biparental
plastid inheritance and four different plastid donors. Diversification of the F.
cascadensis clades occurred in the last 230,000 years. The southern part of its
distribution range harbors considerably higher genetic diversity, suggestive of a
potential refugium. CONCLUSIONS: Fragaria cascadensis had at least four
independent origins from parents with different plastomes. In contrast, para- and
polyphyletic taxa of the octoploid Fragaria species are best explained by
incomplete lineage sorting and/or hybridization. Biogeographic patterns in F.
cascadensis are probably a result of range shift during the last glacial maximum.
PMID- 29797559
TI - Energy Expenditure in Pregnant Women with Obesity Does Not Support Energy Intake
Recommendations.
AB - OBJECTIVE: This study aimed to identify factors that may predispose women to
excess gestational weight gain (GWG). METHODS: Seventy-two healthy women with
obesity (30 class I, 24 class II, 18 class III) expecting a singleton pregnancy
were studied at 13 to 16 weeks gestation. Energy expenditure (EE) was measured
during sleep (SleepEE, average EE from 0200-0500 hours) in a whole-room
calorimeter, and total daily EE (TDEE) over 7 days using doubly labeled water.
Glucose, insulin, thyroid hormones, and catecholamines were measured. RESULTS:
Body composition explained 70% variability in SleepEE, and SleepEE accounted for
67% to 73% of TDEE. Though there was no evidence of consistent low metabolism,
there was considerable variability. Low SleepEE was associated with insulin
resistance and low triiodothyronine concentrations (both P = 0.01). Physical
activity level was 1.47 +/- 0.02. For women with SleepEE within 100 kcal/d of
their predicted EE, TDEE was significantly less than the estimate (2,530 +/- 91
vs. 2,939 kcal/d; P < 0.001) provided from the most recent gestational energy
requirement model. CONCLUSIONS: Pregnant women with obesity are inactive,
possibly predisposing them to excess GWG. Current energy requirement models
overestimate activity and may promote excess GWG in women with obesity.
Furthermore, the observed large interindividual variability in basal metabolism
may be important to consider when assessing the risk for excess GWG.
PMID- 29797561
TI - NEAT1 upregulates TGF-beta1 to induce hepatocellular carcinoma progression by
sponging hsa-mir-139-5p.
AB - Increasing evidence has shown that the lncRNA Nuclear Enriched Abundant
Transcript 1 (NEAT1) play important roles in cell proliferation, migration, and
invasion in various tumors. In our current study, we concentrated on the
biological mechanisms of NEAT1 in hepatocellular carcinoma (HCC) development. It
was found that NEAT1 was significantly increased in human HCC cell lines
including Hep3B, LM3, MHCC97L, SK-hep1, and HepG2 cells compared to the normal
human liver cell line LO2. Meanwhile, we observed that hsa-miR-139-5p was greatly
decreased in HCC cells, which suggested a negative correlation between NEAT1 and
hsa-mir-139-5p. In addition, NEAT1 downregulation can restrain HCC cell growth,
migration, and invasion. Consistently, overexpression of hsa-mir-139-5p exerted a
similar phenomenon. Dual-luciferase reporter assay, RIP assay, and RNA pull-down
assay confirmed that NEAT1 can function as a ceRNA by sponging hsa-mir-139-5p. In
addition, TGF-beta1 was identified as a downstream target of hsa-mir-139-5p and
hsa-mir-139-5p overexpression was able to suppress TGF-beta1 levels. Furthermore,
it was indicated that TGF-beta1 inhibition can inhibit HCC cell growth,
migration, and invasion ability. Taken these together, we speculated that NEAT1
can modulate TGF-beta1 expression by sponging hsa-mir-139-5p in HCC. These data
indicates that targeting the NEAT1/hsa-mir-139-5p/TGF-beta1 axis could be a new
strategy for HCC.
PMID- 29797562
TI - Long non-coding RNA FEZF1-AS1 promotes breast cancer stemness and tumorigenesis
via targeting miR-30a/Nanog axis.
AB - Long non-coding RNAs (lncRNAs) have been verified to modulate the tumorigenesis
of breast cancer at multiple levels. In present study, we aim to investigate the
role of lncRNA FEZF1-AS1 on breast cancer-stem like cells (BCSC) and the
potential regulatory mechanism. In breast cancer tissue, lncRNA FEZF1-AS1 was up
regulated compared with controls and indicated poor prognosis of breast cancer
patients. In vitro experiments, FEZF1-AS1 was significantly over-expressed in
breast cancer cells, especially in sphere subpopulation compared with parental
subpopulation. Loss-of-functional indicated that, in BCSC cells (MDA-MB-231 CSC,
MCF-7 CSC), FEZF1-AS1 knockdown reduced the CD44+ /CD24- rate, the mammosphere
forming ability, stem factors (Nanog, Oct4, SOX2), and inhibited the
proliferation, migration and invasion. In vivo, FEZF1-AS1 knockdown inhibited the
breast cancer cells growth. Bioinformatics analysis tools and series of
validation experiments confirmed that FEZF1-AS1 modulated BCSC and Nanog
expression through sponging miR-30a, suggesting the regulation of FEZF1-AS1/miR
30a/Nanog. In summary, our study validate the important role of FEZF1-AS1/miR
30a/Nanog in breast cancer stemness and tumorigenesis, providing a novel insight
and treatment strategy for breast cancer.
PMID- 29797563
TI - The critical role of fossils in inferring deep-node phylogenetic relationships
and macroevolutionary patterns in Cornales.
AB - PREMISE OF THE STUDY: The basal asterid order, Cornales, experienced a rapid
radiation during the Cretaceous, which has made it difficult to elucidate the
early evolution of the order using extant taxa only. Recent paleobotanical
studies, however, have begun to shed light on the early diversification of
Cornales. Herein, fossils are directly incorporated in phylogenetic and
quantitative morphological analyses to reconstruct early cornalean evolution.
METHODS: A morphological matrix of 77 fruit characters and 58 taxa (24 extinct)
was assembled. Parsimony analyses including and excluding fossils were conducted.
A fossil inclusive tree was time-scaled to visualize the timing of the initial
cornalean radiation. Disparity analyses were utilized to infer the morphological
evolution of cornaleans with drupaceous fruits. KEY RESULTS: Fossil inclusive and
exclusive parsimony analyses resulted in well-resolved deep-node relationships
within Cornales. Resolution in the fossil inclusive analysis is substantially
higher, revealing a basal grade including Loasaceae, Hydrangeaceae,
Hydrostachyaceae, Grubbiaceae, a Hironoia+Amersinia clade, and Curtisiaceae,
respectively, that leads to a "core" group containing a clade comprising a
Cretaceous grade leading to clade of Nyssaceae, Mastixiaceae, and Davidiaceae
that is sister to a Cornaceae+Alangiaceae clade. The time-scaled tree indicates
that the initial cornalean diversification occurred before 89.8 Ma. Disparity
analyses suggest the morphological diversity of Cornales peaked during the
Paleogene. CONCLUSIONS: Phylogenetic analyses clearly demonstrate that novel
character mosaics of Cretaceous cornaleans play a critical role in resolving deep
node relationships within Cornales. The post-Cretaceous increase of cornalean
disparity is associated with a shift in morphospace occupation, which can be
explained from ecological and developmental perspectives.
PMID- 29797565
TI - Amplitude by Peak Interaction but No Evidence of Auditory Mismatch Response
Deficits to Frequency Change in Preschool-Aged Children with Fetal Alcohol
Spectrum Disorders.
AB - BACKGROUND: Prior studies indicate that the auditory mismatch response is
sensitive to early alterations in brain development in multiple developmental
disorders. Prenatal alcohol exposure is known to impact early auditory
processing. The current study hypothesized alterations in the mismatch response
in young children with fetal alcohol spectrum disorders (FASD). METHODS:
Participants in this study were 9 children with a FASD and 17 control children
(Control) aged 3 to 6 years. Participants underwent magnetoencephalography and
structural magnetic resonance imaging scans separately. We compared groups on
neurophysiological mismatch negativity (MMN) responses to auditory stimuli
measured using the auditory oddball paradigm. Frequent (1,000 Hz) and rare (1,200
Hz) tones were presented at 72 dB. RESULTS: There was no significant group
difference in MMN response latency or amplitude represented by the peak located
~200 ms after stimulus presentation in the difference time course between
frequent and infrequent tones. Examining the time courses to the frequent and
infrequent tones separately, repeated measures analysis of variance with
condition (frequent vs. rare), peak (N100m and N200m), and hemisphere as within
subject factors and diagnosis and sex as the between-subject factors showed a
significant interaction of peak by diagnosis (p = 0.001), with a pattern of
decreased amplitude from N100m to N200m in Control children and the opposite
pattern in children with FASD. However, no significant difference was found with
the simple effects comparisons. No group differences were found in the response
latencies of the rare auditory evoked fields. CONCLUSIONS: The results indicate
that there was no detectable effect of alcohol exposure on the amplitude or
latency of the MMNm response to simple tones modulated by frequency change in
preschool-aged children with FASD. However, while discrimination abilities to
simple tones may be intact, early auditory sensory processing revealed by the
interaction between N100m and N200m amplitude indicates that auditory sensory
processing may be altered in children with FASD.
PMID- 29797566
TI - Roles of Vanadium and Nitrogen in Photocatalytic Activity of VN-Codoped TiO2
Photocatalyst.
AB - In the present manuscript, we explore the VN-codoped TiO2 system intended to
understand the role played by each dopant in synergistic enhancement in
performance of TiO2 photocatalyst. The photocatalytic decomposition of organic
pollutants in aqueous solution under visible light was used as a probe reaction
to evaluate the performance of VN-codoped TiO2 samples with different V and N
concentrations. An optical measurement of VN-codoped TiO2 shows considerable
improved visible light absorption with increase in V-concentration as compared to
increase in N concentration, which was due to the effective narrowing of the band
gap by V-doping. The energy levels formed by N-dopants act as the trapping
centers for photogenerated holes to suppress recombination process as indicated
by PL and TRPL results. It is also observed that at high V-concentrations
recombination centers are created in the form of oxygen vacancies as indicated by
XPS and PL. In VN-codoped TiO2 , addition of N partially fills these oxygen
vacancies to reduce the number of recombination centers and prolong the lifetime
of charge carriers. Thus, V improves the visible light absorption while N reduces
the recombination of electron-hole pairs, thus creating the synergistic effect to
produce three times better performance than pure TiO2 .
PMID- 29797567
TI - TANK-binding kinase 1 and Janus kinase 2 play important roles in the regulation
of mitogen-activated protein kinase phosphatase-1 expression after toll-like
receptor 4 activation.
AB - Inflammation is a response that protects the body from pathogens. Through several
inflammatory signaling pathways mediated by various families of transcription
factors, such as nuclear factor-kappaB (NF-kappaB), activator protein-1 (AP-1),
interferon regulatory factors (IRFs), and signal transducers and activators of
transcription (STATs), various inflammatory cytokines and chemokines are induced
and inflammatory responses are boosted. Simultaneously, inhibitory systems are
activated and provide negative feedback. A typical mechanism by which this
process occurs is that inflammatory signaling molecules upregulate mitogen
activated protein kinase phosphatase-1 (MKP1) expression. Here, we investigated
how kinases regulate MKP1 expression in lipopolysaccharide-triggered cascades. We
found that p38 and c-Jun N-terminal kinase (JNK) inhibitors decreased MKP1
expression. Using specific inhibitors, gene knockouts, and gene knockdowns, we
also found that tumor necrosis factor receptor-associated factor family member
associated nuclear factor kappaB activator (TANK)-binding kinase 1 (TBK1) and
Janus kinase 2 (JAK2) are involved in the induction of MKP1 expression. By
analyzing JAK2-induced activation of STATs, STAT3-specific inhibitors, promoter
binding sites, and STAT3-/- cells, we found that STAT3 is directly linked to TBK1
mediated and JAK2-mediated induction of MKP1 expression. Our data suggest that
MKP1 expression can be differentially regulated by p38, JNK, and the TBK1-JAK2
STAT3 pathway after activation of toll-like receptor 4 (TLR4). These data also
imply crosstalk between the AP-1 pathway and the IRF3 and STAT3 pathways.
PMID- 29797568
TI - Excessive training induces molecular signs of pathologic cardiac hypertrophy.
AB - Chronic exercise induces cardiac remodeling that promotes left ventricular
hypertrophy and cardiac functional improvement, which are mediated by the
mammalian or the mechanistic target of rapamycin (mTOR) as well as by the
androgen and glucocorticoid receptors (GRs). However, pathological conditions
(i.e., chronic heart failure, hypertension, and aortic stenosis, etc.) also
induce cardiac hypertrophy, but with detrimental function, high levels of
proinflammatory cytokines and myostatin, elevated fibrosis, reduced adenosine
monophosphate-activated protein kinase (AMPK) activation, and fetal gene
reactivation. Furthermore, recent studies have evidenced that excessive training
induced an inflammatory status in the serum, muscle, hypothalamus, and liver,
suggesting a pathological condition that could also be detrimental to cardiac
tissue. Here, we verified the effects of three running overtraining (OT) models
on the molecular parameters related to physiological and pathological cardiac
hypertrophy. C57BL/6 mice performed three different OT protocols and were
evaluated for molecular parameters related to physiological and pathological
cardiac hypertrophy, including immunoblotting, reverse transcription polymerase
chain reaction, histology, and immunohistochemistry analyses. In summary, the
three OT protocols induced left ventricle (LV) hypertrophy with signs of cardiac
fibrosis and negative morphological adaptations. These maladaptations were
accompanied by reductions in AMPKalpha (Thr172) phosphorylation, androgen
receptor, and GR expressions, as well as by an increase in interleukin-6
expression. Specifically, the downhill running-based OT model reduced the content
of some proteins related to the mTOR signaling pathway and upregulated the beta
isoform of myosin heavy-chain gene expression, presenting signs of LV
pathological hypertrophy development.
PMID- 29797564
TI - Stress, Motivation, and the Gut-Brain Axis: A Focus on the Ghrelin System and
Alcohol Use Disorder.
AB - Since its discovery, the gut hormone, ghrelin, has been implicated in diverse
functional roles in the central nervous system. Central and peripheral
interactions between ghrelin and other hormones, including the stress-response
hormone cortisol, govern complex behavioral responses to external cues and
internal states. By acting at ventral tegmental area dopaminergic projections and
other areas involved in reward processing, ghrelin can induce both general and
directed motivation for rewards, including craving for alcohol and other alcohol
seeking behaviors. Stress-induced increases in cortisol seem to increase ghrelin
in the periphery, suggesting a pathway by which ghrelin influences how stressful
life events trigger motivation for rewards. However, in some states, ghrelin may
be protective against the anxiogenic effects of stressors. This critical review
brings together a dynamic and growing literature, that is, at times inconsistent,
on the relationships between ghrelin, central reward-motivation pathways, and
central and peripheral stress responses, with a special focus on its emerging
role in the context of alcohol use disorder.
PMID- 29797569
TI - Colorimetric protein determination in microalgae (Chlorophyta): association of
milling and SDS treatment for total protein extraction.
AB - The use of colorimetric methods for protein quantification in microalgae is
hindered by their elevated amounts of membrane-embedded intracellular proteins.
In this work, the protein content of three species of microalgae was determined
by the Lowry method after the cells were dried, ball-milled, and treated with the
detergent sodium dodecyl sulfate (SDS). Results demonstrated that the association
of milling and SDS treatment resulted in a 3- to 7-fold increase in protein
quantification. Milling promoted microalgal disaggregation and cell wall
disruption enabling access of the SDS detergent to the microalgal intracellular
membrane proteins and their efficient solubilization and quantification.
PMID- 29797570
TI - Emergence of uncommon HIV-1 non-B subtypes and circulating recombinant forms and
trends in transmission of antiretroviral drug resistance in patients with primary
infection during the 2013-2015 period in Marseille, Southeastern France.
AB - Primary HIV-1 infections (PHI) with non-B subtypes are increasing in developed
countries while transmission of HIV-1 harboring antiretroviral resistance
associated mutations (RAMs) remains a concern. This study assessed non-B HIV-1
subtypes and RAMs prevalence among patients with PHI in university hospitals of
Marseille, Southeastern France, in 2005-2015 (11 years). HIV-1 sequences were
obtained by in-house protocols from 115 patients with PHI, including 38 for the
2013-2015 period. On the basis of the phylogenetic analysis of the reverse
transcriptase region, non-B subtypes were identified in 31% of these patients.
They included 3 different subtypes (3A, 1C, 4F), 23 circulating recombinant forms
(CRFs) (CRF02_AG, best BLAST hits being CRF 36_cpx and CRF30 in 7 and 1 cases,
respectively), and 5 unclassified sequences (U). Non-B subtypes proportion
increased significantly, particularly in 2011-2013 vs in 2005-2010 (P = .03).
CRF02_AG viruses largely predominated in 2005-2013 whereas atypical strains more
difficult to classify and undetermined recombinants emerged recently (2014-2015).
The prevalence of protease, nucleos(t)ide reverse transcriptase, and first
generation nonnucleoside reverse transcriptase inhibitors-associated RAMs were
1.7% (World Health Organization [WHO] list, 2009/2.6% International AIDS Society
[IAS] list, 2017), 5.2%/4.3%, and 5.2%/5.2%, respectively. Etravirine/rilpivirine
associated RAM (IAS) prevalence was 4.3%. Men who have sex with men (MSM) were
more frequently infected with drug-resistant viruses than other patients (26% vs
7%; P = .011). The recent increase of these rare HIV-1 strains and the spread of
drug-resistant HIV-1 among MSM in Southeastern France might be considered when
implementing prevention strategies and starting therapies.
PMID- 29797571
TI - Spheroids from adipose-derived stem cells exhibit an miRNA profile of highly
undifferentiated cells.
AB - Two-dimensional (2D) cell cultures have been extensively used to investigate stem
cell biology, but new insights show that the 2D model may not properly represent
the potential of the tissue of origin. Conversely, three-dimensional cultures
exhibit protein expression patterns and intercellular junctions that are more
representative of their in vivo condition. Multiclonal cells that grow in
suspension are defined as "spheroids," and we have previously demonstrated that
spheroids from adipose-derived stem cells (S-ASCs) displayed enhanced
regenerative capability. With the current study, we further characterized S-ASCs
to further understand the molecular mechanisms underlying their stemness
properties. Recent studies have shown that microRNAs (miRNAs) are involved in
many cellular mechanisms, including stemness maintenance and proliferation, and
adipose stem cell differentiation. Most studies have been conducted to identify a
specific miRNA profile on adherent adipose stem cells, although little is still
known about S-ASCs. In this study, we investigate for the first time the miRNA
expression pattern in S-ASCs compared to that of ASCs, demonstrating that cell
lines cultured in suspension show a typical miRNA expression profile that is
closer to the one reported in induced pluripotent stem cells. Moreover, we have
analyzed miRNAs that are specifically involved in two distinct moments of each
differentiation, namely early and late stages of osteogenic, adipogenic, and
chondrogenic lineages during long-term in vitro culture. The data reported in the
current study suggest that S-ASCs have superior stemness features than the ASCs
and they represent the true upstream stem cell fraction present in adipose
tissue, relegating their adherent counterparts.
PMID- 29797572
TI - Chronic phosphodiesterase type 5 inhibition has beneficial effects on
subcutaneous adipose tissue plasticity in type 2 diabetic mice.
AB - Different adipose tissue (AT) depots are associated with multiple metabolic
risks. Phosphodiesterase type 5 (PDE5) is involved in adipocyte physiology and
PDE5 inhibition may affect adipogenesis and ameliorate white AT quality. The aim
of this study is to investigate the distribution of AT and the composition of the
stroma-vascular fraction (SVF) of subcutaneous AT (SAT) in type 2 diabetic mice
after prolonged treatment with a PDE5 inhibitor, Sildenafil. 18 db/db mice were
treated with Sildenafil or vehicle for 12 weeks. AT distribution was monitored
and SAT was processed for isolation of SVF by flow cytometry. Sildenafil induced
an overall reduction in AT, mainly in visceral AT (VAT), compared with SAT. In
Sildenafil-treated mice, the mean change in body weight from baseline positively
correlated with VAT, but not with SAT. Characterization of SVF of SAT showed an
increase in the frequency of M2 macrophages and endothelial cells in treated
mice. Sildenafil improved the maintenance of SAT homeostasis and distribution.
PMID- 29797573
TI - Mcl-1 targeting could be an intriguing perspective to cure cancer.
AB - The Bcl-2 family, which plays important roles in controlling cancer development,
is divided into antiapoptotic and proapoptotic members. The change in the balance
between these members governs the life and death of the cells. Mcl-1 is an
antiapoptotic member of this family and its distribution in normal and cancerous
tissues strongly differs from that of Bcl-2. In human cancers, where upregulation
of antiapoptotic proteins is common, Mcl-1 expression is regulated independent of
Bcl-2 and its inhibition promotes senescence, a major barrier to tumorigenesis.
Cancer chemotherapy determines various kinds of responses, such as senescence and
autophagy; however, the ideal response to chemotherapy is apoptosis. Mcl-1 is a
potent oncogene that is regulated at the transcriptional, posttranscriptional,
and posttranslational levels. Mcl-1 is a short-lived protein that, in the NH2
terminal region, contains sites for posttranslational regulation that can lead to
proteasomal degradation. The USP9X Mcl-1 deubiquitinase regulates Mcl-1 and the
levels of these two proteins are strongly correlated. Mcl-1 has three splicing
variants (the antiapoptotic protein Mcl-1L and the proapoptotic proteins Mcl-1S
and Mcl-1ES), each contributing toward apoptosis regulation. In cancers
responsible for the most deaths in the world, the presence of Mcl-1 is associated
with malignant cell growth and evasion of apoptosis. Mcl-1 is also one of the key
regulators of cancer stem cells' self-renewal that contributes to tumor survival.
A great number of indirect and selective Mcl-1 inhibitors have been produced and
some of these have shown efficacy in several clinical trials. Thus, therapeutic
manipulation of Mcl-1 can be a useful strategy to combat cancer.
PMID- 29797574
TI - Bone marrow mesenchymal stem cell donors with a high body mass index display
elevated endoplasmic reticulum stress and are functionally impaired.
AB - Bone marrow mesenchymal stem cells (BM-MSCs) are promising candidates for
regenerative medicine purposes. The effect of obesity on the function of BM-MSCs
is currently unknown. Here, we assessed how obesity affects the function of BM
MSCs and the role of endoplasmic reticulum (ER) stress and the unfolded protein
response (UPR) therein. BM-MSCs were obtained from healthy donors with a normal
(<25) or high (>30) body mass index (BMI). High-BMI BM-MSCs displayed severely
impaired osteogenic and diminished adipogenic differentiation, decreased
proliferation rates, increased senescence, and elevated expression of ER stress
related genes ATF4 and CHOP. Suppression of ER stress using tauroursodeoxycholic
acid (TUDCA) and 4-phenylbutyrate (4-PBA) resulted in partial recovery of
osteogenic differentiation capacity, with a significant increase in the
expression of ALPL and improvement in the UPR. These data indicate that BMI is
important during the selection of BM-MSC donors for regenerative medicine
purposes and that application of high-BMI BM-MSCs with TUDCA or 4-PBA may improve
stem cell function. However, whether this improvement can be translated into an
in vivo clinical advantage remains to be assessed.
PMID- 29797575
TI - The promise of stem cell markers in the diagnosis and therapy of epithelial
dysplasia and oral squamous cell carcinoma.
AB - Oral squamous cell carcinoma (OSCC) is the most common type of head and neck
cancer. Epithelial dysplasia is often initiated in the cells and cell nuclei
adjacent to the epithelial cell membrane. Reduced cell-cell adhesions enable
cancer cells to detach from the tumor and disseminate to other organs. The
mutations in epithelial dysplasia markers such as E-cadherin and epithelial cell
adhesion molecules (CD326) can lead to proliferation, growth and survival of the
tumor cells and persistence of numerous malignancies that play a key role in
epithelial dysplasia of OSCC. Accordingly, these genes can be considered
prognostic markers or potential therapeutic targets for the tailored management
of patients with OSCC. The gene expression profile of OSCC stem cells indicates a
differential pattern that facilitates establishing a cell signature. Owing to the
highly tumorigenic behavior of cancer stem cells and the role of these cells in
tumor differentiation, treatment resistance, relapse, and metastasis, we reviewed
the role of stem cell markers in epithelial dysplasia and OSCC.
PMID- 29797576
TI - Umbelliprenin shows antitumor, antiangiogenesis, antimetastatic, anti
inflammatory, and immunostimulatory activities in 4T1 tumor-bearing Balb/c mice.
AB - Umbelliprenin (UMB) has shown various pharmacological properties in vitro. We
investigated the antineoplastic and immunostimulatory effects of UMB in 4T1
mammary-tumor-bearing mice. Two-hundred microliter of UMB (12.5 mg/ml) was
intraperitoneally administrated to healthy and tumor-bearing female Balb/c mice
for a period of 18 days. Data was analyzed using GraphPad Prism 5 software for
Windows (version 5, La Jolla, CA). UMB caused a significant decrease in tumor
size (P < 0.01). Serum interferon gamma (IFNgamma) was augmented in both healthy
and tumor-bearing animals (P < 0.01), and IL-4 declined in healthy animals (P <
0.01) treated with UMB. Expressions of Ki-67, VEGF, CD31, MMP2, MMP9, VCAM1, and
NF-kappaB were significantly decreased in tumors from UMB-treated animals (P <
0.001), whereas E-Cadherin and TNFR1 expressions were markedly increased (P <
0.001). The rates of liver and lung metastases in UMB-administrated animals were
smaller compared to the control. UMB can potently inhibit tumor growth,
angiogenesis, metastasis, and inflammation and potentiate an antitumor immune
response in vivo. However, further investigations are required to evaluate the
UMB mechanisms of action in cancerous cells.
PMID- 29797577
TI - The immunomodulatory effects of adipose-derived mesenchymal stem cells and
mesenchymal stem cells-conditioned medium in chronic colitis.
AB - Inflammatory bowel disease (IBD) as a chronic recurrent disorder is characterized
by mucosal immune response dysregulation, which is more prevalent in the youth.
Adipose-derived mesenchymal stem cells (ADMSCs) are the multipotent cells that
can be effective in immune response regulation via cell-cell interaction and
their secretions. In this study, the effects of ADMSCs and mesenchymal stem cell
conditioned medium (MSC-CM) were evaluated on dextran sulfate sodium (DSS)
induced colitis in mice. Chronic colitis was induced in female C57BL/6 mice using
2% DSS in drinking water for three cycles; there were 4 days of DSS-water
administration that was followed by 7 days of DSS-free water, in a cycle. ADMSCs,
106 cells per mouse, were injected intraperitoneally (IP), whereas the MSC-CM
injection was also performed six times from the last day of DSS in Cycle 1.
Clinical symptoms were recorded daily. The colon pathological changes, cytokine
levels, and regulatory T (Treg) cell percentages were then analyzed. After
receiving ADMSCs and MSC-CM in colitis mice, the clinical symptoms and disease
activity index were improved and the survival rate was increased. The
histopathological examination also showed tissue healing in comparison with the
nontreated group. In addition, the increased level of transforming growth factor
beta, increased percentage of Treg cells, increased level of interleukin (IL)-10,
and decreased level of IL-17 were observed after the treatment. This study showed
the regulatory effects of ADMSCs and MSC-CM on inflammatory responses. Therefore,
the use of ADMSCs and MSC-CM can be introduced as a new and effective therapeutic
approach for patients with colitis.
PMID- 29797578
TI - Respiratory muscle training for cystic fibrosis.
AB - BACKGROUND: Cystic fibrosis is the most common autosomal recessive disease in
white populations, and causes respiratory dysfunction in the majority of
individuals. Numerous types of respiratory muscle training to improve respiratory
function and health-related quality of life in people with cystic fibrosis have
been reported in the literature. Hence a systematic review of the literature is
needed to establish the effectiveness of respiratory muscle training (either
inspiratory or expiratory muscle training) on clinical outcomes in cystic
fibrosis. This is an update of a previously published review. OBJECTIVES: To
determine the effectiveness of respiratory muscle training on clinical outcomes
in people with cystic fibrosis. SEARCH METHODS: We searched the Cochrane Cystic
Fibrosis and Genetic Disorders Group Trials register comprising of references
identified from comprehensive electronic database searches and handsearches of
relevant journals and abstract books of conference proceedings.Date of most
recent search: 17 April 2018.A hand search of the Journal of Cystic Fibrosis and
Pediatric Pulmonology was performed, along with an electronic search of online
trial databases up until 07 May 2018. SELECTION CRITERIA: Randomised controlled
studies comparing respiratory muscle training with a control group in people with
cystic fibrosis. DATA COLLECTION AND ANALYSIS: Review authors independently
selected articles for inclusion, evaluated the methodological quality of the
studies, and extracted data. Additional information was sought from trial authors
where necessary. The quality of the evidence was assessed using the GRADE system
MAIN RESULTS: Authors identified 19 studies, of which nine studies with 202
participants met the review's inclusion criteria. There was wide variation in the
methodological and written quality of the included studies. Four of the nine
included studies were published as abstracts only and lacking concise details,
thus limiting the information available. Seven studies were parallel studies and
two of a cross-over design. Respiratory muscle training interventions varied
dramatically, with frequency, intensity and duration ranging from thrice weekly
to twice daily, 20% to 80% of maximal effort, and 10 to 30 minutes, respectively.
Participant numbers ranged from 11 to 39 participants in the included studies;
five studies were in adults only and four in a combination of children and
adults.No significant improvement was reported in the primary outcome of
pulmonary function (forced expiratory volume in one second and forced vital
capacity) (very low-quality evidence). Although no change was reported in
exercise capacity as assessed by the maximum rate of oxygen use, a 10%
improvement in exercise duration was found when working at 60% of maximal effort
in one study (n = 20) (very low-quality evidence). In a further study (n = 18),
when working at 80% of maximal effort, health-related quality of life improved in
the mastery and emotion domains (very low-quality evidence). With regards to the
review's secondary outcomes, one study (n = 11) found a significant change in
intramural pressure, functional residual capacity and maximal inspiratory
pressure following training (low-quality evidence). A further study (n = 22)
reported that respiratory muscle endurance was significantly longer in the
training group (P < 0.01). No studies reported on any other secondary outcomes.
Meta-analyses could not be performed due to a lack of consistency and
insufficient detail in reported outcome measures. AUTHORS' CONCLUSIONS: There is
insufficient evidence to suggest whether this intervention is beneficial or not.
Healthcare practitioners should consider the use of respiratory muscle training
on a case-by-case basis. Further research of reputable methodological quality is
needed to determine the effectiveness of respiratory muscle training in people
with cystic fibrosis. Researchers should consider the following clinical outcomes
in future studies; respiratory muscle function, pulmonary function, exercise
capacity, hospital admissions, and health-related quality of life. Sensory
perceptual changes, such as respiratory effort sensation (e.g. rating of
perceived breathlessness) and peripheral effort sensation (e.g. rating of
perceived exertion) may also help to elucidate mechanisms underpinning the
effectiveness of respiratory muscle training.
PMID- 29797579
TI - Consequences of secondary nectar robbing for male components of plant
reproduction.
AB - PREMISE OF THE STUDY: Organisms engage in multiple species interactions
simultaneously. While pollination studies generally focus on plants and
pollinators exclusively, secondary robbing, a behavior that requires other
species (primary robbers) to first create access holes in corollas, is common. It
has been shown that secondary robbing can reduce plants' female fitness; however,
we lack knowledge about its impact on male plant fitness. METHODS: We
experimentally simulated primary and secondary robbing in the monocarpic
perennial Ipomopsis aggregata (Polemoniaceae), quantifying indirect effects on
pollinator-mediated pollen (dye) donation. We also assessed whether continual
nectar removal via the floral opening has similar effects on hummingbird
pollinator behavior as continual secondary robbing through robber holes. KEY
RESULTS: We found no significant indirect effects of secondary robbing on a
component of Ipomopsis male fitness. Although robbing did reduce pollen (dye)
donation due to avoidance of robbed plants by pollinating hummingbirds, pollen
donation did not differ between the two robbing treatments. The effects of
secondary robbing on hummingbird behavior resembled effects of chronic nectar
removal by pollinators. Our results indicate that hummingbird pollinators may use
a combination of cues, including cues given by the presence or absence of nectar,
to make foraging decisions. CONCLUSIONS: Combined with prior research, this study
suggests that secondary robbing is less costly to a component of male fitness
than to female fitness in Ipomopsis, broadening our knowledge of the overall
costs of mutualism exploitation to total plant fitness.
PMID- 29797580
TI - Zafirlukast promotes insulin secretion by increasing calcium influx through L
type calcium channels.
AB - The zafirlukast has been reported to be anti-inflammatory and widely used to
alleviate the symptoms of asthma. However, its influence on insulin secretion in
pancreatic beta-cells has not been investigated. Herein, we examined the effects
of zafirlukast on insulin secretion and the potential underlying mechanisms.
Among the cysteinyl leukotriene receptor 1 antagonists, zafirlukast, pranlukast,
and montelukast, only zafirlukast enhanced insulin secretion in a concentration
dependent manner in both low and high glucose conditions and elevated the level
of [Ca2+ ]i , further activating Ca2+ /calmodulin-dependent protein kinase II
(CaMKII), protein kinase B (AKT), and extracellular signal-regulated kinase (ERK)
signaling. These effects were nearly abolished by the L-type Ca2+ channel
antagonist nifedipine, while treatment with thapsigargin, a sarco/endoplasmic
reticulum Ca2+ ATPase inhibitor, did not have the same effect, suggesting that
zafirlukast primarily induces the entry of extracellular Ca2+ rather than
intracellular Ca2+ from the endoplasmic reticulum. Zafirlukast treatment
resulting in a significant drop in glucose levels and increased insulin secretion
in C57BL/6J mice. These findings will contribute to an improved understanding of
the side effects of zafirlukast and potential candidate for a therapeutic
intervention in diabetes.
PMID- 29797581
TI - The power of meaning: the quest for an existential roadmap.
AB - Where can we turn to find the story of our lives-an existential roadmap that
explains where we have come from, why we are here, and where we are headed? Must
each of us discover meaning within the context of our individual lives, or are
there universal sources of meaning that we can all access? Is there any
relationship between living a meaningful life and the quality of our health and
well-being? And how can we find meaning in the face of adversity and suffering?
Neurologist Jay Lombard, philosophers Massimo Pigliucci and Michael Ruse, and
author Emily Esfahani Smith shed light on these perennial questions in
conversation with Steve Paulson, executive producer and host of To the Best of
Our Knowledge.
PMID- 29797582
TI - Milling-Induced Synthesis of BiOCl1-x Brx Solid Solution and Their Adsorptive and
Photocatalytic Performance.
AB - CH3 COO(BiO) (denoted as BiOAc) is one of the most easily obtained bismuth
compounds and was for the first time proposed by our group as an effective UV
light photocatalyst. Herein, BiOCl1- x Brx (x refers to the feeding atomic ratio)
were obtained using a facile solid state milling and subsequent water washing.
More importantly, all of the as-prepared BiOCl1- x Brx possessed better visible
light photocatalytic activity to the corresponding ones obtained by previously
reported solution route. Especially at an optimal x value of 0.5, the solid
solution showed the highest photodegradation efficiency (~100%) for rhodamine B
(RhB) with a concentration of 30 mg L-1 , whereas the degradation efficiency was
only 63% over that obtained by solution route. Furthermore, the as-prepared
BiOCl0.5 Br0.5 also exhibited excellent photodegradation activity for malachite
green (MG). The superior photocatalytic performance of the as-prepared BiOCl0.5
Br0.5 could be attributed to its thinner sheetlike structures and highly exposed
(001) facets, which enable effective separation of the photogenerated electrons
and holes along the [001] direction. In addition, the as-prepared BiOCl0.5 Br0.5
revealed dramatic adsorption capacity for cationic dyes like MG, RhB and
methylene violet (MV), as well as anion (Cr2 O7 )2- owing to electrostatic
interaction between cationic dyes and negatively charged surface of BiOCl0.5
Br0.5 , and positively charged surface in K2 Cr2 O7 solution (pH ~ 3).
PMID- 29797583
TI - Antitumor-specific T-cell responses induced by oncolytic adenovirus ONCOS-102
(AdV5/3-D24-GM-CSF) in peritoneal mesothelioma mouse model.
AB - Oncolytic adenoviral immunotherapy activates the innate immune system with
subsequent induction of adaptive tumor-specific immune responses to fight cancer.
Hence, oncolytic viruses do not only eradicate cancer cells by direct lysis, but
also generate antitumor immune response, allowing for long-lasting cancer control
and tumor reduction. Their therapeutic effect can be further enhanced by arming
the oncolytic adenovirus with costimulatory transgenes and/or coadministration
with other antitumor therapies. ONCOS-102 has already been found to be well
tolerated and efficacious against some types of treatment-refractory tumors,
including mesothelin-positive ovarian cancer (NCT01598129). It induced local and
systemic CD8+ T-cell immunity and upregulated programmed death ligand 1. These
results strongly advocate the use of ONCOS-102 in combination with other
therapeutic strategies in advanced and refractory tumors, especially those
expressing the mesothelin antigen. The in vivo work presented herein describes
the ability of the oncolytic adenovirus ONCOS-102 to induce mesothelin-specific T
cells after the administration of the virus in bagg albino (BALB/c) mice with
mesothelin-positive tumors. We also demonstrate the effectiveness of the
interferon-gamma the enzyme-linked immunospot (ELISPOT) assay to detect the
induction of T-cells recognizing mesothelin, hexon, and E1A antigens in ONCOS-102
treated mesothelioma-bearing BALB/c mice. Thus, the ELISPOT assay could be useful
to monitor the progress of therapy with ONCOS-102.
PMID- 29797584
TI - Healthcare Costs for Insured Older U.S. Adults with Hearing Loss.
AB - OBJECTIVES: To measure 18-month healthcare cost difference attributable to
hearing loss (HL) in older adults. DESIGN: Matched cohort. SETTING: Retrospective
analysis of administrative healthcare bills of insured older Americans.
PARTICIPANTS: Older U.S. adults with health insurance in 3 cohorts matched based
on HL diagnosis using propensity score methods (N=904,750). MEASUREMENTS:
Comparison groups were defined as those with and without HL diagnosis using
International Classification of Diseases, Ninth Revision, diagnosis codes from
billing records. Outcomes measured include 18-month total healthcare payments and
healthcare payments broken down according to payment type (inpatient, outpatient,
prescription, hearing services). Three comparison cohorts were examined for these
outcomes: individuals covered by Medicare plus supplemental private insurance
(Cohort 1, n=782,216), those covered only under Medicare (Cohort 2, n=105,296),
and those within the Medicare sample that were dually eligible for Medicare and
Medicaid coverage (Subcohort 3, n=17,238). The quality of the propensity score
match was examined using standardized differences in means or proportions between
all matched covariates, and cost outcomes were analyzed using multiple
generalized linear regression models. RESULTS: Fully adjusted models showed
significantly higher 18-month healthcare payments for individuals with a
diagnosis of HL without indication of use of hearing services than in those
without a HL diagnosis in the 3 samples (payment differences: $3,587 Cohort 1,
$3,779 Cohort 2, $4,657 Subcohort 3; all p<.001). Payment differences were also
found between individuals with HL and indications of hearing services and those
without HL. CONCLUSION: We observed more than 20% higher total healthcare
payments over 18 months for a group of insured individuals with HL regardless of
insurance type or hearing services use, indicating that negative health-related
effects of HL may increase healthcare use unrelated to HL. Thus, clinical care to
ameliorate HL may improve overall health.
PMID- 29797585
TI - The effects of music-supported therapy on motor, cognitive, and psychosocial
functions in chronic stroke.
AB - Neuroplasticity accompanying learning is a key mediator of stroke rehabilitation.
Training in playing music in healthy populations and patients with movement
disorders requires resources within motor, sensory, cognitive, and affective
systems, and coordination among these systems. We investigated effects of music
supported therapy (MST) in chronic stroke on motor, cognitive, and psychosocial
functions compared to conventional physical training (GRASP). Twenty-eight adults
with unilateral arm and hand impairment were randomly assigned to MST (n = 14)
and GRASP (n = 14) and received 30 h of training over a 10-week period. The
assessment was conducted at four time points: before intervention, after 5 weeks,
after 10 weeks, and 3 months after training completion. As for two of our three
primary outcome measures concerning motor function, all patients slightly
improved in Chedoke-McMaster Stroke Assessment hand score, while the time to
complete Action Research Arm Test became shorter in the MST group. The third
primary outcome measure for well-being, Stroke Impact Scale, was improved for
emotion and social communication earlier in MST and coincided with the improved
executive function for task switching and music rhythm perception. The results
confirmed previous findings and expanded the potential usage of MST for enhancing
quality of life in community-dwelling chronic-stage survivors.
PMID- 29797586
TI - Determinants of the presence of human papillomaviruses in the anal canal of
Russian men.
AB - Knowledge of determinants of anal human papillomavirus (HPV) infections among men
is still limited as most of the studies are focused on high-risk populations and
geographically narrowed. Such knowledge obtained in different populations is
essential for better understanding of HPV natural history, transmission dynamics,
and its role in the development and prevention of anogenital malignancies in
different regions. Here we tested anal canal swab samples from 359 Russian
heterosexual (323 human immunodeficiency virus [HIV]-negative and 27 HIV
positive, aged 18-67 years) men attending a sexually transmitted infection clinic
36 HPV types using a proficient Luminex assay. HPV-positivity in anal samples was
common for 332 HIV-negative heterosexual men for overall HPV (15.7%, n = 52),
oncogenic HPV (9.6%, n = 32), nononcogenic HPV (8.1%, n = 27), and multiple HPV
infections (4.5%, n = 14). The most common anal HPV types were HPV16 (5.7%),
HPV45, and HPV51 (1.8% each), HPV66, and HPV87 (1.8% each). No association was
found with the number of lifetime sexual partners, age of participants at the
time of the study, or their sexual debut. Although anal HPV positivity was more
common among HIV-positive men, the current study provides additional evidence
that anal HPV can be frequently detected in heterosexual HIV-negative men
favoring further studies on transmission routes to discriminate between
contamination and true HPV infection.
PMID- 29797587
TI - Effects of combined magnetic fields on bacteria Rhodospirillum rubrum VKM B-1621.
AB - Bacteria are the simplest model of living organisms and thus are a convenient
object for magnetobiological research. This paper describes some effects of
combined magnetic fields (CMFs) on the bacterium Rhodospirillum rubrum strain VKM
B-1621, which is not a pathogen but was selected due to its wide spectrum of
growth abilities. The authors chose magnetic field-resonant phosphorus and iron
(Fe3+ ) because P-containing biochemical compounds (standard abbreviations PP1 ,
AMP, ADP, ATP) provide energy flows in bacteria while iron could take part in
formation of magnetosensitive intracellular inclusions. CMFs were produced by
interaction of a geomagnetic field (VDS ) and an alternating electromagnetic
field (VAS ), which were similar in their intensities. Their magnetic
characteristics were as follows: (CMF-1) VDC = 46.80 uT, VAS = 86.11 uT, f =
807.0 Hz; (CMF-2) VDC = 46.80 uT, VAS = 86.11 uT, f = 38.3 Hz; that is, the
frequencies of applied alternating electromagnetic fields coincided with
cyclotron frequencies of phosphorus or ferric ions, respectively. The blank
variants were exposed to the geomagnetic field. The CMFs increased bacterial
consumption of dissolved iron as measured by residual concentrations of iron in
the medium (P > 99%). An increase of bacterial nitrate reduction in the CMFs was
statistically insignificant (P > 90%) when measured by residual concentrations of
nitrate. Application of CMFs can influence bacterial activity and metabolism.
Bioelectromagnetics. 2018;39:485-490, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29797588
TI - Effects of home-based exergaming on child social cognition and subsequent
prediction of behavior.
AB - The use of exergames may be one viable way to increase child physical activity,
but investigation of its effects on motivation over time and prediction of
adherence have seen little research attention. The purpose of this study was to
compare the effect of two cycling exergame interventions (single-player, multi
player) among children aged 9-12 years on motivational variables (theory of
planned behavior) and to explore whether these variables could predict objective
assessment of playtime across 6 weeks. Sixty-nine insufficiently active children
were recruited through advertisements within the community/schools and randomized
to either the single play condition (n = 30) or multi-player condition (n = 39).
Exergaming use was recorded objectively via game logs and motivational variables
were assessed after a familiarization session, at 2 weeks, and at 4 weeks.
Participants played the exergames M = 133.45 (SD = 81.27) minutes in week 1 to M
= 77.23 (SD = 84.09) minutes in week 6. The two exergame conditions did not
result in differences among theory of planned behavior variables (P > .05). Mean
levels of these constructs declined across the first 4 weeks (P < .05), with the
exception of injunctive norm. Positive bivariate associations (P < .05) between
game play and perceived control (0-6 weeks), and intention (weeks 3-4 and weeks 5
6) were identified, but only affective attitude (assessed at week 2) predicted (P
< .05) game play (3-4 weeks) in a multivariate examination of the theory of
planned behavior model. The results demonstrate that social cognitive motives
wane across time when exposed to repeated exergame play.
PMID- 29797589
TI - Sequence variations of Epstein-Barr virus-encoded BARF1 gene in nasopharyngeal
carcinomas and healthy donors from southern and northern China.
AB - The BamHI A rightward frame 1 (BARF1) gene of the Epstein-Barr virus (EBV) is
involved in carcinogenesis and immunomodulation of EBV-associated malignancies.
The geographical distributions and the disease associations of BARF1 variants
remain unclear. In the current study, the BARF1 variants in nasopharyngeal
carcinoma (NPC) cases and healthy donors from southern and northern China, the
NPC endemic and non-endemic areas, as well as in 153 sequenced EBV genomes from
diseased and normal people from around the world, were determined and compared
among areas and populations. Only 1 consistent coding change, V29A, and several
consistent silent mutations were identified. Two BARF1 types (B95-8 and V29A) and
2 B95-8 subtypes (B95-8t165545c and B95-8P ) were classified. For Chinese
isolates, the B95-8 type was dominant in both southern and northern China, but
the isolates from southern China showed a higher frequency of the B95-8t165545c
subtype than the isolates from northern China (76.0%, 38/50 NPC cases and 50.7%,
37/73 healthy donors vs 26.4%, 24/91 NPC cases and 7.6%, 6/79 healthy donors, P <
.0001). Furthermore, the B95-8t165545c subtype was more frequent in NPC cases
than healthy donors in both southern China (P = .005) and northern China (P =
.001). For EBV genomes, the B95-8P subtype was dominant in northern China,
Europe, America, and Australia, while V29A was dominant in Africa. The B95
8t165545c subtype was only identified in Asia and demonstrated high frequency
(81.2%, 26/32) in genomes from NPC cases in southern China. These results further
reveal conservation and possibly geographically spread variations of BARF1 and
may also indicate the preference of EBV strains with the B95-8t165545c subtype in
NPC cases, without biological or pathogenic implications.
PMID- 29797590
TI - Specific detection of form IA RubisCO genes in chemoautotrophic bacteria.
AB - The analysis of RubisCO genes is a highly useful instrument to explore the
diversity of chemoautotrophic bacteria using the Calvin-Benson-Bassham cycle for
CO2 fixation. However, because of the wide taxonomic distribution of
phylogenetically related RubisCO forms, environmental studies targeting
chemoautotrophs are hampered in habitats dominated by phototrophs. Here, we
report the development of a gene marker that specifically detects form IA RubisCO
genes in bacteria, excluding photoautotrophic representatives. The high
specificity of the PCR assay was confirmed by sequence analysis of DNA obtained
from the photic zone of six lakes, were chemoautotrophs are outnumbered by
Cyanobacteria also using form IA RubisCO for CO2 assimilation.
PMID- 29797591
TI - Chromatographic behavior of new deazapurine ribonucleosides in hydrophilic
interaction liquid chromatography.
AB - The chromatographic behavior of new biogenic purine nucleosides in hydrophilic
interaction liquid chromatography was examined on three different stationary
phases, namely bare silica, and amide- and cyclofructan-based stationary phases.
The effects of buffer concentration, pH and acetonitrile-to-aqueous-part ratio in
the mobile phase on retention and peak shape were assessed. The retention
coefficients and peak symmetry values substantially differed with respect to
analytes' structures, stationary phase properties and mobile phase composition.
The bare silica column was unsuitable for these compounds under the
chromatographic conditions tested due to very broad and asymmetrical peaks.
Furthermore, the cyclofructan-based stationary phase provided almost Gaussian
peak shapes of all deazapurine nucleosides under most conditions tested.
Therefore, the cyclofructan-based stationary phase is the most suitable choice
for the chromatographic analysis of nucleosides.
PMID- 29797593
TI - Chain dynamics of human dermis by Thermostimulated currents: A tool for new
markers of aging.
AB - BACKGROUND/PURPOSE: The purpose of this clinical study was to identify dielectric
markers to complete a previous thermal and vibrational study on the molecular and
organizational changes in human dermis during intrinsic and extrinsic aging.
METHODS: Sun-exposed and non-exposed skin biopsies were collected from 28 women
devised in two groups (20-30 and >=60 years old). The dielectric relaxation modes
associated with localized and delocalized dynamics in the fresh and dehydrated
state were determined by the Thermostimulated currents technique (TSC). RESULTS:
Intrinsic and extrinsic aging induced significant evolution of some of the
dielectric parameters of localized and delocalized dynamics of human skin. With
photo-aging, freezable water forms a segregated phase in dermis and its dynamics
is close to free water, what evidences the major role of extrinsic aging on water
organization in human skin. Moreover, TSC indicators highlight the restriction of
localized mobility with intrinsic aging due to glycation, and the cumulative
effect of chronological aging and photo-exposition on the molecular mobility of
the main structural proteins of the dermis at the mesoscopic scale. CONCLUSION:
TSC is a well-suited technique to scan the molecular mobility of human skin. It
can be uses as a relevant complement of vibrational and thermal characterization
to follow human skin modifications with intrinsic and extrinsic aging.
PMID- 29797592
TI - Scheduling of eccentric lower limb injury prevention exercises during the soccer
micro-cycle: Which day of the week?
AB - Scheduling eccentric-based injury prevention programs (IPP) during the common 6
day micro-cycle in soccer is challenged by recovery and tapering phases. This
study profiled muscle damage, neuromuscular performance, and perceptual responses
to a lower limb eccentric-based IPP administered 1 (MD+1) vs 3 days (MD+3)
postmatch. A total of 18 semi-professional players were monitored daily during 3
in-season 6-day micro-cycles, including weekly competitive fixtures. Capillary
creatine kinase concentration (CK), posterior lower limb isometric peak force
(PF), counter-movement jump (CMJ) performance, and muscle soreness were assessed
24 hours prior to match-day (baseline), and every 24 hours up to 120 hours
postmatch. The IPP consisted of lunges, single stiff leg dead-lifts, single leg
squats, and Nordic hamstring exercises. Performing the IPP on MD+1 attenuated the
decline in CK normally observed following match play (CON: 142%; MD+3: 166%;
small differences). When IPP was delivered on MD+3, CK was higher vs CON and MD+1
trials on both MD+4 (MD+3: 260%; CON: 146%; MD+1: 151%; moderate differences) and
MD+5 (MD+3: 209%; CON: 125%; MD+1: 127%; small differences). Soreness ratings
were not exacerbated when the IPP was delivered on MD+1, but when prescribed on
MD+3, hamstring soreness ratings remained higher on MD+4 and MD+5 (small
differences). No between-trial differences were observed for PF and CMJ.
Administering the IPP in the middle of the micro-cycle (MD+3) increased measures
of muscle damage and soreness, which remained elevated on the day prior to the
next match (MD+5). Accordingly, IPP should be scheduled early in the micro-cycle,
to avoid compromising preparation for the following match.
PMID- 29797595
TI - No further improvement in pregnancy-related outcomes in the offspring of mothers
with pre-gestational diabetes in Bavaria, Germany, between 2001 and 2016.
AB - AIMS: To investigate whether there has been further improvement in the risk of
adverse outcomes in pregnancies in women with diabetes during 2008-2016 in
Bavaria, Germany. METHODS: Using cross-sectional data on all 1716 170 deliveries
in Bavarian hospitals between 2001 and 2016, we assessed the risks of stillbirth,
early neonatal death, preterm delivery, large for gestational age, malformations,
low Apgar score and low umbilical cord pH by maternal group with diabetes
(gestational, pre-gestational, or none) separately for 2001-2007 and 2008-2016.
We also investigated the associations of specific risk factors such as maternal
smoking with respect to early mortality and malformations in each group with
diabetes during 2008-2016. RESULTS: No further reduction in the risk for any
adverse outcome in mothers with pre-gestational diabetes and their offspring
during 2008-2016 was observed. Maternal smoking, multiple delivery and
substandard antenatal care were the strongest additional predictors of both early
perinatal mortality and malformations for mothers with pre-gestational diabetes.
The respective risks were lower and also decreased over time for mothers with
gestational diabetes. CONCLUSIONS: No significant improvement has been achieved
in the management of pregnancies affected by pre-gestational diabetes during the
last decade. The apparent risk reductions in women with gestational diabetes may
partly be due to a change in diagnostic criteria over time. Women with pre
gestational diabetes who smoke, carry more than one child, or are not regularly
seen during pregnancy, may need particular attention.
PMID- 29797594
TI - Genome-wide analysis reveals that altered methylation in specific CpG loci is
associated with childhood obesity.
AB - Over the past decades, the epidemic of childhood obesity has greatly increased,
and it has recently become a global public health concern. Methylation, serving
as a crucial regulator of the gene-environment interaction, has exhibited a
strong association with obesity. In this study, we aimed to evaluate the
relationship between DNA methylation and childhood obesity, and further uncover
the potential association of aberrantly methylated genes with obesity. DNA
samples of peripheral blood leukocytes from three obese subjects (mean BMI:
21.67) and 4 age/sex matched controls (mean BMI: 14.92) were subjected to
Infinium Human Methylation 450 Bead Array analysis. A total of more than 4 85 000
methylation sites were identified across the genome, and 226 methylated CpGs
(DMCpGs) were differentially methylated between these two groups. Subsequent Gene
Ontology (GO) and KEGG Pathway analyses showed that these DMCpGs were mainly
engaged in immunity and lipoprotein metabolism, indicating their physiological
significance. Further verification of the candidate CpG sites within the HDAC4,
RAX2, APOA5, CES1, and SLC25A20 gene loci, were performed using bisulfite
sequencing PCR (BSP) in a cohort of 42 controls and 39 obese cases. The results
revealed that methylation levels within HDAC4 and RAX2 loci were positively
associated with obesity, while the methylation levels of loci within APOA5 and
CES1 loci were negatively correlated with obesity. Thus, alterations in
methylation of CpG sites of specific genes may contribute to childhood obesity,
which provide novel insights into the aetiology of obesity.
PMID- 29797596
TI - The interface between the EGF1 and EGF2 domains is critical in integrin affinity
regulation.
AB - It has been proposed that integrins adopt a bent, closed conformation with low
ligand binding capability at resting state and switch into an extended, open
conformation upon activation or interacting with extracellular matrix (ECM)
ligand. In this study, we addressed how integrin conformational change at the
beta genu affects ligand binding and signaling. We discovered that swapping of
the beta3 epidermal growth factor-like (EGF) domain 1 and 2 with that of beta8
greatly promoted ligand binding in beta3 beta8 chimeras. Sequence alignment
indicated that beta8 integrin uniquely lacks the interface between the EGF1 and
2. Disrupting this interface of the beta3 integrin increased integrin ligand
binding. Furthermore, the interface is critical for integrin affinity regulation
but not downstream outside-in signaling.
PMID- 29797597
TI - Synthesis, evaluation, and molecular docking studies of aryl urea-triazole-based
derivatives as anti-urease agents.
AB - Considering the importance of urease inhibitors in the treatment of ureolytic
bacterial infections, in this work, the synthesis of novel, aryl urea-triazole
based derivatives as effective urease inhibitors is described. Dichloro
substituted derivative 4o, with IC50 = 22.81 +/- 0.05 MUM, is found to be the
most potent urease inhibitor, determined by Berthelot colorimetric assay. Docking
studies were also carried out for compound 4o to confirm the effective
interactions with the urease active site.
PMID- 29797599
TI - Expression of microRNAs and IRAK1 pathway genes are altered in gastric cancer
patients with Helicobacter pylori infection.
AB - Gastric cancer (GC) is among the most common cancer types in the world and one of
the most lethal gastrointestinal cancers. MicroRNAs (miRNAs) can be of great
importance in the early detection of GC. This study aimed to investigate some
miRNAs and the genes involved in IRAK1 pathways in the serum of GC patients with
Helicobacter pylori (H. pylori) infections compared to the control group. Total
RNA was extracted from the serum of GC patients with H. pylori infection and
healthy volunteers. The expression levels of miRNAs and the genes were assessed
using Real time RT-PCR with specific primers. Our data showed that miR-146, miR
375, and Let-7 were down-regulated and miR-19 and miR-21 were up-regulated in GC
patients with H. pylori infection. Other genes involved in the pathways such as
RAS, MYC, NFKB, JUN, TRAF6, and IRAK4 were overexpressed; while the expression of
PTEN gene was decreased compared to the control group. Expression of miRNAs and
IRAK1 pathway genes are altered in patients with GC and H. pylori infection. This
suggests a potential role for the above-mentioned miRNAs and genes in the
diagnosis of GC.
PMID- 29797598
TI - Physiological changes in the adenosine deaminase activity, antioxidant and
inflammatory parameters in pregnant cows and at post-partum.
AB - The aim of this study was to evaluate the behaviour of adenosine deaminase (ADA)
activity, as well as its participation in the immunomodulation of pregnant cows.
Thus, sixteen cows were divided into two groups (A and B): the group A was
composed by cows not pregnant (n = 8), while the group B was composed by pregnant
cows (n = 8). Serum levels of interleukin-10 (IL-10), IL-6, tumour necrosis
factor alpha (TNF-alpha), reactive oxygen species (ROS) and C-reactive protein
(CRP), as well as ADA and glutathione S-transferase (GST) activities, were
measured on five sampling times (3, 5, 7 and 8 months of gestation, and soon
after calving). Serum ADA activity was similar throughout the experiment in the
cows belonging to the group A, but its activity increased during the experiment
in cows from the group B, that is it was lower in the third and fifth months of
pregnancy, and higher on months 7, 8 and after calving when compared to the group
A. TNF-alpha and IL-6 serum levels were lower in pregnant cows compared to non
pregnant animals; however, they significantly increased after calving. Serum
levels of IL-10 increased after 8 months of gestation, but it reduced after
calving when compared to the group A, while CRP increased on month 8 of gestation
and after calving compared to the group A. Pregnant cows showed lower serum ROS
levels on months 3, 5 and 7 of gestation, and higher levels at the post-partum.
Serum GST activity was higher on month 5 of gestation in pregnant cows, but it
was lower on months 7, 8 and in the post-partum compared to the group A. Based on
these evidence, we concluded that ADA activity and the others mediators or
inflammatory modulators have important role in the maintenance of cow's gestation
due to their immunomodulatory effects.
PMID- 29797600
TI - Downregulation of ARID4A and ARID4B promote tumor progression and directly
regulated by microRNA-30d in patient with prostate cancer.
AB - AT-rich interaction domain 4A (ARID4A) and AT-rich interaction domain 4B
(ARID4B), which are both the AT-rich interaction domain (ARID) family, have been
reported to be oncogene or tumor suppressor gene in various human malignances,
but there is no involvement about their functions in prostate cancer (PCa). Our
previous study has reported that microRNA-30d (miR-30d) expression can predicted
poor clinical prognosis in PCa, however, the underlying mechanisms of miR-30d
have not been fully described. The aim of our study is to investigate the
expression relevance between miR-30d and ARID4A or ARID4B, and examine the
clinical significance and biological function of ARID4A and AIRD4B in PCa. In
this study, both ARID4A and ARID4B were identified as the target genes of miR
30d. In addition, the mRNA expression of miR-30d in PCa tissues were
significantly negative correlated with ARID4A (Pearson correlation coefficient =
0.313, P = 0.001) and ARID4B (Pearson correlation coefficient = -0.349, P <
0.001), while there was a positive correlation between ARID4A and ARID4B (Pearson
correlation coefficient = 0.865, P < 0.001). Moreover, both ARID4A and ARID4B
were significantly downregulated in PCa tissues with high Gleason scores (P =
0.005, P = 0.033), PSA failure (P = 0.012, P = 0.05) and short biochemical
recurrent-free survival (P = 0.033, P = 0.031). Furthermore, the knockout
expression of ARID4A and ARID4B promoted PCa cell proliferation, migration and
invasion in vitro. In conclusion, our results indicated that ARID4A and ARID4B
may serve as tumor suppressor in PCa progression, suggesting that they might be
the potential therapeutic targets in prostate cancer.
PMID- 29797601
TI - Scutellaria baicalensis targets the hypoxia-inducible factor-1alpha and enhances
cisplatin efficacy in ovarian cancer.
AB - Hypoxia-inducible factor-1alpha (HIF-1alpha) is aberrantly upregulated in tumors
and implicated in angiogenesis, metastasis, and drug resistance. Therefore,
developing treatments that target HIF-1alpha may be a viable therapeutic
approach. In Traditional Chinese Medicine (TCM), Scutellaria baicalensis (SB) is
used for the treatment of cancer but the anti-cancer mechanisms are not known. We
examined the effects of SB on HIF-1alpha expression in ovarian cancer (OC) cell
lines grown under normoxic and hypoxic conditions. SB treatment attenuated HIF
1alpha expression in cancer cell lines. Treatment of cells with cycloheximide
(CHX) reduced HIF-1alpha levels similar to cells treated with SB. Furthermore, SB
induced HIF-1alpha inhibition was abrogated by the proteasomal inhibitor MG132
and a lysosome inhibitor, chloroquine. Activation of PI3K/AKT and MAPK/ERK seen
in OC cells was reduced with SB. Pretreatment of cells with LY294002
(phosphoinositide 3-kinase inhibitor) and PD98059 (mitogen-activated protein
kinase inhibitor) reduced HIF-1alpha expression comparable to SB-treated cells.
SB potentiated the anti-growth effects of cisplatin on OC cells by attenuating
the expression of HIF-1alpha, ABCG1, and ABCG2. Taken together, the findings
suggest that targeting HIF-1alpha with SB could be an effective treatment
strategy for cancer and SB can improve the sensitivity of cancer cells to
cisplatin, which is a major challenge in therapy for ovarian tumors.
PMID- 29797603
TI - Gender and Publishing in Nursing: a secondary analysis of h-index ranking tables.
AB - AIMS: To analyse published ranking tables on academics' h-index scores to
establish whether male nursing academics are disproportionately represented in
these tables compared with their representation across the whole profession.
BACKGROUND: Previous studies have identified a disproportionate representation of
UK male nursing academics in publishing in comparison to their US counterparts.
DESIGN: Secondary statistical analysis, which involved comparative correlation of
proportions. METHODS: Four papers from the UK, Canada and Australia containing h
index ranking tables and published between 2010-2017, were re-analysed in June
2017 to identify authors' sex. Pearson's chi-squared test was applied to
ascertain whether the number of men included in the tables was statistically
proportionate to the number of men on the pertinent national professional
register. FINDINGS: There was a disproportionate number of men with high h-index
scores in the UK and Canadian data sets, compared with the proportion of men on
the pertinent national registers. The number of men in the Australian data set
was proportionate with the number of men on the nursing register. There was a
disproportionate number of male professors in UK universities. CONCLUSION: The
influence of men over nursing publishing in the UK and Canada outweighs their
representation across the whole profession. Similarly, in the UK, men's
representation in the professoriate is disproportionately great. However, the
Australian results suggest that gender inequality is not inevitable and that it
is possible to create more egalitarian nursing cultures. This article is
protected by copyright. All rights reserved.
PMID- 29797602
TI - Gypenoside inhibits RANKL-induced osteoclastogenesis by regulating NF-kappaB,
AKT, and MAPK signaling pathways.
AB - Gypenoside (GP) is one of the most pharmacologically active components in
Gynostemma pentaphyllum and possesses neuroprotective, anticancer, anti-oxidant,
anti-inflammatory, anti-diabetic, and anti-osteoarthritis effects. However, the
involvement of GP the osteoclast differentiation has not yet been investigated.
In the present study, we examined the effect of GP on receptor activator of
nuclear factor-kappaB ligand (RANKL)-induced osteoclast formation. Our results
demonstrated that GP significantly inhibited the formation of osteoclast, as well
as suppressed the expression of osteoclastogenesis-related marker proteins in
RANKL-stimulated bone marrow macrophages (BMMs). For molecular mechanisms, GP
inhibited RANKL-induced NF-kappaB and MAPK activation and AKT phosphorylation in
BMMs. Collectively, these findings suggest that GP inhibits RANKL-induced
osteoclastogenesis via regulating NF-kappaB, AKT, and MAPK signaling pathways.
Therefore, GP may be a potential agent in the treatment of osteoclast-related
diseases such as osteoporosis.
PMID- 29797604
TI - Structure, molecular dynamics simulation, and docking studies of Dictyostelium
discoideum and human STRAPs.
AB - The Serine Threonine kinase Receptor Associated Protein (STRAP) is a WD40
containing protein that provides a platform for protein interactions during cell
proliferation and development. Overexpression and misregulation of STRAP
contributes to various carcinomas that are now recognized as therapeutic targets
especially for colorectal and lung cancers. The present study was undertaken to
find an effective drug against this molecule using a simple system like
Dictyostelium discoideum; which shares close homology to humans. Using techniques
like structural modeling, molecular dynamics (MD) simulation and molecular
docking, we found similar structure and dynamic behaviors in both, except for the
presence of dissimilar numbers of beta-sheets and loop segments. We identified a
novel and potential drug targeted to STRAP. The results obtained allow us to use
Dictyostelium as a model system for further in vivo studies. Finally, the results
of protein-protein interactions using molecular docking and essential dynamics
studies show STRAP to participate in TGF-beta signaling in humans. Further, we
show some structural units that govern the interaction of TGFbeta-RI with STRAP
and Smad7 proteins in TGF-beta signaling pathway. In conclusion, we propose that
D. discoideum can be used for enhancing our knowledge about STRAP protein.
PMID- 29797605
TI - beta-adrenergic receptors and cardiac progenitor cell biology: What is the real
connection?
AB - Resident cardiac progenitor cells (CPCs) isolated from small animal models may
not always be representative of their human counterparts, especially when
significant differences in isolation protocols are considered. Nonetheless,
multiple evidences support an important role of beta-adrenergic signaling in
human CPC survival and commitment, which will need appropriate consideration for
future developments of human CPCs as regenerative medicine tools.
PMID- 29797606
TI - Prevalence of Usutu and West Nile virus antibodies in human sera, Modena, Italy,
2012.
AB - A collection of 3069 human sera collected in the area of the municipality of
Modena, Emilia Romagna, Italy, was retrospectively investigated for specific
antibodies against Usutu (USUV) and West Nile viruses (WNV). All the samples
resulting positive using a preliminary screening test were analyzed with the
plaque reduction neutralization test. Overall, 24 sera were confirmed as positive
for USUV (0.78%) and 13 for WNV (0.42%). The results suggest that in 2012, USUV
was circulating more than WNV in North-eastern Italy.
PMID- 29797608
TI - Uncertainty analysis and robust areas of high and low modeled human impact on the
global oceans.
AB - Increasing anthropogenic pressure on marine ecosystems from fishing, pollution,
climate change, and other sources is a big concern in marine conservation.
Scientists have thus developed spatial models to map cumulative human impacts on
marine ecosystems. However, these models are based on many assumptions and
incorporate data that suffer from substantial incompleteness and inaccuracies.
Rather than using a single model, we used Monte Carlo simulations to identify
which parts of the oceans are subject to the most and least impact from
anthropogenic stressors under 7 simulated sources of uncertainty (factors: e.g.,
missing stressor data and assuming linear ecosystem responses to stress). Most
maps agreed that high-impact areas were located in the Northeast Atlantic, the
eastern Mediterranean, the Caribbean, the continental shelf off northern West
Africa, offshore parts of the tropical Atlantic, the Indian Ocean east of
Madagascar, parts of East and Southeast Asia, parts of the northwestern Pacific,
and many coastal waters. Large low-impact areas were located off Antarctica, in
the central Pacific, and in the southern Atlantic. Uncertainty in the broad-scale
spatial distribution of modeled human impact was caused by the aggregate effects
of several factors, rather than being attributable to a single dominant source.
In spite of the identified uncertainty in human-impact maps, they can-at broad
spatial scales and in combination with other environmental and socioeconomic
information-point to priority areas for research and management.
PMID- 29797607
TI - Genetic variation of hepatitis B surface antigen among acute and chronic
hepatitis B virus infections in The Netherlands.
AB - Genetic variation within hepatitis B surface antigen (HBsAg), in particular
within the major hydrophobic region (MHR), is related to immune/vaccine and test
failures and can have a significant impact on the vaccination and diagnosis of
acute infection. This study shows, for the first time, variation among acute
cases and compares the amino acid variation within the HBsAg between acute and
chronic infections. We analyzed the virus isolated from 1231 acute and 585
chronic cases reported to an anonymized public health surveillance database
between 2004 and 2014 in The Netherlands. HBsAg analysis revealed the circulation
of 6 genotypes (Gt); GtA was the dominant genotype followed by GtD among both
acute (68.2% and 17.4%, respectively) and chronic (34.9% and 34.2%, respectively)
cases. Variation was the highest among chronic strains compared to that among
acute strains. Both acute and chronic GtD showed the highest variation compared
to that of other genotypes (P < .01). Substitutions within the MHR were found in
8.5% of the acute strains and 18.6% of the chronic strains. Specific MHR
substitutions described to have an impact on vaccine/immune escape and/or HBsAg
test failure were found among 4.1% of the acute strains and 7.0% of the chronic
strains. In conclusion, we show a high variation of HBsAg among acute and chronic
hepatitis B virus-infected cases in The Netherlands, in particular among those
infected with GtD, and compare, for the first time, variation in frequencies
between acute and chronic cases. Additional studies on the impact of these
variations on vaccination and test failure need to be conducted, as well as
whether HBsAg false-negative variants have been missed.
PMID- 29797609
TI - HTLV-1 and -2 in a first-time blood donor population in Northeastern Brazil:
Prevalence, molecular characterization, and evidence of intrafamilial
transmission.
AB - Independent epidemiology for respective human T-cell lymphotropic virus (HTLV)
types 1 and 2 is little known in blood donors in Brazil, where screening for HTLV
1/2 is mandatory at blood banks, but no testing to confirm/differentiate these
viruses. Therefore, this study aims to assess the prevalence of HTLV-1 and -2 in
a first-time blood donor population in Northeastern Brazil and to carry out
molecular characterization of respective isolates. A cross-sectional study was
conducted at the State Blood Bank in Piaui. Samples were screened for anti-HTLV
1/2 by enzyme immunoassay, and reactive samples were confirmed using a line
immunoassay and polymerase chain reaction (PCR). Of 37 306 blood donors, 47 were
anti-HTLV-1/2 reactive by enzyme immunoassay. After confirmed by line
immunoassay, 22 were positive for HTLV-1 (0.59 per 1000; 95% CI: 0.38-0.87), 14
were positive for HTLV-2 (0.37 per 1000; 95% CI: 0.21-0.61), 1 was indeterminate,
and the remaining donors were negative. The HTLV-1 infection was also confirmed
by PCR in all anti-HTLV-1-positive samples, and sequencing classified these
isolates as belonging to the Transcontinental (A) subgroup of the Cosmopolitan
(1a) subtype. Of 14 anti-HTLV-2-positive samples, 11 were also PCR positive,
which belonged to subtype a (HTLV-2a/c). In addition, 38 family members of 5 HTLV
1- and 3 HTLV-2-infected donors were analyzed. Familial transmission of HTLV-1
and -2 was evidenced in 3 families. In conclusion, in Northeastern Brazil, where
HTLV-1 and -2 are endemic, counseling blood donor candidates and their families
might play a key role in limiting the spread of these viruses.
PMID- 29797610
TI - Clinicopathological significance of chemokine receptor (CCR1, CCR3, CCR4, CCR5,
CCR7 and CXCR4) expression in head and neck squamous cell carcinomas.
AB - BACKGROUND: Head and neck squamous cell carcinoma shows high prevalence of lymph
node metastasis at diagnosis, and despite the advances in treatment, the overall
5-year survival is still under 50%. Chemokine receptors have a role in the
development and progression of cancer, but their effect in head and neck
carcinoma remains poorly characterised. This study aimed to assess the prognostic
value of CCR1, CCR3, CCR4, CCR5, CCR7 and CXCR4 in head and neck squamous cell
carcinomas. METHODS: Immunohistochemical expression of chemokine receptors was
evaluated in a retrospective cohort of 76 cases of head and neck squamous cell
carcinoma. Clinicopathological associations were analysed using the chi-square
test, survival curves were analysed according to the Kaplan-Meier method, and the
Cox proportional hazard model was applied for multivariate survival analysis.
RESULTS: The chemokine receptors were highly expressed in primary carcinomas,
except for CCR1 and CCR3. Significant associations were detected, including the
associations between CCR5 expression and lymph node metastasis (N stage, P =
.03), advanced clinical stage (P = .003), poor differentiation of tumours (P =
.05) and recurrence (P = .01). The high expression of CCR5 was also associated
with shortened disease-free survival (HR: 2.85, 95% CI: 1.09-8.14, P = .05), but
the association did not withstand the Cox multivariate survival analysis. At
univariate analysis, high expression of CCR7 was associated with disease-free
survival and low levels of CXCR4 were significantly associated with both disease
specific and disease-free survival. CONCLUSIONS: These findings show that
chemokine receptors may have an important role in head and neck squamous cell
carcinoma progression, regional lymph node metastasis and recurrence.
PMID- 29797611
TI - Radical Cation pi-Dimers of Conjugated Oligomers as Molecular Wires: An Analysis
Based on Nitronyl Nitroxide Spin Labels.
AB - Nitronyl nitroxide (NN)-substituted conjugated oligomers, which were expected to
self-associate in biradical cation states, were designed to analyze the
capability of pi-dimers as molecular wires. The oligomer moieties were composed
of dithienyl-N-methylpyrrole with methoxy substituents at the inner beta-position
of thiophene rings (DTP-NN. ) and its propylenedioxythiophene (ProDOT) inserted
derivative (DTP-P-NN. ), or two ethylenedioxythiophene (EDOT) and two ProDOT
units (E2 P2 -NN. ). Among them, chemical one-electron oxidation gave biradical
cations (DTP-P).+ -NN. and (E2 P2 ).+ -NN. that formed pi-dimers (DTP-P-NN. )22+
and (E2 P2 -NN. )22+ in dichloromethane at low temperatures. ESR studies of (DTP
P-NN. )22+ and (E2 P2 -NN. )22+ showed the presence of a relatively strong
exchange interaction between two NN radicals through the radical cation pi-dimer
moieties. DFT calculations supported these experimental results and predicted
that exchange interactions between two NN radicals were comparable or stronger
than those through covalently linked quaterthiophene. Thus, the conjugated
oligomer radical cation pi-dimers acted as efficient molecular wires for
electronic communication.
PMID- 29797612
TI - Training in transplant infectious diseases: A survey of infectious diseases and
transplant infectious diseases fellows in the United States and Canada.
AB - BACKGROUND: Infectious diseases (ID) specialists with experience in managing
infections in transplant recipients and other immunocompromised hosts are
increasingly needed as these fields expand. METHODS: To evaluate experiences and
identify trainee-described needs in transplant infectious diseases (TID)
training, the American Society of Transplantation, Infectious Diseases Community
of Practice (AST IDCOP) surveyed ID fellows across the United States and TID
fellows in the United States and Canada and received responses from 203 ID
fellows and 13 TID fellows. RESULTS: Among ID fellows, the amount of TID training
during ID fellowship was rated between less than ideal and adequate. Reasons
cited included limited frequency of didactic activities and limited exposure to
transplant patients during training. In particular, ID fellows at low-volume
transplantation centers expressed interest in more TID training time, away
training opportunities, and specific TID didactics. Educational resources of high
interest among trainees were case-based interactive websites, mobile phone
applications with TID guidelines, and a centralized collection of relevant
articles. Pediatric ID fellows reported lower satisfaction scores with TID
training, while TID fellows were overall satisfied or more than satisfied with
their training experience. CONCLUSION: Findings from this survey will inform
local and national TID educational initiatives.
PMID- 29797615
TI - Radiography and ultrasonography of pneumatosis intestinalis in a cat.
AB - An adult cat was presented for acute history of vomiting and collapse.
Radiographs showed the presence of air within small intestinal walls and
arborizing gas patterns within the liver, compatible with pneumatosis
intestinalis and presumed portal venous gas, respectively. An abdominal
ultrasound the following day was suggestive of gas within the intestinal wall,
however, gas within the hepatic vasculature, parenchyma, or biliary tree was not
evident. Due to progressive clinical deterioration of the patient, the owners
elected humane euthanasia. Necropsy revealed severe necrotizing hemorrhagic
enterotyphlocolitis secondary to Clostridium difficile toxin.
PMID- 29797614
TI - Comparative Analysis of Markers of Mass Effect after Ischemic Stroke.
AB - BACKGROUND AND PURPOSE: Midline shift determined on magnetic resonance imaging
(MRI) or computed tomography (CT) images is a well-validated marker of mass
effect after large hemispheric infarction and associated with mortality. In this
study, we targeted a population with moderately sized strokes. We compared
midline shift to other imaging markers and determined their ability to predict
long-term outcome. METHODS: MRI scans were studied from the Echoplanar Imaging
Thrombolysis Evaluation Trial (EPITHET) cohort. Midline shift, acute stroke
lesion volume, lesional swelling volume, change in ipsilateral hemisphere volume,
the ratio of ipsilateral to contralateral hemisphere volume, and the reduction in
lateral ventricle volume were measured. The relationships of these markers with
poor outcome (modified Rankin scale score 3-6 at day 90) were assessed. Receiver
operating characteristic (ROC) curves were generated to compare the performance
of each metric. RESULTS: Of the 71 included patients, 59.2% had a poor outcome
that was associated with significantly larger values for midline shift, lesional
swelling volume, and ratio of hemisphere volumes. Lesional swelling volume,
change in hemisphere volume, ratio of hemisphere volumes, and lateral ventricle
displacement were each correlated with midline shift (Spearman r = .60, .49, .61,
and -.56, respectively; all P < .0001). ROC curve analysis showed that lesional
swelling volume (area under the curve [AUC] = .791) predicted poor outcome better
than midline shift (AUC = .682). For predicting mortality, ROC curve analysis
showed that these three markers were equivalent. CONCLUSION: The ratio of
ipsilateral to contralateral hemisphere volume, baseline lesion volume and
lesional swelling volume best predicted poor outcome across a spectrum of stroke
sizes.
PMID- 29797613
TI - Comparison of intravenous or intravesical cidofovir in the treatment of BK
polyomavirus-associated hemorrhagic cystitis following adult allogeneic stem cell
transplantation-A systematic review.
AB - INTRODUCTION: BK polyomavirus can lead to hemorrhagic cystitis (BKPyV-HC) in
allogeneic stem cell transplantation and therefore to increased morbidity. No
causal therapy has been established yet. Cidofovir (CDV) is a nucleotide analog
of cytosine that is active against various DNA viruses and it has been described
for therapy of BKPyV-HC using 2 admission routes: intravenous and intravesical.
METHODS: We performed a systematic review regarding the comparison of intravenous
or intravesical cidofovir in the treatment of BKPyV-HC following adult allogeneic
stem cell transplantation. Since there is a lack of randomized controlled trials,
we considered all kinds of studies for this review. Due to heterogeneity of the
data, we were not able to perform a meta-analysis, so the results are shown
descriptively. RESULTS: The literature search for primary studies yielded 232
results. Finally, 9 studies where considered which included a total of 189 adult
patients with BKPyV-HC after allogeneic stem cell transplantation. We could only
identify retrospective studies for this review. A total of 172 patients received
intravenous CDV, 17 patients received intravesical CDV, and 2 patients received
CDV in both admission routes. In 68.0% of the cases, a complete response for
intravenous CDV was documented and in 88.2% for intravesical CDV. Interestingly,
no kidney toxicity was mentioned in intravesical CDV. 9.3% of the intravenously
treated patients had renal failure. CONCLUSION: There is only weak evidence for
the use of CDV. The intravesical admission route should be further investigated
because of a good toxicity profile.
PMID- 29797617
TI - Reply: Oral anticoagulation and hip fracture risk: a common misconception?
PMID- 29797616
TI - Late-phase human herpesvirus 6B reactivation in hematopoietic stem cell
transplant recipients.
AB - BACKGROUND: We sought to determine whether late-phase human herpesvirus 6B (HHV
6B) infection in hematopoietic stem cell transplant (HSCT) recipients was
associated with serious outcomes and mortality. METHODS: The occurrence and
course of HHV-6B infection was monitored for at least 60 days after transplant
using virus isolation and real-time polymerase chain reaction. Risk factors for
late-phase HHV-6B infection were examined, and the propensity score was
calculated with significant risk factors. The inverse probability-weighted
multivariable logistic regression analysis was performed to estimate odds ratios
(ORs) and the 95% confidence intervals (95% CI) for mortality. RESULTS: Late
phase HHV-6B infection was observed in 12/89 (13.5%) of the HSCT recipients.
Older age (OR: 10.3, 95% CI: 2.1/72.9, P = .0027), hematologic malignancy (OR:
10.3, 95% CI: 1.8/97.1, P = .0063), unrelated donor transplantation (OR: 5.3, 95%
CI: 1.1/36.0, P = .0345), and sex-mismatched donor transplantation (OR: 6.3, 95%
CI: 1.4/39.5, P = .0149) were identified as risk factors for late-phase HHV-6B
infection. Fifteen subjects died (17%). Inverse probability-weighted
multivariable logistic model analysis revealed that late-phase HHV-6B infection
was an independent risk factor for mortality (OR: 4.2, 95% CI: 1.7/11.0, P =
.0012). Among 5 of the fatal cases of late-phase HHV-6B infection, viral
infection might be associated with severe clinical manifestations. CONCLUSION:
Late-phase HHV-6B infection in HSCT recipients was associated with worse
outcomes. The full spectrum of clinical features of the infection has not been
fully elucidated, and therefore, recipients with high-risk factors for late-phase
HHV-6B infection should be carefully monitored.
PMID- 29797619
TI - Multimodality imaging of an azygous continuation of the caudal vena cava in a dog
with pulmonary thromboembolic disease.
AB - A 5-year-old spayed female English Bulldog was evaluated for acute anorexia,
lethargy, respiratory distress, and syncope. Contrast-enhanced computed
tomography revealed the vascular malformation of azygous continuation of the
caudal vena cava with extensive thrombus formation and pulmonary arterial
thromboembolic disease. The patient was hospitalized for supportive treatment and
was prescribed long-term clopidogrel therapy. The patient survived to discharge
and at last follow-up remained clinically stable. While this vascular
malformation has been reported in canines, to the authors' knowledge, this is the
first reported case of pulmonary thromboembolic disease in a canine concurrent
with this condition.
PMID- 29797618
TI - Constitutive plasma membrane monoamine transporter (PMAT, Slc29a4) deficiency
subtly affects anxiety-like and coping behaviours.
AB - Originally, uptake-mediated termination of monoamine (e.g., serotonin and
dopamine) signalling was believed to only occur via high-affinity, low-capacity
transporters ("uptake1 ") such as the serotonin or dopamine transporters,
respectively. Now, the important contribution of a second low-affinity, high
capacity class of biogenic amine transporters has been recognised, particularly
in circumstances when uptake1 transporter function is reduced (e.g.,
antidepressant treatment). Pharmacologic or genetic reductions in uptake1
function can change locomotor, anxiety-like or stress-coping behaviours.
Comparable behavioural investigations into reduced low-affinity, high-capacity
transporter function are lacking, in part, due to a current dearth of drugs that
selectively target particular low-affinity, high-capacity transporters, such as
the plasma membrane monoamine transporter. Therefore, the most direct approach
involves constitutive genetic knockout of these transporters. Other groups have
reported that knockout of the low-affinity, high-capacity organic cation
transporters 2 or 3 alters anxiety-like and stress-coping behaviours, but none
have assessed behaviours in plasma membrane monoamine transporter knockout mice.
Here, we evaluated adult male and female plasma membrane monoamine transporter
wild-type, heterozygous and knockout mice in locomotor, anxiety-like and stress
coping behavioural tests. A mild enhancement of anxiety-related behaviour was
noted in heterozygous mice. Active-coping behaviour was modestly and selectively
increased in female knockout mice. These subtle behavioural changes support a
supplemental role of plasma membrane monoamine transporter in serotonin and
dopamine uptake, and suggest sex differences in transporter function should be
examined more closely in future investigations.
PMID- 29797621
TI - A randomized controlled evaluation of the tobacco status project, a Facebook
intervention for young adults.
AB - AIMS: To test the efficacy of the Tobacco Status Project (TSP) Facebook smoking
cessation intervention for young adults relative to referral to an on-line
program on biochemically verified 7-day abstinence from smoking. DESIGN: Two
group parallel randomized controlled trial, comparing TSP (n = 251) to on-line
control (n = 249) with follow-up to 12 months. SETTING: On-line, throughout the
United States. PARTICIPANTS: Young adult cigarette smokers (mean age 21 years;
73% white, 55% female, 87% daily smokers). INTERVENTIONS AND COMPARATOR: TSP
provided private Facebook groups tailored to stage of change to quit smoking,
daily contacts, weekly live counseling sessions, and for those ready to quit, six
cognitive behavioral therapy counseling sessions. Some TSP groups were assigned
randomly to receive a monetary incentive for engagement. Control provided
referral to the National Cancer Institute Smokefree.gov website. MEASUREMENTS:
PRIMARY OUTCOME: Biochemically verified 7-day abstinence over 12 months.
SECONDARY OUTCOMES: Post-treatment (3-month) abstinence; reported abstinence,
quit attempt, reduction in smoking, readiness to quit smoking over 12 months.
FINDINGS: Verified 7-day abstinence was not significantly different for
intervention compared with control over 1 year: month 3 (8.3 versus 3.2%), 6 (6.2
versus 6.0%), and 12 (5.9 versus 10.0%); odds ratio (OR) = 1.07; 95% confidence
interval (CI) = 0.23, 4.97; retention = 71%. There was an effect at 3 months (OR
= 2.52; CI = 1.56, 4.04; P < 0.0001). There were no 12-month treatment effects
for reported abstinence (P = 0.746), reduction in smoking by 50% or more (P =
0.533), likelihood of having made a quit attempt (P = 0.387) or stage of change
over time (0.968). Participants in TSP engaged more and rated the intervention
more favorably than those in the control condition. CONCLUSIONS: Compared with
referral to a smoking cessation website, a novel USA-focused Facebook smoking
cessation intervention did not improve abstinence from smoking over 1 year, but
increased abstinence at the end of treatment and was engaging to participants.
PMID- 29797620
TI - Spontaneous resting-state gamma oscillations are not predictive of autistic
traits in the general population.
AB - The autism spectrum hypothesis states that not only diagnosed individuals but
also individuals from the general population exhibit a certain amount of autistic
traits. While this idea is supported by neuroimaging studies, there have been few
electrophysiological studies. In particular, there have been no spontaneous
resting-state studies yet. In order to examine the autism spectrum hypothesis,
the present study tried to predict the level of autistic traits typically
developing young adults (n = 93) exhibit from spontaneous resting-state gamma
power, a measure that has been linked to social functioning impairments seen in
autism. The influence of age and gender was controlled for by employing
regression. It was expected that enhanced gamma activity would be predictive of
self-reported autistic traits. The model with only age and gender included
reached significance, with higher age within this student population being
related to more autistic traits. However, no relationship between either low (30
50 Hz) or high (50-70 Hz) gamma power and autistic traits was found. Models with
eyes closed low gamma asymmetry and eyes closed high gamma asymmetry included did
reach significance, but these findings were not robust, and the gamma asymmetry
explained very little additional variance above age and gender. In addition,
exploratory correlation analyses showed no relationship between the other power
spectra (delta, theta, alpha and beta) on the one hand and autistic traits on the
other hand, suggesting that any relationship between spontaneous resting-state
brain electrophysiology and autistic traits might not be strong enough to be
detected in the general population.
PMID- 29797622
TI - Concomitant drug abuse of opioid dependent patients in maintenance treatment
detected with a multi-target screening of oral fluid.
AB - BACKGROUND AND OBJECTIVES: Numbers of drug-related deaths have been growing in
Europe and the USA, especially those attributable to mixed drug consumption.
Overdose deaths account for about one third up to one half of all illicit drug
deaths worldwide. In most cases opioids are involved. Opioid maintenance
treatment (OMT) is a well-established therapy option among people with opioid
dependence. The aim of this study was to assess concomitant substance abuse in
opioid-dependent patients under OMT in two centers in Munich, Germany. METHODS:
Oral fluid samples of opioid-dependent patients (n = 388) in OMT were randomly
collected and analyzed by a multi-drug screening covering a wide range of
psychotropic agents with UPLC-MS/MS techniques. RESULTS: Fifty-one percent of the
patients had concomitant substance abuse of at least one non-prescribed
substance, 32% were positive for substances that were not tested in routine urine
diagnostics, especially pregabalin. Fifty-seven percent received take-home opioid
medication, and 26% had contact with underage children. Among the take-home
subgroup, a concomitant substance abuse of 43.5% was detected. Furthermore 52.5%
of the patients with contact to underaged children exhibited concomitant
substance abuse. CONCLUSIONS: Concomitant substance abuse is a serious issue
among OMT patients. Screening for a broader range of substances than usually
analyzed, reveals additional relevant abuse among OMT patients, including
pregabalin-an anticonvulsant. SCIENTIFIC SIGNIFICANCE: Our study underscores the
importance of monitoring a broad range of substances including others than
usually screened in opioid-dependent patients in OMT. (Am J Addict 2018;XX:1-6).
PMID- 29797623
TI - Synthesis of gem-Difluorinated Spiro-gamma-lactam Oxindoles by Visible-Light
Induced Consecutive Difluoromethylative Dearomatization, Hydroxylation, and
Oxidation.
AB - Described herein is a protocol for visible-light-induced consecutive synthesis of
gem-difluorinated spiro-gamma-lactam oxindoles under mild conditions by means of
a process involving sequential radical difluoromethylative dearomatization,
hydroxylation, and oxidation. The protocol features high chemo- and
regioselectivity, good functional group tolerance, and easy scalability. Several
of the functionalized spirooxindole products showed good fungicidal activity,
suggesting that they have potential agrochemical applications.
PMID- 29797624
TI - Histone lysine methyltransferases BnaSDG8.A and BnaSDG8.C are involved in the
floral transition in Brassica napus.
AB - Although increasing experimental evidence demonstrates that histone methylations
play important roles in Arabidopsis plant growth and development, little
information is available regarding Brassica napus. In this study, we
characterized two genes encoding homologues of the Arabidopsis histone 3 lysine
36 (H3K36) methyltransferase SDG8, namely, BnaSDG8.A and BnaSDG8.C. Although no
duplication of SDG8 homologous genes had been previously reported to occur during
the evolution of any sequenced species, a domain-duplication was uncovered in
BnaSDG8.C. This duplication led to the identification of a previously unknown NNH
domain in the SDG8 homologues, providing a useful reference for future studies
and revealing the finer mechanism of SDG8 function. One NNH domain is present in
BnaSDG8.A, while two adjacent NNH domains are present in BnaSDG8.C. Reverse
transcriptase-quantitative polymerase chain reaction analysis revealed similar
patterns but with varied levels of expression of BnaSDG8.A/C in different plant
organs/tissues. To directly investigate their function, BnaSDG8.A/C cDNA was
ectopically expressed to complement the Arabidopsis mutant. We observed that the
expression of either BnaSDG8.A or BnaSDG8.C could rescue the Arabidopsis sdg8
mutant to the wild-type phenotype. Using RNAi and CRISPR/Cas9-mediated gene
editing, we obtained BnaSDG8.A/C knockdown and knockout mutants with the early
flowering phenotype as compared with the control. Further analysis of two types
of the mutants revealed that BnaSDG8.A/C are required for H3K36 m2/3 deposition
and prevent the floral transition of B. napus by directly enhancing the H3K36
m2/3 levels at the BnaFLC chromatin loci. This observation on the floral
transition by epigenetic modification in B. napus provides useful information for
breeding early-flowering varieties.
PMID- 29797625
TI - "Abnormal" Addition of NHC to a Conjugate Acid of CAAC: Formation of N-Alkyl
Substituted CAAC.
AB - The addition reactions of N-heterocyclic carbenes (NHCs) are mostly known to
occur through the carbenic centre (C2), which leads to a "normal" adduct. Herein,
we report the "abnormal" addition of NHCDip 1 (1,3-(2,6-iPr2 C6 H3 )-imidazole-2
ylidene) to a conjugate acid of cyclic (alkyl)(amino)carbene 2 (CAACiPr =1-iPr
3,3,5,5-Me4 -pyrrolinium triflate). Mechanistic study revealed that this reaction
proceeded through the in situ formation of 1,3-(2,6-iPr2 C6 H3 )-imidazolium
cation 4 and N-iPr-substituted CAAC 5 followed by the oxidative addition of
compound 5 across the C4-H bond (alias backbone C-H) of compound 4. The in situ
formation of compound 5 was also proven by the oxidative addition of it to the N
H group of iPrNH2 . DFT calculations also supported the mechanistic findings. A
different methodology for the in situ generation of compound 5 by using TMPLi is
also described.
PMID- 29797626
TI - Embryonal Tumor with Multilayered Rosettes, C19MC-Altered: Clinical,
Pathological, and Neuroimaging Findings.
AB - BACKGROUND AND PURPOSE: Embryonal tumor with multilayered rosettes (ETMR), C19MC
altered, is a recently described, rare central nervous system tumor. To our
knowledge, the imaging findings of this tumor have not been systematically
evaluated in the neuroradiology literature. We present here the clinical,
radiological, and pathological correlation of a case series of this very rare
tumor, including the full range of anatomic compartment presentations
(supratentorial, infratentorial, and spinal). METHODS: We retrospectively
analyzed 7 (4M, 3F) pathologically-proven cases of ETMR referred to our
institution between 2007 and 2017. We demonstrate the imaging characteristics of
this tumor on CT and MRI with advanced imaging. RESULTS: All of the patients are
children (ages 1-12). On MR imaging of ETMR, contrast enhancement is often
heterogeneous and minimal if any, and there is no significant surrounding T2
fluid-attenuated inversion recovery (FLAIR) hyperintensity to suggest edema. The
lesions were often expansile with no evidence of infiltration of the fiber tracks
that were displaced by the tumor mass. Diffusion-weighted imaging often
demonstrated restricted diffusion within ETMRs. On magnetic resonance
spectroscopy (MRS), the choline/creatine (Cho/Cr) ratio is increased, with low N
acetylaspartate (NAA) or NAA/Cho ratio, typical of high-grade tumors. CONCLUSION:
We demonstrate the conventional and advanced imaging characteristics of ETMR,
including MRS and diffusion tensor imaging, which, to our knowledge, have not
been systematically evaluated in the radiology literature. The knowledge gained
may potentially impact patient management, especially in inoperable cases and in
locations where it is risky to perform a biopsy.
PMID- 29797627
TI - Iodonium Salts as Benzyne Precursors.
AB - Reactions involving benzyne and aryne intermediates have found widespread
application in organic synthesis. Various benzyne precursors and benzyne
generating procedures are known. Recently, methods of benzyne generation from
diaryliodonium salts and related hypervalent iodine compounds have received
considerable attention. These methods are characterized by mild reaction
conditions and applicability in a broad range of chemical transformations. The
present minireview is focused on the preparation and reactivity of hypervalent
iodine based benzyne precursors. Furthermore, recent developments in their
synthetic application are discussed.
PMID- 29797628
TI - Fishing for G-Quadruplexes in Solution with a Perylene Diimide Derivative Labeled
with Biotins.
AB - A new fluorescent, non-cytotoxic perylene diimide derivative with two biotins at
the peri position, PDI2B, has been synthesized. This molecule is able to interact
selectively with G-quadruplexes with scarce or no affinity towards single- or
double-stranded DNA. These features have made it possible to design a simple,
effective, safe, cheap, and selective method for fishing G-quadruplex structures
in solution by use of PDI2B and streptavidin coated magnetic beads. The new
cyclic method reported leads to the recovery of more than 80 % of G-quadruplex
structures from solution, even in the presence of an excess of single-stranded or
duplex DNA as competitors. Moreover, PDI2B is a G4 ligand that can display higher
thermal stabilization and greater affinity for 2- over 3-tetrad quadruplexes,
which constitutes a novel type of behavior.
PMID- 29797629
TI - Cognitive impairment in early stages of multiple sclerosis is associated with
high cerebrospinal fluid levels of chitinase 3-like 1 and neurofilament light
chain.
AB - BACKGROUND AND PURPOSE: Chitinase 3-like 1 (CHI3L1) and neurofilament light chain
(NF-L) are promising biomarkers of disability in multiple sclerosis (MS).
However, their role in cognitive dysfunction remains elusive. Here, we aimed to
correlate cerebrospinal fluid (CSF) levels of CHI3L1 and NF-L with cognitive
status in MS. METHODS: Fifty one recently diagnosed patients were cognitively
evaluated and CSF was collected. Levels of CHI3L1 and NF-L were determined by
ELISA. Spearman's partial correlation coefficient was performed. RESULTS: After
adjusting cognitive scores by age, anxiety and EDSS, association was detected
between CHI3L1 levels and Trail Making Test A (rs = 0.348; p = 0.016) and between
NF-L levels and Word List Generation (rs = -0.324; p = 0.025). CONCLUSION: High
levels of CSF CHI3L1 and NF-L are associated with cognitive impairment in the
early phases of MS.
PMID- 29797630
TI - Histopathological analysis of aggressive renal cell carcinoma harboring a unique
germline mutation in fumarate hydratase.
AB - Hereditary leiomyomatosis and renal cell cancer (HLRCC) is a rare genetic
disorder characterized by cutaneous and uterine leiomyomatosis with RCC. This
disorder is caused by a germline mutation in the fumarate hydratase (FH) gene,
which encodes an important enzyme of the tricarboxylic acid (TCA) cycle. This
mutation distinguishes HLRCC from sporadic RCCs. Herein, we investigated a case
of HLRCC in a 32-year-old man who underwent nephrectomy for treatment of a solid
cystic tumor in the left kidney. Histopathology demonstrated a variegated
architecture of papillary, tubulocystic and cribriform patterns composed of high
grade tumor cells with enlarged nuclei and eosinophilic nucleoli. Immunostaining
and western blotting revealed no FH expression in the tumor. Genomic DNA
sequencing identified a heterozygous mutation involving deletion of the 3' end of
exon 2 and intron 2 of the FH gene (c.251_267+7delTGACAGAACGCATGCCAGTAAGTG), and
RT-PCR confirmed exon 2 skipping in FH mRNA. The somatic FH gene status of the
tumor showed only the mutated allele, indicating loss of heterozygosity as the
"second hit" of tumor suppressor gene inactivation. These data support that an FH
mutation involving the splice site causes exon skipping, changing the
conformation of the protein and accelerating carcinogenic cascades under impaired
FH functioning in the TCA cycle.
PMID- 29797631
TI - The complex terrain of peer support in mental health: What does it all mean?
PMID- 29797632
TI - Risk factors associated with Clostridium difficile infection in kidney transplant
recipients.
AB - BACKGROUND: Solid organ transplant recipients are especially vulnerable to
Clostridium difficile infection (CDI) due to cumulative risk factors including
increased exposure to healthcare settings, persistent immunosuppression, and
higher rates of antimicrobial exposure. We aimed to identify risk factors
associated with CDI development in kidney transplant recipients including
implications of immunosuppressive therapies and acid-suppressing agents. METHODS:
This was a single-center, non-interventional, retrospective case-control study of
adult subjects between June 1, 2009 and June 30, 2013. During this time, 728
patients underwent kidney transplantation. Overall, 22 developed CDI (cases) and
were matched 1:3 with 66 controls. Cases and controls were also matched for
induction agent, kidney allograft type (living or deceased), and time from
transplant to CDI result (+/-60 days). RESULTS: The majority of subjects received
a deceased donor kidney (77.3%) and basiliximab induction therapy (86.4%). The
overall CDI incidence was 3%. Factors independently associated with CDI were
average tacrolimus trough (AOR = 1.25, 95% CI = 1.00-1.56, P = .048) and
antibiotic exposure for urinary tract infections (UTI) (AOR = 4.17, 95% CI = 1.12
15.54, P = .034). Proton pump inhibitor use was not associated with CDI (OR =
0.81, 95% CI = 0.29-2.29, P = .691). CONCLUSION: Maintaining a clinically
appropriate tacrolimus trough and judicious antibiotic use and selection for UTI
treatment could potentially reduce CDI in the kidney transplant population.
PMID- 29797633
TI - Annual stroke incidence in Nigerian children with sickle cell disease and
elevated TCD velocities treated with hydroxyurea.
AB - BACKGROUND: Elevated transcranial Doppler (TCD) velocities accurately predict
stroke risk in children with sickle cell disease (SCD). Chronic blood
transfusion, the gold standard for primary stroke prevention, is faced with
numerous challenges in Africa. Hydroxyurea (HU) has been shown to reduce elevated
TCD velocities in children with SCD. AIM: To determine the effectiveness of HU in
reducing the risk of primary stroke in a cohort of Nigerian children with SCD and
elevated velocities treated with HU. METHODS: Children with SCD and TCD
velocities >=170 cm/sec treated with HU were prospectively followed with 3
monthly TCD and neurological evaluations for >=12 months to determine the
incidence of primary stroke. RESULTS: One hundred and four children, 53 males,
and 51 females were enrolled into the study. Their ages ranged from 2 to 16 years
with a mean of 6 years. At first TCD examination, velocities ranged from 173 to
260 cm/sec with conditional and abnormal risk velocities in 60 (57.7%) and 44
(42.3%) children, respectively. Follow up ranged from 1 to 8 years with a mean of
3.6 years. Mean TCD velocities showed a significant decline from 198.2 (standard
deviation [SD] = 15.6) cm/sec to 169.3 (SD = 21.4) cm/sec (P < 0.001). One stroke
event occurred in the cohort, giving a stroke incidence of 0.27/100 person years.
CONCLUSION: HU significantly reduces TCD velocities in Nigerian children with SCD
and elevated TCD velocities, with a corresponding reduction in the incidence of
primary stroke. HU may represent a potential alternative for primary stroke
prevention in low and middle income countries where the burden of SCD resides.
PMID- 29797634
TI - Clinical significance of MYCN amplification in patients with high-risk
neuroblastoma.
AB - BACKGROUND: This study investigated the clinical significance of MYCN
amplification within high-risk neuroblastoma (NB). METHODS: Medical records of
135 patients who were diagnosed with high-risk NB from 2004 to 2016 were
reviewed. RESULTS: Fifty-one (38%) patients had MYCN amplified tumors, and the
remaining 84 (62%) had nonamplified tumors. MYCN amplification was associated
with abdominal primary site, less differentiated pathology, higher levels of
lactate dehydrogenase and neuron-specific enolase (NSE), lower vanillylmandelic
acid level, and larger primary tumor volume at diagnosis. MYCN amplification was
associated with a better early response (faster reduction of primary tumor volume
and NSE level). The proportion of patients in complete response or very good
partial response after induction treatment was relatively higher in MYCN
amplified tumors than in nonamplified tumors; however, all progressions during
induction treatment occurred only in MYCN amplified tumors (P = 0.007). The time
to progression was shorter (median 1.5 years vs. 1.9 years, P = 0.037) and
survival after relapse/progression was worse in MYCN amplified tumors (3 year
overall survival: 7.7 +/- 7.4% vs. 20.5 +/- 8.8%, P = 0.046). There was no
difference in event-free survival and overall survival between MYCN amplified and
nonamplified tumors. CONCLUSION: MYCN amplification was associated with more
aggressive features at diagnosis and a better early response, but a higher
progression rate during induction treatment and lower chance of survival after
relapse/progression. There was no difference in survival rates according to MYCN
amplification in patients with high-risk NB.
PMID- 29797635
TI - Cisplatin-induced nephrotoxicity in patients with advanced neuroblastoma.
PMID- 29797636
TI - MnI /AgI Relay Catalysis: Traceless Diazo-Assisted C(sp2 )-H/C(sp3 )-H Coupling
to beta-(Hetero)Aryl/Alkenyl Ketones.
AB - An unprecedented MnI /AgI -relay-catalyzed C(sp2 )-H/C(sp3 )-H coupling of
(vinyl)arenes with alpha-diazoketones is reported, wherein the diazo group was
exploited as a traceless auxiliary for control of regioselectivity. Challenging
beta-(hetero)aryl/alkenyl ketones were obtained through this operationally simple
approach. The cascade process merges denitrogenation, carbene rearrangement, C-H
activation, and hydroarylation/hydroalkenylation. The robustness of this method
was demonstrated at preparative scale and applied to late-stage diversification
of natural products.
PMID- 29797637
TI - Mandibular growth in survivors of pediatric parotid gland carcinoma treated with
interstitial brachytherapy.
AB - BACKGROUND: The aim of the study was to present long-term results of mandibular
growth in pediatric parotid gland carcinoma survivors treated with interstitial
brachytherapy. PROCEDURE: Twenty-five survivors of pediatric parotid gland
carcinoma treated with iodine-125 seed interstitial brachytherapy were included
for quantitative analysis, including three dimensional (3D) cephalometry and
measurement of mandibular volume. RESULTS: 3D cephalometry showed that the median
fore-and-aft increments of the lengths of the condyle, the ramus, and the body of
the mandible were 1.23, 0.19, and 1.66 mm for the affected side, respectively,
and were 1.37, 1.95, and 3.42 mm for the unaffected side, respectively. The
difference in increments of the ramus was statistically significant between the
affected side and the unaffected side (P = 0.003; P < 0.05). Moreover, mandibular
volume measurements showed that the median fore-and-aft increments of the volumes
of the condyle, the ramus, and the body of the mandible were 290.62, 220.14, and
1706.40 mm3 for the affected side, respectively, and were 269.15, 370.40, and
1469.86 mm3 for the unaffected side, respectively. The difference in increments
was statistically significant between the affected side and the unaffected side
for the ramus (P = 0.005; P < 0.05) and the body (P = 0.043; P < .05).
CONCLUSION: Mandibular growth was affected by interstitial brachytherapy,
especially for the ramus, in pediatric parotid gland carcinoma survivors treated
with interstitial brachytherapy. Nevertheless, the impact was mild in these
survivors.
PMID- 29797638
TI - Nurses' confidence in providing and managing care for older persons with
depressive symptoms or depression in long-term care facilities: A national
survey.
AB - The prevalence of depressive symptoms among older residents in long-term care
facilities (LTCFs) is high. Nurses are the main healthcare providers in LTCFs and
also the persons responsible for detecting changes in residents' mental function
and providing subsequent care. Therefore, it is necessary to understand nurses'
knowledge, attitudes, and confidence regarding care for older residents with
depressive symptoms or depression. This study aimed to understand nurses' level
of knowledge of late-life depression, attitudes towards depression, and
confidence levels in caring for older adults with depressive symptoms or
depression in LTCFs. A cross-sectional descriptive and correlational research
design was used. A nationwide self-report survey was conducted in 2016.
Ultimately, 556 valid questionnaires were returned. The study found that LTCF
nurses' knowledge about late-life depression was poor, and they also lacked
confidence in managing and caring for older persons with depressive symptoms or
depression, but nurses' attitudes towards depression were neutral or slightly
positive. Moreover, nurses who had greater confidence in providing care for older
persons with depression were those with more positive attitudes towards
depression, a greater interest level in taking care of older adults with
depression, less late-life depression knowledge, longer nursing experience in
LTCFs, and a greater interest level in late-life depression issues, and who had
read late-life depression pamphlets or taken courses or classes in late-life
depression. The findings suggest an urgent need to develop strategies to improve
nurses' late-life depression knowledge and increase their confidence in providing
care to older residents with depressive symptoms or depression.
PMID- 29797639
TI - Psychosocial determinants of physical activity and dietary behaviors in
adolescents and young adults with cancer and survivors.
AB - BACKGROUND: Survivors of childhood cancer are at increased risk of lifestyle
influenced health issues. We sought to understand the association between stages
of change (SOC) and psychosocial variables related to modifiable health
behaviors: physical activity (PA), dietary fat intake, and intake of fruits and
vegetables among adolescents and young adults at different phases of cancer
therapy. METHODS: We conducted an exploratory cross-sectional survey of
adolescents and young adults currently being treated for cancer or who are
survivors of childhood cancer. We evaluated SOC and several psychosocial
variables using the previously validated Patient-Centered Assessment and
Counseling for Exercise (PACE+): Physical Activity and Diet Surveys for
Adolescents tool. Current dietary behaviors were evaluated with 24 hr diet
recall. RESULTS: One hundred and eighteen patients participated in the survey.
SOC did not vary by phase of treatment. Ethnicity and body mass index were
strongly associated with SOC for PA, but not for dietary behaviors. There was a
significant association between SOC and self-efficacy, knowledge of strategies,
and family or friend support for PA, dietary fat, and fruits and vegetables.
Higher self-efficacy and family support were significant variables impacting SOC
and participants' reported intake of fruits and vegetables servings. CONCLUSION:
We demonstrate that SOC for the investigated behaviors did not change based on
phase of treatment, supporting the initiation of lifestyle interventions early on
in treatment. We identify several psychosocial variables that may act as
important targets for future lifestyle interventions aimed to improve dietary and
exercise behaviors in adolescents and young adults with cancer and survivors.
PMID- 29797640
TI - DIVERGT screening procedure predicts general cognitive functioning in adult long
term survivors of pediatric acute lymphoblastic leukemia: A PETALE study.
AB - BACKGROUND: Acute lymphoblastic leukemia (ALL) is the most common cancer in
children. Because of major improvements in treatment protocols, the survival rate
now exceeds 80%. However, ALL treatments can cause long-term neurocognitive
sequelae, which negatively impact academic achievement and quality of life.
Therefore, cognitive sequelae need to be carefully evaluated. The DIVERGT is a
battery of tests proposed as a screening tool, sensitive to executive function
impairments in children and adolescent cancer survivors. Our study aimed at
verifying the predictive value of the DIVERGT on general cognitive functioning in
adult long-term survivors of ALL. METHODS: ALL survivors completed the DIVERGT
13.4 years, on average, after remission (N = 247). In addition, 49 of these
survivors (equally selected amongst those with low, average, and high DIVERGT
scores) as well as 29 controls completed a more comprehensive neuropsychological
evaluation within a 3-year period from DIVERGT administration. Multivariate
regression analysis was used to assess the predictive value of the DIVERGT on
general intelligence, mathematics, verbal memory, and working memory. As a follow
up analysis, three performance groups were created based on the DIVERGT results.
Multivariate analysis of variance (MANOVA) assessed neuropsychological
differences between groups. RESULTS: The DIVERGT accurately predicted General
Ability Index (GAI) (P < 0.0001), mathematics (P < 0.0001) and verbal memory (P =
0.045). Moreover, the low-performance group consistently had poorer performance
than the high-performance and control groups on the neuropsychological tests.
CONCLUSION: The DIVERGT is a useful, time-effective screening battery for broader
neurocognitive impairments identification in long-term adult ALL survivors. It
could be implemented as routine examination in cancer follow-up clinics.
PMID- 29797641
TI - Both serum and tissue Galectin-1 levels are associated with adverse clinical
features in neuroblastoma.
AB - BACKGROUND: Neuroblastoma is one of the most common pediatric solid tumors.
Although the 5-year overall survival rate has increased over the past few
decades, high-risk patients still have a poor prognosis due to a lack of
biomonitoring therapy. This study was performed to investigate the role of
Galectin-1 in neuroblastoma biomonitoring therapy. PROCEDURE: A tissue microarray
containing 37 neuroblastoma tissue samples was used to evaluate the correlation
between Galectin-1 expression and clinical features. Blood samples were examined
to better understand whether serum Galectin-1 (sGalectin-1) could be used for
biomonitoring therapy. Kaplan-Meier analysis and ROC analysis was conducted to
distinguish the outcome associated with high or low expression of Galectin-1 in
patients with neuroblastoma. RESULTS: Increased Galectin-1 expression was found
in neuroblastoma and it was further demonstrated that elevated tissue Galectin-1
expression was related to INSS stage, histology, bone marrow metastasis, and poor
survival. sGalectin-1 levels were higher in newly diagnosed patients with
neuroblastoma than healthy subjects. Patients with elevated sGalectin-1 through
treatment cycles correlated with the poor chemo-responses and tended to have
worse outcomes, such as metastasis or stable tumor size, whereas gradually
decreasing sGalectin-1 levels correlated with no observed progression in clinical
symptoms. CONCLUSIONS: Tissue and serum Galectin-1 levels were associated with
adverse clinical features in patients with neuroblastoma, and sGalectin-1 could
be a potential biomarker for monitoring therapy.
PMID- 29797642
TI - Therapeutic optimism and attitudes among medical and surgical nurses towards
attempted suicide.
AB - Identification of the attitudes to consumers admitted to hospital following a
suicide attempt and the therapeutic optimism of nurses caring for this cohort is
vital to ascertain the level of nursing care provided. A convenience sample of 72
Registered and enrolled nurses from a large metropolitan health service in South
Eastern Melbourne, Australia, completed a demographic questionnaire, the Elsom
Therapeutic Optimism Scale (ETOS), and the Attitudes to Attempted Suicide
Questionnaire (ATAS-Q). Data were analysed using SPSS (version 25). Whilst the
ETOS & ATAS-Q correlate positively, themes of shame, blame, misunderstandings,
and myths about suicide influence nurses' perspectives when providing suicide
attempt aftercare. This may potentially lead to care that is tokenistic and task
focused. To develop their professional skill set when providing suicide attempt
aftercare, nurses need both formal and informal education and opportunities to
reflect on their practice.
PMID- 29797643
TI - Hidden care: Revelations of a case-note audit of physical health care in a
community mental health service.
AB - People with severe mental illness (SMI) are widely reported to be at an increased
risk of morbidity and premature death due to physical health conditions. Mental
health nurses are ideally placed to address physical and mental health
comorbidity as part of their day-to-day practice. This study involved an audit of
hardcopy and electronic clinical case-notes of a random sample of 100 people with
SMI case managed by community mental health service in metropolitan South
Australia, to determine how well physical health conditions and risk factors,
screening, and follow-up are recorded within their service records. Every contact
between 1 July 2015 and 30 June 2016 was read. One-way ANOVA, Scheffe's test, and
Fisher's exact test determined any significant associations across audit
variables, which included gender, age, income, living arrangement, diagnosis,
lifestyle factors, recording of physical health measures, and carer status. A
focus on physical health care was evident from everyday case-note records;
however, because this information was 'buried' within the plethora of entries and
not brought to the fore with other key information about the person's psychiatric
needs, it remained difficult to gain a full picture of potential gaps in physical
health care for this population. Under-reporting, gaps and inconsistencies in the
systematic recording of physical health information for this population are
likely to undermine the quality of care they receive from mental health services,
the ability of mental health service providers to respond in a timely way to
their physical healthcare needs, and their communication with other healthcare
providers.
PMID- 29797645
TI - Whole-Cell Biotransformation of Benzene to Phenol Catalysed by Intracellular
Cytochrome P450BM3 Activated by External Additives.
AB - An Escherichia coli whole-cell biocatalyst for the direct hydroxylation of
benzene to phenol has been developed. By adding amino acid derivatives as decoy
molecules to the culture medium, wild-type cytochrome P450BM3 (P450BM3) expressed
in E.coli can be activated and non-native substrates hydroxylated, without
supplementing with NADPH. The yield of phenol reached 59 % when N-heptyl-l-prolyl
l-phenylalanine (C7-Pro-Phe) was employed as the decoy molecule. It was shown
that decoy molecules, especially those lacking fluorination, reached the cytosol
of E. coli, thus imparting in vivo catalytic activity for the
oxyfunctionalisation of non-native substrates to intracellular P450BM3.
PMID- 29797644
TI - Sickle Cell Clinical Research and Intervention Program (SCCRIP): A lifespan
cohort study for sickle cell disease progression from the pediatric stage into
adulthood.
AB - BACKGROUND: Previous natural history studies have advanced the understanding of
sickle cell disease (SCD), but generally have not included sufficient lifespan
data or investigation of the role of genetics in clinical outcomes, and have
often occurred before the widespread use of disease-modifying therapies, such as
hydroxyurea and chronic erythrocyte transfusions. To further advance knowledge of
SCD, St. Jude Children's Research Hospital established the Sickle Cell Clinical
Research and Intervention Program (SCCRIP), to conduct research in a clinically
evaluated cohort of individuals with SCD across their lifetime. PROCEDURES:
Initiated in 2014, the SCCRIP study prospectively recruits patients diagnosed
with SCD and includes retrospective and longitudinal collection of clinical,
neurocognitive, geospatial, psychosocial, and health outcomes data. Biological
samples are banked for future genomics and proteomics studies. The organizational
structure of SCCRIP is based upon organ/system-specific working groups and is
opened to the research community for partnerships. RESULTS: As of August 2017,
1,044 (92.3% of eligible) patients with SCD have enrolled in the study (860
children and 184 adults), with 11,915 person-years of observation. Population
demographics included mean age at last visit of 11.3 years (range 0.7-30.1),
49.8% females, 57.7% treated with hydroxyurea, 8.5% treated with monthly
transfusions, and 62.9% hemoglobin (Hb) SS or HbSB0 -thalassemia, 25.7% HbSC,
8.4% HbsB+ -Thalassemia, 1.7% HbS/HPFH, and 1.2% other. CONCLUSIONS: The SCCRIP
cohort will provide a rich resource for the conduct of high impact
multidisciplinary research in SCD.
PMID- 29797646
TI - The burden of sinus disease in cystic fibrosis lung transplant recipients.
AB - INTRODUCTION: Sinus disease (SD) in cystic fibrosis (CF) is a known risk factor
for disease progression, the upper airways (UAW) being a site of primary
colonization with Pseudomonas aeruginosa. UAW may function as reservoir for graft
colonization after lung transplantation (LuTx), increasing risk of rejection.
Aims of this study were to assess the burden of sinus disease in CF LuTx
recipients, considering patient-reported symptoms, endoscopically documented
signs and microbiological isolates, comparing colonization between upper and
lower airways. METHODS: A prospective, observational study was performed on
consecutive CF LuTx recipients, recording history, symptoms, and management of
SD. Nasal lavage (NL) was evaluated for UAW colonization, with nasal inspection
during bronchoscopy and bronchoalveolar lavage (BAL) used to assess lower airways
if clinically indicated. RESULTS: Hundred and fifty-four patients were included.
Symptoms of SD were reported in 96 (62%) individuals; 87 (56%) had prior sinus
surgery. Only 8 (13%) of 60 individuals undergoing bronchoscopy presented
completely normal findings of the nasal cavity. Thirty-six (60%) patients
presented the same isolates on both NL and BAL. Polyps and mucosal alterations
were significantly less frequently seen endoscopically in patients with normal
flora in NL microbiology (respectively, 26% vs 70%, P = .003, and 35% vs 68%, P =
.013). CONCLUSIONS: Symptoms of SD affected more than 60% of CF LuTx recipients.
Nasal endoscopic inspection identified alterations in 55%. The majority of
patients presented the same isolates both on NL and BAL performed on the same
visit. These results strongly support a role of paranasal sinuses as "reservoir"
for descending re-colonization of the lung graft.
PMID- 29797647
TI - Comments on new classification, treatment algorithm and prognosis-estimating
systems for sigmoid volvulus and ileosigmoid knotting: necessity and utility.
PMID- 29797648
TI - Catalytic Asymmetric Diels-Alder Reaction/[3,3] Sigmatropic Rearrangement Cascade
of 1-Thiocyanatobutadienes.
AB - A highly efficient asymmetric Diels-Alder/[3,3] sigmatropic rearrangement
reaction of methyleneindolinones with 1-thiocyanatobutadienes has been realized
by using a chiral N,N'-dioxide/nickel(II) complex as the catalyst. A range of
cyclohexenyl isothiocyanates were synthesized in high yields with excellent
diastereo- and enantioselectivities. Based on mechanistic studies, a catalytic
cycle with possible transition-state models were proposed to explain the process.
PMID- 29797649
TI - Metal- and Reagent-Free Dehydrogenative Formal Benzyl-Aryl Cross-Coupling by
Anodic Activation in 1,1,1,3,3,3-Hexafluoropropan-2-ol.
AB - A selective dehydrogenative electrochemical functionalization of benzylic
positions that employs 1,1,1,3,3,3-hexafluoropropan-2-ol (HFIP) has been
developed. The electrogenerated products are versatile intermediates for
subsequent functionalizations as they act as masked benzylic cations that can be
easily activated. Herein, we report a sustainable, scalable, and reagent- and
metal-free dehydrogenative formal benzyl-aryl cross-coupling. Liberation of the
benzylic cation was accomplished through the use of acid. Valuable diarylmethanes
are accessible in the presence of aromatic nucleophiles. The direct application
of electricity enables a safe and environmentally benign chemical transformation
as oxidizers are replaced by electrons. A broad variety of different substrates
and nucleophiles can be employed.
PMID- 29797650
TI - Poikiloderma with neutropenia in a Tunisian patient with a novel C16orf57 gene
mutation.
AB - Poikiloderma with neutropenia (PN) is a genodermatosis characterized by
poikiloderma, permanent neutropenia, recurrent infections, nail abnormalities,
and palmoplantar hyperkeratosis. We report the case of a Tunisian patient with
PN. Skin lesions started from the face and spread to the extremities and trunk.
Neutropenia was initially periodic and concomitant with infections periods. DNA
analysis identified a novel homozygous deletion of a 1-bp (c.161delC,
p.P54RfsX60) in the C16orf57gene, presumed to be causative. This report presents
the variability of the clinical manifestations and evolution of PN and emphasizes
the importance of studying other patients with PN to better delineate mutations
profile among populations.
PMID- 29797651
TI - Sudden spinal hemorrhage in a pediatric case with total body irradiation-induced
cavernous hemangioma.
AB - Compared to cerebral radiation-induced cavernous hemangiomas (RICHs), little is
known about intraspinal RICHs. A 13-year-old male suddenly developed symptomatic
spinal hemorrhage eight years after hematopoietic stem cell transplantation using
a total body irradiation (TBI) based myeloablative regimen. A solitary small
hemangioma was detected on follow-up T2 star weighted magnetic resonance imaging
of the spine. His neurological symptoms gradually improved with supportive
treatment and rehabilitation, although he experienced rebleeding 2 years later.
Intraspinal RICH is very rare but should be recognized as a possible late adverse
effect in pediatric patients who received TBI.
PMID- 29797652
TI - Burnout in pediatric hematology/oncology-time to address the elephant by name.
AB - The last decade has brought increasing recognition that the wellness of health
care providers has an impact on the quality of care, patient satisfaction, and
health care economics. This review will describe models of burnout, discuss the
impact of burnout on medicine with a focus on pediatric
hematologists/oncologists, and present interventions that may help ameliorate
physician burnout.
PMID- 29797653
TI - Late mortality and morbidity among long-term leukemia survivors with Down
syndrome: A nationwide population-based cohort study.
AB - BACKGROUND: Late health consequences of treatment for childhood leukemia are well
documented. Although individuals with Down syndrome (DS) have a substantially
increased risk of leukemia, information on late effects in this group is almost
nonexistent. The aim of this study was to evaluate the mortality and morbidity
among 5-year leukemia survivors with DS. PROCEDURE: We compared 5-year leukemia
survivors with leukemia-free individuals with DS. All individuals born with DS in
Denmark between 1960 and 2007 and in Sweden between 1973 and 2009 were included.
Long-term morbidity was estimated by comparing hospitalization rates between
survivors and leukemia-free individuals. RESULTS: In total, we found 6,705
individuals with DS, 84 of whom were 5-year survivors of leukemia. Survivors had
a higher risk of death (hazard ratio [HR] 5.9; 95% confidence interval [CI]: 2.7
13) compared with leukemia-free individuals. All deaths (n = 7) among 5-year
leukemia survivors were due to relapse. Survivors had a higher hospitalization
rate (HR 4.4; 95% CI: 3.1-6.2). However, most of these hospitalizations were due
to relapse. Censoring individuals who either had a relapse or were being treated
for a relapse more than 5 years from the initial diagnosis (n = 9) attenuated the
association (HR 1.4; 95% CI: 1.0-2.1). CONCLUSION: In this study, we found that
relapse was the main reason for death and hospitalization among leukemia
survivors with DS, and not late effects. These results are reassuring for
individuals treated for DS associated with leukemia and their parents.
PMID- 29797654
TI - Transplantation of pediatric renal allografts from donors less than 10 kg.
AB - Few transplant programs use kidneys from donors with body weight (BW) < 10 kg. We
hypothesized that pediatric en bloc transplants from donors with BW < 10 kg would
provide similar transplant outcomes to larger grafts. All pediatric en bloc renal
transplants performed at our center between 2001 and 2017 were reviewed (N = 28).
Data were stratified by smaller (donor BW < 10 kg; n = 11) or larger donors (BW >
10 kg; n = 17). Renal volume was assessed during follow-up with ultrasound.
Demographic characteristics were similar between the 2 groups of recipients.
After mean follow-up of 44 months (smaller donors) and 124 months (larger
donors), graft and patient outcomes were similar between groups. Serum creatinine
at 1, 3, and 5 years was no different between groups. At 1 day posttransplant,
mean total renal volume in the smaller donors was 28 +/- 9 mm3 vs 45 +/- 12 mm3
(P < .01). By 3 weeks, it was 53 +/- 19 mm3 (smaller donors) versus 73 +/- 19 mm3
(larger donors) (P = NS). Complication rates were similar between both groups
with 1 case of venous thrombosis in the smaller group. With experience, outcomes
are equivalent to those from larger pediatric donors.
PMID- 29797655
TI - Hepatitis C genotype change after transplantation utilizing hepatitis C positive
donor organs.
AB - A shortage in organs for transplantation has led to the increased use of
hepatitis C (HCV) infected donor organs for solid organ transplant recipients
infected with HCV. However, the donor HCV genotype is not routinely checked or
known prior to transplant. Here, we report 4 cases of genotype conversion after
transplantation in patients receiving HCV infected donor organs. This change in
genotype may potentially impact HCV progression as well as treatment choice for
these patients.
PMID- 29797656
TI - The price of protection: a defensive endosymbiont impairs nymph growth in the
bird cherry-oat aphid, Rhopalosiphum padi.
AB - Bacterial endosymbionts have enabled aphids to adapt to a range of stressors, but
their effects in many aphid species remain to be established. The bird cherry-oat
aphid, Rhopalosiphum padi (Linnaeus), is an important pest of cereals worldwide
and has been reported to form symbiotic associations with Serratia symbiotica and
Sitobion miscanthi L-type symbiont endobacteria, although the resulting aphid
phenotype has not been described. This study presents the first report of R. padi
infection with the facultative bacterial endosymbiont Hamiltonella defensa.
Individuals of R. padi were sampled from populations in Eastern Scotland, UK, and
shown to represent seven R. padi genotypes based on the size of polymorphic
microsatellite markers; two of these genotypes harbored H. defensa. In parasitism
assays, survival of H. defensa-infected nymphs following attack by the parasitoid
wasp Aphidius colemani (Viereck) was 5 fold higher than for uninfected nymphs.
Aphid genotype was a major determinant of aphid performance on two Hordeum
species, a modern cultivar of barley H. vulgare and a wild relative H.
spontaneum, although aphids infected with H. defensa showed 16% lower nymph mass
gain on the partially resistant wild relative compared with uninfected
individuals. These findings suggest that deploying resistance traits in barley
will favor the fittest R. padi genotypes, but symbiont-infected individuals will
be favored when parasitoids are abundant, although these aphids will not achieve
optimal performance on a poor quality host plant.
PMID- 29797657
TI - Chronic median nerve modulation reduces ventricular arrhythmia and improves
ventricular function in a postmyocardial infarction rabbit model.
AB - AIM: Median nerve stimulation (MNS) is a novel neuromodulation approach for
treatment of ventricular arrhythmia, but little is known about its chronic
effects. The aim of this study was to investigate the effects of chronic MNS on
ventricular arrhythmia and ventricular dysfunction postmyocardial infarction
(MI). METHOD: Two weeks after MI, 12 rabbits were randomly divided into control
and MNS groups, and chronic MNS was performed in MNS group for 2 weeks.
Ventricular function and arrhythmias; sympathetic innervation and activity; and
interleukin-1 beta (IL-1 beta) and norepinephrine (NE) levels were analyzed.
RESULTS: Both the total number of premature ventricular complex and episodes of
ventricular tachycardia were lower in MNS group than in control group (20 560 +/-
10 314 beats vs 70 079 +/- 37 184 beats, P = .021, and 115 +/- 63 episodes vs 307
+/- 164 episodes, P = .034, respectively). Compared with control group, MNS
decreased the cardiac sympathetic nerve density and level of circulating NE in
MNS group (1798.42 +/- 644.07 MUm2 /mm2 vs 1003.79 +/- 453.00 MUm2 /mm2, P =
.041, and 20.86 +/- 4.54 pg/mL vs 11.07 +/- 1.43 pg/mL, P = .002, respectively).
MNS also improved the left ventricular ejection fraction (59.07 +/- 1.91% vs
49.77 +/- 3.47%, P = .003) and inhibited the level of IL-1 beta in serum (69.19
+/- 4.71 pg/mL vs 85.93 +/- 12.80 pg/mL, P = .013). CONCLUSION: Chronic MNS
appears to protect against ventricular arrhythmia and improves ventricular
function post-MI, which may be mediated by suppressing cardiac sympathetic
activity and anti-inflammatory effects.
PMID- 29797658
TI - Hematopoietic stem cell transplantation for sickle cell disease: Progress and
challenges.
AB - Sickle cell disease (SCD) presents challenges to hematopoietic stem cell
transplantation (HSCT), including donor availability and morbidity with
age/disease severity. However, severe SCD causes irreversible organ damage that
HSCT can mitigate. This benefit must be balanced against preparative regimen
toxicity, graft-versus-host disease, and mortality risk. We review efforts to
balance HSCT complications with the promise of cure, and knowledge gaps that
warrant further investigation. We highlight the burden of SCD, HSCT risks and
benefits, and SCD families' approach to this balance. We emphasize the necessity
for information exchange to ensure a joint decision-making process between
providers and patients.
PMID- 29797659
TI - Myeloid lineage switch following chimeric antigen receptor T-cell therapy in a
patient with TCF3-ZNF384 fusion-positive B-lymphoblastic leukemia.
AB - A pediatric patient diagnosed initially with B-lymphoblastic leukemia (B-ALL)
relapsed with lineage switch to acute myeloid leukemia (AML) after chimeric
antigen receptor T-cell (CAR-T) therapy and hematopoietic stem cell transplant. A
TCF3-ZNF384 fusion was identified at diagnosis, persisted through B-ALL relapse,
and was also present in the AML relapse cell population. ZNF384-rearrangements
define a molecular subtype of B-ALL characterized by a pro-B-cell
immunophenotype; furthermore, ZNF384-rearrangements are prevalent in mixed
phenotype acute leukemias. Lineage switch following CAR-T therapy has been
described in patients with KMT2A (mixed lineage leukemia) rearrangements, but not
previously in any patient with ZNF384 fusion.
PMID- 29797661
TI - PdII -Catalyzed Cascade Synthesis of Chromane Derivatives Initiated by cis
Chloropalladation or trans-Acetoxypalladation.
AB - A highly regio- and stereoselective PdII -catalyzed cascade synthesis of
biologically relevant chromane derivatives from easily available enynes was
developed under operationally simple conditions. The cascade reaction consists of
nucleopalladation of alkynes, insertion of the alkene and protonation. When CuCl2
was employed as nucleophile, a cis-chloropalladation initiates the cascade.
Whereas in the case of AcOH, a trans-acetoxypalladation takes place.
PMID- 29797660
TI - Noncoding RNAs as therapeutic targets in atherosclerosis with diabetes mellitus.
AB - Atherosclerosis is one of the major macrovascular complications of diabetes
mellitus (DM), and it is the main cause of death from clinical observation. Among
various cell types involved in this disorder, endothelial cells, vascular smooth
muscle cells (VSMCs), and macrophages play a crucial role in the occurrence and
development of this disease. The regulation and stabilization of these cells are
a key therapeutic strategy for DM-associated atherosclerosis. An increasing
number of evidences implicate that various types of noncoding RNAs (ncRNAs) play
a vital role in many cellular responses as well as in physiological and
pathological processes of atherosclerosis and DM that drive
atherogenic/antiatherogenic processes in those cells. Encouragingly, many ncRNAs
have already been tested in animal experiments or clinical trials showing good
performance. In this review, we summarize recent progresses in research on
functional regulatory role of ncRNAs in atherosclerosis with DM. More
importantly, we illustrate new thoughts and findings relevant to ncRNAs as
potential therapeutic targets or biomarkers for atherosclerosis with DM.
PMID- 29797663
TI - Interlaboratory method validation of icIEF methodology for analysis of monoclonal
antibodies.
AB - CE is central to the analysis, process development and approval of therapeutic
monoclonal antibodies (mAbs). Recently, imaged capillary isoelectric focusing
(icIEF) has emerged as a powerful technique for quantitative protein charge
heterogeneity monitoring and characterization, particularly for mAbs. However,
icIEF has yet to be validated for therapeutically relevant mAbs adhering to the
ICH guideline (International Council for Harmonization of Technical Requirements
for Pharmaceuticals for Human Use). Here, for the first time, icIEF technology
was validated by 10 laboratories across 8 independent companies using a
therapeutic mAb. The parameters of this method validation strictly follow the
guideline of the ICH. This guideline includes specificity, precision, accuracy,
linearity, range, LOQ and robustness. These results represent a significant step
forward in standardizing the use of icIEF methods for the clinical approval of
therapeutic mAbs.
PMID- 29797662
TI - Autologous peripheral blood stem cell transplantation in dialysis-dependent
multiple myeloma patients-DAUTOS Study of the Polish Myeloma Study Group.
AB - INTRODUCTION: Dialysis-dependent (DD) multiple myeloma patients (MM) have a poor
prognosis and high tumour burden, thus may benefit from autologous peripheral
blood stem cell transplantation (auto-PBSCT), however, these patients have an
increased risk of toxicity. AIMS: To evaluate the outcomes (toxicity, PFS, OS) of
high dose therapy followed by auto-PBSCT during an observational study and after
propensity score matching. PATIENTS AND METHODS: Between 2004-2015, 24 DD
patients, (aged 38-67 years), ISS 3, treated with auto-PBSCT, requiring dialysis
at diagnosis and auto-PBSCT were evaluated, matched and compared to 55 normal
renal function MM patients (NRF) with ISS 3 for outcomes of interest. RESULTS: In
DD patients compared to NRF patients risk of mucositis (88% vs 55%), infection
(79% vs 51%), parenteral nutrition (50% vs 24%), diarrhoea (71% vs 38%),
prolonged duration of hospitalisation (medians: 30 vs 21 days), requirement for
RBC transfusion (83% vs 36%) were significantly higher, while no significant
differences were found in post-transplant response (ORR; 75% vs 87%), 5-year PFS
(36% vs 20%) and OS (39% vs 50%). Subgroup analyses based on toxicity supported
these results. CONCLUSIONS: Despite the increased risk of toxicity in DD patients
these events do not significantly affect both the PFS and OS.
PMID- 29797664
TI - Association between patient-reported hearing and visual impairments and
functional, psychological, and cognitive status among older adults with cancer.
AB - BACKGROUND: Hearing and visual impairments are common among community-dwelling
older adults, and are associated with psychological, functional, and cognitive
deficits. However, to the authors' knowledge, little is known regarding their
prevalence among older patients with cancer. METHODS: The current study was a
secondary analysis combining 2 prospective cohorts of adults aged >=65 years with
solid tumors who were receiving chemotherapy. The authors assessed the
association between patient-reported hearing and/or visual impairment (defined as
fair/poor grading by self-report) and physical function, instrumental activities
of daily living (IADLs), anxiety, depression, and cognition. Descriptive analyses
were conducted to summarize patient and treatment characteristics. One-way
analysis of variance and chi-square tests were conducted as appropriate to
examine differences between patients with and without sensory impairments.
Logistic regression was used to analyze associations between sensory impairments
and outcomes. RESULTS: Among 750 patients with a median age of 72 years who had
solid tumors (29% with breast/gynecological tumors, 28% with lung tumors, and 27%
with gastrointestinal tumors), approximately 18% reported hearing impairment
alone, 11% reported visual impairment alone, and 7% reported dual sensory
impairment. Hearing impairment was associated with IADL dependence (odds ratio
[OR], 1.9), depression (OR, 1.6), and anxiety (OR, 1.6). Visual impairment was
associated with IADL dependence (OR, 1.9), poor physical function (OR, 1.9), and
depression (OR, 2.5). Dual impairment was associated with IADL dependence (OR,
2.8), anxiety (OR, 2.3), depression (OR, 2.5), and cognitive impairment (OR,
3.2). CONCLUSIONS: Sensory impairment is common among older adults with cancer.
Patients with sensory impairment are more likely to have functional,
psychological, and cognitive deficits. Interventions aimed at improving the
vision and hearing of older adults with cancer should be studied. Cancer 2018.
(c) 2018 American Cancer Society.
PMID- 29797666
TI - Healthcare education research: The case for rethinking hierarchies of evidence.
PMID- 29797668
TI - Reply to Gambichler T et al.: Altered epigenetic pathways and cell cycle
dysregulation in healthy appearing skin of patients with koebnerized squamous
cell carcinomas following skin surgery.
PMID- 29797665
TI - Fusion status in patients with lymph node-positive (N1) alveolar rhabdomyosarcoma
is a powerful predictor of prognosis: Experience of the European Paediatric Soft
Tissue Sarcoma Study Group (EpSSG).
AB - BACKGROUND: Alveolar rhabdomyosarcoma (aRMS) with lymph node involvement (N1
classification) accounts for up to 10% of all cases of RMS. The prognosis is
poor, and is comparable to that of distant metastatic disease. In the European
Paediatric Soft Tissue Sarcoma Study Group (EpSSG) RMS2005 protocol, patients
with a histologic diagnosis of aRMS/N1 received intensified chemotherapy with
systematic locoregional treatment. METHODS: Patients with aRMS/N1 were enrolled
prospectively after primary surgery/biopsy and fusion status was assessed in
tumor samples. All patients received 9 cycles of induction chemotherapy and 6
months of maintenance therapy. Local treatment included radiotherapy to the
primary site and lymph nodes with or without secondary surgical resection.
RESULTS: A total of 103 patients were enrolled. The clinical characteristics of
the patients were predominantly unfavorable: 90% had macroscopic residual disease
after initial surgery/biopsy, 63% had locally invasive tumors, 77% had a tumor
measuring >5 cm, and 81% had disease at unfavorable sites. Fusion genes involving
forkhead box protein O1 (FOXO1) were detected in 56 of 84 patients. Events
occurred in 52 patients: 43 developed disease recurrence, 7 had disease that was
refractory to treatment, and 2 patients developed second neoplasms. On univariate
analysis, unfavorable disease site, tumor invasiveness, Intergroup
Rhabdomyosarcoma Study group III, and fusion-positive status correlated with
worse prognosis. The 5-year event-free survival rate of patients with fusion
positive tumors was 43% compared with 74% in patients with fusion-negative tumors
(P = .01). On multivariate analysis, fusion positivity and tumor invasiveness
proved to be unfavorable prognostic markers. CONCLUSIONS: Fusion status and tumor
invasiveness appear to have a strong impact on prognosis in patients with
aRMS/N1. Fusion status will be used to stratify these patients in the next EpSSG
RMS study, and treatment will be intensified in patients with fusion-positive
tumors. Cancer 2018. (c) 2018 American Cancer Society.
PMID- 29797667
TI - Comorbidities predict inferior outcomes in chronic lymphocytic leukemia treated
with ibrutinib.
AB - BACKGROUND: Most patients with chronic lymphocytic leukemia (CLL) present with
multiple comorbidities. Although comorbidities negatively affect outcomes for
patients treated with chemoimmunotherapy, their impact on patients who receive
targeted therapies is unknown. METHODS: This multicenter, retrospective analysis
evaluated the significance of comorbidities, as assessed by the Cumulative
Illness Rating Scale (CIRS), among patients with CLL treated with ibrutinib.
RESULTS: One hundred forty-five patients received ibrutinib (80% in a
relapsed/refractory setting). A high burden of comorbidities (CIRS score >= 7)
was associated with inferior median event-free survival (EFS; 24 vs 37 months; P
= .003) and 2-year overall survival (OS; 79% vs 100%; P = .005). In an adjusted
Cox model, both EFS and OS worsened with an incremental increase in the CIRS
score. Furthermore, comorbidities were associated with an increased risk of
ibrutinib dose reduction and therapy discontinuation. CIRS was predictive in both
frontline and relapsed CLL, regardless of patient age. CONCLUSIONS: Comorbidities
portend a poor prognosis among patients with CLL treated with ibrutinib.
Prospective studies are needed to optimize the treatment of patients with CLL who
have comorbidities. Cancer 2018. (c) 2018 American Cancer Society.
PMID- 29797669
TI - Tinea capitis in children: a systematic review of management.
AB - BACKGROUND: Tinea capitis is the most common cutaneous fungal infection in
children. OBJECTIVES: This review aims to evaluate the differences that exist
between medications for the treatment of tinea capitis, to determine whether
there are any significant adverse effects associated and to define the usefulness
of sample collection methods. METHODS: We conducted a systematic literature
search of available papers using the databases PubMed, OVID, Cochrane Libraries
and ClinicalTrials.gov. Twenty-one RCTs and 17 CTs were found. RESULTS: Among the
different antifungal therapies (oral and combination thereof), continuous
itraconazole and terbinafine had the highest mycological cure rates (79% and 81%,
respectively), griseofulvin and terbinafine had the highest clinical cure rates
(46% and 58%, respectively) and griseofulvin and terbinafine had the highest
complete cure rate (72% and 92%, respectively). Griseofulvin more effectively
treated Microsporum infections; terbinafine and itraconazole more effectively
cured Trichophyton infections. Only 1.0% of children had to discontinue
medication based on adverse events. T. tonsurans was the most common organism
found in North America, and hairbrush collection method is the most efficient
method of sample collection. Additionally, using a hairbrush, toothbrush or
cotton swab to identify the infecting organism(s) is the least invasive and most
efficient method of tinea capitis sample collection in children. CONCLUSIONS:
Current dosing regimens of reported drugs are effective and safe for use in tinea
capitis in children.
PMID- 29797670
TI - Teledermatology-driven topical therapy of actinic keratosis: a comparative study
of clinical effectiveness and compliance.
AB - BACKGROUND: Teledermatology (TD) provides efficient care for skin cancer
patients. OBJECTIVE: To compare the clinical effectiveness of imiquimod 5% for
the treatment of AK with in-person care and through TD. METHODS: Longitudinal
prospective controlled study including patients with single AK diagnosed and
treated at face-to-face visits (FTF group) or through teledermatology (TD group)
with imiquimod 5% cream. The main outcome measures assessed were the complete and
global response percentage (CR and GR) under per-protocol (PP) and intention-to
treat (ITT) analysis. RESULTS: A total of 157 patients were enrolled (FTF = 75,
TD = 82). PP analysis showed CR in 66.7% of FTF patients and 65.6% in TD patients
(P > 0.05). The ITT yielded CR in 64.0% and 51.2% in FTF visits and TD,
respectively (P = 0.073). The analysis showed an advantage of FTF care against TD
in achieving GR (84.0% vs. 70.7%; P = 0.036). Facial location and local adverse
reactions were the only explanatory factors of complete response in the ITT
approach. Treatment completion was found in 90.7% and 72.0% in the FTF and TD
groups, respectively (P = 0.004). CONCLUSIONS: Improvements in patient
counselling at the primary care centre are needed before the implementation of TD
as a routine methodology for the management of AK.
PMID- 29797671
TI - CD7 is expressed on a subset of normal CD34-positive myeloid precursors.
AB - OBJECTIVE: To improve monitoring of myeloid neoplasms by flow cytometry-based
minimal residual disease (MRD) analysis, we analyzed the significance of leukemia
associated immunophenotype (LAIP) markers in 44 patients. METHODS: In a pilot
study cohort, peripheral blood or bone marrow samples from 13 patients with
myeloid neoplasms and one case of B lymphoblastic leukemia in complete
hematologic remission after allogeneic bone marrow or stem cell transplantation
were subjected to selection for leukemia-specific phenotypes by fluorescence
activated cell sorting using individual marker combinations, followed by PCR
based chimerism analysis. RESULTS: The feasibility of this method could be
demonstrated, with selection being successful in 12 cases, including two cases
where mixed chimerism was found exclusively in sorted cells. Interestingly, four
specimens displayed full donor chimerism in cells expressing the presumably
aberrant combination CD34+ /CD7+ . Further analyses, including assessment of an
independent cohort of 25 patients not affected by neoplastic bone marrow
infiltration, revealed that normal myeloid precursors usually include a
population coexpressing CD34, CD13, CD33, and CD7. CONCLUSION: We conclude that
the combination CD34+ /CD7+ might not be suitable as an LAIP for MRD diagnostics
and that a subset of normal myeloid precursors in the bone marrow expresses CD7.
PMID- 29797672
TI - Biocatalytic potential of Streptomyces spp. isolates from rhizosphere of plants
and mycorrhizosphere of fungi.
AB - Biocatalytic potential of Streptomyces strains isolated from the rhizosphere of
plants and from mycorrhizosphere of fungi has been investigated. A total of 118
Streptomyces isolates were selected and functionally screened for 10 different
biotechnologically important enzymatic activities: hydrolase (cellulase,
cutinase, gelatinase, lipase, protease, polyhydroxyalkanoate (PHA) depolymerase),
phenol oxidase and peroxidase (laccase, tyrosinase, and lignin peroxidase), and
aminotransferase. Out of 118 tested Streptomyces spp., 90% showed at least one
enzymatic activity. The most abundant were enzymes involved in the biomass
degradation, as the production of cutinase, cellulase, and lignin peroxidase were
detected in 31%, 40%, and 48% of the isolates, respectively. The improved
specific activities of lipase (isolates BV315 and BV100) and tyrosinase (isolates
BV87 and BV88) were shown in comparison with the industrially relevant activities
of Pseudomonas strains. Plant rhizosphere soils were more prolific source of
Streptomyces strains with biocatalytic potential in comparison with
mycorrhizosphere soils. Overall, 284 enzyme activities among 118 Streptomyces
isolates have been detected. This is the first comprehensive screening of
Streptomyces isolates from rhizosphere and mycorrhizosphere soils for novel
biocatalysts, showing that specific environmental habitats, such as rhizosphere
soils, are "treasure troves" of Streptomyces with biocatalytic potential.
PMID- 29797674
TI - Response: field cancerization and koebnerized skin tumours.
PMID- 29797673
TI - Muscle radiodensity and mortality in patients with colorectal cancer.
AB - BACKGROUND: Low skeletal muscle radiodensity (SMD) is related to higher mortality
in several cancers, but the association with colorectal cancer (CRC) prognosis is
unclear. METHODS: This observational study included 3262 men and women from the
Kaiser Permanente Northern California population diagnosed between 2006 and 2011
with AJCC stages I to III CRC. The authors evaluated hazard ratios (HRs) of low
SMD for all-cause and CRC-specific mortality, assessed by computed tomography
using optimal stratification, compared with patients with normal SMD. They also
evaluated the cross-classification of categories of low versus normal SMD and
muscle mass (MM) with outcomes. RESULTS: The median follow-up was 6.9 years.
Optimal stratification cutpoints for SMD were 32.5 in women and 35.5 in men. In
multivariate-adjusted analyses, among patients with CRC, those with low SMD
demonstrated higher overall (HR, 1.61; 95% confidence interval [95% CI], 1.36
1.90) and CRC-specific (HR, 1.74; 95% CI, 1.38-2.21) mortality when compared with
those with normal SMD levels. Patients with low SMD and low MM (ie, sarcopenia)
were found to have the highest overall (HR, 2.02; 95% CI, 1.65-2.47) and CRC
specific (HR, 2.54; 95% CI, 1.91-3.37) mortality rates. CONCLUSIONS: In patients
with CRC, those with low SMD were found to have elevated risks of disease
specific and overall mortality, independent of MM or adiposity. Clinical practice
should incorporate body composition measures into the evaluation of the health
status of patients with CRC. Cancer 2018;124:3008-15. (c) 2018 American Cancer
Society.
PMID- 29797675
TI - Spiro-epoxyglycosides as Activity-Based Probes for Glycoside Hydrolase Family 99
Endomannosidase/Endomannanase.
AB - N-Glycans direct protein function, stability, folding and targeting, and
influence immunogenicity. While most glycosidases that process N-glycans cleave a
single sugar residue at a time, enzymes from glycoside hydrolase family 99 are
endo-acting enzymes that cleave within complex N-glycans. Eukaryotic Golgi endo
1,2-alpha-mannosidase cleaves glucose-substituted mannose within immature
glucosylated high-mannose N-glycans in the secretory pathway. Certain bacteria
within the human gut microbiota produce endo-1,2-alpha-mannanase, which cleaves
related structures within fungal mannan, as part of nutrient acquisition. An
unconventional mechanism of catalysis was proposed for enzymes of this family,
hinted at by crystal structures of imino/azasugars complexed within the active
site. Based on this mechanism, we developed the synthesis of two glycosides
bearing a spiro-epoxide at C-2 as electrophilic trap, to covalently bind a
mechanistically important, conserved GH99 catalytic residue. The spiro
epoxyglycosides are equipped with a fluorescent tag, and following incubation
with recombinant enzyme, allow concentration, time and pH dependent visualization
of the bound enzyme using gel electrophoresis.
PMID- 29797676
TI - The effect of anti-thymocyte globulin and everolimus on the kinetics of
cytomegalovirus viral load in seropositive kidney transplant recipients without
prophylaxis.
AB - BACKGROUND: The use of mTOR inhibitors is associated with lower incidence of CMV
infections but its effect on viral load has not been investigated. AIMS,
MATERIALS AND METHODS: This post-hoc analysis included data from 273 CMV
seropositive kidney transplant recipients randomized to receive anti-thymocyte
globulin and everolimus (rAGT/TAC/EVR, n = 81), basiliximab and everolimus
(BAS/TAC/EVR, n = 97) or basiliximab and mycophenolate (BAS/TAC/MPS, n = 95). All
patients received tacrolimus (TAC) and corticosteroids. Preemptive CMV therapy
based on weekly pp65 antigenemia test was used during the first 6 months. Blinded
weekly CMV DNAemia was compared among the groups. RESULTS: The proportion of
patients with undetectable CMV DNAemia (23.4% vs 56.7% vs 22.1%, P < .001) was
higher in the BAS/TAC/EVR. The median number of study visits with positive CMV
DNAemia (2.0 vs 0.0 vs 4.6, rATG/EVR vs BAS/MPS, P = .354; BAS/EVR vs BAS/MPS, P
< .0001; rATG/EVR vs BAS/EVR, P < .001) were lower in the BAS/TAC/EVR. The
proportion of patients with positive CMV DNAemia who were not treat for CMV
infection/disease based on pp65 antigenemia was higher in rATG/TAC/EVR group
(74.1% vs 36.1% vs 44.2%, P < .001) but mean CMV DNAemia was comparable to
BAS/TAC/EVR and lower than BAS/TAC/MPS (8536 +/- 15 899 vs 7975 +/- 17 935 vs 16
965 +/- 37 694 copies/mL, P < .05), respectively. The proportion of patients with
CMV DNAemia below 5000 copies/mL was higher in patients receiving EVR (74.1% vs
83.5% vs 50.0%, P = .000), respectively. DISCUSSION AND CONCLUSION: These data
suggest that mTOR inhibitors reduce the incidence of CMV infection by limiting
CMV viral replication.
PMID- 29797678
TI - Immediate histopathologic follow-up of cervista and aptima high-risk HPV assays
in women with LSIL cytology.
AB - BACKGROUND: Recent guidelines recommended the optional deferral of colposcopy for
postmenopausal women with low-grade squamous intraepithelial lesion (LSIL)
Papanicolaou (Pap) test results and negative human papillomavirus (HPV) testing.
The objective of the current study was to assess the histopathologic follow-up of
Cervista and Aptima high-risk HPV (hrHPV) testing in patients with LSIL cytology.
METHODS: Women with LSIL Pap test results and Cervista or Aptima hrHPV testing
results were retrospectively identified from June 2013 through July 2017.
Histological follow-up results within 6 months after LSIL Pap tests were
analyzed. RESULTS: A total of 1731 and 1906 cases of LSIL Pap tests,
respectively, were tested on Cervista and Aptima platforms. Among the 2119 cases
with histopathologic follow-up, cervical intraepithelial neoplasia of types 2/3
(CIN2/3) was diagnosed in 184 women (8.9%) and the detection rate was
significantly higher in women with positive HPV testing compared with those with
a negative result on both assays. Both methods demonstrated comparable
performance for detecting CIN2/3 lesions. However, in women aged >=50 years, the
specificity for the detection of CIN2/3 lesions by the Aptima assay was
statistically significantly higher than that of the Cervista test (48.7% vs
23.1%; P<.01), although there were no significant differences in the sensitivity,
positive predictive value, and negative predictive value between these 2 assays
in this age group. CONCLUSIONS: The Aptima assay was found to be statistically
significantly more specific than the Cervista test for detecting CIN2/3 lesions
among women aged >=50 years. These findings not only further support the
recommendations by the American Society for Colposcopy and Cervical Pathology
that hrHPV triage is an acceptable option for postmenopausal women with LSIL
cytology, but also provide additional evidence that HPV RNA testing may be more
useful in clinical risk stratification due to its specificity in the
postmenopausal population. Cancer Cytopathol 2018. (c) 2018 American Cancer
Society.
PMID- 29797677
TI - Severe hematuria in a hematopoietic cell transplant recipient caused by
Ureaplasma urealyticum not by BK virus or adenovirus infection.
AB - A 17-year-old male with acute lymphoblastic leukemia developed severe hematuria
and scrotal swelling after haploidentical hematopoietic cell transplantation
(HCT). Urine culture was negative. BK virus and adenovirus were negative.
However, Ureaplasma urealyticum was detected. He showed dramatic improvement
after doxycycline treatment. This is the first report in the literature of
hemorrhagic cystitis caused by U. urealyticum in a HCT recipient. In HCT
recipients with hemorrhagic cystitis, U. urealyticum should be considered as a
potential cause.
PMID- 29797679
TI - Parathyroid hormone-related peptide activates and modulates TRPV1 channel in
human DRG neurons.
AB - Parathyroid hormone-related peptide (PTHrP) is associated with advanced tumor
growth and metastasis, especially in breast, prostate and myeloma cancers that
metastasize to bones, resulting in debilitating chronic pain conditions. Our
recent studies revealed that the receptor for PTHrP, PTH1R, is expressed in mouse
DRG sensory neurons, and its activation leads to flow-activation and modulation
of TRPV1 channel function, resulting in peripheral heat and mechanical
hypersensitivity. In order to verify the translatability of our findings in
rodents to humans, we explored whether this signalling axis operates in primary
human DRG sensory neurons. Analysis of gene expression data from recently
reported RNA deep sequencing experiments performed on mouse and human DRGs
reveals that PTH1R is expressed in DRG and tibial nerve. Furthermore, exposure of
cultured human DRG neurons to PTHrP leads to slow-sustained activation of TRPV1
and modulation of capsaicin-induced channel activation. Both activation and
modulation of TRPV1 by PTHrP were dependent on PKC activity. Our findings suggest
that functional PTHrP/PTH1R-TRPV1 signalling exists in human DRG neurons, which
could contribute to local nociceptor excitation in the vicinity of metastatic
bone tumor microenvironment.
PMID- 29797680
TI - Cytopathologic features of SMARCB1 (INI-1)-deficient sinonasal carcinoma.
AB - BACKGROUND: SMARCB1 (INI-1)-deficient sinonasal carcinoma (IDSNC) is a newly
described, poorly differentiated carcinoma. Herein, the authors describe the
cytopathologic features of IDSNC in fine-needle aspiration (FNA) samples.
METHODS: The pathology archives of 2 academic institutions were searched for
cases of IDSNC with available cytologic materials. All available slides were
reviewed and a detailed morphological analysis was performed. RESULTS: Six cases
were identified from 6 patients. FNA specimens were obtained from metastatic
sites (4 cases), a local disease recurrence (1 case), and a primary lesion
extending into the orbit (1 case). The majority of cases had cellular specimens
with features of nonkeratinizing squamous cell carcinoma composed of cohesive
clusters and sheets of oval to polygonal cells with indistinct cell borders
present within a background of necrotic debris. Two cases had single rhabdoid
cells with more abundant cytoplasm. The nuclei generally were small and uniform
with fine chromatin, small nucleoli, and mild nuclear membrane irregularities;
moderate anisonucleosis and multinucleation were noted in one case. Apoptotic
bodies were common in 5 of 6 cases, and readily identifiable mitoses in 3 of 6
cases. Immunohistochemistry demonstrated that all cases expressed cytokeratins, 4
of 5 cases expressed p63, and all cases demonstrated loss of INI-1 nuclear
expression. All cases were negative for neuroendocrine markers and aberrant p16
staining. CONCLUSIONS: A diagnosis of IDSNC can be suspected on FNA specimens
from patients with high-grade sinonasal carcinomas that have either a
nonkeratinizing squamous cell carcinoma or rhabdoid morphology. Clinical history
and the availability of material for immunohistochemistry are key in confirming
the diagnosis. Cancer Cytopathol 2018. (c) 2018 American Cancer Society.
PMID- 29797681
TI - Does the urinary tract infection caused by carbapenem-resistant Gram-negative
bacilli impact the outcome of kidney transplant recipients?
AB - The incidence of urinary tract infection (UTI) after kidney transplantation (KT)
caused by multidrug-resistant (MDR) bacteria is growing. The aim of this study
was to analyze the impact of UTI caused by carbapenem-resistant Gram-negative
bacteria (CR-GNB) in the survival of graft and recipients following KT. This was
a retrospective cohort study involving patients who underwent KT between 2013 and
2016. Patients were followed since the day of the KT until loss of graft, death
or end of the follow-up period (31th December 2016). The outcomes measured were
UTI by MDR following KT and graft and patient survival. Analyses were performed
using Cox regression; for the graft and patient survival analysis, we used a
propensity score for UTI by CR-GNB to matching a control group. UTI was diagnosed
in 178 (23.9%) of 781 patients, who developed 352 UTI episodes. 44.6% of the UTI
cases were caused by MDR bacteria. Identified risk factors for UTI by MDR
bacteria were DM, urologic disease as the cause of end-stage renal failure,
insertion of ureteral stent, carbapenem use, and delayed graft function (DGF).
Risk factors for death during the follow-up period were female gender, patients
over 60 years old at the time of KT, DM, body mass index over 31.8, UTI caused by
CR-GNB. In conclusion, UTIs caused by CR-GNB have great impact on patients'
survival after KT.
PMID- 29797682
TI - Proteomic Analysis of Single Mammalian Cells Enabled by Microfluidic Nanodroplet
Sample Preparation and Ultrasensitive NanoLC-MS.
AB - We report on the quantitative proteomic analysis of single mammalian cells.
Fluorescence-activated cell sorting was employed to deposit cells into a newly
developed nanodroplet sample processing chip, after which samples were analyzed
by ultrasensitive nanoLC-MS. An average of circa 670 protein groups were
confidently identified from single HeLa cells, which is a far greater level of
proteome coverage for single cells than has been previously reported. We
demonstrate that the single-cell proteomics platform can be used to differentiate
cell types from enzyme-dissociated human lung primary cells and identify specific
protein markers for epithelial and mesenchymal cells.
PMID- 29797685
TI - NMR in Biomedicine 30th Anniversary Volume Message from the Editor-in-Chief.
PMID- 29797684
TI - Deconstructing the King megafire.
AB - Hypotheses that megafires, very large, high-impact fires, are caused by either
climate effects such as drought or fuel accumulation due to fire exclusion with
accompanying changes to forest structure have long been alleged and guided
policy, but their physical basis remains untested. Here, unique airborne
observations and microscale simulations using a coupled weather-wildland-fire
behavior model allowed a recent megafire, the King Fire, to be deconstructed and
the relative impacts of forest structure, fuel load, weather, and drought on fire
size, behavior, and duration to be separated. Simulations reproduced observed
details including the arrival at an inclined canyon, a 25-km run, and later
slower growth and features. Analysis revealed that fire-induced winds that
equaled or exceeded ambient winds and fine-scale airflow undetected by surface
weather networks were primarily responsible for the fire's rapid growth and size.
Sensitivity tests varied fuel moisture and amount across wide ranges and showed
that both drought and fuel accumulation effects were secondary, limited to sloped
terrain where they compounded each other, and, in this case, unable to
significantly impact the final extent. Compared to standard data, fuel models
derived solely from remote sensing of vegetation type and forest structure
improved simulated fire progression, notably in disturbed areas, and the
distribution of burn severity. These results point to self-reinforcing internal
dynamics rather than external forces as a means of generating this and possibly
other outlier fire events. Hence, extreme fires need not arise from extreme fire
environment conditions. Kinematic models used in operations do not capture fire
induced winds and dynamic feedbacks so can underestimate megafire events. The
outcomes provided a nuanced view of weather, forest structure, fuel accumulation,
and drought impacts on landscape-scale fire behavior-roles that can be
misconstrued using correlational analyses between area burned and macroscale
climate data or other exogenous factors. A practical outcome is that fuel
treatments should be focused on sloped terrain, where factors multiply, for
highest impact.
PMID- 29797683
TI - Breakthrough Candida guilliermondii (Meyerozyma guilliermondii) fungemia after
cord blood transplantation for extranodal NK-cell lymphoma with azole
prophylaxis.
AB - Fluconazole (FLCZ) is an azole antifungal agent and it has shown excellent
clinical activities in suppressing fungemia with Candida albicans after
hematopoietic stem cell transplantation. Increased administration of prophylactic
FLCZ seems to have given rise to the relatively higher incidence of more
resistant Candida non-albicans infection. We present a case with a rare
breakthrough fungemia with C. guilliermondii after cord blood transplantation for
Extranodal NK cell Lymphoma, nasal type (ENKL), during antifungal prophylaxis
with FLCZ. High level of caution is needed for the breakthrough, especially after
long-term azole administration.
PMID- 29797686
TI - Direct quantitation of endogenous steroid sulfates in human urine by liquid
chromatography-electrospray tandem mass spectrometry.
AB - A method based on liquid chromatography-tandem mass spectrometry (LC-MS/MS) for
the direct quantitation of endogenous steroid sulfates has been developed to be
able to evaluate these metabolites as biomarkers to detect the misuse of
endogenous androgenic anabolic steroids in sports. For sample preparation, a
mixed-mode solid-phase extraction was optimized to eliminate the glucuronide
fraction in the washing step thus obtaining only the sulfate fraction.
Chromatographic separation was optimized to achieve adequate resolution between
isomers. The electrospray ionization and the product ion mass spectra of the
sulfates were studied in order to obtain the most specific and selective
transitions. The method was validated for quantitative purposes for 11 steroid
sulfates obtaining satisfactory values for linearity, accuracy, and intra- and
inter-day precision (relative standard deviation better than 16.2%). Limits of
quantitation ranged between 0.5 and 2 ng/mL. Extraction recoveries for sulfate
metabolites were between 90 and 94%. Matrix effect ranged from 90 to 110% showing
the absence of significant ion suppression/enhancement. Samples were found to be
stable after 2 freeze/thaw cycles. The applicability of the method was checked by
the analysis of 75 urine samples from healthy volunteers (54 males, 37 Caucasian
and 17 Asian, and 21 Caucasian females) to evaluate the concentration levels of
endogenous sulfate metabolites in basal conditions.
PMID- 29797687
TI - Monitoring dehydroepiandrosterone (DHEA) in the urine of Thoroughbred geldings
for doping control purposes.
AB - The use of testosterone and its pro-drugs, such as dehydroepiandrosterone (DHEA),
is currently regulated in horseracing by the application of international
testosterone thresholds. However, additional steroidomic approaches, such as
steroid ratios, to distinguish overall adrenal stimulation from drug
administrations and an equine biological passport for longitudinal steroid
profiling of individual animals could be advantageous in equine doping testing.
Thus, DHEA concentrations and related ratios (testosterone [T] to DHEA and DHEA
to epitestosterone [E]) were assessed in the reference population by quantitative
analysis of 200 post-race gelding urine samples using liquid chromatography
tandem mass spectrometry. DHEA concentrations ranged between 0.9 and 136.6 ng/mL
(mean 12.8 ng/mL), T:DHEA ratios between 0.06 and 1.85 (mean 0.43), and DHEA:E
ratios between 0.21 and 13.56 (mean 2.20). Based on the reference population
statistical upper limits of 5.4 for T:DHEA ratio and 48.1 for DHEA:E ratio are
proposed with a risk of 1 in 10 000 for a normal outlier exceeding the value.
Analysis of post-administration urine samples collected following administrations
of DHEA, Equi-Bolic(r) (a mix of DHEA and pregnenolone) and testosterone
propionate to geldings showed that the upper limit for T:DHEA ratio was exceeded
following testosterone propionate administration and DHEA:E ratio following DHEA
administrations and thus these ratios could be used as additional biomarkers when
determining the cause of an atypical testosterone concentration. Additionally,
DHEA concentrations and ratios can be used as a starting point to establish
reference ranges for an equine biological passport.
PMID- 29797688
TI - Tuberculin skin test, Interferon gamma release assays or just chest x-ray to
study latent tuberculosis before solid organ transplantation?
PMID- 29797689
TI - Electrical stimulation for evoking offset analgesia: A human volunteer
methodological study.
AB - BACKGROUND: Offset analgesia (OA) is a disproportionally large decrease in the
pain perception in response to a small decrease in the stimulation intensity.
Traditionally, heat stimulation has been used to evoke OA. The aim of this study
was to investigate whether OA could be evoked by electrical stimulation. METHODS:
Healthy volunteers (N = 24) underwent two OA-experimental sessions consisting of
heat stimuli intensities of 48-49-48 degrees C (traditional OA-paradigm) and
electrical stimuli at 150%-180%-150% of the electrical pain perception (EPP)
threshold. The three stimuli were delivered for 5 s (STIM1), 5 s (STIM2) and 20 s
(STIM3), respectively. The sessions were randomized to the dominant or
nondominant volar forearm. Two control sessions were performed with 30 s
constantly heat (48 degrees C) and electrical stimuli (150% of the EPP) (CONTROL
STIM). In all sessions, the pain intensities were constantly rated on a Visual
Analog Scale (VAS, 0-10). RESULTS: Significantly reduced STIM3 VAS ratings as
compared to the CONTROL-STIM were reported for heat (1.81 +/- 0.54; p < 0.001)
and electrical (2.12 +/- 0.42; p < 0.001) stimuli. The degrees of OA produced by
heat and electrical stimuli were similar. A significantly positive correlation
was found between thermal and electrical OA-effects (r = 0.48, p < 0.02).
CONCLUSIONS: These findings demonstrate that electrical stimulation can elicit
significant OA in humans indicating that the peripheral receptors can be bypassed
and still evoke OA. Application of the electrical OA model may be of interest for
further basic and clinical investigations as a potential new biomarker for
central pain inhibition and provide the option to back-translate the technology
to animals to understand the underlying neurobiology. SIGNIFICANCE: Electrical
stimulation can elicit offset analgesia in humans, indicating that this
perceptual modification can be obtained even bypassing peripheral receptors.
PMID- 29797690
TI - The role of the Milan System for Reporting Salivary Gland Cytopathology: A 5-year
institutional experience.
AB - BACKGROUND: Fine-needle aspiration (FNA) is widely accepted in the preoperative
management of salivary gland lesions. The proposed Milan System for Reporting
Salivary Gland Cytopathology (MSRSGC) aims to standardize reporting terminology.
Studies regarding the risk of malignancy (ROM) for the proposed categories
continue to evolve. The current retrospective study applied the MSRSGC to assess
ROM for salivary gland lesions and focused on the "indeterminate" categories.
METHODS: A total of 627 salivary gland FNA specimens obtained from 2011 through
2016 were retrieved, with follow-up available for 373 cases. The original
diagnoses were recategorized using MSRSGC by 2 independent cytopathologists as:
1) non-diagnostic; 2) non-neoplastic; 3) atypia of undetermined significance
(AUS); 4a) benign neoplasms; 4b) salivary gland neoplasm of uncertain malignant
potential (SUMP); 5) suspicious for malignancy (SFM); and 6) malignant. The ROM
and overall ROM for each diagnostic category were determined, with
characterization of "indeterminate" (AUS, SUMP, and SFM) lesions. RESULTS: There
was near-perfect agreement regarding categorization (626 of 627 cases; 99.8%)
between the 2 cytopathologists, with discordance observed for 1 case. The
sensitivity, specificity, negative predictive value, and positive predictive
value of salivary gland FNA specimens at the study institution were 79%, 98%,
94%, and 92%, respectively. The ROM for non-diagnostic, non-neoplastic, benign
neoplasms, AUS, SUMP, SFM, and malignant were 6.7%, 7.1%, 38.9%, 5.0%, 34.2%,
92.9%, and 92.3%, respectively. The indeterminate category had an overall ROM of
47.1%. CONCLUSIONS: The MSRSGC is a valuable tool that can help to standardize
reporting and stratify cases preoperatively. Having a better understanding of the
indeterminate diagnoses will help further refine risk classification criteria.
Cancer Cytopathol 2018. (c) 2018 American Cancer Society.
PMID- 29797692
TI - Upper airway obstruction assessment: Peak inspiratory flow and clinical COPD
Questionnaire.
AB - OBJECTIVES: Spirometric evaluation of upper airway obstruction (UAO) is not
commonly performed by Otolaryngologists. In addition, functional evaluation of
UAO by flow-volume loops (FVL) is not available in all clinical settings. More
recently, peak inspiratory flow (PIF) has proven to be a useful tool to monitor
UAO at the patient's bedside. The aim of this work is to assess the role of PIF
measured with a simple flow metre (In-Check method) as a standardised, simple,
non-invasive tool in quantifying chronic and subacute UAO in a routine clinical
practice. In addition, a Clinical COPD Questionnaire (CCQ), previously validated
to assess the psychophysical status in patients with laryngotracheal stenosis,
was utilised to evaluate respiratory function in UAO. DESIGN: Prospective cohort
study. SETTINGS: University teaching hospital. PARTICIPANTS: Seventy 2 subjects,
an UAO group of 26 patients and a control group of 46 healthy subjects. MAIN
OUTCOME MEASURES: The ability of PIF values to discriminate between the UAO group
and the control group was assessed using a ROC curve. A Spearman rank correlation
was used to test the relationship between PIF measurements and the global CCQ
score. Additionally, an analysis of CCQ at domain and items levels was performed.
RESULTS: Peak inspiratory flow values were accurate, with an area under the ROC
curve (AUC) of 0.98 (P < .05) for differentiating the control group from the UAO
group. A threshold PIF value of 170 L/min was found for diagnosing UAO. An
inconclusive negative trend was found (r = -.19; P = .35) between PIF values and
CCQ global score. Concerning CCQ, the symptoms domain was the most affected by
UAO, higher than mental domains (P < .001) as well as functional domains (P <
.01). Exertional dyspnoea and cough were the items that obtained the highest
disturbed scores. CONCLUSIONS: Peak inspiratory flow is a non-invasive,
quantitative parameter to evaluate the severity of UAO. Testing can be easily
performed in a routine clinical setting, with a non-expensive hand-held device,
and could help medical follow-up programmes and prevent emergency situations.
However, FVL may be necessary for further assessment of UAO diseases. The CCQ
confirms that exertional dyspnoea is the main symptom of UAO, but cough remains a
common symptom.
PMID- 29797694
TI - Continuous N-Hydroxyphthalimide (NHPI)-Mediated Electrochemical Aerobic Oxidation
of Benzylic C-H Bonds.
AB - Electroorganic chemistry has emerged as an environmentally benign tool for
synthetic chemists to achieve efficient transformations that are challenging with
traditional reagent-based methods. Continuous flow chemistry brings
pharmaceutical industry numerous advantages, but implementing electroorganic
synthesis in flow is challenging, especially for electroorganic reactions with
coupled electrode reactions and slow chemical reactions. We present a continuous
electrolysis system engineered for N-hydroxyphthalimide (NHPI) mediated
electrochemical aerobic oxidation of benzylic C-H bonds. First, a cation-exchange
membrane prevents the crossover of the NHPI anion from anolyte to catholyte
avoiding reductive decomposition of NHPI at the cathode, and enables the usage of
a cost-effective reticulated vitreous carbon (RVC) cathode instead of a platinum
electrode. Second, running the electrochemical flow cell with recycle streams
accommodates the inherently slow kinetics of the chemical reaction without
phthalimide-N-oxyl (PINO) radical self-decomposition at the anode, and allows the
usage of gaseous oxygen as co-oxidant.
PMID- 29797693
TI - A Supramolecular Hydrogel Based on Polyglycerol Dendrimer-Specific Amino Group
Recognition.
AB - Dendrimer-based supramolecular hydrogels have gained attention in biomedical
fields. While biocompatible dendrimers were used to prepare hydrogels via
physical and/or chemical crosslinking, smart functions such as pH and molecular
control remain undeveloped. Here, we present polyglycerol dendrimer-based
supramolecular hydrogel formation induced by a specific interaction between the
polyglycerol dendrimer and an amino group of glycol chitosan. Gelation was
achieved by mixing the two aqueous solutions. Hydrogel formation was controlled
by varying the polyglycerol dendrimer generation. The hydrogel showed pH
dependent swelling; strongly acidic conditions induced degradation via
dissociation of the specific interaction. It also showed unique l-arginine
responsive degradation capability due to competitive exchange of the amino groups
of glycol chitosan and l-arginine. These polyglycerol dendrimer-based
supramolecular characteristics allow multimodal application in smart
biomaterials.
PMID- 29797691
TI - Strategies for screening and early detection of anal cancers: A narrative and
systematic review and meta-analysis of cytology, HPV testing, and other
biomarkers.
AB - Anal cancer incidence and mortality have been increasing over the past decade.
Although the incidence in the general population remains low, it is much higher
in certain subgroups, including those living with human immunodeficiency virus
and men who have sex with men. Approximately 90% of anal squamous cell cancers
are caused by infection with carcinogenic human papillomavirus (HPV). Given the
common etiology between anal and cervical carcinogenesis, screening for anal
cancer has been proposed in certain high-risk populations using strategies
adapted from cervical cancer prevention. In this review, the authors discuss
important differences in anal and cervical cancer regarding the populations at
risk, disease natural history, and clinical procedures and outcomes that need to
be considered when evaluating strategies for anal cancer screening. They also
performed a systematic review and meta-analysis of the performance of anal
cytology, anal HPV testing, and various biomarkers for the detection of anal
precancers and cancers. The implications of these performance estimates are
summarized in the context of risk-based screening and management of anal
precancers, and important research gaps are highlighted that need to be addressed
to fully understand the benefits and harms of anal cancer screening. Cancer
Cytopathol 2018. Published 2018. This article is a U.S. Government work and is in
the public domain in the USA.
PMID- 29797696
TI - Rapid Quantitative Analysis of Multiple Explosive Compound Classes on a Single
Instrument via Flow-Injection Analysis Tandem Mass Spectrometry.
AB - A flow-injection analysis tandem mass spectrometry (FIA MSMS) method was
developed for rapid quantitative analysis of 10 different inorganic and organic
explosives. Performance is optimized by tailoring the ionization method
(APCI/ESI), de-clustering potentials, and collision energies for each specific
analyte. In doing so, a single instrument can be used to detect urea nitrate,
potassium chlorate, 2,4,6-trinitrotoluene, 2,4,6-trinitrophenylmethylnitramine,
triacetone triperoxide, hexamethylene triperoxide diamine, pentaerythritol
tetranitrate, 1,3,5-trinitroperhydro-1,3,5-triazine, nitroglycerin, and octohy
dro-1,3,5,7-tetranitro-1,3,5,7-tetrazocine with sensitivities all in the picogram
per milliliter range. In conclusion, FIA APCI/ESI MSMS is a fast (<1 min/sample),
sensitive (~pg/mL LOQ), and precise (intraday RSD < 10%) method for trace
explosive detection that can play an important role in criminal and attributional
forensics, counterterrorism, and environmental protection areas, and has the
potential to augment or replace several of the existing explosive detection
methods.
PMID- 29797695
TI - Theobromine Does Not Affect Fasting and Postprandial HDL Cholesterol Efflux
Capacity, While It Decreases Fasting miR-92a Levels in Humans.
AB - SCOPE: Chocolate consumption lowers cardiovascular disease risk, which might be
attributed to the methylxanthine theobromine. These effects may be mediated
through effects on HDL-mediated cholesterol efflux, which may be affected by
microRNA (miRNA) levels in the HDL particles. Therefore, the aim of this study is
to investigate effects of theobromine consumption on fasting and postprandial
cholesterol efflux and miRNAs levels. METHODS AND RESULTS: Thirty overweight and
14 obese healthy men and women participated in this randomized, double-blind
crossover study. Participants consumed 500 mg d-1 of theobromine or placebo for 4
weeks. ABCA1-mediated cholesterol efflux was measured using J774 macrophages.
MiRNAs levels (miR-92a, miR-223, miR-135a*) were quantified in apolipoprotein B
depleted serum. Theobromine consumption did not affect fasting and postprandial
cholesterol efflux. Fasting miR-223 and miR-135a levels were unchanged, while miR
92a levels were decreased (-0.21; p < 0.05). The high-fat meal increased
postprandial cholesterol efflux capacity (+4.3 percentage points; p <= 0.001),
miR-92a (+1.21; p < 0.001), and miR-223 (+1.79; p < 0.001) levels, while a trend
was found for miR-135a (+1.08; p = 0.06). CONCLUSION: Theobromine did not improve
fasting and postprandial ABCA1-mediated cholesterol efflux capacity, but
decreased fasting miR-92a levels. High-fat meal intake increased postprandial
cholesterol efflux and the three selected miRNAs levels.
PMID- 29797698
TI - An Exceptional Case of Acute Respiratory Failure Caused by Intra-Thoracic Gastric
Perforation Secondary to Overeating.
AB - An 18-year-old female patient arrived at the emergency department complaining of
abdominal pain and fullness after a heavy meal. Physical examination revealed she
was filthy and cover in feces, and she experienced severe abdominal distension.
She died in ED and a diagnostic autopsy examination was requested. At external
examination, the pathologist observed a significant dilation of the anal
sphincter and suspected sexual assault, thus alerting the Judicial Authority who
assigned the case to our department for a forensic autopsy. During the autopsy,
we observed anal orifice expansion without signs of violence; food was found in
the pleural cavity. The stomach was hyper-distended and perforated at three
different points as well as the diaphragm. The patient was suffering from
anorexia nervosa with episodes of overeating followed by manual voiding of her
feces from the anal cavity (thus explaining the anal dilatation). The forensic
pathologists closed the case as an accidental death.
PMID- 29797699
TI - Subtle Alterations in DNA Methylation Patterns in Normal Cells in Response to
Dietary Stilbenoids.
AB - SCOPE: Searching for correlations between dietary polyphenols and risk of chronic
diseases has been a challenge due to the lack of quantitative evaluation methods
of long-term exposure. We previously observed substantial DNA methylation changes
in human cancer cells upon treatment with polyphenols of the stilbenoid class.
When induced in normal cells, such molecular changes may persist and reflect
chronic exposure. METHODS AND RESULTS: Illumina 450K microarray is used to
delineate a genome wide DNA methylation landscape in MCF10A human immortalized
mammary epithelial cells exposed to resveratrol (RSV) at noncytotoxic 15 MUM dose
for 9 days. Subtle alterations are observed suggesting remodeling of DNA
methylation patterns rather than switch on/off changes. Using pyrosequencing, DNA
methylation is quantitatively measured at eight CpG sites located within KCNJ4,
RNF169, BCHE, DAOA, HOXA9, RUNX3, KRTAP2-1, and TAGAP, upon exposure to RSV or
pterostilbene and shows similar differences induced by both stilbenoids. Two of
the probes, Runx3 and Kcnj4, are successfully verified in whole blood DNA from
healthy rats on diets supplemented with stilbenoids. CONCLUSIONS: The study
provides strong support for testing the utility of polyphenol-mediated changes in
DNA methylation as quantitative measures of long-term dietary exposures in
nutritional epidemiology and clinical trials.
PMID- 29797697
TI - Aromatase inhibitors (letrozole) for subfertile women with polycystic ovary
syndrome.
AB - BACKGROUND: Polycystic ovary syndrome (PCOS) is the most common cause of
infrequent periods (oligomenorrhoea) and absence of periods (amenorrhoea). It
affects about 4% to 8% of women worldwide and often leads to anovulatory
subfertility. Aromatase inhibitors (AIs) are a class of drugs that were
introduced for ovulation induction in 2001. Since about 2001 clinical trials have
reached differing conclusions as to whether the AI letrozole is at least as
effective as the first-line treatment clomiphene citrate (CC). OBJECTIVES: To
evaluate the effectiveness and safety of aromatase inhibitors for subfertile
women with anovulatory PCOS for ovulation induction followed by timed intercourse
or intrauterine insemination (IUI). SEARCH METHODS: We searched the following
sources from inception to November 2017 to identify relevant randomised
controlled trials (RCTs): the Cochrane Gynaecology and Fertility Group
Specialised Register, the Cochrane Central Register of Controlled Trials,
MEDLINE, Embase, PsycINFO, Pubmed, LILACS, Web of Knowledge, the World Health
Organization (WHO) clinical trials register and Clinicaltrials.gov. We also
searched the references of relevant articles. We did not restrict the searches by
language or publication status. SELECTION CRITERIA: We included all RCTs of AIs
used alone or with other medical therapies for ovulation induction in women of
reproductive age with anovulatory PCOS. DATA COLLECTION AND ANALYSIS: Two review
authors independently selected trials, extracted the data and assessed risks of
bias. We pooled studies where appropriate using a fixed-effect model to calculate
odds ratios (ORs) and 95% confidence intervals (CIs) for most outcomes, and risk
differences (RDs) for ovarian hyperstimulation syndrome (OHSS). The primary
outcomes were live birth and OHSS. Secondary outcomes were clinical pregnancy,
miscarriage and multiple pregnancy. We assessed the quality of the evidence for
each comparison using GRADE methods. MAIN RESULTS: This is a substantive update
of a previous review. We identified 16 additional studies for the 2018 update. We
include 42 RCTs (7935 women). The aromatase inhibitor letrozole was used in all
studies.Letrozole compared to clomiphene citrate (CC) with or without adjuncts
followed by timed intercourseLive birth rates were higher with letrozole (with or
without adjuncts) compared to clomiphene citrate (with our without adjuncts)
followed by timed intercourse (OR 1.68, 95% CI 1.42 to 1.99; 2954 participants;
13 studies; I2 = 0%; number needed to treat for an additional beneficial outcome
(NNTB) = 10; moderate-quality evidence). There is high-quality evidence that OHSS
rates are similar with letrozole or clomiphene citrate (0.5% in both arms: risk
difference (RD) -0.00, 95% CI -0.01 to 0.00; 2536 participants; 12 studies; I2 =
0%; high-quality evidence). There is evidence for a higher pregnancy rate in
favour of letrozole (OR 1.56, 95% CI 1.37 to 1.78; 4629 participants; 25 studies;
I2 = 1%; NNTB = 10; moderate-quality evidence). There is little or no difference
between treatment groups in the rate of miscarriage by pregnancy (20% with CC
versus 19% with letrozole; OR 0.94, 95% CI 0.70 to 1.26; 1210 participants; 18
studies; I2 = 0%; high-quality evidence) and multiple pregnancy rate (1.7% with
CC versus 1.3% with letrozole; OR 0.69, 95% CI 0.41 to 1.16; 3579 participants;
17 studies; I2 = 0%; high-quality evidence). However, a funnel plot showed mild
asymmetry, indicating that some studies in favour of clomiphene might be
missing.Letrozole compared to laparoscopic ovarian drillingThere is low-quality
evidence that live birth rates are similar with letrozole or laparoscopic ovarian
drilling (OR 1.38, 95% CI 0.95 to 2.02; 548 participants; 3 studies; I2 = 23%;
low-quality evidence). There is insufficient evidence for a difference in OHSS
rates (RD 0.00, 95% CI -0.01 to 0.01; 260 participants; 1 study; low-quality
evidence). There is low-quality evidence that pregnancy rates are similar (OR
1.28, 95% CI 0.94 to 1.74; 774 participants; 5 studies; I2 = 0%; moderate-quality
evidence). There is insufficient evidence for a difference in miscarriage rate by
pregnancy (OR 0.66, 95% CI 0.30 to 1.43; 240 participants; 5 studies; I2 = 0%;
moderate-quality evidence), or multiple pregnancies (OR 3.00, 95% CI 0.12 to
74.90; 548 participants; 3 studies; I2 = 0%; low-quality evidence).Additional
comparisons were made for Letrozole versus placebo, Selective oestrogen receptor
modulators (SERMS) followed by intrauterine insemination (IUI), follicle
stimulating hormone (FSH), Anastrozole, as well as dosage and administration
protocols. There is insufficient evidence for a difference in either group of
treatment due to a limited number of studies. Hence more research is necessary.
AUTHORS' CONCLUSIONS: Letrozole appears to improve live birth and pregnancy rates
in subfertile women with anovulatory polycystic ovary syndrome, compared to
clomiphene citrate. There is high-quality evidence that OHSS rates are similar
with letrozole or clomiphene citrate. There is high-quality evidence of no
difference in miscarriage rates or multiple pregnancy rates. There is low-quality
evidence of no difference in live birth and pregnancy rates between letrozole and
laparoscopic ovarian drilling, although there were few relevant studies. For the
2018 update, we added good-quality trials, upgrading the quality of the evidence.
PMID- 29797701
TI - Suicide by Fatal Pentobarbital Intoxication in Ontario, Canada, from 2012 to
2015.
AB - A fatal concentration of pentobarbital found in a coroner's case where the
history had not indicated use of this drug prompted a review of fatalities in
Ontario from 2012 to 2015. Coroner's case files, including police and toxicology
reports, were reviewed in twenty deaths, in which pentobarbital was identified as
the primary cause of death. In all of the deaths (11 females, 9 males), the blood
concentration of pentobarbital was greater than 10 mg/L. There were three to
eight deaths per year and each was classified as suicide. In 11 cases, there was
clear evidence that the drug was purchased over the internet from Mexico or China
and imported into Canada. In four cases, it appears that the pentobarbital was
labeled as a different, innocuous chemical to facilitate crossing the border
without scrutiny. The findings underscore the value of a thorough scene
investigation, including details of evidence that may be considered unrelated.
PMID- 29797700
TI - L-Citrulline Supplementation-Increased Skeletal Muscle PGC-1alpha Expression is
Associated With Exercise Performance and Increased Skeletal Muscle Weight.
AB - SCOPE: L-citrulline has recently been reported as a more effective supplement for
promoting intracellular NO production compared to L-arginine. Here, the effect of
L-citrulline on skeletal muscle and its influence on exercise performance were
investigated. The underlying mechanism of its effect, specifically on the
expression of skeletal muscle peroxisome proliferator-activated receptor-gamma
coactivator-1alpha (PGC-1alpha), was also elucidated. METHODS AND RESULTS: Six
week-old ICR mice were orally supplemented with L-citrulline (250 mg kg-1 )
daily, and their performance in weight-loaded swimming exercise every other day
for 15 days, was evaluated. In addition, mice muscles were weighed and evaluated
for the expression of PGC-1alpha and PGC-1alpha-regulated genes. Mice orally
supplemented with L-citrulline had significantly higher gastrocnemius and biceps
femoris muscle mass. Although not statistically significant, L-citrulline
prolonged the swimming time to exhaustion. PGC-1alpha upregulation was associated
with vascular endothelial growth factor alpha (VEGFalpha) and insulin-like growth
factor 1 (IGF1) upregulation. VEGFalpha and IGF1 are important for angiogenesis
and muscle growth, respectively, and are regulated by PGC-1alpha. Treatment with
L-NAME, a nitric oxide synthesis inhibitor, suppressed the L-citrulline-induced
PGC-1alpha upregulation in-vitro. CONCLUSION: Supplementation with L-citrulline
upregulates skeletal muscle PGC-1alpha levels resulting to higher skeletal muscle
weight that improves time to exhaustion during exercise. This article is
protected by copyright. All rights reserved.
PMID- 29797702
TI - Obesity-Associated Diseases Biomarkers Are Differently Modulated in Lean and
Obese Individuals and Inversely Correlated to Plasma Polyphenolic Metabolites
After 6 Weeks of Mango (Mangifera indica L.) Consumption.
AB - SCOPE: Mangos are a rich source of gallotannin-derived polyphenols that may exert
anti-inflammatory effects relevant to obesity-related chronic diseases. This
randomized human clinical study investigated the influence of daily mango
supplementation for 6 weeks on inflammation and metabolic functions in lean and
obese individuals. METHODS AND RESULTS: Lean (n = 12, body mass index [BMI] 18
26.2 kg m-2 ) and obese (n = 9, BMI >28.9 kg m-2 ) participants, aged 18-65 years
received daily 400 g of mango pulp for 6 weeks. Inflammatory cytokines, metabolic
hormones, and lipid profiles were examined in plasma before and after 6 weeks. In
lean participants, systolic blood pressure was lowered by 4 mmHg after 6 weeks.
In obese participants, hemoglobin A1c (HbA1c) and plasminogen activator inhibitor
1 (PAI-1) were reduced by 18% and 20%, respectively. Obese participants showed
decreased plasma concentrations (area under the curve [AUC] 0-8h ) of interleukin
8 (IL-8) and monocyte chemoattractant protein-1 (MCP-1). Correlation analysis
indicates that the beneficial effects of mango supplementation on pro
inflammatory cytokines, PAI-1 and HbA1c, are associated with systemic exposure to
polyphenolic metabolites. CONCLUSIONS: Mango supplementation improves the plasma
levels of pro-inflammatory cytokines and metabolic hormones in obese
participants. There is a crucial need to investigate the role of lowered
polyphenolic absorption in obese individuals on their efficacy in reducing
biomarkers for inflammation and other risk factors for chronic diseases.
PMID- 29797703
TI - Improving Causal Inferences in Meta-analyses of Longitudinal Studies: Spanking as
an Illustration.
AB - To evaluate and improve the validity of causal inferences from meta-analyses of
longitudinal studies, two adjustments for Time-1 outcome scores and a temporally
backwards test are demonstrated. Causal inferences would be supported by robust
results across both adjustment methods, distinct from results run backwards. A
systematic strategy for evaluating potential confounds is also introduced. The
methods are illustrated by assessing the impact of spanking on subsequent
externalizing problems (child age: 18 months to 11 years). Significant results
indicated a small risk or a small benefit of spanking, depending on the
adjustment method. These meta-analytic methods are applicable for research on
alternatives to spanking and other developmental science topics. The underlying
principles can also improve causal inferences in individual studies.
PMID- 29797704
TI - The analgesic effect of a popliteal plexus blockade after total knee
arthroplasty: A feasibility study.
AB - INTRODUCTION: An obturator nerve block (ONB) and a femoral triangle block (FTB)
provide effective analgesia after total knee arthroplasty (TKA) without impeding
the ambulation, although the ONB produces motor blockade of the hip adductor
muscles. The popliteal plexus (PP) in the popliteal fossa is formed by
contribution from the tibial nerve and the posterior obturator nerve, innervating
intraarticular genicular structures and the posterior capsule of the knee. We
hypothesised that a popliteal plexus block (PPB) as a supplement to an FTB would
reduce pain after TKA without anaesthetising motor branches from the sciatic
nerve in the popliteal fossa. AIM: To assess the analgesic effect of adding a PPB
to an FTB in 10 subjects with significant pain after TKA. METHODS: All subjects
underwent unilateral TKA with spinal anaesthesia and received an FTB. The
cutaneous sensation and the postoperative pain were assessed. The primary outcome
was the proportion of subjects with pain above numeric rating scale (NRS) 3
followed by a reduction to NRS 3 or below after conducting a PPB. RESULTS: Ten
subjects with a median pain of NRS 5.5 (interquartile range [IQR] 4-8) after
unilateral TKA received a PPB. All 10 subjects experienced a reduction in pain to
NRS 3 or below (NRS 1.5 [IQR 0-3]) within a mean time of 8.5 (95% CI 6.8-10.2)
minutes. Three subjects were completely pain free after the PPB. The ankle muscle
strength was not affected. CONCLUSIONS: The PPB provided effective pain relief
without affecting the ankle muscle strength in all 10 subjects with significant
pain after TKA and an FTB.
PMID- 29797705
TI - Characteristics of jugular bulb oxygen saturation in patients after cardiac
arrest: A prospective study.
AB - BACKGROUND: Using cerebral oxygen venous saturation post-cardiac arrest (CA) is
limited because of a small sample size and prior to establishment of target
temperature management (TTM). We aimed to describe variations in jugular bulb
oxygen saturation during intensive care in relation to neurological outcome at 6
months post- CA in cases where TTM 33 degrees C was applied. METHOD: Prospective
observational study in patients over 18 years, comatose immediately after
resuscitation from CA. Patients were treated with TTM 33 degrees C M and received
a jugular bulb catheter within the first 26 hours post-CA. Neurological outcome
was assessed at 6 months using the Cerebral Performance Categories (CPC) and
dichotomized into good (CPC 1-2) and poor outcome (CPC 3-5). RESULTS: Seventy
five patients were included and 37 (49%) patients survived with a good outcome at
6 months post-CA. No differences were found between patients with good outcome
and poor outcome in jugular bulb oxygen saturation. Higher values were seen in
differences in oxygen content between central venous oxygen saturation and
jugular bulb oxygen saturation in patients with good outcome compared to patients
with poor outcome at 6 hours (12 [8-21] vs 5 [-0.3 to 11]% P = .001) post-CA.
Oxygen extraction fraction from the brain illustrated lower values in patients
with poor outcome compared to patients with good outcome at 96 hours (14 [9-23]
vs 31 [25-34]% P = .008). CONCLUSIONS: Oxygen delivery and extraction differed in
patients with a good outcome compared to those with a poor outcome at single time
points. Based on the present findings, the usefulness of jugular bulb oxygen
saturation for prognostic purposes is uncertain in patients treated with TTM 33
degrees C post-CA.
PMID- 29797706
TI - Risk factors for cardiopulmonary resuscitation-related injuries sustained during
out-of-hospital cardiac arrests.
AB - BACKGROUND: We aimed to determine the incidence of and associated risk factors
for cardiopulmonary resuscitation (CPR)-related injuries in non-survivors of out
of-hospital cardiac arrests (OHCAs) in an emergency medical service (EMS) system
in which all CPR procedures are performed on scene and patients are not routinely
transported to the hospital with ongoing CPR. MATERIAL AND METHODS: We conducted
this prospective observational study between 1 June 2013, and 31 May 2014. Data
were collected from EMS datasheets and forensic autopsy records. The exclusion
criteria were OHCAs due to trauma in the thoracic or abdominal area. EMS adhered
to the European Resuscitation Council Resuscitation Guidelines (2010) during the
resuscitation attempts. RESULTS: Emergency medical service provided CPR in 280
attended OHCAs with 207 cases terminated on scene. A total of 149 patients
underwent a forensic autopsy and 47% had a CPR-related injury. The most common
injuries were multiple rib fractures (43%), with 22% of patients having more than
eight fractured ribs. Abdominal visceral injuries or injuries related to airway
management were rare. The injuries were associated with older age, male gender,
initial shockable rhythm and public location of the cardiac arrest (P < .05
respectively). In the multivariable regression analysis, older age, male gender,
and public location were independent predictors for injuries. There were no
differences in the durations of the CPR attempt between the injured and non
injured groups. CONCLUSION: Older age, male gender, and public location were
independently associated with CPR-related injuries. The duration of the
resuscitation attempts did not affect the incident of injuries.
PMID- 29797707
TI - High-resolution x-ray tomographic morphological characterisation of electrospun
nanofibrous bundles for tendon and ligament regeneration and replacement.
AB - Repair of ligaments and tendons requires scaffolds mimicking the spatial
organisation of collagen in the natural tissue. Electrospinning is a promising
technique to produce nanofibres of both resorbable and biostable polymers with
desired structural and morphological features. The aim of this study was to
perform high-resolution x-ray tomography (XCT) scans of bundles of Nylon6.6, pure
PLLA and PLLA-Collagen blends, where the nanofibres were meant to have a
predominant direction. Characterisation was carried out via a dedicated
methodology to firmly hold the specimen during the scan and a workflow to
quantify the directionality of the nanofibres in the bundle. XCT scans with 0.4
and 1.0 MUm voxel size were successfully collected for all bundle compositions.
Better image quality was achieved for those bundles formed by thicker nanofibres
(i.e. 0.59 MUm for pure PLLA), whereas partial volume effect was more pronounced
for thinner nanofibres (i.e. 0.26 MUm for Nylon6.6). As expected, the nanofibres
had a predominant orientation along the axis of the bundles (more than 20% of the
nanofibres within 3 degrees and more than 60% within 18 degrees from the bundle
axis), with a Gaussian-like dispersion in the other directions. The
directionality assessment was validated by comparison against a similar analysis
performed on SEM images: the XCT analysis overestimated the amount of nanofibres
very close to the bundle axis, especially for the materials with thinnest
nanofibres, but adequately identified the amount of nanofibres within 12 degrees
. LAY DESCRIPTION: Repair of ligaments and tendons requires dedicated materials
(scaffolds) mimicking the spatial organisation of the collagen (the main material
composing such natural tissue). Electrospinning is a promising technique that
allows production of fibres with nanometric dimension using high voltage to
stretch very tiny drops of polymeric solutions. Electrospinning allows processing
both polymers that can be resorbed by the host tissue, and nonresorbable ones, to
obtain the desired structural and morphological features by arranging the
nanofibres in bundles. The aim of this study was to perform high-resolution x-ray
computed tomography (XCT) scans of bundles, where the nanofibres were meant to
have a predominant direction. The investigation included bundles of different
compositions: a biostable polymer (Nylon) and bioresorbable ones (pure Poly-L
lactic acid (PLLA) and PLLA-Collagen blends). The electrospun bundles were
produced using a validated method (Sensini et al 2017:
https://doi.org/10.1088/1758-5090/aa6204). To this end, we developed a dedicated
methodology to scan such small specimens, and a workflow to quantify the
directionality of the nanofibres in the bundle. For all the compositions, XCT
scans with extremely high resolution (i.e. down to 0.4 MUm) were successfully
collected. As expected, better images were obtained for those bundles where the
nanofibres were thicker than the scanning resolution (i.e. 0.59 MUm for pure
PLLA). The images of the thinnest nanofibres (i.e. 0.26 MUm for Nylon) were
poorer because the fibre diameter was smaller than the resolution (partial volume
effect). The nanofibres had a predominant orientation along the axis of the
bundles (more than 60% of the nanofibres were within 18 degrees from the bundle
axis). The nanofibres had a Gaussian-like dispersion in the other directions. As
this is the first time that XCT is used to quantify the directionality of this
kind of bundles, the directionality assessment was further validated by
comparison against a similar analysis performed on SEM images. Overall, this
study has demonstrated the usefulness and reliability of using high-resolution x
ray computed tomography (XCT) scans to investigate the morphology of polymeric
scaffolds made of electrospun nanofibres.
PMID- 29797708
TI - Building a Workforce for Future Health Systems: Reflections from Health Policy
and Systems Research.
AB - INTRODUCTION: The era of the Sustainable Development Goals calls for
multidisciplinary research and intersectoral approaches to addressing health
challenges. This presents a unique opportunity for multidisciplinary fields
concerned with complex systems. Those working in system-oriented fields such as
health policy and systems research (HPSR) and health services research must be
forward-thinking in optimizing their collective ability to address these global
challenges. OBJECTIVES: The objective of this commentary was to share reflections
on challenges and strategies in managing the HPSR workforce in order to stimulate
dialogue and cross-learning across similar fields. STRATEGIES/FINDINGS: The
following strategies are discussed here: definitional clarity of expected
competencies and coordination across HPS researchers, national investment in
HPSR, institutional capacity for coproduction of knowledge across different types
of actors, and participatory leadership. CONCLUSIONS: Creative approaches in
training, financing, developing, and leading the diverse workforce required to
strengthen health systems can pave the way for its full-time and part-time
members to work together.
PMID- 29797709
TI - Systematic overview and critical appraisal of meta-analyses of interventions in
intensive care medicine.
AB - RATIONALE: Meta-analysed intervention effect estimates are perceived to represent
the highest level of evidence. However, such effects and the randomized clinical
trials which are included in them need critical appraisal before the effects can
be trusted. OBJECTIVE: Critical appraisal of a predefined set of all meta
analyses on interventions in intensive care medicine to assess their quality and
assessed the risks of bias in those meta-analyses having the best quality.
METHODS: We conducted a systematic search to select all meta-analyses of
randomized clinical trials on interventions used in intensive care medicine.
Selected meta-analyses were critically appraised for basic scientific criteria,
(1) presence of an available protocol, (2) report of a full search strategy, and
(3) use of any bias risk assessment of included trials. All meta-analyses which
qualified these criteria were scrutinized by full "Risk of Bias in Systematic
Reviews" ROBIS evaluation of 4 domains of risks of bias, and a "Preferred
Reporting Items for Systematic Reviews and Meta-Analyses" PRISMA evaluation.
RESULTS: We identified 467 meta-analyses. A total of 56 meta-analyses complied
with these basic scientific criteria. We scrutinized the risks of bias in the 56
meta-analyses by full ROBIS evaluation and a PRISMA evaluation. Only 4 meta
analyses scored low risk of bias in all the 4 ROBIS domains and 41 meta-analyses
reported all 27 items of the PRISMA checklist. CONCLUSION: In contrast with what
might be perceived as the highest level of evidence only 0.9% of all meta
analyses were judged to have overall low risk of bias.
PMID- 29797710
TI - The association between pre-operative sepsis and 30-day mortality in hip fracture
patients-A cohort study.
AB - BACKGROUND: Post-operative sepsis considerably increases mortality, but the
extent of pre-operative sepsis in hip fracture patients and its consequences are
sparsely elucidated. The aim of this study was to assess the association between
pre-operative sepsis and 30-day mortality after hip fracture surgery. METHODS: We
conducted a retrospective analysis of data collected among 1894 patients who
underwent hip fracture surgery in the Capital Region of Denmark in 2014
(NCT03201679). Data on vital signs, cultures and laboratory data were obtained.
Sepsis was defined as a positive culture of any kind and presence of systemic
inflammatory response syndrome within 24 hours and was assessed within 72 hours
before surgery and 30 days post-operatively. Primary outcome was 30-day
mortality. Secondary outcomes included length of hospital stay and admission to
intensive care unit. RESULTS: A total of 144 (7.6%) of the hip fracture patients
met the criteria for pre-operative sepsis. The 30-day mortality was 13.9% in
patients with pre-operative sepsis as compared to 9.0% in those without (OR 1.69,
95% CI [1.00; 2.85], P = .08). Patients with pre-operative sepsis had longer
hospital stays (median 10 days vs 9 days, mean difference 2.1 [SD 9.4] days, P =
.03), and higher frequency of ICU admission (11.1% vs 2.7%, OR 4.15, 95% CI
[2.19; 7.87], P < .0001). CONCLUSION: Pre-operative sepsis in hip fracture
patients was associated with an increased length of hospital stay and tended to
increase mortality. Pre-operative sepsis in hip fracture patients merits more
intensive surveillance and increased attention to timely treatment.
PMID- 29797712
TI - Analysis of preventable deaths and errors in trauma care in a Scandinavian trauma
level-I centre.
AB - BACKGROUND: The wide disparity in the methodology of preventable death analysis
has created a lack of comparability among previous studies. The guidelines for
the peer review (PR) procedure suggest the inclusion of risk-adjustment methods
to identify patients to review, that is, exclude non-preventable deaths
(probability of survival [Ps] < 25%) or focus on preventable deaths (Ps > 50%).
We aimed to, through PR process, (1) identify preventable death and errors
committed in a level-I trauma centre, and (2) explore the use of different risk
adjustment methods as a complement. METHODS: A multidisciplinary committee
reviewed all trauma patients, which died a trauma-related death, within 30 days
of admission to Karolinska University Hospital, Stockholm, in the period of 2012
2016. Ps was calculated according to TRISS and NORMIT and their accuracy where
compared. RESULTS: Two hundred and ninety-eight deaths were identified and 252
were reviewed. The majority of deaths occurred between 1 and 7 days. Ten deaths
(4.0%) were classified as preventable. Sixty-seven errors were identified in 53
(21.0%) deaths. The most common error was inappropriate treatment in all deaths
(21 of 67) and in preventable deaths (5 of 13). Median Ps in non-preventable
deaths was higher than the cut-off (<25%) and Ps-TRISS was almost twice as high
as Ps-NORMIT (65% vs 33%, P < .001). Two clinically judged preventable deaths
with Ps <25% would have been missed with both models. Median Ps in preventable
deaths was above the cut-off (>50%) and higher with Ps-TRISS vs Ps-NORMIT (75% vs
58%, P < .001). Three and 4 clinically judged preventable deaths would have been
missed, respectively, for TRISS and NORMIT, if using this cut-off. CONCLUSION:
Preventable deaths were commonly caused by clinical judgment errors in the early
phases but death occurred late. Ps calculated with NORMIT was more accurate than
TRISS in predicting mortality, but both perform poorly in identifying preventable
and non-preventable deaths when applying the cut-offs. PR of all trauma death is
still the golden standard in preventability analysis.
PMID- 29797711
TI - Rapidly increasing off-label use of rituximab in multiple sclerosis in Sweden -
Outlier or predecessor?
AB - OBJECTIVES: Off-label use of rituximab to treat MS patients in Sweden is high,
and the need for long-term safety data may not be met. Our objectives were to
assess the rate of rituximab prescription in patients with multiple sclerosis in
Sweden and, in addition, to evaluate the safety of rituximab in a single centre
for patients with multiple sclerosis. MATERIAL AND METHODS: Review of the Swedish
MS register was performed to study the number of MS patients treated with
rituximab during the last 6 years. Investigation also included a retrospective
review of medical files in search for possible side effects/adverse events in all
adult patients with MS treated with rituximab at Uppsala University Hospital.
RESULTS: Presently, in Sweden the rate of rituximab prescriptions in relation to
other annually started of disease- modifying drugs in MS is 53.5%. CONCLUSIONS:
The share of MS patients in Sweden who are treated with rituximab is very high,
and also rapidly increasing. Taken into account the off-label use, cases with
adverse medical conditions that could possibly be related to rituximab use should
be reported thoroughly.
PMID- 29797713
TI - Syringes versus Chinese cups in harvesting suction-induced blister graft: a
randomized split-body study.
AB - BACKGROUND: Suction blister grafting (SBG) is a technique where the pigmented
epidermis is harvested from the donor site by induction of a blister using
different suction methods as syringes, Chinese cups, suction device, etc.
However, pain, time consumption, incomplete blister formation, and failure of
blister development are the main limitations. OBJECTIVE: To compare between cups
and syringes of similar diameter in inducing suction blisters. PATIENTS AND
METHODS: In 30 patients with stable nonsegmental vitiligo, 2-cm-diameter (20 ml)
syringe was applied on the anterolateral aspect of one thigh and a 2-cm-diameter
cup on the corresponding site of the other thigh where right and left sides were
chosen randomly. Patients were observed untill complete blister development or
for a maximum of 3 hours. Suction blister induction time (SBIT) and the blister
diameter were recorded for each patient. Pain during the process of induction was
evaluated. RESULTS: Incomplete blister development was noted in 9 out of 30 (30%)
with 2 cm syringes and 6 out of 30 (20%) with the similar diameter cups with no
significant difference (P = 0.49). No significant difference was found between
SBIT induced by the 2 cm syringes and the similar size cups (101.17 +/- 68.14
minutes, 98 +/- 56.84 minutes, respectively) (P = 0.85). Meanwhile, blister
diameter induced by either syringe or cup was not significantly different (P =
0.37). Anesthesia was for short duration with xylocaine, and pain was intolerable
in both sides in the first seven patients. A combination of xylocaine and
bupivacaine was used with prolonged loss of pain in 17 of the remaining 23
patients and tolerable pain in six patients similarly in both sides. CONCLUSION:
According to present results, the differences in SIBT, diameter of blisters, and
number of complete blister formation induced by either syringes or cups of
similar size were not significant. Therefore, whatever the available and feasible
technique for the surgeon will be the ideal choice. A combination of xylocaine
and bupivacaine is recommended to overcome the accompanying pain of the
procedure.
PMID- 29797714
TI - Corticosteroids and risk of gastrointestinal bleeding in critically ill adults:
Protocol for a systematic review.
AB - BACKGROUND: Corticosteroids are frequently prescribed to critically ill patients.
However, their use may increase the risk of gastrointestinal (GI) bleeding, which
is associated with morbidity and mortality. Accordingly, we aim to assess whether
continued administration of corticosteroids for >24 hours increases the rate of
GI bleeding in adult critically ill patients compared to placebo or no treatment.
METHODS/DESIGN: We will conduct a systematic review of randomized clinical trials
with meta-analysis and trial sequential analysis. The participants will be adult
(as defined in the included trials) critically ill patients. The intervention
will be any corticosteroid administered systematically for >24 hours and the
comparator will be placebo or no treatment. The primary outcome will be rate of
clinically important GI bleeding. We will systematically search EMBASE, MEDLINE,
Medline In-Process, Cochrane Library, Epistemonikos and trial registries for
relevant literature, as well as perform a hand search. We will follow the
recommendations by the Cochrane Collaboration and the Preferred Reporting Items
for Systematic Review and Meta-Analysis (PRISMA) statement. The risk of
systematic errors (bias) and random errors will be assessed and the overall
quality of evidence will be evaluated using the Grading of Recommendations
Assessment, Development, and Evaluation (GRADE) approach. DISCUSSION: The risk of
GI bleeding in adult critically ill patients treated with corticosteroids is
unknown. Hence, there is need for a robust systematic review to assess this risk
and provide clinicians with a clearer understanding of the strength and
limitations of existing data.
PMID- 29797715
TI - Diagnostic accuracy of ultrasound in detecting the depth of invasion in women at
risk of abnormally invasive placenta: A prospective longitudinal study.
AB - INTRODUCTION: The aim of this study was to assess the diagnostic accuracy of
ultrasound in detecting the depth of abnormally invasive placenta in women at
risk. MATERIAL AND METHODS: Prospective longitudinal study including women with
placenta previa and at least one prior cesarean delivery or uterine surgery.
Depth of abnormally invasive placenta was defined as the degree of trophoblastic
invasion through the myometrium and was assessed with histopathological analysis.
The ultrasound signs explored were: loss of clear zone, placental lacunae,
bladder wall interruption, uterovesical hypervascularity, and increased
vascularity in the parametrial region. RESULTS: In all, 210 women were included
in the analysis. When using at least one sign, ultrasound had an overall
sensitivity of 100% (95% CI 96.5-100) and overall specificity of 61.9 (95% CI
51.9-71.2) for all types of abnormally invasive placenta. Using two ultrasound
signs increased the diagnostic accuracy in terms of specificity (100%, 95% CI
96.5-100) but did not affect sensitivity. When stratifying the analysis according
to the depth of placental invasion, using at least one sign had a sensitivity of
100% (95% CI 93.7-100) and 100% (95% CI 92.6-100) for placenta accreta/increta
and percreta, respectively. Using three ultrasound signs improved the detection
rate for placenta percreta with a sensitivity of 100% (95% CI 92.6-100) and a
specificity of 77.2% (95% CI 69.9-83.4). CONCLUSION: Ultrasound has a high
diagnostic accuracy in detecting the depth of placental invasion when applied to
a population with specific risk factors for anomalies such as placenta previa and
prior cesarean delivery or uterine surgery.
PMID- 29797717
TI - Flexion decreases the ventilation quality of the Ambu(r) AuraGainTM laryngeal
mask in paralysed children: A prospective randomised crossover study.
AB - BACKGROUND: The influence of different head and neck positions on ventilation
with the Ambu(r) AuraGainTM remains unevaluated in children. This study assessed
the influence of different head and neck positions on ventilation with the
AuraGainTM in paediatric patients. METHODS: In this prospective, randomised
crossover study, children <=7 years of age who were scheduled for elective
surgery under general anaesthesia were enrolled. An AuraGainTM was placed in all
patients; mechanical ventilation was performed using a volume-controlled mode
with a tidal volume of 10 mL/kg. Respiratory rate was adjusted to maintain a ET
CO2 of 35-40 mm Hg. The oropharyngeal leak pressure, expiratory tidal volume,
peak inspiratory pressure, ventilation score and fibreoptic bronchoscopic view
score were assessed for the neutral head and neck position, and then for the
flexed, extended and rotated head and neck positions in a random order. RESULTS:
Compared with the neutral position, the oropharyngeal leak pressure increased in
the flexed position (P < .001), and decreased in the extended (P = .014) and
rotated position (P = .002). The expiratory tidal volume and ventilation score
were significantly reduced during flexion (all P < .005), and were comparable
among the neutral, extended and rotated positions. The fibreoptic score improved
in the extended and rotated position (all P < .001). Only the flexion ventilation
score was lower compared with the neutral position (P = .014). CONCLUSIONS:
Clinically, the flexed head and neck positions negatively affected ventilation
due to obstruction of the airway. Ventilation with AuraGainTM can be effectively
performed with the head and neck in the neutral, extended and rotated position in
paediatric patients.
PMID- 29797716
TI - Accuracy of 12-hour urine collection in the diagnosis of pre-eclampsia.
AB - OBJECTIVE: To evaluate the accuracy of a 12-hour urine collection to diagnose pre
eclampsia and to determine whether time of collection influences the performance
of this test. METHODS: A prospective observational study was conducted in a
tertiary obstetric center in Portugal between November 1, 2015, and November 30,
2016. Pregnant women (>=20 weeks) admitted for observation with suspected pre
eclampsia were eligible for inclusion. Two consecutive 12-hour urine samples were
collected (07:00-19:00 hours vs 19:00-07:00 hours). Protein levels were measured
in each 12-hour sample, as well as in a pooled sample (07:00-07:00 hours). The
diagnostic cut-off values for pre-eclampsia were 150 mg (12-hour samples) and 300
mg (24-hour sample). RESULTS: The study included 99 patients and diagnoses of pre
eclampsia were confirmed among 42 (42%) patients. In all, 456 12-hour urine
samples were analyzed (equivalent to 228 24-hour samples). Qualitative analysis
(pre-eclampsia vs no pre-eclampsia) indicated substantial agreement between the
12- and 24-hour samples (Cohen kappa 0.779). The sensitivity was 85.9% (95%
confidence interval [CI] 81%-90%) and the specificity was 91.7% (95% CI 88%-95%).
No statistically significant difference was found between the two 12-hour
collections. CONCLUSION: The 12-hour test showed acceptable accuracy for
detecting pre-eclampsia, regardless of the time of collection.
PMID- 29797718
TI - A multi-emitter fitting algorithm for potential live cell super-resolution
imaging over a wide range of molecular densities.
AB - Multi-emitter fitting algorithms have been developed to improve the temporal
resolution of single-molecule switching nanoscopy, but the molecular density
range they can analyse is narrow and the computation required is intensive,
significantly limiting their practical application. Here, we propose a
computationally fast method, wedged template matching (WTM), an algorithm that
uses a template matching technique to localise molecules at any overlapping
molecular density from sparse to ultrahigh density with subdiffraction
resolution. WTM achieves the localization of overlapping molecules at densities
up to 600 molecules MUm-2 with a high detection sensitivity and fast
computational speed. WTM also shows localization precision comparable with that
of DAOSTORM (an algorithm for high-density super-resolution microscopy), at
densities up to 20 molecules MUm-2 , and better than DAOSTORM at higher molecular
densities. The application of WTM to a high-density biological sample image
demonstrated that it resolved protein dynamics from live cell images with
subdiffraction resolution and a temporal resolution of several hundred
milliseconds or less through a significant reduction in the number of camera
images required for a high-density reconstruction. WTM algorithm is a
computationally fast, multi-emitter fitting algorithm that can analyse over a
wide range of molecular densities. The algorithm is available through the
website. https://doi.org/10.17632/bf3z6xpn5j.1.
PMID- 29797719
TI - Immunological and microbiological periodontal profiles in isolated growth hormone
deficiency.
AB - BACKGROUND: Growth hormone (GH) has been identified as an important regulator of
the immune response. We have previously shown that adults with isolated GH
deficiency (IGHD) due to a mutation in the GH releasing hormone receptor (GHRHR)
gene, have a greater chance of having periodontitis. However, the interaction of
GH with periodontal tissues is still unknown, and this population has emerged as
a unique model to investigate this issue. Therefore, we evaluated the
microbiological and immunological periodontal profiles of such individuals.
METHODS: Nineteen IGHD and 19 controls matched by age, sex, diabetes, and smoking
status, were enrolled in this case-control study. Periodontal clinical parameters
(probing depth [PD] and clinical attachment loss [AL]) were measured at six sites
per tooth. Immune mediators (C-reactive protein, matrix metalloproteinase [MMP]
8, MMP-9, interleukin [IL]-1alpha, IL-6, IL-8, tumor necrosis factor [TNF]-alpha,
adiponectin, and leptin) were analyzed by enzyme-linked immunosorbent assay
(ELISA) in the gingival crevicular fluid (GCF) in four non-adjacent sites for
each participant (two with PD <=3 mm [shallow sites] and two with PD >=7 mm or
the worst PD found in the mouth [deep sites]). Bacterial quantification
(Aggregatibacter actinomycetemcomitans, Porphyromonas gingivalis, Treponema
denticola, and Tannerella forsythia) of subgingival biofilm samples collected
from these same sites was performed by quantitative real-time polymerase chain
reaction (qPCR). RESULTS: IGHD individuals presented higher values of PD and AL,
and increased levels of CRP, IL-8, MMP-8, and adiponectin in the GCF. Bacterial
quantification did not identify differences between the two groups. CONCLUSION:
IGHD alters the local immune response in periodontal pockets leading to greater
attachment loss, and GH stands out as an important hormone to be evaluated in the
pathogenesis of periodontitis.
PMID- 29797720
TI - Comparison of three commercially available buffy coat pooling sets for the
preparation of platelet concentrates.
AB - BACKGROUND: A disposable set for platelet concentrate (PC) preparation by the
buffy coat method allows pooling of buffy coats, centrifugation and cell
separation with in-line leucocyte filtration. This study compares three
commercially available pooling sets in combination with INTERCEPT pathogen
inactivation (PI). MATERIALS AND METHODS: Sets for pooling of buffy coats were
from Fresenius Kabi (FRE), Macopharma (MAC) and Terumo BCT (TER). Platelet yield,
recovery and concentration were compared before and after PI (n = 20). Platelet
quality was assessed by annexin V binding, P-selectin expression and PAC1
binding. RESULTS: The TER pooling set had the highest platelet yield (5.39 +/-
0.44 * 1011 ) compared with MAC (4.53 +/- 0.77) and FRE (4.56 +/- 0.51) prior to
PI. This was the result of a significantly higher platelet concentration in the
TER storage bag (1.41 +/- 0.12 * 106 /MUL) compared with MAC (1.18 +/- 0.19) and
FRE (1.28 +/- 0.15). However, the TER platelet content decreased by 15.6% after
PI, yielding 4.55 +/- 0.47 * 1011 platelets compared with smaller reductions at
9.5% for MAC (4.10 +/- 0.69) and 4.4% for FRE (4.36 +/- 0.52). None of the
individual PC contained >106 leucocytes. The pH in TER PC was lower compared with
MAC and FRE caused by a higher lactic acid production rate. Consequently, PAC1
binding after TRAP activation was lowest for TER PC on day 6. P-selectin and
annexin V were not different between suppliers. CONCLUSION: This study
demonstrates the added value of evaluating the entire component production
process when introducing a new consumable. This study helped to inform a decision
on what pooling set is ideally suited for routine implementation taking into
account PI.
PMID- 29797721
TI - Postoperative infections after dental implant placement: Variables associated
with increased risk of failure.
AB - BACKGROUND: Wound infections after dental implant placement are a rare finding
that might lead to early implant failure. However, the available information on
this topic is scarce. METHODS: This retrospective cohort study was conducted to
determine factors that may increase the failure rate of dental implants that
presented a postoperative infection during the osseointegration period.
Postoperative infections were defined as the presence of pus or fistula in the
surgical area, with pain or tenderness, swelling, redness, and heat or fever,
before prosthetic loading. A bivariate and multivariate analysis of the data
using Cox proportional-hazards regression was performed to detect prognostic
factors for implant failure in patients that suffer infections. RESULTS: The
patient-based prevalence of postoperative infections after implant placement was
2.80% (95% confidence interval (95%CI): 2.04% to 3.83%). Thirty-three out of 37
(89.19%) patients with infections had to be surgically retreated because of
antibiotic failure and 65% of the infected implants were removed. The bivariate
analysis showed a significant association between implant failure and the collar
surface (HR: 3.12; 95% CI: 1.16 to 8.41; P = 0.014). Cox proportional-hazards
regression indicated that rough-surfaced collars increased 2.35 times the
likelihood of failure (95% CI: 0.87 to 6.37; P = 0.071). CONCLUSIONS: The
survival of implants placed in the maxilla, with smooth collar, and late-onset of
infection was higher than those placed in the mandible, with a rough collar and
early onset of infection. In general, signs of infection after dental implant
placement compromises the survival rate of the affected fixtures.
PMID- 29797724
TI - The behaviour and perception of illness: modulating variables of adherence in
patients with haemophilia.
AB - BACKGROUND: The recommended treatment in patients with severe haemophilia is
prophylaxis. This prevents bleedings and reduces or delays the haemophilic
arthropathy. Adherence defined as the follow-up of the patient to the
prescriptions of the health professional to his treatment, both pharmacological
and modifications in habits or stylelifes. Adherence rates in haemophilia are
better than in other chronic diseases, but there remain reasons for nonadherence.
The aim was to see if disease behaviour and disease perception together with
coping strategies modulate adherence to treatment. MATERIAL AND METHODS:
Multicenter cross-sectional descriptive study. Patients recruited in Units of
Hemophilia Hospitals and Regional Haemophilia Associations. Twenty-three patients
participated The instruments used are as follows: data sheet, SF-36, A36
Hemophilia-Qol, Illness Perception Questionnaire-Revised (IPQ-R), Illness
Behavior Questionnaire (IBQ) and Coping Strategies Inventory (CSI) and VERITAS
Pro. RESULTS: We found that 56% of patients with haemophilia are adherent. The
most relevant clinical variables were hemarthrosis, diagnosis of arthropathy and
presence of any coinfection, as well as family history, labour status and
sociodemographic variables. Age was not a relevant variable in adherence. The
worst adherence coincides with poorer quality of life and inadequate coping
style. Nonadherent patients show greater conviction of disease, perception of
cyclicity, more hypochondriacal behaviour towards the illness and perception
concern about possible side effects of medication. CONCLUSION: Adherence in
haemophilia requires a more experiential approach and individualized education.
It is important that professionals take into account the patient's perception,
behaviour and attitude towards the disease to achieve a better adaptation of
their coping resources.
PMID- 29797722
TI - Arabidopsis Lunapark proteins are involved in ER cisternae formation.
AB - The plant endoplasmic reticulum (ER) is crucial to the maintenance of cellular
homeostasis. The ER consists of a dynamic and continuously remodelling network of
tubules and cisternae. Several conserved membrane proteins have been implicated
in formation and maintenance of the ER network in plants, such as RHD3 and the
reticulon proteins. Despite the recent work in mammalian and yeast cells, the
detailed molecular mechanisms of ER network organization in plants remain largely
unknown. Recently, novel ER network-shaping proteins called Lunapark (LNP) have
been identified in yeast and mammalian cells. Here we identify two Arabidopsis
LNP homologues and investigate their subcellular localization via confocal
microscopy and potential function in shaping the ER network using protein-protein
interaction assays and mutant analysis. We show that AtLNP1 overexpression in
tobacco leaf epidermal cells mainly labels cisternae in the ER network, whereas
AtLNP2 labels the whole ER. Overexpression of LNP proteins results in an
increased abundance of ER cisternae and lnp1 and lnp1lnp2 amiRNA lines display a
reduction in cisternae and larger polygonal areas. Thus, we hypothesize that
AtLNP1 and AtLNP2 are involved in determining the network morphology of the plant
ER, possibly by regulating the formation of ER cisternae.
PMID- 29797725
TI - Comparison of sensory perception and verbal expression of Korean Kimchi stews
between Chinese consumers and Korean trained panelists.
AB - BACKGROUND: Sensory drivers of liking in foreign food markets are difficult to
identify because the expression of perceived characteristics varies depending on
cultural differences. We aimed to identify differences in the perception and
expression of a Korean home meal replacement product (Kimchi stew) between 10
Korean trained panelists versus 50 eastern Chinese consumers (EC) and 54 northern
Chinese consumers (NC) using descriptive analysis and rate-all-that-apply (RATA)
tests. Regional differences between the EC and NC groups were also investigated.
RESULTS: Sensory representations of the six Kimchi stew samples were similar
between the Korean trained and Chinese consumer panels. Use of simple sensory
RATA terms was similar among the groups. However, EC, who have a daily diet with
mild flavors, associated consumer terms with negative connotations, such as odd
flavor and sharp, with burning sensation and seasoning, implying the influence of
regional food cultures. CONCLUSION: RATA could elicit foreign consumers' sensory
representations of an unfamiliar ethnic food that was comparable to that from
descriptive analysis, assisting researchers in understanding target consumers'
sensory perceptions in a more cost- and time-effective manner. The inclusion of
consumer terms in a RATA list and its correlation with descriptive analysis by a
native descriptive panel can help with the understanding of foreign consumers'
verbal expressions. (c) 2018 Society of Chemical Industry.
PMID- 29797723
TI - Effect of neuromuscular electrical stimulation frequency on muscles of the
tongue.
AB - INTRODUCTION: Neuromuscular electrical stimulation (NMES) for the treatment of
swallowing disorders is delivered at a variety of stimulation frequencies. We
examined the effects of stimulation frequency on tongue muscle plasticity in an
aging rat model. METHODS: Eighty-six young, middle-aged, and old rats were
assigned to either bilateral hypoglossal nerve stimulation at 10 or 100 Hz (5
days/week, 8 weeks), sham, or no-implantation conditions. Muscle contractile
properties and myosin heavy chain (MyHC) composition were determined for
hyoglossus (HG) and styloglossus (SG) muscles. RESULTS: Eight weeks of 100-Hz
stimulation resulted in the greatest changes in muscle contractile function with
significantly longer contraction and half-decay times, the greatest reduction in
fatigue, and a transition toward slowly contracting, fatigue-resistant MyHC
isoforms. DISCUSSION: NMES at 100-Hz induced considerable changes in contractile
and phenotypic profiles of HG and SG muscles, suggesting higher frequency NMES
may yield a greater therapeutic effect. Muscle Nerve, 2018.
PMID- 29797726
TI - Differences in autonomic innervation to the vertebrobasilar arteries in
spontaneously hypertensive and Wistar rats.
AB - KEY POINTS: Essential hypertension is associated with hyperactivity of the
sympathetic nervous system and hypoperfusion of the brainstem area controlling
arterial pressure. Sympathetic and parasympathetic innervation of vertebrobasilar
arteries may regulate blood perfusion to the brainstem. We examined the autonomic
innervation of these arteries in pre-hypertensive (PHSH) and hypertensive
spontaneously hypertensive (SH) rats relative to age-matched Wistar rats. Our
main findings were: (1) an unexpected decrease in noradrenergic sympathetic
innervation in PHSH and SH compared to Wistar rats despite elevated sympathetic
drive in PHSH rats; (2) a dramatic deficit in cholinergic and peptidergic
parasympathetic innervation in PHSH and SH compared to Wistar rats; and (3)
denervation of sympathetic fibres did not alter vertebrobasilar artery morphology
or arterial pressure. Our results support a compromised vasodilatory capacity in
PHSH and SH rats compared to Wistar rats, which may explain their hypoperfused
brainstem. ABSTRACT: Neurogenic hypertension may result from brainstem
hypoperfusion. We previously found remodelling (decreased lumen, increased wall
thickness) in vertebrobasilar arteries of juvenile, pre-hypertensive
spontaneously hypertensive (PHSH) and adult spontaneously hypertensive (SH) rats
compared to age-matched normotensive rats. We tested the hypothesis that there
would be a greater density of sympathetic to parasympathetic innervation of
vertebrobasilar arteries in SH versus Wistar rats irrespective of the stage of
development and that sympathetic denervation (ablation of the superior cervical
ganglia bilaterally) would reverse the remodelling and lower blood pressure.
Contrary to our hypothesis, immunohistochemistry revealed a decrease in the
innervation density of noradrenergic sympathetic fibres in adult SH rats (P <
0.01) compared to Wistar rats. Unexpectedly, there was a 65% deficit in
parasympathetic fibres, as assessed by both vesicular acetylcholine transporter
(alpha-VAChT) and vasoactive intestinal peptide (alpha-VIP) immunofluorescence (P
< 0.002) in PHSH rats compared to age-matched Wistar rats. Although the neural
activity of the internal cervical sympathetic branch, which innervates the
vertebrobasilar arteries, was higher in PHSH relative to Wistar rats, its
denervation had no effect on the vertebrobasilar artery morphology or persistent
effect on arterial pressure in SH rats. Our neuroanatomic and functional data do
not support a role for sympathetic nerves in remodelling of the vertebrobasilar
artery wall in PHSH or SH rats. The remodelling of vertebrobasilar arteries and
the elevated activity in the internal cervical sympathetic nerve coupled with
their reduced parasympathetic innervation suggests a compromised vasodilatory
capacity in PHSH and SH rats that could explain their brainstem hypoperfusion.
PMID- 29797727
TI - Severe anemia associated with increased risk of death and myocardial ischemia in
patients declining blood transfusion.
AB - BACKGROUND: There are limited data on morbidity and mortality in severely anemic
patients for whom blood transfusion is not an option, with most data coming only
from surgical patients and no data on the rate of myocardial ischemia associated
with severe anemia. We sought to determine rates of all-cause mortality and
myocardial ischemia in severely anemic hospitalized patients declining
transfusion. STUDY DESIGN AND METHODS: With institutional review board approval,
we conducted a retrospective review of all hospital admissions for adult blood
refusal patients between January 2004 and September 2015 at a single institution.
Severe anemia was defined as hemoglobin (Hb) level of not more than 8.0 g/dL at
any time during hospital admission. Outcomes measured included all-cause
mortality within 30 days of nadir Hb and myocardial ischemia as defined by
abnormal troponin (>0.10 ng/mL). We studied the association of patient's nadir Hb
with outcomes via multivariable repeated measures generalized estimating
equations (GEEs). RESULTS: Of 1306 blood refusal patients with hospital
admissions during the study period, 263 had at least one admission with Hb level
of not more than 8.0 g/dL. The rate of all-cause mortality within 30 days was
19.8%, and the multivariable GEE model indicated a 55% increase in odds of
mortality per 1 g/dL decrease in nadir Hb (odds ratio [OR], 1.55; 95% confidence
interval [CI], 1.25-1.91; p < 0.0001). Rate of myocardial ischemia was 10.5% and
in the multivariable model risk increased with decreasing nadir Hb (per 1 g/dL
decrease; OR, 1.42; 95% CI, 1.07-1.90; p = 0.016). CONCLUSIONS: Severe anemia is
associated with increased myocardial ischemia and mortality in patients declining
transfusion, with risk increasing with decreasing nadir Hb.
PMID- 29797728
TI - Effect of radio-frequency heating on microbial load, flavor, color, and texture
profiles of Cordyceps militaris.
AB - BACKGROUND: Cordyceps militaris is a medicine and food dual-purpose mushroom
extensively cultivated and consumed in East and Southeast Asia for centuries.
However, it has an extremely short shelf life of 3-4 days at room temperature. C.
militaris was pasteurized for 10, 20, and 30 min by radio-frequency (RF) at an
electrode gap of 20 mm. The effect of RF heating on the microbial load, color,
texture, and flavor attributes of C. militaris was evaluated and compared with
those sterilized by conventional high-pressure steam. RESULTS: RF heating
contributed to good heating uniformity, uniform temperature distribution, and
significant decrease in total microbial load. C. militaris heated by RF exhibited
unnoticeable total color difference compared with unpasteurized ones, while those
sterilized by high-pressure steam presented undesired and unacceptable browning.
Insignificant differences in hardness and chewiness were observed after RF
heating. Improvements in mushroom-like flavor occurred after 10 and 20 min of RF
heating. CONCLUSION: This study suggests that RF heating for pasteurization of
edible mushrooms has promising prospects. Evaluation of RF heating on the taste
and nutritional characteristics of edible mushrooms is needed in future work. (c)
2018 Society of Chemical Industry.
PMID- 29797730
TI - Non-pharmacological interventions for adults with intellectual disabilities and
depression: a systematic review.
AB - BACKGROUND: Although high rates of depression symptoms are reported in adults
with intellectual disabilities (IDs), there is a lack of knowledge about non
pharmacological treatment options for depression in this population. The first
research question of this paper is: Which non-pharmacological interventions have
been studied in adults with ID and depression? The second research question is:
What were the results of these non-pharmacological interventions? METHOD:
Systematic review of the literature with an electronic search in six databases
has been completed with hand searches. Preferred Reporting Items for Systematic
Reviews and Meta-analyses guidelines have been followed. Selected studies met
predefined inclusion criteria. RESULTS: Literature search resulted in 4267 papers
of which 15 met the inclusion criteria. Five different types of non
pharmacological interventions have been studied: cognitive behavioural therapy,
behavioural therapy, exercise intervention, social problem-solving skills
programme and bright light therapy. CONCLUSION: There are only a few studies of
good quality evaluating non-pharmacological interventions for adults with ID and
depression. Some of these studies, especially studies on cognitive behavioural
therapy, show good results in decreasing depressive symptoms. High-quality
randomised controlled trials evaluating non-pharmacological interventions with
follow-up are needed.
PMID- 29797729
TI - Ultrafast Photoinduced Multimode Antiferromagnetic Spin Dynamics in Exchange
Coupled Fe/RFeO3 (R = Er or Dy) Heterostructures.
AB - Antiferromagnetic spin dynamics is important for both fundamental and applied
antiferromagnetic spintronic devices; however, it is rarely explored by external
fields because of the strong exchange interaction in antiferromagnetic materials.
Here, the photoinduced excitation of ultrafast antiferromagnetic spin dynamics is
achieved by capping antiferromagnetic RFeO3 (R = Er or Dy) with an exchange
coupled ferromagnetic Fe film. Compared with antiferromagnetic spin dynamics of
bare RFeO3 orthoferrite single crystals, which can be triggered effectively by
ultrafast laser heating just below the phase transition temperature, the
ultrafast photoinduced multimode antiferromagnetic spin dynamic modes, for
exchange-coupled Fe/RFeO3 heterostructures, including quasiferromagnetic
resonance, impurity, coherent phonon, and quasiantiferromagnetic modes, are
observed in a temperature range of 10-300 K. These experimental results not only
offer an effective means to trigger ultrafast antiferromagnetic spin dynamics of
rare-earth orthoferrites, but also shed light on the ultrafast manipulation of
antiferromagnetic magnetization in Fe/RFeO3 heterostructures.
PMID- 29797731
TI - Desogestrel and panic attacks - a new suspected adverse drug reaction reported by
patients and health care professionals on spontaneous reports.
PMID- 29797732
TI - Eruptive poromatosis in a patient with breast cancer.
AB - Poromas are a group of benign growths of poroid differentiation derived from
cells of the terminal sweat duct and connected to the epidermis, normally
presenting as solitary papules, plaques or nodules. Rarely they can be eruptive
in nature and as such are described as poromatosis. We report an unusual case of
widespread poromatosis occurring in a woman with metastatic breast cancer who had
recently completed chemo-radiotherapy.
PMID- 29797733
TI - Treatment of psoriasis with ustekinumab in a patient with HIV-related Kaposi
sarcoma.
PMID- 29797735
TI - Absence of immunoglobulin heavy chain rearrangement in primary Merkel cell
carcinomas.
PMID- 29797734
TI - The first case of congenital blood chimerism in two of the triplets in Korea.
AB - BACKGROUND: Chimeras are composed of two or more different populations that
originated from different zygotes. Blood chimerism arising from twins have been
reported in the literature. Herein, we report the first blood group chimerism in
triplets. METHODS: ABO blood grouping was carried out by manual tile methods
(Merck Millipore, UK) and micro-column agglutination method (Bio-Rad, Cressier
sur Morat, Switzerland). Flow cytometric analysis was performed with Anti-A-PE
conjugated monoclonal antibodies (BD Biosciences, San Jose, CA, USA) and FACS
Canto II (BD Biosciences). Molecular analysis was performed with allele-specific
polymerase chain reaction (AS-PCR) and direct sequencing of the exons 6 and 7.
RESULTS: Mixed-field agglutination and weak agglutination against anti-A were
revealed by ABO blood grouping. Flow cytometric analysis revealed the presence of
both A cells and O cells. AS-PCR and sequencing showed two neonates with
chimerism, with each neonate's genotype being A102/O01/O02. CONCLUSION: This is
the first recorded case of blood chimera from a triplet in Korea. We recommend
full investigation of blood group chimerism in neonates with ABO discrepancy, as
blood chimerism is subject to certain caution in the clinical environment.
PMID- 29797736
TI - A novel insertion mutation of CDSN responsible for hypotrichosis simplex of scalp
in a Chinese family.
PMID- 29797737
TI - Outcome of extremely preterm infants after iatrogenic or spontaneous birth.
AB - INTRODUCTION: The risks of preterm birth are known. We investigated the perinatal
and infant mortality and morbidity after iatrogenic or spontaneous onset of
extremely preterm birth. MATERIAL AND METHODS: The present study used data from
the population-based EXPRESS study comprising all infants delivered before 27+0
gestational weeks in Sweden between 2004 and 2007. All fetuses alive at admission
and with known mode of onset of delivery were included (682 live-born infants; 65
intrapartum deaths). Four multivariate regression models were applied with
adjustments for gestational age, fetal gender, multiple pregnancy, and
birthweight. RESULTS: After adjustment for gestational age, no significant
differences were found between iatrogenic and spontaneous onsets of birth
regarding intrapartum death, early neonatal death (0-6 d), or death within 364
days. In the group with iatrogenic onset of delivery, there was an increased risk
for severe morbidity (odds ratio [OR] 1.86, 95% confidence interval [95% CI] 1.15
3.02), severe bronchopulmonary dysplasia (OR 1.90, 95% CI 1.10-3.26), and
retinopathy of prematurity (OR 1.99, 95% CI 1.21-3.27) after adjustment for
gestational age, fetal gender, and multiple pregnancy. After additional
adjustment for weight z-scores at 36 gestational weeks, the associations were not
significant. Within the group with spontaneous onset of delivery, fetuses with
preterm prelabor rupture of membranes had increased mortality risk. CONCLUSIONS:
No evidence was found for mode of onset of delivery (iatrogenic vs spontaneous)
having an impact on neonatal or infant mortality or morbidity in extremely
preterm infants. Instead, gestational age and growth deviation at birth seem to
be associated with the outcome.
PMID- 29797738
TI - Protein-Assisted Formation and Stabilization of Catalytically Active
Polyoxometalate Species.
AB - The effect of the protein environment on the formation and stabilization of an
elusive catalytically active polyoxometalate (POM) species, K6 [Hf(alpha2 -P2 W17
O61 )] (1), is reported. In the co-crystal of hen egg-white lysozyme (HEWL) with
1, the catalytically active monomeric species is observed, originating from the
dimeric 1:2 POM form, while it is intrinsically unstable under physiological pH
conditions. The protein-assisted dissociation of the dimeric POM was rationalized
by means of DFT calculations. The dissociation process is unfavorable in bulk
water, but becomes favorable in the protein-POM complex due to the low dielectric
response at the protein surface. The crystal structure shows that the monomeric
form is stabilized by electrostatic and water-mediated hydrogen bonding
interactions with the protein. It interacts at three distinct sites, close to the
aspartate-containing hydrolysis sites, demonstrating high selectivity towards
peptide bonds containing this residue.
PMID- 29797739
TI - A retrospective study on titanium sensitivity: Patch test materials and
manifestations.
AB - BACKGROUND: Titanium is being increasingly used. Although it is considered to be
a non-allergenic material, allergic reactions to it have been reported. Titanium
dioxide has been found to be an unreliable patch test material. Few studies to
date have profiled titanium allergy, and it therefore remains difficult to
distinguish its manifestations. OBJECTIVES: To evaluate alternatives for titanium
dioxide as a patch test preparation, and to profile titanium reactions and
manifestations. METHODS: A retrospective chart review was conducted with 458
patients who underwent patch testing with at least 1 of 5 different titanium
salts. RESULTS: At least 1 positive result was noted in 5.7% of the patients. The
frequency of positive results for the tested salts ranged from 0.9% to 7.9%.
Titanium(IV) oxalate hydrate had the highest yield and titanium dioxide the
lowest. Erythema, dermatitis and local swelling were the most common objective
complaints. In 16 (61.5%) patients, the test result had partial or full clinical
relevance. CONCLUSIONS: No titanium-specific risk factors and clinical picture
could be identified. Titanium dioxide is not adequately sensitive for identifying
titanium allergy. The titanium salts seem to be possible superior patch test
preparations, but appear to be unsuitable if used singly. The patient's medical
history and clinical picture remain crucial in the diagnostic work-up.
PMID- 29797741
TI - Non-pharmacological interventions for neonatal abstinence syndrome.
PMID- 29797740
TI - Description of the CARE4STROKE programme: A caregiver-mediated exercises
intervention with e-health support for stroke patients.
PMID- 29797742
TI - Differences in set-shifting and central coherence across anorexia nervosa
subtypes in children and adolescents.
AB - OBJECTIVE: Regarding executive functioning in anorexia nervosa (AN), little is
known about differences between the restricting (AN-R) and binge eating/purging
(AN-BP) subtypes. Especially for adolescents, there is sparse data. Hence, the
current aim is to investigate differences in set-shifting, central coherence, and
self-reported executive functioning across adolescent AN subtypes. METHODS:
Ninety AN-R, 21 AN-BP, and 63 controls completed an extensive assessment battery
including neuropsychological tests for executive functioning and the self-report
questionnaire Behavior Rating Inventory of Executive Functioning. RESULTS:
Patients with AN-R and AN-BP did not differ on neuropsychological measures, and
both performed similarly to controls. Behavior Rating Inventory of Executive
Functioning scores fell within the normal range with AN subtypes showing mostly
comparable ratings. AN-BP patients scored higher on 2 composite indices and the
"shift" subscale compared with AN-R. CONCLUSIONS: The results suggest similar
cognitive functioning in adolescent AN subtypes as well as healthy controls.
However, more research is needed to draw more general conclusions.
PMID- 29797743
TI - Demographic, psychiatric, and personality correlates of adults seeking treatment
for disordered gambling with a comorbid binge/purge type eating disorder.
AB - Preliminary evidence suggests that binge/purge type eating disorders and gambling
disorder may commonly co-occur. However, this dual-diagnosis population remains
understudied. The present research examined the prevalence rates and correlates
of binge/purge type eating disorders (i.e., bulimia nervosa, binge-eating
disorder, and anorexia nervosa binge/purge type) among adults seeking treatment
for their gambling (N = 349). In total, 11.5% of the sample (n = 40) met criteria
for a binge/purge type eating disorder, most commonly bulimia nervosa (n = 33).
There was a higher preponderance of binge/purge type eating disorders in women.
People with a comorbid binge/purge type eating disorder reported more days
gambling, gambling-related cognitive distortions, impulsivity, suicidality, and
other current psychiatric comorbidities including addictive behaviours. These
findings suggest that binge/purge type eating disorders in people seeking
treatment for gambling may be more common than previously believed. Furthermore,
the increased psychopathology among people with binge/purge type eating disorder
and gambling disorder identify vulnerabilities of this dual-diagnosed population
that may require clinical attention.
PMID- 29797746
TI - Brief coping strategy enhancement for distressing voices: Predictors of
engagement and outcome in routine clinical practice.
AB - Cognitive behaviour therapy is recommended internationally as a treatment for
psychosis (targeting symptoms such as auditory hallucinations, or "voices"). Yet
mental health services are commonly unable to offer such resource-intensive
psychological interventions. Brief, symptom-specific and less resource-intensive
therapies are being developed as one initiative to increase access. However, as
access increases, so might the risk of offering therapy to clients who are not
optimally disposed to engage with and benefit from therapy. Thus, it is important
to identify who is most/least likely to engage with and benefit from therapy, and
when. In the current study, 225 clients were assessed for suitability for a
brief, 4-session, manualized, cognitive behaviour therapy-based intervention for
voices (named coping strategy enhancement therapy) and 144 commenced therapy, at
a transdiagnostic voices clinic based in Sussex, UK. This article reports on the
value of depression, anxiety, stress, insight into the origin of voices, length
of voice hearing, and demographics in the prediction of engagement and outcomes.
The study found that higher levels of baseline depression, anxiety, and stress
were significantly associated with poorer outcomes, especially if clients also
had high levels of voice-related distress. The engagement analyses showed that
levels of voice-related distress at baseline predicted dropout. These findings
highlight the importance of assessing negative affect and voice-related distress
prior to commencing therapy for distressing voices, to help determine if the
client is suitable or ready for brief-coping strategy enhancement.
PMID- 29797744
TI - Genetic load determines atrophy in hand cortico-striatal pathways in
presymptomatic Huntington's disease.
AB - Huntington's disease (HD) is an inherited neurodegenerative disorder that causes
progressive breakdown of striatal neurons. Standard white matter integrity
measures like fractional anisotropy and mean diffusivity derived from diffusion
tensor imaging were analyzed in prodromal-HD subjects; however, they studied
either a whole brain or specific subcortical white matter structures with
connections to cortical motor areas. In this work, we propose a novel analysis of
a longitudinal cohort of 243 prodromal-HD individuals and 88 healthy controls who
underwent two or more diffusion MRI scans as part of the PREDICT-HD study. We
separately trace specific white matter fiber tracts connecting the striatum
(caudate and putamen) with four cortical regions corresponding to the hand, face,
trunk, and leg motor areas. A multi-tensor tractography algorithm with an
isotropic volume fraction compartment allows estimating diffusion of fast-moving
extra-cellular water in regions containing crossing fibers and provides
quantification of a microstructural property related to tissue atrophy. The
tissue atrophy rate is separately analyzed in eight cortico-striatal pathways as
a function of CAG-repeats (genetic load) by statistically regressing out age
effect from our cohort. The results demonstrate a statistically significant
increase in isotropic volume fraction (atrophy) bilaterally in hand fiber
connections to the putamen with increasing CAG-repeats, which connects the
genetic abnormality (CAG-repeats) to an imaging-based microstructural marker of
tissue integrity in specific white matter pathways in HD. Isotropic volume
fraction measures in eight cortico-striatal pathways are also correlated
significantly with total motor scores and diagnostic confidence levels, providing
evidence of their relevance to HD clinical presentation.
PMID- 29797745
TI - Worth a thousand words? Visual concept mapping of the quality of life of people
with severe mental health problems.
AB - OBJECTIVES: Conventional approaches to quality of life (QoL) measurement rely
heavily on verbal, language-based communication. They require respondents to have
significant cognitive and verbal ability, making them potentially unsuitable for
people with severe mental health problems. To facilitate an alternative approach
to QoL assessment, the current study aims to develop an alternative, visual
representation of QoL for people with severe mental health problems. METHODS: An
alternative, visual adaptation of the concept mapping method was used to
construct this visual representation of QoL. Eighty-two participants (i.e.,
patients, care professionals, and family members) contributed to this study.
Results were processed statistically to construct the concept map. RESULTS: The
concept map contains 160 unique visual statements, grouped into 8 clusters
labelled (1) Support and Attention, (2) Social Contacts, (3) Happiness and Love,
(4) Relaxation and Harmony, (5) Leisure, (6) Lifestyle, (7) Finances, and (8)
Health and Living. Examples of visual statements are pictures of family
silhouettes, romantic couples, natural scenes, houses, sports activities, wallets
and coins, smiley faces, and heart shapes. The clusters were interpreted and
labelled by participants. CONCLUSIONS: Almost all of the statements correspond to
clusters found in previous (non-visual) QoL research. Hence, QoL domains can also
be presented visually.
PMID- 29797747
TI - Gating by induced Alpha-Gamma asynchrony in selective attention.
AB - Visual selective attention operates through top-down mechanisms of signal
enhancement and suppression, mediated by alpha-band oscillations. The effects of
such top-down signals on local processing in primary visual cortex (V1) remain
poorly understood. In this work, we characterize the interplay between large
scale interactions and local activity changes in V1 that orchestrates selective
attention, using Granger-causality and phase-amplitude coupling (PAC) analysis of
EEG source signals. The task required participants to either attend to or ignore
oriented gratings. Results from time-varying, directed connectivity analysis
revealed frequency-specific effects of attentional selection: bottom-up gamma
band influences from visual areas increased rapidly in response to attended
stimuli while distributed top-down alpha-band influences originated from parietal
cortex in response to ignored stimuli. Importantly, the results revealed a
critical interplay between top-down parietal signals and alpha-gamma PAC in
visual areas. Parietal alpha-band influences disrupted the alpha-gamma coupling
in visual cortex, which in turn reduced the amount of gamma-band outflow from
visual areas. Our results are a first demonstration of how directed interactions
affect cross-frequency coupling in downstream areas depending on task demands.
These findings suggest that parietal cortex realizes selective attention by
disrupting cross-frequency coupling at target regions, which prevents them from
propagating task-irrelevant information.
PMID- 29797748
TI - When your patient's parent asks: "My child's alopecia areata is not getting
better. Should he or she get tested for celiac disease?"
AB - The relationship between alopecia areata and celiac disease has been suspected
for more than 20 years. For a particular individual with alopecia areata, the
risk of coexisting celiac disease and the potential benefit of a gluten-free diet
on hair loss or regrowth is known. Despite this limited information, patients
often aske dermatologists whether they should undergo celiac disease testing or
begin a gluten-free diet. We reviewed all available studies of the relationship
between alopecia areata and celiac disease to provide guidance to practicing
clinicians.
PMID- 29797749
TI - Social complexity and kinship in animal societies.
AB - Studies of eusocial invertebrates regard complex societies as those where there
is a clear division of labour and extensive cooperation between breeders and
helpers. In contrast, studies of social mammals identify complex societies as
those where differentiated social relationships influence access to resources and
reproductive opportunities. We show here that, while traits associated with
social complexity of the first kind occur in social mammals that live in groups
composed of close relatives, traits associated with the complexity of social
relationships occur where average kinship between female group members is low.
These differences in the form of social complexity appear to be associated with
variation in brain size and probably reflect contrasts in the extent of conflicts
of interest between group members. Our results emphasise the limitations of any
unitary concept of social complexity and show that variation in average kinship
between group members has far-reaching consequences for animal societies.
PMID- 29797750
TI - Peptide Nucleic Acid Conjugated with Ruthenium-Complex Stabilizing Double-Duplex
Invasion Complex Even under Physiological Conditions.
AB - Peptide nucleic acid (PNA) can form a stable duplex with DNA, and, accordingly,
directly recognize double-stranded DNA through the formation of a double-duplex
invasion complex, wherein a pair of complementary PNA strands form two PNA/DNA
duplexes. Because invasion does not require prior denaturation of DNA, PNA holds
great potential for in cellulo or in vivo applications. To broaden the
applicability of PNA invasion, we developed a new conjugate of PNA with a
ruthenium complex. This Ru-PNA conjugate exhibits higher DNA-binding affinity,
which results in enhanced invasion efficiency, even under physiological
conditions.
PMID- 29797751
TI - Histopathologic features of an autopsied patient with cerebral small vessel
disease and a heterozygous HTRA1 mutation.
AB - Cerebral autosomal recessive arteriopathy with subcortical infarcts and
leukoencephalopathy (CARASIL) is a hereditary cerebral small vessel disease
(CSVD) caused by homozygous or compound heterozygous mutations of the high
temperature requirement A serine peptidase 1 gene (HTRA1). Affected patients
suffer from cognitive impairment, recurrent strokes, lumbago and alopecia.
Recently, clinical studies have indicated that some patients with heterozygous
mutations in HTRA1 may also suffer CSVD. Here, we report the histopathologic
features of an autopsied 55-year-old male patient who had shown cognitive
impairment and multiple cerebral infarcts, and was found to have a heterozygous
missense mutation (p.R302Q) in the HTRA1 gene. Histologically, small vessels in
the brain and spinal cord showed intimal proliferation, splitting of the internal
elastic lamina, and degeneration of smooth muscle cells in the tunica media.
Thus, although less severe, the features were quite similar to those of patients
with CARASIL, indicating that patients with heterozygous mutations develop CSVD
through underlying pathomechanisms similar to those of CARASIL.
PMID- 29797752
TI - Lymphocytic esophagitis: an update on histologic diagnosis, endoscopic findings,
and natural history.
AB - Lymphocytic esophagitis is a histologic pattern of injury characterized by
increased intraepithelial lymphocytes (>20/high-power field) with rare, or absent
granulocytes. Lymphocytes tend to be more numerous in the peripapillary
epithelium, and are often associated with evidence of mucosal injury, edema, and
scattered dyskeratotic cells. More than a decade following its original
description, lymphocytic esophagitis remains an enigmatic entity with variable
clinical presentations, associated disorders, etiologies, treatment, and natural
history. Most of the confusion regarding the clinical significance of this
disorder stems from its diagnostic criteria: lymphocytic esophagitis is currently
defined based entirely on histologic criteria, despite the common occurrence of
lymphocytosis in a variety of unrelated inflammatory conditions of the esophagus.
The goal of this review is to summarize the literature regarding lymphocytic
esophagitis and focus on key clinicopathologic features that distinguish it from
other esophageal disorders that can show increased numbers of intraepithelial
lymphocytes.
PMID- 29797753
TI - The impact of stress on sleep: Pathogenic sleep reactivity as a vulnerability to
insomnia and circadian disorders.
AB - Sleep reactivity is the trait-like degree to which stress exposure disrupts
sleep, resulting in difficulty falling and staying asleep. Individuals with
highly reactive sleep systems experience drastic deterioration of sleep when
stressed, whereas those with low sleep reactivity proceed largely unperturbed
during stress. Research shows that genetics, familial history of insomnia, female
gender and environmental stress influence how the sleep system responds to
stress. Further work has identified neurobiological underpinnings for sleep
reactivity involving disrupted cortical networks and dysregulation in the
autonomic nervous system and hypothalamic-pituitary-adrenal axis. Sleep
reactivity is most pathologically and clinically pertinent when in excess, such
that high sleep reactivity predicts risk for future insomnia disorder, with early
evidence suggesting high sleep reactivity corresponds to severe insomnia
phenotypes (sleep onset insomnia and short sleep insomnia). High sleep reactivity
is also linked to risk of shift-work disorder, depression and anxiety.
Importantly, stress-related worry and rumination may exploit sensitive sleep
systems, thereby augmenting the pathogenicity of sleep reactivity. With the
development of cost-effective assessment of sleep reactivity, we can now identify
individuals at risk of future insomnia, shift-work disorder and mental illness,
thus identifying a target population for preventive intervention. Given that
insomniacs with high sleep reactivity tend to present with severe insomnia
phenotypes, patient sleep reactivity may inform triaging to different levels of
treatment. Future research on sleep reactivity is needed to clarify its
neurobiology, characterize its long-term prospective associations with insomnia
and shift-work disorder phenotypes, and establish its prognostic value for mental
illness and other non-sleep disorders.
PMID- 29797755
TI - Total Syntheses of Crinipellins Enabled by Cobalt-Mediated and Palladium
Catalyzed Intramolecular Pauson-Khand Reactions.
AB - Efficient total syntheses of the naturally occurring, potent antibiotic compounds
(-)-crinipellin A and (-)-crinipellin B are described. The key advanced
intermediate, a fully functionalized tetraquinane core, was constructed by a
novel thiourea/palladium-catalyzed Pauson-Khand reaction. This intermediate can
serve as a common intermediate for the collective total synthesis of other
members of the crinipellin family.
PMID- 29797754
TI - Change in weight and central obesity by positive airway pressure treatment in
obstructive sleep apnea patients: longitudinal data from the ESADA cohort.
AB - The effect of positive airway pressure treatment on weight and markers of central
obesity in patients with obstructive sleep apnea remains unclear. We studied the
change in body weight and anthropometric measures following positive airway
pressure treatment in a large clinical cohort. Patients with obstructive sleep
apnea with positive airway pressure treatment from the European Sleep Apnea
Database registry (n = 1,415, 77% male, age 54 +/- 11 [mean +/- SD] years, body
mass index 31.7 +/- 6.4 kg/m2 , apnea-hypopnea index 37 +/- 24 n per hr, Epworth
Sleepiness Scale 10.2 +/- 5.0) were selected. Changes in body mass index and
neck/waist/hip circumferences at baseline and at follow-up visit were analysed.
Overall, body mass index (0.0 [95% confidence interval, -0.1 to 0.2] kg/m2 ) and
neck circumference (0.0 (95% confidence interval, -0.1 to 0.1] cm) were unchanged
after positive airway pressure treatment compared with baseline (follow-up
duration 1.1 +/- 1.0 years and compliance 5.2 +/- 2.1 hr per day). However, in
non-obese (body mass index <30 kg/m2 ) patients, positive airway pressure
treatment was associated with an increased body mass index and waist
circumference (0.4 [0.3-0.5] kg/m2 and 0.8 [0.4-1.2] cm, respectively, all p <
0.05), and weight gain was significantly associated with higher positive airway
pressure compliance and longer positive airway pressure treatment duration. In
the obese subgroup, body mass index was reduced after positive airway pressure
treatment (-0.3 [-0.5 to -0.1] kg/m2 , p < 0.05) mainly in patients with a strong
reduction in Epworth Sleepiness Scale. In conclusion, positive airway pressure
therapy was not found to systematically change body mass index in the European
Sleep Apnea Database cohort, but the response was heterogeneous. Our findings
suggest that weight gain may be restricted to an obstructive sleep apnea
phenotype without established obesity. Lifestyle intervention needs to be
considered in both lean and obese patients with obstructive sleep apnea receiving
positive airway pressure treatment.
PMID- 29797756
TI - Tandem Silver Cluster Isomerism and Mixed Linkers to Modulate the
Photoluminescence of Cluster-Assembled Materials.
AB - Silver chalcogenolate cluster assembled materials (SCAMs) are a category of
promising light-emitting materials the luminescence of which can be modulated by
variation of their building blocks (cluster nodes and organic linkers). The
transformation of a singly emissive [Ag12 (SBut )8 (CF3 COO)4 (bpy)4 ]n (Ag12
bpy, bpy=4,4'-bipyridine) into a dual-emissive [(Ag12 (SBut )6 (CF3 COO)6 (bpy)3
)]n (Ag12 bpy-2) via cluster-node isomerization, the critical importance of which
was highlighted in dictating the photoluminescence properties of SCAMs. Moreover,
the newly obtained Ag12 bpy-2 served to construct visual thermochromic Ag12 bpy
2/NH2 by a mixed-linker synthesis, together with dichromatic core-shell Ag12 bpy
2@Ag12 bpy-NH2 -2 via solvent-assisted linker exchange. This work provides
insight into the significance of metal arrangement on physical properties of
nanoclusters.
PMID- 29797757
TI - Fecal pollution: new trends and challenges in microbial source tracking using
next-generation sequencing.
AB - In this minireview, we expand upon traditional microbial source tracking (MST)
methods by discussing two recently developed, next-generation-sequencing (NGS)
based MST approaches to identify sources of fecal pollution in recreational
waters. One method defines operational taxonomic units (OTUs) that are specific
to a fecal source, e.g., humans and animals or shared among multiple fecal
sources to determine the magnitude and likely source association of fecal
pollution. The other method uses SourceTracker, a program using a Bayesian
algorithm, to determine which OTUs have contributed to an environmental community
based on the composition of microbial communities in multiple fecal sources.
Contemporary NGS-based MST tools offer a promising avenue to rapidly characterize
fecal source contributions for water monitoring and remediation efforts at a
broader and more efficient scale than previous molecular MST methods. However,
both NGS methods require optimized sequence processing methodologies (e.g.
quality filtering and clustering algorithms) and are influenced by primer
selection for amplicon sequencing. Therefore, care must be taken when
extrapolating data or combining datasets. Furthermore, traditional limitations of
library-dependent MST methods, including differential decay of source material in
environmental waters and spatiotemporal variation in source communities, remain
to be fully understood. Nevertheless, increasing use of these methods, as well as
expanding fecal taxon libraries representative of source communities, will help
improve the accuracy of these methods and provide promising tools for future MST
investigations.
PMID- 29797758
TI - A Simple Strategy to Construct Amorphous Metal-Free Room Temperature
Phosphorescent and Multi-Color Materials.
AB - It is urgent to develop a universal strategy for producing small organic
molecules exhibiting efficient room temperature phosphorescence (RTP). An
important contribution made by Ma and Tian et al. provides a general and
applicable strategy to obtain amorphous organic molecules with efficient RTP
emission by simply modifying phosphors onto beta-cyclodextrin (beta-CD). The
nonradiative decay processes and oxygen quenching have been significantly
suppressed by the strong intermolecular hydrogen bonding between beta-CD
derivatives. Furthermore, the cavity of beta-CDs endows them with the ability to
incorporate the adamantane moiety of the fluorescent guest molecules to construct
a supramolecular system which exhibits excellent RTP-fluorescence dual emission
properties and multicolor emission by altering the host to guest ratio and the
excitation wavelength.
PMID- 29797760
TI - Transcending data gaps: a framework to reduce inferential errors in ecological
analyses.
AB - The analysis of functional diversity (FD) has gained increasing importance due to
its generality and utility in ecology. In particular, patterns in the spatial
distribution and temporal change of FD are being used to predict locations and
functional groups that are immediately vulnerable to global changes. A major
impediment to the accurate measurement of FD is the pervasiveness of missing data
in trait datasets. While such prevalent data gaps can engender misleading
inferences in FD analyses, we currently lack any practical guide to handle
missing data in trait datasets. Here, we identify significant mismatches between
true FD and values derived from datasets that contain missing data. We
demonstrate that imputing missing data with a phylogeny-informed approach reduces
the risk of misinterpretation of FD patterns, and provides baseline information
against which central questions in ecology can be evaluated.
PMID- 29797759
TI - Biomimetic Donor-Acceptor Motifs in Conjugated Polymers for Promoting Exciton
Splitting and Charge Separation.
AB - Natural photosynthesis serves as a model for energy and chemical conversions, and
motivates the search of artificial systems that mimic nature's energy- and
electron-transfer chains. However, bioinspired systems often suffer from the
partial or even large loss of the charge separation state, and show moderate
activity owing to the fundamentally different features of the multiple compounds.
Herein, a selenium and cyanamide-functionalized heptazine-based melon (DA-HM) is
designed as a unique bioinspired donor-acceptor (D-A) light harvester. The
combination of the photosystem and electron shuttle in a single species, with
both n- and p-type conductivities, and extended spectral absorption, endows DA-HM
with a high efficiency in the transfer and separation of photoexcited charge
carriers, resulting in photochemical activity. This work presents a unique
conjugated polymeric system that shows great potential for solar-to-chemical
conversion by artificial photosynthesis.
PMID- 29797761
TI - The correlation between intensive care unit attending physician continuity of
care with financial and clinical outcomes.
AB - PURPOSE: "Attending rotations" on intensive care unit (ICU) services have been in
place in most teaching hospitals for decades. However, the ideal frequency of
patient care handoffs is unknown. Frequent attending physician handoffs could
result in delays in care and other complications, while too few handoffs can lead
to provider burnout and exhaustion. Therefore, we sought to determine the
correlation between frequency of attending shifts with ICU charges, 30-day
readmission rates, and mortality rates. METHODS: We performed a retrospective
cohort study at a large, urban, academic community hospital in Baltimore, MD. We
included patients admitted into the cardiac or medical ICUs between September 1,
2012, and December 10, 2015. We tracked the number of attending shifts for each
patient and correlated shifts with financial outcomes as a primary measure.
RESULTS: For any given ICU length of stay, we found no distinct association
between handoff frequency and charges, 30-day readmission rates, or mortality
rates. CONCLUSIONS: Despite frequent handoffs in care, there was no objective
evidence of care compromise or differences in cost. Further validation of these
observations in a larger cohort is justified.
PMID- 29797764
TI - Direct Participation of a Peripheral Side Chain of a Corrin Ring in Coenzyme B12
Catalysis.
AB - The crystal structures of the B12 -dependent isomerases (eliminating) diol
dehydratase and ethanolamine ammonia-lyase complexed with adenosylcobalamin were
solved with and without substrates. The structures revealed that the peripheral a
acetamide side chain of the corrin ring directly interacts with the adenosyl
group to maintain the group in the catalytic position, and that this side chain
swings between the original and catalytic positions in a synchronized manner with
the radical shuttling between the coenzyme and substrate/product. Mutations
involving key residues that cooperatively participate in the positioning of the
adenosyl group, directly or indirectly through the interaction with the a-side
chain, decreased the turnover rate and increased the relative rate of
irreversible inactivation caused by undesirable side reactions. These findings
guide the engineering of enzymes for improved catalysis and producing useful
chemicals by utilizing the high reactivity of radical species.
PMID- 29797763
TI - A single dose of intravenous combretastatin A4-phosphate is reasonably well
tolerated and significantly reduces tumour vascularization in canine spontaneous
cancers.
AB - Combretastatin A4-phosphate (CA4P) is an anti-tumour vascular targeting agent
which selectively blocks tumour blood flow. Research on CA4P in rodent tumour
models is extensive; however, knowledge of its effect on spontaneous cancer is
scarce. This study was conducted in canine patients with spontaneous solid
tumours. The goal was to assess the toxicity and efficacy of CA4P in various
spontaneous tumour types. Eight dogs with spontaneous tumours were enrolled and
treated with a single dose of 75 mg m-2 intravenous CA4P. The dogs were screened
and monitored before and after injection. Pre- and post-treatment tumour blood
flow was analysed in vivo by power Doppler ultrasound (PDUS) and contrast
enhanced ultrasound (CEUS). Vessel destruction and tumour necrosis were evaluated
by histopathology. Clinically relevant toxicity was limited to one case of
temporary tetraparesis; other adverse events were mild. Significant
cardiovascular changes were mostly confined to changes in heart rate and cTnI
levels. Macroscopic tumour size reduction was evident in 2 dogs. Based on PDUS
and CEUS, CA4P induced a significant decrease in vascular index and tumour blood
flow. Post-treatment, histopathology revealed a significant increase of necrotic
tumoural tissue and a significant reduction in microvessel density in tumoural
tissue. Anti-vascular and necrotizing effects of CA4P were documented in a
variety of canine spontaneous cancers with only minimal side effects. This is the
first study reporting the administration of CA4P to canine cancer patients with
in vivo and ex vivo assessment, and a first step toward implementing CA4P in
combination therapies in veterinary oncology patients. The use of CA4P in canine
patients was approved and registered by the Belgian Federal Agency for Medicines
and Health Products (FAMHP) (approval number 0002588, registration number 6518 ID
2F12).
PMID- 29797762
TI - A combined tissue-engineered/in silico signature tool patient stratification in
lung cancer.
AB - Patient-tailored therapy based on tumor drivers is promising for lung cancer
treatment. For this, we combined in vitro tissue models with in silico analyses.
Using individual cell lines with specific mutations, we demonstrate a generic and
rapid stratification pipeline for targeted tumor therapy. We improve in vitro
models of tissue conditions by a biological matrix-based three-dimensional (3D)
tissue culture that allows in vitro drug testing: It correctly shows a strong
drug response upon gefitinib (Gef) treatment in a cell line harboring an EGFR
activating mutation (HCC827), but no clear drug response upon treatment with the
HSP90 inhibitor 17AAG in two cell lines with KRAS mutations (H441, A549). In
contrast, 2D testing implies wrongly KRAS as a biomarker for HSP90 inhibitor
treatment, although this fails in clinical studies. Signaling analysis by phospho
arrays showed similar effects of EGFR inhibition by Gef in HCC827 cells, under
both 2D and 3D conditions. Western blot analysis confirmed that for 3D
conditions, HSP90 inhibitor treatment implies different p53 regulation and
decreased MET inhibition in HCC827 and H441 cells. Using in vitro data (western,
phospho-kinase array, proliferation, and apoptosis), we generated cell line
specific in silico topologies and condition-specific (2D, 3D) simulations of
signaling correctly mirroring in vitro treatment responses. Networks predict drug
targets considering key interactions and individual cell line mutations using the
Human Protein Reference Database and the COSMIC database. A signature of
potential biomarkers and matching drugs improve stratification and treatment in
KRAS-mutated tumors. In silico screening and dynamic simulation of drug actions
resulted in individual therapeutic suggestions, that is, targeting HIF1A in H441
and LKB1 in A549 cells. In conclusion, our in vitro tumor tissue model combined
with an in silico tool improves drug effect prediction and patient
stratification. Our tool is used in our comprehensive cancer center and is made
now publicly available for targeted therapy decisions.
PMID- 29797765
TI - Torsion of low-grade appendiceal mucinous neoplasm.
PMID- 29797766
TI - Olfactory co-receptor Orco stimulated by Rice stripe virus is essential for host
seeking behavior in small brown planthopper.
AB - BACKGROUND: Laodelphax striatellus, the small brown planthopper (SBPH), is an
economically important pest, besides sucking damage, which transmits rice viruses
to cause severe damages to rice. In the process of virus transmission, the host
orientation behavior of insect is mainly driven by olfaction. In this context,
the molecular basis of olfaction in SBPH is of particular interest. RESULTS:
Here, we identified the gene that encodes olfactory receptor co-receptor (Orco)
and analyzed its expression profiles in Rice stripe virus (RSV)-infected and RSV
free SBPH. It was found that LstrOrco shared high identity with other Orcos from
different order insects. LstrOrco was mainly expressed in the head of SBPH, and
its expression was significantly stimulated by RSV-infection. The behavioral
bioassay revealed that viruliferous SBPH might have a stronger olfactory and
seeking ability for rice than RSV-free insect. After silencing of LstrOrco
expression, the olfaction and seeking behavior of nymphs for rice seedlings was
significantly inhibited, mainly in the increase of the 'no response' percent and
the prolongation of the response time. CONCLUSION: These results suggested that
Orco played an important role in olfactory signaling and seeking behavior of
SBPH, which provided a basic for future development of olfactory-based
agriculture pest management strategies. (c) 2018 Society of Chemical Industry.
PMID- 29797767
TI - Hypoglycaemia in hospitalized patients with or without heart failure.
AB - Hospitalized patients with heart failure (HF) undergoing bedside glucose
monitoring with subcutaneous insulin orders were retrospectively identified over
2 years. Hypoglycaemia was defined as any glucose value <3.9 mmol/L (70 mg/dL)
within 24 hours of admission (Hypo1day ) or throughout the hospitalization (HypoT
) or any glucose value <2.2 mmol/L (40 mg/dL) throughout the hospitalization
(HypoSevere ). A total of 13 424 patients were included, of whom 2484 had HF.
Patients with HF were more likely to have Hypo1day (9.1% vs 7.0%, P = .0003),
HypoT (28% vs 18.5%, P < .0001), or Hypo Severe (3.4% vs 2.1%, P = .0001). After
controlling for other variables, the odds of Hypo1day were similar between the HF
and non-HF groups (odds ratio [OR] 1.14, 95% CI 0.94-1.39, P = .18, fully
adjusted model), slightly lower for HypoT (OR 0.85, 95% CI 0.73-0.99, P = .03,
fully adjusted model), and similar for HypoSevere (OR 1.25, 95% CI 0.91-1.70, P =
.17). Hypo1day , HypoT and HypoSevere were all associated with increased
mortality; there was no evidence of an interaction by HF status. Hypoglycaemia
occurred at a similar or lower frequency in hospitalized patients with HF
compared to those without HF. Hypoglycaemia was associated with increased
hospital mortality, regardless of HF status.
PMID- 29797768
TI - Survival times in dogs with presumptive intracranial gliomas treated with oral
lomustine: A comparative retrospective study (2008-2017).
AB - Intracranial gliomas are a common malignancy in dogs, and are associated with a
poor prognosis due to their aggressive nature and a lack of clinically effective
treatments. The efficacies of various treatment modalities for canine brain
tumours have been previously described, though little data exist on the use of
cytotoxic chemotherapy. A comparative retrospective study, including 40 cases
from 5 northeastern US veterinary hospitals, from 2008 to 2017, was conducted.
Variables analysed in this study with relation to overall survival and prognostic
significance included: age, sex, clinical signs, clinical sign duration, tumour
location and treatment protocol used. Dogs with presumptive intracranial gliomas
treated with lomustine chemotherapy lived longer (median, 138 days) than those
treated exclusively with symptomatic care (median, 35 days; P = .0026 log-rank,
0.0138 Wilcoxon). Additionally, a duration of clinical signs >=16 days prior to
diagnosis (median, 109 days) was associated with a longer survival than a
duration <16 days prior (median, 25 days; P = .0100 log-rank, 0.0322 Wilcoxon).
Lomustine-associated side effects included neutropenia in 46% of dogs, anaemia in
15% and thrombocytopenia in 15%. Potential renal and hepatotoxicity based on
increased BUN and/or creatinine and ALT values were reported in 15% and 50% of
dogs, respectively. This study provides evidence that lomustine therapy may be
effective in prolonging survival in dogs with intracranial gliomas and should be
considered as a potential treatment option. Although lomustine-related toxicities
are fairly common, they are rarely life threatening and often do not result in
discontinuation of therapy.
PMID- 29797769
TI - The genome of an endosymbiotic methanogen is very similar to those of its free
living relatives.
AB - The methanogenic endosymbionts of anaerobic protists represent the only known
intracellular archaea, yet, almost nothing is known about genome structure and
content in these lineages. Here, an almost complete genome of an intracellular
Methanobacterium species was assembled from a metagenome derived from its host
ciliate, a Heterometopus species. Phylogenomic analysis showed that the
endosymbiont was closely related to free-living Methanobacterium isolates, and
when compared with the genomes of free-living Methanobacterium, the endosymbiont
did not show significant reduction in genome size or GC content. Additionally,
the Methanobacterium endosymbiont genome shared the majority of its genes with
its closest relative, though it did also contain unique genes possibly involved
in interactions with the host via membrane-associated proteins, the removal of
toxic by-products from host metabolism and the production of small signalling
molecules. Though anaerobic ciliates have been shown to transmit their
endosymbionts to daughter cells during division, the results presented here could
suggest that the endosymbiotic Methanobacterium did not experience significant
genetic isolation or drift and/or that this lineage was only recently acquired.
Altogether, comparative genomic analysis identified genes potentially involved in
the establishment and maintenance of the symbiosis, as well provided insight into
the genomic consequences for an intracellular archaeum.
PMID- 29797770
TI - Transient receptor potential (TRP) channels: a metabolic TR(i)P to obesity
prevention and therapy.
AB - Cellular transport of ions, especially by ion channels, regulates physiological
function. The transient receptor potential (TRP) channels, with 30 identified so
far, are cation channels with high calcium permeability. These ion channels are
present in metabolically active tissues including adipose tissue, liver,
gastrointestinal tract, brain (hypothalamus), pancreas and skeletal muscle, which
suggests a potential role in metabolic disorders including obesity. TRP channels
have potentially important roles in adipogenesis, obesity development and its
prevention and therapy because of their physiological properties including
calcium permeability, thermosensation and taste perception, involvement in cell
metabolic signalling and hormone release. This wide range of actions means that
organ-specific actions are unlikely, thus increasing the possibility of adverse
effects. Delineation of responses to TRP channels has been limited by the poor
selectivity of available agonists and antagonists. Food constituents that can
modulate TRP channels are of interest in controlling metabolic status. TRP
vanilloid 1 channels modulated by capsaicin have been the most studied,
suggesting that this may be the first target for effective pharmacological
modulation in obesity. This review shows that most of the TRP channels are
potential targets to reduce metabolic disorders through a range of mechanisms.
PMID- 29797771
TI - Review article: Best practice management of closed hand and wrist injuries in the
emergency department (part 5 of the musculoskeletal injuries rapid review
series).
AB - Acute hand and wrist injuries are a common presentation to the ED and are
associated with large individual and societal costs. Appropriate management of
these injuries in the ED is crucial given that optimal hand function is essential
for daily activities and quality of life. This rapid review investigated best
practice for the assessment and management of common closed hand and wrist
injuries in the ED. Databases were searched in 2017, including PubMed, CINAHL,
EMBASE, TRIP and the grey literature, including relevant organisational websites.
Primary studies, systematic reviews and guidelines published in English language
in the past 12 years that addressed the acute assessment, management, follow-up
plan or prognosis were considered for inclusion. Data extraction of included
articles was conducted, followed by quality appraisal to rate the level of
evidence. The search revealed 2454 articles, of which 55 were included in the
review (n = 23 primary articles, n = 26 systematic reviews and n = 6 guidelines).
This rapid review provides clinicians who manage common closed fractures and soft
tissue injuries of the hand and wrist in the ED, a summary of the best available
evidence to enhance the quality of care for optimal patient outcomes. There is
evidence to support taking a thorough history and physical examination with
consideration of occupational and functional factors, restoring alignment and
immobilising when necessary and referring onwards. Key points regarding the
diagnosis and management of these injuries are provided.
PMID- 29797772
TI - Identification of volatile markers for the detection of adulterants in red
ginseng (Panax ginseng) juice using headspace stir-bar sorptive extraction
coupled with gas chromatography and mass spectrometry.
AB - Red ginseng (Panax ginseng) products are frequently adulterated by manufacturers
with cheaper medicinal plant products including deodeok (Codonopsis lanceolata)
and doraji (Platycodon grandiflorum) to increase profits. To identify possible
volatile markers for the adulteration of red ginseng juices with deodeok or
doraji, a headspace stir-bar sorptive extraction method was developed. Gas
chromatography with mass spectrometry and untargeted metabolomics analysis
revealed that 1-hexanol, cis-3-hexen-1-ol, and trans-2-hexen-1-ol are abundantly
present in deodeok and doraji but not red ginseng. The peak area ratios in gas
chromatograms of these compounds in red ginseng juices mixed with deodeok or
doraji indicate that these volatile chemicals can be used as markers to detect
the adulteration of red ginseng juice.
PMID- 29797773
TI - Baseline Characteristics of the Autosomal Dominant Polycystic Kidney Disease
Subcohort of the KoreaN Cohort Study for Outcomes in Patients With Chronic Kidney
Disease (KNOW-CKD).
AB - AIM: The aim of this study was to describe the baseline characteristics of
autosomal dominant polycystic kidney disease (ADPKD) in a cohort of Korean
patients with chronic kidney disease (CKD). METHODS: From April 2011 to February
2016, patients with CKD stage 1 to 5 (pre-dialysis) were enrolled as an ADPKD
subcohort of the KoreaN Cohort Study for Outcomes in Patients With Chronic Kidney
Disease. Baseline characteristics, the correlation of kidney and liver volume and
kidney function, and the factors associated with kidney function were analyzed.
RESULTS: A total of 364 ADPKD patients with a mean estimated glomerular
filtration rate (eGFR) of 68.1 +/- 33.3 mL/min/1.73 m2 (50.5% male with a mean
age of 47.0 +/- 10.6 years) were enrolled from nine hospitals in Korea.
Initially, 55.8% of the patients were asymptomatic, and pain was the most common
symptom (12.9%); 87.6% and 77.5% of the patients had hypertension and hepatic
cysts, respectively. The height-adjusted total kidney volumes (htTKV) were higher
in male patients than in female patients. In contrast, the height-adjusted total
liver volumes were higher in female patients than in male patients. The decrease
rate of eGFR depending on Log(htTKV) was larger in the group aged between 41 and
50 than the other age groups. Older age, a higher 24-hour urine protein
excretion, larger htTKV, and hyperuricemia were independently associated with
lower eGFR, whereas using febuxostat was independently associated with higher
eGFR. CONCLUSION: This subcohort will provide clinical characteristics and
outcomes of Korean ADPKD patients and can compare with those of other previous
cohorts. We have identified factors associated with advanced-stage CKD in Korean
patients with ADPKD. This article is protected by copyright. All rights reserved.
PMID- 29797774
TI - Clustered miRNAs and their role in biological functions and diseases.
AB - MicroRNAs (miRNAs) are endogenous, small non-coding RNAs known to regulate
expression of protein-coding genes. A large proportion of miRNAs are highly
conserved, localized as clusters in the genome, transcribed together from
physically adjacent miRNAs and show similar expression profiles. Since a single
miRNA can target multiple genes and miRNA clusters contain multiple miRNAs, it is
important to understand their regulation, effects and various biological
functions. Like protein-coding genes, miRNA clusters are also regulated by
genetic and epigenetic events. These clusters can potentially regulate every
aspect of cellular function including growth, proliferation, differentiation,
development, metabolism, infection, immunity, cell death, organellar biogenesis,
messenger signalling, DNA repair and self-renewal, among others. Dysregulation of
miRNA clusters leading to altered biological functions is key to the pathogenesis
of many diseases including carcinogenesis. Here, we review recent advances in
miRNA cluster research and discuss their regulation and biological functions in
pathological conditions.
PMID- 29797775
TI - Practice patterns of female urologists in Australia and New Zealand.
AB - OBJECTIVE: To analyse the practice patterns of female urologists in Australia and
New Zealand. PARTICIPANTS AND METHODS: An electronic survey was sent to female
urologists and urology trainees of the Urological Society of Australia and New
Zealand in December 2016, with questions on demographics, practice patterns and
views on mentorship. RESULTS: Of 82 recipients of the questionnaire, 60 (73.2%)
responded. Of these, 61.7% were aged <40 years, 81.7% were married or in a long
term relationship and 56.7% had children. A total of 67.8% had completed urology
training. Of these, most had commenced clinical practice within the preceding 12
years, most had taken no time off in training and most had taken <1 year away
from clinical practice. A total of 74.4% practised in a metropolitan area and
42.5% described their practice as being general urology. High or moderate
satisfaction levels were reported by 88.1% of respondents and 92.9% intended to
retire before the age of 70 years. A total of 17.2% had not had a mentor and
80.7% thought a mentorship scheme would be useful. CONCLUSION: These results
provide information on the practice patterns of the increasing number of women
urologists in Australia and New Zealand and have the potential to shape workforce
and training planning in this region and worldwide.
PMID- 29797776
TI - Continuous In-Line Virus Inactivation for Next Generation Bioprocessing.
AB - Viral inactivation plays a critical role in assuring the safety of monoclonal
antibody (mAb) therapeutics. Traditional viral inactivation involves large
holding tanks in which product is maintained at a target low pH for a defined
hold time, typically 30-60 min. The drive toward continuous processing and
improved facility utilization has provided motivation for development of a
continuous viral inactivation process. To this end, a lab-scale prototype viral
inactivation system was designed, built, and characterized. Multiple incubation
chamber designs are evaluated to identify the optimal design that enables narrow
residence time distributions in continuous flow systems. Extensive analysis is
conducted supporting rapid low pH viral inactivation and included evaluations
with multiple viruses, a range of pH levels, buffer compositions, mAb
concentrations, and temperatures. Multiple test conditions are evaluated using
the in-line system and results compared to traditional batch-mode viral
inactivation. Comparability in kinetics of virus inactivation suggests
equivalency between the two approaches.
PMID- 29797777
TI - Missing data handling in non-inferiority and equivalence trials: A systematic
review.
AB - BACKGROUND: Non-inferiority (NI) and equivalence clinical trials test whether a
new treatment is therapeutically no worse than, or equivalent to, an existing
standard of care. Missing data in clinical trials have been shown to reduce
statistical power and potentially bias estimates of effect size; however, in NI
and equivalence trials, they present additional issues. For instance, they may
decrease sensitivity to differences between treatment groups and bias toward the
alternative hypothesis of NI (or equivalence). AIMS: Our primary aim was to
review the extent of and methods for handling missing data (model-based methods,
single imputation, multiple imputation, complete case), the analysis sets used
(Intention-To-Treat, Per-Protocol, or both), and whether sensitivity analyses
were used to explore departures from assumptions about the missing data. METHODS:
We conducted a systematic review of NI and equivalence trials published between
May 2015 and April 2016 by searching the PubMed database. Articles were reviewed
primarily by 2 reviewers, with 6 articles reviewed by both reviewers to establish
consensus. RESULTS: Of 109 selected articles, 93% reported some missing data in
the primary outcome. Among those, 50% reported complete case analysis, and 28%
reported single imputation approaches for handling missing data. Only 32%
reported conducting analyses of both intention-to-treat and per-protocol
populations. Only 11% conducted any sensitivity analyses to test assumptions with
respect to missing data. CONCLUSION: Missing data are common in NI and
equivalence trials, and they are often handled by methods which may bias
estimates and lead to incorrect conclusions.
PMID- 29797778
TI - Progression to total hip arthroplasty following hip arthroscopy.
AB - BACKGROUND: Hip arthroscopy is a minimally invasive surgical technique
increasingly being used to treat hip pathology. There is evidence that a
proportion of patients require total hip arthroplasty in the years immediately
following arthroscopy, suggesting that these patients have derived only a limited
benefit from the procedure. Identification of risk factors for early progression
to hip arthroplasty may enable refinement of hip arthroscopy indications and more
informed decision making. The aim of this study is to identify the proportion of
patients in a hip arthroscopy cohort who progress to total hip arthroplasty
within 2 years of arthroscopy, and to analyse risk factors for this early
progression. METHODS: A retrospective cohort analysis was conducted on all
patients who underwent hip arthroscopy at one tertiary institution from 2004 to
2013. Hospital data were linked to the Australian Orthopaedic Association
National Joint Replacement Registry in 2016 to identify subsequent hip
arthroplasty. RESULTS: There were 989 arthroscopies performed on 947 patients;
447 were female (48.1%), the mean age was 41.1 years (SD: 14.23) and
osteoarthritis was present at arthroscopy in 31.5%. Total hip arthroplasty
occurred in 129 patients (13%) within 2 years. Multivariable logistic regression
revealed osteoarthritis, age >50 years and previous arthroscopy were significant
risk factors for arthroplasty within 2 years (adjusted odds ratios (confidence
intervals): 4.6 (2.91-7.16), 3.8 (2.44-5.87), 2.5 (1.16-5.81)). CONCLUSIONS:
Osteoarthritis, older age and history of arthroscopy were independent risk
factors for early progression to arthroplasty; these factors should be considered
within clinical decision-making, and when discussing potential arthroscopy
outcomes with patients.
PMID- 29797779
TI - The interplay among stress, frustration tolerance, mindfulness, and social
support in Internet gaming disorder symptoms among Chinese working adults.
AB - INTRODUCTION: Internet gaming disorder (IGD) is a growing mental health threat
across age groups, but existing literature regarding IGD mainly focuses on
student populations. Empirical investigation of the risk and protective factors
in adult populations is warranted. This study aimed to fill the research gap by
examining whether stress and 3 positive psychology factors (ie, frustration
tolerance, mindfulness, and social support) are associated with IGD symptoms in
working adults. It was also the first attempt to test the buffering effects of
these positive psychology factors on the relationship between stress and IGD
vulnerability. METHOD: This cross-sectional study was conducted in Shenzhen,
China. We recruited 327 full-time working Chinese adults (mean age = 31.93
years), who had online gaming experience and voluntarily completed an anonymous
questionnaire with DSM-5 criteria to measure their IGD symptoms. RESULTS:
Internet gaming disorder symptoms were positively correlated with stress and
negatively correlated with the 3 positive psychology factors, among which
mindfulness emerged as the most salient protective factor. Moreover, mindfulness,
but not frustration tolerance and social support, was found to significantly
alleviate the relationship between stress and IGD. DISCUSSION: Our findings
provide supportive evidence for the protective and moderating roles of positive
psychology variables against IGD among Chinese working adults. Workplace-based
prevention programs may take the identified factors into account to help promote
individuals' personal resources to mitigate development of IGD.
PMID- 29797781
TI - The impact of growth rate and environmental factors on mutation rates and spectra
in Escherichia coli.
AB - Genetic variation in bacterial populations is remarkably sensitive to
environmental influences, including simple, nutritional differences. Not only the
rate but also the kind of mutational changes is biased by the nutritional state
of bacteria. Here we investigate the mutational consequences of a universal
variable for free-living bacteria, namely the growth rate. By controlling growth
in chemostats, the rate and mix of mutations was investigated for populations of
Escherichia coli subject to different specific growth rates. Both aerobic and
anaerobic cultures were compared with see if growth rate is a factor in the
commonest respiratory conditions for E. coli. We find mutation rates are raised
markedly with decreasing growth rate. Base pair substitutions and 1-bp insertions
and deletions increase with reduced growth rate, but less so in anaerobic
cultures. Insertion sequence movements are particularly sensitive to growth rate,
with IS2 being optimal at intermediate growth rates whereas IS1 and IS150
movements are highest at the slowest tested growth rate. A comprehensive
comparison of growth rate effects, as well as six other environmental factors,
provides the most complete picture yet of the range of mutational signatures in
bacterial genetic variation.
PMID- 29797780
TI - Autoimmune antibodies and asbestos exposure: Evidence from Wittenoom, Western
Australia.
AB - BACKGROUND: Studies comparing different forms of asbestos are rare, and limited
by the failure to compare results with unexposed populations. We compare
autoimmune responses among former workers and residents of the crocidolite mining
and milling town of Wittenoom, Western Australia, with an unexposed population.
METHODS: ANA testing using indirect immunofluorescence was performed on randomly
selected serum samples from Wittenoom workers or residents and compared with
those from participants of another unexposed cohort study. RESULTS: ANA scores
were higher in the Wittenoom participants compared with Busselton and the odds of
being ANA positive was fivefold greater among Wittenoom participants than
Busselton (OR 5.5, 95%CI 2.3-13.0). CONCLUSIONS: This study is the first to
report increased ANA positivity among persons exposed exclusively to crocidolite.
This finding of a high frequency of positive ANA tests among crocidolite-exposed
subjects may be an indicator for an increased risk of systemic autoimmune
diseases and needs further scrutiny.
PMID- 29797782
TI - What is the role of the isolated small water pool near FeMo-co, the active site
of nitrogenase?
AB - The enzyme nitrogenase converts N2 to NH3 , and hydrogenates many other small
unsaturated molecules, using multiple electrons and multiple protons. The protein
contains a number of water structures in the vicinity of the active site, FeMo
co, and functional roles have been assigned to two of these with detailed
mechanisms proposed for the serial ingress of protons and the egress of product
NH3 . A separate small water pool (SWP), in a different part of the protein
surrounding FeMo-co, has unknown function. A recent investigation of protein
crystals soaked in low-pH buffer revealed changes in residues near this SWP, and
suggested that it could be involved in proton transfer steps. This paper examines
the SWP in three protein crystal structures, Azotobacter vinelandii (Av1) and
Clostridium pasterianum (Cp1) in their neutral resting states, and Cp1 at low pH.
The H atoms, not observed crystallographically, were patched in through density
functional calculations using large protein models. Optimisation of the various
possibilities, with assessment against crystal dimensions, yielded the most
probable distributions of hydrogen atoms in the hydrogen bonds, and the location
of H3 O+ in the low-pH state. These detailed structures vary in water content and
water involvement with surrounding residues, and vary also in their hydrogen
bonding to S atoms of FeMo-co. A conserved mechanism for proton transfer to FeMo
co is not evident, and it is concluded that the SWP has no role in the mechanism
of nitrogenase.
PMID- 29797783
TI - Kidney symptom questionnaire: Development, content validation and relationship
with quality of life.
AB - BACKGROUND: Chronic kidney disease (CKD) is associated with a range of symptoms,
even at early stages. The importance of patient symptom experience is
increasingly recognised, but validated symptom scores are lacking. OBJECTIVES:
This study aimed to refine an existing symptom questionnaire for use with
patients not requiring renal replacement therapy (RRT), carry out content
validity testing and explore convergent validity by comparing symptom scores with
quality of life (QoL). DESIGN: A mixed-methods approach involving questionnaires,
semi-structured interviews and a focus group. PARTICIPANTS: Patients with CKD not
undergoing RRT and expert health professionals. APPROACH: Two hundred and
nineteen patients completed an existing symptom questionnaire. The most commonly
reported symptoms were identified, and descriptions refined in 11 semi-structured
interviews. The questionnaire design was reviewed by a focus group. Content
validity was established by a panel of expert health professionals. Seventy
patients completed both the symptom questionnaire and a health-related QoL
questionnaire (EQ-5D-5L). RESULTS: Thirteen common symptoms were identified.
During the content validity phase, 13/16 experts responded (81%); 10/13 symptoms
had 'excellent' or 'good' evaluation scores, and the content validity index of
the whole questionnaire was 0.81, falling within the recommended threshold. Total
symptom frequency scores, number of symptoms and the frequencies of 10/13
individual symptoms were all strongly associated with health-related QoL (EQ-5D
5L index score; p < 0.002 for all). CONCLUSION: This work has provided a new,
validated symptom score for patients with CKD not requiring RRT for clinical
management and research purposes.
PMID- 29797784
TI - Susceptibility to antibiotics in isolates of Lactobacillus plantarum RAPD-type
Lp299v, harvested from antibiotic treated, critically ill patients after
administration of probiotics.
AB - Recultured Lactobacillus plantarum 299v-like strains were tested regarding
antibiotic susceptibility, and no decrease was detected. Antibiotics are
frequently used to treat patients in intensive care units (ICUs) and are
associated with a significant risk of selection of resistant bacterial strains.
In particular, it is possible that genetic transfer of antibiotic resistance to
the resident gastrointestinal flora, as well as to administered probiotics, may
be increased in the ICU setting. The aim of the present investigation was to
detect possible changes in antimicrobial susceptibility in reisolates of the
probiotic strain Lactobacillus plantarum 299v (Lp299v) given to antibiotic
treated, critically ill patients. Lp299v-like strains were identified in cultures
of biopsies and fecal samples from 32 patients given the probiotic strain
enterally in two previous ICU studies. The patients received a variety of
antibiotics. Isolates with the same genomic RAPD profile (RAPD-type) as Lp299v
were obtained to enable monitoring of antibiotic susceptibility by E-tests. Forty
two isolates, collected throughout the course of illness, were tested against 22
different antibiotics. No obvious decrease in susceptibility was found for 21 of
the tested antibiotics. There was a tendency toward decreased susceptibility to
ampicillin. The stable antibiotic susceptibility profiles of the Lp299v-like
isolates studied here suggests this probiotic is less likely to acquire
resistance when administered to critically ill patients treated with broad
spectrum antibiotics.
PMID- 29797785
TI - Computational investigation on the binding modes of Rimonabant analogs with CB1
and CB2.
AB - The human cannabinoid G-protein-coupled receptor 1 (CB1) is highly expressed in
central nervous system. CB1-selective antagonists show therapeutic promise in a
wide range of disorders, such as obesity-related metabolic disorders,
dyslipidemia, drug abuse, and type 2 diabetes. Rimonabant (SR141716A), MJ08, and
MJ15 are selective CB1 antagonists with selectivity >1,000-folds over CB2 despite
42% sequence identity between CB1 and CB2. The integration of homology modeling,
automated molecular docking, and molecular dynamics simulation were used to
investigate the binding modes of these selective inverse agonists/antagonists
with CB1 and CB2 and their selectivity. Our analyses showed that the hydrophobic
interactions between ligands and hydrophobic pockets of CB1 account for the main
binding affinity. In addition, instead of interacting with ligands directly as
previously reported, the Lys1923.28 in CB1 was engaged in indirect interactions
with ligands to keep inactive-state CB1 stable by forming the salt bridge with
Asp1762.63 . Lastly, our analyses indicated that the selectivity of these
antagonists came from the difference in geometry shapes of binding pockets of CB1
and CB2. The present study could guide future experimental works on these
receptors and has the guiding significance for the design of functionally
selective drugs targeting CB1 or CB2 receptors.
PMID- 29797786
TI - Generation of High Expressing Chinese Hamster Ovary Cell Pools Using the Leap-In
Transposon System.
AB - Clonally derived cell lines (CDCL) from Chinese Hamster Ovary (CHO) host cell
lines, remain the most popular method to manufacture therapeutic proteins.
However, CHO cell pools are increasingly being used as an alternate method to
produce therapeutic proteins for preclinical drug development in an effort to
shorten the time required for new drug development. It is essential that these
CHO pools exhibit the desired attributes of CHO CDCLs such as high protein titers
and consistent product quality attributes (PQAs). In this study the authors
evaluated the Leap-In Transposase(r), for the expression of four different
proteins (three mAbs and one Bispecific mAb). The resultant pool titers ranges
from 2.0 to 5.0 g L-1 for the four proteins compared to 1.5-3.3 g L-1 from the
respective control pools (generated by random gene integration). The resultant
cell pools are a homogeneously expressing cell population. The average gene copy
numbers are similar or lower in the evaluation pools relative to the control
pools. The higher titers in the evaluation pools are attributed to higher levels
of both IgG-LC and IgG-HC mRNA. In conclusion, the Leap-In transposase generates
high titer, homogeneous CHO pools in a short time-period without introducing any
undesired PQAs.
PMID- 29797787
TI - Caring for older patients in the emergency department: Health professionals'
perspectives from Australia - The Safe Elderly Emergency Discharge project.
AB - OBJECTIVE: To explore health professionals' perspectives about caring for
community-dwelling older patients in the ED. METHODS: This exploratory
qualitative study was undertaken with emergency nursing, medical and allied
health staff from the ED of a large metropolitan public hospital in Melbourne.
Nine focus groups (n = 54) and seven interviews were conducted between 2013 and
2014. Data were thematically analysed. RESULTS: Health professionals described
tailoring their approach when caring for older patients, including adopting a
specific communication approach (i.e. increased voice volume, slower rate of
speech). Caring for older patients was perceived as challenging given the need to
balance the expectations of family members to deal with associated complex needs
and limited time for transitional care planning in the ED. The environment and
equipment were perceived as unsuitable, alongside a lack of geriatric-specific
knowledge; contributing to what health professionals described as a poor fit
between the ED system and older patients' needs. CONCLUSION: The growing number
of older patients presents numerous challenges for emergency health professionals
and necessitates a tailored approach to care. Understanding health professionals'
perspectives about caring for older patients can inform strategies that may
improve the quality of care. Creating older person-friendly areas, improving
transitional care and providing staff with specific education would foster an
environment that promotes person-centred care, safety, independence and
functional wellbeing.
PMID- 29797788
TI - Using digital inpainting to estimate incident light intensity for the calculation
of red blood cell oxygen saturation from microscopy images.
AB - Red blood cell oxygen saturation (SO2 ) is an important indicator of oxygen
supply to tissues in the body. SO2 can be measured by taking advantage of
spectroscopic properties of hemoglobin. When this technique is applied to
transmission microscopy, the calculation of saturation requires determination of
incident light intensity at each pixel occupied by the red blood cell; this value
is often approximated from a sequence of images as the maximum intensity over
time. This method often fails when the red blood cells are moving too slowly, or
if hematocrit is too large since there is not a large enough gap between the
cells to accurately calculate the incident intensity value. A new method of
approximating incident light intensity is proposed using digital inpainting. This
novel approach estimates incident light intensity with an average percent error
of approximately 3%, which exceeds the accuracy of the maximum intensity-based
method in most cases. The error in incident light intensity corresponds to a
maximum error of approximately 2% saturation. Therefore, though this new method
is computationally more demanding than the traditional technique, it can be used
in cases where the maximum intensity-based method fails (eg, stationary cells),
or when higher accuracy is required.
PMID- 29797789
TI - Effect of treatment delay on the effectiveness and safety of antifibrinolytics in
acute severe hemorrhage.
PMID- 29797790
TI - Thermoelectric heat exchange and growth regulation in a continuous yeast culture.
AB - We have designed a thermoelectric heat exchanger (TEHE) for microbial
fermentations that is able to produce electric power from a microbial continuous
culture using the intrinsic heat generated by microbial growth. While the TEHE
was connected, the system proved able to stably self-maintain both the
temperature and the optical density of the culture. This paves the way toward a
more sustainable operation of microbial fermentations, in which energy could be
saved by converting part of the metabolic heat into usable electric power.
PMID- 29797791
TI - Early activation of CD95 is limited and localized to the cytotoxic synapse.
AB - The cytotoxic synapse formed between cytotoxic T lymphocytes or natural killer
cells expressing CD95L and target cells with CD95 on their surface is a key
pathway for apoptosis induction by the immune system. Despite similarities with
the immune synapse in antigen presenting cells, little is known about the role of
the spatiotemporal organization of agonistic proteins/receptor interactions for
CD95 signaling. Here, we have developed an artificial cytotoxic synapse to
examine how mobility and geometry of an anti-CD95 agonistic antibody affect
receptor aggregation and mobility, ie the first step of receptor activation. By
measuring the distribution, diffusion coefficient, and fraction of immobile CD95
receptor in living cells, we show that at short times, the initial activation of
CD95 occurs locally and is limited to the contact region of the cytotoxic
synapse. This anisotropic activation of apoptotic signaling supports a role for
confined interactions on the efficiency of signal transduction that may have
implications for biomedical applications of extrinsic apoptosis induction.
PMID- 29797792
TI - The effect of aberrant expression and genetic polymorphisms of Rad21 on cervical
cancer biology.
AB - The therapeutic challenge of advanced, recurrent, and refractory cervical cancer
(CC) needs to develop new molecularly targeted drugs. Rad21 is an important
regulatory gene that maintains the correct dissociation of sister chromatids
during cell mitosis. The aim of this study was to investigate the effect of Rad21
on CC. Rad21 expression in CC and cervical intraepithelial neoplasia III was
significantly increased. Women with the rs2289937 C genotype (CC+CT) of rs4570
and rs4579555 genotypes and haplotype 1 (TTTCAGGCGC) were significantly
associated with CC risk, while women with low frequencies of haplotype 6
(TTTTAGGCGC) also increased the risk of CC.Rad21-specific shRNA decreased
cancerous cell proliferation, migration, and invasion and increased the
proportion of cells in G2/M phase as well as sensitivity to radiation. The Rad21
influenced the expression of XPO1, CyclinB1, CDK1, P21, P27, and P53 through up
and downregulating the Rad21 expression. The TCGA database of CC also showed that
Rad21 expression was associated with poor disease survival and XPO1 expression.
Moreover, the KEGG pathway indicated that Rad21 is broadly involved in the cell
cycle and RNA transportation via XPO1. This suggests that Rad21 involves the
development of cervical cancer possibly by participating in the regulation of
cell cycle and the nuclear output of the tumor suppressor gene via XPO1.
PMID- 29797794
TI - Identification and characterization of bladder cancer by low-resolution fiber
optic Raman spectroscopy.
AB - Raman spectroscopy has been proved to be a promising diagnostic technique for
various cancers detection. A major drawback for its clinical translation is the
intrinsic weakness of Raman effects. Highly sensitive equipment and optimal
measurement conditions are generally applied to overcome this drawback. However,
these equipment are usually bulky, expensive and may also be easily influenced by
surrounding environment. In this preliminary work, a low-resolution fiber-optic
Raman sensing system is applied to evaluate the diagnostic potential of Raman
spectroscopy to identify different bladder pathologies ex vivo. A total number of
262 spectra taken from 32 bladder specimens are included in this study. These
spectra are categorized into 3 groups by histopathological analysis, namely
normal bladder tissues, low-grade bladder tumors and high-grade bladder tumors.
Principal component analysis fed artificial neural network are used to train a
classification model for the spectral data with 10-fold cross-validation and an
overall prediction accuracy of 93.1% is obtained. The sensitivities and
specificities for normal bladder tissues, low-grade bladder tumors and high-grade
bladder tumors are 88.5% and 95.1%, 90.3% and 98%, and 97.5% and 96.4%,
respectively. These results demonstrate the potential of using a low-resolution
fiber-optic Raman system for in vivo bladder cancer diagnosis.
PMID- 29797793
TI - Next-generation sequencing unravels extensive genetic alteration in recurrent
ovarian cancer and unique genetic changes in drug-resistant recurrent ovarian
cancer.
AB - BACKGROUND: By using a high-throughput sequencing technique, we sought to
delineate genetic alterations in recurrent ovarian cancer patients and further
compare genetic changes in drug-resistant and -sensitive recurrent ovarian cancer
patients. We also sought to study the specificity, sensitivity, and consistency
of DNA biomarkers in liquid biopsy specimens and ovarian cancer tissue DNA.
METHODS: Tumor tissue specimens and blood samples were obtained from
pathologically proven recurrent ovarian cancer patients. Genomic DNA was
extracted from tumor tissues, blood cells, ascites, and urine samples. The DNA
Library was constructed and sequencing was performed using the Illumina HiSeq
4000 high-throughput sequencing platform. Bioinformatic analysis was done using
the Torrent Suite software. RESULTS: Ten patients with pathologically proven drug
resistant recurrent ovarian cancer and 11 patients with sensitive recurrent
ovarian cancer were included. The 5-year OS for drug-resistant recurrent ovarian
cancer patients (44 +/- 11.07 months, 95% CI: 231.24-53.66 months) was
significantly lower than that of drug-sensitive recurrent ovarian cancer patients
(58 +/- 3.97 months; 95% CI: 50.05-65.59 months; p = 0.024) TP53 was the most
frequently mutated gene in both drug-resistant (9/10, 90%) and drug-sensitive
recurrent ovarian cancers (10/11, 91%). MYC and RB1 had the highest frequency of
copy number variations (6/21, 29%) in recurrent ovarian cancers, followed by
PIK3CA (3/21, 14%). BRCA2 N372H polymorphism was found in 40% (4/10) of drug
resistant recurrent ovarian cancer patients. The specificity, sensitivity, and
consistency of TP53 and BRCA1 in circulating tumor-free DNA and tumor tissue DNA
were 100%, 73.7%, 76.2% and 100%, 75%, 95.24%, respectively. CONCLUSION: We
uncovered extensive genetic alterations in recurrent ovarian cancer and drug
resistant recurrent ovarian cancer exhibited unique genetic changes compared with
recurrent ovarian cancer and drug-sensitive recurrent ovarian cancer. We further
showed that high-throughput sequencing using liquid biopsy specimens could
provide an effective, specific, and sensitive approach for detecting genetic
alterations in ovarian cancer.
PMID- 29797795
TI - Vapor toxicity of five volatile pyrethroids against Aedes aegypti, Aedes
albopictus, Culex quinquefasciatus, and Anopheles quadrimaculatus (Diptera:
Culicidae).
AB - BACKGROUND: Mosquito mortality has been documented in numerous studies of spatial
repellents but the concentration-dependent toxicity of spatial repellent vapors
has not been documented. To address this issue, prallethrin, flumethrin,
metofluthrin, transfluthrin, and meperfluthrin were selected for comparative
study against Aedes albopictus (Skuse), Ae. aegypti (L.), Culex quinquefasciatus
Say, and Anopheles quadrimaculatus Say. Mosquito were exposed to vapors of each
chemical for 2, 4, and 24 h with mortality recorded at each time point. A second
experiment involved exposing mosquitoes to vapors for 2 h, then transferring them
to untreated holding containers and held for 24 h. For these mosquitoes, readings
were only taken after 24 h to allow for metabolic detoxification and recovery.
RESULTS: LC50 and LC90 data indicated that transfluthrin and meperfluthrin had
the greatest toxicity across all species, followed by metofluthrin, prallethrin,
and flumethrin. CONCLUSION: Our findings, through the direct comparison of these
compounds, suggest that transfluthrin, meperfluthrin, and metofluthrin be
considered for further development. The vapor toxicity for the aforementioned
compounds significantly exceeds prallethrin, which is currently market available
as an adulticidal active ingredient in public health pest control. (c) 2018
Society of Chemical Industry.
PMID- 29797796
TI - Assignment of cytomegalovirus infection status in infants awaiting solid organ
transplant: Viral detection methods as adjuncts to serology.
AB - Assignment of CMV infection status in infants awaiting SOT is challenging as
passive maternal antibody can lead to false-positive serology. Since 2000, our
protocol has recommended sending throat and urine samples for CMV viral
detection, culture, or NAAT, for CMV-seropositive infants <18 months awaiting
SOT. We reviewed pretransplant CMV serology for 152 infants and, for CMV
seropositives, examined relationships between CMV IgG OD values, age, and CMV
viral detection to explore time to clearance of maternal CMV IgG and evaluate
viral detection in assignment of pretransplant CMV infection status. The
proportion of CMV-seropositive infants decreased from 52% in infants 0-6 months
of age to 28% in those 12-18 months. Among CMV-seropositive infants, median OD
was significantly higher in the 6- to 12- and 12- to 18-month groups compared to
the 0- to 6-month group. Distribution of OD by age group suggested that maternal
antibody cleared before 12 months. Of 59 eligible CMV-seropositive infants, 49
(83%) had CMV viral detection studies and 18 of 49 (36.7%) had detectable CMV: 9
of 30 (30.0%) infants 0-6 months, 7 of 15 (46.7%) infants 6-12 months, and 2 of 4
(50.0%) infants 12-18 months. CMV viral detection studies are useful to confirm
positive CMV infection status in CMV-seropositive infants awaiting SOT. Maternal
CMV IgG likely clears before 12 months.
PMID- 29797798
TI - Developmental Trajectories of Chinese Adolescents' Relational Aggression:
Associations With Changes in Social-Psychological Adjustment.
AB - This study investigated the development of relational aggression (RA) in a sample
of Chinese youth (N = 2,274, 52% boys) from fourth (Mage = 10.27 years) to ninth
grade. Using latent class growth analysis, four trajectories were identified for
both peer- and teacher-rated RA: a no aggression trajectory, a low-increasing
trajectory, a moderate-decreasing trajectory, and a chronically high trajectory.
Chronically high RA showed a chronicity effect on adolescent peer acceptance,
rejection, and rule-breaking behaviors. Adolescents showed worse adjustment as RA
increased, but they did not necessarily evidence significant improvement in
adjustment even if their RA decreased. Findings reveal the maladaptive nature of
RA and highlight the importance of considering cultural context in understanding
RA.
PMID- 29797797
TI - Impact of maternal pre-pregnancy overweight on infant overweight at 1 year of
age: associations and sex-specific differences.
AB - BACKGROUND: Maternal overweight or obesity (OWOB) is linked to gestational
diabetes, fetal macrosomia and higher rates of caesarean delivery. OBJECTIVES:
The study aims to assess whether maternal pre-pregnancy OWOB is associated with
infant overweight in a sex-dependent manner, independent of microbiota-altering
variables. METHODS: Weight and length measurements of 955 mother-infant pairs
were obtained from the Canadian Healthy Infant Longitudinal Development cohort.
Maternal pre-pregnancy weight was defined as follows: normal, overweight (25 <=
body mass index < 30) and obese (body mass index >= 30). Age and sex-adjusted
weight-for-length z-scores >97th percentile were classified as infant overweight
at age 1 year. Associations between pre-pregnancy and infant overweight were
determined by linear and logistic regression, adjusting for covariates. RESULTS:
Maternal pre-pregnancy OWOB were associated with infant weight-for-length and
overweight risk at 1 year. Except for pre-pregnancy obesity, these associations
were not attenuated appreciably after adjustment for birth mode, exclusivity of
breastfeeding, exposure to antibiotics and infant sex. Yet only boys born to
mothers with obesity were three times more likely to become overweight at age 1
independent of microbiota-altering variables. Pre-pregnancy obesity was
associated with weight-for-length in male and female infants. CONCLUSIONS:
Maternal pre-pregnancy OWOB increases the risk of infant overweight, and this
association is more evident in male infants.
PMID- 29797800
TI - Sleeping Well Trial: Increasing the effectiveness of treatment with continuous
positive airway pressure using a weight management program in overweight adults
with obstructive sleep apnoea-A stepped wedge randomised trial protocol.
AB - BACKGROUND: The majority of adults diagnosed with obstructive sleep apnoea (OSA)
are overweight or obese. Continuous positive airway pressure (CPAP) is the most
common effective therapy for OSA. However, adherence declines over time with only
50% of patients prescribed CPAP continuing to use it long term. Furthermore, a
recent prospective analysis indicated that those more adherent with CPAP therapy
have enhanced weight gain trajectories which in turn may negatively impact their
OSA. AIM: The Sleeping Well Trial aims to establish whether the timing of
starting a lifestyle weight loss intervention impacts on weight trajectory in
those with moderate-severe OSA treated at home with CPAP, while testing the
potential for smart phone technology to improve adherence with lifestyle
interventions. METHODS: A stepped wedge design with randomisation of individuals
from 1 to 6 months post-enrolment, with 5 months of additional prospective follow
up after completion of the stepped wedge. This design will investigate the effect
of the 6-month lifestyle intervention on people undergoing CPAP on body weight,
body composition and health-related quality of life. DISCUSSION: This trial tests
whether the timing of supporting the patient through a weight loss intervention
is important in obtaining the maximum benefit of a lifestyle change and CPAP
usage, and identify how best to support patients through this critical period.
TRIAL REGISTRATION: The protocol (v1) is registered prospectively with the
International Clinical Trials Registry (CTR) ACTRN12616000203459 (public access).
Any amendments to protocol will be documented via the CTR. Recruitment commenced
in March 2016 with data collection scheduled to finish by May 2018.
PMID- 29797799
TI - Fatty infiltration evaluation and selective pattern characterization of lower
limbs in limb-girdle muscular dystrophy type 2A by muscle magnetic resonance
imaging.
AB - INTRODUCTION: Limb-girdle muscular dystrophy type 2A (LGMD2A) is characterized by
progressive wasting of muscles for which the disease-monitoring tools are still
deficient. METHODS: We performed muscle MRI of the lower limbs in 32 LGMD2A
patients and 21 controls. The modified Mercuri scale was employed to evaluate the
degree of fatty infiltration. RESULTS: Severe fatty infiltration in the long head
of biceps femoris (modified Mercuri scale 3.99) and sparing of extensor digitorum
longus (modified Mercuri scale 0.17) were observed. The sensitivity and
specificity of this pattern in diagnostic testing was 76.00% and 90.48%,
respectively. A comprehensive clinical and MRI evaluation revealed that
progressive fatty infiltration in the upper leg correlated well with disease
progression, but neither calf involvement nor muscle strength deterioration
showed a good correlation. DISCUSSION: The selective involvement pattern is
potentially useful for LGMD2A diagnosis. Upper leg muscle MRI is a sensitive
evaluation method for monitoring disease progression. Muscle Nerve 58: 536-541,
2018.
PMID- 29797801
TI - Metal- and Additive-Free Oxidation of Sulfides into Sulfoxides by Fullerene
Modified Carbon Nitride with Visible-Light Illumination.
AB - Photocatalytic selective oxidation has attracted considerable attention as an
environmentally friendly strategy for organic transformations. Some methods have
been reported for the photocatalytic oxidation of sulfides into sulfoxides in
recent years. However, the practical application of these processes is undermined
by several challenges, such as low selectivity, sluggish reaction rates, the
requirement of UV-light irradiation, the use of additives, and the instability of
the photocatalyst. Herein, a metal-free C60 /graphitic carbon nitride (g-C3 N4 )
composite photocatalyst was fabricated by a facile method, and well characterized
by TEM, SEM, FTIR spectroscopy, XRD, X-ray photoelectron spectroscopy, diffuse
reflectance spectroscopy, and photoluminescence spectroscopy. The C60 /g-C3 N4
catalyst exhibited a high photocatalytic activity at room temperature for the
selective oxidation of sulfides into the corresponding sulfoxides in the presence
of other functional groups, due to the synergetic roles of C60 and g-C3 N4 .
Several important parameters have been screened, and this method afforded good to
excellent yields of sulfoxides under optimal conditions. The superoxide radical
(. O2- ) and singlet oxygen (1 O2 ) were identified as the oxidative species for
the oxidation of sulfides into sulfoxides by exploring EPR experiments, and
hence, a plausible mechanism for this oxidation was proposed. Moreover, the C60
/g-C3 N4 catalyst can be easily recovered by filtration and then reused at least
four times without loss in activity.
PMID- 29797802
TI - Glucose transporter-1 (GLUT-1) expression in psoriasis: correlation with disease
severity.
AB - BACKGROUND: Epidermal hyperproliferation with abnormal differentiation,
inflammation, and angiogenesis are the key features of psoriasis. Glucose
transporter-1 (GLUT-1) is a member of facilitative sugar transporters that are
integral membrane glycoproteins moving sugar across cell membrane. OBJECTIVE: The
objective of this study was to study the GLUT-1 expression in psoriasis. PATIENTS
AND METHODS: Forty patients with psoriasis vulgaris and 20 healthy individuals
were included in the study. Skin biopsies were taken from lesional and
nonlesional skin of psoriasis patients as well as normal skin of control
subjects. All were examined for GLUT-1 antibody expression by
immunohistochemistry and GLUT-1 mRNA expression by real-time polymerase chain
reaction (RT-PCR). In addition, specimens of psoriasis lesions were stained by
hematoxylin and eosin and CD31 for morphometric analysis of histopathological
parameters. RESULTS: The intensity of GLUT-1 immunohistochemical expression and
the relative levels of GLUT-1 mRNA expression in psoriasis lesions were
upregulated in lesional skin of psoriasis patients in comparison with their
nonlesional skin as well as normal control skin. GLUT-1 expression in psoriasis
lesions showed significant positive correlations with Psoriasis Area and Severity
Index (PASI) score, mean of epidermal thickness, inflammatory cell density, and
microvessel density. CONCLUSION: Glucose transporter-1 could play a role not only
in the onset of psoriasis but also in the progression and severity of the
disease. It may participate in the pathogenesis of psoriasis through the
facilitation of epidermal hyperproliferation, inflammation, and angiogenesis.
PMID- 29797804
TI - Polymer-free versus permanent polymer-coated drug eluting stents for the
treatment of coronary artery disease: A meta-analysis of randomized trials.
AB - BACKGROUND: Polymer-free drug eluting stents (PF-DES) were developed, in part, to
overcome risk of late ischemic events observed with permanent polymer-coated DES
(PP-DES). However, trial results are inconsistent with longer-term safety and
efficacy of PF-DES remaining unknown. We performed a meta-analysis of randomized
trials assessing outcomes of patients receiving PF-DES versus PP-DES for
treatment of coronary artery disease (CAD). METHODS: Electronic searches were
performed for randomized trials comparing outcomes between PF-DES and PP-DES.
Trials reporting major adverse cardiovascular events (MACE), myocardial
infarction (MI), stent thrombosis (ST), all-cause death, target lesion/vessel
revascularization (TLR/TVR), and late lumen loss (LLL) were included. Analyses
were performed at longest follow-up and landmarked beyond 1-year. RESULTS: Twelve
trials (6,943 patients) were included. There was no significant difference in
MACE between PF-DES and PP-DES at longest follow-up (Odds Ratio [OR] 0.96, 95%CI
0.85-1.10, P = 0.59) or landmark analysis beyond 1-year (OR 0.96, 95%CI 0.76
1.20, P = 0.70). Although PF-DES were associated with a significant reduction in
all-cause death (OR 0.85, 95%CI 0.72-1.00, P < 0.05), this effect was not present
on landmark analysis beyond 1-year (OR 0.89, 95%CI 0.73-1.10, P = 0.30). There
were no differences observed for MI (OR 1.00, 95%CI 0.77-1.28, P = 0.99) or ST
(OR 0.95, 95%CI 0.54-1.68, P = 0.86), with similar efficacy outcomes including
TVR (OR 1.07, 95%CI 0.91-1.26, P = 0.42), TLR (OR 1.03, 95%CI 0.88-1.21, P =
0.68) and angiographic LLL (pooled mean difference 0.01 mm, 95%CI -0.08 to 0.11,
P = 0.76). CONCLUSIONS: PF-DES are as safe and efficacious as PP-DES for the
treatment of patients with CAD, but do not significantly reduce late ischemic
complications.
PMID- 29797805
TI - Linking phytoplankton community metabolism to the individual size distribution.
AB - Quantifying variation in ecosystem metabolism is critical to predicting the
impacts of environmental change on the carbon cycle. We used a metabolic scaling
framework to investigate how body size and temperature influence phytoplankton
community metabolism. We tested this framework using phytoplankton sampled from
an outdoor mesocosm experiment, where communities had been either experimentally
warmed (+ 4 degrees C) for 10 years or left at ambient temperature. Warmed and
ambient phytoplankton communities differed substantially in their taxonomic
composition and size structure. Despite this, the response of primary production
and community respiration to long- and short-term warming could be estimated
using a model that accounted for the size- and temperature dependence of
individual metabolism, and the community abundance-body size distribution. This
work demonstrates that the key metabolic fluxes that determine the carbon balance
of planktonic ecosystems can be approximated using metabolic scaling theory, with
knowledge of the individual size distribution and environmental temperature.
PMID- 29797803
TI - Impact of lung-function measures on cardiovascular disease events in older adults
with metabolic syndrome and diabetes.
AB - BACKGROUND: Individuals with metabolic syndrome (MetS) and diabetes (DM) are more
likely to have decreased lung function and are at greater risk of cardiovascular
disease (CVD). HYPOTHESIS: Lung-function measures can predict CVD events in older
persons with MetS, DM, and neither condition. METHODS: We followed 4114
participants age >= 65 years with and without MetS or DM in the Cardiovascular
Health Study. Cox regression examined the association of forced vital capacity
(FVC) and 1-second forced expiratory volume (FEV1 ; percent of predicted values)
with incident coronary heart disease and CVD events over 12.9 years. RESULTS: DM
was present in 537 (13.1%) and MetS in 1277 (31.0%) participants. Comparing
fourth vs first quartiles for FVC, risk of CVD events was 16% (HR: 0.84, 95% CI:
0.59-1.18), 23% (HR: 0.77, 95% CI: 0.60-0.99), and 30% (HR: 0.70, 95% CI: 0.58
0.84) lower in DM, MetS, and neither disease groups, respectively. For FEV1 , CVD
risk was lower by 2% (HR: 0.98, 95% CI: 0.70-1.37), 26% (HR: 0.74, 95% CI: 0.59
0.93), and 31% (HR: 0.69, 95% CI: 0.57-0.82) in DM. Findings were strongest for
predicting congestive heart failure (CHF) in all disease groups. C-statistics
increased significantly with addition of FEV1 or FVC over risk factors for CVD
and CHF among those with neither MetS nor DM. CONCLUSIONS: FEV1 and FVC are
inversely related to CVD in older adults with and without MetS, but not DM
(except for CHF); however, their value in incremental risk prediction beyond
standard risk factors is limited mainly to metabolically healthier persons.
PMID- 29797806
TI - Root surface alterations following manual and mechanical scaling: A comparative
study.
AB - OBJECTIVES: The aim of this study was to investigate the morphological and
surface roughness changes in dental root samples following periodontal scaling by
hand curette, piezoelectric ultrasonic devices or a combination of these.
METHODS: Twenty-four monoradicular teeth extracted as a result of periodontal
disease were divided into 4 groups: Group A was treated by piezoelectric
ultrasonic scaler Piezon(r) Master 400; Group P by piezoelectric ultrasonic
scaler PiezoSmart(r) ; Group C using Gracey curette 7/8; Group AC by a combined
technique of piezoelectric ultrasonic scaler Piezon(r) Master 400 and Gracey
curette 7/8. The treated samples were then analysed using a white light
interferometer and scanning electron microscopy (SEM). RESULTS: Roughness
analysis revealed major surface alterations in Group C (Sa = 24.98 MUm); the
samples treated using the combined technique (Group AC) showed reduced but still
significant alteration (Sa = 14.48 MUm), while samples treated with the
piezoelectric ultrasonic devices (Group A and Group P) presented the lowest
roughness values (Sa = 8.99 and Sa = 4.45 MUm, respectively). A significant
difference was found between groups C and P (P = 0.036). SEM analysis confirmed
the roughness analysis revealing non-homogeneous surfaces in Group C, while a
less morphological alteration was noted in the other groups. CONCLUSION: All
periodontal devices used in this in vitro study produced a certain degree of
surface alteration. Hand curettes appear to have a major impact on surface
integrity compared with piezoelectric ultrasonic devices.
PMID- 29797807
TI - Neutrophil Gelatinase-Associated Lipocalin as a Promising Novel Biomarker for
Early Detection of Kidney Injury.
PMID- 29797808
TI - Rejuvenating Aged Hematopoietic Stem Cells Through Improvement of Mitochondrial
Function.
AB - Mitochondria are the powerhouses of the cell as well as the primary site of
hematopoiesis, which also occurs in the cytoplasm. Hematopoietic stem cells
(HSCs) are characterized by a very high turnover rate, and are thus considered to
be relatively free from the age-related insults generated by mitochondria.
However, HSCs are also subject to these age-related insults, including the
incidence of myeloid proliferative diseases, marrow failure, hematopoietic
neoplasms, and deterioration of the adaptive human immune system. Recently, NAD+
dietary supplements, known as niacin or vitamin B3, including tryptophan,
nicotinic acid, nicotinamide, and the newly identified NAD+ precursor
nicotinamide riboside, have been shown to play a role in restoring adult stem
cell function through the amelioration of mitochondrial dysfunction. This insight
motivated a study that focused on reversing aging-related cellular dysfunction in
adult mouse muscle stem cells by supplementing their diet with nicotinamide
riboside. The remedial effect of nicotinamide riboside enhanced mitochondrial
function in these muscle stem cells in a SIRT1-dependent manner, affecting
cellular respiration, membrane potential, and production of ATP. Accordingly,
numerous studies have demonstrated that sirtuins, under nuclear/mitochondrial
control, have age-specific effects in determining HSC phenotypes. Based on the
evidence accumulated thus far, we propose a clinical intervention for the
restoration of aged HSC function by improving mitochondrial function through NAD+
precursor supplementation.
PMID- 29797809
TI - Reducing Test Utilization in Hospital Settings: A Narrative Review.
AB - BACKGROUND: Studies addressing the appropriateness of laboratory testing have
revealed approximately 20% overutilization. We conducted a narrative review to
(1) describe current interventions aimed at reducing unnecessary laboratory
testing, specifically in hospital settings, and (2) provide estimates of their
efficacy in reducing test order volume and improving patient-related clinical
outcomes. METHODS: The PubMed, Embase, Scopus, Web of Science, and Canadian
Agency for Drugs and Technologies in Health-Health Technology Assessment
databases were searched for studies describing the effects of interventions aimed
at reducing unnecessary laboratory tests. Data on test order volume and clinical
outcomes were extracted by one reviewer, while uncertainties were discussed with
two other reviewers. Because of the heterogeneity of interventions and outcomes,
no meta-analysis was performed. RESULTS: Eighty-four studies were included.
Interventions were categorized into educational, (computerized) provider order
entry [(C)POE], audit and feedback, or other interventions. Nearly all studies
reported a reduction in test order volume. Only 15 assessed sustainability up to
two years. Patient-related clinical outcomes were reported in 45 studies, two of
which found negative effects. CONCLUSIONS: Interventions from all categories have
the potential to reduce unnecessary laboratory testing, although long-term
sustainability is questionable. Owing to the heterogeneity of the interventions
studied, it is difficult to conclude which approach was most successful, and for
which tests. Most studies had methodological limitations, such as the absence of
a control arm. Therefore, well-designed, controlled trials using clearly
described interventions and relevant clinical outcomes are needed.
PMID- 29797810
TI - Platelet Function Analyzer-200 P2Y Results Are Predictive of the Risk of Major
Adverse Cardiac Events in Korean Patients Receiving Clopidogrel Therapy Following
Acute Coronary Syndrome.
AB - BACKGROUND: Clopidogrel is one of the most commonly used anti-platelet agents in
cardiovascular diseases. We analyzed the relationship between the platelet
function analyzer (PFA)-200 P2Y (INNOVANCE PFA-200 System, Siemens Healthcare,
Germany) results and occurrence of major adverse cardiac events (MACEs) in Korean
patients with recent-onset acute coronary syndrome (ACS) taking clopidogrel.
METHODS: Between August 2013 and June 2016, we prospectively enrolled 106
patients with recent-onset ACS who had been treated with clopidogrel. We obtained
blood samples and measured closure time (CT) using the PFA-200 P2Y test. Patients
were divided into two groups on the basis of a CT cut-off value of 106 seconds.
We compared patient characteristics and various MACEs that occurred during the
follow-up period. RESULTS: The CTs for 78 patients exceeded the cut-off value. At
the time of these analyses, 11 patients had been diagnosed with MACEs. In the
time-to-event analysis, there was a difference between the two groups (P<0.001).
After adjusting other variables associated with MACE occurrence, CT value was the
strongest predictor of MACEs, with a 7.30-fold occurrence risk (P=0.002).
CONCLUSIONS: We found a strong relationship between CT and MACE risk in Korean
patients with recent-onset ACS taking clopidogrel. Accordingly, PFA-200 P2Y
results could be used as a predictive marker for MACE risk in such patients.
PMID- 29797811
TI - Proposed Imprecision Quality Goals for Urinary Albumin/Creatinine Ratio.
AB - BACKGROUND: The urinary albumin/creatinine ratio (ACR) is an important indicator
of albuminuria. We aimed to estimate ACR uncertainty and its impact on test
results and proposed imprecision quality goals based on the estimated
uncertainty. METHODS: The combined ACR uncertainty was calculated using the
individual uncertainties of urinary albumin and creatinine. ACR confidence
intervals (CIs) were estimated based on the expanded uncertainty. When the CI
contained the ACR category boundary (30 or 300 mg/g), the cases were considered
ambiguous. Quality goals for ACR were suggested using the number of ambiguous
cases among actual patient results. RESULTS: The number of ambiguous cases
resulting from the combined ACR uncertainty was higher than expected based on
biological variation (BV) quality goals. When the ACR met BV quality
specifications, we estimated that 4.8-15.5% of the results may have been
misclassified. To minimize the number of ambiguous results, the minimum,
desirable, and optimum quality goals were set at 34.0%, 18.0%, and 4.5%,
respectively. CONCLUSIONS: We expressed ACR uncertainty using the uncertainties
of urinary albumin and creatinine and assessed the impact of this combined
uncertainty on the test results. Subsequently, we proposed imprecision quality
goals for ACR based on ambiguous results.
PMID- 29797812
TI - Plasma Neutrophil Gelatinase-Associated Lipocalin as a Predictor of Renal
Parenchymal Involvement in Infants With Febrile Urinary Tract Infection: A
Preliminary Study.
AB - BACKGROUND: Urinary tract infection (UTI) is the most common bacterial infection
in infants. Renal parenchymal involvement is an important prognostic factor;
however, early detection of parenchymal involvement in UTI may be difficult
during infancy. This study aimed to assess whether a recently established
biomarker of UTI, neutrophil gelatinase-associated lipocalin (NGAL), can serve as
a useful marker for the detection of cortical defects (CD) and to determine the
appropriate diagnostic cut-off value of NGAL in infants with febrile UTI.
METHODS: Infants hospitalized for febrile UTI were divided into two groups
according to the presence of cortical defects on dimercaptosuccinic acid (DMSA)
scintigraphy. Among 64 enrolled infants, 43 (67%) had CD (UTI-CD) and 21 (33%)
had no CD (UTI-ND). The white blood cell count, C-reactive protein, and plasma
NGAL (pNGAL) levels were determined before antibiotic therapy and compared
between the two groups. RESULTS: pNGAL level was significantly higher in the UTI
CD group than in the UTI-ND group (340 MUg/L vs 214 MUg/L, P=0.002). Multivariate
analysis showed that pNGAL level was the only independent predictor of CD (odds
ratio 2.759, P=0.039). In the ROC curve analysis, pNGAL showed the highest area
under the curve (0.745; 95% confidence interval, 0.561-0.821; P=0.014). The
appropriate cut-off value of pNGAL was 267 MUg/L (sensitivity, 72.1%;
specificity, 71.4%). CONCLUSIONS: pNGAL was found to be a useful marker for early
prediction of renal parenchymal involvement in infants with febrile UTI.
PMID- 29797813
TI - Postprandial Lipid Concentrations and Daytime Biological Variation of Lipids in a
Healthy Chinese Population.
AB - BACKGROUND: Several latest guidelines and consensus statements from Europe and
the United States specify that there is no need for fasting prior to routine
lipid tests. However, the latest Chinese guidelines still recommend fasting tests
owing to a lack of local evidence. This study aimed to investigate postprandial
lipid concentrations and daytime biological variation of lipids in a healthy
Chinese population. METHODS: Venous blood samples were collected from 41
ostensibly healthy Chinese volunteers at five time points during the day (06:30,
09:00, 12:00, 15:00, and 18:30). The same batch of reagents was used to determine
lipid concentrations. A nested ANOVA was performed to calculate within-subject
biological variation (CV(I)) and between-subject biological variation (CV(G)).
RESULTS: Postprandial concentrations of triglyceride were higher than fasting
concentrations, with the maximum change occurring at 12:00 (0.5 hours after
lunch, 0.21+/-0.65 mmol/L difference). The daytime biological variation of
triglycerides was relatively high (CV(I)=25%, CV(G)=35.9%). The postprandial
concentrations of total cholesterol, high-density lipoprotein cholesterol, low
density lipoprotein cholesterol, apolipoprotein A1, and apolipoprotein B were
mostly lower than the fasting concentrations, and their daytime biological
variations were relatively low (CV(I)=2.4-4.4%, CV(G)=11.8-18.7%). CONCLUSIONS:
As most daytime lipid concentrations changed only slightly, non-fasting samples
could be used for routine lipid tests. However, in cases of abnormal postprandial
triglyceride concentrations, dietary factors and fasting time should be
considered when interpreting the results.
PMID- 29797814
TI - Evaluation of the Luminex ARIES HSV 1&2 Assay and Comparison with the FTD Neuro 9
and In-house Real-Time PCR Assays for Detecting Herpes Simplex Viruses.
AB - BACKGROUND: Human herpes simplex virus type 1 (HSV-1) and type 2 (HSV-2) are
responsible for a plethora of human diseases, of which cutaneous and
mucocutaneous infections are the most prevalent. In its most severe form, HSV
infection can cause meningitis/encephalitis. We compared the Luminex ARIES HSV
1&2 assay (Luminex Corp., Austin, TX, USA), an automated sample-to-result
molecular solution, to two non-automated HSV DNA assays. METHODS: A total of 116
artificial controls were used to determine the analytical performance of the
ARIES assay. Controls were prepared by spiking universal transport medium (UTM)
and cerebrospinal fluid (CSF) samples from patients who tested negative for HSV
by an in-house HSV-1 and -2 DNA assay with reference materials (SeraCare Life
Sciences, MA, USA; ZeptoMetrix Corp., MA, USA). Another 117 clinical samples were
then used to compare the clinical performance of the ARIES assay with those of an
in-house assay and the FTD Neuro 9 assay (Fast Track Diagnostics, Junglinster,
Luxembourg). RESULTS: The analytical sensitivity (95% limit of detection) of the
ARIES assay was 318 copies/mL (UTM samples) and 935 copies/mL (CSF samples) for
HSV-1 strain 96 and 253 copies/mL (UTM samples) and 821 copies/mL (CSF samples)
for HSV-2 strain 09. No cross-reactivity was observed in samples spiked with 14
non-HSV microorganisms. Compared with the reference result (agreement between the
in-house and FTD Neuro 9 results), the ARIES assay had overall concordance rates
of 98.2% (111/113) and 100% (113/113) for HSV-1 and HSV-2, respectively.
CONCLUSIONS: The ARIES assay appears to be an excellent alternative for rapid
detection and differentiation of HSV in skin and genital infections, meningitis,
and encephalitis.
PMID- 29797815
TI - Asymmetrical Dimethylarginine Levels in Hepatitis B Virus-Positive Patients.
AB - BACKGROUND: High asymmetrical dimethylarginine (ADMA) levels have been associated
with endothelial dysfunction and contribute to the development of several
diseases. However, data on the relationship between hepatitis B virus (HBV) and
ADMA are limited. The aim of our study was to explore the relationship between
ADMA and HBV by comparing the ADMA levels in patients with chronic active
hepatitis B (CHB), inactive HBV carriers (carriers), and healthy volunteers
(controls). METHODS: The participants were divided into three groups: 90 patients
with CHB, 90 HBV carriers, and 90 controls. Serum ADMA levels were quantified
using an ELISA kit (Cusabio, Wuhan, China). The data were analyzed using an ANOVA
or the Kruskal-Wallis test as appropriate, with P<0.05 considered significant.
RESULTS: Serum ADMA levels were significantly higher in patients with CHB
(228.35+/-91.10 ng/mL) than in HBV carriers (207.80+/-75.80 ng/mL) and controls
(207.61+/-89.10 ng/mL) (P=0.049). The clinical scores of the patients were
positively correlated with ADMA levels. CONCLUSIONS: The elevated serum ADMA
levels in patients with CHB confirm that HBV plays a role in vasculitis. Further
investigation of the mechanisms contributing to the high levels of ADMA in CHB
may contribute toward development of new treatment modalities.
PMID- 29797817
TI - Diagnostic Performance and Comparative Evaluation of the Architect, Liaison, and
Platelia Epstein-Barr Virus Antibody Assays.
AB - BACKGROUND: Epstein-Barr Virus (EBV) is one of the most prevalent causes of viral
infection in humans. EBV infection stage (acute, past, or absent infection) is
typically determined using a combination of assays that detect EBV-specific
markers, such as IgG and IgM antibodies against the EBV viral capsid antigen
(VCA) and IgG antibodies against the EBV nuclear antigen (EBNA). We compared the
diagnostic performance and agreement of results between three commercial EBV
antibody assays using an EBV performance panel (SeraCare Life Science, Milford,
MA, USA) as a reference. METHODS: EBV antibody tests of EBV VCA IgM, VCA IgG, and
EBNA IgG antibodies were performed by the Architect (Abbott Diagnostics,
Wiesbaden, Germany), Liaison (DiaSorin, Saluggia, Italy), and Platelia (Bio-Rad,
Marnes-la-Coquette, France) assays. Agreement between the three assays was
evaluated using 279 clinical samples, and EBV DNA and antibody test results were
compared. RESULTS: The three EBV antibody assays showed good diagnostic
performance with good and excellent agreement with the performance panel (kappa
coefficient, >0.6). The overall VCA IgM positivity rate was higher in EBV DNA
positive samples than in EBV DNA-negative samples for all three EBV antibody
assays (P=0.02). The three EBV antibody assays exhibited good agreement in
results for the clinical samples. CONCLUSIONS: The diagnostic performance of the
three EBV antibody assays was acceptable, and they showed comparable agreement in
results for the clinical samples.
PMID- 29797816
TI - Clinical Impact of Pre-transplant Antibodies Against Angiotensin II Type I
Receptor and Major Histocompatibility Complex Class I-Related Chain A in Kidney
Transplant Patients.
AB - BACKGROUND: Evidence of antibody-mediated injury in the absence of donor-specific
HLA antibodies (HLA-DSA) has recently emerged, suggesting a role of antibodies in
targeting non-HLA antigens expressed on renal allograft tissue. However, the
clinical significance of pre-transplant non-HLA antibodies remains unclear. We
compared the histological and clinical impact of pre-transplant HLA-DSA and non
HLA antibodies, especially angiotensin II type I receptor (anti-AT1R) and MHC
class I-related chain A (anti-MICA), in kidney transplant patients. METHODS: Pre
transplant HLA-DSA, anti-AT1R, and anti-MICA were retrospectively examined in 359
kidney transplant patients to determine the effect of each antibody on allograft
survival and clinical characteristics. RESULTS: Pre-transplant HLA-DSA, anti
AT1R, and anti-MICA were detected in 37 (10.3%), 174 (48.5%), and 50 patients
(13.9%), respectively. Post-transplant antibody-mediated rejection was associated
with a pre-transplant HLA-DSA (+) status only. The development of microvascular
inflammation (MVI) was associated with pre-transplant HLA-DSA (P=0.001) and anti
AT1R (P=0.036). Anti-AT1R (+) patients had significantly lower allograft survival
compared with anti-AT1R (-) patients (P=0.042). Only pre-transplant anti-AT1R
positivity was an independent risk factor for allograft failure (hazard ratio
4.824, confidence interval 1.017-24.888; P=0.038). MVI was the most common
histological feature of allograft failure in patients with pre-transplant anti
AT1R. CONCLUSIONS: Pre-transplant anti-AT1R is an important risk factor for
allograft failure, which may be mediated by MVI induction in the allograft
tissue.
PMID- 29797818
TI - The Role of the Signal-to-Cutoff Ratio in Automated Anti-HCV Chemiluminescent
Immunoassays by Referring to the Nucleic Acid Amplification Test and the
Recombinant Immunoblot Assay.
AB - BACKGROUND: Following discontinuation of the recombinant immunoblot assay (RIBA),
the only available supplementary test for the detection of hepatitis C virus
(HCV) is the nucleic acid amplification test (NAAT). However, the NAAT does not
adequately detect past HCV. Consequently, it is hard to distinguish between past
HCV infection and biological false positivity with an anti-HCV result alone. We
assessed the diagnostic performance of two immunoassays: the ARCHITECT anti-HCV
chemiluminescent microparticle immunoassay (CMIA; Abbott Diagnostics, Wiesbaden,
Germany) and the Access HCV Ab PLUS chemiluminescent immunoassay (CIA; Bio-Rad,
Marnes-la-Coquette, France). We also explored an optimized algorithm to determine
the anti-HCV results. METHODS: We tested 126,919 patients and 44,556 individuals
who underwent a medical checkup. RIBA and NAAT were conducted for samples that
tested anti-HCV-positive using CMIA and CIA. We assessed the optimal signal-to
cutoff (S/CO) ratio in HCV-positive samples. RESULTS: In total, 1,035 blood
samples tested anti-HCV-positive. Of these, RIBA was positive in 512,
indeterminate in 160, and negative in 363 samples. One hundred sixty-five samples
were NAAT-positive. Diagnostic sensitivity and positive predictive value (PPV)
were 96.7% and 52.1%, respectively, for CMIA, and 94.7% and 72.3%, respectively,
for CIA. The optimal S/CO ratio was 5.2 for CMIA and 2.6 for CIA at 95% PPV. In
total, 286 samples tested positive in CMIA and 444 in CIA, while 443 samples
tested positive in both assays. CONCLUSIONS: It is hard to determine anti-HCV
positivity based on the S/CO ratio alone. However, this study elucidated the role
of the S/CO ratio by using the NAAT and RIBA.
PMID- 29797821
TI - Performance Evaluation of the Preanalytic Module of the ACL TOP 750 Hemostasis
Lab System.
PMID- 29797822
TI - Performance of Microflex LT Biotyper and VITEK MS for Routine Identification of
Yeasts.
PMID- 29797823
TI - First Case of Pasteurella multocida Pneumonic Bacteremia in Korea.
PMID- 29797820
TI - The 2016 WHO versus 2008 WHO Criteria for the Diagnosis of Chronic Myelomonocytic
Leukemia.
AB - The 2016 WHO diagnostic criteria for chronic myelomonocytic leukemia (CMML)
require both absolute and relative monocytosis (>=1*109/L and >=10% of white
blood cell counts) in peripheral blood. Moreover, myeloproliferative neoplasm
(MPN) features in bone marrow and/or MPN-associated mutations tend to support MPN
with monocytosis rather than CMML. We assessed the impact of the 2016 WHO
criteria on CMML diagnosis, compared with the 2008 WHO criteria, through a
retrospective review of the medical records of 38 CMML patients diagnosed
according to the 2008 WHO classification. Application of the 2016 WHO criteria
resulted in the exclusion of three (8%) patients who did not fulfill the relative
monocytosis criterion and eight (21%) patients with an MPN-associated mutation.
These 11 patients formed the 2016 WHO others group; the remaining 27 formed the
2016 WHO CMML group. The significant difference in the platelet count and
monocyte percentage between the two groups indicated that the 2016 WHO criteria
lead to a more homogenous and improved definition of CMML compared with the 2008
WHO criteria, which may have led to over-diagnosis of CMML. More widespread use
of molecular tests and more sophisticated clinical and morphological evaluations
are necessary to diagnose CMML accurately.
PMID- 29797819
TI - Chromosomal Microarray With Clinical Diagnostic Utility in Children With
Developmental Delay or Intellectual Disability.
AB - BACKGROUND: Chromosomal microarray (CMA) testing is a first-tier test for
patients with developmental delay, autism, or congenital anomalies. It increases
diagnostic yield for patients with developmental delay or intellectual
disability. In some countries, including Korea, CMA testing is not yet
implemented in clinical practice. We assessed the diagnostic utility of CMA
testing in a large cohort of patients with developmental delay or intellectual
disability in Korea. METHODS: We conducted a genome-wide microarray analysis of
649 consecutive patients with developmental delay or intellectual disability at
the Seoul National University Children's Hospital. Medical records were reviewed
retrospectively. Pathogenicity of detected copy number variations (CNVs) was
evaluated by referencing previous reports or parental testing using FISH or
quantitative PCR. RESULTS: We found 110 patients to have pathogenic CNVs, which
included 100 deletions and 31 duplications of 270 kb to 30 Mb. The diagnostic
yield was 16.9%, demonstrating the diagnostic utility of CMA testing in clinic.
Parental testing was performed in 66 patients, 86.4% of which carried de novo
CNVs. In eight patients, pathogenic CNVs were inherited from healthy parents with
a balanced translocation, and genetic counseling was provided to these families.
We verified five rarely reported deletions on 2p21p16.3, 3p21.31, 10p11.22,
14q24.2, and 21q22.13. CONCLUSIONS: This study demonstrated the clinical utility
of CMA testing in the genetic diagnosis of patients with developmental delay or
intellectual disability. CMA testing should be included as a clinical diagnostic
test for all children with developmental delay or intellectual disability.
PMID- 29797824
TI - PCM1-JAK2 Fusion in a Patient With Acute Myeloid Leukemia.
PMID- 29797826
TI - Bringing the oral healthcare message to life through the innovative 'Extra Tooth
Booth'.
PMID- 29797825
TI - Plus Disease in Retinopathy of Prematurity: More Than Meets the ICROP?
AB - Retinopathy of prematurity (ROP), a vasoproliferative retinal disease affecting
premature infants, is a leading cause of childhood blindness throughout the
world. Plus disease, defined as venous dilatation and arteriolar tortuosity
within the posterior retinal vessels greater than or equal to that of a standard
published photograph, is the most critical finding in identifying treatment
requiring ROP. Despite an internationally accepted definition of plus disease,
there is significant variability in diagnostic process and outcome, producing
variable levels of reported intra- and interexpert agreement. Several potential
explanations for poor agreement have been proposed, including attention to
undefined vascular features such as venous tortuosity, focus on narrower or wider
field of view, unfamiliarity with digital images, the magnification and apparent
severity of the standard photograph, and cut-off point differences among experts
as to the level of tortuosity and dilation sufficient for "plus disease" along a
continuum. Moreover, differences in diagnostic consistency among groups of
experts separated both geographically and chronologically have been reported.
These findings have implications for clinical care, research, and education, and
highlight the need for a more precise definition of plus disease and objective
diagnostic methods for ROP.
PMID- 29797828
TI - Breakage of endodontic instruments: Patients need to know that endodontic
instruments can sometimes break.
PMID- 29797827
TI - Lifelong learner.
PMID- 29797829
TI - How to properly instruct your dental technician/laboratory: Communication is key
when working with a dental technician/laboratory.
PMID- 29797831
TI - The non-healing extraction socket: a diagnostic dilemma - case report and
discussion.
AB - STATEMENT OF THE PROBLEM: Delayed healing, or failure of the alveolus to heal
post exodontia, is not an uncommon finding in both primary care and hospital
practice. Local factors dominate and the majority of cases are the result of clot
dissolution, secondary infection, foreign bodies, etc. However, potentially life
threatening, malignant lesions complicating healing can be overlooked and
underestimated due to their rare occurrence. PURPOSE OF THE REVIEW: This article
presents a contemporary review of the normal physiological process that directs
healing within the extraction socket and a differential diagnosis for delayed
healing or failure of healing following extraction, with guidance on appropriate
management. METHOD: A case report of a squamous cell carcinoma presenting in the
clinical setting of a non-healing extraction socket, and a discussion of local
and systemic factors that may interfere with healing, are presented. CONCLUSION:
The aetiologies of delayed healing and failure of the extraction site to heal are
diverse, and the process can be affected by local and systemic factors
alike.'Given that neoplastic lesions are relatively rare, it is therefore all the
more important for GDPs to remain cognisant of the diagnostic red flags that may
raise suspicions of a mitotic lesion to ensure that appropriate referral pathways
are instituted.
PMID- 29797830
TI - Simple technique to evaluate denture border extensions using silicone impression
material.
AB - INTRODUCTION: Extension of denture borders beyond the border line can lead to
abnormal movement of the denture and therefore possible loss of retention.
Soreness or sore spots that appear in the day(s) after insertion may also result
from the overextended borders. OBJECTIVES: This article presents a simple
technique to evaluate denture border extensions using silicone impression
material. MATERIALS AND METHODS: One scoop of heavy body silicone is laid on the
borders of the denture. The denture is inserted into the mouth and the usual
functional movements are performed to investigate any overextensions. -
Conclusion: This method is simple, time as well as material saving, and does not
need extra instruments or devices.
PMID- 29797832
TI - An opportunity exists: The new Government seems to be preparing to support dental
treatments for the public. The Association has been preparing for its interaction
with the Government on this issue.
PMID- 29797834
TI - Communicating with the dental laboratory.
PMID- 29797835
TI - Reducing tax liability: A number of tax reliefs are available to help reduce
income tax liabilities for individuals, both employees and the self-employed.
PMID- 29797833
TI - Emergency injuries.
PMID- 29797836
TI - Your retirement planning checklist: There are many things you can do to maximise
your pension pot and ensure a comfortable retirement.
PMID- 29797837
TI - Focus group methods in dental research.
AB - INTRODUCTION: Focus group methods have been increasingly used in dental research.
However, although focus group methods appear quite simple and easy to carry out,
there are a number of complexities that need to be considered. METHOD: The
present integrative review was carried out to assess the usability of focus group
methods for dental research. RESULTS: Three key themes were identified from the
qualitative review: the complexity of the method; benefits of focus group
research for dentistry; and, the nature of the quality controls employed.
CONCLUSION: A key strength of using focus groups is that they can enhance
qualitative and quantitative methodologies by helping to clarify, extend,
qualify, or challenge what has been found.
PMID- 29797839
TI - Exchanging views.
PMID- 29797840
TI - Letter to the Editor.
PMID- 29797838
TI - Multiple myeloma presenting as mandibular pain.
AB - INTRODUCTION: Multiple myeloma (MM) is a systemic malignancy of plasma cells
defined by monoclonal production of circulating immunoglobulins. Bone pain is a
presenting feature in the majority of cases. Treatment may involve intravenous
use of bisphosphonates, chemotherapy or haematopoietic stem cell transplantation.
Here, we illustrate a first presentation of MM in a patient with mandibular pain
and discuss radiographic, diagnostic and treatment challenges of orofacial issues
in patients with MM. CASE REPORT: A 69-year-old lady presented to an emergency
oral surgery clinic with a month-long history of unilateral left-sided pain in
her jaw. Examination revealed a buccolingual swelling of 2cm diameter in the
lower left premolar region. Radiographic images demonstrated a 2cm lytic lesion
in her mandible corresponding with the symptomatic region. Aspiration of the
lesion was performed and histological analysis indicated an abundance of atypical
plasma cells. Subsequent biopsy revealed sheets of plasmacytoid cells suggesting
evidence of a plasmacytoma. Skeletal survey, bone marrow biopsy and serum
analysis confirmed the presence of MM. DISCUSSION: MM, although a systemic
malignancy, can present via a variety of orofacial manifestations. The presence
of a lytic lesion on plain radiographs should alert the dental practitioner to
the possibility of the diagnosis. Treatment of orofacial bone pain may respond to
intravenous bisphosphonates but care must be taken to avoid osteonecrosis of the
jaw. CONCLUSION: This case is an important reminder of the potential oral
presentations of MM and underlines the importance of radiographic evaluation in
patients with atypical symptoms and presentations.
PMID- 29797841
TI - Postgraduate Diploma in Conscious Sedation in Dentistry.
PMID- 29797842
TI - The role of saliva in promoting oral health.
PMID- 29797843
TI - Looking forward to phase three.
PMID- 29797844
TI - You can't be too careful: Take a full assessment of a patient's medical history
or there may be unnecessary complications.
PMID- 29797845
TI - Five steps for success in endodontics.
PMID- 29797846
TI - A retrospective investigation of the oral health records of a cohort of preschool
children who received extractions under general anaesthesia including cost
analysis of treatment.
AB - : Internationally, a considerable proportion of children aged five years and
younger require extraction of teeth due to dental caries and frequently dental
general anaesthesia (DGA) is the treatment of choice. AIMS: To investigate the
records of a cohort of preschool children (aged five years and younger) referred
to the public dental service provided at Cork University Hospital (CUH), Cork,
Ireland, for extractions under DGA between the years 2000 and 2002. To determine
the characteristics of the sample: disadvantage; the presence of a significant
medical history; and, fluoride status. To establish the pattern of appointments
and care, before, during and after DGA, and the pattern of dental treatment
required up to sixth class (aged 11 to 12 years). METHODS: A retrospective review
of dental records of a cohort of preschool-aged children referred for DGA in CUH
during the years 2000-2002 was completed. Demographic and clinical data were
collated and analysed using Statistical Packages for Social Sciences (SPSS).
Costs were provided by CUH and the Health Service Executive (HSE). Data on costs
relating to preventive programmes were obtained from information presented in the
Irish Oral Health Services Guideline Initiative 2009.1 Results: A total of 347
children were included with a median age of.fQur years and a range of one to five
years. Children with a disadvantage were more likely to require extractions under
DGA than their- counterparts (50%, n=175). In total, 73% (n=253)~ of patients had
a fluoridated water supply and 91% (n=316) had no adverse medical history. For
88% (n=306), their first dental visit was an emergency appointment. The primary
indication for DGA was treatment of dental caries. A recall appointment was
provided for 18% (n=63). One-quarter (n=86) required an extraction, antibiotic or
referral for a second DGA at their first visit following DGA. In first class,
referral for a second DGA or extraction under local anaesthetic (LA) was required
for 23% (n=79) of patients. Over 60% (n=21 1) required either an extraction or a
restoration in third class. In excess of 20% (n=69) of patients did not attend
the sixth class dental inspection, the final assessment appointment in the public
services. CONCLUSIONS: A considerable number of preschool children require
extractions under DGA due to dental caries. The results of this study indicate
that such children progress to adolescence with poor oral health, as evidenced by
the need for further restorations, extractions and repeat DGA. The average cost
of DGA was E819 per child. This figure has been shown to be as much as eight
times the cost of a preventive/oral health promotion prograrnme operating within
a similar cohort. An integrated preventive programme targeting preschool-aged
children should be considered in attempting to manage the hicih levels of dental
caries within this age group.
PMID- 29797847
TI - A Review on Translucent Zirconia.
AB - INTRODUCTION: Zirconia is suggested in many clinical situations due to acceptable
biocompatibility, lower price compared with gold restorations, and better
appearance than traditional metal ceramic restorations. New translucent
monolithic zirconia has been developed to merge strength with improved tooth
colour matching. This work aims to review relevant articles on new translucent
zirconia restorative materials. METHODS: The published articles on translucent
zirconia were searched through PubMed, Medline, Google scholar, and indexed
journals using the following keywords: translucent zirconia, transparent
zirconia, and tooth colored zirconia. The most relevant articles were selected
and reviewed. RESULT: Introduction of translucent zirconia, has brought the
advantages such as less tooth preparation, biological compatibility, high
strength, good mechanical properties, and less antagonist wear. However, the
effects of altering material constituents to increase translucency on properties
such as phase transformation and low temperature degradation may warrant further
investigations. CONCLUSION: Translucent zirconia can be prescribed in many
clinical situations and may provide less complicated procedures compared with the
production of multilayer restorations of opaque zirconia cores and translucent
feldspathic veneers. This may otherwise decrease fabrication time and defects,
improve biological properties, reduce abutment tooth reduction, and result in
less antagonist tooth attrition.
PMID- 29797848
TI - Prosthetic Rehabilitation with Implant-Supported Mandibular Overdenture after
Partial Glossectomy and Radiation Therapy: A Case Report.
AB - Implant-supported overdenture is a well-established treatment option for many
patients who have functional problems with conventional dentures. It may be
primary choice for patients with altered jaw anatomy, neuromuscular disorders,
pronounced gag reflex, severe residual ridge resorption and oral tissue defects.
In this article we describe a case report, where tongue cancer patients occlusion
was rehabilitated with an implantretained overdenture. In 2001 patient was
operated for squamous cell carcinoma on the left side of the tongue. Before
preoperative radiation therapy, small residual mandibular dentition was removed
because of its poor prognosis. Radiation dose on mandible was 57-66 Gy. After
tumor surgery patient received conventional complete dentures. Ten years later,
the patient was referred again to the Turku University Central Hospital due to
constant ulceration under the mandibular denture. The residual ridge of the
mandible was severely resorbed. Patient's existing lower complete denture was
replaced with a milled bar-retained overdenture on four implants. Treatment
turned out to be challenging due to severely restricted tongue movement and
tissue defects.
PMID- 29797850
TI - ?
PMID- 29797849
TI - Social gradient in intermediary determinants of oral health at school level in
Finland.
AB - OBJECTIVE: An adapted framework for oral health inequalities suggests that
structural determinants cause oral health inequalities through socio-economic
position (SEP) and intermediary determinants. We applied this framework to
examine whether there is a social gradient in the intermediary determinants at
the school level, even when adjusted for school size, geographical location and
teaching language. BASIC RESEARCH DESIGN: Cross-sectional survey. METHODS: This
study combined data from two independent studies focusing on Finnish upper
comprehensive schools (N=970): the School Health Promotion study (SHPS) and the
School Sweet Selling survey (SSSS). All schools that took part in the SSSS and
whose pupils answered the SHPS were included in the analysis (n=360, response
rate=37%). From the questions of the SHPS and the SSSS suitable for the
theoretical framework, attitudes and access to intoxicants, school health
services, school environment, home environment, the school's oral health-related
actions and the pupil's own behaviour were selected as the intermediary
determinants and as the factors determining the school-level SEP. The social
gradient in the intermediary determinants of oral health was investigated with
Pearson's and Spearman's correlation coefficients between those and the school
level SEP. In the multivariable analysis, the General Linear Model with manual
backward elimination was used. RESULTS: A social gradient was observed in the
intermediary determinants 'home environment' and 'the pupils' tooth brushing
frequency' and an inverse social gradient in 'attitudes and access to
intoxicants' and 'school health services'. CONCLUSIONS: Social gradient between
schools could increase Finnish adolescents' oral health inequalities.
PMID- 29797851
TI - [Myocardial fibrosis detected by cardiac MR : A substrate for ventricular
arrhythmias and sudden cardiac death].
AB - Myocardial fibrosis often develops in the setting of hypertrophic and dilated
cardiomyopathies (CMP), but is also a common sequela after inflammatory CMP or
following an acute myocardial infarction in patients with coronary artery
disease. Cardiac magnetic resonance (CMR) provides a precise quantification of
mass and spatial distribution of myocardial fibrosis by the so-called " late
gadolinium-enhancement " (LGE) technique and current evidence is provided in this
article linking fibrosis mass to outcome in these specific patient populations.
The position of CMR fibrosis imaging in the current guidelines is discussed and
suggestions are given how to integrate CMR fibrosis imaging in the work-up and
risk stratification of these patient populations. Finally, a short outlook is
given on anticipated developments on CMR fibrosis imaging and its integration
into patient management.
PMID- 29797852
TI - [Therapeutic options in advanced heart failure : place of durable left
ventricular assist device (LVAD)].
AB - Despite the benefit of the drugs acting on neuro-humoral activation and cardiac
resynchronization therapy, some patients will end in a severe refractory form of
heart failure: advanced heart failure. The only therapeutic options with a
positive impact on mortality and quality of life are heart transplantation and
permanent left ventricular assist device (LVAD). The significant technological
improvements of the past 20 years lead to a reduction of the complications
associated with these devices, which now allow their use not only during the
waiting period preceding heart transplantation (bridge to transplant), but also
as a durable therapeutic option (destination therapy).
PMID- 29797853
TI - [ECG : interpretation and clinical significance of P-wave abnormalities].
AB - The ECG provides information about heart rhythm and myocardial integrity,
including the atria. The sinus P wave exhibits a 0-90 degrees axis and a
generally biphasic morphology in lead V1. An amplitude >2 mm in lead II and >1 mm
in lead V1 is a specific sign of right atrial enlargement, often related to
pulmonary disease or pulmonary hypertension. Interatrial block (IAB) is defined
as a P-wave >=120 ms with, in the advanced form, a biphasic morphology in
inferior leads. It is most commonly seen in the context of advanced age,
cardiovascular risk factors, coronary artery disease or valvulopathies. IAB is a
risk factor for supraventricular tachyarrhythmias, stroke, left ventricular
dysfunction and mortality. The identification of a P-wave abnormality has
prognostic implications and should trigger the search for associated conditions.
PMID- 29797854
TI - [Diagnosis of myocardial infarction in patients with cardiac pacing].
AB - Diagnosis of an acute coronary syndrome in patients with cardiac pacing can be
difficult, as the usual ECG criteria for ischemia may not apply in case of a
ventricular paced rhythm. This article discusses the ECG modifications observed
in this setting, as well as in case of previous myocardial infarction. In
addition, we discuss the phenomenon of cardiac memory, which may lead to
erroneous diagnosis of myocardial ischemia.
PMID- 29797855
TI - [Patent foramen ovale closure : update].
AB - The question of whether to perform percutaneous PFO closure to reduce the risk of
recurrent ischemic stroke has been a dilemma for many years. Recent randomized
trials have shown the superiority of percutaneous closure compared to medical
therapy for large shunts. The indication of PFO closure is based on a
multidisciplinary decision involving neurologists, cardiologists and hemostasis
specialists. Important points are: PFO anatomy, brain imaging, history of venous
thromboembolism and potential thrombophilia. In addition, atrial fibrillation
(AF) should systematically be excluded. The intervention is performed under
fluoroscopic guidance alone or with additional echocardiographic guidance. The
procedural complication rate is low. There is an increased incidence of AF after
percutaneous closure compared with medical therapy.
PMID- 29797857
TI - ?
PMID- 29797856
TI - [TAVI in 2018 : new indications and open questions].
AB - The last years, various large randomized studies have demonstrated the benefit of
TAVI (transcatheter aortic valve implantation) over medical therapy or over
conventional surgical treatment in inoperable or very high risk patients with
symptomatic severe aortic stenosis. More recently, new data has also shown that
among patients at intermediate risk, TAVI is at least as beneficial as surgical
valve replacement. In this article, we will focus on the new recommendations of
the European society of cardiology but also on issues that remain open.
PMID- 29797858
TI - ?
PMID- 29797859
TI - ?
PMID- 29797860
TI - ?
PMID- 29797861
TI - ?
PMID- 29797863
TI - ?
PMID- 29797862
TI - ?
PMID- 29797864
TI - ?
PMID- 29797865
TI - Demonstration of a laparoscopic structured-illumination three-dimensional imaging
system for guiding reconstructive bowel anastomosis.
AB - This paper reports the development and system analysis of a laparoscopic system
based on structured illumination technique capable of three-dimensional (3-D)
reconstruction of porcine intestine during surgical anastomosis (connection of
tubular structures). A calibration target is used to validate the system
performance and results show a depth of field of 20 mm with an accuracy of 0.008
mm and precision of 0.25 mm. The imaging system is used to reconstruct a
quantitative 3-D depth measurement of ex vivo porcine bowel tissues to mimic an
end-to-end bowel anastomosis scenario. We demonstrate that the system can detect
a suture in the tissue and map homogeneous surfaces of the intestine with
different tissue pigments, affirming the feasibility for depth quantization for
guiding and assisting medical diagnostic decisions in anastomosis surgery.
PMID- 29797866
TI - Adaptable switching schemes for time-encoded multichannel optical coherence
tomography.
AB - We introduce the approach of variable time encoding for multichannel optical
coherence tomography (OCT). High-speed fiber optical switches are applied for
sequential sample arm switching to enable quasisimultaneous image acquisition
from three different orientation angles. In comparison with previous multichannel
OCT (using simultaneous sample illumination), time-encoded multichannel OCT has
no need for division of illumination power among the respective channels to
satisfy laser safety requirements. Especially for ophthalmic applications-in
particular retinal imaging, which the presented prototype was developed for-this
advantage strongly influences image quality through an enhanced sensitivity.
Nevertheless, time encoding comes at the cost of a decrease in imaging speed due
to sequential channel illumination. For the typical multichannel OCT modality
Doppler OCT, this results in a reduction of the maximum unambiguously
determinable Doppler velocity. However, we demonstrate that this drawback can be
overcome by adaptation of the illumination channel switching scheme. Thus, a re
extension of the maximum unambiguously determinable Doppler frequency to the full
A-scan rate of the tunable light source is presented. The performance of the
technique is demonstrated by flow phantom experiments and measurements of retinal
blood flow in the eyes of healthy human volunteers.
PMID- 29797867
TI - [Latitudinal trends in foliar delta13C and delta15N of Quercus variabilis and
their influencing factors.]
AB - We aimed to reveal the latitudinal trends in foliar delta13C and delta15N of
Quercus variabilis, a widely distributed species in East Asia, associated with
two ontogenetic stages (juvenile and mature trees) along a North-South transect
(26 degrees -40 degrees N). The results showed that mature trees had higher
foliar delta13C and delta15N values than juveniles. Foliar delta13C and delta15N
values of trees with both ontogenetic stages were nonlinearly increased and
decreased with latitude, respectively. No interaction between ontogenetic stage
and latitude for the changes of foliar delta15N and delta13C indicated that both
ontogenetic stages across the transect consistently responded to latitudinal
environmental variations. Results from the random forest models indicated that
foliar delta15N of Q. variabilis was mainly affected by soil nutrient contents,
e.g., soil organic matter, phosphorus, nitrogen, whereas dominated factors for
foliar delta13C were related to moisture, such as relative humidity,
precipitation of growing season.
PMID- 29797868
TI - [Water utilization characteristics of the degraded poplar shelterbelts in
Zhangbei, Hebei, China.]
AB - In Zhangbei County, Hebei Province, poplar-dominated shelterbelts are degraded to
different extents. Water availability is the main limiting factor for plant
survival in arid areas. The purpose of this study was to reveal the relationship
between water availability and poplar degradation. Based on the hydrogen and
oxygen stable isotope techniques, we explored the water sources of Populus
simonii under different degradation degrees by comparing the isotopic values of
P. simonii xylem water with that in potential water source, and calculated the
utilization ratio of each water source. The results showed that the water sources
of poplar trees varied with degradation degree. The water sources of P. simonii
gradually transferred from the deep layer to the surface layer with the increases
of degradation. P. simonii with no degradation mainly absorbed soil water in the
range of 320-400 cm, with the utilization rate being 25.1%. P. simonii with
slight degradation mainly used soil water at depth of 120-180, 180-240 and 240
320 cm. The total utilization rate of three layers was close to 50.0%, with less
utilization of water from other layers. The moderately degraded P. simonii mainly
used soil water at depth of 20-40, 40-60 and 60-80 cm. The utilization rate of
each layer was 17.5%-20.9%, and the contribution rate of soil water under 120 cm
was less than 10.0%. The severely degraded P. simonii mainly used water from
surface soil layer (0-20 cm), with the utilization rate being 30.4%, which was
significantly higher than that of other water sources. The water sources of
poplar shelter forests were gradually shallower during the process of
degradation. However, the low soil water content in the shallow layer could not
meet the normal water demand of poplar, which would accelerate the degradation
and even decline of poplar.
PMID- 29797869
TI - [Effects of biochar addition on the mineralization of native soil organic carbon
in Cunninghamia lanceolata plantation.]
AB - Effects of addition of different biochars on soil organic carbon (SOC)
mineralization were studied by the 13C-labelling technique for a better
understanding of biomass resource utilization and carbon sequestration in
subtropical Chinese fir (Cunninghamia lanceolata) plantation. An incubation
experiment under 25 C was performed over a period of 112 days to address how
different biochar addition would affect the mineralization of native SOC.
Biochars were produced from Schimasuperba or C. lanceolata litter at 350, 550 and
750 C, respectively. Results showed that the mineralization of native SOC was
significantly accelerated during the first three days and subsequently suppressed
from 7 to 112 days of incubation after C. lanceolata biochar addition compared to
the control. In the S. superba biochar addition treatment, there was a
significant increase in mineralization of native SOC within the first 14 days of
incubation and then a rapid decrease from days 28 to 112. After 112 days
incubation, all the three C. lanceolata biochar (350, 550 and 750 C) additions
significantly inhibited the mineralization of native SOC. A similar trend was
observed for the two S. superba biochar (350 and 550 C) additions but not for the
S. superba biochar (750 C) addition. The decomposition rates of S. superba
biochar and C. lanceolata biochar were 0.8%-2.8% after 112 days incubation and
decreased with the increases of pyrolysis temperature. Under the same pyrolysis
temperature, the decomposition rate of the S. superba biochar was significantly
higher than that of the C. lanceolata biochar. In conclusion, both the raw
material and pyrolysis temperature of biochars would be important factors driving
the mineralization of native SOC and biochar degradation.
PMID- 29797871
TI - [Effects of alpine meadow degradation on soil carbon, nitrogen, and carbon stable
istope in Zoige Plateau.]
AB - To investigate the differences of soil carbon, nitrogen, and carbon stable
isotopes in Zoige wetland, we analyzed the abundance of carbon stable isotopes
and the contents of carbon and nitrogen in soils of three kinds of retrogressive
successional grasslands (swampy meadow, steppe meadow, and degraded meadow). The
results showed that the delta13C values in the meadow soil of Zoige alpine
wetland ranged from -26.210/00 to -24.720/00. The abundance of delta13C increased
with the depth of soil. There was a significantly negative relationship between
delta13C values and logarithm of soil organic carbon content across the soil
profile. The delta13C values in the surface soil (0-10 cm) followed the order of
grassland meadow>degraded meadow>swampy meadow, and the beta values followed the
order of grassland meadow>swampy meadow>degraded meadow. Soil organic carbon
content was 105.32, 42.11 and 31.12 g.kg-1, while nitrogen content was 8.74, 3.41
and 2.81 g.kg-1, and C/N was 11.26, 11.23 and 10.89, in the swampy meadow,
grassland meadow and degraded meadow, respectively. The soil C/N was lower in
degraded meadow than that in swampy meadow and grassland meadow. The total
organic carbon and nitrogen content decreased obviously with increasing soil
depth. The variations of soil delta13C among meadows with different degradation
stages were mainly restricted to the 0-10 cm soil layer. The beta values and C/N
were the lowest in degraded meadow among three stages, suggesting that the
mineralization rate of degraded meadow soil organic matter was relatively fast.
PMID- 29797870
TI - [Allocation of rice photosynthates in plant-soil system in response to elevated
CO2 and nitrogen fertilization.]
AB - To examine the allocation of rice photosynthates and its response to the elevated
CO2 (800 MUL.L-1) and N fertilization (100 mg.kg-1) at both tillering stage and
booting stage in plant-soil system, rice was continually labelled with 13CO2. The
results showed that the rice root biomass at the tillering stage and the shoot
biomass at the booting stage were significantly increased under elevated CO2.
Elevated CO2 increased the rice biomass and root-shoot ratio at tillering stage,
but reduced it at booting stage. Under elevated CO2, N fertilization promoted
shoot biomass during rice growth, but significantly decreased the root biomass at
booting stage. Elevated CO2 significantly increased the allocation of assimilated
13C to the soil at the booting stage. N fertilization did not promote the
elevated CO2-induced stimulation of assimilated 13C allocated to the soil, and it
even decreased the proportion of assimilated 13C in the soil. In summary,
elevated CO2 increased the photosynthetic C allocation into soil and promoted the
turnover of soil organic carbon in paddy soil. N fertilization enhanced rice
shoot biomass but decreased the belowground allocation of photosynthetic C.
PMID- 29797872
TI - [Effects of different fulvic acid application rates on fruit yield, quality and
fate of 15N-urea in 'Red General' apple.]
AB - To explore the effects of different fulvic acid application rates on 15N-urea
absorption, utilization, residue amount, loss and fruit yield and quality,
fifteen-year-old 'Red General'/ Malus micromalus Makino was selected as
experiment material by using the 15N-labeled tracer technique. Five treatments
were established: single urea (CK), combination of urea and fulvic acid with
varied rates (NF1, NF2, NF3 and NF4 represented 75, 150, 300 and 450 kg.hm-2
fulvic acid application rates, respectively). The main results were as follows:
the 15N derived from fertilizer (Ndff) in root, one-year-old branch and leaf were
displayed with an order of NF3>NF4>NF2>NF1>CK at the fruit maturity stage, and
significant difference was observed in Ndff value among the five treatments. The
total N content and 15N absorption amount were highest in NF3, followed by NF4,
and lowest in CK. Compared with CK, the 15N utilization rate in NF1, NF2, NF3 and
NF4 was increased by 14.2%, 33.5%, 64.2% and 50.0%, and the 15N loss rate was
decreased by 9.1%, 18.5%, 37.1% and 28.7% respectively. The residue amount of 15N
urea varied across different treatments, and that in 0-60 cm soil layer under
combination of urea and fulvic acid was significantly higher than CK, with the
highest value occurred in NF3, while it was lower than CK in 60-100 cm soil
layer. In comparison with CK treatment, the largest increment of yield per plant
and net income appeared in NF3, with an enhancement of 15.8% and 20.2%,
respectively. In addition, the fruit hardness, soluble solid and sugar-acid ratio
reached the highest value in NF3. Considering the responses of fruit yield and N
utilization efficiency, the suitable fulvic acid application rate was 326.41
350.61 kg.hm-2.
PMID- 29797873
TI - [Effects of controlled-release nitrogen fertilizer and bag-controlled release
fertilizer on utilization of 15N-urea in 'Orin' apple and its accumulation in
soil.]
AB - Taking a six-year old 'Orin' apple tree plantation ('Orin'/SH6/ Malus micromalus
Makino) as test material, the effects of common urea treatment (CU), bag
controlled release ferti-lizers treatment (BCRF) and controlled-release nitrogen
fertilizer treatment (CRNF) on 15N-urea absorption, utilization, loss and
accumulation dynamics of nitrogen content in 0-80 cm soil layer were investigated
by 15N labeled tracer method. The results showed that compared with CU treatment,
both CRNF and BCRF treatments increased the inorganic nitrogen content in soil at
the late stage of apple growth, the leaf SPAD value, leaf nitrogen content, net
photosynthetic rate and 15N derived from fertilizer (Ndff value) of different
organs at fruit maturity stage, with CRNF showing stronger effects than BCRF. The
amount of 15N residue in 0-40 cm soil layer showed a decreasing trend in
different phenological stages. The amount of 15N residue was the highest in CRNF,
followed by BCRF, and the lowest in CU, among which CRNF declined gently with 15N
residue mainly concentrated in the 0-40 cm soil layer. 15N residue in 40-80 cm
soil layer showed an increasing trend in different phenological stages, which was
the highest in CU, followed by BCRF, and the lowest in CRNF, and that of CRNF
increased gently. The 15N fertilizer utilization rate of CRNF was 32.6% at fruit
maturity stage, which was 1.11 and 1.56 times as high as that of BCRF and CU,
whereas its 15N loss rate was 21.6%, being obviously lower than BCRF (35.6%) and
CU (59.6%). CRNF significantly improved fruit yield and quality and increased
economic benefits.
PMID- 29797874
TI - [Nitrogen application technology in dwarfed apple trees.]
AB - 15N trace technique was used to explore the characteristics of 15N-urea
absorption, utilization, loss and fruit quality of eight-year-old
'Yanfu3'/M26/Malus hupehensis Rehd. seedlings. There were three different
nitrogen fertilization treatments: one-time nitrogen application in spring (1),
two times nitrogen application (2), the intensive technique of nitrogen
application with several times application and reduction in amount (3). The
results showed that leaf area, chlorophyll content (SPAD value), photosynthetic
rate (Pn), total N content of leaves and the total biomass per plant (except
fruit), as well as the root-shoot ratio of treatment 3 were obviously higher than
those in the treatments of 1 and 2. Treatment 3 significantly increased the
protective enzymes (SOD, POD and CAT) activities and decreased the
malondialdehyde (MDA) content in leaves. Significant differences were found on
the 15N derived from fertilizer (Ndff value) of different organs, with the fruit
Ndff showing the highest, followed by the one-year-old branches, leaves and roots
of the three treatments. The Ndff values of each organ were the highest in the
treatment 3. At fruit maturity stage, total N content of plant in treatment 3 was
93.0 mg.kg-1, which was obviously higher than that in the treatments 1 (70.2
mg.kg-1) and 2 (81.9 mg.kg-1). 15N nitrogen utilization ratio of treatment 3 was
33.6%, which was obviously higher than that in the treatments 1 (20.4%) and 2
(26.0%). The 15N loss rate was 46.9% in treatment 3, being obviously lower than
that in the treatments 1 (56.5%) and 2 (52.9%). There were significant
differences of fruit mass, soluble solid, fruit firmness, soluble sugar content,
titratable acids and sugar-acid ratio among different treatments, with the
highest values in the treatment 3 and the lowest values in treatment 1.
PMID- 29797875
TI - [Effects of different phosphorus application rates on growth, 15N-urea
absorption, and utilization characteristics of pear rootstocks.]
AB - Three kinds of potted one-year-old pear rootstocks (Pyrus calleryana, P. pashia,
and P. xerophila) and 15N trace technique were used to examine the effects of
different phosphorus application rates (P0, P1, P2, P3 and P4 equivalent to 0,
50, 100, 150 and 200 kg.hm-2 P2O5, respectively) on plant growth and the
characteristics of 15N-urea absorption and utilization. The results showed that,
with the increases of phosphorus levels, plant height, ground diameter, dry mass,
root surface area, root length, number of root tips, root activity, root
respiration rate, Ndff values, and nitrogen use efficiency of rootstocks first
increased and then decreased. However, the range of rise and fall of different
rootstocks were distinct, and each index reached the highest level at different
phosphorus levels. The plant height, diameter, dry mass of P. xerophila were the
highest under the same phosphorus level, followed by P. pashia, and P. calleryana
was the lowest. The root architecture parameters and root respiration rate showed
the same trend, but Ndff values and nitrogen use efficiency performed different.
Under different phosphorus levels, each index of P. xerophila reached the highest
level at P3, but those of P. pashia and P. calleryana appeared at P2 and P1
rates, respectively. The Ndff values in stem were the highest among different
organs of rootstocks at diffe-rent phosphorus levels, and the highest nitrogen
use efficiency of P. xerophila, P. pashia and P. calleryana was 9.6%, 8.9% and
8.3%, respectively. The variations of plant growth and N absorption and
utilization of different pear rootstocks across different phosphorus levels
indicated that phosphorus fertilizer should be carry out reasonably in practice
and give full consideration of phosphorus demands of plants.
PMID- 29797876
TI - [Characteristics of absorption, utilization, and distribution of 15N-urea for
Korla fragrant pear in spring.]
AB - Six-year-old Korla fragrant pear trees were fertilized with 15N-labelled urea
before early bud stage to examine the absorption, distribution, and utilization
of urea. Results showed that there was a significant difference of 15N
distribution rate in organs at different growth stages. 15N was pre-ferentially
distributed in the roots , with Ndff (15N amount absorbed from the fertilizer
contribution rate of the total nitrogen organ) being the highest in roots
following by new shoots at full-bloom stage. During new shoot growing and fruit
expanding stages, 15N absorbed in roots preferentially moved to new organs
(leaves and new shoots), with 15N distribution rate to roots being continuously
decreased. Fruits became the new distribution center with highest Ndff at harvest
stage. The amounts of absorbed 15N in fruits accounted for 19.8% of total
absorbed 15N in the tree. The utilization rate of 15N-labelled urea at current
season increased gradually with the growth stages. The maximum value of
utilization rate was 18.5%, which was observed at harvest stage.
PMID- 29797877
TI - [Key pathway of methane production and characteristics of stable carbon isotope
of the Tuojia River waterbody.]
AB - This study aimed at exploring the key pathway of methane production and
clarifying the composition and distribution of carbon (C) isotopes in the Tuojia
River waterbody in Hunan Pro-vince. We estimated CH4 concentrations and fluxes of
four reaches (S1, S2, S3 and S4) by a two-layer diffusion model and gas
chromatography. The spatial and temporal distribution of CH4 flux and its
relationship with environmental factors were examined. The key pathway of CH4
production was investigated by stable C isotope method to analyze the
distribution characteristics of 13C isotope (delta13C) of water dissolved CH4 and
seston/benthic organic matter. There was significant seasonal variability in
water pH, with mean value of (7.27+/-0.03). The concentration of dissolved oxygen
(DO) showed strong seasonal and spatial variations, with the range of 0.43-13.99
mg.L-1. The maximum value of DO occurred in S1 and differed significantly in
summer and autumin. In addition, DO differed significantly in winter and other
seasons in S2, S3 and S4. The concentration of dissolved organic carbon (DOC)
showed a gradual increasing trend from source to estuary. The highest
concentration of DOC (8.32 mg.L-1) was found in S2, while the lowest was observed
in S1 (0.34 mg.L-1). The electrical conductivity (EC) and oxidation-reduction
potential (ORP) of water ranged from 17 to 436 MUS.cm-1 and from -52.30 to 674.10
mV, respectively, which were significantly different among the four reaches
(P<0.05). Water ammonium nitrogen (NH4+-N) and nitrate nitrogen (NO3--N)
concentrations were in the ranges of 0.30-1.35 (averaged 0.90+/-0.10) mg.L-1 and
0.82-2.45 (averaged 1.62+/-0.16) mg.L-1, respectively. The dissolved
concentration and diffusion flux of CH4 ranged from 0 to 5.28 MUmol.L-1 and from
0.34 to 619.72 MUg C.m-2.h-1, respectively, with significant temporal and spatial
variations. They showed a similar trend among reaches. Their values were highest
in spring, followed by in winter and lowest in summer and autumn. Spatially, the
CH4 concentration and flux followed the order of S2>S3>S4>S1. The correlation
analysis showed that CH4 flux was positively correlated with NH4+-N and DOC. The
pathway of CH4 production of all reaches was dominated by acetic acid
fermentation, while there were obvious differences among the four reaches. The
contribution of CH4 from acetic acid fermentation was greatest (87%) in S1,
followed by S4(81%), S2(78%) and S3(76%). The mean value of the delta13C for
dissolved CH4, seston organic matter and benthic organic matter was -41.640/00+/
1.910/00, -14.070/00+/-1.060/00 and -26.200/00+/-1.020/00, respectively. There
was a positive correlation between the delta13C of dissolved CH4 and benthic
organic matter, whereas the delta13C value of dissolved CH4 was negatively
correlated with CH4 flux.
PMID- 29797878
TI - [Temporal and spatial variations of hydrogen and oxygen isotopes in Tuojia River
and its influencing factors.]
AB - The characteristics of hydrogen and oxygen stable isotopes in river is important
for regional hydrologic cycle research. To uncover water supply sources in
subtropical agricultural basin from a perspective of stable isotopes, field
measurements were conducted in four reaches (S1, S2, S3 and S4) of Tuojia River
from April to August 2017. We analyzed the spatial and temporal variations in
hydrogen and oxygen isotopes and deuterium excess parameters and their
relationship with precipitation, altitude and water quality. Results showed that
hydrogen and oxygen isotopes and deuterium excess values ranged from -43.170/00
to -26.430/00 (-35.500/00+/-5.440/00), -7.940/00 to -5.700/00 (-6.860/00+/
0.740/00), and 16.770/00 to 23.490/00 (19.390/00+/-1.950/00), respectively. Under
the influence of monsoon circulation, hydrogen and oxygen isotopes showed
substantial seasonal variation, with spring (deltaD: -29.880/00+/-3.310/00;
delta18O: -6.180/00+/-0.570/00) > summer (deltaD: -39.250/00+/-2.650/00;
delta18O: -7.320/00+/-0.420/00). The spatial distribution of hydrogen and oxygen
isotopes values increased fluctuantly with the position from the sampling site to
the river's source, with deltaD: S1P4 (0.876)>P3 (0.726)>P1 (0.653)>P5
(0.628). Tr was significantly positively correlated with the daily maximum
temperature (Tmax), daily mean solar radiation (SR), daily mean saturated vapor
pressure deficit (VPD), potential evapotranspiration (PET), and daily mean soil
water potential (Psi), but negatively correlated with the daily mean air relative
humidity (RH), daily precipitation (P), and daily minimum temperature (Tmin).
According to the upper boundary line ana-lysis, significant differences were
found in the degree of Tr responding to each single environmental factor among
slope positions. The degree of its responses gradually decreased for average
daily air temperature (T), RH, VPD, PET and Psi, whereas increased for the SR and
daily average volumetric soil water content (VSM) from the upper position to the
lower. Results from regression and partial correlation analysis showed that
variation of Tr was mainly controlled by VPD, PET and RH in different slope
positions. Tr was also strongly affected by Psi and T in the upper-slope
positions and by SR, Tmin and VSM at the lower-slope positions. Generally, the Tr
difference among slope positions was a consequence of joint contributions of soil
water and meteorological factors. It is necessary to consider the changes of soil
water and meteorological factors in different positions along the slope when
examining slope-scale or watershed-scale forest transpiration with sap flow
estimated from xylem sap flux density measurements of a particular plot.
PMID- 29797884
TI - [Composition and seasonal dynamics of seed rain in Chinese fir (Cunninghamia
lanceolata) plantation.]
AB - Chinese fir plantation is an important part of the subtropical forests in
southern China. It has a sustainable natural regeneration ability, which is the
foundation of determining community succession direction and maintaining their
large area. The main objective of this study was to investigate whether the seed
pool was the main restricting factor for the natural regeneration of Chinese fir
plantation. Mixed broad leaf-conifer forest and pure plantation of Chinese fir
were selected to study the species composition, quantity and seasonal dynamics of
all species and dominant species. The results showed that seeds from 21 species
belonged to 13 families and 18 genera were collected in the mixed forest, while
seeds from 19 species belonged to 12 families and 16 genera were collected from
pure forest. Seed rain intensities of all species were 3797 and 3300 seeds.m-2 in
mixed forest and pure plantation, respectively. The number of seeds from tree
species was absolutely dominant in seed rain (mixed forest 89.1%, pure plantation
86.2%). The number of Chinese fir seeds was the largest, the intact seeds
intensities were 825 and 345 seeds.m-2, respectively. The proportion of all types
of seeds in both stands followed the order: the intact seeds > empty or rotten
seeds > feeding seeds. The seed rain of both stands had significant seasonal
dynamics, both reaching the peak in autumn. The seed rain mainly was intact seeds
at the peak of seed-falling. Both mixed forest and pure plantation of Chinese fir
had plenty of seeds. The results indicated that the seed rain is not the main
factor that restricts natural regeneration in Chinese fir plantations.
PMID- 29797885
TI - [Effects of temperature and moisture on net ecosystem CO2 exchange over a meadow
wetland in the Horqin, China.]
AB - Using the eddy covariance technique, the Bowen-ratio meteorological and soil
monitoring system, we analyzed the CO2 flux dynamics and its responses to
temperature and moisture over a meadow wetland in the Horqin during the growing
season (from May to September) in 2016. The results showed that the accumulated
net ecosystem CO2 exchange (NEE) was -766.18 g CO2.m-2 during the growing season.
The gross primary productivity (GPP) and ecosystem respiration (Re) were 3379.89
and 2613.71 g CO2.m-2, respectively. The ratio of Re to GPP was 77.3%, indicating
that this ecosystem was an obvious carbon sink. The average diurnal variation of
NEE exhibited a single peak U-shaped curve with an absorption of CO2 from May to
mid August and a release of CO2 from late August to September. Daytime NEE well
fitted with the photosynthetically active radiation (PAR) by a rectangular
hyperbolic function. Meanwhile, the relationship was affected by the
environmental factors, including vapor pressure deficit (VPD), soil water content
(SWC) and air temperature (Ta). Regression analysis showed that the VPD and SWC
for the maximum daytime NEE were 1.75 kPa and 35.5%, respectively. Daytime NEE
increased with Ta, and with no inhibitory effect on the daytime NEE when it
reached the maximum. Nighttime NEE had an exponential relationship with soil
temperature (Ts). During the entire growing season, the temperature sensitivity
of the ecosystem respiration (Q10) was 2.4, which was negatively related to SWC.
The nighttime NEE was controlled by both Ts and SWC.
PMID- 29797886
TI - [Carbon, nitrogen and phosphorus contents and their ecological stoichiometry in
litters and soils on meadow of Wugong Mountain, Jiangxi, China at different
altitudes.]
AB - Carbon (C), nitrogen (N) and phosphorus (P) contents and ecological stoichiometry
and their correlations of litter and soil along 1500-1900 m on Wugong Mountain
meadow were studied. The results showed that litter C, N and P contents varied in
the range of 397.5-458.24, 11.59-17.12, 1.05-2.19 mg.g-1, respectively. Litter C
content decreased, and the contents of N and P decreased first and then increased
with the increases of altitude. Soil C, N and P contents varied in the range of
51.64-80.01, 3.30-4.77, 0.44-1.09 mg.g-1, respectively. Soil C, N and P contents
first increased and then decreased with the increases of altitude. However, soil
P varied much less along the altitude gradient. Litter C:N, C:P and N:P varied in
the range of 24.73-40.36, 203.65-463.08, 7.16-13.80, respectively, and first
increased but then decreased with altitude. Soil C:N, C:P and N:P were 14.95
16.95, 56.87-162.52, 3.69-10.58, respectively. Soil C:N changed little with
altitude. Soil C:P and N:P first increased and then decreased with increa-sing
altitude, with the maximum presented at 1600-1700 m. Changes in soil C, N and P
contents differed between litter and soil on Wugong Mountain meadow. The mean C,
N and P contents as well as C:N, C:P and N:P in litter were higher than those in
soil.
PMID- 29797887
TI - [Geostatistical analysis on the spatial pattern of Quercus mongolica population
in different communities.]
AB - Taking Quercus mongolica population in the secondary forest of Q. mongolica as
the research object, two plots in different stages of succession (A and B) were
set up in Tazigou Forest Farm of Wangqing Forestry Bureau, Jilin Province. By
applying the method of adjacent grid survey, each plot was divided into 100 units
of 10 m*10 m and the spatial coordinates of each tree in the unit were accurately
located to survey all the basic information of trees with diameter at breast
height (DBH)>=1 cm. The degree, composition, scale and pattern of spatial
heterogeneity of individual tree of Q. mongolica were analyzed by means of semi
variance function and fractal dimension of geostatistics. By using Kriging
interpolation method, unbiased estimation of tree attribute with spatial
autocorrelation was carried out, distribution map was drawn and spatial
distribution pattern was analyzed. The results showed that the best semi-variance
function of tree attributes in two plots was mainly distributed in an exponential
model and a spherical model with an aggregated distribution. The degree of
spatial autocorrelation and continuity of plot A were higher than that of plot B.
The DBH and the east-west crown (CEW) had strong spatial heterogeneity and
autocorrelation in the two plots. The tree attributes of both plots showed strong
spatial heterogeneity in the north-south direction. In addition, there was strong
spatial heterogeneity in the northwest-southeast direction of plot A and in the
northeast-southwest of plot B. The strength of the spatial heterogeneity was
higher and the scale being larger in plot A. The variations of DBH and CEW were
obvious in plot A, while the variations of CEW and south-north crown (CSN) were
obvious in plot B. The fractal dimension and semi-variogram function showed the
same result. The tree attributes of plot A were mainly patchy and stripe, and the
variation trend of spatial distribution pattern was obvious. The tree attributes
of plot B was broken, with complex pattern. Those results indicated that the
characteristics of population, community development, spatial scale and spatial
horizontal direction might affect the spatial pattern of populations. The
geostatistical analysis method is helpful to quantitatively and directly describe
population growth and development trend, which can provide a theoretical basis
for the sustainable management of Q. mongolica secondary forests in Northeast
China.
PMID- 29797889
TI - [Influence of rainwater harvesting and soil anti-seepage on soil water
infiltration, distribution and use efficiency in hilly orchard.]
AB - Rainwater harvesting and soil anti-seepage technology is a new technology based
on the ridge film mulching and furrow rainwater harvesting. To explore the
application effects of rainwater harvesting and soil anti-seepage technology,
four different treatments including control (CK), loessal soil with compacted
anti-seepage treatment (L1), red clay with anti-seepage treatment (R1), and red
clay with compacted anti-seepage treatment (R2) were set up in a "Fuji" hillside
orchard in Mizhi Demonstration Station of northern Shaanxi Province. Soil bulk
density, stable infiltration rate, soil water content, fruit quality and yield,
and water use efficiency were measured. Results showed that R2 had the best anti
seepage efficiency with the highest bulk density (1.61 g.cm-3), the minimum water
holding capacity, and the least stable infiltration rate (0.02 mm.min-1). The
empirical formula of Kostiakov equation was suitable for describing water
infiltration characteristics. Throughout the growing season, soil moisture for 0
60 cm soil depth in the rainwater harvesting ditch could be improved by the
different anti-seepage treatments. R2 had the highest soil water content all the
time and could satisfy the suitable water content standard for growth and
development of apple trees in the dry season. Under the anti-seepage treatment,
there was an "low humid layer" with 20-30 cm of soil layer under 60 cm soil
depth, but the variation tendency of soil water content from "low humid layer" to
200 cm soil layer was stable and slightly higher than that in CK. Rainwater
harvesting and soil anti-seepage treatments could increase fruit yield and water
use efficiency, and improve the quality of fruit. Compared with that in CK, the
fruit yield, the rate of good fruit, and water use efficiency of R2 treatment
increased by 19.2%, 26.5%, and 24.5%, respectively. Therefore, rainwater
harvesting and soil anti-seepage technology was recommended as the effective
agricultural measurement in the apple production in loess hilly areas of northern
Shaanxi Province.
PMID- 29797888
TI - [Nondestructive detection of total nitrogen content in leaves of Santalum album
based on ST-PCA-BP neural network.]
AB - Nitrogen is one of the most important elements for plant growth. Producers often
use a lot of nitrogen fertilizer during plant growth process. However, excessive
fertilizer often cause ground-water pollution. In this study, we proposed a
nondestructive testing method for total nitrogen content in leaves of sandalwood
(Santalum album) based on ST-PCA-BP neural network. The results showed that, due
to the wide color range of L*a*b* color system and its robustness in illumination
change, images obtained from the field which were converted from RGB to L*a*b*
color system had a satisfying segmentation result. The proposed ST-PCA-BP neural
network was characterized by choosing variables through significance test (ST)
and using variance inflation factor (VIF) and conditional index (CI) to analyze
collinearity of results, and further using principal component analysis (PCA) to
eliminate it. Such a method reduced the probability of the chance that BP neural
network fell into the local minimum. Compared with the result of original data,
data after ST processing, and data after PCA processing, the results of proposed
method had the highest coefficient of determination, while the mean residual
error and the root mean square error were the smallest. It was the best way to
detect the total nitrogen content of sandalwood leaves with real-time and non
destructive method.
PMID- 29797890
TI - [Effects of microtopography on the pattern and association of dominant
population.]
AB - Different spatial distribution patterns and correlations could reflect the
adaptation strategies of plant populations to different habitats. Geranium
wilfordii and Gueldenstaedtia verna are the major species in the subalpine
meadow. Within a field experiment, the Ripley K function was used to analyze the
relationship of spatial distribution pattern and interspecific association
between G. wilfordii and G. verna in different slope aspects. The results showed
that soil water content and nutrient content gradually increased, whereas soil
temperature and light intensity gradually decreased along the gradient from south
to north slope. The distribution of G. verna and G. wilfordii showed significant
differences in three slope aspects (north, west and south slopes). G. verna
showed an increa-sing trend, while G. wilfordii showed a decreasing trend from
north to south slope. On the north slope, G. verna populations showed aggregation
distribution at 0-1.8 m scale, while the aggregation intensity weakened and
tended to be a random distribution at 1.8-5.0 m scale. G. wilfordii populations
showed aggregation distribution at 0-5.0 m scale. On the west slope, G. verna
population showed aggregation distribution at the 0-1.2 m scale, and tended to be
a random distribution at the 1.2-5.0 m scale. G. wilfordii population showed
aggregation distribution at the 0-2.2 m scale, and tended to be a random
distribution at the 2.2-5.0 m scale. On the south slope, G. verna and G.
wilfordii population showed random distribution at the 0-5.0 m scale. Both
species showed positive correlation at the 0-1.0 m scale but not at the 1.0-5.0 m
scale on the north slope. G. verna and G. wilfordii were negatively associated at
the 0-2.1 m scale but unrelated at the 2.1-5.0 m scale on the west slope. On the
south slope, both species showed no correlation at the 0-5.0 m scale.
PMID- 29797891
TI - [Assessment of the potential for urban facade greening in Xinjiekou District,
Nanjing, China.]
AB - Green facade is an important strategy to improve the urban eco-environment and
reduce the negative effects of human activities in central districts of cities
which are land-scarce and lack green spaces. We first summarized the limiting
factors for the construction of green facades locally and internationally. Then,
we used the Xinjiekou District of Nanjing City in China as a case study area, and
selected the wind environment, solar environment, and physical build environment
that might impact the potential development of green facades as key factors to
quantitatively analyze singlely by geographic information systems (GIS) and
computational fluid dynamics (CFD). Finally, the potential area to develop green
facades was assessed through a multi-factor overlay analysis. The results showed
that 17726 m2 of wall spaces in the Xinjiekou District had a high potential for
facade greening, accounting for 30.8% of all exterior wall space under a height
of 12 m and 17.3% of the entire study area. Sunlight was a key limiting factor in
determining whether a green facade should be developed. Irrigation was identified
as another important factor that might strongly affect the growth of vertical
vegetation in urban environment. The spatial distribution of walls suitable for
facade greening was uneven, with an "inner-high and south-high" spatial pattern.
Our results would help to guide the design and development of green facades in
Xinjiekou, and also provide a reference for planning and utilizing green wall
space projects in other built and dense urban areas.
PMID- 29797892
TI - [Long-term changes of land use/cover in the Three Gorges Reservoir Area of the
Yangtze River, China.]
AB - Understanding the temporal and spatial dynamics of land use/cover (LUC) can
contri-bute to reveal the impacts of climate change and human activities on
ecosystems and thus be an important prerequisite for ecosystem management. As an
ecologically vulnerable area in China, the Three Gorges Reservoir Area (TGRA) of
the Yangtze River presented significant complexity in the response to
environmental changes. However, there is a general lack of understanding in the
underlying mechanism. In this study, we interpreted the time series remote
sensing images derived from the Landsat sensors to map the LUC of the TGRA, and
aimed to analyze the long-term changes in the distribution and structure of LUC
and elucidate the evolution process of LUC, which could provide a scientific
basis to understand the complexity of ecosystem changes and regional ecosystem
management in ecologically fragile regions. The results showed that the TGRA
presented the significant changes in spatial heterogeneity of LUC structure from
1990 to 2015. Meanwhile, the landscape changed from farmland to woodland (forest
and shrubland). Farmland decreased from 66.2% to 40.4%, but woodland increased
from 31.3% to 53.5%, buildings and water area increased gradually. Forest
gradually spread to the middle of the TGRA, while the buildings presented a
scattered expansion. In the altitude zone of 500-1000 m and slope zone of 15
degrees -25 degrees , woodland increased noticeably, and the coniferous forest,
mixed forest and shrubland had a higher growth rate. In the study period, due to
the urban construction and the various ecological restoration projects in the
TGRA, the LUC structure varied with the dam's impoundment and was characterized
by the ecosystem restoration. Ecological restoration projects were helpful to
reduce the negative impacts of urban construction and economic development on the
environment.
PMID- 29797893
TI - [Impacts of land use change on ecosystem services in the agricultural area of
North China Plain: A case study of Shangqiu City, Henan Province, China.]
AB - Under the rapid urbanization, quantitatively assessing the impacts of land use
and cover change (LUCC) on ecosystem service is of great significance for
regional ecological environment construction. Based on the land use maps of
Shangqiu City (as the typical agricultural area of North China Plain) in the year
of 1990, 2005 and 2015, the spatio-temporal dynamics of land use and ecosystem
service were analyzed, and the impacts of LUCC on ecosystem services was
quantified with the impact assessment model. The results showed that, from 1990
to 2015, farmland and construction land area, which covered more than 95% of the
total area of the research area, changed the most in quantity as -104.38 and
201.59 km2 respectively, while forestland, grassland and water area changed the
most by 79.3%, -73.7% and -24.2%, respectively. The total value of ecosystem
service continuously decreased by 1.005 billion yuan, among which the value of
hydrolo-gical regulation service suffered the most. The value of ecosystem
service (ESV) presented an increasing trend in the west and a decreasing trend in
the east. Extending from the center of the city to the outside, the value of
ecosystem services was "high-low-high" in the east to west direction. The rate of
farmland and water area contributed more than 95% to the total ecosystem service
value, which had the greatest impact. The main drivers for the changes of land
use and ESV in Shangqiu were population pressure, economic growth, regional
policy, and urban planning. In the urban and rural development planning of
Shangqiu City, more attention should be paid to the protection of na-tural
resources and rational adjustment of the land use structure to realize
sustainable development based on the harmony of economy, society and environment.
PMID- 29797894
TI - [Delineation of urban development boundary based on the combination of rigidity
and elasti-city: A case of Yiwu City in Zhejiang Province, China.]
AB - Under the background of rapid urbanization, we took the contradiction between the
rapid urbanization and resource environment protection as the starting point,
conducted some theoretical research on urban growth boundary. Based on the
definition of urban development boundary, we took Yiwu City, Zhejiang Province as
a typical instance. Firstly, this study delimited the ecological boundary as
ecological basic constraint area, using the methods of ecological red line
discrimination and ecological sensitivity evaluation. Furthermore, the MCE-CA
model was used in simulating the city size in 2020, making some adjustments to
the moderate and low ecological-sensitive areas in the eco-sensitivity assessing,
and delimiting the size of urban growth boundary and elastic control zones. The
results showed that the ecological constraint area with a total area of385.2 km2
and outside of the ecological boundary was the security line of urban development
and construction. The urban growth boundary with a total area of 163.3 km2 was
not only the spatial boundary that could be constructed now, but also could meet
the future development and construction. The district between the ecological
boundary and urban growth boundary was an elastic control zone, in which urban
development activities were allowed, but the size of construction could not
exceed 8.5% of the total urban development boundary area. Our results delimited
the urban development boundary under the rigidity and elasticity, which could
guide the urban space development and provide a theoretical reference for China.
PMID- 29797895
TI - [MODIS-driven estimation of regional evapotranspiration in Karst area of
Southwest China based on the Penman-Monteith-Leuning algorithm.]
AB - Karst area in southwestern China is characterized with complex topography, low
soil water capacity, and fragile ecosystem. Accurate estimation of regional
evapotranspiration is essential for ecological restoration and water resources
management in southwestern China. Based on observed evapotranspiration and
meteorological data, this study aimed to estimate spatial upscale
evapotranspiration using the MOD15A2 LAI and Penman-Monteith-Leuning (PML) model,
within which the stomatal conductance and soil wetness index were optimized by
the least-square method. The results showed that the modeled ET well fitted with
the observations, with the determination coefficient, Nash efficiency coefficient
and RMSE being 0.85, 0.75 and 1.56 mm.d-1, respectively. The ET exhibited clear
seasonality and reached to its maximum in summer, coinciding with vegetation
phenology. The annual ET ranged from 534 to 1035 mm.a-1, with strong spatial
heterogeneity which highly related to the precipitation. Evapotranspiration may
be affected by precipitation as well as land use types.
PMID- 29797896
TI - [Effect of meteorological factors on design farmland drainage modulus.]
AB - Calculation of design drainage modulus is of great importance for the safety of
farmland and reduction of waterlogging loss. We investigated the effects of main
meteorological factors on design farmland drainage modulus by focusing on a
closed farmland area in Ninghe District of Tianjin City. To resolve the problem
of limited observation, the parameter sensitivity was analyzed according to
mutual information and partial rank correlation coefficient aiming at the total
runoff depth based on field observation experiment. The reasonable parameters
were obtained by combining with soil water content and the relative variation of
groundwater level. Then, a three-source runoff yield model coupling of excess
infiltration and saturation was established to estimate runoff and design
drainage modulus, and the effects of meteorological factors such as precipitation
and evaporation on drainage modulus were analyzed. Results showed that summer
precipitation significantly reduced and evaporation varied a little during 1960
2015. The corresponding drainage modulus decreased with the reduction of
precipitation. When return period increased, the magnitude of the reduction in
precipitation as well as the design drainage modulus increased. However, the
effects of meteorological factors on design drainage modulus was generally
inapparent. Thus, we concluded that the current drainage modulus is suitable for
the study area.
PMID- 29797897
TI - [Dynamics of nutrient concentration and microbial community composition during
fine root decomposition in subtropical Mytilaria laosensis and Cunninghamia
lanceolata plantations.]
AB - We conducted a 12-month fine root decomposition experiment under 19-year-old
Mytilaria laosensis and Cunninghamia lanceolate plantations to explore the
dynamics of nutrient concentration and microbial community composition. The aim
of this study was to provide insights into nutrient cycling under plantations
with different tree species. Our results showed that the initial concentrations
of phosphorus (P) and potassium (K) were significantly higher in the fine root of
M. laosensis than those in C. lanceolata, which significantly decreased with
decomposition. Nitrogen (N) concentration in fine roots of both species increased
with decay time. The variation of N concentration in fine root of C. lanceolata
lagged behind that in M. laosensis. During the decomposition, magnesium (Mg)
concentration in fine root of C. lanceolata showed no significant changes, but
that of M. laosensis decreased at the initial decay stage and increased
thereafter and was significantly lower than that of C. lanceolata at the 8th
month. The ratio of fungi to bacteria (F/B) of both species decreased at the
initial stage and then increased, with significantly higher F/B in fine root of
M. laosensis than that of C. lanceolate after one-year decay. Redundancy analysis
(RDA) showed that changes in N and K concentrations and C/N ratio explained
37.2%, 14.5% and 14.8% of the variations in microbial community composition of C.
lanceolata fine root respectively. However, during the decay of M. laosensis fine
root, concentrations of Mg and K were key factors, accounting for 35.9% and 17.6%
of the variations in microbial community composition, respectively. We concluded
that other nutrients beyond N, such as Mg, might also be an important factor
affecting root decomposition in different tree species.
PMID- 29797898
TI - [Screening and phosphate-solubilizing characteristics of phosphate-solubilizing
actinomycetes in rhizosphere of tree peony.]
AB - A strain PSPSA1 with strong phosphate-solubilizing ability was isolated from the
rhi-zosphere of tree peony. This strain was identified based on morphological
characteristics, physiological and biochemical experiments, and 16S rDNA sequence
analysis. Furthermore, its genetic stabi-lity and phosphate-solubilizing
characteristics were investigated. Strain PSPSA1 was identified as the
actinomycete Streptomyces albireticuli and showed high genetic stability. The
order of phosphate solubilization capacity (PSC) in different phosphorus-source
culture media was calcium phosphate (158.5 mg.L-1) > aluminum phosphate (139.9
mg.L-1) > ferric phosphate (127.7 mg.L-1) > lecithin (45.6 mg.L-1). The PSC and
pH were significantly negatively correlated in inorganic phosphorus solution, but
were not correlated in organic phosphorus solution. When using different carbon
sources, PSC was in the order of lactose > glucose > maltose > fructose > sucrose
> starch > cellulose. For different nitrogen sources, PSC was in the order of
peptone > ammonium nitrate > ammonium sulfate > potassium nitrate > urea. Using
glucose as a carbon source and peptone as a nitrogen source, strain PSPSA1
achieved the highest PSC of 202.6 mg.L-1. After incubated for 60 days, the
available phosphorus content in soil with a single application of strain PSPSA1
increased by 68.2% compared with control, and the available phosphorus content in
soil with mixed application of strain PSPSA1 and organic fertilizer increased by
76.7% compared with a single application of organic fertilizer. The results
indicated that the strain PSPSA1 had a strong ability to dissolve insoluble
phosphorus and its mixed application with organic fertilizer improved the
phosphorus solubilization. Thus, PSPSA1 is a promising microbial resource for the
production of high-efficiency biological phosphorus fertilizer.
PMID- 29797899
TI - [Phyllosphere bacterial community structure of Osmanthus fragrans and Nerium
indicum in different habitats.]
AB - The diversity of phyllosphere bacteria is one of the hotspots in the research
area of plant-microbial relationship. There are still a lot of controversies in
the main factors influencing community structure of phyllosphere bacteria. In
this study, the phyllosphere bacterial community structure of Osmanthus fragrans
and Nerium indicum grown in three habitats was investigated based on high
throughput sequencing and the main driving factors were examined. The results
showed that there was no significant difference in phyllosphere bacterial
diversity between the two plant species grown in three habitats. For phyllosphere
bacterial community of two plant species from three habitats, the dominant phyla
were Actinobacteria, Bacteroidetes, Chlamydiae, Cyanobacteria, Firmicutes and
Proteobacteria, and the dominant genera included Methylobacterium, Sphingomonas,
Hymenobacter, Polaromonas and Spirosoma. The structure of phyllosphere bacterial
community was influenced by habitats, host species identity and species-habitat
interaction, among which habitat showed the strongest effect.
PMID- 29797900
TI - [Effects of protease inhibitors on the activities of midgut proteases in
Callosobruchus chinensis (Linnaeus) larvae.]
AB - To clarify the effects of protease inhibitors on the activities of midgut
proteases in Callosobruchus chinensis (L.) larvae, the inhibitory effects of four
kinds of protease inhibitors on the activities of midgut proteases of C.
chinensis larvae were examined in vitro and in feeding conditions with indoor
artificial insect inoculation. The activities of total protease, trypsin-like
enzyme and chymotrypsin-like enzyme in the midgut of C. chinensis larvae fed on
artificial mung bean that contained different contents of mung bean types in
inhibitor (MBTI) were examined. The results showed that those four protease
inhibitors had significant inhibitory effects on the activities of total
protease, trypsin-like enzyme and chymotrypsin-like enzyme in C. chinensis
larvae. The inhibitory effect was more significant when the concentration was
higher. Among those inhibitors, the inhibitory effect of 20 MUg.mL-1 MBTI on
three kinds of enzyme activities was the strongest, with reduction of 62.5%,
41.2% and 38.7%, respectively. Ovomucoid inhibitor (OI) had the lowest inhibitory
effect. The activities of three enzymes in the midgut were also inhibited by C.
chinensis larvae fed with artificial mung bean containing different inhibitors.
The activities of three enzymes increased with the prolongation of the instar
after feeding, but they were significantly lower than that in control. The
inhibitory effect of MBTI was the strongest. The inhibitory effect on the
activities of total protease and trypsin-like enzyme was gradually enhanced with
the increases of MBTI contents when C. chinensis larvae were fed with artificial
mung bean with different contents MBTI. The inhibitory effect on chymotrypsin
like enzyme activity was not significant. When the content of MBTI was up to 20%,
the activity of chymotrypsin-like enzyme was obviously inhibited.
PMID- 29797901
TI - [Community structure of soil mites under Quercus variabilis forests in small
watershed of karst area, Guizhou, China.]
AB - To analyze the differences of community structure of soil mites between the rocky
desertification environment and karst beech forests, we investigated the soil
mites of Quercus variabilis forest in the Chaoying small watershed of Guizhou
Province. Soil mites from 83 genera, 54 families, and 3 orders were collected in
the four investigations of 2014. We analyzed the differences of genus numbers,
individual numbers, individual density, Shannon diversity index (H), Margalef
richness index (SR), Pielou evenness index (J), maturity index (MI) of predatory
soil mites (Mesostigmata: Gamasina), community structure of the oribatid mites
(MGP) and nutritional structure of mite. Results showed that Oribatida was
dominant in taxonomic richness and individual abundance. Abundant genus, high
individual density and diversity of soil mites were found in summer and autumn,
and higher individual abundance was found in spring and autumn. Soil mites showed
surface-aggregation in their distribution. Most predatory mites were K-selected
in summer and r-selected in other seasons. The ecological groups of the oribatid
mites were mainly P-type and O-type. Hypochthonius, Allobelba and Zygoribatula
constituted the nutrient function group of the soil mites. There were significant
differences in the main groups of soil mites in the beech forest and other forest
types. Among all the groups, Parholaspididae, Laelapidae, Oppiidae and
Haplozetidae with abundant genera composition, Multioppia, Parholaspulus,
Scheloribates and Haplozetes with predominance could be used as a biological
indicator for the soil environment in beech forests.
PMID- 29797902
TI - [Degradation of nonylphenol in water by microorganisms immobilized on bamboo
charcoal.]
AB - Bamboo charcoal is a high-quality biochar, with a large surface area, well
developed pores, and high mechanical strength. Therefore, it is one of the best
choices of microbial immobilization carrier. In this study, the optimal
preparation condition was examined for microorganisms immobilized on bamboo
charcoal by the orthogonal test. The degradation effects of estrogen nonylphenol
were compared between the bacteria immobilized on bamboo charcoal and free
bacteria, and then feasibility of the reuse of immobilized bacteria was
investigated. The results showed that lots of degrading bacteria could adhere to
the surface and internal pores of bamboo charcoal. The optimum conditions for the
preparation of immobilized microorganisms were as follows: 30 C, pH=7, 35-mesh
bamboo charcoal. The degradation rate of nonylphenol was in good agreement with
the first order kinetics equation. When the initial concentrations of nonylphenol
were 30, 50, 80 and 100 mg.L-1, the degradation rates of nonylphenol of
immobilized bacteria for seven days were 100%, 75.3%, 67.3% and 78.7%,
respectively, which were significantly higher than those of free bacteria (54.2%,
51.5%, 30.6% and 23.5%). After eight rounds of reuse, the degradation rate for
immobilized bacteria still reached as high as 36.5%, while it was only 8.9% for
free bacteria. Our results indicated that the microorganisms immobilized on
bamboo charcoal had long-term reusability, and thus had good prospects in the
application of organic pollutants removal in wastewater.
PMID- 29797903
TI - [Effects of Suaeda glauca planting and straw mulching on soil salinity dynamics
and desalination in extremely heavy saline soil of coastal areas.]
AB - To elucidate the seasonal variations in soil salinity and its driving factors,
and to explore the effects of planting Suaeda glauca and straw mulching on soil
desalination and salinity controlling, a field experiment was conducted in
extremely heavy saline soil of coastal areas in Rudong, Jiangsu Province. There
were four treatments: control (bare land, CK), planting S. glauca (PS), straw
mulching A (at 15 t.hm-2, SM-A), straw mulching 2A (at 30 t.hm-2, SM-2A). Climate
factors (including rainfall, atmospheric temperature, sunshine duration, and
atmospheric evaporation) and soil salinity dynamic changes were determined from
May 2014 to May 2015. Results showed that: (1) The seasonal variation of soil
salinity was obvious in the bare ground (CK), with the lowest (8.69 g.kg-1)
during June-August and the highest (26.66 g.kg-1) during September-December. The
changes of soil salinity in topsoil (0-20 cm) were more intense than that in sub
topsoil (20-40 cm), with the changes in sub-topsoil having somewhat time lag
compared the topsoil. (2) Soil salinity in CK treatment had a significantly
linear correlation with the cumulative rainfall and evaporation-precipitation
ratio of the fifteen-day before sampling. The results from multifactor and
interphase analysis indicated that the increases of rainfall would promote soil
desalinization. The rise of atmospheric temperature could exacerbate soil salt
accumulation in surface soil. The interaction between rainfall and atmospheric
temperature would have a positive effect on soil salt accumulation. (3) PS
treatment did not alter the seasonal variation in soil salinity, but it reduced
soil salinity in topsoil. (4) In SM-A and SM-2A treatments, the relationship of
soil desalinization rate (%, Y) and treatment time (days, X) was expressed as
Logistic curve equation. Moreover, the soil desalination rate was over 95.0% in
the topsoil after 90-100 days of straw mul-ching treatment and was over 92.0% in
sub-topsoil after 120 days of straw mulching treatment. The soil salinity in SM-A
and SM-2A treatments fluctuated below 0.60 g.kg-1 and 1.00 g.kg-1, respectively
in topsoil and sub-topsoil. Considering the desalination and economic costs, a
suitable amount of straw mulching (such as 15 t.hm-2) before rainy season was
recommended, which would promote the soil desalinization and reclamation in
extremely heavy saline soil of coastal areas.
PMID- 29797904
TI - [Using sequential indicator simulation method to define risk areas of soil heavy
metals in farmland.]
AB - The heavy metals in soil have serious impacts on safety, ecological environment
and human health due to their toxicity and accumulation. It is necessary to
efficiently identify the risk area of heavy metals in farmland soil, which is of
important significance for environment protection, pollution warning and farmland
risk control. We collected 204 samples and analyzed the contents of seven kinds
of heavy metals (Cu, Zn, Pb, Cd, Cr, As, Hg) in Zengcheng District of Guangzhou,
China. In order to overcame the problems of the data, including the limitation of
abnormal values and skewness distribution and the smooth effect with the
traditional kriging methods, we used sequential indicator simulation method
(SISIM) to define the spatial distribution of heavy metals, and combined Hakanson
index method to identify potential ecological risk area of heavy metals in
farmland. The results showed that: (1) Based on the similar accuracy of spatial
prediction of soil heavy metals, the SISIM had a better expression of detail
rebuild than ordinary kriging in small scale area. Compared to indicator kriging,
the SISIM had less error rate (4.9%-17.1%) in uncertainty evaluation of heavy
metal risk identification. The SISIM had less smooth effect and was more
applicable to simulate the spatial uncertainty assessment of soil heavy metals
and risk identification. (2) There was no pollution in Zengcheng's farmland.
Moderate potential ecological risk was found in the southern part of study area
due to enterprise production, human activities, and river sediments. This study
combined the sequential indicator simulation with Hakanson risk index method, and
effectively overcame the outlier information loss and smooth effect of
traditional kriging method. It provided a new way to identify the soil heavy
metal risk area of farmland in uneven sampling.
PMID- 29797905
TI - [Influence of land intensive use level on main atmospheric pollutants in the
central urban area of Nanchang City, China.]
AB - At present, urbanization has gradually changed from denotative expansion to
connotative development in China. It is urgently needed for intensive use of land
resources and the construction of "compact city". However, intensive land use
means less land resources to carry more urban elements, therefore people will
become more and more sensitive to environmental pollution, especially atmospheric
pollution. It is of great significance to study the influence of intensive land
use on atmospheric pollution. Taking the central urban area of Nanchang City as a
case, this study simulated the concentration of the six main atmospheric
pollutants i.e. PM2.5, PM10, SO2, NO2, CO and O3 by ordinary kriging
interpolation firstly. Then, sixteen intensive land use variables, including the
volume ratio, building density, population density, were analyzed with the
partial least squares regression and path analysis in order to reveal the effects
of intensive land use level on the atmospheric pollutants. The results showed
that the correlations between intensive land use level and PM2.5, PM10 were the
strongest, followed by O3 and NO2, and the weakest correlation was between
intensive land use level and SO2, CO. The sequence of the correlation between
intensive land use variables and the six main atmospheric pollutants in different
sample areas was residential area> education area > business area > industrial
area. The more intensive the land use was, it had greater impacts on the
atmospheric pollutants. The greatest effect was on PM2.5 and PM10, followed by
O3, and the least effect was on NO2. The direct, indirect, and integrated
influences of intensive land use on atmospheric pollutants were generally equal.
Overall, the direct influence of the intensive land use level was greater than
the indirect one, among which the influence of the intensive land use level of
the residential area was the strongest, followed by the business area, and the
education area least. This study provided a new perspective on studying the
influence of intensive land use on atmospheric environment, which would provide
some reference to solve the atmospheric problems in compacted city.
PMID- 29797906
TI - [Research advance in allelopathy effect and mechanism of terrestrial plants in
inhibition of Microcystis aeruginosa.]
AB - The inhibition of algae reproduction and control of harmful algal bloom are the
primary challenges in the ecological restoration of eutrophicated water. It is
urgent to inhibit algae over-reproduction in green and effective ways, one of
which is the use of plant allelopathic effect. How-ever, few study focused on
allelochemicals of terrestrial plants. Here, we introduced inhibition of
Microcystis aeruginosa over-reproduction by allelochemicals from three categories
of terrestrial plants, including herbaceous plants (Compositae/Papaveraceae,
Liliaceae, Graminaceae), woody plants, and Chinese medicine plants. The
classification, separation and identification of alleloche-micals from
terrestrial plants that could be used for the inhibition of M. aeruginosa were
summarized. Finally, the allelopathic mechanism to inhibit M. aeruginosa was
discussed in detail to support the development of algistat. We also proposed some
suggestions for the further development of algistat.
PMID- 29797907
TI - [Clinical observation of "Tongdu Tiaoshen needles therapy" in treating acute
cerebral infarction and its effect on autophagy].
AB - OBJECTIVE: To observe the difference of clinical efficacy between "Tongdu
Tiaoshen needles therapy" and conventional acupuncture in patients with acute
cerebral infarction (ACI) and its relationship with autophagy. METHODS: Sixty
patients with ACI were randomly divided into an observation group (30 cases and 2
dropping) and a control group (30 cases and 3 dropping). Conventional drugs were
applied in the two groups. In the observation group, acupuncture was applied at
Dazhui (GV 14), Fengchi (GB 20), Qiangjian (GV 18), Baihui (GV 20), Shenting (GV
24), Yintang (GV 29), Shuigou (GV 26), Quchi (LI 11, affected side), Hegu (LI 4,
affected side), Zusanli (ST 36, affected side), and EA was connected at Baihui
(GV 20) and Yintang (GV 29). After 30 min, the EA and non-governor vessel
acupoints were removed, and the governor vessel points were continued for 20 min.
Twirling was used twice every 5 min, 1 min a time. In the control group,
acupuncture was applied at Baihui (GV 20), Yintang (GV 29), Quchi (LI 11,
affected side), Waiguan (TE 5, affected side), Shousanli (LI 10, affected side),
Hegu (LI 4), Zusanli (ST 36), Sanyinjiao (SP 6, affected side), Taixi (KI 3,
affected side), Taichong (LR 3, affected side). EA was connected at Zusanli (ST
36) and Hegu (LI 4). The treatment was given for 10 days, once every day with
needle retained for 30 min. National Institute of Health stroke scale (NIHSS),
mini-mental state examination (MMSE), modified Barthel index (MBI) scores were
observed before and after treatment in the two groups. The clinical efficacy, the
changes of contents of LC3-II and Beclin1 in peripheral serum were judged.
RESULTS: After treatment, NIHSS score was lower than that before treatment, and
MMSE score and MBI score were higher than those before treatment (all P<0.01),
and the result in the observation group was better than that in the control group
(all P<0.05). Contents of LC3-II and Beclin1 in peripheral serum were higher than
those before treatment in the two groups (both P<0.01), and the result in the
observation group was better than that in the control group (both P<0.05). The
total effective rate in the observation group was 92.9% (26/28), which was better
than 70.4% (19/27) in the control group (both P<0.05). CONCLUSION: "Tiaoshen
Tongdu needles therapy" have better effect to relieve the clinical symptoms of
patients with acute cerebral infarction than conventional acupuncture, which may
be related to the increasing number of autophagic bodies and autophagy activity.
PMID- 29797908
TI - ["Governor vessel daoqi method of acupuncture" combined with estazolam for
insomnia: a randomized controlled trial].
AB - OBJECTIVE: To compare the clinical efficacy differences between "governor vessel
daoqi method of acupuncture" combined with estazolam and estazolam alone for
insomnia. METHODS: Seventy patients of insomnia were randomly divided into an
observation group and a control group, 35 cases in each one. The patients in the
control group were treated with oral administration of estazolam, 2 mg each time;
the estazolam was taken 30 min before sleeping. The patients in the observation
group were treated with "governor vessel daoqi method of acupuncture" combined
with estazolam; the positive reaction points along governor vessel and Baihui (GV
20) were selected as the main points. The acupuncture was given for 30 min per
treatment, once every two days. Both groups were treated for four weeks.
Pittsburgh sleep quality index (PSQI) and polysomnography (PSG) were observed
before and after treatment. Clinical efficacy was assessed between the two groups
and adverse reactions were recorded. RESULTS: The total effective rate was 94.3%
(33/35) in the observation group, which had no significant difference with 82.9%
(29/35) in the control group (P>0.05). The cured and markedly effective rate was
71.4% (25/35) in the observation group, which was superior to 42.9% (15/35) in
the control group (P<0.05). Each item score and total score of PSQI were
decreased obviously after treatment in the observation group (all P<0.05), while
the score of sleep latency, sleep duration and sleep efficiency as well as total
score of PSQI were decreased obviously after treatment in the control group (all
P<0.05). The scores of sleep duration, sleep disturbance and day dysfunction due
to sleepiness as well as total score of PSQI in the observation group were lower
than that in the control group (all P<0.05). After treatment, the PSG-related
parameters of total sleeping duration, sleeping latency, sleeping arousal and
sleeping efficiency were improved significantly in the observation group (all
P<0.05); the total sleeping duration, sleeping arousal and sleeping efficiency
were also improved in the control group (all P<0.05). The total sleeping
duration, sleeping latency, sleeping arousal and sleeping efficiency in the
observation group were superior to those in the control group (all P<0.05). 4
adverse reactions in the observation group and 3 adverse reactions in the control
group were observed. CONCLUSION: "Governor vessel daoqi method of acupuncture"
combined with estazolam could significantly improve insomnia, which are superior
to estazolam alone.
PMID- 29797909
TI - [Acupuncture at back-shu points of five zang, Geshu (BL 17) and Shenmen (HT 7)
for the treatment of menopausal insomnia].
AB - OBJECTIVE: To compare the clinical efficacy differences between acupuncture at
back-shu points of five zang, Geshu (BL 17), Shenmen (HT 7) and regular
medication for the treatment of menopausal insomnia. METHODS: A total of 128
female patients of menopausal insomnia were randomly divided into an observation
group and a control group, 64 cases in each one. Four patients in the observation
group and 2 patients in the control group dropped out during the treatment. The
patients in the observation group were treated with acupuncture at Feishu (BL
13), Xinshu (BL 15), Pishu (BL 20), Ganshu (BL 18), Shenshu (BL 23), Geshu (BL
17) and Shenmen (HT 7), once a day, and there was an interval of 2 days between
every 5 days of treatment. The patients in the control group were treated with
oral administration of alprazolam (0.4 mg or 0.8 mg) before sleep. Three-week
treatment was taken as one course, and totally three courses were given in the
two groups. Pittsburgh sleep quality index (PSQI), levels of estradiol (E2),
follicle-stimulating hormone (FSH) and luteinizing hormone (LH) were observed
before treatment and 30 days after treatment; the efficacy was evaluated 30 days
after treatment. RESULTS: Each item score and total score of PSQI 30 days after
treatment were lower than those before treatment in the two groups (all P<0.05),
the scores in the observation group were lower than those in the control group
(all P<0.05). The levels of E2 30 days after treatment were higher than those
before treatment in the two groups (both P<0.05), but the level of FSH and LH 30
days after treatment were lower than those before treatment in the two groups;
the level in the observation group was superior to that in the control group (all
P<0.05). The total effective rate was 98.3% (59/60) in the observation group,
which was better than 95.2% (59/62) in the control group (P<0.05). CONCLUSION:
Acupuncture at Feishu (BL 13), Xinshu (BL 15), Ganshu (BL 18), Pishu (BL 20),
Shenshu (BL 23), Geshu (BL 17), and Shenmen (HT 7) has better efficacy for
menopausal insomnia than alprazolam.
PMID- 29797910
TI - [Effects on cervical spondylosis of vertebral artery type and the concentrations
of plasma NPY and UII in the patients treated with the modified acupuncture at
unilateral/bilateral Renying (ST 9)].
AB - OBJECTIVE: To observe the differences in the clinical therapeutic effects on
cervical spondylosis of vertebral artery type (CSA) between the modified
acupuncture and the routine acupuncture at unilateral/bilateral Renying (ST 9) as
well as the impacts on the concentrations of plasma neuropeptide Y (NPY) and
urotensinII(UII) in the patients. METHODS: A total of 160 patients were divided
into a modified bilateral acupuncture group, a modified unilateral acupuncture
group, a routine bilateral acupuncture group and a routine unilateral acupuncture
group, 40 cases in each one according to the random number table. In the modified
bilateral acupuncture group, the modified acupuncture was applied bilaterally to
Renying (ST 9). In the modified unilateral acupuncture group, the modified
acupuncture was applied unilaterally to Renying (ST 9). In the routine bilateral
acupuncture group, the routine acupuncture was applied bilaterally to Renying (ST
9). In the routine unilateral acupuncture group, the routine acupuncture was
applied unilaterally to Renying (ST 9). The treatment was given once every day,
continuously for 6 days as one course. Two courses of treatment were required at
the interval of 1 day. In each group, before and after treatment, we observed the
peak systolic blood flow velocity (Vs) of the vertebral artery (VA) and the
basilar artery (BA), cervical vertigo symptoms and functional assessment scales
(ESCV) and the concentration of plasma NPY and UII. The clinical therapeutic
effects were compared among the groups. RESULTS: After treatment, the clinical
therapeutic effect in the modified bilateral acupuncture group was 90.0% (36/40),
which was better than 80.0% (32/40) in the modified unilateral acupuncture group,
77.5% (35/40) in the routine bilateral acupuncture group and 65.0% (26/40) in the
routine unilateral acupuncture group (all P<0.05). After treatment, Vs of VA and
BA was improved remarkably in every group (all P<0.01), and the result in the
modified bilateral acupuncture group was higher than those in the other groups
(all P<0.01). After treatment, ESCV scores were all increased remarkably in every
group (all P<0.01). ESCV score and improvement index in the modified bilateral
acupuncture group were all higher than those in the other groups (P<0.05,
P<0.01). After treatment, the concentrations of plasma NPY and UII were all
reduced remarkably in every group (all P<0.01) and the differences were
significant among the groups (all P<0.01). CONCLUSION: The modified bilateral
acupuncture at Renying (ST 9) effectively regulates the blood supply of the
vertebral basilar artery and improves the cerebral circulation. The effects are
superior to those of the unilateral acupuncture at Renying (ST 9).
PMID- 29797911
TI - [Acupuncture combined with western medicine on rheumatoid arthritis and effects
on blood stasis].
AB - OBJECTIVE: To observe the clinical efficacy of acupuncture combined with western
medicine in the treatment of rheumatoid arthritis (RA) and its effect on blood
stasis, and to explore ways to improve the clinical curative effect. METHODS: A
total of 56 patients of RA were randomly divided into an observation group and a
control group, 28 cases in each one. 1 ibuprofen sustained-release tablets, 2
times a day, each time 0.3 g; 2 methotrexate tablets (MTX), once a week, each
time 10 mg 3 folic acid tablets, once a week, each time 5 mg were given in the
control group, 30 days as one course, a total of 3 courses were required. In the
observation group, acupuncture was adopted on the basis of the treatment as the
control group. The main acupoints were Ganshu (BL 18), Pishu (BL 20), Shenshu (BL
23), Hegu (LI 4), Quchi (LI 11), Zusanli (ST 36) combined with local ashi points.
The treatment was given once every day for continuous 6 days a week, the
treatment for 30 days as one course, a total of 3 courses were required. The
serological indexs were evaluated before and after treatment, including the
rheumatoid factor (RF), hypersensitive C-reactive protein (hs-CRP), erythrocyte
sedirnentation rate (ESR), platelet (PLT), fibrinogen (FBG) and D-dimer (D-D),
the changes of disease activity score (DAS-28), symptom grade quantitative score,
blood stasis syndrome symptom (the joint tingling, lip color, tongue, pulse,
subcutaneous ecchymosis, squamous and dry skin) score were observed. RESULTS: 1
The scores of RF, hs-CRP, ESR, PLT, D-D, FBG, DAS-28 and symptom grade
quantitative were significantly improved in the two groups compared with those
before treatment (all P<0.05), and the scores of hs-CRP, ESR, DAS-28 and symptom
grading in the observation group were more better than those in the control group
(all P<0.05). 2 The total score of joint tingling, lip color, tongue, pulse,
subcutaneous ecchymosis, squamous and dry skin and blood stasis syndrome in both
groups were decreased after treatment (all P<0.05), the joint tingling, tongue,
lip color and subcutaneous ecchymosis were improved obviously in the observation
group than those in the control group (all P<0.05). 3 The total effective rate in
the observation group was 85.7% (24/28), which was better than 75.0% (21/28) in
the control group (P<0.05). CONCLUSION: Acupuncture combined with western
medicine can not only improve the clinical efficacy of RA patients but also
improve the blood stasis.
PMID- 29797912
TI - [The effect of "paraplegic triple needling" combined with rehabilitation training
on psychological and daily living ability of patients with spinal cord injury].
AB - OBJECTIVE: To compare the effects of "paraplegic triple needling" and
conventional needling on psychological and daily living ability of patients with
spinal cord injury. METHODS: A total of 50 patients with spinal cord injury were
randomized into an observation group and a control group, 25 cases in each one.
Rehabilitation training was applied in the two groups. In the observation group,
on the basis of rehabilitation training, "paraplegic triple needling" was added,
namely, puncturing the governor vessel (GV) and back-shu points respectively in
the upper and lower segments of the injury plane and locating the key muscle
movement points of the lower extremities by the peripheral nerve electrical
stimulation device. Electroacupuncture (EA) was given at the points up and down
the two sections of the GV points, back-shu points, the key muscle movement
points. In the control group, conventional needling was applied at the points of
GV, back-shu points, Huantiao (GB 30), Zusanli (ST 36), Xuanzhong (GB 39) and
Yanglingquan (GB 34). The treatment was given once a day, the treatment for a
month as one course and a total of 2 course were required. In addition, 25 health
checkers were selected at the physical examination center of General Hospital of
Chengdu Military Region as a normal control group. The content of peripheral
serum 5-hydroxy tryptamine (5-HT) was tested before treatment, 1 course and 2
courses of treatment, The modified Barthel index (MBI) was used to observe the
daily living activities, the Hamilton depression scale (HAMD) and Hamilton
anxiety scale (HAMA) were used to evaluate the psychological status. RESULTS: In
the two groups, the content of serum 5-HT was lower than that in the normal
control group before treatment (both P<0.05). After one course of treatment, the
MBI score and content of serum 5-HT were all increase in the two groups; the HAMA
score and HAMD score were all reduced (all P<0.05); the results in the
observation were better than those in the control group (all P<0.05). After 2
courses of treatment, the MBI score and content of serum 5-HT were all increased
in the two groups, and the HAMA score and HAMD score were all reduced (all
P<0.05), but the difference was not significant statistically between the two
groups (all P>0.05). Pearson correlation analysis showed that the content of
serum 5-HT in patients with spinal cord injury was negatively correlated with
disease course, HAMA and HAMD score (all P<0.05), and positively correlated with
MBI score (P<0.05). CONCLUSION: There are differences in the content of serum 5
HT between the normal person and the patients with pinal cord injury. The content
of serum 5-HT can early predict the depression and anxiety in patients with
spinal cord injury. Compared with the conventional needling, "paraplegic triple
needling" can improve depression and anxiety in the early stage and improve the
daily living ability of patients with spinal cord injury.
PMID- 29797913
TI - [Early acupuncture for traumatic intracerebral hematoma: a randomized controlled
trial].
AB - OBJECTIVE: To observe the effect of early acupuncture intervention on brain edema
in patients with traumatic intracerebral hematoma and explore its mechanism on
the basis of conventional western medicine. METHODS: With stratified block
randomization, sixty-four patients with glasgow coma scale (GCS) of 6 to 12 were
divided into an acupuncture combined with medicine group (a combination group)
and a western medication group, 32 cases in each one. In the western medication
group, dehydration to reduce intracranial pressure and nutritional nerves were
given as the basic treatment. In the combination group, on the basis of the
treatment as the western medication group, acupuncture was applied at Xuehai (SP
10), Taixi (KI 3), Fenglong (ST 40), Yinlingquan (SP 9), Zusanli (ST 36), etc.
The treatment was given once every day, for 6 times as one course; there was an
interval of 1 day between two courses; a total of 4 courses were required. GCS
score and recovery time were recored before treatment and on the 7 th, 14 th and
28 th days. 90 days follow-up after treatment, the GOS was observed, and the
mortality and effective survival rate were calculated. The Barthel index (BI)
score was evaluated before treatment and on the 14th, 21st, 28th days and 90 days
follow-up after treatment. Before treatment and 3rd, 7th, 14th, 21st, 28th days,
cranial CT or MR scan was performed to calculate the brain edema index (BEI);
Plasma interleukin-6 (6IL-6), neuropeptide Y (NPY) and nitric oxide (NO) were
measured before treatment and on the 3rd, 7th and 14th days after treatment.
RESULTS: (1) The GCS scores increased gradually in the two groups during
treatment, and there was significant difference between the 28th days and before
treatment (both P<0.05). There were no significant difference between the two
groups about GCS score and average recovery time on the 28th days treatment (all
P>0.05). (2) The mortality rate of the combination group was 6.3% (2/32) on 90
days follow-up, 9.4% (3/32) in the western medication group (P>0.05). The
effective survival rate was 81.3% (26/32) in the combination group, which was
higher than 59.4% (19/32) in the western medication group (P<0.05). (3) The BI
score was significantly higher than that before treatment on the 28th days and 90
days follow-up in the two groups (all P<0.05), and the result in the combination
group was superior to that in the western medication group (both P <0.05). (4)
The BEI decreased on the 14th, 21st and 28th days in the two groups (all P<0.05),
and on the 14th day, the BEI decreased more significantly in the combination
group than that in the western medication group (P<0.05). (5) The levels of IL-6,
NPY and NO decreased on the 7th and 14th days in the two groups (all P<0.05), and
decreased more significantly in the combination group than that in the western
medication group on the 7th day (P<0.05). CONCLUSION: On the basis of
conventional western medicine, early acupuncture can reduce cerebral edema and
improve the prognosis of patients, and acupuncture combined with medicine are
superior to western medicine alone. Acupuncture mechanism may be related to
reducing the expression of inflammatory response.
PMID- 29797914
TI - [Catgut implantation at stellate ganglion for postmenopausal osteoporosis].
AB - OBJECTIVE: To compare the efficacy differences between catgut implantation at
stellate ganglion combined with oral administration of alendronate sodium and
oral administration of alendronate sodium alone on postmenopausal osteoporosis
(PO). METHODS: Sixty patients of PO were randomly divided into an observation
group and a control group, 30 cases in each one. The patients in the control
group were treated with oral administration of alendronate sodium. Based on the
treatment of control group, the patients in the observation group were treated
with catgut implantation at stellate ganglion. The treatment was given once a
week in the two groups; the consecution treatment of four weeks constituted one
session, and totally six sessions were given. The changes of total syndrome
score, bone mineral density of lumbar vertebra (L1 to L4) and femeral neck (FN)
and estradiol were observed before and after treatment; the clinical efficacy was
compared between the two groups. RESULTS: Compared before treatment, the total
syndrome score, bone mineral density of lumbar vertebra (L1 to L4) and FN and
estradiol were significantly improved after treatment (all P<0.05); which were
more significant in the observation group (all P<0.05). Compared before
treatment, the level of estradiol in the control group was not significantly
changed after treatment (P>0.05), while that in the observation group was
significantly changed after treatment (P<0.05). After treatment, the level of
estradiol in the observation group was higher than that in the control group
(P<0.05). The total effective rate was 93.3% (28/30) in the observation group,
which was significantly higher than 83.3% (25/30) in the control group (P<0.05).
CONCLUSION: Catgut implantation at stellate ganglion combined with oral
administration of alendronate sodium are superior to oral administration of
alendronate sodium alone for postmenopausal osteoporosis, which improve the
clinical symptoms, regulate the hormone level and increase bone mineral density.
PMID- 29797915
TI - [Theoretic exploration and clinical application of acupoint combination based on
biaoben theory].
AB - In the guidance of preventive treatment, taking "consolidation and non
obstruction" as the core, emphasizing the importance of the antipathogenic qi in
the treatment, the method of "biaoben acupoint combination" was proposed in
acupuncture therapy. In the paper, the theoretic basis and the connotation of
"biaoben acupoint combination", the application of the key acupoint combination
and the study on cardiovascular system, digestive system and immune system were
introduced and it was anticipated to provide the theoretic evidences for the
acupoint selection and enrich the contents of acupoint combination in
acupuncture.
PMID- 29797916
TI - [Standardization on the location of Fengshi (GB 31)].
AB - OBJECTIVE: To compare the difference in the manual measurement of Fengshi (GB 31)
between the standing position and the supine position so as to determine the
location. METHODS: A total of 197 volunteers were included in the manual
measurement of Fengshi (GB 31) at the standing position and 92 volunteers at the
supine position. The differences were compared between the two measurements.
RESULTS: The results in the manual measurement at the standing position showed
that the distance was (39.27+/-3.83) cm from the greater trochanter of femur to
the popliteal transverse crease [(39.96+/-4.21) cm in males, (38.82+/-3.49) cm in
females, P < 0.05]. The distance was (19.08+/-2.97) cm from the tip of the middle
finger to the popliteal transverse crease [(18.96+/-3.50) cm in males, (19.16+/
2.58) cm in females]. After calculating according to the formula of proportional
bone measurement, Fengshi (GB 31) was located (9.28+/-1.44) cun above the
popliteal transverse crease [(9.07+/-1.64) cun in males, (9.42+/-1.29) cun in
females]. The results in the manual measurement at the supine position showed
that the distance was (41.89+/-3.88) cm from the greater trochanter of femur to
the popliteal transverse crease [(42.36+/-3.88) cm in males, (41.67+/-3.89) cm in
females]. The distance was (23.01+/-3.37) cm from the tip of the middle finger to
the popliteal transverse crease [(22.50+/-3.73) cm in males, (23.25+/-3.20) cm in
females]. After calculated according to the formula of proportional bone
measurement, Fengshi (GB 31) was located (10.51+/-1.69) cun above the popliteal
transverse crease [(10.16+/-1.75) cun in males, (10.67+/-1.63) cun in females].
CONCLUSION: Fengshi (GB 31) is located on the femoral region, in the depression
under the tip of the middle finger at the standing position. The simple
localization of the acupoint at the supine position: at the supine, the palm
touches the middle of the lateral side of the thigh, about 1 cun directly below
the depression under the tip of the middle finger. The location of Fengshi (GB
31) in female is slightly upper than male.
PMID- 29797917
TI - [Effects of electroacupuncture on inflammatory response of cardiac muscle tissue
in mice with acute myocardial ischemia].
AB - OBJECTIVE: To observe the effects of electroacupuncture (EA) on inflammatory
reaction of acute myocardial ischemia (MI) in mice, and to explore its action
mechanism. METHODS: Forty adult male C57BL/6 mice were randomly divided into a
control group, a sham operation group, a model group and an EA group, 10 mice in
each one. The model was established in the model group and EA group by ligating
the left anterior descending branch of coronary artery. The mice in the EA group
were treated with EA at "Neiguan" (PC 6) with 2 mA of intensity and 2 Hz /100 Hz
of frequency; EA was given 30 min per treatment, once a day for totally 5 days.
The mice in the control group and model group were treated with immobilization
and no EA was given. The mice in the sham operation group were not treated with
ligating at the left anterior descending branch of coronary artery, but the
remaining procedure was identical to the model group. The electrocardiogram was
recorded and ?ST was calculated to evaluate the model. TTC and HE staining
methods were applied to evaluate the infarct size and pathologic change of
myocardial tissue, respectively. Western blot method was applied to test the
protein expression levels of tumor necrosis factor-alpha (TNF-alpha), nuclear
factor-kappaB p65 (NF-kappaB p65), interleukin-1beta (IL-1beta) and interleukin-8
(IL-8). RESULTS: Compared with the sham operation group, the S-T segments in the
model group and EA group were increased obviously after modeling (both P<0.01),
indicating the MI model was established successfully. The TTC and HE staining
results indicated, compared with the sham operation group, the model group had
larger infarction size (P<0.01), more myocardial fibers injury and inflammatory
infiltration; compared with the model group, the infarction size of the EA group
was significantly reduced (P<0.01), and the myocardial fibers injury and
inflammatory infiltration were improved. Compared with the control group, the
protein expression levels in the sham operation group were similar (all P>0.05);
compared with the sham operation group, the expression levels of TNF-alpha, NF
kappaB p65, IL-1beta and IL-8 were significantly increased in the model group
(P<0.01, P<0.05); compared with the model group, the expression levels of TNF
alpha, NF-kappaB p65, IL-1beta and IL-8 were significantly reduced in the EA
group (all P<0.05). CONCLUSION: EA might reduce the protein expression levels of
TNF-alpha, NF-kappaB p65, IL-1beta and IL-8 in cardiac muscle tissue to inhibit
inflammatory reaction and achieve myocardial protective effect in mice with acute
myocardial ischemia.
PMID- 29797918
TI - [Effects of electroacupuncture at "Zhongliao" (BL 33) and "Tianshu" (ST 25) on
ovarian function in rats with premature ovarian insufficiency].
AB - OBJECTIVE: To explore the effects of electroacupuncture (EA) at "Zhongliao" (BL
33) and "Tianshu" (ST 25) on ovarian function in rats with premature ovarian
insufficiency (POI). METHODS: A total of 48 SD female rats with regular estrus
were divided into a blank group (n=8), a model group (n=10), an EA group (n=10),
a binding group (n=10) and a tamoxifen (TAM) group (n=10). The rats in the model
group, EA group, binding group and TAM group were all treated with
intraperitoneal injection of 4-vinylcyclohexene diepoxide (VCD, 160 mg/kg) for 15
consecutive days to establish the model of POI; the rats in the blank group were
treated with normal diet. After the model was established successfully, the rats
in the EA group were treated with EA at "Zhongliao" (BL 33) and "Tianshu" (ST 25)
with continuous wave (1 to 3 Hz, 0.1 to 1 mA) for 20 minutes, once a day (five
times a week) for the first two weeks and once every other day (three times a
week) for the following two weeks. The rats in the TAM group were treated with
subcutaneous injection of tamoxifen (1mg/kg), once a day (five times a week) for
the first two weeks and once every other day (three times a week) for the
following two weeks. The rats in the binding group were bound by a small sack as
the EA group. The rats in the blank group and the model group were treated with
normal diet. After four weeks, the sexual gland weight and index were tested in
each group; the ELISA method was applied to test the level of anti-mllerian
hormone (AMH) and inhibin B; the morphology of ovary was observed; the number of
primordial follicles, primary follicle, antral follicle and atretic follicle was
counted; the expression of insulin-like growth factor-1 (IGF-1) and insulin-like
growth factor-1 receptor (IGF-1R) were measured. RESULTS: (1) Compared with the
blank group, the ovary weight, ovary index, uterus weight and uterus index were
significantly decreased after treatment in the model group, EA group, binding
group and TAM group (all P<0.01); but the differences between the model group and
the EA group, binding group, TAM group were not significant (all P>0.05). (2)
Compared with the blank group, the levels of serum AMH, inhibin B and E2 were
significantly reduced; the levels of FSH and LH were significantly increased in
the model group; EA group, binding group and TAM group (all P<0.01). Compared
with the model group, the levels of serum AMH, inhibin B and E2 were
significantly increased, the level of FSH and LH were significantly reduced in
the EA group and TAM group (all P<0.01). (3) Compared with the blank group, in
the model group, EA group, binding group and TAM group the ovary was dark red and
pale, surrounded by particle or not; the morphology was small and atrophic; the
primordial follicles was reduced even vanished; the structure of primary follicle
was damaged and loosely arranged; the mature follicle was few; the atretic
follicle and interstitial gland were increased. (4) Compared with the blank
group, the expressions of IGF-1 mRNA and IGF-1R mRNA were increased in the model
group (all P<0.01); compared with the blank group, the expression of IGF-1 mRNA
was increased in the binding group (P<0.05), but that of IGF-1R mRNA was not
significantly different (P>0.05); compared with the model group, the expression
of IGF-1 mRNA was not significantly different in the EA group, binding group and
TAM group (all P>0.05), but that of IGF-1R mRNA was reduced (P<0.05, P<0.01).
CONCLUSION: EA at "Zhongliao" (BL 33) and "Tianshu" (ST 25) has improvement
effect on ovarian function in rats with VCD-induced POI, which is likely to be
related to regulating the IGF-1R mRNA expression to improve the IGF-1/ IGF-1R
axis.
PMID- 29797919
TI - [Acupoint selection for acupuncture analgesia based on the relationship of "the
opening-closing- pivoting theory" with meridians and zangfu organs].
AB - "The opening-closing-pivoting theory" recorded in Neijing (Internal Classic)
visualizes the qi activity of six meridians and indicates the relationship among
the six meridians in physiology and pathology. Through the analysis on the
classic medical works and modern literature of TCM by the modern medical masters,
a specific relationship is discovered among the hand and foot meridians of the
same name and among the zangfu organs. The qi is corresponded and interacted
among the hand and foot meridians of the same name. Except the exterior and
interior relationship among the zangfu organs, the related communication is also
existing. Both meridians and zangfu organs are closely related to "the opening
closing- pivoting" theory. This discovery is the inheritance and development of
"the opening-closing-pivoting theory" and plays the important role in the
guidance of the acupoint selection of clinical acupuncture analgesia.
PMID- 29797920
TI - [Literature analysis on moxibustion at Yongquan (KI 1) for insomnia].
AB - OBJECTIVE: To summarize and analyze the clinical literature regarding moxibustion
at Yongquan (KI 1) for insomnia, and to provide clinical evidence of moxibustion
at Yongquan (KI 1) for insomnia. METHODS: With "moxibustion" "acupuncture
moxibustion" "Yongquan (KI 1)" "insomnia" and "sleep disorder", etc. as key
terms, the clinical literature regarding moxibustion at Yongquan (KI 1) for
insomnia was retrieved in CNKI, Wanfang and VIP databases, and reviewed,
summarized and analyzed. RESULTS: A total of 27 clinical papers were retrieved,
including 14 randomized controlled trials. In recent years, the number of
clinical papers had increased. Few papers selected moxibustion at Yongquan (KI 1)
as independent treatment for insomnia; most papers combined moxibustion with
acupuncture, massage, etc. Moxibustion was commonly manipulated by patients or
family members, and few papers applied moxibustion instruments to make the
manipulation easier and safer. CONCLUSION: Moxibustion at Yongquan (KI 1) for
insomnia has attracted more and more attention. It is suggested to apply
convenient and safe moxibustion instruments in clinical treatment, which is
benefit to clinical generalization, but also provides convenient manipulation for
further study regarding its clinical effect and mechanism.
PMID- 29797921
TI - [Contribution of Jiusheng by ZHOU Meisheng to moxibustion].
AB - Mr. ZHOU Meisheng was a famous acupuncturist in China. He succeeded the
acupuncture from his family in childhood, and practiced acupuncture strictly with
insightful and original perspective. The Jiusheng, one of his magnum opus,
proposed innovative ideas in the theory and clinical practice of moxibustion,
such as revival of moxibustion, three phases of moxibustion sensation,
moxibustion for heat syndromes. In this article, the contribution of Jiusheng to
moxibustion was discussed from background of compiling this book, poem and
medical cases, explanation on moxibustion theory and practice and its effects on
later ages.
PMID- 29797922
TI - [A study of acupuncture under the perspective of international discourse power:
based on metrological analysis of Web of Science core collection in the last 10
years].
AB - In order to reflect the research achievements of acupuncture on international
academic community and study the acupuncture international discourse power from
2007 through 2017, we used text analysis software to analyze 5668 papers that
focusing on acupuncture research in the recent 10 years. The results show that
international acupuncture research trend has been formed, the research force
diverges to the rest of the world with "China-America" as the center, and the
study focuses on its sight and the interaction between China and foreign
countries is good. Under the perspective of international discourse power, the
construction of the national communication platform, the cultivation of academic
centers and research fields, and the interaction with international research
forces will enhance the quality of Chinese acupuncture research, and these will
become an important task in enhancing the international discourse power of
Chinese acupuncture.
PMID- 29797923
TI - [Clinical research and the effect mechanism on premature ovarian failure treated
with acupuncture in recent 20 years].
AB - OBJECTIVE: To review and collect the study achievements and the effect mechanism
of acupuncture in the treatment of premature ovarian failure (POF) in recent 20
years so as to provide more references for the clinical treatment of POF with
acupuncture. METHODS: Through retrieving PubMed, CNKI and VIP, the literature on
acupuncture for POF was collected from January 1, 1998 through March 31, 2017
regarding the rule of acupoint selection and the therapeutic method. The
potential effect mechanism was explored. RESULTS: Finally, 26 papers on the
clinical treatment for POF with acupuncture and 5 ones on the laboratory
mechanism were obtained. The differentiated syndromes and the top 3 acupoints for
the treatment of POF included shaoyin syndrome [Taixi (KI 3), Sanyinjiao (SP 6)
and Guanyuan (CV 4)], Taiyin syndrome [Sanyinjiao (SP 6), Zusanli (ST 36) and
Ciliao (BL 32)], jueyin syndrome [Taichong (LR 3), Shenting (GV 24), Guanyuan (CV
4)], the mixed syndrome of shaoyin and jueyin [Sanyinjiao (SP 6), Ganshu (BL 18)
and Shenshu (BL 23)], the mixed syndrome of shaoyin and taiyin [Pishu (BL 20),
Sanyinjiao (SP 6) and Zusanli (ST 36)] and the dysfunction of the thoroughfare
vessel and the conception vessel [Guanyuan (CV 4), Zigong (EX-CA 1) and Huangshu
(KI 16)]. The top 5 acupoints of the 14 meridians were Guanyuan (CV 4), Shenshu
(BL 23), Sanyinjiao (SP 6), Zhongji (CV 3), Pishu (BL 20), Taixi (KI 3) and
Ganshu (BL 18). The local extraordinary points were dominant. According to the
use frequency of the acupoints, the first top 5 meridians were the bladder
meridian of foot-taiyang, the governor vessel, the conception vessel, the spleen
meridian of foot-taiyin and the kidney meridian of foot-shaoyin. The most
acupoints were distributed in the chest, the abdomen, the lumber region and the
lower limbs. Many therapeutic methods were used, such as acupuncture with
filiform needle, electroacupuncture, moxibustion and the acupoint thread
embedding therapy. The effect mechanisms possibly referred to the recovery of the
sexual level through regulating the hypothalamus-pituitary gland-ovarian axle
(HPOA) function, the improvement of the histological morphology of the uterine,
the improvement of body immunity through affecting the nerve-endocrine-immune
regulation system as well as the regulation of the genetic and protein
expressions of the signal pathway relevant with POF. CONCLUSION: Even though
acupuncture has achieved the therapeutic effects in the clinical treatment of
POF, the research on the effect mechanism is still limited. The exploration of
acupuncture for POF in terms of mechanism may guide the clinical practice. In the
future study, the clinical pathway should be specified and the effect mechanism
be explored through the rigorous laboratory design and more objective and
rational evaluation system.
PMID- 29797924
TI - [The clinical application of coblation in diseases of nasal cavity].
PMID- 29797925
TI - [The clinical application of coblation in laryngopharyngeal,head and neck
surgery].
PMID- 29797926
TI - [The application of coblation in surgical treatment of patients with obstructive
sleep apnea hypopnea syndrome].
PMID- 29797927
TI - [The application of radiofrequency ablation in the resection of lateral skull
base tumor through an endoscopic endonasal approach].
AB - Objective:To summarize our experience of resecting tumors in lateral skull base
via a radiofrequency ablation-assisted endoscopic approach to investigate the
safety and feasibility of the technique and to assess its treatment
outcomes.Method:Twelve patients with lateral skull base tumor were operated
through a radiofrequency ablation?assisted endoscopic transnasal or transoral
approach. In this study, the operative technique was described,and the degree of
resection, complications and the clinical outcomes was analyzed.Result:Complete
resection was achieved in all patients using this technique. No patient in the
series experienced a new neurological deficit, cerebrospinal fluid leak or
meningitis after surgery. One patient suffered from dissecting aneurysm on 4th
day after operation. And the dissecting aneurysm were treated by vascular
interventional therapy. No recurrence and death related skull base tumor in the
follow-up period(28-30 months) were found. The volume of intraoperative blood
loss was from 60 ml to 500 ml(medium 190 ml). The duration of operations was from
60 min to 180 min(medium 95 min).Conclusion:Our limited experience indicates that
this technique is feasible and safe for the complete resection of some skull base
tumors in selected cases.
PMID- 29797928
TI - [The comparative analysis of hypothermia plasma ablation and conventional
resection method in endoscopic transnasal surgery for squamous-cell carcinoma in
pterygopalatine fossa].
AB - Objective:To comparative analyze the difference of effect between hypothermia
plasma ablation and conventional resection method in endoscopic transnasal
surgery for squamous?cell carcinoma in pterygopalatine
fossa.Method:Retrospectively analyzed clinical data of 38 patients with maxillary
sinus squamous-cell carcinoma invading pterygopalatine fossa admitted and treated
with endoscopic surgery by department of otorhinolaryngology head and neck
surgery of Chinese PLA general hospital. The patients were divided into two
groups (hypothermia plasma ablation group and conventional resection group)
according to different methods of resection. Compare the mean operating time, the
amount of bleeding, the hospitalization days, the rate of surgery complications
and the healing time between two groups.Result:Patients in both groups underwent
total resection, compare the hypothermia plasma ablation group and conventional
resection group, the mean operating time was(244.00+/-62.86)min and(294.17+/
73.49) min,respectively(P <0.05);the mean amount of bleeding was (481.50+/
426.43)ml and(790.00+/-387.34)ml,respectively(P <0.05);the rate of surgery
complications was 0 and 11%,respectively(P >0.05); the mean hospitalization days
was(16.75+/-4.45)d and (19.89+/-4.84)d,respectively(P <0.05); the mean healing
time was (8.35+/-1.31) w and(9.61+/-2.20)w,respectively(P <0.05);the 3 years
recurrence rate was 34.3% and 49.4%,respectively(P >0.05). Conclusion:All the
patients in the study achieved relatively complete resection of tumor,the
hypothermia plasma ablation has the advantage in terms of resection
efficiency,the control of bleeding and the time of postoperative recovery. The
feature of safe and minimally invasive has made it an important aid in skull base
surgery.
PMID- 29797929
TI - [Clinical effect analysis of adenoidectomy and tonsillectomy assisted with
ablation on children].
AB - Objective:This study aims to explore the clinical effect of adenoidectomy and
tonsillectomy assisted with ablation on children.Method:The investigation took
the form of retrospective review of 2 089 cases of children applied with
adenoidectomy and tonsillectomy assisted with ablation in our hospital in recent
10 years. We obtained data of these children with epidemiological methods based
on analyzing the status of general information and operation selections, and then
analyzing the scores of snoring and breath preoperation and
postoperation.Result:1General information of 2 089 cases followed with: the ratio
of male and female was 2.08?1,the average onset age was(5.87+/-3.12)years old,
mostly ranged from 3 to 7 years old, which consists of 76.35%(1595/2089)of the
group.2Different surgery methods of tonsil consisted of three groups as: partial
resection associate with ablation was 69.17%(1445/2089), ablation alone was
22.26%(465/2089) and partial resection alone was 8.57%(179/2089) of the group.3A
high level scores of snoring and breath more frequently found in preoperative
cases than in postoperative cases(P <0.01).There are no differentiation among the
scores of above three groups(P >0.05).The postoperative effect evaluation were
related to allergic rhinitis, recurrent of tonsillitis, obesity, circular
occipital hyperplasia and nasopharyngeal adhesion.Conclusion:The results
suggested that surgery assisted with ablation has its advantage in adenoidectomy
and tonsillectomy. Individual therapy for different children will improve the
curative effect and relieve the pain of operation, thus is worth a wide
application.
PMID- 29797930
TI - [Application of CT angiography for detecting perforator vessels in the
anterolateral thigh flap surgery].
AB - Objective:To investigate the effectiveness of CT angiography for detecting
perforator vessels in the anterolateral thigh flap surgery.Method:Eight patients
with oral and maxillofacial soft tissue defects were repaired with the
anterolateral thigh flap. Preoperative CT angiography was conducted to detect
perforator vessels. The perforator vessel with large diameter, abundant blood
flow, and long pedicle was selected to design the flap.Result:The position of
perforator vessels during operation were completely consistent with those
detected by CT angiography. The anterolateral thigh flap for 8 cases tally
survived.One case had the vascular crisis,which was survived after surgical
exploration. The flap ranged from 10 cm*5 cm to 6 cm*4 cm in size. Donor
recipient site was not severe complications.Conclusion: CT angiography can be
used to locate the perforator vessels preoperatively and accurately,which is
beneficial for surgeons to design the flap and reduce surgical complications. The
anterolateral thigh flap is an effective method of repairing oral and
maxillofacial soft tissue defects.
PMID- 29797931
TI - [The experience of abundant amount of pectoralis major myocutaneous flap tissue
in restoring parenchyma defect of head and neck].
AB - Objective:Explore the skills to flap the defects of the head and neck with
myocutaneous of pectoralis major which has rich amount of organization to
minimize complications.Method:Various of neck defects will be repaired when the
myocutaneous flap of pectoralis major from obese male or/and female has been
prepared with improved methods. First of all, the muscle must be fixed. Then we
have to suture the skin and the defect of skin or mucous membrane;the potential
closed cavities around the myocutaneous flap must be drainaged with the negative
pressure after repaired. Clinical data of 23 (24 sides) patients undergoing the
repair in our hospital between January 2008 and December 2014 were collected and
reviewed retrospectively.Result:One of 23 cases was injured the thoracoacromial
artery in the preparation process of myocutaneous flap by the operator, then we
operated on the other side. All of the pectoralis major myocutaneous flaps has
been survived except 1 case which was necrosis in distal partial of pectoralis
major muscle flap, but healed after changing dressing.Three cases with pharyngeal
leakage because of the infection were also healed after changing dressing; skin
and skin flap partial dehiscence happend in 1 cases, but also healed after
dressing; 1 cases with subcutaneous hemorrhage was healed after cleaning up
hematocele.Conclusion:The pectoralis major myocutaneous flap is still a good
material for the repair of head and neck defects as long as the management skill
in perioperative has been mastered properly, even though the one with rich amount
of organization is bloated and the preparation and repair is difficult.
PMID- 29797932
TI - [Clinical application of iodine solution staining in the diagnosis of early
laryngeal cancer with electronic endoscope].
AB - Objective:To discuss the feasibility and clinical application value of the
laryngeal iodine staining under the electronic fiber laryngoscope.Method:Will
meet the inclusion criteria of 90 patients, randomly divided into three groups,
set to a, b, c group.a ,all patients in the a group were examined by electronic
fiber mirror, NBI mode and 0.5% Lugol liquid iodine staining method in three
ways. b, all patients in the b group were examined by electronic fiber mirror,
NBI mode and 1.0% Lugol liquid iodine staining method in three ways. c,all
patients in the c group were examined by electronic fiber mirror, NBI mode and
1.5% Lugol liquid iodine staining method in three ways. The location and range of
positive lesions were recorded in each person of the three groups, positive
lesions were biopsy, if the positive lesion is repeated, the site can only take a
biopsy. As a diagnostic gold standard, the results of the other tests were
compared with the results of other tests. And to observe the color of iodine in
the throat, all patients were followed up for 24 hours in the presence of
dyspnea, severe pain in the throat and other symptoms, and 24 hours after the
examination again electronic fiber optic examination. Biopsy was performed in all
patients, as gold standard. Using chi square test, inspection standardP
<0.05.Result:As the solution of the iodine concentration increased, the rate of
the laryngeal was cancer gradually increased. Ninety people take part in the
experiment, no one has serious complications. All the patients have no
significant inflammation on the mucous membrane of the throat and the subglottic
airway afer 24 hours, by the electronic fiber laryngoscope. 1% and the following
concentration of Lugol solution for iodine staining, sensitivity to the diagnosis
of early laryngeal cancer was higher than that of electronic fiber,the two
methods were compared, and the statistical analysis was performed(P >0.05). No
statistical significance. There was no significant difference in the diagnosis of
early laryngeal cancer. Contrast between iodine stain with 1.5% Lugol solution
and electronic fiber sus pension, after statistical calculation(P <0.05);both are
statistically significant, and the difference is significant.Comparison of iodine
stain with 1.5% Lugol solution and NBI examination, make statistical analysis,P
>0.05, there was no significant difference between the both.Conclusion:The 1.5%
and below the concentration of the iodine staining under the electronic fiber
laryngoscope can be safely applied to inspect the throat, and 1.5% Lugol iodine
solution in the diagnosis of laryngeal cancer in the early stage of laryngeal
cancer is better than using electronic, and has a certain value in clinical
application.
PMID- 29797933
TI - [Analysis on the total allergens of phoenix roebelenii pollen with two
dimensional electrophoresis followed by immunoblotting].
AB - Objective:To investigate allergen extract and to seek the main allergens of
phoenix roebelenii pollen by the technology of two dimensional electrophoresis(2
DE)in protein analysis and immunoblotting.Method:Phoenix roebelenii pollen
allergen extract was prepared with routine method, 2-DE combined with
immunoblotting assay(Western Blotting),laser imagescanning, 2-DE gel analysis
software were used to analyzing its protein components.Result:About 601 soluble
proteins spots were revealed in coomassie stained gels.Most of the proteins had a
molecular weight (Mr) of 20 to 130 kD, and an isoelectric point(pI) value of 4.0
to ?8.0?. Immunoblotting of 2-DE were showed and there were 19 specific antigen
spots, compared with the controls.Conclusion:2-DE is a good method in protein
analysis of ephemeroptera allergen extract, and it is useful in seeking main
allergens and further research for allergic components.
PMID- 29797934
TI - [The function of regulator cAxin2 in inner ear development of chick embry].
AB - Objective:To study the mechanism of the Wnt families'negative regulator cAxin2 in
early inner ear development of chick embryo.Method: Plasmid was constructed with
enhanced green fluorescent protein(EGFP), cAxin2 and short hairpin RNA(shRNA),
which was transfected in otic vesicle by in ovo electroporation. Expression of
cAxin2,cPax2(Pared box2),and cBMP4(bone morphogenetic protein 4) genes was
investigated in the transfected oticvesicle with situ hybridization.Result:cAxin2
expression was inhibited by shRNA,cPax2 was down regulated and cBMP4 was up
regulated as well as an abnormally enlarged otic vesicle was discovered in the
transfected otic vesicle. Conclusion:cAxin2 is an important regulatory gene
required for inner ear development.
PMID- 29797936
TI - [The guiding role of preoperative visual analog scale symptom scores in patients
with chronic rhinosinusitis during perioperative period].
AB - Objective:To assess the guiding role of preoperative visual analog scale(VAS)
symptom scores in patients with chronic rhinosinusitis(CRS) during perioperative
period.Method:The patients with CRS undergoing endoscopic sinus surgery were
divided into experimental group and control group according to doctor
group.Preoperative VAS symptom scores which including the overall symptom score
and every single symptom scores were assessed. Patients with symptom(nasal
congestion, nasal discharge, dizziness or headache, hypoamia or anosmia,
rhinocnesmus, sneeze) scores more than 5 points were taken corresponding
appropriate strategies in experimental group, but conventional empirical measures
in control group during perioperative period.Nasal endoscopic surgeries were
performed under local or general anesthesia after preoperative preparation.
Postoperative follow?up were performed in six months and one year for the overall
symptom and each symptom scores in both groups. SPSS?17.0? was used for
statistical analysis.Result:There was no statistical difference in general
condition, overall symptom score and every symptom scores before operation
between experimental group(120 cases) and control group(82 cases) (P >0.05). At
the three time points (preoperative, six months, one year after operation),
significant differences were found in the two groups about VAS overall symptom
score (P <0.05). Except hypoamia, VAS for the other symptoms in different
postoperative time showed statistical significance (P
<0.05).Conclusion:Preoperative VAS symptom score can be used not only in the
evaluation of severity and treatment effect,but also in the guiding of
perioperative treatment for CRS, which is worthy of using widely in clinic.
PMID- 29797935
TI - [Investigation of CXCR4 mediated chemoresistance in nasopharyngeal carcinoma cell
line CNE2].
AB - Objective:Since nasopharyngeal carcinoma is easy to develop resistance during
cisplatin-based chemotherapy,CXCR4 expression levels were elevated in mang
tumors,and the factor to do with tumor metastasis and chemotherapy drug
resistance,and so on has a very important link.We established cisplatin-resistant
nasopharyngeal carcinoma cell line, named as CNE2/DDP, and investigated the
function of CXCR4 in molecular mechanism behind this resistance.Method:CNE2/DDP
was firstly build up by increasing concentration of cisplatin. And then
afterwards,MTT assay, RNA interference techniques, microRNA overexpresion
techniques, quantative PCR and western blotting were applied to analyze the
function of CXCR4 and its downstream effectors.Result:1the expression of CXCR4
was increased in CNE2/DDP and downregulation of CXCR4 with CXCR4 siRNA was able
to decrease the resistance of CNE/DDP to cisplatin; 2the expression of let-7a was
decrease in CNE2/DDP, while the expression of bcl-2 was increased. Upregulation
of let-7a via transfection of let-7a mimics could downregulate the expression of
bcl-2 and damage the resistance of CNE2/DDP to cisplation;3downregulation of
CXCR4 through CXCR4 siRNA transfection was capable of improving the expression of
let-7a. Conclusion:We were the first to found that CXCR4 was related to
chemoresistance of CNE2/DPP to cisplatin. Meanwhile, we confirmed that CXCR4
affected the expression of bcl-2 through regulating the expression of let-7a to
modulate the chemoresistance of CNE2/DPP to cisplatin.
PMID- 29797937
TI - [Relationship among airway infection, lung function damage and the tumor
recurrence in patients with laryngeal cancer].
AB - Objective:To investigate the relationship among airway infection, lung function
damage and the tumor recurrence in patients with laryngeal cancer.Method:Eighty
six patients with laryngeal cancer were retrospectively analyzed.Infection rate
were compared between different surgical airway and operation time, the lung
function damage and the tumor recurrence were analysed.Result:Total laryngectomy
group joint cervix lymph node dissection showed higher airway infection rate than
laryngectomy[32.5%(13/40)vs 4.3%(2/46),P <0.05]. Significant differences were
observed among operation time<4 h, 4-6 h,>6 h airway infection rate is
statistically [2.5%(1/40),19.4%(6/31),53.3%(8/15), P <0.05]; Infection rate was
positively correlated with the trachea and the operation time(r=0.41P <0.01).
Significant rise in FEV1% and reduce in V25 were observed after operation(P
<0.05). No statistical difference were observed in patients with airway infected
or uninfected with tumor recurrence and uninfected group is [13.3% (2/15)vs
9.9%(7/71),P > 0.05].Conclusion:Throat cut method may lead to airway infection
and impairment of the lung function, but airway infection has no obvious effect
in tumor recurrence.
PMID- 29797938
TI - [Revised endoscopic sinus surgery combined middle turbinectomy in the treatment
of refractory rhinosinusitis].
AB - Objective:To investigate the comprehensive curativeeffect of refractory
rhinosinusitis by endoscopic sinus surgery and middle turbinate
resection.Method:A retrospective analysis with 178 cases of refractory
rhinosinusitis.According to the operation methods, these patients were divided
into two groups, observation group and control group, with 89 patients each. The
observationgroup received revision endoscopic sinus surgery combined with middle
turbinectomy and the control with traditional surgery. Follow?up to observe the
curative effect and recurrence rate between the two groups. Result:Follow-up
after 6 months, total effective rate of observation group was 88.76% and the
effective rate of control group was 68.54%.The total effective rate of
observation group is higher than the control group, and non efficiency rate is
lower than that of the control group; besides that the incidence of complications
and the recurrence rate of the observation group was lower than the control
group. Conclusion:It can effectively prevent the recurrence of sinusitis by the
revised operation of nasal endoscopic frontal sinus combined with middle
turbinate partial or complete removal and fully treatment measures of peri
operation period, that is to say it can improve the therapeutic effect of
refractory sinusitis witch worthing the clinical popularization and application.
PMID- 29797939
TI - [Clinical research on the treatment for benign lesions in maxillary sinus by
endoscopic prelacrimal duct recess approach].
AB - Objective:To explore thecomplication and clinical effects of treatment for benign
lesions in maxillary sinusby endoscopic prelacrimal duct recess approach.
Method:A retrospective analysis of 82 patients with benign lesions in maxillary
sinus.Among them there were 37 cases of inverted papilloma,45 cases of maxillary
cyst. According to surgical approaches,they were divided into observation group
in which 39 cases were treated by combined middle meatus and prelacrimal duct
recess approachunder endoscope,contrast group1in which 22 cases were treated by
combined middle meatus and inferior meatus approach and contrast group 2 in which
21 cases were treated bycombined middle meatus and Caldwell-Luc approach.
Operation time, amount of bleeding during operation, length of hospitalization,
postoperative complications and postoperative curative effect,were observed,
recorded and compared among the three groups.Result:The 82 patiengs were
successfully treated by surgery and followed up of 3 months to 24 months.There
were no significant difference between observation group and contrast group1 in
operation time, amount of bleeding during operation,length of hospitalization(P
>0.05), there were statistical difference in post-operative complicationand
recurrence rate(P <0.05).There were statistical difference between observation
group and contrast group 2 in operation time, amount of bleeding during
operation,length of hospitalization andpost-operative complication(P <0.05),there
were no significant difference in recurrence rate(P >0.05).Conclusion:Anterior
lacrimal recess with the nasal endoscopyis is useful to the lesions of maxillary
sinus anterior wall, anterior lower internal wall, anterior lacrimal recess and
alveolar crypt. Theoperation time, bleeding and surgical injuries are less.
Patients recover fast with less recurrence. Thus, this method is an
idealoperation method to deal with benign diseasesin maxillary sinus.
PMID- 29797940
TI - [The clinical application of tinnitus handicap index(THI-12) Chinese version].
AB - Objective:The original prospective of this study is to explore a convenient
tinnitus severity assessment tool, using Chinese version of THI-12.Method:We
surveyed 229 outpatients on their first hospital visits with primary tinnitus as
chief complaint. Both the Chinese version THI-12 and the standard THI-25 were
administrated. Their tinnitus grading and administration time were compared. The
correlation between the two scores was evaluated. A reliability and factor
analysis of the Chinese version of THI-12 was also performed.Result:Two hundred
and fifteen of the 229 questionnaires were valid with a 93.9% response rate. The
average administration time was(18.9+/-21.0) min for the standard THI-25
and(8.96+/-4.70)min for the Chinese version THI-12, which was significantly
different. Pearson's correlation coefficient between the two total scores was
r=0.833(P <0.01), which indicates a strong positive correlation.The tinnitus
grading was not statistically significant(Z=-0.307,P >0.05).Cronbach's
coefficient of THI-12 was alpha=0.765, suggesting good reliability and internal
consistency. Factor analysis found three entries with characteristic values
greater than 1. These three common factors explained 51.77% of the overall
variance, suggesting that the 12 entries can be grouped into three
dimensions(emotionality, sociality, concentration). Entry"Because of your
tinnitus is it difficult for you to concentrate? "had the highest common
value(0.78), suggesting that this entry would contribute the most should all
entries be divided into three dimensions.Conclusion:The Chinese version THI-12 is
time-efficient, has good reliability and internal structural validity, and
provides good assessment of tinnitus severity.It can be widely applied in
clinical practice.
PMID- 29797941
TI - [Different treatments of maxillary and mandible in management of para-pharynx and
cranial base surgery].
PMID- 29797942
TI - [Polyp within massive concha bullosa: a case report].
AB - To discuss the complication of common anatomic variant of the middle turbinate
concha bullosa. We present a 63 years old man with nasal obstruction who was
diagnosed to be a polyp originated from concha bullosa by CT and operation. Polyp
originated from middle meatus was squeezed into concha bullosa. Endoscopic
operation is the best treatment to this disesase. Concha bullosa can result in
obstruction of middle meatus and then lead to sinusitis. This case, polyp
originated from middle meatus was squeezed into concha bullosa, it was a rare
occurrence.
PMID- 29797943
TI - [Hypopharyngeal angiofibroma: a case report].
AB - Swallowing obstruction, gradually increase, with a sore throat, no fever, no
haemoptysis, no dyspnea, routine tests: blood routine, biochemical examination,
blood coagulation routine, electrocardiogram, chest X-ray, did not show
abnormalities.
PMID- 29797944
TI - [Current diagnosis and treatment of pseudoaneurysms of the internal carotid
artery in postirradiated nasopharyngeal carcinoma].
AB - Nasopharyngeal carcinoma is one of the most common of head and neck malignant
tumors in southern region of China. Till date radiotherapy is considered as the
first choice of treatment this disease.Although the rate of occurrence of
pseudoaneurysms of the internal carotid artery in post radiation treatment of
nasopharyngeal carcinoma is low, it is also a hot topic in department of
otolaryngology and doctors because of its dangerous and life?threatening
emergencies.In order to improve the level of diagnosis and treatment of the
disease, diagnosis, risk, treatment, prognosis and prevention are current
summarized in this report.
PMID- 29797945
TI - [Autophagy and its research progress in thyroid cancer].
AB - To summarize the autophagy and its research progress in thyroid cancer.In
combination with available literatures published in recent years involving the
relationship between autophagy and thyroid cancer, the characteristics of
autophagy, the role in thyroid cancer were reviewed. The changes of autophagy
level will directly or indirectly participate in the pathogenesis and progression
of thyroid cancer.Reagents regulating autophagy will have broad prospect of
application in thyroid cancer therapy. The autophagy in the thyroid cancer is
still poorly understood, and to clarify the molecular mechanism of autophagy and
kill thyroid cancer cells by reasonable regulation of autophagy still needs more
further studies.
PMID- 29797946
TI - [A retrospective analysis of 200-cases of otosclerosis].
AB - Objective:To identify the audiological improvement postoperatively and improve
the understand of otosclerosis for a better performance of personalized surgical
treatment.Method:We retrospectively reviewed a series of 200 cases after surgery
for ototsclerosis.The clinical characteristics,pre-and postoperative audiometric
results,signs of Carhart notch, Gelle test and the characteristics of high
resolution computed tomography of temporal bone were analyzed
retrospectively.Result:73% of patients had tinnitus, while 4% had sense of ear
fullness,80.79% had Carhart notch,92.09% had negative result in Gelle test and
45.66% had positive signs on computed tomography. 68% of the patients revealed a
type A tympanogram with only 22% type As.Fifty-six cases with laser stapedotomy
achieved a the air bone gap at 250 Hz,500 Hz,1 kHz,2 kHz and 4 kHz of
25.54,16.25,13.75,6.34,15.96 dB,respectively. The bone conduction thresholds at
250 Hz,500 Hz,1 kHz,2 kHz improved 2.05,1.51,3.75 and 3.93 dB,respectively. At 4
kHz, bone conduction threshold increased by 1.34 dB.The improvement of bone
conduction threshold at 250 Hz,1 kHz,2 kHz was significantly but for the
revisions at 500 Hz and 4 kHz.Conclusion:The diagnosis of otosclerosis should be
based on the combination of medical history, pure tone audiometry, tympanometry,
Carhart notch, Gelle test and high resolution computed tomography of temporal
bone.Surgical technique of stapedotomy with Piston artificial auditory ossicle
implantation could improve not only the air conduction threshold, but also the
bone conduction threshold at 250 Hz,1 kHz,2 kHz.
PMID- 29797947
TI - [The clinical value of sleep endoscopy in the diagnosis of obstruction sites in
patients with obstructive sleep apnea hypopnea syndrome: a systematic review and
Meta-analysis].
AB - Objective:To investigate the clinical value of video laryngoscope in localization
diagnosis of upper airway obstruction in OSAHS during drug-induced
sleep.Method:We searched the MEDLINE (PubMed), EMBASE, CCTR (The Cochrane
Controlled Clinical Trials Register Database), CNKI, WAN FANG databases for
randomized, blinded studies according Cochrane systematic review. We chose
articles published between 1975 and 2016 about the value of sleep endoscopy in
localization diagnosis of upper airway obstruction in OSAHS. All the data were
analyzed by the software of the Meta-Disc 1.4.Result:Seven qualitative studies
and three quantitative studies were included.A total of three studies with 175
patients met the inclusion criteria for Meta-analysis. Meta-analysis results
showed that the merger sensitivity degrees, specific degrees, diagnostic odds
ratio, positive likelihood ratio, negative likelihood ratio and 95%CI were
1.0(0.96-1.0),0.71(0.59-0.81),212.47(36.07-1 251.56),4.40(1.28-15.16),and 0.02(0
0.11) respectively. The SROC area under the concentration-time curve (AUC) was
0.991 0.Conclusion:Because of its high accuracy, sleep endoscopy can be used as
an important auxiliary examination and the sensitive indexes for the surgery
procedures and prognosis treatment plan for OSAHS. But more cohort studies are
needed for the verification.
PMID- 29797948
TI - [Analysis of reliability and validity of the Chinese version of the Satisfaction
with Amplification in Daily Life].
AB - Objective:To investigate the reliability and validity of the Chinese version of
the Satisfaction with Amplification in Daily Life (SADL).Method:Ninety adult
hearing aid users were evaluated with Chinese version of the
SADL.Result:1Reliability:Cronbach's alpha score of overall SADL score was 0.814
and the four subdomains were 0.543-0.824. 2Validity: The correlation coefficients
between overall SADL score and the four subdomains were 0.514-0.826(all
P<0.01).There were weak or no correlations among the four subdomains. The
evaluation of content validity by expertreview showed the questionnaire had good
content validity. SADL total scores in bilateral hearing aid userswere
significantly higher than those in unilateral hearing aid users
(t=2.192,P<0.05).Conclusion:The Chinese version of the SADL meets many
psychometric criteria of a robust instrument. It possesses appropriate validity
and good reliability, and can be used to measure the outcome for adult hearing
aid users in China.
PMID- 29797949
TI - [The role of CD4 + CD25 + T regs and CCL17, CCL22 in the pathogenesis of head and
neck squamous cell carcinoma].
AB - Objective:To investigate the role of CD4 + CD25 + T regs and CCL17 and CCL22 in
the pathogenesis of HNSCC.Method:Twenty cases of HNSCC were enrolled. All
patients were primary or recurrent after treatment (chemotherapy, surgery). The
primary tumor was taken as the experimental group, and the adjacent normal
tissues from the primary tumor 1-3 cm were taken as control group. CD4 + /Foxp3
and CD25+/Foxp3 were detected by immunofluorescence, while CCL17 and CCL22 were
detected by ELISA. The difference and correlation between the amount of
CD4+,CD25+ and the expression of CCL17, CCL22 were observed and
analyzed.Result:The difference of mean optical density between CD4+/Foxp3 and
CD25+/Foxp3 was statistically significant between the experimental group and the
control group (P<0.05). The concentration of CCL17 and CCL22 was statistically
different between the two groups (P<0.01). There was a positive correlation
between CD25+and CCL17,CCL22(r=0.595, 0.720,P<0.01).Conclusion:CD4+CD25+T regs
and CCL17,CCL22 played an important role in the pathogenesis of head and neck
squamous cell carcinoma,both of which interacted with each other,and promoted the
recurrence and metastasis of HNSCC.
PMID- 29797950
TI - [Preliminary findings for metabolite profiles of papillary thyroid carcinoma and
laryngeal squamous cell carcinoma].
AB - Objective:To identify distinct metabolite profiles of papillary thyroid cancer
(PTC) and laryngeal squamous cell carcinoma (LSCC).Method:Tumor and adjacent non
tumor specimens were collected from 57 PTC and 33 LSCC patients. Distinct
metabolite profiles of tissues were examined using a combination of gas
chromatography-time-of-flight mass spectrometry and ultra-performance liquid
chromatography-quadrupole-time-of-flight mass spectrometry. The data were
analyzed with multivariate statistical analysis to compare the distinct
metabolite profiles and related pathways of these three tumors.Result:A panel of
46 and 41 differentially expressed metabolites were identified in tumor and
adjacent non-tumor tissues of PTC and LSCC, respectively. Increased glycolysis,
amino acids metabolism, one carbon metabolism and tryptophan metabolism were
found in these two types of tumor tissues compared to adjacent non tumor tissues.
Purine and pyrimidine metabolism was significantly elevated in PTC and LSCC tumor
tissues, while taurine and hypotaurine were only higher in PTC tumor tissues. The
fatty acid metabolism was detected at lower level in both PTC and LSCC tumor
tissue.Conclusion:PTC and LSCC tumor tissues not only have common metabolic
signatures characterized by increased glycolysis, amino acids metabolism, one
carbon metabolism and tryptophan metabolism, but also have distinct metabolic
signatures. It is helpful to understand the nature of these two tumors.
PMID- 29797951
TI - [Suture under tension across cartilage in the application of the crooked nose
surgery].
AB - Objective:Observing the effect of maintain tension across suture between
cartilages to fix new nasal structure on balance the asymmetric strength of the
two sides of the cartilage and the recurrence of the postoperative crooked
nose.Method:A L-shaped struct made of the nasal septum cartilage were fixed to
maintain tension across structure between cartilage during rhinoplasty in 39
patients with crooked nasal deformity. Of 21 cases were type I deviation, of 12
cases were C-shaped deviation,and of 6 cases were S-shaped deviation.Result:A 1-5
years follow-up were performed. The effects were divided into three degrees: 30
cases excellent (76.92%), 6 cases good (15.38%) and 3 cases acceptable
(7.69%).The rate of excellent and good was 92.31%(36/39). The type I improvement
rate was 80.3%,the rate of type C was 83.6% and the improvement rate of type S
was 84.1%,the overall improvement rate was about 81.9%.Conclusion:Suture under
tension across cartilage can fix reconstruction of nasal structure
effectively,improve the postoperative effect, reduce recurrence of crooked nose.
The operation is simple and is an essential part of the nasal deformity
correction technique.
PMID- 29797952
TI - [The relationship between pathology and imaging overview in pediatric nasal
tumors].
AB - Objective:To study the clinical features and nasal image of children with nasal
tumors.Method:The samples of 40 cases of pediatric nasal tumors, which were
diagnosed based on pathology, were collected. Clinical features, pathological
histology and imaging characteristics were also summarized.Result:There were 23
cases of benign tumors and 17 cases of malignancy. The most common benign tumors
were angiofibroma (7 cases), capillary hemangioma (4 cases) and ossifying fibroma
(4 cases), while the most common malignant tumors were lymphoma (10 cases) and
embryonal rhabdomyosarcoma (3 cases). In all cases, 10 tumors arose from sinus, 7
tumors limited in the nasal cavity or nasal vestibule, 8 tumors located in
sinonasal, 10 tumors are originated from nasopharyngeal area and (or) postnaris,
3 cases located in the lateral nasal, 2 cases located in the nasal septum. CT or
MRI found that 13 cases showed bone destruction, 5 cases showed bone absorption,
14 cases involvement of adjacent structure, 6 cases with cervical lymph node
enlargement.Conclusion:The common nasal tumors in children include lymphoma,
angiofibroma, capillary hemangioma, ossifying fibroma and embryonal
rhabdomyosarcoma. Clinical manifestations of pediatric nasal tumors were
atypical, the diagnosis mainly dependent on pathology and imaging examination. CT
and MRI examination can well depict the site of tumors, the bone change of the
around situation and the encroachment of the tumor accurately, and may play an
important role in clinical diagnosis and staging of the tumors, constituting the
treatment plan and prognosis evaluation.
PMID- 29797953
TI - [A study of 16 cases on the island pectoralis major muscle flap in repair for the
defects after the laryngeal function reserved operation of hypopharyngeal
carcinoma].
AB - Objective:To explore the application about island pectoralis major muscle flap in
repair for the defects after the laryngeal function reserved operation of
hypopharyngeal carcinoma.Method:A retrospective analysis about 16 patients who
underwent hypopharyngeal carcinoma surgery using pedicle island pectoralis major
myocutaneous flap to repair, including 14 cases whose primary tumors located in
the piriform fossa, and 2 cases in pharynx posterior wall.Result:All 16 cases'
pectoralis major muscle flap survived, 2 of patient appeared to have a pharyngeal
fistula, but recovered after transition. Of the 16 cases, 14 cases were removed
the trachea tube in 1-3 months, 2 cases survive with tube, and all patients were
satisfied with their appearance and the function. In 16 cases, 2 of them survived
3 and a half years, died of lung metastasis; 2 cases survived for four years, 1
died of local recurrence of tumor and another died of heart disease; 1 survived
for four and a half years and died of lung metastasis; the remaining 11 cases
were still in follow-up.Conclusion:The application in the surgical defect repair
of pharyngeal carcinoma with pedicle island pectoralis major myocutaneous flap is
safe and effective.
PMID- 29797954
TI - [Expression difference of connexins in neural cells of Cx26 deficiency deafness].
AB - Objective:Using induced pluripotent stem cell (iPSC) technology, neural cells
from Cx26 deficiency deafness patients were derived, to investigate the influence
of Cx26 deficiency on neural development and gene expression.Method:Fibroblasts
were taken from profound deaf patients caused by Cx26 deficiency, and were
induced to non-integration induced pluripotent stem cell lines, whose morphology,
internal and external gene expression were characterized. Then these iPSC lines
were differentiated into neural cells, whose expression change of pluripotent
genes, neural markers and connexin genes were investigated.Result:Three iPSC
lines with Cx26 deficiency were successfully established and differentiated into
neural progenitor cells and neurons. The iPSC lines showed similar morphology,
proliferation, internal and external gene expression with human embryonic stem
cells. In iPSC-derived neurons, expression of Cx32 was up-regulated obviously,
expression of Cx36 was up-regulated slightly, and expression of Cx26 showed no
obvious change.Conclusion:TNeural differentiation of IPSC is not influenced by
Cx26 deficiency, but expression of Cx32 and Cx36 are up-regulated, which may hint
compensation from Cx32.
PMID- 29797955
TI - [Clinical treatment effect of glucocorticoids and extract of ginkgo biloba on
post-viral olfactory dysfunction].
AB - Objective:To observe the effect of ginkgo biloba extraction combined with
glucocorticoids on postviral olfactory dysfunction.Method:Forty-two patients were
diagnosed as postviral olfactory dysfunction. All patients underwent olfactory
test, including T&T test and Sniffin Sticks test before and after treatment. The
treatment lasted up to 3 months based on effectiveness. The results of olfactory
test were recorded every month.Result:Twenty patients received the treatment with
prednisone acetate. T&T test showed that the effective and improvement rate of
the treatment with prednisone acetate were 25.00%(5/20) and
45.00%(9/20),respectively; Sniffin Sticks test showed that the effective and
improvement rate of the treatment were 20.00%(4/20)and
50.00%(10/20),respectively. Twenty-two patients received the treatment combined
with extract of ginkgo biloba. T&T test showed that the effective and improvement
rate of the treatment with prednisone acetate were 31.82%(7/22)and
50.00%(11/22),respectively; through Sniffin Sticks test showed that the effective
and improvement rate of the treatment were 27.27%(6/22)and
54.55%(12/22),respectively.Conclusion:Olfactory function in patients with
postviral olfactory dysfunction was improved with two therapy. There was no
significant difference on effect between the two therapeutic groups, but the
effect of combination of extract of ginkgo biloba was better than the effect of
prednisone acetate. Prolong duration of treatment is help for the recovery of the
olfaction.
PMID- 29797956
TI - [The effect of monrustet on the exhalation of nitric oxide and inflammatory
cytokines in patients with asthma combined with OSAHS].
AB - Objective:To study the effect of monrustet on fractional exhaled nitric oxide and
inflammatory cytokines in the elderly with bronchial asthma combined obstructive
sleep apnea hypopnea syndrome.Method:150 cases diagnosed as asthma were enrolled
in this study. The patients were divided into bronchial asthma combined with
OSAHS (n=72)and bronchial asthma (n=78). According to the different treatment
methods , patients were divided into observation group and control group. Two
groups were given common treatment with inhaled corticosteroids, and the
observation group was taken orally montrast 10mg/night additionally. The
fractional exhaled nitric oxide was detected before and after treatment. Serum
were collected for detection of tumor necrosis factor alpha (TNR-alpha),
Creactive protein (CRP) and interleukin 6 (IL-6), according to the sleep
monitoring results, the sleep apnea low ventilation index (AHI) was calculated,
and the changes of FeNO level,CRP,TNR-alpha,IL-6 and AHI were analyzed in the two
groups.Result:Before treatment, the gender, age, degree of disease, FeNO
level,TNR-alpha, CRP,IL-6 and AHI differences were not statistically significant
in the two groups (P>0.05).After treatment, both groups of FeNO, TNR-alpha,CRP,IL
6 and AHI decreased before treatment (P < 0.05).The observation group was lower
than the control group, and the difference was statistically significant (FeNO
level, AHIP< 0.01,TNR-alpha, CRP,IL-6 P< 0.05).Conclusion::Montelukast can reduce
the FeNO level, the expression of TNR-alpha, CRP, and IL-6 of patients of
bronchial asthma combined with OSAHS. Further improvement of the patient's
condition, and provide reference value for the clinical medicine of patients with
asthma.
PMID- 29797957
TI - [The sodium salicylate affects the expression of NMDA receptor and GABAa receptor
subunits in spiral ganglion neurons of the cochlea through DA receptor].
AB - Objective:To investigate mRNA expression of dopamine receptor subtypes in the rat
cochlear spiral ganglion neurons (SGN) following exposure to the sodium
salicylate. In addition, we observed the effect of sodium salicylate on N methyl
D-aspartic acid (NMDA) receptor subunit NR1 and gamma aminobutyric acid (GABA)a
receptor subunit GABRalpha2 mRNA under the circumstance of DR activation or
blocking. Moreover, we also focused on the the interaction between receptors
mediated by SS.Method:Immunofluorescence techniques were applied to detect DR
(DR1 and DR2) expression in cultured rat SGN. Moreover, RT-PCR was performed to
assess NR1 and GABRalpha2 subunit mRNA.Result:Immunofluorescence images showed co
localization of DR1/DR2 and betaIII-tubulin protein in SGN bodies and axons. RT
PCR results illustrated that 1DR subtypes of DRd1-5 were detected in the SGN.
2The mRNA expression of all subtypes of DR and GABRalpha2, NR1 subunits were
obviously upregulated except DRd3 after treatment with sodium salicylate. Among
them, DRd1 expression increased 34.64%(t=-5.123,P=0.007),DRd2 expression
increased 34.60%(t=-5.206,P=0.006),DRd4 expression increased 20.87%(t=
3.337,P=0.029),DRd5 expression increased 26.42%(t=-6.054,P=0.004),GABRalpha2
expression increased 30.41%(t=-2.839,P=0.047),NR1 expression increased 39.22%(t=
6.243,P=0.003).3After exposure to sodium salicylate (5 mmol/L), dopamine (100
MUmol/L), DR1 agonist (SKF38393,20 MUmol/L), DR2 agonist (Quinpirole,20 MUmol/L),
GABRalpha2 expression increased 21.78%,27.45%,33.02%,33.42% respectively
(F=12.399,P=0.001),and NR1 expression increased
28.70%,26.82%,29.03%,35.05%(F=50.395,P=0.000) respectively.4Compared with the
group of sodium salicylate treatment alone, both sodium salicylate + DR1
antagonist (SCH23390,20 MUmol/L) group and sodium salicylate + DR2 antagonists
(Eticlopride,20 MUmol/L) group had a suppression on GABRalpha2 and NR1 mRNA
expression.GABRalpha2 mRNA reduced 29.56%,37.10%(F=22.101,P=0.000) and NR1 mRNA
expression decreased 37.62%,32.83% respectively(F=72.933,P=0.000).Conclusion:Most
of the DR subtypes mRNA expression in SGN were increased following exposure to
sodium salicylate. DR may be involved in the effect of sodium salicylate on
GABAaR and NMDAR mRNA expression.
PMID- 29797959
TI - [Perioperative management of subglottic foreign body in children].
PMID- 29797960
TI - [3 case of pharyngeal cold abscess and literature review].
PMID- 29797958
TI - [Establishment and characterization of an orthotopic murine model of mucosal
malignant melanoma of the maxillary sinus].
AB - Objective:To establish a stable and reliable orthotopic murine model of mucosal
malignant melanoma of the maxillary sinus so as to provide animal models for
further studying for pathogenesis and therapy of sinonasal malignancy.Method:B16
were implanted in the right maxillary sinus of male nude mice. After tumors
appeared in right maxillary sinus, tumor growth and survival rate were recorded.
The degree of tumor infiltration was observed through the MRI.Result:Mice with
B16 implanted in right maxillary sinus developed primary tumors. The survival and
the tumor volume were related to the number of tumor cells implanted. We found
that the tumor can invade into nasal cavity, orbital cavity and the basilar
region using MRI.Conclusion:We successfully established a model for mucosal
malignant melanoma of the maxillary sinus. This model offers an experimental tool
for further research on biological characteristics of sinonasal malignancy and
the development of new therapy.
PMID- 29797961
TI - [A case report of plasma cell granuloma in maxillary sinuses].
AB - Plasma cell granuloma is a kind of the disease with low incidence at head and
neck,which is a benign lesions with malignant biological performance. The
diagnosis of plasma cell granuloma is difficult as the symptom is not typical and
it is difficult to distinguish with cancers and other diseases through the
physical sign and imaging manifestation. The golden standard of diagnosis is
histopathological examination. The therapy of plasma cell granuloma is still
controversial, but the first choice is the operation. The other therapy such as
hormone therapy, radiotherapy, chemotherapy etc., should be applied according to
special conditions. Surgery has advantage with high cure rate and very low
reoccurance rate. In this paper, we reported a case of plasma cell granuloma,
occurred in maxillary sinus and summarizes the experiences about diagnosis and
therapy of plasma cell granuloma in maxillary sinus through review previous
literature.
PMID- 29797963
TI - [Research progresses in vestibular rehabilitation].
AB - Vestibular rehabilitation is one of the treatments of vertigo, which major based
on vestibular exercise. Unlike general exercise, it is a highly specialized
designed method without medication or traumatic. Vestibular rehabilitation
includes peripheral rehabilitation,central rehabilitation, alternative
rehabilitation, visual conflict rehabilitation and fall prevention
rehabilitation. The cross-coupling mechanism of vestibular reflex is the basis of
vestibular compensation. The characteristics of visual reflex and the
proprioception mediated cervical reflex are the foundation of alternative
rehabilitation, and cognitive mechanism is the basis of all kinds of vestibular
rehabilitation. Data about the safety and efficacy of vestibular rehabilitation
are provided during the past several decades. Various tests and measures are used
for examination and determine their ability to participate in their environment,
as well as various treatments are described. Factors that affect recovery are
noticed to prognosticate recovery. This review focuses on the rehabilitation
mechanism, clinical application, related inspection and evaluation, and influence
factor of vestibular rehabilitation.
PMID- 29797962
TI - [Orbital decompression for intraorbital hematoma after surgery of recurrent nasal
polyps: a case report].
AB - A case of intraorbital hematoma after surgery of recurrent nasal polyps in August
2016 was reviewed. The patient underwent emergent orbital decompression after
intraorbital hematoma, and followed up for half a year. The eyeball blood stasis
of the case had gradually vanished. Ocular movement and vision of the left are
still normal now. Orbital decompression is both necessary and effective for
intraorbital hematoma after surgery of nasal endoscope.
PMID- 29797964
TI - [Diagnosis and management of spontaneous cerebral spinal fluid otorrhea].
AB - Spontaneous cerebral spinal fluidotorrhea (SCSFO) is a type of CSF otorrhea
without obvious causes including previous trauma, surgery, infection or neoplasm.
The etiology of SCSFO remains unclear, the diagnosis can be overlooked because of
the untypical clinical features. In this paper, we reviewed etiology, clinical
features, diagnosis and therapy of SCSFO from recent relative literatures.
PMID- 29797966
TI - [Re-recognition of the significance of perioperative management of chronic
sinusitis].
PMID- 29797965
TI - [Clinical features and advanced progress of secondary BPPV].
AB - BPPV is a disease provoked by abrupt head movements, results in short paroxysmal
vertigo or nystagmus. These patients often can accurately describe the dizziness
happened when they head move suddenly, especially when looking upward, turning
over in bed, lying down, or bending over. BPPV is divided into idiopathic BPPV
and secondary BPPV, in most cases. The underlying cause cannot be determined,
which is called idiopathic; however, in 30% patients, BPPV may be attributed to a
specific cause and is termed secondary BPPV. We reviewed the pathogenesis,
mechanisms, clinical features, treatment and the latest progress of secondary
BPPV.
PMID- 29797967
TI - [The application of endoscopic strategy and approaches to treat sphenoid sinus
inflammatory diseases].
AB - Objective:A retrospective study aimed to investigate the characteristics and
prognosis of inflammatory sphenoid sinus diseases and discuss of endoscopic
surgical techniques.Method:A total of 105 subjects with isolated inflammatory
sphenoid pathologies were treated by endoscopic surgery,which included 41(39.0%)
cases of fungal sinusitis,33(31.4%) mucocele,and 31(29.5%) sphenoiditis.They were
evaluated by paranasalsinuse CT scan and all patients underwent endoscopic
sphenoidsinus surgery under general anesthesia.Twenty cases were selected in
Messerklinger,74 cases selected in Wingand,5 cases selected in Draf 3-like,5
cases selected in transphenoidal septum into the contralateral sphenoid sinus,and
1 case selected in trans-medial plate of pterygoid process approach.Result:The
postoperative follow up from 6 to 27 months showed that sphenoid ostium were good
in 96(91.4%) patients,stenosis in 5(4.8%) patients,and atresia in 4(3.8%)patients
who need reoperation.Conclusion:Transnasal endoscopic sphenoidectomy should be
the standard option for dealing with isolated inflammatory sphenoid diseases.The
five different approaches would be selected according to the extension of the
diseases and anatomic structure of the sphenoid sinus.
PMID- 29797968
TI - [Extended inferior meatal approach by reversing inferior turbinate for maxillary
sinus and adjacent lesions].
AB - Objective:The aim of this study is to explore the feasibility and results of
surgical removal of maxillary sinus and adjacent lesions via extended inferior
meatal approach by reversing inferior turbinate.Method:Among these 28 cases,there
were 9 cases of maxillary cysts(4 of which with oroantral fistula),2 cases of
odontogenic maxillary sinusitis(1 of which with oroantral fistula),3 cases of
maxillary sinus cysts,2 cases of antrochoanal polyps,5 cases of maxillary sinus
inverted papilloma,1 case of maxillary sinus inverted papilloma with infiltrative
squamous cell carcinoma(moderately differentiated),4 cases of inferior orbital
fracture,1 case of anterior maxillary sinus wall fracture,and 1 case of
infratemporal fossa cysts with fungal maxillary sinusitis.All operations were
conducted via extended inferior meatal approach.Result:All patients did not have
intraoperative complications,and were free of recurrence after the 3 to 36 months
postoperative follow-up.Conclusion:The extended inferior meatal approach by
reversing inferior turbinate can deal with all aspects of the maxillary sinus and
retromaxillary lesions.This procedure has a clear vision and high successful
rate.
PMID- 29797969
TI - [Efficacy analysis of endoscopic stage I reconstruction of nasal contour and
function].
AB - Objective:The aim of this study is to assess the effectiveness of endoscopic
stage I reconstruction of nasal contour and function.Method:Twenty subjects with
severe nasal trauma,nasal bone fracture and deviation of nasal septum were
selected.The surgeries of stage I reconstruction of nasal contour and function
were proceeded on 7 to 30 days after trauma.Result:The VAS scores of deformity of
nasal contour and severity of nasal blockage assessed by patients decreased
significantly after operation.Deflection distance of nasal dorsum measured by 3D
imaging decreased significantly.In patients with abnormal nasal ventilation,the
volume and the minimal cross-section area of abnormal nasal cavity increased
significantly(P<0.05).Conclusion:The effectiveness of endoscopic stage I
reconstruction of nasal contour and function was excellent.The patients were
satisfied with improvement of nasal contour and ventilation.
PMID- 29797970
TI - [Prevention and treatment of sinusitis complicated by surgical treatment of
pituitary adenoma].
AB - Objective:The aim of this study is to study the reason,prevention and cure
measures of sinusitis complicated by frontal craniotomy or endoscopic transnasal
approach in surgical treatment of pituitary adenoma.Method:A total of 10 patients
with sinusitis complicated by surgical treatment of pituitary adenoma were
admitted.Five sphenoid sinusitis patients and 2 frontal sinusitis patients
weretreated with nasal endoscopic surgery,while 3 cases frontal sinusitis
patients were received nasal endoscopic surgery combined with surgery outside
nose.Result:The following up for 5 to 20 months showed that 9 cases were cured
and 1 case was improved.There were no cerebrospinal fluid leak and other
complications.Conclusion:The sinusitis after frontal craniotomy or endoscopic
transnasal approach in surgical treatment of pituitary adenoma may be related to
the mishandling of frontal sinus or sphenoid sinusitis and the existence of the
foreign matter such as bone wax and fascia.We should clear the foreign matter and
inflammatory granulation tissue to ensure that sinus drainage.According to the
specific situation of the patients,good prognosis can be obtained by nasal
endoscopic surgery merely or combined with surgery outside nose.
PMID- 29797972
TI - [Clinical characteristics and surgical treatment of types II to IV external
auditory canal cholesteatoma].
AB - Objective:The aim of this study is to explore the clinical
characteristics,diagnostic methods,surgical procedures and results of typesII to
IVexternal auditory canal cholesteatoma.Method:In the 63 cases,there were 39
patients suffering typeIIexternal auditory canal cholesteatoma,22 patients
suffering typeIII and 2 patients suffering type V.All patients had surgeries to
remove the lesion under the microscope,and underwent canaloplasty or
tympanoplasty or modified mastoidectomy as well.Result:There were 2 cases
occurred meatal stenosis postoperatively ,as well as 2 cases of cholesteatoma
recurrenced,and were cured after second operation.Four patients'hearing level
were not improve postoperatively due to the severe damage of their ossicular
chain.All other patients were cured with one operation,which the cavity with good
skin coverage and there has been no recurrence.Conclusion:Temporal bone CT scan
has important significance in the diagnosis and classification of external
auditory canal cholesteatoma.Prevention and early diagnosis are particularly
important.Surgical treatment should completely remove the lesion,restore hearing
according to the situation,and appropriate surgical treatment should be taken
according to the classification,location of external auditory canal cholesteatoma
as well as hearing level of patients.
PMID- 29797971
TI - [The impacts of endoscopic sinus surgery with middle turbinate and superior
turbinate resection on quality of life and olfactory function in patients with
chronic rhinosinusitis with nasal polyps].
AB - Objective:The aim of this study is to investigate the impacts of endoscopic sinus
surgery(ESS) with middle turbinate and superior turbinate resection on quality of
life and olfactory function in patients with chronic rhinosinusitis with nasal
polyps(CRSwNP) and with dysosmia. Method:All of the 81 patients with CRSwNP and
with dysosmia recieved ESS with middle turbinate and superior turbinate
resection.The patients were given standardized drug treatments during the
preoperative period,such as nasal irrigation,using local hormone spray,mucus
decorporation agent by oral,using macrolide antibiotics according to the
circumstances and so on.We used SNOT-20 to evaluate the quality of life
preoperatively and postoperatively in patients;and used the T&T olfactory testing
and VAS to evaluate the olfactory function of the patients.Result:The SNOT-20
test showed that "need to blow nose", "lack of a good sleep", "thick nasal
discharge", "difficult to go to sleep",and "awkward" influence on the quality of
life severely.The scores of all items showed decreasing tread in 2 weeks,1 month
and 3 months after operation(P <0.05).Olfactory:Among the 81 patients(162
side),54 patients(108 sides) lost sense of smell completely in preoperative
period and 27 patients' olfaction lost in varying degrees(10 patients are
bilateral symmetry hyposmia and 17 patients are bilateral asymmetry
hyposmia).Compared with the preoperative period,70 patients'(86.4%) olfactory
function were improved at 3 months after the operation,in which 43
patients'(53.1%) olfactory function recovered to normal,and 27 patients'(33.3%)
olfactory function improved in varying degrees;however,11 patients'(13.6%)
olfactory function had not improvement at all.Sixty-one patients were followed up
for more than one year.One year after operation,53 patients'(86.9%) olfactory
function were improved,in which the 31 patients' (50.8%) olfactory function
recovered to normal and 22 patients'(36.1%)olfactory function improved in varying
degrees;8 patients'(13.1%) olfactory function had no improvement;the remaining 20
patients are being followed up.There was a significant difference between
preoperative T&T olfactory testing and postoperative's(P <0.05);and so was VAS
assessment(P <0.05). Conclusion: For the patients with CRSwNP and with
dysosmia,the operation of ESS with middle turbinate and superior turbinate
resection combined with standardized drug treatment in preoperative period can
effectively improve the patients' quality of life and olfactory
function.Hyperventilation and nasal dryness caused by excessive resection were
not found.
PMID- 29797973
TI - [Application of gadolinium enhanced magnetic resonance imaging of inner ear to
observe bilateral inner ears hydrops in patients with unilateral Meniere's
disease].
AB - Objective:Department of Otolaryngology Head and Neck Surgery,Xinhua
Hospital,Shanghai Jiaotong University School of Medicine,Shanghai Jiaotong
University Ear Institute,Shanghai,200092,China This study was designed to study
the correlation between endolympahatic hydrops image results and auxiliary
examinations by magnetic resonance imaging after intratympanic administration of
gadolinium in both ears in unilateral Meniere's disease patients.Meanwhile,the
contralateral endolympahatic hydrops of the "asym ptomatic " ear in patients with
unilateral Meniere's was also observed.Method:Thirty-one patients with unilateral
Meniere's disease were enrolled in this study.All the patients underwent pure
tone audiometry,electrocochleography(ECochG),cervical-vestibular evoked myogenic
potentials(cVEMP) before intratympanic gadolinium contrast agents injected.All
the patients underwent three-dimensional fast fluid attenuated inversion recovery
sequence magnetic resonance imaging(3D-FLAIR MRI) after intratympanic gadolinium
contrast agents injected 24 hours.The images were graded in accordance with the
severity of endolympatic hydrops.Spearman correlation analysis was performed
between the scores of the images and results of auxiliary examination
results.Meanwhile,Student's t test was performed for the significance test of the
age,duration of the disease and the hearing level of the affected ears between
the group with contralateral endolympatic hydrops and the group without
contralateral endolympatic hydrops.Result:Pure tone average and the degree of the
cochlear hydrops(r=0.383,P <0.05),the SP/AP ratio and the degree of the cochlear
hydrops(r=0.496,P<0.01),and interaural amplitude difference and the degree of the
vestibular hydrops(r=0.635,P<0.01) showed a significant correlation.The duration
of the disease[(6.7+/-6.3) years vs(2.9+/-3.1) years,P <0.05] and the hearing
level of the affected ear[(70.3+/-7.4)dB vs(52.5+/-3.8)dB,P <0.05)] showed a
significant difference between the group with contralateral endolympatic hydrops
and the group without contralateral endolympatic hydrops.Conclusion:Pure tone
average and the degree of the cochlear hydrops,-SP/AP ratio and the degree of the
cochlear hydrops,and interaural amplitude difference and the degree of the
vestibular hydrops also showed a significant correlation,which suggesting that
MRI image can reflect the auditory-vestibular function in patients with Meniere's
disease.Endolympatic hydrops and hearing loss are closely related,but not
necessarily result in Meniere's disease symptoms.Patients with longer duration of
the disease and worse hearing in the affected ears are more likely to develop
bilateral endolympatic hydrops.
PMID- 29797974
TI - [Association between obstructive sleep apnea hypopnea syndrome and type 2
diabetes in Chinese:a Meta analysis].
AB - Objective:The aim of this study is to assess the association between obstructive
sleep apnea-hypopnea syndrome and type 2 diabetes (T2DM).Method:Databases such as
Chinese Biomedical Literature Database,PubMed,Chinese Academic Journals full-text
database,Wanfang Resource Database and Chongqing VIP have been searched to
collect literatures about the relationship between OSAHS and type 2 diabetes.The
papers and unpublished articles were also manually retrieved.Randomized
controlled trial conformed to the condition were evaluated according to the
standards of literature assessment,and the data has been extracted.The RevMan5.3
software was applied to carry out Meta-analysis.Result:Thirty studies are
includedin the Meta-analysis.The fasting glucose content of OSAHS patients with
T2DM is significantly higher than T2DM patients[WMD=1.01,95%CI(0.55,1.46),
P<0.01];OSAHS with T2DM patients' apnea hypopnea index is significantly higher
than OSAHS patients[WMD=3.78,95%CI(1.69,5.87),P<0.01];the fasting glucose content
of OSAHS patients is also significantly higher than normal
[WMD=1.16,95CI%(0.88,1.44),P<0.01];When stratified by rhe grades of OSAHS,we
found fasting glucose content of all OSAHS patients is significantly higher than
normal[WMD=1.09,95CI% (0.97,1.21),P<0.01];the funnel flot appeared to be
symmetrical with little bias.Conclusion:The experimental results indicate that
OSAHS is significantly related with T2DM ,and they may be the risk factorof each
other.
PMID- 29797975
TI - [The inflammatory pattern and the characteristics of mucous remodeling in
different immune type of nasal polyps].
AB - Objective:The aim of this study is to study the different immune-type of polyps
and valuate mucosal inflammatory pattern and remodeling features between in IL-5
positive vs.IL-17 positive nasal polyps.Method:Nasal polyp or nasal turbinate
tissue was obtained from 88 CRSwNP patients during endonasal sinus surgery or 18
non-atopic control subjects during septoplasty,respectively.Assessment of pro
inflammatory cytokines and mediators by ELISA.Additionally,the distribution of IL
5 positive or IL-17 positive cells and inflammatory
cells(eosinophil,neutrophil,etc.) were examined using
immunohistochemistry(IHC).Result:Overall more than half amount of polyp tissue
did not express any TH cells key cytokine.However there are 21% polyp present IL
5 positive and 16% of IL-17 positive as well as 9% of IFN-gamma positives.Amount
of them that IL-17 positive polyps by synthesis of mediators promoting
neutrophilic inflammation[myeloperoxidase(MPO),IL-1beta,IL-6 and IL-8)] and
staining infiltration of MPO positive with IL-17 positive cells,whereas IL-5
positive nasal polyps were characterized by synthesis of mediators promoting
eosinophilic inflammation(IL-5,ECP,TIgE,and SAE-IgE) and infiltrating of
eosinophils and IL-5 positive cells.Meanwhile TGF-beta1 and MMP7 protein levels
enhanced in IL-17 positive polyps and decreased in IL-5 positive than
control.Conclusion:Nasal polyps presenting as different immune types and there
were characterized with different inflammatory and remodeling patterns.Amount of
them that IL-17 positive polyps presenting as a neutrophilic inflammation with
remodeling biased.Whereas IL-5 positive nasal polyps were characterized by
eosinophilic inflammation and absence of remodeling tendency.
PMID- 29797976
TI - [Prognosis analysis of multidisciplinary treatment on nasal mucosa malignant
melanoma].
AB - Objective:The aim of this study is to investigate the facters affecting prognosis
of primary sinonasal mucosal malignant melanoma and analyze the optimal
therapeutic strategies.Method:Among the 33 patients with sinonasal mucosal
malignant melanoma,twenty-six patients received surgical treatment,nineteen
patients accepted radiotherapy,and twenty-one patients had the biotherapy.The
Kaplan-Meier and log-rank methods was used to assess the prognosis of different
treatment.Result:the patient's 3-year-survival rate was 48.3% and 5-year-survival
rate was 30.3%.Surgical treatment is the important factor for the 5-year-survival
rate(P <0.05),while the chemotherapy and biotherapy had no effect on overall
survival rate(P>0.05).Conclusion:The surgical treatment is the preferred method
of treatment,and endoscopic surgery has the satisfactory effect.Adjuvant
radiotherapy can improve local tumor control rates.Immunotherapy is the
development direction of treatment for nasal mucosal malignant melanoma.
PMID- 29797977
TI - [The imaging analysis of the age-related changes on maxillary sinus].
AB - Objective:The aim of this study is to investigate the age-related changes rules
of maxillary sinus.Method:The 540 patients (1 080 sides) with normal data of
deputy sinus in spiral CT were enrolled,including 270 cases of male and
female,age from 7 to 81 years old.They are divided into 9 groups according to the
age:Group A at the age of 7-12 years old,Group B at the age of 13-17,Group C at
the age of 18-20 years old,Group D at the age of 21-24 years old,Group E at the
age of 25-28 years,Group F at the age of 29-35 years old,Group G at the age of 36
40 years old,Group H at the age of 41-65 years old,and Group I is more than 65
years old.By the gender,the patients in each group was divided into male and
female groups.There are 30 cases in each group(60 sides).The volumes and the
three-dimensional diameters of the maxillary sinus were measured,and the
coefficient of gasification of them were calculated.Result:The maxillary sinus
volume and 3 D lines have almost the same change trend along with the age between
the male and female group;From 7 to 20 ages,they are increased linearly,13 to 17
fastest-growing;18 to 20 years old reached to peak;declined slightly in 21-28
years old,29-35 a second growth peak,and 36 to 40 years old have fallen
sharply,to reaching a steady state after 41 years old;The gasification
coefficient has no difference among all groups.Conclusion:The volume changes with
the age-related on maxillary sinus is in the adolescent stage.It reaches a steady
state in the middle and old age stage,and gasification coefficient on maxillary
sinus has no age-related changes among all groups.
PMID- 29797978
TI - [Clinical value of a domestic portable monitoring system for the diagnosis of
obstructive sleep apnea syndrome].
AB - Objective:The aim of this study is to evaluate the clinical value of a portable
monitoring system(YH600B) in OSAHS by comparing the consistency of overnight
sleeping data recorded by polysomnography and YH600B. Method:One hundred and
nineteen snoring patients underwent one-night polysomnography(PSG)and YH600B
simultaneously.The measured data by the two methods were compared to analysis
their correlation,including AHI and LSaO2.The Bland Altman plots was used to
assess the consistency between PSG and STD.Sensitivity and specificity
comparisons were plotted graphically using receiver operating characteristic(ROC)
curve analysis.Result:All cases were well tolerated the procedure.1AHI and LSaO2
measured by the two techniques have strong correlation(r=0.981,
r=0.882,P<0.05,respectively).2Bland Altman analyses showed strong agreement
between AHI values from the YH600B and PSG recordings.3the ROC-curve showed that
AHI cut off value was 7.25,area under the curve was 0.992 3,sensitivity was
98.1%,and specificity was 92.2%.Conclusion:These data suggest that YH600B have
highly consistency with PSG,and YH600B is accurate in the application of the
diagnosis of OSAHS.
PMID- 29797979
TI - [Treatment of isolated sphenoid sinus disease by trans-basal lamella approach to
sphenoid sinus].
AB - Objective:The aim of this study is to investigate the safety and practicability
of the trans-horizontal basal lamella sphenoidotomy approach in the treatment of
the isolated sphenoid sinus disease.Method:Sphenoidotomy and posterior
ethmoidotomy by endoscopic trans-horizontal basal lamella approach were performed
in 64 patients who were diagnosed with isolated sphenoid sinus.The horizontal
course of the basal lamella is perforated and opened,and the superior meatus is
entered.Then sphenoidotomy and posterior-ethmoidotomy were performed by the
landmark superior turbinate.Result:All patients were successfully operated
without serious complications.After 1 year follow-up,no recurrence were found in
30 cases of fungal sphenoid sinusitis patients,12 cases of chronic sphenoidal
sinusitis patients,13 cases of sphenoid sinus cyst patients,6 cases of sphenoid
sinus polyps patients,and 3 cases of sphenoid sinus inverted papilloma
patients.The ostium of sphenoid sinus maintained well without
atresia.Conclusion:Trans-horizontal basal lamella approach to sphenoid sinus is
safe and effective in the treatment of isolated lesion,and the opening of
sphenoid sinus and posterior-ethmoid sinus were enlarged better by this
technique.
PMID- 29797981
TI - [The clinical treatment experience of low-middle frequency sudden sensorineural
hearing loss with steroid combined with dehydrant in 82 cases].
AB - Objective:The aim of this study is to explore the treatment of low-middle
frequency sudden sensorineural hearing loss with steroid combined with
dehydrant.Method:Eighty-two patients with diagnosis of low-middle frequency
sudden sensorineural hearing loss were selected;All patients were randomly
divided into systemic steroid therapy group and steroid combined with dehydrant
therapy group.All patients received Alprostadil,Ginaton and
Mecobalamin.Intravenous steroids was given in systemic steroid therapy
group,while intravenous steroids and dehydrant were given in steroid combined
with dehydrant therapy group.Finally,the results were collected and
analyzed.Result:The total effective rate was 92.31% in systemic steroid therapy
group,and 93.02% in steroid combined with dehydrant therapy group.There is no
significant difference between the twogroups(P<0.05).The average time of hearting
recovery was (7.03+/-1.22)days in systemic steroid therapy group,while(6.17+/
1.15)days in steroid combined with dehydrant therapy group,and significant
difference was detected between the two difierent
treatments(P<0.05).Conclusion:The treatment of low-middle frequency sudden
sensorineural hearing loss with steroid combined with dehydrant can achieve a
favorable prognosis,and may shorten the treatment time.
PMID- 29797980
TI - [Endoscopic septoplasty combined with nasal bone reduction for the treatment of
nasal bone fracture complicated with nasal septum deviation].
AB - Objective:The aim of this study is to discuss the methods and effect of one-stage
operation in the treatment of nasal bone fracture complicated with nasal septum
deviation.Method:Forty cases of nasal bone fracture complicated with nasal septum
deviation patients received nasal endoscopic septoplasty combined with nasal bone
reduction.All cases were followed up for 6 months or more.Resuslt:Forty cases of
patients had smooth nasal airway,satisfactory nasal appearance and no collapse of
nose bridge or septum perforation.Conclusion:The endos-copic septoplasty combined
with nasal bone reduction can be simultaneously used in the treatment of nasal
bone fracture complicated with nasal septum deviation in early stage.The
operation has the advantages of good vision,accurate operation,minimally invasive
and less complications.
PMID- 29797982
TI - [The application of 3D simulationtemporal-bone-system for temporal bone anatomy
training].
AB - Objective:The aim of this study is to investigate the application of 3D
simulation temporal-bone-system for temporal bone anatomy training.Method:3D
simulation temporal-bone-system in the anatomy workshop was introduced in Beijing
Children's Hospital from 2014 to 2015.Each participant was trained by cadaver
dissection and 3D simulation temporal-bone-anatomy,and they also completed the
questionnaires and evaluated the procedure.Then the difference was
analyzed.Result:1In stage of mastoid outline,the damage of structure in 3D
systemis higher than that in cadaver dissection(33.3%>22.2%),but there were no
significant difference between them in the proportion of exposure.On the opening
of attic,facial recess,facial nerve,the damage and the proportion of the non
exposed of cadaver dissection is higher.2There were no significant difference
between them in the anatomical structures,levels of pollution,taste,cost and
reproducibility(P>0.05),but in the aspect of time,relevance of CT with temporal
bone,the similarity,and the force feedback,they had significant
differences(P<0.01).Conclusion:3D simulation temporal-bone-system had better
training effect in specialized otolaryngologist.It not only to help clinicians
better understanding the temporal bone and surrounding structures,but also to
emphasize the knowledge of the anatomy in temporal bone.
PMID- 29797983
TI - [Utility of inferior turbinate mucosal flap on the repairing defects of palate
after tumor excision].
PMID- 29797984
TI - [Application of tragus cartilage in the repair of cerebrospinal otorrhea:a report
of 7 cases].
PMID- 29797985
TI - [Childhood nasal lymphoma:two cases report and literature review].
AB - Here we report two childhood lymphoma cases,which present with a nasal cavity
associated with obstructive symptoms and intermittence pyrexia.Application of
antibiotic can temporarily alleviate these symptoms but it can not cure this
disease radically.Computed tomography of nasopharynx showed inferior turbinate
hypertrophy and absence of obvious specific manifestation.The examination of
blood and marrow cells did not find any abnormity.The precision diagnostic
evaluation is biopsy of clinically involved mass.The definitive pathological
diagnosis is NK/T cell lymphoma.The two younger children patients have shorter
course of disease,lacking typical cinical presentation and auxiliary examination
of it.We should be vigilant whether a child having nasal obstruction and pyrexia
is probably NK/T cell lymphoma or not.
PMID- 29797986
TI - [Four-handed procedure for nasal endoscopic surgery].
AB - Nasal endoscopic surgery was born in the 1950 of the last century in Europe.The
original Hopkins and other scholars proposed nose rigid endoscopy to the
application of modern advanced "chameleon" nasal endoscopic,roughly experienced
60 years of evolution and development.The development and improvement of
endoscopic surgery also in constant evolution,by traditional single hand
operation that patients in one hand and holding a mirror,on the other hand took
the instrument to attract or punch,to modern groping in the dark hands operation
technology,namely assistant control nasal endoscope,surgery were both hands
operation,this is called the four hand operation to solve the a lot of nasal
endoscopic surgery problem,lay a solid foundation to the continuous expansion of
the endoscopic surgery.Nasal endoscopic surgery is the optimal operation mode of
the nasal cavity and even the skull base surgery.It is a great breakthrough in
the history of endoscopic surgery,and it is also a major improvement in the
development of microsurgical technique.
PMID- 29797987
TI - [Present situation of laryngotracheal stenosis' diagnosis technology].
AB - Laryngotracheal stenosis is a complex and dangerous disease,which caused by
trauma or endotracheal intubation.Accurately and clearly to show the length and
area of the airway stenosis,which is of great significance for the correct
qualitative and quantitative diagnosis of the disease and the development of the
treatment.The relevant inspection has its advantages and disadvantages.How to use
inspection accurate and reasonable,there is no unified standard.Therefore,this
paper will evaluate the role and significance of different relevant
inspection(pulmonary function tests,ultrasound,bronchoscopy,CT,MRI) in the
diagnosis of laryngotracheal stenosis,providing reference for laryngeal and
tracheal stenosis diagnosis and treatment.
PMID- 29797988
TI - [Present state and perspectives of otorhinolaryngology head and neck surgery].
PMID- 29797989
TI - [Age dependent changes in mandarin speech perception in normal hearing people].
AB - Objective:To investigate if and when the mandarin speech perception ability
deteriorates with age in adults with audiometrically normal hearing
sensitivity.Method:The participant group included 75 normal hearing adults
sampled from across the entire range of adulthood, of which there are 20 males
and 55 femals. The participants were divided into 5 groups with 15 participants
per age group: 20-30 years, 31-40 years, 41-50 years, 51-60 years, and 61-70
years. We applied speech perception test using Mandarin Hearing in Noise
Test.Result:The speech perception scores were significantly different among the
five groups in 0 dB and -5 dB conditions (P<0.001), but they weren't
significantly different among the five groups in quiet, 10 dB and 5 dB
(P>0.005).There was a significant negative correlation between speech perception
and age in -5 dB conditions.Conclusion:When the background noise becomes more
severe even for participants with audiomerically normal hearing, it was shown
that speech perception declined with age.
PMID- 29797990
TI - [Influence of anti anxiety and anti depression treatment on sudden hearing loss].
AB - Objective:To study the influence of anti-anxiety and anti?depression treatment on
patients diagnosed as sudden hearing loss with anxiety and depression
symptoms.Method:A prospective and controlled study was carried out. A total
number of 248 patients with anxiety and depression symptoms were randomly divided
into experimental group or control group by Stochastic tables law. A number of
126 patients in experimental group accepted anti anxiety and anti depression
treatment, while 122 patients in control group did not accepted anti anxiety and
anti depression treatment. The hearing and tinnitus effects were evaluated.SPSS
13.0 statistical software was used for data processing.Result:According to the
results of pure-tone threshold audiometry, in the 126 cases of experimental
group, 48 were cured (38.1%), 25 were markedly improved (19.8%), 23 were
effective (18.3%), and 30 were invalid (23.8%).The total effective rate was 76.2%
(96/126). In the 122 cases of control group, 34 were cured (27.9%),17 were
markedly improved (13.9%),18 were effective (14.8%),and 53 were invalid
(43.4%).The total effective rate was 56.6%(69/122).The two groups had no
significant difference in recovery rates but it showed significant difference in
total effective rates. Moreover, the total effective rate of the flat type of
sudden hearing loss in experimental group was superior to that in control group,
however there was no significant difference in total effective rates of other
three types between two groups. The patients who had concomitant symptom of
tinnitus in experimental group and control group accounted for 85.7% (108/126)
and 84.4%(103/122), respectively. However, no significant difference was found in
proportions of patients with tinnitus between the two groups. According to the
tinnitus results, in the 108 cases of experimental group, 32 were cured
(29.6%),19 were markedly improved (17.6%), 36 were effective (33.3%), and 21 were
invalid (19.4%). The total effective rate was 80.6%(87/108). In the 103 cases of
control group, 19 were cured (18.4%), 15 were markedly improved (14.6%),22 were
effective(21.4%),and 47 were invalid (45.6%).The total effective rate was 54.4%
(56/103).The two groups had no significant difference in recovery rates but it
showed significant difference in total effective rates. There was no significant
difference in total effective rate of the low-middle frequency sudden hearing
loss between two groups, but the total effective rates of other three types in
experimental group were all higher than those in control group.Conclusion:The
total effective rates of hearing and tinnitus can be improved after combination
treatment with anti-anxiety and anti-depression in sudden hearing loss patients
with anxiety and depression symptoms. Moreover, different types of the hearing
curves of sudden deafness have different improvement degrees.
PMID- 29797991
TI - [Analysis of virulence genes of Staphylococcus aureus in nasal secretions?of
patients with chronic rhinosinusitis].
AB - Objective:To investigate the differences of virulence genes of Staphylococcus
aureus in patients with chronic sinusitis with nasal polyps (CRSwNP) and chronic
sinusitis without nasal polyps (CRSsNP), and to explore the relationship between
different virulence factors and the incidence of sinusitis and nasal
polyps.Method:The PCR method was used to detect 17 kinds of virulence genes of
Staphylococcus aureus isolated from nasal secretions of 41 strains.Result:1The
detection rate of SEB in the experimental group was significantly higher than
that in the control group, the detection rate of SEB in the CRSwNP group was
higher than that in the CRSsNP group, and the other 5 kinds of enterotoxin genes
were not statistically significant between the experimental group and the control
group;2Staphylococcus aureus adhesin in the experimental group and the control
group were detected, and the detection rate was relative higher in both control
and experimental goups. However, the detection rate were not statistically
significant between the experimental group and the control group;3The detection
rate of HLalpha and HLbeta genes encoding hemolysin was higher in the
experimental group and the control group, and there was no significant difference
between the 2 groups in the experimental group and the control
group.Conclusion:Staphylococcus aureus carrying enterotoxin gene was more likely
to cause CRS. The greater the incidence of nasal polyps was found in patients
infected by Staphylococcus aureus carrying more enterotoxin gene.
PMID- 29797993
TI - [The study of wideband acoustic immittance normative data of young people].
AB - Objective:To explore the normative data of wideband acoustic absorption rate of
young people with normal hearing, and the related factors of wideband acoustic
immittance.Method:Eighty six cases of young people with normal hearing (43 cases
of male, 43 cases of female) were collected in the clinical audiology centers of
Beijing Tongren Hospital, Capital University of Medical. The average age is
(22.0+/-1.5) years. Pure tone audiometry in the range of 250 to 8 000 Hz in each
octave is carried out, and the air conduction thresholds are less than 25 dB HL;
226 Hz tympanometry were type A. For all subjects, the wideband acoustic
immittance measurement was conducted at 226-8 000 Hz, and a total of 107
frequency points were carried out.Result:The test results of 172 ears were
statistically analyzed.1In the range of 250-8 000 Hz,acoustic absorption rate in
the low frequency range increases gradually, and the peak is found in the range
of 1 000 to 3 000 Hz;getting along with the increase of frequency and acoustic
absorption rate gradually decreases slowly.2The acoustic absorption rate in the
range of 4 200-4 900 Hzin female subjects was significantly higher than that in
male subjects.3There was no significant relationship between gender, compliance,
peak pressure, and width in 226 Hz tympanometry (P=0.319, 0.831, 0.786), however
there was a significant relationship between gender and the ear canal volume
(P<0.01).4There was no significant relationship between gender and the resonance
frequency (P>0.05).5There was a significant correlation between height/weight and
ear canal volume (P<0.05), and there was a significant relationship between the
ear canal volume and the resonance frequency (P<0.05).Conclusion:The acoustic
absorption rate of young people in the low frequency range was lower, then
increases gradually, and the peak was found in the range of mid-high frequency.
The difference in the wideband absorption rate between genders was significant.
The height and weight had influence on the results of wideband acoustic
immittance.
PMID- 29797992
TI - [Clinical analysis of nose rhabdomyosarcoma].
AB - Objective:To improve the diagnosis of the nose rhabdomyosarcoma.Method:Twenty
four patients with nose rhabdomyosarcoma were studied
retrospectively.Result:Among 24 patients with nose rhabdomyosarcoma, three
patients were in stage I, four patients were in stage II, eleven patients were in
stage III, and six patients were in stage IV. Embryonal rhabdomyosarcoma is the
commonest in all the pathological types. Most patients need comprehensive
therapy, including surgery operation, radiotherapy, and multicycle chemotherapy.
Prognosis was poor in most of the cases. The survival rate of one year was 70.8%
(17/24), and survival rate of three years was 30.3% (8/24).Conclusion:Different
surgical protocols should be adopted for different patients, and postoperative
chemoradiotherapy should be adopted for advanced treatment. By means of
multidisciplinary collaboration, the patient's survival time would be prolonged.
PMID- 29797994
TI - [Three combined imaging studies' diagnostic value for preoperative position of
hyperthyroidism].
AB - Objective:To investigatethe diagnostic value of three combined imaging studies'
preoperative localization for secondary hyperparathyroidism.Method:This study
lies on the retrospective analysis about 72 patients with secondary
hyperparathyroidism who had parathyroid gland resection in our hospital from 2010
to 2017. All of 72 cases are examined by color doppler ultrasound,99mTC-MIBI
nuclide imaging and magnetic resonance imaging. According to the gold standard
pathological diagnosis after surgery, we compute the sensitivity and the
specificity of various imaging examination and analyse these statistics by rate
card square test with SPSS 19.0 software.Result:The parathyroid gland number of
pathological diagnosis is 282. The sensitivities of magnetic resonance imaging,
99mTC-MIBI nuclide imaging, color doppler ultrasound and three combined imaging
are 72.70%, 47.52%, 44.33% and 82.27%, respectively. The specificities of the
examinations are 78.16%, 91.75%, 95.14% and 67.96%, respectively. The sensitivity
of the combined three imaging studies is greater than the sensitivity of each
single imaging studies, and there is a statistical significance between them. The
specificity of the combined three imaging studies is smaller than the sensitivity
of single imaging studies. There is a statistical
significance,either.Conclusion:Three combined imaging studies' preoperative
localization for secondary hyperparathyroidism has higher diagnostic value for
the preoperative localization about secondary hyperparathyroidism. It can be a
effective way to SHPT's surgery.
PMID- 29797995
TI - [A 212 cases analysis of treatment for traumatic optic neuropathy by nasal
endoscopic opticnerve decompression].
AB - Objective:To explore the clinical features and therapeutic efficacy of nasal
endoscopic optic nerve decompression for traumatic optic neuropathy
(TON)treatment.Method:Two hundred and twelve cases (217 eyes) with TON were
retrospectively analyzed in our study, who were treated with nasal endoscopic
optic nerve decompression in our institution from 1999 to 2016. chi 2 -test was
used to explore the potential prognostic factors on visual acuity.Result:All
patients were followed up from 6 months to 2 years postoperatively, and the
effective rate was 54.38%(118/217).In the 166 eyes with no light perception
(NLP), the effective rate was 46.39% (77/166), while in the 51 eyes with light
perception (LP), the effective rate was 80.39% (41/51).The therapeutic efficacy
of patients with LP or above LP was better than that of NLP, and the difference
was statistically significant(chi 2=18.186, P< 0.01).Moreover, the therapeutic
efficacy of patients without an optic canal fracture was better than that with an
optic canal fracture,and the difference had statistical significance (chi
2=10.096, P<0.01).Conclusion:The efficacy of nasal endoscopic optic nerve
decompression on TON was positive, and even for the patients with NLP or a long
history also should have a try.
PMID- 29797996
TI - [Clinical study of chondrosarcoma in the jugular foramen].
AB - Objective:To explore the diagnosis and surgical treatment of chondrosarcoma in
the jugular foramen (JF).Method:This study lies on the retrospective analysis
about 72 patients with secondary hyperparathyroidism who had parathyroid gland
resection in our hospital from 2010 to 2017. All of 72 cases are examined by
color doppler ultrasound,99mTC-MIBI nuclide imaging and magnetic resonance
imaging. According to the gold standard pathological diagnosis after surgery, we
compute the sensitivity and the specificity of various imaging examination and
analyse these statistics by rate card square test with SPSS 19.0
software.Result:The main complaints in the patients included hearing loss, facial
palsy and tinnitus. All patients achieved total removal with IFTA-A as initial
treatment. Three cases underwent facial nerve grafting and preoperative cranial
nerve dysfunction didn't aggravate in our cases. No recurrence or major
complication has been identified till the latest follow
up.Conclusion:Chondrosarcoma in the JF is a rare entity. Misdiagnosis frequently
occurs in clinical practice. Special attention should be paid to the clinical
characteristics and radiological findings when encounters a lesion in the jugular
foramen. It is believed that the complete resection of the tumor should be the
initial choice for patients with chondrosarcoma in the JF.
PMID- 29797997
TI - [Study on the incidence and characteristics of carotid canal dehiscence with high
resolution CT].
AB - Objective:To discuss the total incidence and characteristics of carotid canal
dehiscence in Chinese adults with high resolution CT. At the same time, it
provide basic data for otology clinical medical personnel to further understand
the anatomical structure of ear and carry out auditory surgery.Method:Temporal
bone HRCT images of 643 consecutive subjects who underwent CT scanner were
analyzed retrospectively. The coronal, sagittal, and oblique sagittal plane were
reconstructioned for some suspected subjects. Contrast the incidence of carotid
canal dehiscence in different sex, bilateral and age groups.Result:In 643
patients, 43 cases found the carotid canal dehiscence, and the total incidence of
carotid canal dehiscence was 6.7%.The incidence of carotid canal dehiscence for
male and female were 5.9%(16/269)and 7.2%(27/374)(P > 0.05),and there was no
statistically significant difference in the incidence of carotid canal dehiscence
between sexes. The incidence of carotid canal dehiscence for age 40-59 was much
higher than those of other age groups (P< 0.05),however, there was no significant
difference between groups of age 20-39 and >=60(P> 0.05).The location of the
carotid canal dehiscence in 43 cases was located in the mesotympanum near the
Eustachian tube orifice. Carotid artery tube ruptures are mostly small defects,
but 11 cases with major defect.Conclusion:The toatal incidence of carotid canal
dehiscence is 6.7% in Chinese adults (males: 5.9%,females: 7.2%), especially high
incidence at group of age 40-59 (10.7%). Carotid canal dehiscence can be
diagnosed accurately by HRCT and multiplanar reconstruction.
PMID- 29797998
TI - [The relation between cochlear distortion products and frequency tuning
characteristics revealed by laser interferometery].
AB - Objective:To explore the relation between distortion products and frequency
tuning charateristics in cochlear basilar membrane.Method:Time variances curves
of distortion products and frequency tuning curves of basilar membrane response
were measured by laser interferometery in situ guinea pig cochlea.Result:Response
sensitivity and tuning sharpness of basilar membrane response decreased with
distortion product amplitude and become most low and flat when distortion
products disappeared.Conclusion:Distortion products in cochlea are related with
hearing sensitivity and frequency tuning. They are indicator whether function of
cochlear hearing sensitivity enhancing and frequency tuning sharpening is still
at work.
PMID- 29797999
TI - [Expression of eosinophils and IL-33 levels in peripheral blood of patients with
allergic rhinitis].
AB - Objective:To investigate the relationship between the count of eosinophils(EOS)
in peripheral blood and the serum levels of IL-33, and to discuss the relations
among serum levels of IL-33, the count of EOS, visual analog scale (VAS) in
different groups.Method:According to different treatments, the patients are
divided into three groups: the untreated allergic rhinitis (AR) group (group A),
the AR group who had been treated subcutaneous imunotherapy (SCIT) for at least a
year (group B) and the AR complicated with allergic asthma group who had been
treated subcutaneous imunotherapy (SCIT) for at least a year (gourp C). All
subjects were conducted blood cell analysis, and EOS were counted. The serum
levels of IL-33 were measured by enzyme linked immune (ELISA), and the obtained
date were analysed by GraphPad.Prism 5.0 and SPSS 22.0.AR patients were asked to
fill out VAS and were assessed nasal symptoms.Result:The serum levels of IL-33 in
the group A were higher than that in other subjects (P<0.05).The serum levels of
IL-33 in the group B showed no significant difference between the group B and the
group C (P> 0.05).The serum levels of IL-33 in the group B were higher than that
in the control group (P<0.05).The serum levels of IL-33 in the group C were
higher than that in the control group (P<0.05).The count of EOS in the group A
were higher than that in other subjects, and there is no difference between with
each other (P> 0.05).The VAS in the group A were higher than that in the group B
(P<0.05) and there is no significant difference between the group A and the group
C (P<0.05).There is no difference between the group B and the group
C(P<0.05).After at least one-year SCIT, the symptoms of AR patients were
obviously relieved, such as consciously rhinobyon, rhinorrhea, sneezing and so
on. Spearman test showed the serum levels of IL-33 in the AR patients has a weak
correlation with the count of eosinophils (P> 0.05, r=0.287).Conclusion:SCIT is
an effective treatment for AR patients. role on AR, which can alleviate the
symptoms of patients, also can reduce the levels of IL-33 and the count of EOS in
peripheral blood.
PMID- 29798000
TI - [The clinicopathological associations between TGF-beta1 and papillary thyroid
carcinoma: a Meta-analysis.]
AB - Objective:To comprehensively evaluate the association between the positive
expression rate of transforming growth factor beta 1 (TGF-beta1) and papillary
thyroid carcinoma (PTC) by using Meta-analysis.Method:Search the CNKI, Wan fang,
VIP and PubMed database for accumulating papers studying on the relationship
between the positive expression of TGF-beta1 and PTC in Chinese and English.
Revman 5.3 was used to analyze the included trials.Result:A total of 6 studies
comprising 617 samples was included in the meta-analysis. The TGF-beta1 were
found in 243 patients (64.63%) of 376 PTC patients, and the total positive
expression rate is 46.19%. Performing the subgroup analysis according to age,
gender, lymphatic metastasis and TNM, the results showed that there was a
significant association between the positive expression rate of TGF-beta1 and
lymphatic metastasis (OR=5.44, 95%CI3.31-8.93,P <0.000 01).Conclusion:This meta
analysis confirmed significant associations between the expression of TGF-beta1
and PTC with lymph node metastasis. TGF-beta1 may be used as a predictive factor
for prognosis of PTC with lymph node metastasis.
PMID- 29798002
TI - [Autologous external jugular vein grafting to reconstruct segmental common
carotid-internal carotid artery: one case report].
AB - We reported a case of carotid body tumor (Shamblin classification, typeIII)
patient on whom the tumor was tightly adhered to the carotid bifurcation and the
carotid artery broke during the surgery,then autologous external jugular vein was
employed to reconstruct the segmental defect of common-internal carotid artery
after the tumor and involved common-internal carotid artery was resected. The
patient felt no complaint during the two-year follow-up. Duplex scan demonstrated
the patency of transplanted external jugular vein was a good graft without
formation of aneurysm. At the time of 2 years after surgery, the transplanted
vein arterialized without obvious stricture. This case implies that the external
jugular vein grafting is a candidate for reconstruction of segmental carotid
artery.
PMID- 29798001
TI - [A Meta-analysis of comparing effectiveness and safety between minimally invasive
video-assisted thyroidectomy and conventional thyroidectomy in the treatment of
papillary thyroid carcinoma without lymph node metastasis].
AB - Objective:To compare the effectiveness and safety between minimally invasive
video-assisted thyroidectomy (MIVAT) and conventional thyroidectomy (CT) in the
treatment of papillary thyroid carcinoma without lymph node metastasis, providing
clinicians using video-assisted way to treat thyroid papillary carcinoma with a
more reasonable basis.Method:According to the including and excluding
criterion,we searched the published articles which compare the effectiveness of
MIVAT and CT curing PTC in randomized controlled clinical trials. The searching
time was from January 2011 to December 2016, and the data was analyzed by using
revman 5.3 software.Result:Twelve articles involving 1 080 cases were
included,and there were 514 cases in the MIVAT group and 566 cases in the CT
group. The results of meta?analysis showed that: the operation time of MIVAT
group is longer than that of CT group (MD=17.19, 95%CI12.43-21.96, P<0.05);
however the VAS point of MIVAT group is less than that of CT group in twenty?four
hours after surgery (MD-1.07, 95%CI-1.61--0.53, P<0.05). There is no significant
difference in the incidences of transient recurrent laryngeal nerve
injury(OR=2.21,95%CI0.9-5.07,P>0.05),transient hypoparathyroidism (OR=0.78,
95%CI0.48-1.28, P>0.05), serum thyroglobulin after five years followed up (MD
0.05, 95%CI -0.25-0.16?, P>0.05), number of retrieved central lymph nodes (MD=
0.36, 95%CI -0.72-0.01, P>0.05) and number of retrieved central positive lymph
nodes (MD=-0.15, 95%CI -0.45-0.16, P>0.05).Conclusion:Using MIVAT treating
papillary thyroid carcinoma (without lymph node metastasis) is safe when its
indications are strictly controlled.
PMID- 29798003
TI - [First branchial cleft cyst in nasopharynx: a case report].
AB - We report a rare case of first branchial cleft cyst arising from the nasopharynx.
A 47-year old woman with a six-month-history of right ear stuffy and hearing loss
was studied. Electronic nasopharyngeal examination revealed a mass in the
nasopharynx of this case. The tumor was removed endoscopically with endonasal
approach. Postoperative pathological examination indicated that it was branchial
cleft cyst.
PMID- 29798004
TI - [Oropharyngeal alveolar soft tissue sarcoma: a case report].
AB - Alveolar soft tissue sarcoma(ASPS) is a rare kind of highly malignant tumors. It
has the characteristics of young onset age, early metastasis, low five-year
survival rate and poor long-term prognosis. ASPS often occur in the deep soft
tissues of limbs, while less occur in the head and neck. Articles about ASPS most
dominated by cases report, and so far no report seen in oropharyngeal ASPS. This
paper reported one case of oropharyngeal ASPS, and reviewed the literature and
discussed on its clinical manifestations, imaging features, histological
features, diagnosis, therapy and prognosis.
PMID- 29798005
TI - [Research progress of vascular pulsatile tinnitus].
AB - Pulsatile tinnitus (PT) is relatively rare, which accounts for approximately 4%
of patients with tinnitus. It originates from mostly vascular structures within
the cranial cavity, head and neck region or thoracic cavity, and is transmitted
to the cochlear by bony or vascular structures and the blood flow, stimulating
patient's hearing. It can be the patient's subjective feelings, there can be
objective performance.Vascular PT is more common than non-vascular PT. Vascular
PT can be further classified by vessel of origin as arterial and venous ones, and
the latter is more commonly seen clinically.It's rhythm is synchronous with
patients' pulse, and its tinnitus frequency is less than 600 Hz. The cause of
pulsatile tinnitus is complex and diverse, and 56 cases are reported in the
literature. Objective tinnitus or somatosensory, associated with tympanic
membrane myoclonus, ear blood flow changes adjacent to blood vessels and other in
vivo factors. While subjective tinnitus lacks a clear source of the body. PT's
pathogenesis has not been fully understood yet, so intensive study targeting PT
is of great importance. This review focuses on the pathophysiological
mechanisms,etiology,clinical manifestation, diagnosis and progress of treatment
of vascular PT.
PMID- 29798007
TI - [Value research of chemokines in eosinophilic chronic rhinosinusitis with nasal
polyps].
AB - Eosinophilic chronic rhinosinusitis with nasal polyps is an immune inflammatory
disease with high incidence rate and complicated mechanism.The target of
treatment is still unclear.Chemokines, as an important component of immune
inflammatory response, playing an important role in the pathogenesis of
eosinophilic chronic rhinosinusitis with nasal polyps, and being one of the
focuses of the researches.It is discussed in this paper that the role and
possible intervention measures of the chemokines combined their specific receptor
in the occurrence and development in the eosinophilic chronic rhinosinusitis with
nasal polyps, trying to find new therapeutic targets and provide new ideas for
priceseand personalized treatment of the disease.
PMID- 29798006
TI - [The influence of air pollution on the pathogenesis of allergic rhinitis].
AB - The incidence of allergic rhinitis is increasing with the development of the city
and industrial mechanization. The human living environment changes greatly, and
air quality has deteriorated sharply, leading to a series of diseases (such as
allergic rhinitis, asthma, allergic conjunctivitis). Although the pathogenesis of
allergic rhinitis is relatively complex, however it is confirmed that its
pathogenesis has a relation with the air pollution. Allergic rhinitis bring great
inconvenience to patients in daily life. Thus, it is very important to study the
process of air pollution causing allergic rhinitis. This article mainly expounded
the prevalence of allergic rhinitis, outdoor air pollution (SO2, O3, NO2, fine
particulate matter, greenhouse gases) and indoor air pollution (volatile organic
compounds, ETS). Additionally, the mechanism of allergic rhinitis caused by air
pollution was further explored, and how to avoid the happening of the disease was
discussed.
PMID- 29798008
TI - [Contemporary issues in the recording of vestibular evoked myogenic potentials].
PMID- 29798009
TI - [Progress in the study of central auditory processing disorders].
AB - Summary With the development of artifical ossicular and cochlear implant,the
treatment of refractory hearing loss acquire significant breakthrough,thus
central auditory processing disorders are becoming the major cause of refractory
hearing loss.In this paper,research progress of central auditory processing
disorders in clinical and fundamental are reviewed.
PMID- 29798010
TI - [Application of objective audiological tests in bilateral tinnitus patients with
normal hearing capability].
AB - Objective:To analyze the relationship of tinnitus and early stage damage of
cochlear, to explore the clinical applied value of distortion product otoacoustic
emission(DPOAE) and auditory brainstem response(ABR) in the bilateral tinnitus
patients with normal hearing capability. Method:There are 30 cases(60 ears) in
the tinnitus group with bilateral tinnitus patients with normal hearing
capability, and there are 30 cases(60 ears) in the control group without tinnitus
of normal hearing capability. The two groups both test the DPOAE and ABR,and
compare the results of the DPOAE and ABR. Result:The passing rate of DPOAE in all
frequencies was 100% in the control group,42.67% in the tinnitus group.
Significant differences existed between the two groups(P<0.05).The DPOAE could be
checked out at all frequencies under 2 kHz except 0.75 kHz in the tinnitus group,
and the passing rates of DPOAE were significantly lower than those in control
group(P<0.05).There were 3 cases could not be checked out at 0.75 kHz frequency,
but there were no significant difference(P>0.05).The DPOAE amplitudes at
frequencies of 3 to 8 kHz in tinnitus ears were significantly lower than those in
nontinnitus ears(the P value were 0.011,0.013,0.008,0.027 ).Wave I,III and Vcould
be detected in all ears tested at 80 dB nHL. The latencies of WaveIin tinnitus
group were obviously prolonged.The latencies of Wave III and V in tinnitus group
were also prolonged, but there was no significant difference(P>0.05).The interval
between waves Iand III,waves III and Vand wavesIand V showed no difference.
Conclusion:The maybe cochlear early injury in fractional bilateral tinnitus
patients with normal hearing capability, DPOAE and ABR can act as an objective
method for diagnosing peripheral tinnitus.
PMID- 29798011
TI - [The application of mandarin acceptable noise level and COS in hearing aid
fitting for presbyacusis].
AB - Objective:The purpose of this study was to inquiry into the application of
mandarin acceptable noise level(M-ANL) and client oriented scale of improvement
in evaluation of hearing aid fitting for presbyacusis. Method:Thirty-two adults
with presbycusis who used the hearing aid for the first time were selected for
the study. M-ANL tests were done prior to the hearing aid fitting. Six weeks
later, these patients were asked to fill in questionnaire of client oriented
scale of improvement (COSI).The subjects were divided into 3 groups according to
the time of hearing aid using in their daily lives: less than one hour per day, 1
to 4 hours per day and more than 4 hours per day. The relativity of M-ANL and the
score of COSI were compared. Result:1There were significant differences of the M
ANL among 3 groups(P<0.05); 2The scores of COSI of group 1(less than one hour per
day) was lower than group 2(1 to 4 hours per day), while there were no
significant differences between the scores of group 2(1 to 4 hours per day) and
group 3(more than 4 hours per day); 3There was good correlation between the score
of M-ANL and COSI. Conclusion:M-ANL can forecast the satisfaction of hearing aids
fitting. And COSI can play an effective role in outcome measurement.
PMID- 29798012
TI - [Site of prelingual cochlear stimulation and its effect on electrically evoked
compound action potentials and refractory using the Nucleus 24 standard].
AB - Objective:To investigate the correlation between the site of prelingual cochlear
stimulation and its effect on electrically evoked compound action potentials.
Method:Recordings of auditory nerve responses were conducted in 32 prelingual
subjects to demonstrate the feasibility of ECAP recordings using the nerve
response telemetry(NRT) feature of the Nucleus CI24R(CA) system software. These
recordings were then analyzed based on the site of cochlear stimulation defined
as basal, middle and apical to determine if the amplitude, threshold and slope of
the amplitude growth function and the refractory time differs depending on the
region of stimulation. Result:Findings of our prelingual children showed
significant differences in the ECAP recordings depending on the stimulation site.
Comparing the apical with the basal region, on average higher amplitudes, lower
thresholds and steeper slopes of the amplitude growth function hadbeen observed.
The refractory time showed an overall dependence on cochlear region; however post
hoc tests showed no significant effect between individual regions.
Conclusion:Obtaining ECAP recordings is also possible in the most apical region
of the cochlea. However, differences can be observed depending on the region of
the cochlea stimulated. Specifically, significant higher ECAP amplitude, lower
thresholds and steeper amplitude growth function slopes have been observed in the
apical region. These differences between prelingual children and adults could be
explained by the location of the stimulating electrode with respect to the neural
tissue in the cochlea, a higher density, or an increased neural survival rate of
neural tissue in the apex.
PMID- 29798013
TI - [Relationship and significance among IL-6,PI3K/Akt and GSK 3beta in chronic
rhinosinusitis].
AB - Objective:To explore the relationship and significance among the expressions of
cytokines IL-6,PI3K/Akt signaling transduction pathway, and GSK3beta in chronic
rhinosinusitis.Method:The proteins for IL-6,PI3K,Akt,GSK3beta were assayed by
Western blot, and mRNAs of cytokines IL-6,IL-6 receptor were measured by real
time quantitative polymerase chain reaction(qPCR) in nasal tissue from the
patients with chronic rhinosinusitis with nasal polyps(CRSwNP),chronic
rhinosinusitis without nasal polyps(CRSsNP) and control subjects. Result:IL
6,PI3K,Akt,GSK3beta proteins in CRS were higher than those in the control
subjects, the differences were statistically significant(P<0.05). There was no
significant difference between the groups of CRSsNP and CRSwNP. There were
significant differences of cytokines IL-6 mRNA expression between CRSsNP, CRSwNP
and control group(P<0.05).IL-6 exists in two forms glycosylated(55 kd) and non
glycosylated(25 kd) in CRS. CRSsNP group mainly glycosylated (glycosylated and
non-glycosylated protein expression ratio is about 2.4:1),CRSwNP group mainly non
glycosylated(glycosylated and non-glycosylated protein expression ratio values
about 0.4:1).There was a positive correlation trend among the expressions of IL
6,PI3K,Akt and GSK3beta in CRS. Conclusion:The abnormal expressions of IL
6,PI3K,Akt and GSK3beta in the nasal mucosa of CRS may play a pro-inflammatory
role in the occurrence and development of CRS. The glycosylated IL-6 and the non
glycosylated IL-6 may be both involved in the inflammation of CRS.
PMID- 29798014
TI - [Analysis of BPPV in patients with bone mineral density results].
AB - Objective:Analyze BPPV in patients with ultrasonic bone mineral density to
investigate the relationship between the BPPV and bone density. Method:A total of
88 included subjects were selected from patients admitted to the
Otolaryngological Department of Renhe Hospital, Beijing. Meanwhile, 76 healthy
persons were included as control. The control group had similar age and gender
distributions to the test group, and all healthy subjects had no history of
vertigo in recent one year. Both groups underwent regular otolaryngological
examinations, videonystagmography(VNG),ultrasound bone densitometer test.
According to gender, age, listening to group. Result:1Bone density of the test
group was significantly lower than the control group(-2.010+/-1.658 vs 0.3605+/
0.875),the difference was statistically significant(P<0.01);2Incidence rates of
bone mass loss(35 in 88,39.77%)and osteoporosis(26 in 88, 29.55%)bone mineral
density decreased incidence of 69.32%, in the test group was significantly higher
than that in the control group(bone mass loss, 13 in 76, 17.10%; osteoporosis 6
in 76,7.89%), bone mineral density decreased incidence of 25.00%,the difference
was statistically significant(P<0.01);3The test group and control group according
to different age groups, the test group all ages bone density T values
significantly lower than the control group(P<0.01),the difference was
statistically significant. In the age groups, the incidence of bone loss was
higher in the test group than that in the control group, the difference was
statistically significant(P<0.01).4In the gender group, bone density of the test
group women were significantly lower than the control group, the difference was
statistically significant(P<0.05); especially after the age of 60.5In the test
group, 27 cases of bone mineral density is normal, with normal hearing 19 cases
(70.37%), hearing loss 8 cases(29.63%);61 cases of bone loss, including normal
hearing 48 cases (78.69%),hearing loss 13 cases (21.31%). The difference between
hearing loss and bone loss had no statistical significance(P>0.05).6The logistic
regression results showed that the prompt Higher bone mineral density T value was
BPPV protection factors, OR=0.686,(P<0.01,95%CI: 1.32-5.85). Conclusion:BPPV in
patients with bone mineral density value is lower than the normal control group,
there is a higher incidence of bone loss, at the same time, along with the age
increasing on the rise, especially women.
PMID- 29798015
TI - [Study on the influence of laryngeal lesions on the prognosis of
pneumomediastinum in dermatomyositis].
AB - Objective:To investigate the influence of laryngeal lesions on the prognosis of
pneumomediastinum in patients with dermotomyositis(DM). Method:The clinical data
of patients with DM were retrospectively analyzed. Hoarseness, laryngeal lesions
and pneumomediastinum in patients with DM were analyzed to evaluate the influence
of laryngeal lesions and hoarseness on the prognoses and diagnosis of
pneumomediastinum in patients with DM. Result:Forty-two patients with a diagnosis
of DM were included in the study.Twenty of all the patients were diagnosed of
interstitial lung disease(ILD) with the incidence of 47.6%. Four of the patients
displayed hoarseness with the incidence of 9.5%. Three cases were complicated by
pneumomediastinum with the incidence of 7.1%. All patients have normal vocal cord
movement. One of the 4 patients with hoarseness showed congestion of her vocal
folds, and the other 3 patients showed white change on both of the vocal folds.
All the 3 patients with white change on both vocal folds complicated by
pneumomediastinum and died on respiratory failure. There were statistical
differences(P<0.01) on the prognosis and occurrence of pneumomediastinum between
patients with or without hoarseness and laryngeal lesions. Conclusion:Hoarseness
and laryngeal lesions may associate with poor prognosis and occurrence of
pneumomediastinum in DM.
PMID- 29798016
TI - [Effect of tympanostomy tube insertion with adenoidectomy for children with
recurrent otitis media with effusion].
AB - Objective:To observe the clinical effect of tympanostomy tube insertion with
adenoidectomy for children with recurrent otitis media with effusion. Method:One
hundred and twenty-six cases of children with recurrent otitis media were
randomly divided into observation group and control group(63 in each group),
subsequently compared the therapeutic effect of the two groups which observation
group had tympanostomy tube insertion with adenoidectomy and the other group had
only tympanostomy tube insertion. Result:In terms of the total effective
rate,observation group and control group were 96.82% and 76.19% respectively, the
difference had statistical significant(P<0.05);compared to control group, the
recurrent rate of observation group after treatment was extremely lower, the same
as middle ear cavity effusion time, which both had statistical significance
(P<0.05); the complete healing time of two groups had no statistical
significance(P>0.05). Conclusion:Tympanostomy tube insertion with adenoidectomy
has significant treatment effect for children with recurrent otitis media with
effusion, it could reduce the recurrent rate after surgery and shorten the time
of middle ear cavity effusion.
PMID- 29798017
TI - [PM2.5 and polluted gas exposure induced acute injury in rats'larynx membrane].
AB - Objective:To study acute injury of rat's larynx membrane which were exposured to
PM2.5 and polluted gas in serious air pollution. Method:Rats were divided into 3
groups of 5 animals each, the normal control group, gas exposured group, PM2.5
+gas exposured group. The control group served as the normal healthy control,
rats of the gas exposured group had been exposured polluted gas in the A cabin,
rats of PM2.5 +gas exposured group had been exposured PM2.5 and polluted gas in
the B cabin. The total exposure time was six days. We collected specimen from 3
groups immediately after exposure. Histopathological changes in the rat's larynx
membrane were assessed using hematoxylin and eosin staining(HE), the expression
of IL-1beta in laryngeal mucous membrane were assessed using immunohistochemical
staining(IHC), and the ultrastructure changes were observed under TEM. Result:HE
staining showed the rat's laryngeal mucosa cells in the normal control group had
no obvious abnormalities, laryngeal mucosa of rats in the two experimental group
had different degrees of cell proliferation, cell polarity change, etc. IHC
staining showed that there's no obvious expression of IL-1beta in laryngeal
mucous membrane in the normal control group, and positive expression in the two
experimental group. TEM showed the control group had no obvious abnormalities,
the laryngeal mucosa cells in the two groups of experimental groups had been
found irregular nucleus and damaged mitochondria. Conclusion:Exposed to PM2.5 and
polluted gas in severe atmospheric pollution 6 days, the acute damage in rats
laryngeal mucosa can be observed.
PMID- 29798018
TI - [Effect of hydrogen peroxide on the lateral line hair cell regeneration of
zebrafish].
AB - Objective:To investigate effect of hydrogen peroxide (H2O2) in the lateral line
hair cell growth and regeneration after damage on zebrafish. Method:Select 5 dpf
zebrafish, each group of 10, randomly divided into A control group: the system of
water culture. B H2O2 group: 10 MUmol/L, 20 MUmol/L H2O2 solution to replace
three times a day. C neomycin group: treatment with system water after 1 h
culture by 200 MUmol/L neomycin. D neomycin + H2O2 group: 20 MUmol/L H2O2
solution to replace three times a day after 200 MUmol/L neomycin treatment for 1
h. E cisplatin group: treatment with system water after 3 h culture by 1 000
MUmol/L cisplatin. F cisplatin + H2O2 group: 20 MUmol/L H2O2 solution to replace
three times a day after 1 000 MUmol/L cisplatin treatment for 3 h. Each group in
H2O2 treatment for 0 h, 24 h, 48 h was marked their hair cells by
immunofluorescence method and count the P1, P7, P8 neuromasts under the
fluorescence microscope. Repeat 3 times. Result:The number of hair cells on P1,
P7, P8 three neuramasts among 5 to 7 dpf zebrafish were 9.364+/
0.901(n=11),9.645+/-0.598(n=15),9.922+/-0.862(n=13), no obvious difference
(P>0.05); 10MUmol/L, 20MUmol/L H2O2 treated zebrafish for 48 h, the numbers were
11.540+/-0.741,11.905+/-0.607,compaired with the control group(10.841+/-0.389),
P<0.05; neomycin+ H2O2 48 h and neomycin 48 h respectively were 10.600+/
0.689,8.767+/-0.603, P<0.01; cisplatin+ H2O2 48 h and cisplatin 48 h were 5.967+/
1.086,5.633+/-1.548, P>0.05. Conclusion:20 MUmol/L H2O2 promotes the development
of lateral line hair cells of zebrafish; H2O2 promotes the regeneration of the
lateral line hair cells after injury of neomycin, but not cisplatin.
PMID- 29798019
TI - [Infection of chronic otitis media with Acinetobacter:6 cases report].
AB - Objective:To investigate the clinical characteristics, diagnostic methods and
prognosis of patients with chronic otitis media with Acinetobacter infection.
Method:Retrospective analysis of clinical data of 6 cases of chronic otitis media
complicated with Acinetobacter infection. Including history, clinical
manifestations, laboratory examination, audiology, inner ear, CT, MRI imaging
characteristics, treatment and prognosis of etc. to summarize the clinical
characteristics, diagnosis and treatment of chronic otitis media with
Acinetobacter infection. Result:The age was between 17 years old and 61 years old
(Median age 30 years) .Two of them was male and 4 were female. Four cases were
had underlying diseases. Five cases with main symptom of cholesteatoma, 1 case
with earache symptoms, and 1 case with facial paralysis symptoms. Four cases had
vary degrees of physical decline and destruction of bone. After surgery
treatment, five patients improved ear pus, among 3 cases was cured, 2 cases of
recurrence. Conclusion:Chronic otitis media with Acinetobacter infection occurs
in the patient with elderly, poor physical constitution. However, the recurrence
rate of conventional treatment is higher. The disease has high misdiagnosis rate.
Operation combined with sensitive antibiotic therapy is a radical cure method.
PMID- 29798020
TI - [Clinical analysis of 872 cases with epistaxis and application of hypothermy
plasma].
AB - Objective:To explore the best method to cure nasal bleeding based upon the
patients age, complications, bleeding reasons, bleeding site. Method:A
retrospective study of 872 patients with a diagnosis of nasal bleeding in our
hospital.The location of bleeding was determined according to the specialized
examination,nasal endoscopy and surgical exploration, and the reasons of
epistaxis and its characteristics were also analyzed according to the history,
biochemical examination, physical examination, imaging examination. Result:The
case number significantly increased with age. The main reason for nasal bleeding
were deviation of nasal septum, hypertension, taking anticoagulant drugs,
vascular tumor and diabetes mellitus. The main bleeding sites are the unknown
parts, the olfactory cleft, little area, meatus nasi inferior, basis nasi and
spur. The main methods for the treatment of nosebleed are endoscopic surgery and
nasal packing. Conclusion:Because of the different nasal bleeding reasons and
bleeding sites among individuals, individualized treatment methods are required
to cure nasal bleeding. With the advantages of the clear vision, accurate
location, fast stop bleeding,endoscopic method has become the first treatment for
nosebleed.
PMID- 29798021
TI - [Expression and clinical significance of HIF-1alpha in laryngeal carcinoma].
AB - Objective:To investigate the expression and clinical significance of HIF-1alpha
in laryngeal carcinoma(LC). Method:Databases including PubMed, EMbase, Web of
Science, VIP, CNKI and Wan-Fang Data were searched from 2000.1 to 2015.12, and
all relevant references were also retrieved to collect relevant case-control
studies. The included studies were screened according to the exclusion criteria.
Then the meta-analysis was conducted by RevMan 5.3 software. Result:A total of 5
case-control studies involving 202 LC tissues and 75 normal laryngeal tissues
were included. The results of the meta-analyses showed that, as for the positive
rate of HIF-1alpha expression, it was higher in LC tissues than that in normal
esophageal tissues [OR=22.4,95%CI (9.79,51.25),P<0.01], in LC tissues with lymph
node metastasis than in that without lymph node metastasis [OR=7.10,95%CI (3.10,
16.30), P<0.01], in moderate and low differentiated LC tissues than those in high
differentiated LC tissues[OR=4.40, 95%CI (2.28, 8.49), P<0.01].The expression of
HIF-1alpha in clinical stage III-IV was significantly higher than that in
clinical stage I-II[OR=5.89, 95%CI (2.44, 14.24), P<0.01]. Conclusion:The present
limited evidence shows that the HIF-1alpha expression is associated with LC,
lymph node metastasis, degree of cell differentiation and clinical stage of LC,
indicating that LC may take a role in the whole course of carcinogenesis of LC.
Further well-designed and high quality case-control studies are needed to prove
the results.
PMID- 29798022
TI - [Diagnosis and surgical reconstruction results for 14 cases of patients with
congenital ossicular chain malformation].
AB - Objective:To investigate the diagnosis of common congenital ossicular chain
malformation and the efficacy of ossicular chain reconstruction. Method:Fourteen
cases with 15 ears of congenital ossicular chain malformation were included,
consisting of 10 cases unilateral conductive hearing loss(10 ears), 1 case
bilateral conductive hearing loss (2 ears) and 3 cases unilateral mixed hearing
loss with significant conductive hearing loss(3 ears). Tympanoplasty was proceed
on all 15 ears, 1 ear was proceed by loosen the cartilage fixation of ossicular
chain and tympanic cavity wall. Fourteen ears were proceed with ossicular chain
reconstruction, including 3 ears with TORP,10 ears with PORP and 1 ear with
artificial stapes operation. The efficacy was measured by comparing the values of
air bone gap(ABG) before and after the operation. Result:The average ABG of pre
operation was 39.9 dB, the ABG for 3 week and 6 to 8 months after operation were
22.3 dB and 19.6 dB, which were 17.6 dB and 20.3 dB improve respectively(t=22.10,
P<0.01 and t=12.813,P<0.01). Conclusion:Operation is the first choice for those
conductive hearing loss causing by simple congenital ossicular chain
malformation, relevant ossicular chain reconstruction due to particular situation
of the surgery can significantly improve hearing.
PMID- 29798023
TI - [The diagnosis and treatment analysis of compound-benign paroxysmal positional
vertigo in 38 cases].
PMID- 29798024
TI - [A case of nasal big angiosarcoma].
AB - Angiosarcoma also called malignant hemangioendothelioma, caused by vascular
endothelial cells or in the direction of vascular endothelial cell
differertiation of mesenchymal malignant tumor, is a rare tumor found in
clinical, can be in any place of the body, such as head and neck, skin and
tissue, breast, bone, liver and other organs and so on, also in the nose, and
sinuses rich blood vessels. But this tumor has a low incidence in the nose.
Because the nose and sinuses location is hidden, the patient often with nasal
bleeding, stuffy nose, headache and so on. With the increase of tumor
involvement, the patient can appears the symptoms of corresponding adjacent
tissue. Therefore this tumor is difficult to be early detected timely. Early
complete surgical excision and supplemented by radiotherapy are preferred methods
as the treatments of the nasal and sinuses angiosarcoma without distant
metastase.
PMID- 29798025
TI - [Primary neuroendocrine carcinoma of the tonsil: two case reports and review of
the literature].
AB - Neuroendocrine carcinoma(NEC) of the tonsil is extremely rare. Only a few cases
of this tumor have been reported so far. In this article, we described two
patients presented with abnormal sensation of throat or throat pain. The
pathological examination were NEC. With review of the literatures, the clinical
characteristics were summarized and therapeutic strategies were discussed.
PMID- 29798026
TI - [Reconstruction of congenital non-syndromic middle ear malformations].
PMID- 29798027
TI - [Clinical analysis of benign paroxysmal positional vertigowith multiple canal
involvement].
AB - Objective:To compare the clinical features and outcomes between patients with
multi-canal benign paroxysmal positional vertigo(BPPV) and single-canal
BPPV.Method:Five-hundred and seventy-eight patients with BPPV were
retrospectively analyzed and divided into three groups: single-canal BPPV(526
cases),multi-canal BPPV in unilateral ear(11 cases) and multi-canal BPPV in both
ears(41 cases).All patients were diagnosed by using the Dix-Hallpike test or roll
test and treated with the canalith repositioning procedure.The following
factors,including aetiology,age,sex,response to treatment and recurrence,were
compared among the three groups.Results:1Greater percentage of idiopathic cases
were identified in single-canal(66.2%:48.2%,P<0.01).Traumatic origin and prior
history of BPPV were more common in bilateral multi-canal(41.6%:9.2%,P<0.05)and
unilateral multi-canal (36.2%:0,P<0.01)respectively.2The recurrence rate was
higher in multi-canal BPPV(40.4%:14.3%,P<0.01).Conclusion:Idiopathic BPPV is more
common in single-canal BPPV.Potential causes may be identified in multi-canal
BPPV.However,over 90% of the patients with single-canal and multi-canal BPPV are
cured.
PMID- 29798028
TI - [The normative values of vestibular evoked myogenic potentialin different age
groups].
AB - Objective:To obtain the normative values of vestibular evoked myogenic
potential(VEMP),i.e.the threshold,latency,latency-interval,amplitude of healthy
population in Tianjin medical university General hospital.These values will help
clinical diagnosis.Method:Seventy-five healthy individuals (39 males and 36
females,age from 21 to 70 years)were enrolled in this study.They were divided
into 3 groups according to age:group 1(21-30 years),group 2(>30-50 years),group
3(>50-70 years).oVEMP and cVEMP were performed and the normative values were
obtained.SPSS 19.0 was used to analyze the data.Result:The response rate was
77.3% and 85.3% of oVEMP and cVEMP respectively in all subjects.The values of
oVEMP:in group 1 response rate was 100%,amplitude was(8.29+/-1.25)MUV and
threshold value was(83.65+/-4.10) dBnHL.In group 2 response rate was
85.4%,amplitude was(6.32+/-1.21)MUV and threshold value was(89.24+/-4.18)
dBnHL.In group 3 response rate was 46%,amplitude was(4.26+/-1.20) MUV,threshold
value was(95.13+/-4.21) dBnHL.The values of cVEMP: in group 1 response rate was
100%,amplitude was(108.87+/-19.10)MUV and threshold value was(84.54+/-4.35)
dBnHL.In group 2 response rate was 83.3%,amplitude was(84.10+/-20.02)MUV and
threshold value was (90.33+/-4.29)dBnHL.In group 3 response rate was
72.0%,amplitude was(64.18+/-19.22)MUV and threshold value was (95.19+/
4.30)dBnHL.The statistical analysis show significant difference in oVEMP/cVEMP
response rate,threshold and amplitude among different age-groups(P<0.05).No
significant difference was found in N1,P1 latency and latency-interval among
different age-groups(P>0.05).Conclusion:The normative values of VEMP of healthy
population in Tianjin medical university General hospital were obtained in this
research.These VEMP data were used for the diagnosis of related diseases.
PMID- 29798029
TI - [The clinical significance of PRL-3,VEGF expression in sinonasalsquamous cell
carcinoma].
AB - Objective:To analyze the clinical significance of phosphatase of regenerating
liver-3(PRL-3) and vascular endothelial growth factor(VEGF)expression in
sinonasal squamous cell carcinomas.Method:We use immunohistochemical analysis and
RT-PCR to detecte the expression of PRL-3 and VEGF protein in 62 cases of
sinonasal squamous carcinoma tissues(SNSCC),30 cases of nasal polyps(NP),and 25
cases of normal nasal mucosa(NM).Result:1The expression of PRL-3 and VEGF in
sinonasal squamous cell carcinoma tissues were statistically higher than in nasal
polyps and normal nasal mucosa tissues (P<0.05).2The expression of PRL-3 and VEGF
were not correlated with patient's age or gender(P>0.05).But the High expression
of PRL-3 and VEGF in SNSCC was significantly related with advanced,lower tumor
differentiation and lymph node metastasis(P<0.05).3The expression of PRL-3 and
VEGF was positively correlated in sinonasal squamous cell
carcinomas.Conclusion:The expression of PRL-3 and VEGF in SNSCC may involve in
the regulation of tumor growth and differentiation.The expressing intensity may
reflect the proliferation activity of sinonasal squamous cell carcinoma cell.PRL
3 and VEGF may promote the tumor metastasis in a synergistic manner.
PMID- 29798030
TI - [The relationship between the key nasal symptoms and the level of histamineand
leukotriene D4 in serum and nasal secretions in allergic rhinitis].
AB - Objective:To investigate the relationship between main nasal symptoms and the
levels of histamine and leukotriene D4(LTD4) in serum and nasal secretions in
allergic rhinitis(AR),and provide a preliminary guidance for individualized
treatment in AR.Method:One hundred and eight cases of AR were divided into two
groups,i.e.sneezing group and nasal congestion group,according to 2014 clinical
guidelines for allergic rhinitis from January 2014 to June 2015.The levels of
histamine and LTD4 in serum and nasal secretions were determined by enzyme-linked
immunosorbent assay(ELISA) and the relationship was explored between the clinical
main nasal symptoms score and the levels of histamine and LTD4.Result:The scores
of sneezing(5.58+/-2.59)for AR were obviously related to the levels of histamine
in serum(8.39+/-4.07)ng/ml and nasal secretion(5.06+/-2.47)ng/ml,(r=0.79,0.78,all
P<0.05).The scores of nasal congestion(5.34+/-2.36) for AR were also related to
the levels of LTD4 in serum(0.356+/-0.155 ng/ml) and nasal secretion(0.215+/
0.092)ng/ml,(r=0.74,0.72,all P<0.05).And the levels of histamine(8.39+/
4.07)ng/ml and LTD4(0.356+/-0.155) ng/ml in serum for AR patients were positively
correlated with the levels in nasal
secretions(r=0.99,P<0.01;r=0.98,P<0.01).Conclusion:In AR patients,the high levels
of histamine and LTD4 in serum and nasal secretions are closely related to the
sneezing symptoms and nasal obstruction symptoms,respectively.
PMID- 29798031
TI - [Impact of virus infection and related immunity factorson nasal inverted
papilloma].
AB - Objective:To explore the relationship between human papilloma virus infection,TGF
beta,IL-10,IFN-gammaand nasal inverted papilloma.To explore the relat-ionship
between human papilloma virus infection,TGF-beta,IL-10,IFN-gammaand nasal
squamous cell carcinoma.Method:Thirty cases of NIP(including 7 cases of recurrent
NIP),23 cases of NSCC,19 cases of NP were collected.In situ hybridization(ISH)
was used to detect the infection of HPV6/11,HPV16/18.Immunohistochemical
technique(EnVision) was used to detect the expression of TGF-beta,IL-10,IFN
gamma.Result:The HPV infection rates of 30 cases of NIP,23 cases of NSCC were
43.33%,52.17%.None of the NP cases was infected with HPV.Nine out of 23 cases of
primary NIP were positive in HPV infection (39.13%).Three out of 7 cases of
recurrent NIP were positive in HPV infection (42.86%).There were significant
differences in the HPV infection rate between NIP and NP as well as between NSCC
and NP(P<0.05).No significant difference of HPV infection rate was observed
between NIP and NSCC or between recurrent NIP and primary NIP(P>0.05).TGF-beta,IL
10,IFN-gammawere expressed in NSCC,NIP and NP.The expression of TGF-betawas
significantly different among NIP,NSCC and NP(P<0.01).The expression of TGF
betain NIP group was higher than that in NP and NSCC group.There was no
significant difference of TGF-betaexpression between NSCC and NP group .The
expression of IL-10 was significantly different among NIP,NSCC and NP(P<0.01).The
expression of IL-10 in NIP group was lower than that in NP group.There was no
significant difference in IL-10 expression between NIP and NSCC or between NSCC
and NP.No significant differences of IFN-gammaexpression was observed among
NIP,NSCC and NP(P>0.05).There was no correlation between the expression of TGF
beta,IL-10,IFN-gammaand HPV infection in NIP and NSCC(P>0.05).Conclusion:HPV
infection is related to the pathogenesis of some NIP and NSCC cases.The
relationship of between HPV infection and malignance of NIP is not clear.HPV
infection is not related to the recurrence of NIP.Abnormal expression TGF-betaand
IL-10 may be involved in the pathogenesis of NIP.There is no relationship between
IFN-gammaand the pathogenesis and development of NIP.HPV infection is not related
with the expression of TGF-beta,IL-10,IFN-gammain NIP and NSCC.
PMID- 29798033
TI - [Ultrahigh-frequency hearing thresholds in middleaged and elderly healthy
adults].
AB - Objective:To investigate the ultrahigh-frequency(UHF) hearing thresholds in
middle-aged and elderly healthy subjects .Method: Healthy subjects(age range: 50
69 ) were divided into two groups,i.e.50-59 year-old group and >59-69 year-old
group.Each subject was tested with both conventional
frequency(0.25,0.50,1.00,2.00,4.00,6.00 and 8.00 kHz) and ultrahigh
frequency(9.0,10.0,11.5,12.5,14.0,16.0,18.0,and 20.0 kHz) audiometry.UHF was
performed twice to evaluate the reliability.The best hearings among 20-29 aged
healthy adults were considered as normal controls.Results:Seventy five middle
aged and elderly subjects were included,with 39 subjects(78 ears) being 50-59
years old and 36(72 ears) being >59-69 year-old.Eighteen subjects(36 ears) aging
from 20 to 29 were considers as controls.For the conventional-frequency,the
hearing thresholds in middle-aged and elderly people were significantly higher
than those in young people(all P<0.05),especially at >=4 kHz.Although the
conventional-frequency thresholds in >59-69 year-old group were higher than those
in 50-59 year-old,the difference was significant just at 4 kHz(P<0.05).The UHF
thresholds in middle-aged and elderly people were significantly higher than those
in young people(all P<0.05).The thresholds at 9,10,11.5 and 12.5 kHz in >59-69
year-old people were significantly increased than those in 50-59 year-old
counterparts(all P<0.05).Hearing threshold at >=12.5 kHz couldn't be detected in
some subjects in middle-aged and elderly group.The response rate at UHF in >59-69
year-old people were just higher than that in 50-59 year-old counterparts
(P>0.05),and none responded at 18 and 20 kHz.The standard deviations(SDs) for <14
kHz in 50-59 year-old and for <11.5 kHz in >59-69 year-old subjects,were both
higher than that in 20-29 year old counterparts.Above 6 kHz,the SDs in 50-59 year
old subjects were significantly higher than those in >59-69 year-old subjects(all
P<0.05).Conclusion:For middle-aged and elderly people,the hearing loss may occur
from 4 kHz.Hearing thresholds at UHF were increased with age,and it might be used
as an early indicator for age-induced hearing loss.However,the UHF sensitivity
decreased as the frequency increased beyond 14 kHz.
PMID- 29798032
TI - [Effects of inhibiting the phosphorylation of JNK by absorbed INF-gammaon the
remodeling of nasal mucosa in allergic rhinitis rats].
AB - Objective:To study the role of phosphorylated JNK(c-Jun N-terminal kinase) on
nasal mucosa remodeling in allergic rhinitis(AR) rats and the influence of IFN
gammaon IL-1beta,JNK and nasal mucosa remodeling.Method:According to random
number table,48 Wistar rats were divided into control group(A group),AR group(B
group),IFN-gammagroup(C group) and triamcinolone acetonide group(D group).The
rats in group B,C and D were sensitized and provocated for inducing AR by
intraperitoneal injection of ovalbumin(OVA) and Al(OH)3.Thirty minutes before
intranasally challenged,rats in three groups were administrated by instillation
of PBS,IFN-gammaand triamcinolone acetonide into nasal cavities,while the group A
rats were administrated by saline solution.Ten rats in each group were selected
to enter the final experiment.The density of IL-1betain serum and nasal lavage
fluid were tested by ELISA.The mean absorbance (mA) of phosphorylated JNK and c
Jun were tested by immunohistochemistry.Western Blot detected the P-JNK level in
nasal tissue homogenate.Result:The density of IL-1betain serum and nasal lavage
fluid in group C and group D were significantly lower than that of group B
(P<0.01).Immunohistochemistry study showed that the protein expression level of
phosphorylated JNK and c-Jun of nasal mucosa were significantly increased in
group B,but significantly reduced in group C and group D .The mA of
phosphorylated JNK and c-Jun in group B were significantly higher than those in
the group C and group D(P<0.01).The Western blot showed that the P-JNK of nasal
tissue homogenate in group B was higher than that of group C and group D
(P<0.01).Conclusion: The phosphorylation of JNK played an important role in nasal
mucosa remodeling.IFN-gammacould inhibit the phosphorylation of JNK and reduce
the nasal mucosa remodeling.The mechanisms may be achieved through down
regulation of IL-1beta.
PMID- 29798034
TI - [A study on the X-ray measurement predictors of difficult laryngealexposure in
patients undergoing microlaryngosurgery].
AB - Objective:This paper seeks to identify useful and reliable indicators for
predicting the occurrence of difficult laryngeal exposure(DLE) in
microlaryngosurgery.Method:Sixty-two patients were given physical
examinations,including 4 general parameters:age,sex,BMI,and MMI,and 14 physical
measurement
parameters(TA,UIA,LIA,IG,LIMD,MA,MCD,MH,MDI,HMD,TMD,SMD,TMA,THUIA).Univariate
analysis,stepwise regression analysis and ROC curve analysis were employed to
identify parameters with the potential to predict DLE.Result:We found sex(P<0.05)
showed significant correlation with the laryngeal exposure score(P<0.05).We also
found LIMD,MA,TMA and THUIA to be reliable DLE predictors.The cutoff values for
predicting DLE were LIMD>4.53cm,MA>115.5 degrees ,TMA>99.2 degrees ,and
THUIA>152.6 degrees .Conclusion:X-ray measurement predictors of LIMD,MA,TMA,and
THUIA before operation are important for the prediction of DLE.Sex is also the
reliable DLE predictor.Males were prone to DLE.
PMID- 29798035
TI - [The significance and application of serum eosinophil cationic proteinand IgG4
antibody in patients with allergic rhinitistreated by allergic specific
immunotherapy].
AB - Objective:To study the significance and application of serum eosinophil cationic
protein(ECP) and IgG4 antibody in patients with allergic rhinitis treated by
allergic specific immunotherapy.Method:The level of IgG4 antibody and eosinophil
cationic protein in serum was measured in 33 cases of allergic rhinitis before
treatment and half a year and one year after treatment.The change of ECP level
was observed in different age groups,and the relationship between serum IgG4 and
ECP after treatment was analyzed.Result:IgG4 antibody in the serum significantly
increased after treatment,and the difference was statistically
significant(P<0.05).In the serum ECP content gradually reduced after
treatment,and the difference was statistically significant(P<0.05).No obvious
difference in ECP level was observed among dfferent age groups after treatment
(P<0.05).The level of serum IgG4 was negatively correlated with serum ECP level
despite statistical insignificance(r=-0.138,P>0.05).Conclusion:ECP is a sign of
eosinophil activation,which is an important factor leading to the nasal
inflammation.The content of serum ECP can be used as an indicator for patients
with allergic rhinitis recieving nonspecific immune treatment .IgG4 antibody is a
relatively reliable indicator to evaluate the treatment effect of specific
immunotherapy,and may be negatively related to the serum ECP levels.
PMID- 29798037
TI - [Expression of Foxm1 in chronic sinusitis and itsrelationship with MUC5AC].
AB - Objective:To explore the expression of Forkhead box protein m1(Foxml) in the
sinus mucosa of patients with chronic rhinosinusitis(CRS) and the relationship
between Foxm1 and MUC5AC for further understanding of the pathogenesis of
CRS.Method:We obtained the sinus mucosa from 25 CRS with polyps(CRSwNP) patients
and 25 CRS without polyps (CRSsNP) patients as two experimental groups.Nasal
mucosa from 15 normol cases were obtained as control group.We used HE,Periodic
acid-schiff staining to observe the histopathological change of each sample.The
expression of Foxm1 and MUC5AC were determined by immunohistochemistry(IHC) and
qRT-PCR in each group.We also analysed the relationship between Foxm1 and
MUC5AC.Result:The HE and PAS staining showed that in the CRSwNP and CRSsNP
patients,the main histopathological features was the hyperplasia of goblet
cell,inflammatory cell and submucosal gland.IHC showed that Foxm1 and MUC5AC
expression were higher in CRSwNP and CRSsNP compared with normal sinus mucosa.qRT
PCR also revealed that Foxm1 and MUC5AC expression was higher in CRSwNP and
CRSsNP than in normal mucosa(P<0.05).Foxm1 and MUC5AC had a significant positive
correlation.Conclusion:The expressions of Foxm1 and MUC5AC were increased in
CRS,and Foxm1 may play an important role in the process of hypersecretion of
MUC5AC in CRS.
PMID- 29798036
TI - [The effect of combined therapy on seasonal allergic rhinitis].
AB - Objective:To observe the effect of combined therapy on seasonal allergic
rhinitis(AR) and quality of life.Method:Ninety-six patients with severe seasonal
AR unresponsive to intranasal corticosteroids alone were divided randomly into
nasal budesonide group(group A),Nasal Budesonide combined with fexofenadine
hydrochloride group(group B),and budesonide combined with montelukast group(group
C).The symptom scores,Uni-VAS,and rhinoconjunctivitis quality of life(RQLQ) were
evaluated 2 and 4 weeks after treatment initiation.Result:In the group B and
C,the symptom scores,Uni-VAS,and RQLQ were significantly lower than the group
A(P<0.05).As for nasal itching(after 2 weeks),rhinorrhea and sneezing,the symptom
scores and Uni-VAS of group B were significantly lower than that of group
C(P<0.05).However,compared to group B,the improvement of nasal obstruction in
group C was much better(P<0.05).There was no significant difference in other
symptoms and RQLQ.Conclusion:For patientswith severe seasonal AR unresponsive to
intranasal corticosteroids alone,combined therapy can help alleviate clinical
symptoms and improve quality of life.The combination of drugs should be
individulized based on the severity of symptoms.
PMID- 29798038
TI - [Preliminary analysis of influencing factors on source of snoring sound].
AB - Objective:To investigate the main factors affecting the source of snoring sound
of snoring patients.Method:Seventy-three patients with either simple snoring or
obstructive sleep apnea-hypopnea syndrom(AHI<=40) underwent routine ENT
examination,CT scanning(in awake condition) and drug-induced sleep endoscopy.The
sources of snoring sound were observed.The neck circumference,body mass index and
CT data were measured.Result:The sources of snoring sound of the 73 cases were
divided into three types in general: palatal fluttering based group(Group
I),lateral wall vibration based group(Group II) and palatal fluttering together
with vibration of lateral wall based group(Group III).The minimum lateral caliber
of retropalatal region and retroglossal region,the ratio of minimum
anteroposterior/ lateral caliber of the two regions,the thickness of pharyngeal
lateral wall had statistical differences.The main influencing factors on the
source of snoring sound between Group II and Group I were the ratio of minimum
anteroposterior/lateral caliber of retropalatal region and the mean thickness of
pharyngeal lateral wall.The influencing factor between Group III and Group I was
the mean thickness of pharyngeal lateral wall.Conclusion:The ratio of minimum
anteroposterior/lateral caliber of retropalatal region and the mean thickness of
pharyngeal lateral wall are the main factors affecting the source of snoring
sound of snoring patients.
PMID- 29798039
TI - [Horizontal semicircular canalvideo head impulse test in normaladults:normal
value and age distribution].
AB - Objective:To study the parameters of video head impulse test (vHIT) for
horizontal semicircular canal and explore its application when evaluating angular
vestibular-ocular reflex (VOR) in adults.Method:vHIT were applied to 80 adults
without prior vertigo or dizziness history.Parameters provided by the software
included instantaneous gain(40 ms,60 ms,80 ms),regression gain,asymmetry
value,corrective saccades(latency,peak velocity,occurrence rate).Result:The mean
horizontal VOR velocity gain of normal subjects was 0.877+/-0.171,0.944+/
0.133,0.967+/-0.130 at 40 ms,60 ms,80 ms respectively.Regression gain of
horizontal VOR is 0.944+/-0.090.The lower limit of normal horizontal VOR velocity
gain was 0.73 at 60 ms and 0.80 as regression gain.All velocity gains declined by
age,although there were no statistically significant difference(P>0.05).Asymmetry
value was 5.60+/-3.46.Corrective saccades occurred in 28+/-15 of normal
subjects,while latency and peak velocity was (200.87+/-0.34)ms and (97.7+/-40.1)
degrees /s.Conclusion:The study found that horizontal VOR instantaneous gain
value and regression gain value decreases slightly with age,which should be
considered in clinical application.
PMID- 29798040
TI - [Analysis of acute sinusitis or nasal furuncle derived orbitalcellulitis in
children: review of 18 cases].
AB - Objective:To analyze the diagnosis and treatment of acute sinusitis or nasal
furuncle derived periorbital cellulitis in children.Method:The clinical data of
18 children with acute sinusitis or nasal furuncle derived orbital cellulitis was
analyzed retrospectively.Result:Sixteen cases(88.89%) had acute sinusitis and
2(11.11%) had furuncle of nose.All cases were treated with antibiotics and
steroids.And 16 cases were cured and the other two received surgery.The median
length of hospitalization was 7.33 days(5-13 days).Conclusion:Sufficient
antibiotics combined with steroids and local treatment is critical in treating
pediatric orbital cellulitis.Timely and decisive surgical intervention can
effectively control the progression of disease.
PMID- 29798041
TI - [Modified radical mastoidectomy on"drum sinus" type chronic suppurative otitis
media:our experience].
AB - Objective:To our experience of modified radical mastoidectomy on "drum sinus"
type chronic suppurative otitis media.Method:We measured the size of tympanic
sinus,the extent of meningeal plate lowering and the extent of sigmoid ante
displacement in 54 patients of chronic suppurative otitis media,and determined
the criterion of "drum sinus".The patients who comply with the criterion received
modified radical mastoidectomy and tympanoplasty via epitympanum approach.The
patients were followed up for at least 3 months,The time of dry ear,growth of
repaired tympanic membrane,and any complications such as dizziness,facial
paralysis,cerebrospinal fluid leakage were recorded.Result:Twenty-nine out of the
54 CSOM patients complied with the criterion of drum sinus.Two cases were lost to
follow-up.Therefore,27 cases were included in the study.Three months after the
operation,the rate of dry ear was 81.5% Tympanic membrane was successfully
repaired in 77.8% of the patients.Three cases had dizziness after operation.No
facial paralysis or cerebrospinal fluid leakage was observed.Conclusion:For"drum
sinus" type chronic suppurative otitis media,the modified radical mastoidectomy
and tympanoplasty via epitympanum approach can be taken.
PMID- 29798043
TI - [Sorbalgon strips used in elderly intractable epistaxis].
PMID- 29798042
TI - [Effect observation of cryotherapy in the treatment of nasal cavity adhesion].
AB - Objective:To investigate the effect of cryotherapy in the treatment of nasal
cavity adhesion.Method:Patients with nasal cavity adhesion(68 sides in total)were
randomly devided into the experimental group(34 sides) and the control group(34
sides).The experimental group were treated with cryotherapy and the control group
with traditional separation surgery.The subjective symptoms and recovery of nasal
mucosa were observed at 1,3 and 8 weeks after treatment.Result:1The postoperative
nasal obstruction symptom evaluation score in the experiment group were
significantly better than in the control group(P<0.05).2The VAS score of
discomfort during therapeutic process in the experiment group were significantly
better than in the control group(P<0.05).3The endoscopic mucosa morphology score
at 1,3 and 8 weeks postoperatively in the experiment group was significantly
better than that in the control group(P<0.05).4The cure rate of experiment group
was 97.1% and 76.5% of control group .The cure rate of experiment group was
significantly higher than that of the control
group(P<0.05).Conclusion:Cryotherapy is a simple and safe therapeutic method in
the treatment of nasal cavity adhesion with less discomfort,higher therapeutic
effect and better mucosa recovery.Cryotherapy is more likely to be accepted by
patients.
PMID- 29798044
TI - [Research of nasopharyngeal carcinoma and the applicationof epstein-barr virus
immediate early protein].
AB - Epstein-barr virus is closely related to the occurrence and development of
nasopharyngeal carcinoma;EB virus immediate early protein Zta and Rta is the
control factor for the virus to enter the replication and cleavage stage.At
present,ELISA method is commonly used to detect serum Zta and Rta related
antibodies in the clinical as one of the serological indicators for early
nasopharyngeal cancer screening and the auxiliary diagnosis.
PMID- 29798045
TI - [Current status of diagnosis and treatment of Thornwaldt cyst].
AB - Of Thornwaldt cyst is a congenital disease,nasopharyngeal top and posterior wall
of the center of the infected cyst,which is rare in clinic,a lower incidence is a
benign lesion,most cases have no symptoms,mostly through electronic endoscopic
imaging system or radiological examination have been sent.Domestic reports about
Thornwaldt cysts are also less,so this paper refer to the domestic and foreign
literatures about the Thornwaldt cyst in recent years,the diagnosis and treatment
of this disease is reviewed.
PMID- 29798046
TI - [Drug treatment of allergic rhinitis based on immunological mechanisms of
progress].
AB - The current treatment of allergic rhinitis remains a challeng for clinician while
developing non-specific immunotherapy can make up the disadvantages of routine
medications.It has solved the problems like long treatment course of specific
immunotherapy or adverse reactions;It has improved the theoretical basis and
treatment methods of airway allergic disease.This article reviwed the recent
clinical studies about mechanisms of allergic rhinitis.
PMID- 29798047
TI - [Research progress of animal model of allergic rhinitis].
AB - The animal model of allergic rhinitis is ciritical for the study of this
disease.Guinea pig,mouse,rat,rabbit and other animals can be selected.Allergen
includes ovalbumin,pollen,dust mites,available fungi,roundworm and schistosome
antigen.Aluminum hydroxide adjuvant is most commonly used.To enhance the
sensitivity,nasal drops can be selected,inhalation and multi-point subcutaneous
injection is optional.Nasal itching,sneezing,clear nasal discharge ,or specific
histopathological and immunological results can indicate the success of
modeling.Immunological test is the most important standard to determine whether
the model is successful or not.This review summarizes the recent advances in the
study of animal models of allergic rhinitis.
PMID- 29798048
TI - [Desmocollin-1 significant correlated with the tumorigenesis and prognosis of
HNSCC].
AB - Objective:To explore the correlation between the expression of desmocollin?1and
the tumorigenesis and prognosis of HNSCC.Method:Five datasets of HNSCC from the
GEO were analyzed. A tumor tissue microassay was chosen for further test. The
expression of DSC1 of TMA was detected by immunohistochemical staining.
Result:The expression of DSC1 was significantly increased in HNSCC. Meanwhile,
the expression of DSC1 was much higher in poor-differentiated tumor than the
well?differentiated tumor in HNSCC. What's more, the HNSCC patients with lower
expression of DSC1 had better outcomes. Conclusion:The results were according
with the results of statistical analysis with the bioinformatics data from GEO,
indicating that DSC1 significant correlated with the tumorigenesis and prognosis
of HNSCC.
PMID- 29798049
TI - [Value of videonystagmography and electrocochleography in prognosis prediction of
patients with acute low-tone sensorineural hearing loss].
AB - Objective:To predict the prognosis of acute low?tone sensorineural hearing
loss(ALHL)by using the videonystagmography(VNG) and
electrocochleography(ECochG).Method:A retrospective study was done in 70 patients
with ALHL after long-term follow-up. The recurrence rate and rate of progression
to definite Meniere 's disease were determined according to the results of VNG
and ECochG tests at the onset of the first episode of hearing
loss.Result:Twenty?nine patients(41.4%)experienced recurrent hearing loss and 5
patients(7.1%)developed to Meniere's disease. In patients with an elevated SP/AP
ratio and spontaneous nystagmus, the recurrence rate was 81.8%. However, in those
with a normal SP/AP ratio and without spontaneous nystagmus, the recurrence rate
was 23.3%.There was a statistically significant difference in the progression
rate of Meniere's disease between the groups with(15.2%) and without spontaneous
nystagmus.Conclusion:It has a certain reference value to predict prognosiswhen
spontaneous nystagmus and an elevated SP/AP ratio are detected on initial
examination in patients with ALHL.
PMID- 29798050
TI - [Expression and significance of PDK1 and NF-kappaB in the middle ear
cholesteatoma].
AB - Objective:To detect the expression of 3-phosphoinositide-dependent kinase-1(PDK1)
and nuclear factor-kappaB(NF-kappaB) in human middle ear cholesteatoma tissue and
to analyze their correlation.Method:Immunohistochemical method was taken to
detect the expression and location of PDK1 and NF-kappaB in 60 cases of
cholesteatoma tissue and 30 cases of normal ear skin specimens.SPSS 19.0 software
was used to analyze the data. Result:Immunohistochemistry revealed PDK1 was
positive in cytoplasm and the positive expression rate in cholesteatoma was
significantly higher than normal skin (P <0.05); NF-kappaB expressed in the
nucleus of cholesteatoma and the positive expression rate in cholesteatoma
significantly higher than normal skin(P <0.05);In cholesteatoma specimens,there
was a significant positive correlation between protein PDK1 and NF-kappaB(P
<0.05). Conclusion:Abnormal expression of PDK1 and NF-kappaB may be related to
the proliferation of cholesteatoma epithelium and they reinforce each other.
PMID- 29798051
TI - [Expression of Has-miR-93-5p in laryngeal squamous cell carcinoma and its
clinical significance].
AB - Objective:To investigate the expression of has-miR-93-5p on human laryngeal
squamous cell carcinoma and the influence on malignant phenotype of Hep-2
cell.Method:The expression of has-miR-93-5p of paraffin samples in LSCC was
determined by looped-primer Real-time PCR, and the relationship between the
expression and the clinical pathological parameters was analysed. The has-miR-93
5p Inhibitor sequence was transfected into Hep-2 cells as the Inhibitor group.
Using the MTS assay, Edu and colony formation assay to investigate the change of
cell viability,proliferation and clone formation ability after transfection.
Transwell invasion assay was used to detect the changes of cell migration and
invasion ability. Flow cytometry was used to detect the changes of cell cycle and
apoptosis.Result:The relative expression of has-miR-93-5p in LSCC was 11.148+/
1.141,which was higher than in normal tissues of adjacent to carcinoma1(985+/
4.547)(P <0.01).The constituent ratio of has-miR-93-5p high expression in the
group of low differentiation, T3+T4 and lymphatic metastasis was 69.8%,76.5%and
89.5%,which was higher than the group of high differentiation,T1+T2 and
non?lymphatic metastasis respectively(P <0.05), Inhibition the expression of has
miR-93-5p in vitro in Hep-2 cells could obviously inhibit the cell vitality,
proliferation, clone, migration, and invasion ability, also could retardant the
cells in G2/M phase, and promote its apoptosis.Conclusion: has-miR-93-5p might be
an important molecule in pathogenesis of laryngeal squamous cell carcinoma. It
could inhibit malignant phenotype of laryngeal squamous cancer cells when has-miR
93-5p expressionwas suppressed in vitro.
PMID- 29798052
TI - [The role of acoustic analysis of fundamental frequency in differentiating
arytenoid dislocation from vocal fold paralysis].
AB - Objective:Analysis of the sustained vowels of acoustic parameters in arytenoid
dislocation and vocal fold paralysis. To investigate their acoustic
characteristics and evaluate the role of this acoustic analysis method in
differentiating arytenoid dislocation from vocal fold paralysis. Method:Thirty
three cases with unilateral vocal cord movement disorders were collected.All
cases were divided into arytenoid dislocation group and vocal fold paralysis
group through the laryngeal electromyography. Each group was further devided into
male group and female group. The voice signals of sustained vowel of /a/ were
measured using the software MDVP and obtain the acoustic parameters(Jitter,
Shimmer, SPI and Fo). The acoustic characteristics between the two groups were
observed and compared. Results were analyzed using Rank sum test for group
design.Result:There were significant differences in Fo between arytenoid
dislocation group and vocal fold paralysis group in both male and female group(P
<0.05). And mean rank order of Fo in arytenoid dislocation group was greater than
vocal fold paralysis group. There were no significant differences in
jitter,shimmer and SPI between arytenoid dislocation group and vocal fold
paralysis group(P >0.05).Conclusion:Mean rank order of Fo in arytenoid
dislocation group is greater than vocal fold paralysis group in both the male and
the female group. Fo is of value in differentiating arytenoid dislocation from
vocal fold paralysis. This provides a theoretical basis for the acoustic analysis
method to identify these two diseases.
PMID- 29798053
TI - [Significance of five symptoms scoring sheet in the clinical diagnosis of BPPV].
AB - Objective:To identify the validity of five symptoms scoring sheet that based on
the patients who are suffering from benign paroxysmal positional vertigo(BPPV),
and explore its effects and significance in the clinical diagnosis of
BPPV.Method:A total of 484 patients with vertigo were included. All the patients
were firstly assessed with five symptoms scoring sheet(repeated transient vertigo
or aggravating vertigo during looking up, bending, getting out of bed, rolling
over in bed and quick head movements) before being confirmed by Dix-Hallpike test
and rolling test. Evaluating the predictive ability of this scoring sheet in the
diagnosis of BPPV with ROC analysis.Result:According to the ROC analysis , the
sensitivity and specificity were 95.4% and 80.3% respectively when the score >7,
and an area under receiver operating characteristic curve(AUC) was
0.923.Conclusion:Five symptoms scoring sheet is useful and convenient in
diagnosing BPPV, which can be used in BPPV screening and guide the vertigo
patients to receive further test and treatment.
PMID- 29798054
TI - [The evaluation of hearing aid effect in presbycusis].
AB - Objective:To evaluate the effect of hearing aid in presbycusis. Method:Using the
method of international outcome inventory for hearing aids(IOI-HA)and medium
acoustic intensity(65 dBSPL) word recognition score(WRS), to evaluate the effect
of hearing aid in moderate and severe presbycusis. Result:After the hearing aid
of moderate presbycusis, The improved value of monosyllabic words and recognition
rate in quite and noise statement(SNR=5) were 31.15%, 23.21%, 44.11%.However,
improved values in severe presbycusis were 37.51%, 48.47%,50.17%, before and
after hearing aid, the difference of the improved average value was statistically
significant(P <0.05); Both the moderate and severe presbycusis were satisfacted
with hearing aid.The IO-HA scores of moderate and severe presbycusis ranged from
15 to 34.The difference of IOI?HA score of moderate and severe presbycusis had no
statistical significance(P >0.05); moderate and severe presbycusis with high
satisfaction with HA of quiet statement,and low satisfaction of monosyllabic
words listening, and the degree of satisfaction was higher in patients with
moderate to severe hearing loss in noise statement.Conclusion:Speech audiometry
is an important method to assess the effect of hearing aid; IOI-HA is a
time?consuming short, subjective method.The combine of multiple evaluations have
guiding significance to debugging of hearing aid expected effect and hearing aid
device.
PMID- 29798055
TI - [Evaluation of the frontal recess cells with image-guided system].
AB - Objective:To investigate the value of image-guided system in identifying the
frontal recess cells.Method:We collected 30 cases that underwent image-guided
frontal sinus surgery from November 2014 to December 2015. These frontal recess
cells were devided into 2 groups based upon their locations in the frontal sinus
ostium. Group A consists of the agger nasi cells, type Ifrontal cells, type II
frontal cells and suprabullar cells; group B consist of type III frontal cells,
type IV frontal cells, frontal bullar cells, interfrontal sinus septal cells and
supraorbital ethmoid cells. Visual analogue scale (VAS) was used to evaluate the
degree of demand of image guide system on the location of frontal recess cells,
and then analyzed the value of image guided system on the frontal recess
cells.Result:In all 30 patients the image?guided frontal sinus surgery was
successfully completed.The demand degree of image-guided system on frontal recess
cells by VAS was slight for the agger nasi cells, type Ifrontal cells, type II
frontal cells and suprabullar cells; the demand degree was general for the
frontal bullar cells and interfrontal sinus septal cells; the demand degree was
obvious for type III frontal cells, type IV frontal cells and supraorbital
ethmoid cells. Frontal recess cells of group B were more depended on image guided
system than those of group A, and the difference was signicant(P
<0.01).Conclusion:Image?guided system is valuable in distinguishing for type III
frontal cells,type IV frontal cells supraorbital ethmoid cells and interfrontal
sinus septal cells.Furthermore,it is significantly helpful for accurate removal
of these frontal recess cells in endoscopic frontal sinus surgery.
PMID- 29798056
TI - [The application of extended high frequency audiometry in detecting the early
hearing loss for thalassemia].
AB - Objective:To preliminarily explore the clinical significance of extended high
frequency audiometry in evaluating the early hearing loss for
thalassemia.Method:Pure tone audiometry(PTA) included conventional pure tone
audiometry and extended high frequency audiometry were obtained on the pre and
post-treatment day in 60(117 ears) patients from September in 2013 to October in
2014. Result:The averages of conventional pure tone audiometry for 117 cases
were(15.72+/-11.62)dBHL on the pre-treatment day and(15.40+/-12.24)dBHL on the
post-treatment day. There were no significant differences in PTA(P >0.05). But
the hearing without detection rate was higher than before especially at 18 and 19
kHz. The differences of the hearing without detection rate between the pre
treatment and the post-treatment day were statistically significant(P
<0.05?).Conclusion:The extended high frequency may be more sensitive in
evaluating the early hearing loss for thalassemia.
PMID- 29798058
TI - [The clinical analysis of infection of the deep neck space with superior
mediastinal abscess].
AB - Objective:To study the etiology, presentation, diagnosis and treatment of
infection of deep neck space with superior mediastinal infection in order to
accumulate experience in clinical diagnosis and treatment.Method:We reviewed
medical records of 15 patients who were diagnosed with deep neck infection with
superior mediastinal infection and those who were hospitalized in Guizhou
provincial people's hospital from Janurary 2011 to July 2015.The patients were
screened retrospectively for demographic characteristics, presenting symptoms,
laboratory examinations, imaging features, bacteriologies and etiologies. The
treatment and outcomes of the patients were investigated.Result:In 15 patients, 7
cases(46.67%) were related to foreign body in esophagus, 3(20%) cases were
related to peritonsillar abscess, 2(13.33%) cases who had diabetes with poor
blood glucose control were related to exodontias, 3(20%) cases were related to
unknown reasons. The serum CRP[(151.82+/-22.12)mg/L] at the time of admission had
a positive correlation with the time of hospitalization. The time of CRP
decreased to normal range after admission [(15.3+/-2.1)d] were apparently related
to the hospitalization time[(23.8+/-4.1)d]?(r=0.79, P<0.01). The results of
bacteria culture of 7 cases was positive(46.67%), 2 cases were infected by
Klebsiella pneumoniae and 1 case was mixed with Escherichia coli, 2 cases were
infected by the Streptococcus viridans infection, 2 cases were infected by
Hemolytic streptococcus A group and B group, 1 case was infected by
Staphylococcus Aureus. Appropriate antibiotics for organisms were given based on
the results of culture identification and empiric therapy. The patients with
abscesses were treated with cervical and superior mediastinal drainage. In 15
patients who were complex treatment, 4 patients including 2 patients with
diabetes were succumbed to the disease (mortality is 26.67%),11 patients were
healed up(effective rate is 73.33%).Conclusion:The patients with deep neck space
infection with superior mediastinal infection had rapid onset, rapid development
and the patients with diabetes had high mortality. The patients should be
analyzed in detail the history and clinical manifestations combined with imaging
examination, bacterial culture and drug sensitive test and so on in order to
determine the diagnosis. The patients should be cured with effective antibiotics
and active surgical intervention.
PMID- 29798057
TI - [The application of autologous cartilago auriculae in posterior wall of external
auditory canal reconstruction and tympanoplasty].
AB - Objective:To evaluate the value of of autologous cartilago auriculae in posterior
wall of external auditory canal reconstruction and tympanoplasty after modified
radical mastoidectomy.Method:To determine the outcome of surgery for chronic
suppurative otitis media with choleteatoma. The open mastoidectomy and
tympanoplasty were performed on sixty patients aged 20-62 years old, and the
average age was(43.16+/-9.43)years old, which includes 31 males and 27 females at
our department in the period from September 2011 to September 2014. 58 patients
all underwent modified radical mastoidectomy.Study group chose collected
intraoperative cortical mastoid bone as a filler combined with autologous
cartilago auriculae and temporal fascia muscle-periosteal flap covering the
cavity and tympanoplasty.Control group chose collected intraoperative cortical
mastoid bone as a filler temporal fascia muscle-periosteal flap covering the
cavity. The hearing improvement at the time of achieving dry ear,3 months and 6
months after surgery were evaluated for both groups.Result:There are significant
differeence between two groups in improvement of hearing and dry ear
time,according to follow-up and analysis of therapeutic efficacy(P <0.05), There
was no recurrence of cholesteatoma in 2 groups.Conclusion:The application of
autologous cartilago auriculae in posterior wall of external auditory canal
reconstruction and tympanoplastycan that can get more in line with the external
auditory canal and the volume of physiological structure state of near normal
tympanic cavity, dry ear and hearing improvement was satisfied.The autologous
cartilago curiculae is suitable for repairing materials of open radical
operation.
PMID- 29798059
TI - [Flunarizine in the prophylaxis of vestibular migraine:a randomized controlled
trial].
AB - Objective:To evaluate the efficacy and safety of flunarizine in patients with
vestibular migraine(VM)as a prophylactic medication. Method:This randomized
control trial was undertaken in patients with definite VM. Behavior changes were
advocated to all the patients to avoide certain foods and beverages, as well as
changes in lifestyle and habits.Patients in arm A received 10-mg flunarizine
daily for 3 months along with betahistine 12 mg Tid for 48 h during episodes, and
arm B received only betahistine for 48 h during episodes. Frequency. duration and
intensity of vertiginous episodes and the main side?effects were noted at the
start of the study and at the end of 3 months.Result:A total of 23 patients who
were diagnosed with definitive migrainous vertigo completed the study. The
frequency,duration and intensity of vertiginous episodes showed a significan
improvement in both group(P <0.05).Analysis of the frequency, duration and
intensity between arm A and arm B showed that frequency improved to a significant
degree(P <0.05),but the duration and intensity of vertigo between the two groups
did not improve to a significant degree(P >0.05). Severe adverse events were not
found. Conclusion:Flunarizine is safe and effective for the prophylaxis treatment
of VM.
PMID- 29798060
TI - [Neuromedin U expression related to the occurrence of laryngeal carcinoma and the
regional lymph node metastasis].
AB - Objective:Research Institute of Otolaryngology?Head and Neck Surgery, Renmin
Hospital of Wuhan University Neuromedin U(NMU) plays important role in immune
regulation in a variety of tissues and organs, and this study intends to explore
the correlation between the local metastasis of laryngeal cancer and the
expression of NMU.Method:A retrospective analysis was made on 240 cases of
laryngeal carcinoma in our hospital. The expression of NMU was detected by
immunohistochemistry. Microassay tissue(TMA) was used to further analyze the
correlation of NMU protein expression with T, N and M stage in laryngeal
carcinoma.Result:Cervical lymph node metastasis was present in 51.4% of 240
laryngeal carcinoma patients. The expression of NMU was significantly higher in
the patients with local metastasis than those without metastasis. And along with
tumor progression, NMU expression increased significantly.Conclusion:The
expression of NMU in laryngeal carcinoma was significantly correlated with the
metastasis of laryngeal carcinoma and TNM stage, which indicated that NMU may be
involved in the development of laryngeal carcinoma metastasis.
PMID- 29798061
TI - [The contrast analysis of endoscopic thyroidectomy by complete areola approach
and conventional open thyroidectomy].
AB - Objective:To compare the clinical efficacy between the endoscopic thyroidectomy
by complete areola approach and the conventional open thyroidectomy.Method:One
hundred and twenty-one cases of endoscopic thyroidectomy by complete areola
approach or conventional open thyroidectomy patients were reviewed
retrospectively, whose operation time, median blood lose, volume of postoperative
drainage, incision scar formation rate and patient satisfaction were observed and
compared.Result:There were obvious advantages in the median blood lose, volume of
postoperative drainage, incision scar formation rate and patient satisfaction in
the group of endoscopic thyroidectomy by complete areola approach comparing
conventional open thyroidectomy. The difference was statistically significant(P
<0.05).Conclusion:The method of endoscopic thyroidectomy by complete areola
approach is obviously better , which has many advantages, such as Less bleeding,
less volume of wound drainage and small skin incision scar. The patients were
satisfied with the treatment.It is worthy of clinical application.
PMID- 29798063
TI - [The application of the self-made cervical incision protection sleeve in thyroid
operation].
PMID- 29798064
TI - [The application of homemade negative pressure aspirator in the auricular
pseudocyst].
PMID- 29798062
TI - [Preliminary study on the relationship between cervical spondylosis and sudden
deafness].
AB - Objective:To explore the prognosis factors and clinical features of 32 patients
with sudden deafness, and further invested the relationship between cervical
spondylosis and sudden sensorineural hearing loss.Method:Thirty-two cases with
sudden deafness of ENT, Neurology,rehabilitation medicine department in Shanxi
Provincial people's Hospital from 2014 to 2015 were collected. The clinical data,
radiographic data and disease prognosis were analysed. Result:Thirty-two cases in
the cervical four bit tablets vertebral artery type positive rate for: 83.87%,
neck vascular ultrasound positive rate for: 55.56%, cervical MRI or MRA shows
vertebral artery narrow who positive rate for: 77.42%; three items check the
appeared of positive number accounted for total cases number of proportion
followed by for: cervical four bit tablets for: 65.96%, neck vascular ultrasound
for: ?57.45%?, cervical MRI or MRA for: 65.96%; three species check integrated
check out rate for: 95.74%.Conclusion:Sudden deafness in patients with cervical
spondylosis of vertebral artery type?detection rate is very high, description of
vertebral-artery-type cervical spondylosis is likely to be one of the factors
influencing the prognosis of sudden hearing loss, sudden hearing loss and there
is a certain correlation between cervical spondylosis.
PMID- 29798065
TI - [Experimental study on the effect of two kinds of wet chemical solution on the
laryngeal carcinoma patients with tracheotomy].
PMID- 29798066
TI - [Clinical analysis of ear,nose and throat neuroendocrine carcinaoma].
PMID- 29798067
TI - [One case of Horner's syndrome after thyroid gland operation].
AB - The patient find the nodule unintentionally for 2 weeks, painless, no voice
hoarse, no swallowing and drinking difficulties. no dizziness, no fatigue, no
tremor of the hand, no irritability,no palpitations, no fever chills, no nausea,
no vomiting, no chest tightness, no shortness of breath and other
discomfort.Ultrasonic report:The size of thyroid was normal, and was scattered in
the middle of the right lobe with a large solid echo of about 12 mm*7 mm. The
left lobe of the thyroid gland was found to have an echo of the size of about 10
mm*7 mm?,the shape was irregular,the boundary was unclear, lymph nodes with no
obvious swelling in the neck. CDFI: right lobe of the thyroid low echo mass
surrounding the actuate color flow, short rod color flow; left thyroid lobe
inferior echo mass around and see the short rod color flow.Diagnose:nodular
goiter;subacute thyroiditis;Hornor's symptoms.
PMID- 29798068
TI - [The expericence of diagnosis and treatment of foreign body within the pharyngeal
soft tissue(3 cases)].
AB - Through the diagnosis and treatment of the foreign body in the soft tissue of
phargnx,reduce missed diagnosis. Flexible using of imaging methods for diagnosis
and localization,and selecting the best surgical approach are important.
PMID- 29798070
TI - [A case of ectopic teeth in ethmoid sinus removed under endoscopic surgery].
AB - In this paper, we report a case of ectopic teeth in the right ethmoid sinus which
was successfully removed by nasal endoscopic surgery.
PMID- 29798069
TI - [Reported of 2 cases relapsing polychondritis].
AB - Clinical data of two cases of relapsing polychondritis were reported and the
relative literatures were reviewed. The two patients diagnosed relapsing
polychondritis after clinical and laboratory examinations.The first case was mild
, no larynx and trachea involvement, and her condition improved and controlled
after glucocorticoid treatment;The second case which involved larynx and trachea
caused breathing difficulty. Two years later he died of lung infection and
respiratory failure despite a variety of treatment methods. Relapsing
polychondritis is very easily misdiagnosed, early diagnosis and treatment can
slow the progression of the disease, for patients with violations of the larynx
and trachea should pay close attention to disease progression, tracheotomy or
place endotracheal memory alloy stent when necessary.
PMID- 29798071
TI - [The diagnosis and treatment progress of vocal fold leukoplakia].
AB - Vocal fold leukoplakia is a descriptive diagnosis, and can be divided into simple
hyperplasia, precancerous lesions(with atypical hyperplasia) and invasive
carcinoma according to pathological types. Because of a close relationship with
the laryngeal carcinoma, knowing the risk factors and treatment methods of vocal
fold leukoplakia has grate significance in preventing the malignant change and
recurrence. This paper summarizes the recent progress in clinical diagnosis and
treatment of vocal cord leukoplakia, mainly including risk factors, means of
examination, treatment and prognosis.
PMID- 29798072
TI - [The effect of OSAHS on middle ear and inner ear vestibule function advances].
AB - Obstructive sleep apnea hypopnea syndrome(OSAHS) as a common frequently?occurring
disease, it can cause repeated episodes of hypoxaemia and hypercapnia during
sleep. With long period of hypoxaemia, obvious pathological changes and
dysfunction emerged in heart,brain and lung then all kinds of clinical symptoms
appear. Because of the middle ear and inner ear themselves anatomical
characteristics and blood supply of regulating mechanism, they often has been
damaged before the other important organ damage. As scholars have in?depth study
of the auditory system complications in patients with OSAHS, various influence of
OSAHS on the middle ear,inner ear also gradually be known.This paper will review
the effect of OSAHS on middle ear, inner ear and vestibule function, hope to have
some application value for clinical work.
PMID- 29798073
TI - [Expression and significance of serum insulin-like growth factor-1 in patients
with obstructive sleep apnea hypopnea syndrome].
AB - Objective:To investigate the expression and significance of serum insulin?like
growth factor-1(IGF-1) in adult patients with obstructive sleep apnea hypopnea
syndrome(OSAHS).Method:One hundred and seven patients of OSAHS diagnosed with PSG
were included in the observation group,which were divided into heavy, medium and
light group according to AHI.Fifty case of healthy people without OSAHS were
included in control group.Serum IGF-1 were measured by ELISA. Thirty patients of
heavy OSAHS received surgery and CPAP treatment for three months,and were
retested the levels of IGF-1 and PSG six months later.Result:1With the increase
of OSAHS severity, the levels of serum IGF-1 were gradually decreased
(F=37.732,P<0.01). There was no significant difference between mild group and
healthy people (P>0.05), while there was significant differences between the
remaining groups (P<0.01). 2Serum IGF-1 level has no correlation with BMI and age
in OSAHS patients(P>0.05), and negatively correlated with LSaO2,and positively
correlated with AHI (P<0.01). 3Serum IGF-1 level[(46.56+/-3.74)MUg/L] increased
slightly compared with those before treatment[(42.79+/-4.87)MUg/L] in 30 severe
patients after treatment with 3 months CPAP and regimen (P<0.01). Serum IGF-1
level[(56.61+/-5.46)MUg/L] increased significantly after treatment for six
months; AHI level (18.72+/-7.36) was significantly lower than that before
treatment (48.77+/-10.51), and LSaO2[(87.42+/-8.61)%] increased significantly
than that before treatment[(68.33+/-10.24)%].Conclusion:OSAHS patients with
decreased serum IGF-1 level may be associated with concurrent insulin resistance.
Surgery combined with more than half a year of CPAP treatment can significantly
reduce AHI, improve the level of LSaO2 and serum IGF-1. Serum IGF-1 levels could
be used as a monitor of efficacy evaluation.
PMID- 29798074
TI - [Correlation study between the levels of serum MCP-1,SAA and cognitive function
in patients with COPD-OSAHS].
AB - Objective:To study the correlation among the serum monocyte chemoattractant
protein-1 (MCP-1),serum amyloid A(SAA) and the level of cognitive function in
patients with chronic obstructive pulmonary disease and obstructive sleep apnea
hypopnea syndrome overlap syndrome(OS).Method:Sixty patients with OS were in the
experimental group, and 33 patients with COPD were in control group. The serum
levels of MCP-1 and SAA were measured, and the correlation among MCP-1, SAA and
cognitive function was observed by the Montreal scale.Result:1The serum levels of
MCP-1 and SAA in OS group were (159.85+/-21.38)ng/L and (122.64+/-42.49)ng/L
respectively,which in control group were (135.02+/-15.31)ng/L and (71.37+/
10.16)ng/L respectively.There were the was statistically significant difference
between the two groups(P<0.05). 2Montreal scale score and its sub items in OS
group were lower than the control group.The difference was statistically
significant (P<0.05).3There was significant negative correlation between Montreal
scale and the serum levels of MCP-1(r=-0.654,P<0.05) and SAA (r=-0.617,P<0.05) in
OS group.Conclusion:Patients in the OS group had obvious cognitive impairment
compared with the ones in control group, which suggested that OSAHS might be an
independent risk factor for cognitive impairment. The cognitive function of OS
patients was negatively related to MCP-1 and SAA, which suggested that MCP-1 and
SAA played a role in the occurrence of cognitive impairment in OS patients.
PMID- 29798075
TI - [Perioperative management in chronic rhinosinusitis patients receiving long-term
oral warfarin].
AB - Objective:To investigate the safety and validity of endoscopic sinus surgery in
chronic rhinosinusitis patients receiving long-term oral warfarin.Method:Fifty
eight chronic rhinosinusitis patients receiving long-term oral warfarin were
given low-molecular-weight heparin as a bridge therapy. Fifty-eight patients who
did not take warfarin were selected as the control group. The intraoperative
bleeding volume, operation time, incidence of thrombosis and recurrence rate of
sinusitis were observed.Result:The operation time and intraoperative bleeding
volume of the experimental group were significantly higher than those of the
control group. There were no significant differences of age,gender,thrombosis
incidence, nd recurrence between the two groups.Conclusion:Low-molecular-weight
heparin is safe and effective bridge therapy to have an endoscopic sinus surgery
for the chronic rhinosinusitis patients receiving long-term oral warfarin.
PMID- 29798076
TI - [Clinical study of hydrogen-rich saline in the treatment of moderate to severe
allergic rhinitis].
AB - Objective:To investigate the effectiveness and safety of nasal lavage with
hydrogen-rich saline in the treatment of moderate to severe allergic
rhinitis.Method:Twenty patients with moderate to severe persistent allergic
rhinitis were treated with normal saline and hydrogen-rich saline lavage the
nasal cavity. The study was randomized doubl-blind self-control study. The
symptoms and signs score, treatment index and total effective rate were evaluated
before and after treatment, and the nasal conjunctivitis related quality of life
questionnaire (BQLQ) was used to evaluate the life quality of
patients.Result:There were significant differences of the symptoms, signs scores,
the treatment index, the total efficiency and BQLQ between the two kinds of
lavage fluid used for patients before and after treatment(P<0.05). No significant
adverse reactions occurred during follow-up.Conclusion:Hydrogen-enriched saline
is safe and effective for allergic rhinitis.
PMID- 29798077
TI - [Expression and role of IL-18 in chronic rhinosinusitis].
AB - Objective:To study the expression and role of interleukin-18 (IL-18) in different
clinical phenotypes of chronic rhinosinusitis(CRS)and in different subtypes of
CRS with nasal polyps (CRSwNP).Method:During nasal endoscopic surgery, inferior
turbinates were obtained from 13 patients with nasal septum deviation (control
group),uncinate processes were obtained from 10 patients with chronic
rhinosinusitis without nasal polyps (CRSsNP) and nasal polyp tissues were
obtained from 36 patients with CRSwNP, respectively. IL-5 expression in CRSwNP
was detected by ELISA, and the expression of IL-18 mRNA and protein in different
subtypes of CRS were assessed by real-time PCR, ELISA and
immunohistochemistr.Result:CRSwNP was divided into 12 cases of IL-5 positive
nasal polyps (IL-5+NP)group and 24 cases of IL-5 negative nasal polyps (IL-5
NP)group. The expression of IL-18 mRNA and protein in all CRSsNP,IL-5+NP and IL-5
NP groups were higher than that in control group (P<0.01 or P<0.05),but no
significant difference existed between IL-5+NP and IL-5-NP (P>0.05) group. IL-18
also expresses in epithelial cells of normal nasal mucosa.Conclusion:CRSwNP can
be divided into two main subtypes: IL-5+NP and IL-5-NP. The increasing expression
of IL-18 in CRSsNP, IL-5+NP and IL-5-NP compared with control group indicates
that IL-18 may play a key role in the pathogenesis of CRS, and IL-18 expression
in nasal polyps is not affected by the type of inflammation. The possible
presence of balance between IL-18 and IL-18 binding protein in normal nasal
mucosa provides a new way for research and treatment of CRS.
PMID- 29798078
TI - [Multiple-factor analysis of serum allergen distribution of patients with
allergic rhinitis and level of main allergen IgE in Shenyang area].
AB - Objective:To discuss multiple-factor analysis of serum allergen distribution of
patients with allergic rhinitis and level of main allergen IgE in Shenyang area,
and to provide a scientific basis for the prevention of allergic rhinitis in this
area.Method:Serum IgE was detected in 749 cases[501 cases of male (66.8%), and
248 cases of female (33.2%)], with allergic rhinitis.The age range was from 3 to
65 years old, and they were divided into 5 groups based on age. A questionnaire
survey was conducted to analyze the distribution of serum allergens and to carry
out a multiple-factor analysis of level of the main allergen IgE in
patients.Result:The primary allergen was house dust mite/dust mite in each age
group, and the differences in the positive rate of elm, mold, cat/dog fur scurf,
cockroach and ragweed among different groups were statistically
significant(P<0.01 or P<0.05).The ingested allergen sequencing for all age
groups: the distribution of 7-14 years old group and 15-35 years old group were
consistent with that of the whole, among the under 6 years old group, mango and
pineapple was ranked 1st,beef and mutton was ranked 2nd,the occurrence rate of
shrimp and crab rose to the 3rd place, among the 36-60 years old group, mango,
pineapple and milk was ranked 1st, egg was ranked 2nd, and beef and mutton ranked
3rd, the differences in positive rate of mango and pineapple, beef and mutton,
crab and nut among all groups were statistically significant(P<0.01 or
P<0.05).The IgE level of cockroach was impacted by the allergic history, home
cultivation of flowers and plants and animal domestication. The IgE level of mold
was impacted by sex, allergy history, home rearing of pet and furniture updates.
The IgE level of wormwood was impacted by allergy history and asthma history. The
IgE level of peanut was impacted by age and allergy history. The IgE level of egg
was impacted by history of food and drink allergy, home cultivation of flowers
and plants and home rearing of pet. The IgE level of crab was impacted by the
allergy history and home rearing of pet.Conclusion: The primary inhaled allergen
in all groups is house dust mite/dust mite, and the ingested allergen varies in
each group, which has provided a reference basis for prevention of ingested
allergy.The varying influence factors for IgE level of primary allergens could be
used to prevent the patients from contacting the allergen,and each risk factor
has become a focus of prevention and control for patients, offering a major help
to the prevention and treatment of allergic rhinitis.
PMID- 29798079
TI - [The application of cattle acelluar dermal matrix xenograft associate with
conchoplasty in the open mastoidectomys].
AB - Objective:To study the value of acelluar dermal matrix xenografts associated with
conchoplasty in the open mastoidectomy.Method:One hundred and thirty-three cases
of chronic otitis media undergoing open mastoidectomy and conchoplasty were
enrolled in this study. The effects were analyzed and compared between 70 cases
in plastic group repaired by acelluar dermal matrix xenografts and 63 cases in
control group.Result:The epithelization time is 28.5d and the dry-ear time is
27.15 d in plastic group. In control group, they were 60.75d and 44.35d
respectively. The difference had statistical significanceConclusion:The
application of cattle acelluar dermal matrix xenograft associated with
conchoplasty in the open mastoidectomy is beneficial to the recovery of the
cavity which can shorten mastoid cavity epithelization time, promoting dry ear,
reducing postoperative infection and granulation.
PMID- 29798080
TI - [The bacteriologic features of recurrent acute rhinosinusitis].
AB - Objective:To investigate the bacteriologic characteristics of recurrent acute
rhinosinusitis(RAR).Method:Twenty-nine patients (29 with RAR) from outpatient
clinic in our hospital between June 2010 and May 2016 were enrolled in the study.
Specimens of the middle meatus or olfactory cleft area using the sinus endoscopy
through were transported to the laboratory for bacterial culture.Result:Twenty
five specimens out of 29 were bacterial culture positive (culture positive rate
was 86.2%).A total of 32 isolates (25 aerobic or facultative and 7 anaerobic)
were recovered from the 29 cases of RAR. The predominant aerobic or facultative
bacteria were Streptococcus pneumoniae, Haemophilus influenzae, Moraxella
catarrhalis, and Staphylococcus aureus. The predominant anaerobic bacteria were
Bacteroides fragilis and Propionibacterium. Antibiotic susceptibility tests
showed that the resistance rate of these aerobic or facultative bacteria to
Macrolides (Erythromycin and Azithromycin) and quinolones (Levofloxacin) was 88%
and 92%, respectively. Similarly, the resistance rate of bacteria to beta
lactamase antibiotics (penicillin, ampicillin, and cefazolin) was also greater
than 90% (100%, 92%, and 92%, respectively). But the drug resistance of these
bacteria to the third?generation cephalosporin combined with beta lactamase
inhibitors (Cefoperazone/sulbactam) was 20%. Among the 7 strains of anaerobic
bacteria, 6 strains were sensitive to ornidazole.Conclusion:The major pathogens
of RAR are the aerobic and facultative bacteria Streptococcus pneumoniae,
Haemophilus influenzae, Moraxella catarrhalis, and Staphylococcus aureus, most of
which are resistant to commonly used antibiotics.
PMID- 29798081
TI - [Pathological analysis and treatments of neck infection induced by congenital
pyriform sinus fistula].
AB - Objective:To identify the pathogenic bacteria of CPSF and their resistance to
antibiotics,and guide the rational use of antibiotics therapy.Method:One hundred
and thirty cases of deep neck infection whose imaging finding depicted patients
with suspected as CPSF were enrolled in the study from January 2010 to June
2017.Specimens were collected from abscesses or other inflammatory lesions from
all patients through a small incision or the external orifice on the skin of the
neck.Result:A total of 108 strains of pathogens have been isolated from 88
patients (positive rate: 67.7%), among which the gram-positive bacteria accounted
for 56.5%, gram-negative bacteria accounted for 43.5%. Streptococcus and
Staphylococcus aureus (22.2%) were the most common pathogens among young patients
(<=14 years old) (17.7%);Klebsiellapneumoniae (15.7%) were the most common
pathogens among the patients over 14 years old. Separation of pathogenic bacteria
have maintained a low resistance to most antibiotics. G+ bacteria is totally
sensitive to quinupristin/dalfopristin and vancomycin; G-bacteria is totally
sensitive to cefoperazone/Batan, piperacillin/tazobactam,imipenem.Conclusion:Most
of pathogens come from upper respiratory tract in CPSF cases, and are mostly
sensitive to beta?lactamase.
PMID- 29798083
TI - [Analysis of lymph node jump metastasis in papillary thyroid carcinoma].
AB - Objective:To summarize the metastatic feature of lateral neck lymph node
metastasis in papillary thyroid carcinoma.Method:Clinical and pathological data
were collected from 301 patients who were first diagnosed as PTC in our hospital.
These patients were divided into positive and negative status of lateral cervical
lymph nodes and lymph node jump metastasis. Chi-square test and Logistic
regression analysis were used to evaluate the relationship between lateral
cervical lymph node status and clinicopathology.Result:Tumor size over 2cm,
Unilateral thyroid gland contains multiple foci,multiple foci, capsular invasion,
ultrasound feature of PTC,the main foci located in the isthmus and the pole of
gland, trachea/parathyroid lymph nodes positive were associated with the lymph
node jump metastasis in papillary thyroid(P<0.05).Conclusion:Multiple foci in
single gland, multifocal foci, calcification and the primary tumor involving the
superior pole and middle of the thyroid gland are independent influencing factors
for skip lateral lymph node metastasis in PTC(P<0.05).
PMID- 29798082
TI - [Effects of metformin on papillary thyroid carcinoma in nude rats model].
AB - Objective:To establish an subcutaneous xenotransplanted tumor model of papillary
thyroid carcinoma (PTC) and investigate the role of metformin in apoptosis of
PTC.Method:Model rats were randomly divided into four groups: control group, Met
group and Met+DM group. The tumor volumes were recorded each week. Flow cytometry
was used to detect the apoptosis rate of tumor. Immunohistochemistry was used to
detect CyclinD1 and the cellular proliferative activity index PCNA and Ki
67.Result:Compared to the control group,there were a significant decrease in
tumor volume and antitumor effect in Met and Met+DM groups. The apoptosis rate
increased in Met and Met+DM groups. While compared with the control group, the
expressions of PCNA,CyclinD1 and Ki-67 significantly decreased in Met and Met+DM
groups. There was no difference between Met and Met+DM group.Conclusion:The
effect of Metformin on inhibiting tumor growth were related with the imbalance of
cell proliferation and apoptosis.
PMID- 29798084
TI - [Repair of head and neck malignant tumor after radiotherapy tissue defects by
deltopectoral flap].
AB - Objective:To investigate the clinical effect of deltopectoral flap on neck soft
tissue defect after radiotherapy of head and neck malignant tumor.Method:Five
cases of repair with thoracic peninsula and island skin flap for neck soft tissue
defects after radiotherapy were included in the study.Result:All of the five
flaps survived successfully. However, two patients had exudation on the incision
sutures and 1 case has incision dehiscence. However, the wound was healed with
the measure of the shoulder brake and wound resutured. In 1 case, the skin and
soft tissue of the lateral neck were necrotic, with the contralateral
deltopectoral flap to repair after healing. There were no complications after
repair of skin flap in 1 patient.Conclusion:The deltopectoral flap is found as a
efficant tissure for repair of head and neck tissue defects after radiotherapy.
PMID- 29798085
TI - [Cause analysis of non dry ear after canal wall down mastoidectomy].
AB - Objective:To investigate the possible causes of non dry ear after canal wall down
mastoidectomy(CWD).Method:Clinical data of 42 patients undergoing revision CWD in
our hospital were analyzed retrospectively,which included
history,symptoms,signs,audiological examination,imaging examination,
intraoperative findings and postoperative follow-up.All patients underwent CWD
and tympanoplasty under general anesthesia.Result:Twenty-six cases were
insufficient opened of sinodural angle,36 cases remained mastoid air cell(9 cases
is mastoid tip air cell remained),13 cases were inadequate exposured of anterior
epitympanic recess, 23 cases were inadequate exposured of posterior tympanum, 25
cases had high facial ridge, posterior facial nerve and labyrinth air cell were 5
cases,3 cases had granulation in round window, 5 cases had dysfunctional
eustachian tube, 32 cases had narrow external acoustic meatus. During 3 months,6
months and 1 year follow-up, all 42 cases patients under revision CWD presented
with dry ears.Conclusion:Insufficient opened of sinodural angle and mastoid air
cell,inadequate exposured of anterior epitympanic recess and posterior tympanum,
high facial ridge, narrow external acoustic meatus are all the possible causes of
no-dry ear after CWD; As the location of concealment, posterior facial nerve and
labyrinth air cell are difficult to clean. The dressing cavity do not be cleaned
in time after operation is also the underlying cause of postoperative non-dry
ear.
PMID- 29798086
TI - [Local combined with systemic glucocorticoid treatment of young and middle-aged
patients with severe and profound hearing loss].
AB - Objective:To investigate the clinical effect of local combined with systemic
glucocorticoid injection on young and middle-aged patients with severe and
profound hearing loss. Method:Ninety-three cases of young and middle-aged
patients with severe and profound hearing loss admitted to our hospital from May
2013 to May 2017 were retrospectively analyzed. Patients were divided into two
groups according to the different treatment. Forty-six cases in control group
were treated with systemic injection of glucocorticoids.Forty-seven cases in
observation group were treated with local administration combined with systemic
glucocorticoid therapy. The efficacy, changes of average hearing threshold and
the incidence of adverse reactions were evaluated.Result:The total effective rate
in the observation group was 68.09%, which was significantly higher than that in
the control group (45.65%,P<0.05).After treatment, the average hearing thresholds
in the observation groups of 0.5,1.0,2.0 and 4.0kHz were(35.77+/-13.28)dB
HL,(39.71+/-14.09)dB HL, (32.99+/-10.73)dB HL and (30.17+/-9.29)dB HL
respectively,which were significantly higher than that in the control
group(26.30+/-14.03)dB HL,(25.14+/-13.28)dB HL,(20.54+/-9.27)dB HL,and (20.98+/
8.71)dB HL(P<0.05).Among all the patients received systemic injection of
dexamethasone sodium phosphate injection,there was no other complications were
found except for one patient whose blood pressure instability was relieved after
treatment stopping. In the observation group, intratympanic methylprednisolone
sodium succinate did not cause serious complications such as tympanic cavity
infection and perforation of the tympanic membrane on the basis of systemic
injection.While in the observation group,one case complained of earache and one
case complained of a slight burning sensation in the ear, and both of them eased
after rest.Conclusion:Local combined with systemic glucocorticoid treatment of
young and middle-aged patients with severe and profound hearing loss can
significantly improve the efficiency and increase the average hearing threshold
changes at 0.5,1.0,2.0 and 4.0 kHz,and there was no serious adverse reactions.
PMID- 29798087
TI - [The effects of postauricular injection of methylprednisolone on medium-high
frequency sudden hearing loss].
AB - Objective:To study the effects of postauricular injection of methylprednisolone
on medium-high frequency sudden hearing loss.Method:The data of 45 patients with
invalid treatment who were diagnosed as medium-high frequency sudden hearing loss
were retrospectively studied. They were divided into postauricular injection
group and non-postauricular injection group. The treatment efficiency and hearing
improvement at each frequency were compared between the two groups.Result:The
improvements of hearing threshold in the non-postauricular injection group
were(6.30+/-5.00)dB HL,(3.80+/-5.52)dB HL,(5.35+/-5.50)dB HL,(15.60+/-11.84)dB
HL,(19.60+/-13.46)dB HL,(15.40+/-12.90)dB HL at 250, 500, 1000, 2000, 4000 and
8000Hz, respectively. The hearing improvements of the postauricular injection
group were (5.35+/-6.22)dB HL,(2.50+/-3.00)dB HL,(4.65+/-6.60)dB HL,(23.75+/
10.75)dB HL,(25.75+/-11.73)dB HL,(30.50+/-14.50)dB HL at 250, 500,1000,2000,4000
and 8000Hz, respectively. There were significant differences between the two
groups in hearing improvements at 2000-8000Hz. The treatment effective rates were
44% and 80% for the non-postauricular injection group and postauricular injection
group respectively, which showed a significant
difference(chi2=8.385P<0.05).Conclusion:Postauricular injection of
methylprednisolone as a remedy treatment is safe and effective for sudden hearing
loss in middle and high frequency.
PMID- 29798088
TI - [Clinical analysis of combined treatment in 87 patients with recurrent allergic
fungal rhinosinusitis].
AB - Objective:To evaluate the effects of combined treatment with FESS on
postoperative recurrent allergic fungal rhinosinusitis treatment.Method:Eighty
seven patients with allergic fungal sinusitis under combined treatment were
investigated.Result:All patients were followed up for more than one year.Clinical
symptoms had greatly improved after treatment compared with before
treatment.Postoperative VAS score and Lund-Mackay score were lower than
preoperative ones.Out of 87 patients,42 patients were successfully cured, 37
patients showed improvement, but there was no change in other 8 patients. The
total efficacy rate was 90.81%.Conclusion:The combined treatment of recurrent
allergic fungal rhinosinusitis treatment has achieved good effect. We should not
only open sinus and remove the fungi, but also should pay attention to the
elimination of inflammatory response in the treatment of recurrent allergic
fungal rhinosinusitis.
PMID- 29798089
TI - [The differences of myringoplasty performed in active and inactive nflammatory
stage].
AB - Objective:Through comparing of simple underlay myringoplasty performed in active
and inactive inflammatory stage of the chronic otitis media, to explore how the
tympanic cavity inflammation affect the outcome of the myringoplasty.Method:Forty
six ears were enrolled in active inflammation group (group A,n=46), and 52 ears
were enrolled in inactive inflammation group (group B,n=52). All the patients had
received the simple underlay technique myringoplasty. The following-up with
otoscope and auditory test had been done in post-operative 12 months.Result:There
was no statistic difference of gender and age between two groups. The graft taken
up rate of the group A was 87,0%, that of group B was 90,4%, and there was no
statistic difference between two groups(P>0.05).There was no statistic difference
of auditory threshold in pre-operative period(P>0.05) and the ABG closure in post
operative period(P>0.05)between two groups. The re-perforation rate of the
tympanic membrane also has no difference between two
groups(P>0.05).Conclusion:There is no difference of the underlay technique
myringoplasty between the active inflammatory stage and inactive inflammatory
stage. The active inflammatory condition of the tympanic cavity is not the
contraindication of the myringoplasty.
PMID- 29798090
TI - [Isolated vertigo as the first symptom of posterior circulation
infarction:reporot of 11 cases].
AB - Objective:To analyze the clinical characteristics of patients with cerebellar and
brainstem infarction who initially presented with isolated vertigo to avoid
misdiagnosing of this disease.Method:Eleven patients with cerebellar and
brainstem infarction who initially presented with isolated vertigo treated in our
clinic between January 2014 and September 2017 were reviewed and the clinical
characteristics and imaging presentation of the patients were
evaluated.Result:Vertigo as the first attack was in 5 cases, recurrent attacks
was in 6 cases,10 cases were with vascular risk factors except for 1 case,
initially diagnosed as vestibular neuritis was 4 cases, Meniere's disease was 1
case, posterior circulartion ischemia was 1 case,and unknown causes was 5 cases;
delayed neurological symptoms and signs occurring was 4 cases, but not in other
cases; finally determined by brain MRI as acute cerebellar infarction was 5
cases, brainstem infarction was 5 cases, and concurrent cerebellar and brainstem
infarction was 1 case. All patients had good prognosis.Conclusion:Isolated
vertigo due to posterior circulation infarction is easy to be misdiagnosed as
peripheral vertigo.Patients presenting with isolated vertigo, when with vascular
risk factors, should receive MRI and DWI examinations. Properly diagnosis and
treatment may lead a good prognosis.
PMID- 29798091
TI - [Preliminary exploration for recurrent secretory otitis media treatment by
balloon dilation Eustachian tuboplasty combined with tympanotomy tube insertion].
PMID- 29798092
TI - [Effect observation of low-temperature plasma radiofrequency ablation under
endotoscope in treatment of otitis media with effusion in the adult].
PMID- 29798093
TI - [Nasopharyngeal atypical carcinoid misdiagnosed as a cyst:one case report].
AB - Atypical carcinoid ,a kind of neuroendocrine tumor(NET),rarely shows its
malignant manifestations firstly in otorhinolaryngology including atypical
clinical features of symptoms such as rapid tumor growth, extensive invasion,
high rate of metastasis and poor prognosis. Based on the clinical data of a
nasopharyngeal atypical carcinoid patient diagnosed and treated recently in our
hospital,a literature review including its biological behavior, diagnosis and
treatment methods was present so as to further improve the understanding of such
kind of tumor.
PMID- 29798094
TI - [Clinical significance and research progress of pepsin in laryngopharygeal reflux
and laryngeal carcinoma].
AB - Laryngeal carcinoma is a common malignancy, and the incidence of this disease is
on the rise. In recent years, more and more studies of the etiology and risk
factors have confirmed the correlation between laryngopharygeal reflux and the
incidence of laryngeal carcinoma. Laryngopharygeal reflux is defined as reflux of
the stomach contents above the upper esophageal sphincter. Stimulation and injury
of acid to the esophagus and throat mucosa have now been studied more thoroughly,
and pepsin plays an increasingly important role in laryngopharygeal reflux
disease. The incidence of laryngopharygeal reflux in patients with laryngeal
carcinoma reported in the literature was 54.0%-88.7%, mainly because of mucosal
injury due to the combined effect of gastric acid and pepsin. This article
reviews the significance of pepsin in laryngopharygeal reflux, its mechanism of
action and related clinical detection methods.
PMID- 29798095
TI - [Petrous bone cholesteatoma].
PMID- 29798096
TI - [A randomized controlled trial on short-term efficacy of the modified Semont
maneuver for the treatment of posterior canal benign paroxysmal positional
vertigo].
AB - Objective:To observe the short-term efficacy of modified Semont maneuver for
posterior canal benign paroxysmal positional vertigo (PC-BPPV)Method:This was a
prospective randomized controlled trial on 130 PC-BPPV patients. Subjects were
randomized divided into two groups: modified Semont (65 patients),and Epley(65
patients).Each maneuver was repeated twice,and the presence of sequelae,nystagmus
and vertigo on positional testing were evaluated 3rd day and 1 week after
treatment.Result:Five patients were lost to follow up (all five in the modified
Semont group),and three patients failed to complete treatment (all three in the
Epley group). The sequelae at the 3rd day and one week after modified Semont
maneuver were 27 and 9,while 41 and 15 in Epley group. The efficacy rates at the
3rd day and one week after modified Semont maneuver were 91.7% and 98.3%,and
91.9% and 96.8% in Epley group retrospectively. The sequelae and short-term
effective rate of patients in modified Semont group was no difference when
compared with that in Epley group (P>0.05).Conclusion:Modified Semont maneuver
represents a simple rapid and effective approach to the treatment of posterior
canal benign paroxysmal positional vertigo.
PMID- 29798098
TI - [Study of low frequency release time in WDRC on hearing-impaired individual in
mandarin language environment].
AB - Objective:To investigate the influence of low frequency release time of WDRC(wide
dynamic range compression)to speech recognition score (SRS) of deaf subjects with
hearing aids in mandarin language environment.Method:Release time constants are
set differently from the values of 50 ms,100 ms,200 ms,400 ms and 800 ms in low
frequency (LF) channel,with 3 kinds of shaped noise,combining a certain LF
release time constant with a certain shaped noise forms 15 different groups of
experimental conditions. The testing material is mandarin sentences which are the
outputs of simulation in the 15 different release time combinations.Result:If the
release time is set the value of 400 ms,the patients with hearing aids can get
the best mandarin speech intelligibility; the type of babble noise have
significant effects on mandarin SRS.Conclusion:Statistical analysis of test
results shows that the release time in LF channel or the type of shaped noise
trends to influence mandarin SRS.
PMID- 29798097
TI - [Different states of otitis media were retrospectively analyzed of
myringoplasty].
AB - Objective:Through analysis of the tympanic membrane perforation rate and hearing
improvement rate with chronic suppurative otitis media (CSOM) by myringoplasty,to
observe the effect of different preoperative conditions such as middle ear
lesion,eustachian tube (ET) function and infection status on the postoperative
curative effect.Method:Retrospective analysis of EENT Hospital of Fudan
University from January 2012 to November 2016 during the 203 cases (203 ears)
with clinical data of myringoplasty: according to intraoperative exploration of
the presence of purulent secretions in the tympanic cavity,divided into infection
active group and infection quiescence group; according to the preoperative
results of the function of ET with acoustic impedance test divided into
dysfunction or good group; according to the preoperative temporal bone HRCT
suggested that the middle ear (mastoid cells,tympanic antrum and tympanic cavity)
with or without soft tissue density,divided into the group with no focal lesion
and the group with focal lesion. Review endoscopy and pure tone audiometry after
3 to 6 months. Using SPSS 19.0 statistical software to compare the differences
among them of tympanic membrane perforation rate and hearing improvement
rate.Result:Single factor analysis: 1The infection quiescence group of tympanic
membrane perforation rate was 11.93%(13/109),higher than the infection active
group [8.51%(8/94)]; the infection quiescence group of hearing improvement rate
was 75.23%(82/109),below the infection active group [79.79%(75/94)],no
statistically significant differences of them (P>0.05).2The group with
dysfunction of ET of tympanic membrane perforation rate was
14.06%(9/64),significantly higher than the group[4.04%(4/99)]with good function
ET,with statistical difference of them (P<0.05); the group with dysfunction of ET
of hearing improvement rate was 76.56% (49/64),lower than the
group[81.82%(81/99)]with good function of ET,no significant difference of them
(P>0.05).3The group with no obvious lesion of tympanic membrane perforation rate
was 9.09% (10/110),lower than the group [11.83%(11/93)]with focal lesion; the
group with no obvious lesion of hearing improvement rate was 78.18%
(86/110),higher than the group with focal lesion [76.34%(71/93)],no significant
difference of them (P>0.05).Multivariate analysis: 1There was a significant
correlation of postop-erative tympanic membrane perforation rate with
preoperative ET functi-on (P<0.05).There was no significant correlation of the
postoperative tympanic membrane perforation rate with the infection status and
the temporal bone HRCT images in the middle ear lesions (P>0.05).2There was no
significant correlation of the postoperative hearing improvement rate with the
infection status,the ET function and the temporal bone HRCT images in the middle
ear lesions (P>0.05).Conclusion:Dysfunction of ET and localized lesions of the
ear were more common in infection active;the accumulation of purulent exudate on
the surface of the tympanic mucosa and localized lesions of the middle ear did
not affect the healing rate of the tympanic membrane and the hearing improvement
rate; ET function is one of the most important factors that affect the healing
rate of tympanic membrane after operation,the patients with ET dysfunction are
more likely to affect tympanic membrane perforation,but does not affect the
hearing improvement rate.
PMID- 29798099
TI - [The exploration of VEGF-B methylation in the nasal polyp].
AB - Objective:The objective of this study is to investigate the methylation status of
vascular endothelial cell growth factor B (VEGF-B) gene and to indentify the
roles in pathogenesis,development and classification of nasal polyps.Method:The
methylation status of VEGF-B gene of 28 nasal polyp tissues and 12 samples of
inferior turbinate tissues were detected by methylationspecific-polymerase chain
reaction (MS-PCR) and gene sequencing.Result:There was significant statistic
diference between nasal polyp tissue group and control group (chi
2=4.096,P<0.05). The results of gene sequencing suggest that the VEGF-B gene
promoter were hypomethylation status in the nasal polyps.Conclusion:Methylation
status of VEGF-B promoter may play an important role in the pathogenetic
mechanism of nasal polyps.
PMID- 29798100
TI - [Prevalence and risk factors of allergic rhinitis: a Meta-analysis].
AB - Objective:To clarify the morbidity and risk factors of allergic rhinitis (AR) in
China so as to provide scientific basis for prevention of AR in the relevant
populations.Method:Pubmed,Embase,Web of science,Cochrane Library,CNKI,VIP,Wanfang
Data,CBM databases were searched for associated studies. The prevalence and risk
factors of AR in China were retrieved from individual studies and the pooled
estimates generated by R3.2.3 software.Result:Thirty-one cross-sectional studies
were included in the Meta-analysis. The results indicated that the incidences of
AR in Chinese children were 15.79%(95%CI 15.13-16.45).The highest prevalence is
17.20% in central China,the lowest is 13.94% in eastern China. The incidences of
AR in Chinese adult were 13.26% (95%CI 12.05-14.47).The highest prevalence is
15.45% in southern China,the lowest is 10.93% in southwestern China. The pooled
odds ratio (OR) values of family history (5.40),dust exposure history (2.04),drug
allergy history (2.83),history of asthma(4.45),environmental tobacco
smoking(ETS)(2.00),water damage (1.50),upholstering(1.41),pollen
allergy(17.18),molds(1.31),keeping pets (1.29),cockroach (1.69).Conclusion:A
study on the epidemic tendency of AR in China showed the morbidity of AR in
Chinese children is higher than adult. Moreover,prevalence vary from region to
region. Eleven kinds of risk factors mentioned above play imperative roles in the
pathogenesis of AR. The early interventions which are associated with risk
factors should be implemented in AR.
PMID- 29798101
TI - [Application of MDCT and post-processing in children with tracheal foreign body].
AB - Objective:To explore the clinical value of MDCT and post-processing in children
with suspected foreign body in trachea.Method:Two hundred patients with suspected
tracheal foreign body were treated with 64-slice spiral CT (MDCT) and simulated
endoscopic imaging,then compared with seen in bronchoscopy; Compare the results
of MDCT and post-processing with the coincidence of intraoperative
findings.Result:One hundred and eighty-four cases of positive children were
examined by MDCT postprocessing technique and bronchoscopy,179 cases were
obstructed by exogenous foreign body,5 cases were phlegm thrombosis (endogenous
foreign body) obstruction; 16 cases of children with no foreign body diagnosed by
MDCT post-processing technique were also examined by bronchoscopy. The results
showed that there was a foreign body in 1 case,and the foreign body was located
in the pharyngeal cleft (watermelon spermoderm),and the remaining 15 cases had no
foreign body. MDCT and post-treatment techniques for children with tracheal
foreign body diagnosis of 99.4% sensitivity,specificity of 75%.There was no
significant difference in the detection rate of foreign body between the two
techniques of MDCT and post-processing technique and bronchoscopy (P>0.05).
Examed by MDCT and post?processing,we can understand the specific location and
size of foreign body,nature,shape preoperatively.Conclusion:Although MDCT and
post-processing techniques can not be used to treat tracheal foreign bodies,but
the diagnostic rate of bronchial foreign bodies is comparable to that of
bronchoscopy. We could apply MDCT examination and post processing before the
bronchoscopy,for foreign body inhalation history is not clear,or suspected airway
foreign body. We can improve the level of diagnosis and treatment through guiding
the operation of bronchoscopy focus.
PMID- 29798102
TI - [Experimental study of using chitosan nerve conduit combined with PRP to repair
facial nerve defect].
AB - Objective:The purpose of this study was to produce chitosan nerve conduit in
order to investigate the feasibility of chitosan nerve conduit combined with
autologous platelet-rich plasma (PRP) for repairing facial nerve
defects.Method:Forty New Zealand white rabbits were randomly divided into four
groups (n=10 in each group).Establishment of the facial nerve defect model of the
upper buccal branches was placed in the nerve regeneration catheter and injected
with the same amount of PRP and saline. The PRP was injected into the chitosan
nerve conduit as group A. The physiological saline was injected into the chitosan
nerve conduit as group B. The physiological saline was injected into the silicone
tube as group C. The PRP was injected into the silicone tube as group D. Eight
weeks later,facial nerve gross observation,facial nerve electrophysiological
tests,histological observation,image analysis,valuation of nerve regeneration
recovery were detected.Result:Five patients were lost to follow up (all five in
the modified Semont group),and three patients failed to complete treatment (all
three in the Epley group). The sequelae at the 3rd day and one week after
modified Semont maneuver were 27 and 9,while 41 and 15 in Epley group. The
efficacy rates at the 3rd day and one week after modified Semont maneuver were
91.7% and 98.3%,and 91.9% and 96.8% in Epley group retrospectively. The sequelae
and short-term effective rate of patients in modified Semont group was no
difference when compared with that in Epley group (P>0.05).Conclusion:The
chitosan nerve conduit combined with PRP has a certain effect on the repair of
facial nerve defects and is expected to be applied to the repair of clinical
facial nerve defects.
PMID- 29798103
TI - [A study of the curative effect of endoscopic-assisted posterior nasal neurotomy
on patients with moderate severe persistent allergic rhinitis].
AB - Objective:To investigate the feasibility and effect of endoscopic-assisted
posterior nasal neurotomy on patients with moderate-severe persistent allergic
rhinitis (AR).Method:Endoscopic-assisted posterior nasal neurotomy were operated
on 32 moderate-severe persistent AR patients who were insensitive to drugs and
desensitization treatment,and curative effect were evaluated by scoring according
to the diagnosis and curative effect evaluation standard of AR.Result:Follow-up
evaluations were perfected after one year. Among them 17 cases were markedly
effective,15 cases were effective,and the rate of total effective was
100%,without complications such as nose bleeds,dry eye,etc.Conclusion:The
advantages of endoscopic-assisted posterior nasal neurotomy on moderate-severe
persistent allergic rhinitis patients include the localization of posterior nasal
nerve is clear,easy to operate,the short-term effect is remarkable,and less
complications,therefore it's worth using on the AR patients who are failing in
drugs and specific immune treatment.
PMID- 29798104
TI - [The features of c/oVEMP in patient with unilateral Meniere's disease].
AB - Objective:To evaluate the difference in c/oVEMPs between patients with MD and
normal controls.Method:Twenty-two patients with unilateral MD and 21 healthy
subjects (as normal controls) were prospectively examined with c/oVEMPs. The
results were compared between these two groups. MD group were divided into two
groups according to the pure tone average threshold: group1 PTA<=40 dBnHL,group2
PTA>40 dBnHL,and then compared their results. A commercially available software
package SPSS 19.0 was used for statistical analysis.Result:The latency and
amplitude of cVEMP and oVEMP in the affected side and contralateral side of the
MD group were no significant difference. Compared with normal controls,the
latency of P1 and N1 was prolonged,the amplitude was decreased in the MD group's
cVEMP. The amplitude was decreased in the MD group's oVEMP,but the latency was
not prolonged. Group 2's extraction rate of cVEMP was significantly lower than
group 1.Conclusion:An increased occurrence of abnormal c/oVEMP recordings
appeared in MD patients,possibly as a result of hydrops of the otolith. The
saccular dysfunction may be more common than utricular dysfunction.
Furthermore,that otolith damage is gradually increasing with the aggravation of
cochlear injury in MD.
PMID- 29798105
TI - [Clinical analysis of chronic tonsillitis in 12 HIV/AIDS patients].
AB - Objective:To explore the clinical characteristics and efficacy of chronic
tonsillitis in HIV/AIDS patients.Method:Clinical features and effects were
retrospectively analyzed in 12 HIV/AIDS cases with chronic
tonsillitisin.Result:All subjects were men with an average age of(26.4+/
8.7)years; the common clinical symptoms included sore
throat(12/12),fever(7/12),snoring(6/10); tosils in these patients were most
frequently found in III grade enlargement (9/12); 11 patients who were performed
tonsillectomy,were satisfied with having chosen tonsillectomy with a mean score
of(9.5+/-0.8),sore throat episodes and snoring were disengaged after operation in
10 cases,sore throat episodes was significantly improved from 12/year to 2/year
in 1 case.Conclusion:Chronic tonsillitis in HIV/AIDS patients occurred more in
young and middle-aged men with typical clinical manifestations of sore
throat,fever and snoring. Under strict indication,tonsillectomy is an effective
treatment for chronic tonsillitis in HIV/AIDS patients.
PMID- 29798107
TI - [Clinic effects observation of glucocorticoid inhalation nebulization treating
children with secretory otitis media].
PMID- 29798106
TI - [Analysis of olfactory dysfunction after endoscopic and microscopic endonasal
transsphenoidal surgery for pituitary adenoma].
AB - Objective:To analyze the clinical data of patients with olfactory dysfunction
after endoscopic or microscopic endonasal transsphenoidal approach,and to screen
out the possible related factors,to guide clinical diagnosis and treatment,and to
improve the patients' quality of life.Method:In the retrospective study,we
analyze patients' data,and follow up them with telephone,records the olfactory
levels before and after surgery. In the prospective study,five odors were applied
to test the olfactory function before the surgery,then one week,3 weeks and 6
weeks after that. The results were statistically analyzed.Result:In the
retrospective study,olfactory dysfunction occurred in 67.74%,47.37%in MTS group
and ETS group. The olfactory disorder had no significant difference (P>0.05)
among MTS group and ETS group after surgery. And the analysis of factors showed
no significant difference. In the prospective study,there is significant
difference between the preoperative results and postoperative results about the
operative side and nonoperative side in ETS group and MTS group (P<0.05). In all
results,there is no significant difference between operative side and
nonoperative side in ETS group and MTS group (P>0.05).Conclusion:There is
olfactory dysfunction after transsphenoidal surgery to resect pituitary adenomas.
To prepare the nasal condition well preoperatively,protect the nasal structure
intraoperatively and aplicate appropriate treatment postoperatively may reduce
the incidence of olfactory disorder.
PMID- 29798108
TI - [Clinical diagnosis and treatment for button battery in esophagus in children].
PMID- 29798110
TI - [Synovial sarcoma of infratemporal fossa and pterygopalatine involving maxillary
sinus 1 case and the literature review].
AB - We report a case which involves the maxillary sinus by original of synovial
sarcoma of infratemporal fossa and pterygopalatine. A 40-years-old man presented
with a history of tumor under the earlobe. It is derived from the synovial
sarcoma under temporal fossa after tumor excision. The patient is limited to open
his mouth and nasal obstruction. The nasal pathologic examination consider
synovial sarcoma.We report the case to improve doctors' comprehension of its
pathogenesis,clinical manifestations,teeatment and prognosis.
PMID- 29798109
TI - [Neurosyphilis and HIV co-infection with the initial symptom of sudden deafness
and optic atrophy: a case report].
AB - A 46-year-old male presented with left ear hearing loss,vertigo,tinnitus and left
eye blurred vision. Pure tone audiometry showed left ear had been total deafness.
Treponema pallidum particle agglutination (TPPA) assay was reactive. The serum
rapid plasma regain (RPR) was 1?16. Human immunodeficiency virus(HIV) 1/2
antibody immunoassay was positive,and the CD4 cell count was low at 100
cells/MUl. Cerebrospinal fluid (CSF) TPPA was positive,with a white blood cell
count of 53*10 6 /L and protein level of 1.08 g/L.The diagnosis was:1left ear
sudden deafness(total deafness type);2neurosyphilis;3acquired immune deficiency
syndrome(AIDS).
PMID- 29798111
TI - [Surgical treatment progress of OSAHS with multiplane obstruction].
AB - For OSAHS patients with multiplane obstruction,the surgery aimed to single-plane
can not receive satisfactory results,while perioperative risks increased
significantly. In this paper,in order to provide safe and effective diagnosis and
treatment strategies,the assessment,the surgical treatments and effects of
different obstructive planes are reviewed.
PMID- 29798112
TI - [Progress of sino-nasal IgG4-related disease].
AB - IgG4-related disease is a newly recognized systemic fibro inflammatory disorder
that affects the sino-nasal region. It is a rare and emerging entity that can
present with bony and soft-tissue invasion,the final diagnosis of this disease
mainly depends on pathological examination and majority of patients receiving
corticosteroids responded very well to treatment. Thus,Our goal was to highlight
the sino-nasal presentation of this unique disease and to review previously
reported cases from 2010 to 2016.We hope that clinical physicians to enhance
understanding of the disease in order to ensure early diagnosis and early
intervention to prevent serious injury and fibrosis of organs.
PMID- 29798113
TI - [Research progress and significance of sleep apnea biomarkers].
AB - To review the application of proteomics and metabolomics in the study of OSAHS
biomarkers,and to open a new perspective for the study of OSAHS. At present,these
studies are still in a very preliminary stage,the results are not always
consistent,and far from the clinical application requirements. However,compared
with traditional chemical methods,quantitative protein and metabolomics methods
still have many advantages and have great clinical potential. Further studies of
larger populations for more detailed grouping in the future are necessary to
validate these results,and a more structured investigation in cell and animal
models is necessary to determine their molecular mechanisms. In addition,the
combination of different analytical platforms will be the ideal method for OSAHS
biomarker research. In conclusion,the proteomics and metabolomics methods help us
to better understand the potential mechanisms of OSAHS and to discover and
develop personalized screening,diagnosis,prognostic and therapeutic strategies
for future research.
PMID- 29798114
TI - [The characteristics of CT scan and MRI images of middle ear adenomas].
AB - Objective:To analyze characteristics of CT scan and MRI images of middle ear
adenomas,and provide pre-operation diagnosis and differential diagnosis combined
with clinical manifestation.Method:Retrospective analysis of 8 cases of middle
ear adenomas which were diagnosed and treated with surgery in Beijing Tongren
Hospital between 2004 and 2014, patients' complain, clinical manifestation,
physical examination, pure tone analysis, CT scan and MRI images were
collected.Result:A total of 8 cases were included in this study with 5 females
and 3 males. Age of onset ranged from 21 to 51 years old, with an average age of
37.5 years old, and middle age of 37 years old. All patients suffered from single
side middle ear adenomas, 5 of left side and 3 of right side. All 8 patients
suffered from hearing loss with tinnitus or stuffy feelings, 2 cases with
otalgia, 1 with facial nerve paralysis. Physical examination showed 5 cases of
bulging of tympanic membrane, 2 cases of out growth of mass into the external ear
canal, and 1 with normal tympanic membrane. CT scan of 7 patients showed mass in
tympanum and mastoid, with packaged ossicular chain and with no significant bone
damage. 2 cases showed out growth of mass into the external ear canal, and 1 case
showed limited mass in middle tympanum. MRI images of 5 cases showed equal T1 and
T2 signals with intensifying in tympanum. Long T1 or equal T1 and long T2 signal
in mastoid showed obstructive inflammation.Conclusion:Characters of middle ear
adenomas includes mass in tympanum without bone damage in CT scan, and equal T1
and T2 signal with significant intensifying in tympanum in MRI images. Combined
with clinical manifestation, this radiological information may provide benefit
for pre-operation diagnosis.
PMID- 29798115
TI - [Functional rhinoplasty under nasal endoscope].
AB - Objective:At different times and cultural background,people have different
definition of beauty.With the improvement of economic level and culture
quality,people are becoming more and more recognition of nasal appearance and
function are equally important.Even the importance of the function of the nasal
is higher than the importance of shape of the nose. Concurrent rhinoplasty (nasal
dorsum and lateral nasal wall reduction) and functional sinus surgery (nasal
septum reconstructory, middle turbinate,inferior turbinate surgery even extended
to the nasal cavity expansion tecunhnique, sinusitis surgery) with endoscopic
surgical surgical methods were discussed.Method:The study included 20 patients
with deformed functional endoscopic rhinoplasty, 2010-2015 in Beijing Tongren
Hospital,of ages 16-53, male 14 cases,female 6 cases, 11cases had history of
trauma, all of 20 patients have unilateral nasal plugging. Operating methods, 17
patients were performed nasal endoscopic septoplasty and rhinoplasty at the same
time. One case cocurrent endoscopic rhinodacryocystostomy.Two cases were
performed Nasal endoscopic sinus operation. Only 5 cases use silica gel
prosthesis, 15 cases use autologous cartilage in functional
rhinoplasty.Result:Twenty patients compared with performed rhinoplasty,nasal
septum reconstructory,middle turbinate,inferior turbinate surgery,nasal cavity
expansion,sinusitis surgery separately,surgery is better,no other complicationgs
occurred.Actually decredsed the number of surgical treatment and hospitalization
expenses.Conclusion:Functional endoscopic rhinoplasty,over the same period it
solved the nasal shape and nasal ventilation function.To avoid impact on
ventilation function in the process of the rhinoplasty.Implements the unification
of beauty and function.Reduce the surgical treatment of times and cost.No other
complication compared to convertional surgery,high feasibility.
PMID- 29798116
TI - [Research on activity evolution of cerebral cortex and hearing rehabilitation of
congenitally deaf children after cochlear implant].
AB - Objective:There is a significant difference in the hearing rehabilitation between
the congenitally deaf children after cochlear implant(CI). The intrinsic
mechanism that affects the hearing rehabilitation in patients was discussed from
the perspective of evoked EEG source activity.Method:Firstly, we collected the
ERP data from 23 patients and 10 control group children during 0, 3, 6, 9 and 12
months after CI. According to the hearing rehabilitation during 12 months after
CI, the patients were divided into two groups: rehabilitation of "the good" and
"the poor". Then we used sLORETA to show the changes in the groups of patients'
cerebral cortex and compared with the control group.Result:Cross-modal
reorganization of cerebral cortex exists in the congenitally deaf children. The
cross-modal reorganization gradually degraded and the activity of the relevant
cortex followed by normally after CI. There was a statistically significant
difference(P < 0.05) in the temporal lobe and the associated cortex around
parietal lobe between "the good" and "the poor" groups after 12
months.Conclusion:The normalization of the cross-modal reorganization in patients
reflects the hearing rehabilitation after CI, especially the normalization of the
activity of the temporal lobe and the associated cortex around parietal lobe,
which influences the rehabilitation effect of the auditory function to some
extent. This research demonstrated the detection of the mechanism has important
significance for the hearing recovery training and evaluation of the hearing
rehabilitation after CI.
PMID- 29798117
TI - [Clinical curative effect analysis of postauricular topical injection combining
with oral hormone in the treatment of the flat type of sudden hearing loss].
AB - Objective:To analyze the clinical curative effect of postauricular topical
injection combining with oral hormone in the treatment of the flat type of sudden
hearing loss.Method:Data of 128 patients with the Sudden hearing lossfrom year
2015 till now were collected, and randomly divided into two groups: postauricular
topical injection group (methylprednisolone sodium succinatefor injection 40
mg+linocaine 2.5 ml, retroauricular groove topical injection, qod, combining with
taking methylprednisolone tablets 24 mg in the morning for 3 days) and non
postauricular injection group (take methylprednisolone tablets 24 mg in the
morning for 3 days). Use SPSS 17.0 software to analyze the hearing curativeeffect
of these two groups statistically.Result:The cure rate of postauricular injection
group: 23.4%(15 cases), effect appear: 14.1%(9 cases), valid: 37.5%(24 cases),
invalid:25.0%(16 cases), total effective rate:75%(48/64).The difference compare
of these two group has a statistically significant (P< 0.05).2The cure rate of
the flat type patients with the postauricular injection treatment among the total
64 cases is 23.4%, which is higher than the patients without the postauricular
injection treatment.The difference compare of these two group has a statistically
significant (P< 0.05).3The incrementsof the postauricular injection group in 250
8 000 Hz, which is divided into7different frequencies, from low to high, are
(26.48+/-6.23)dBHL, (23.24+/-4.21)dBHL, (21.89+/-7.34)dBHL, (26.07+/-7.01)dBHL,
(25.75+/-5.14)dBHL.The mean value compare of frequency 250-500 Hz and 250-4 000
Hz of these two group has a statistically significant (P< 0.05).Conclusion:The
curative effect of postauricular topical injection combining with oral hormone in
the treatment of the flat type of sudden hearing loss is better than only oral
hormone.
PMID- 29798118
TI - [Efficacy analysis of psychological intervention on the basis of medication for
the treatment of moderate-severe persistent allergic rhinitis].
AB - Objective:To observe the effect of psychological intervention on the basis of
drug therapy for moderate-severe persistent allergic rhinitis.Method:Sixty
patients with moderate-severe persistent allergic rhinitis were randomly divided
into two groups: control group and study group. The control group was only given
pure drug therapy. The study group was given drug treatment and psychological
intervention. Both groups were treated for 12 weeks. Before and after the
treatment, the patients were graded by SAS, SDS and RQLQ to assess their anxiety
and depression, as well as changes in the quality of life. Finally, a statistical
analysis was performed.Result:After the treatment, the SAS and SDS scores of the
control group and the study group were lower than those scores before treatment,
and the difference was statistically significant (P<0.05). The SAS and SDS scores
were lower in the study group than in the control group after treatment, and the
difference was statistically significant (P<0.05).After the treatment, the scores
of RQLQ in the control group and the study group were lower than those scores
before treatment. and the difference was statistically significant (P<0.05).
After treatment with two regimens, the scores of the sleep, the non-nose/eye
symptoms, and the emotion were lower in the study group than those scores in the
control group, and the difference was statistically significant
(P<0.05).Conclusion:To improve the mental disorder and the quality of life of the
moderate-severe persistent allergic rhinitis patients, on the basis of drug
treatment along with psychological intervention is more effective than using
medical treatment.
PMID- 29798119
TI - [Role of sleep apnea monitoring management platform in the treatment of patients
with obstructive sleep apnea hypopnea syndrome].
AB - Objective:To investigate the clinical significance and value of the sleep apnea
monitoring management platform in the treatment of patients with obstructive
sleep apnea hypopnea syndrome (OSAHS) by comparing with the traditional
continuous positive airway pressure (CPAP) card reader mode.Method:A total of 48
severe adult OSAHS patients from Department of Otorhinolaryngology Head and Neck
Surgery-Sleep Medical Center of the Third People's Hospital of Honghe during the
period of Nov. 2015 to Aug. 2016 were collected in this prospective study. All of
them were diagnosed by PSG and treated with nasal continuous positive airway
pressure. They were randomly divided into group A (n=24) and group B (n=24).
Group A and B were treated with the traditional CPAP card reader mode and the
sleep apnea monitoring management platform respectively. During the follow-up,
the compliance, mean blood oxygen saturation, titration pressure, Epworth
sleepiness scale after 1, 3, 6 and 12 month treatment were compared between two
groups. Ttest was used to analyze the difference. Statistical significance was
set at P <0.05.Result:Statistical analysis showed that there were significant
difference in the compliance of using CPAP, mean blood oxygen saturation and
Epworth sleepiness scale score between the two groups (P < 0.05), but the
titration pressure had no difference between the two groups
(P>0.05).Conclusion:Compared the sleep apnea monitoring management platform with
traditional CPAP card reader mode in the treatment of OSAHS patients, the former
could solve the problems during the CPAP use in time, improve the compliance of
using CPAP, and which could increase the efficacy of CPAP. Thus, the sleep apnea
monitoring management platform is of more clinical value and deserve promotion.
PMID- 29798120
TI - [Clinical observation of saccharomyces boulardii combined with cetirizine
hydrochloride in children allergic rhinitis].
AB - Objective:To study the treatment efficacy of Saccharomyces boulardii combined
with Cetirizine Hydrochloride of children with allergic rhinitis (AR) and the
influence of IFN-gamma and interleukin-4 (IL-4) Level in serum.Method:Ninty cases
of children were randomly divided into observation group and control group (both
n=45).The control group with levocetirizine hydrochloride drops, 5 mL/time for 2
6 years old, 10 mL/time for >6 years old , qd oral. Group based on the
combination of Saccharomyces boulardi, 0.25 g/time for <3 years old, qd, > 3
years old, 0.25 g, bid. Four weeks for a course of treatment, and set 30 cases
for the health group.Result:The level of serum IFN-gamma was significantly lower
in the two groups than in the healthy group (P < 0.01), while the level of IL-4
was significantly higher than that of the healthy group (P < 0.01). After
treatment, the levels of IFN-gamma of both groups were up-regulated And the level
of IL-4 were down-regulated , but the effect of the treatment group was more
obvious (P < 0.01). The total effective rate was 91.11% in the observation group,
which was significantly higher than that in the control group (68.89%,chi2=6.94,
P < 0.01). After treatment, the symptom scores of the two groups were lower than
those before treatment, but the observation group was significantly lower than
the control group (P < 0.01). Compared with the control group, the observation
group was significantly better than the control group (P < 0.01).Conclusion:The
combination of Saccharomyces boulardi and levocetirine hydrochloride in the
treatment of children with AR has obvious clinical efficacy, and its mechanism
may be related to the correction of interferon IFN-gamma and IL-4.
PMID- 29798121
TI - [Clinicopathologic analysis of extranodal non-hodgkin lymphoma of the sinonasal
cavities: a 15-case report].
AB - Objective:To investigate the clinical manifestation, imaging and histological
features of different histological subtypes of non-Hodgkin's lymphoma of nasal
cavity and paranasal sinuses.Method:Fifteen NHL patients of the sinonasal region
were collected from the Department of Otolaryngology of Peking University Third
Hospital from 2010 to 2016. HE staining and immunohistochemical staining were
performed. The clinical characteristics and imaging features of different
subtypes were described and analyzed.Result::We analyzed a total of 6 patients
with localized sinonasal diffuse large B cell lymphoma and 9 patients with
localized sinonasal extranodal NK/T cell lymphoma. The age distribution for these
two subtypes is very distinct. The median age of the patients with localized
sinonasal extranodal NK/T cell lymphoma was 39 years. There were 5 males and 4
females. Nine sinonasal NHLs were NK/T-cell lymphoma, nasal type, all of which
were infected with EBV. The median age of the patients with localized sinonasal
diffuse large B cell lymphoma was 64 years. There were 3males and 3 females.
Symptoms for patients with SN-DLBCL and SN-ENKTL were significantly different in
epiphora, proptosis, diplopia and nasal congestion (P=0.18, 0.004, 0.18, 0.18).
Imaging features for patients with SN-DLBCL and SN-ENKTL were significantly
different in tumor extended to orbit and inferior turbinate (P>0.05). Positive
staining for CD 56 was detected in 9 patients, for CD 3 in 9 patients, for EBER
in 9 patients. The Hans algorithm identified 1 patient with the germinal center B
cell (GCB) subtype and 5 with the non-GCB subtype.Compared with the control
group, the observation group was significantly better than the control group (P <
0.01).Conclusion:Early symptoms of epiphora, proptosis, diplopia, and images
finding with orbital invasion should be highly suspected of diffuse large B cell
lymphoma. Positive staining for CD 56 and EBER were detected in all patients with
extranodal NK/T cell lymphoma, and positive staining for CD20 was detected in all
patients with SN-DLBCL.
PMID- 29798122
TI - [The clinical study of olfactory dysfunction in IgG4-related disease].
AB - Objective:IgG4-related disease is a newly recognized systemic disease, and its
elucidation is progressing. However, little is known about its sinonasal
manifestations.The aim of this study was to assess the olfaction of patients with
IgG4-related disease.Method:Twenty-two patients with IgG4-related disease
underwent the odor stick identification test to measure olfactory function.We
analyzed the clinical features, including serum IgG4 levels, involved organs, and
sinonasal computed tomography scores to explore the etiology of olfactory
dysfunction.Result:Eleven patients with IgG4-related disease were found to have
olfactory dysfunction. There were no differences in the clinical features between
the olfactory dysfunction group and the normal group.Conclusion:There were no
correlation between olfactory function and serum IgG4 level, involved organs or
sinonasal computed tomography scores.We found that the prevalence of olfactory
dysfunction was high in patients with IgG4-related disease and that it could be
reversed.Olfactory dysfunction appears to be a novel important manifestation of
IgG4-related disease.
PMID- 29798123
TI - [To observe the effect of "Fangfenggubenkeli" on IL-4, IL-5, IL-10 and IFN-gamma
cytokines in PBMC supernatant of allergic rhinitis patients with lung depression
and spleen efficiency].
AB - Objective:To observe the effect of "Fangfenggubenkeli" on IL-4, IL-5, IL-10 and
IFN-gamma cytokines in PBMC supernatant of allergic rhinitis' patients with lung
depression and spleen deficiency.Method:One hundred patients were randomly
divided into two groups. The treatment group of 50 cases, was given to ancient
side of the "Fangfenggubenkeli". The control group of 50 patients, was given to
cetirizine hydrochloride, oral treatment. The levels of IL-4, IL-5, IL-10 and IFN
gamma in the two groups were measured before and after treatment of 1 month. The
changes of the laboratory indexes were compared between the two groups before and
after treatment.Result:The levels of IL-4 in the two groups were significantly
lower than those before treatment (P < 0.01), and the levels of IFN-gamma were
significantly higher than those before treatment (P < 0.01), there was a
statistically significant difference.Conclusion:The mechanism of
"Fangfenggubenkeli" in patients with allergic rhinitis with lung depression may
be related to the IFN-gamma level, lower IL-4 level. Thereby Fangfenggubenkeli
would improved the Th1/Th2 imbalance state as a whole.
PMID- 29798124
TI - [Clinical application of modified global osteitis score in chronic
rhinosinusitis].
AB - Objective:To compare GOSS and a newly proposed modified scoring system for
assessment of CRS with osteitis.Method:Seventy-one CRS patients were enrolled
prospectively.Their preoperative computed tomography (CT) were assessed for
severity and extent of osteitis by two otolaryngologists using OsiriX DICOM
Viewer software. The method of measurement was similar with GOSS, which retained
scoring maxillary and sphenoid, producing a modified global osteitis score(rang:
0-20). Collected the history and completed VAS, SNOT-22, Lund-Kennedy, Lund
Mackay in preoperative. Postoperative follow-up mounted up to 12 months and
evaluating CRS patients' outcome. Compared for test-retest and inter-rater
reliability between the modified system and GOSS.Result:There is a significant
linear positive correlation between Modified GOSS score and
GOSS(r=0.913,P<0.001).And Modified GOSS had more association with pre and
postoperative L-M and L-K scores than GOSS (r=0.316, 0.357). The modified GOSS
showed the highest inter-rater and test-retest, interclass correlation
coefficient (pre-ICC=0.947; post-ICC=0.943).Conclusion:Modified GOSS may be a
more suitable and convenient scoring system for clinical practice to grading
osteitis.
PMID- 29798125
TI - [Relationship of symptoms with sleep-stage abnormalities in obstructive sleep
apnea-hypopnea syndrome].
AB - Objective:To study the relationship between symptoms and sleep staging in OSAHS
patients.Method:A cross-sectional study. Adult subjects who attended a sleep
laboratory for diagnostic polysomnography for a period of 1 month were recruited
consecutively.OSAHS was diagnosed using American Academy of Sleep Medicine
criteria.Subjects filled a questionnaire for symptoms prior to
polysomnography.Result:Thirty subjects, of whom 83.3% were obese, met diagnostic
criteria, with males constituting 46.7% and females constituting 53%.Mean age was
(53.40+/-11.60) years.Sleep architecture comprised N1 (19.50+/-19.00)%, N2
(53.93+/-13.39)%,N3 (3.90+/-19.50)%, and rapid eye movement (8.92+/
6.21)%.Excessive fatigue or sleepiness, waking up tired, falling asleep during
the day, trouble paying attention, snoring and insomnia were significantly
related to decreased N3 sleep.Conclusion:Most of the symptoms in OSAHS in adults
are related to decreased stage N3 sleep.If confirmed by larger controlled
studies, correcting N3 sleep deficiency by pharmacotherapy may become an
important adjunct to CPAP/BIPAP therapy to alleviate symptoms.
PMID- 29798126
TI - [Role of Testin in nasopharyngeal squamous cancer with high ability of
metastasis].
AB - Objective:To explore the influence and regulatory mechanism of TES gene on
proliferation and migration of nasopharyngeal squamous cancer(NSPC) 5-8F
cell.Method:DNA fragment encoding TES was obtained by RT-PCR method from the
human highly metastatic nasopharyngeal squamous carcinoma cell line 5-8F. we
identified the recombinant plasmid pEGFP-N1-TES by RT-PCR and DAN sequencing. we
stablely transfected the pEGFP-N1-TES into the human highly metastatic
nasopharyngeal squamous carcinoma cell line 5-8F, and detected the expression of
TES by the RT-PCR and Western-blot method. And detected the impact of 5-8F cells
transfection by flow cytometry and scratch tests.Result:Flow cytometry analysis
showed that the apoptotic in 5-8F/pEGFP- N1-TES was significantly higher than non
transected TES and 5-8F/pEGFP-N1,and the differences were statistically
significant(P<0.05).Cell scratch experiments showed that the 5-8F/pEGFP-N1-TES
group cell migration rate was obviously lower than non?transected TES and 5
8F/pEGFP-N1 group in the first 12 h, 24 h and 48 h.The difference was
significant(P<0.01).Conclusion:The stable transfectant cell model was established
successfully. TES in vitro could significantly increase apoptosis and reduce the
athletic ability. And thus TES gene might be a novel candidate of tumor
suppressor.
PMID- 29798127
TI - [Effects of ketotifen on fractional exhaled nitric oxide in patients with
combined allergic rhinitis and asthma syndrome].
AB - Objective:To study effects of ketotifen on fractional exhaled nitric oxide in
patients with combined allergic rhinitis and asthma syndrome.Method:One hundred
and twelve patients with asthma were selected from department of respiration,
Affiliated Hospital of North China University of science and technology from
January 2016 to 2017 in March. Eighty-four patients with allergic rhinitis were
selected as the subjects, Randomly divided into two groups,including observed
group(n=42) and control group(n=42).The control group was treated with
antitussive,expectorant and inhaled corticosteroids, while the observed group in
the control group combined with ketotifen 1 mg 2/ day oral treatment,the changes
of FeNO and IL-17 in the two groups were compared after one month
treament.Result:Before treatment, two groups of patients in general IL-17 and
fractional exhaled nitric oxide ware no significant difference(P> 0.05).After
treatment, compared to the control group, the observed group was significantly
more effective,the difference was statistically significant(P <0.05);fractional
exhaled nitric oxide in treatment group were lower than those in control group,
the difference was statistically significant(P<0.05,P< 0.01).And the observed
group was lower than the control group, and the differences were statistically
significant,t(P<0.05).Conclusion:Ketotifen significantly reduce the FeNO of
allergic rhinitis and asthma syndrome, reduce airway inflammation.
PMID- 29798128
TI - [Modified rhytidectomy incision and modified Blair incision contrast research in
superficial parotid gland tumor resection].
AB - Objective:Evaluate the application value of modified rhytidectomy incision in
superficial parotid gland tumor resection.Method:Seventy-one patients with tumor
in the superficial parotid were included in this study from January 2012 to
January 2015. They all accepted superficial parotidectomy or subtotal superficial
parotidectomy. Thirty-six cases used modified rhytidectomy incision and 35 cases
used modified blair incision. The data of operative field exposure, operating
time, bleeding, the rate of complication, score of patients's satisfaction were
recorded and compared between the two groups.Result:There was no statistically
significant difference between the two groups in the operative field exposure,
operating time and bleeding (P > 0.05). No difference was found between the two
groups in the rate of facioplegia, while the rate of insensible earlobe in the
modified rhytidectomy incision group was significantly lower than the modified
blair incision group (P < 0.05). The score of patient's satisfaction in the
modified rhytidectomy incision group was significantly higher than the other
group (P < 0.05).Conclusion:The modified rhytidectomy incision provides good
exposure and has the advantage of less complication and better cosmetic outcome.
It is worthy of wide clinical application.
PMID- 29798129
TI - [Five cases of the huge sinonasa-pterygopalatine fossaschwannomawere removed by
radical maxillary sinusectomy combined with nasalendoscope].
PMID- 29798130
TI - [An analysis of spleen aminopeptide oral lyophilized powder in the treatment of
children after tonsillectomy].
PMID- 29798131
TI - [Schwannoma of the ethmoid sinus in children: a case report].
AB - A ten years old male patient,the main symptom was prsented as the left nasal
obstruction, repeated hemorrhage with hyposmia. Large translucent neoplasm can be
seen in the left side of the nasal cavity. CT and MRI of the nasal sinus showed
that the soft tissue density shadow in the left side of the ethmoid sinus and the
surrounding bone with no damage. He was treated with nasal endoscopic surgery.
Postoperative pathology showed schwannoma. The tumor recurred three years later,
and the patient underwent nasal endoscopic surgery again. In the literature we
reviewed the case to analyze the reasons of recurrence.
PMID- 29798132
TI - [Hypopharyngeal carcinosarcoma: a case report].
AB - Carcinosarcoma is a rare tumor with both malignant epithelium and interstitial
components. Tumor entities have been described in many epithelial organs such as
the lung, uterus, ovary, prostate and so on. Hypopharyngeal carcinosarcoma is
extremely rare. Now we report a case of hypopharyngeal carcinosarcoma in our
department in August of 2016 as follows.
PMID- 29798133
TI - [Research on the effect of RNA interference on mast cells in respiratory allergic
diseases].
AB - Respiratory allergic disease has become a major health problem in the 21st
century.Mast cells are key effector cells of the respiratory anaphylaxis, and can
form a variety of features of allergic inflammation by producting large numbers
of inflammatory mediators.RNAi can affect the disease process by silencing gene
expression, and has made significant process in the study of many diseases, but
the research of targeting mast cells for the treatment of respiratory allergic
diseases is rare.This review focuses on recent advances of the application of RNA
interference on mast cell in respiratory allergic diseases, aming to further
understand its application in the respiratory allergic diseases and research
status, and broaden new ideas of treating respiratory allergies.
PMID- 29798135
TI - [The diagnosis and treatment of tinnitus].
PMID- 29798134
TI - [The clinical curative strategies of hypopharyngeal carcinoma].
AB - Hypopharyngeal carcinoma is one of the common tumor of head and neck which is
considered to be with doubtful prognosis. The clinical symptoms are not obvious
in the early stage because of the anatomical structure. Once patients feel
uncomfortable, it would be late for treatment. And most of them are with lymph
node metastasis because it's rich of lymphatic system, which makes the treatment
more difficult. Comprehensive Treatment which include surgery, radiotherapy and
chemotherapy, plays an important role on hypopharyngeal carcinoma. As the
progress of clinical medicine and the request on life quality, function
preserving treatment is becoming the most important part for the patients. In
this paper, we summarize the clinical curative effect of different treatments for
hypopharyngeal carcinoma.
PMID- 29798136
TI - [Investigation and analysis of tinnitus in diabetic patients].
AB - Objective:To analyze the influencing factors of tinnitus in diabetic patients and
the correlation between hearing loss and tinnitus.Method:Participants were
patients with diabetes. Subjects were tested for hearing function and tinnitus
symptoms by questionnaire and pure tone audiometry.Result:1112 patients (55 were
men and 57 were women) were affected by tinnitus symptoms in 255 patients with
diabetes,there were no significant differences between the number of male
patients and female patients(P=0.278).2The incidence of tinnitus increased with
age (<=30 year: 40%, 31-40 year :25%, 41-50 year: 27%, 51-60 year: 43%, >60 year:
55%),and there was significant differences in the number of patients among
different age (P=0.001).3The incidence of tinnitus was no significant difference
in diabetes patients with different educational level (P=0.648).4Totally 83
subjects who complained hearing impairment were tested for hearing loss by pure
tone audiometry, while 113 (66%) of 172 subjects who insisted their hearing were
normal had hearing loss. Of the subjects with normal hearing but tinnitus, 72%
had hearing loss and 62% of patients without tinnitus actually had hearing
loss.5There were statistically significant in patients with diabetes between the
incidence of tinnitus and low/intermediate frequency hearing loss or high
frequency hearing loss.Conclusion:The incidence of tinnitus symptoms is increased
in patients with diabetes mellitus, which may be related to the age, the
incidence of hearing loss,however, may be irrelevant to gender and educational
level. Diabetic patients with tinnitus symptoms should be tested as soon as
possible to achieve early intervention.
PMID- 29798137
TI - [Clinical value of psychoacoustic characteristics in patients with idiopathic
tinnitus].
AB - Objective:To analyze the relationship between psychological parameters and
clinical severity score in patients with idiopathic tinnitus, and clarify the
value of psychoacoustic testing in the severity of tinnitus and evaluation of
curative effect.Method:A total of 165 patients with idiopathic tinnitus were
collected. All patients received conventional audiological and psychoacoustic
tests. Psychoacoustic tests include pitch matching (PM), loudness matching(LM),
minimal masking levels (MMLs) and residual suppression(RI). Tinnitus handicap
inventory (THI) and tinnitus evaluation questionnaire(TEQ) were used to evaluate
the severity of tinnitus. SPSS 18.0 was used to analyze the relationship between
the severity of tinnitus and psychosocial parameters by univariate analysis and
multivariate Logistic regression analysis. Changes of patients psychological
parameters were analyzed after five weeks of tinnitustherapy.Result:1Results of
univariate analysis showed that there were significant difference with THI score:
types of sound and loudness matching sensory level(LMSL) (P<0.05). There were
also a significant correlations with TEQ score: the duration of tinnitus,
tinnitus pitch matching(PM2) and loudness matching hearing
level(LMHL)(P<0.05).2Multivariate analysis showed that PM2 and LMSL had
significant correlation with THI(P<0.05), while patients with a single sound were
less severe: with the increasing of LMSL, the severity of tinnitus was
aggravated. There was also a significant correlation between LMHL and TEQ
scores(P<0.05), and the greater the LMHL value, the more severe the tinnitus.3The
statistically significant indicators are: LMSL, MMLs, MMLs difference, Feldman
curve(P<0.05).Conclusion:The clinical severity of tinnitus is correlated with
some psychological parameters of tinnitus. Tinnitus psychoacoustic tests help to
assess the clinical severity of tinnitus.
PMID- 29798138
TI - [A preliminary study on the correlation between obstructive sleep apnea hypopnea
syndrome and chronic tinnitus].
AB - Objective:To investigate and analyze the chronic tinnitus prevalence and its
related factors in OSAHS patients.Method:We studied 115 patients who were
diagnosed with OSAHS by polysomnography.All patients BMI were calculated. The
amount of patients accompanying tinnitus was calculated by investigating the
history of tinnitus. Univariate and multivariate logistic analyzes were used to
calculate the association between gender, age, hearing loss, BMI, and the lowest
SPO2 with tinnitus. The differences in tinnitus prevalence among different age
groups and gender were compared using chi-square test.Result:1The prevalence of
tinnitus in 115 OSAHS patients was 38.26% (44/115). 2Between the ages of 31-80
years, the prevalence of tinnitus in every 10-year-old group was
9.09%,6.82%,11.36%,38.64%,and 29.55%,respectively.There was a significant
difference between the age groups (chi2=14.55,P<0.01). The prevalence of female
was 44.12%(15/34) which was higher than the male 35.80%(29/81), there was no
significant difference (chi2=0.70,P>0.05). 3The results of univariate and
multivariate logistic regression analysis showed that sex, BMI and lowest SPO2
had no correlation with tinnitus in patients with OSAHS, while age and hearing
loss were independent correlates of tinnitus(P<0.05).Conclusion:More than 1/3 of
patients with OSAHS had chronic tinnitus, both age and hearing loss maybe the
risk factors of tinnitus in patients with OSAHS, but neither BMI nor the lowest
SPO2 was clearly related to chronic tinnitus.
PMID- 29798139
TI - [The investigation rate and influence factors of tinnitus with chronic
suppurative otitis media].
AB - Objective:To investigate the incidence of tinnitus and its influencing factors in
patients with chronic suppurative otitis media, and to provide clinical data for
the study of the pathogenesis of tinnitus.Method:The clinical data of 77 patients
with chronic suppurative otitis media who underwent modified radical
mastoidectomy and tympanoplasty were investigated. When tinnitus and otitis media
happened in the same side,then the tinnitus is judged to be otitis media related.
Patients were further divided into otitis media related tinnitus and the no
tinnitus groups. The differences of tinnitus severity, sleep disturbance,
migraine (migraine features), snoring and gastroesophageal reflux were compared
between the two groups in tinnitus occurrence and classification.Result:The
incidence of otitis media related tinnitus was 55.8%(43/77). Most of the tinnitus
happened(33/43) later than the occurrence of otitis media for several years or
even decades. There were 43 cases of tinnitus associated with otitis media, and
31 cases without tinnitus. Between the groups, significant differences were
observed in migraine features, and the P value is 0.011, while no significant
differences were noticed in the severity of hearing loss and sleep disorders,
snoring, gastroesophageal reflux. Ranking of otitis media related tinnitus was
positively related to the degree of hearing loss, especially the bone conduction
threshold. With Spearman rank correlation test,P values of mean
value(250Hz,500Hz,1kHz,2kHz,4kHz), middle frequency (1kHz, 2kHz)and high
frequency (4kHz) of bone conduction threshold were 0.010,0.019 and 0.003, and the
correlation coefficients were 0.391,0.356 and 0.443, respectively.Conclusion:The
occurrence of tinnitus in patients with otitis media may not be consistent with
the time of otitis media, and theoretically later than the occurrence of otitis
media is more reasonable. Whether tinnitus occurs in patients with otitis media
is not related to sleep disorders, the degree of air conduction and bone
conduction hearing loss, but is related to migraine features. The severity of
tinnitus associated with otitis media is associated with bone conduction hearing
loss.
PMID- 29798140
TI - [Observation on effect of retraining therapy in patients with chronic tinnitus].
AB - Objective:To analyze the curative effect of tinnitus retraining therapy on
patients with chronic decompensated tinnitus and to explore an effective method
to treat patients with chronic decompensated tinnitus.Method:Thirty patients were
treated for tinnitus retraining therapy for 12 months. The content of retraining
therapy includes: tinnitus counseling,low intensity natural sound therapy, shift
attention, and relaxation training.Result:The severity of tinnitus was compared
in grading before treatment and after 12 months treatment: 56.7%(17/30) of grade
III before treatment, 36.7%(11/30) of grade IV and 6.7% (2/30) of grade
V;16.7%(5/30) of grade I after treatment, 60.0% (18/30) of grade II,16.7%(5/30)
of grade III,6.7% (2/30) of grade IV, where compensatory tinnitu was
76.7%(23/30), and decompensated tinnitus was 23.3%(7/30). The composition ratio
of 30 subjects compensated tinnitus and decompensated tinnitus before and after
treatment has significant changes, with statistical differences(P<0.01). The mean
score of tinnitus severity before treatment was 14.40+/-2.60 in 30 subjects and
9.00+/-2.82 after treatment in assessment scale. There was a significant
difference between the both groups(P<0.01).Conclusion:Tinnitus retraining therapy
is an effective treatment of chronic decompensated tinnitus.
PMID- 29798142
TI - [Influence on compliance of subcutaneous immunotherapy in patients with allergic
rhinitis by We-Media management].
AB - Objective:To investigate the influence on compliance of sublingual immunotherapy
(SLIT) in patients with allergic rhinitis by We-Media management.Method:One
hundred and eighty patients of allergic rhinitis were randomly divided into We
Media management group (Group A 90 cases) and telephone management group (Group B
90 cases). All of patients were treated with SLIT. In the group A, the doctor
patient WeChat and/or QQ group were formed. The specific duty doctor acted as
group leader, made monthly plans, sent SLIT related knowledge more than 3 times a
week and assessed patient reported outcomes. In the group B, the patients were
conductd on-the-spot demonstration and explanation and followed up by telephone
once three month. The statistical analysises were made on the rates and reason of
dropouts on the first, third, sixth, ninth, twelfth post-treatment months in two
groups.Result:The rates of dropouts in group A and group B were 13.3%(12/90) and
32.2%(29/90) respectively in the first year. The statistical difference were
noticeable between group A and group B(P=0.003). Most dropouts were happened in
the first 3 months, group A 41.7%(5/12) and group B 51.7%(15/29) respectively. It
had no statistically significant(P=0.558). Two major reasons of dropouts were no
improvement of symptoms and lack of confidence.Conclusion:Percentage of dropouts
in SLIT patients through telephone management was comparatively high, which can
be significantly improved by We-Media management. We-Media management has more
advantage,especially during long-term follow-up.
PMID- 29798143
TI - [An immunohistochemical study of CTHRC1,Vimentin,E-cadherin expression in
papillary thyroid carcinoma].
AB - Objective:To investigate the expression and significance of CTHRC1 in patients
with papillary thyroid cancinoma.Method:We collected 63 specimens of papillary
thyroid carcinoma tissue with 36 specimens of the adjacent normal thyroid tissue
and 23 specimens of thyroid nodular goiter. The expressions of CTHRC1 protein in
these tissues were detected by immunohistochemical staining. The correlations
between the expressions of CTHRC1 with clinicopathologic features,E-cadherin and
Vimentin expression were analyzed.Result:CTHRC1 protein expression levels in
papillary thyroid carcinoma tissue were significantly higher than those in
adjacent normal thyroid tissue and benign disease(P<0.01);CTHRC1 expression was
significantly correlated with lymph node metastases(P<0.05). Moreover,CTHRC1
expression was correlated with the expression of E-cadherin and
Vimentin(P<0.01).Conclusion:CTHRC1 is related with the occurrence and miligant
transformation of papillary thyroid cancinoma. We speculated that CTHRC1 might
play a role in the epithelial-mesenchymal transition of papillary thyroid
cancinoma.
PMID- 29798141
TI - [Relevant factors of tinnitus in the elderly: an analysis of 150 volunteers].
AB - Objective:To evaluate and characterize tinnitus in elderly volunteers,try to find
out the relevant factors that can affect the incidence of tinnitus.Method:The
study included 150 elderly volunteers. All volunteers had taken the otology
examination and pure tone audiometry. They were interviewed by the investigators
who were trained together, using the same questionnaire. The characteristics of
tinnitus and the relationship between all relevant factors and tinnitus were
analyzed.Result:Average age was 71.4 years. There are now 77 patients with
tinnitus(51.3%), of which 31 cases have sustained tinnitus for more than 3
months, accounting for 40.3% of existing tinnitus volunteers. There was negative
correlation between tinnitus and age. There was positive correlation between
tinnitus and hearing loss. Tinnitus and headache had no
correlation(P>0.05).Conclusion:After reach a certain age(70 years old), the
incidence of tinnitus decreased. Hearing loss might be the most dangerous
factor.If the hearing loss was more serious, the incidence of the tinnitus became
higher. Tinnitus in the elderly may be the result of a combination of factors.
PMID- 29798144
TI - [The exploration of gene promoter methylation profiling in nasal polyp].
AB - Objective:To explore the gene promoter methylation profiles of nasal polyp, and
to analysis the promoter methylation differences between the nasal polyp and the
normal nasal mucosa.Method:Total DNA of the nasal polyp tissues and normal nasal
mucosa were extracted. After immunoprecipitation and whole genome amplification,
the DNA was labeled with Cy3/5 and hybridized in NimbleGen hybridization chamber.
For array hybridization, Roche Nimblegen CpG Promoter array was used. The slides
were scanned using the Axon GenePix 4000B microarray scanner. The different genes
were analyzed through pathway and verified by Real-time PCR.Result:3010 genes
were found to have promoter hypermethylation in normal nasal mucosa or nasal
polyp.2,62%(79/3010) of the genes had promoter hypermethylation in all the nasal
polyps, which were negative in normal nasal mucosa.10.66%(321/3010) of the genes
had promoter hypermethylation in normal nasal mucosa, which were negative in all
the nasal polyps. Three pathways were found in the promoter hypermethylation of
the nasal polyps. Fourteen pathways were found in the negative hypermethylation
of the nasal polyps.Conclusion:Genes promoter methylation plays an important role
in the development of nasal polyps, and the gene promoter methylation profiling
may yield new some clues on the mechanism of nasal polyps.
PMID- 29798145
TI - [Photochemical induced vestibular ischemia?with icy water test in guinea pigs].
AB - Objective:To ascertain the effects of a new method of photochemical reaction in
vestibular function in guinea pigs.Method:Local photochemical reaction was
initiated by systemic injection of rose bengal(20mg), photoillumination of the
vestibule through medial wall of epitympanum for 30 minutes was started
immediately after the injection of rose bengal, with a optic fiber connected to a
xenon light (wavelength, 540nm; photointense, 500-600 mW/cm 2). There were 20
guinea pigs divided random equally into 2 groups. Group 1 was injected with rose
bengal. Group 2 was control, injected with physiological saline solution. The ice
caloric tests were performed on the second day.Result:The test group (7 ears) and
the control group (6 ears) with test nystagmus showed mean frequencies were(2.0+/
0.33)times/s and(3.7+/-0.33)times/s,the mean amplitude were (3.1+/-0.39)mm and
(3.5+/-0.54)mm,and the mean duration were (44.7+/-17.22)s and (62.0+/-7.22)s
respectively.The nystagmus frequency difference was statistically significant,
but the amplitude and the duration of the nystagmus were not significantly
different. There was no obvious spontaneous nystagmus in the two groups, and
there were negative results of ice water test (3 ears in the test group and 4
ears in the control group).Conclusion:Photochemical reaction can induce the
ischemic state of the vestibule system in guinea pig, and produce an acute
vestibular dysfunction, and ice water test shows that the frequency of nystagmus
is reduced.
PMID- 29798146
TI - [Risk factors of papillary thyroid carcinoma in different ages of young
patients].
AB - Objective:To investigate the clinical characteristics of the risk factors of
papillary thyroid carcinoma in different ages of young patients (<45y)associated
with carbon nanoparticles.Method:A retrospective statistics and analysis of 183
patients who were diagnosed and treated; carbon nanoparticles were injected into
the thyroid during operation and at last the conventional pathological diagnosis
were papillary thyroid carcinoma of all patients; to analysis the gender, tumor
size, capsular invasion, lymph node metastasis, multifocality and other risk
factors between the <25y and 25-<5y patients.Result:The parathyroid were better
identified, while the central lymph nodes better turned black after carbon
nanoparticles injected; <25y compared to 25-<45y in the tumor size,
multifocality, capsular invasion, extrathyroidal extension, associated with
Hashimoto Thyroiditis, the differences had statistical significant(P<0.01 or
P<0.05).In young (<45y) thyroid papillary carcinoma, preoperative serum
thyroglobulin level was beyond the normal range, indicating a large possibility
of lateral neck lymph node metastasized, but the two age groups had no
statistical significant(P>0.05) in serum thyroglobulin and thyroid stimulating
hormone.Conclusion:Carbon nanoparticles can effectively recognize parathyroid and
trace central lymph nodes. In the <25y groups, the tumor diameter is more likely
to exceed 1cm, the lateral neck nodes are more easily metastasized, capsular
invasion and extrathyroidal extension are also more easily to turn up. While the
25-<45y groups are more likely to form multifocality, which may be accompanied by
Hashimoto Thyroiditis and prone to occur central lymph nodes metastasized. In the
younger patients (<45y), the more preoperative serum thyroglobulin higher, the
more probable lateral neck nodes metastasized.
PMID- 29798147
TI - [The analysis of the curative effect of low-temperature plasma cauterization on
the treatment of 146 cases of congenital pyriform sinus fistula].
AB - Objective:To investigate the therapeutic effect of low-temperature plasma
cauterization on the treatment of congenital pyriform sinus
fistula(CPSF).Method:All the 146 patients with CPSF received imaging examination,
and the low-temperature plasma cauterization under laryngoscope was performed in
the stage of inflammation control.Result:After 6-59 months of follow-up, all
patients did not have pharynx fistula, and no massive hemorrhage occurred during
and after operation. Nine cases of hoarseness after operation 2 d-1 months to
restore normal. Thirty cases were suspected of recurrence, of which 8 cases were
cured after incision and drainage, and 4 cases were cured after two
cauterization.Conclusion:Low-temperature plasma cauterization is the preferred
treatment for the microinvasive, simple, beautiful and repeatable operation of
PSF, which can be sugessted as the first-line choice for the treatment of sinus
and fistula.
PMID- 29798148
TI - [Efficacy of endoscopic approach to reconstruct the medial orbital fracture with
perpendicular plate of ethmoid].
AB - Objective:To study the efficacy of endoscopic sinus approach in the repair of
medial orbital fracture with perpendicular plate of ethmoid.Method:Retrospective
chart was reviewed in 10 cases receiving endoscopic approach to reconstruct the
medial orbital fracture with perpendicular plate of ethmoid.We observed the
improvement of the symptom such as diplopia, eye movement,and enophthalmos of the
preoperative and postoperative.Result:After postoperative follow-up of 4 months
to 23 months, all the patients had no graft loss or displacement, infection and
other complications, and except for 1 patient with mild diplopia, other patients
recovered completely, including eye movement disorder, diplopia,and
enophthalmos.Conclusion:Endoscopic approach is a safe and effective treatment in
the repair of medial orbital fracture with perpendicular plate of ethmoid.
PMID- 29798149
TI - [The protective effect of optimized target delineation in intensity modulated
radiation therapy on swallowing function in patients with different TNM staging
of nasopharyngeal carcinoma].
AB - Objective:To investigate the protective effect of optimized target delineation in
intensity modulated radiation therapy(IMRT) on swallowing function in patients
with different TNM staging of nasopharyngeal carcinoma.Method:Fifty patients with
nasopharyngeal carcinoma were enrolled in this study. They were randomly divided
into 25 cases of experimental group and 25 cases of control group by random
number table and received IMRT treatment. Patients in control group only received
routine delineation of target areas, the patients in experimental group were
given the delineation of the relevant parts of the swallowing on the basis of the
control group.And then, the degree of dysphagia, xerostomia, weight loss, and
quality of life were assessed in the two groups of patients during and after
IMRT.Result:There was no significant change in the degree of dysphagia in stage
II patients during radiotherapy, but the degree of dysphagia in stage III and IV
patients increased with the increase of radiotherapy time. After the end of
radiotherapy, there was no significant change in the degree of dysphagia in the
control group of patients. Compared with the 0th week after the end of
radiotherapy, the stage II patients in experimental group showed significant
improvement in week 12(P<0.05), while the stage III and IV patients showed
significant improvement in week 24 after radiotherapy(P<0.05). The degree of
xerostomia of two groups of patients continued to increase with varying degrees
during and after IMRT(P<0.05 or P<0.01). The weight of the two groups of patients
during radiotherapy continued to decrease with the increase of radiotherapy
time,and gradually recovered after the end of radiotherapy.And in the
experimental group, the weight loss was significantly lower in week 12 and week
24 than in the control group (P<0.05). During radiotherapy, the quality of life
scores of the two groups became lower and lower with the increase of radiotherapy
time compared with the 0th week of radiotherapy. After the end of radiotherapy,
the quality of life began to gradually improve, and in week 24 after the end of
radiotherapy, the quality of life of the experimental group of patients was
significantly higher than that of the control group (P<0.05).Conclusion:During
radiotherapy of patients with nasopharyngeal carcinoma, the structural organs
associated with swallowing function are given individualized target delineation
can reduce the occurring of dysphagia due to radiotherapy and improve the quality
of life of patients after radiotherapy.
PMID- 29798150
TI - [Curative effect observation of methylprednisolone combined with continuous
negative pressure drainage in the treatment of pseudocyst of auricle].
PMID- 29798151
TI - [Nasopharyngeal tuberculosis: report 2 cases and review of the literature].
AB - Nasopharyngeal tuberculosis is a noteworthy disease and it should be
differentiated from with nasopharyngeal carcinoma,especially in southern
China,because of both having similar clinical presentations such as cervical
lymph node enlargement and lesions in nasopharynx. Here we report 2 middle-aged
patients of nasopharyngeal tuberculosis;between them,one was male, another was
female. They came to hospital with the symptoms of pharyngeal pain and neck node,
respectively. The former patient was accompanied by repeated fever. His chest
radiographic displayed suspected active lesions; and nasopharyngeal examination
inspected irregular mucosa with white patch covering the nasopharyngeal area; and
magnetic resonance imaging (MRI) presented diffuse thickening of the mucosal wall
of nasopharynx; moreover, the biopsy specimen pathological results showed
ulceration with mucosal squamous papillary hyperplasia.Finally,acid-fast staining
of nasopharyngeal secretions disclosed acid-fast bacilli was positive. However,
the latter patient was completely different from the former in clinical
presentations, while MRI finding was almost the same. In addition, the endoscopy
depicted that characteristic of nasopharyngeal lesion was smooth, congested and
swelling, and the pathological result revealed granulomatous inflammation with
epithelioid histiocytes and multinucleated giant cells of Langerhans
type.Combined their clinical manifestations with various laboratory and imaging
examinations, both of the two patients were eventually diagnosed as
nasopharyngeal tuberculosis.
PMID- 29798152
TI - [Frontal tuberous xanthomas with xanthelasma: one case report].
AB - : The male patient of 51 years old was admitted due to find forehead tumor for 8
years. Auxiliary examination: platelet 80*108/L, heterosexual platelet morphology
examination showed microscopic see platelet scattered more easily to large and
giant platelet-based, part of the platelet particles to reduce. High-density
lipoprotein:0.93mmol/L, totalbilirubin:20.55MUmol/L, directbilirubin:5.47MUmol/L,
alanine aminotransferase:70.16MUmol/L,aspartate aminotrans-ferase:93.26 MUmol/L.
Head computed tomography(CT) showed department of subcutaneous soft tissue
shadow, clear boundaries,uniform density,no obvious adjacent bone destruction.
DIAGNOSIS: 1Forehead tumor. 2Juvenile platelet syndrom.3Spleen resection.4Liver
dysfunction. Forehead tumor resection under general anesthesia was applied to the
patient.Pathological examination prompted:(frontal) tuberous xanthoma.
Postoperative recovery is good and follow-up at present.
PMID- 29798153
TI - [The treatment progress of myofunctional therapy for obstructive sleep apnea
hypopnea syndrome].
AB - OSAHS, as a kind of sleep-related breathing disorder,is harm to the health.
Myofunctional therapy firstly originated in the speech function training. As a
kind of noninvasive treatment, myofunctional therapy is effective in OSAHS and
can be used as an effective way of adjuvant therapy. In order to make it being
drawn attention, the origin,method of myofunctional therapy and its curative
effect and mechanism of OSAHS were reviewed in this paper.
PMID- 29798154
TI - [The research progress of ceRNA in the head and neck carcinoma].
AB - Competitive endogenous RNA(ceRNA) refers to the regulatory model that RNAs can
regulate each other expression by competing for common miRNA response elements at
post-transcriptional levels. The ceRNA network links the function of protein
coding genes(mRNAs) with the functions of non-coding RNAs (such as miRNAs, long
non-coding RNAs, pseudogenes transcripts,and circular RNAs) and plays an
important role in the development of multiple tumors. The head and neck carcinoma
is a highly aggressive malignant tumor. Although the treatment methods continue
to improve,the survival rate of head and neck carcinoma patients has no more
significant improvement. It is particularly important to explore the molecular
mechanisms of the development and metastasis of head and neck carcinoma,look for
specific molecular diagnostic markers and therapeutic targets.We summarize the
role of ceRNA regulatory networks in the development of head and neck carcinoma
in the present review.
PMID- 29798155
TI - [Research progress in imaging of large vestibular aqueduct syndrome].
AB - Large vestibular aqueduct syndrome is one of the common non-syndromic hearing
impairment. It is one of the most common inner ear abnormalities that cause
hearing loss in children.The main performance is gradual or fluctuant hearing
loss, from basic normal to extremely severe. Frequently seen in high frequencies
hearing loss. The air-bone conduction gaps present in pure tone audiometry test
with low frequencies. There were some inducements of intracranial pressure
increases before premorbid. Some patients could be accompanied by vertigo or
instability. So far, there was still no effective way to terminate the patient
deafness progress.If there was no effective intervention,the speech developmental
delay of children were an inevitable trend,greatly affect their normal social
communication learning ability. So, early diagnosis was critical. Imaging
examination was the golden criterion for the diagnosis of LAVS.Characteristic
audiological performance and gene diagnosis can be the basis of the further
diagnosed. Because the structure and anatomical location of vestibular aqueduct
is small and deep, normal imaging examination is difficult to display its
morphology and structure,so,for a long time, it did not work very well. Until the
advent of High-resolution computed tomography and magnetic resonance imaging,
there was a breakthrough and a deeper understanding of the fine structure with
inner ear. We reviewed the latest progress of large vestibular aqueduct syndrome
imaging studies.
PMID- 29798157
TI - [Treatment of high-risk and complicate foreign bodies in respiratory tract].
AB - Objective:To explore the treatment of the high-risk and complicate foreign bodies
in respiratory tract. Method:Retrospective analysis of 3 330 cases of respiratory
foreign bodies were performed, from 2003 January to 2016 November, including 205
cases of high-risk and complicate cases, foreign bodies including plastic caps,
plastic or glass lamp beads, animal bones, metal objects, and foreign bodies such
as peanuts. Result:In one hundred and seventy-five cases, foreign bodies were
taken out directly by hard bronchoscope, in twenty-eight cases, foreign bodies
were removed by fiberoptic bronchoscope, one case of foreign body was removed
with thoracotomy and one case of foreign body died. Conclusion::To treat high
risk foreign bodies in respiratory tract, sufficient preoperative preparation or
rapid response are both important, including selecting the appropriate equipment,
surgery and anesthesia, in order to successfully remove the foreign body and
minimize complications.
PMID- 29798156
TI - [The role of CTLA-4 in the pathogenesis of chronic tonsillitis].
AB - Objective:The purposes of the present study were to explore the role of CTLA-4 in
the pathogenesis on development of chronic tonsillitis, and to provide new
possibilities and theoretical basis for the drug therapy of chronic tonsillitis
and, from soft regulation of the inflammatory immune response point of view.
Method:Tonsil tissues were obtained by tonsillectomy and classified into two
groups according to clinical forms of tonsillitis, CT (chronic tonsillitis) group
(n=21) and TH (hypertrophy of tonsil) group (n=15). We used HE staining to
observe the pathological changes in the structure of tonsil tissue in different
groups. We used immunofluorescence (IF) method to determine the differences in
expression of CTLA-4 between two groups and their distribution characteristics ,
and used Real-time fluorescent quantitative PCR technique (qRT-PCR) to detect
CTLA-4 mRNA expression in the two groups. Result:1HE staining showed the
pathogeny structure mainly characterized as the follicular hyperplasia and
germinal center in CT group, and obvious "star" phenomenons in TH group.
2Immunofluorescence results showed that the average fluorescence intensity and
density of CTLA-4 protein in CT group was significantly higher than in TH group
(P<0.05), the difference was statistically significant. 3The qRT-PCR experiment
results showed that the expression level of CTLA-4 mRNA in CT group were
obviously higher than in HT group (t=6.294, P<0.01), and the differences were
statistically significant too. Conclusion:We found CTLA-4 played an important
role in the development of chronic tonsillitis disease, suggesting that the
mechanism of immunosuppression may exist in the process of chronic tonsil
inflammation. Tonsil lymphoid tissue immune suppression provided a new
explanation for recurrent tonsillitis, and provides a possibility for the
development of new drugs for the treatment of chronic tonsillitis with the
exception of surgery recurrent tonsillitis, at the same time for the clinical
treatment of chronic tonsillitis in addition to surgery, but the possibility of
researching new drugs from the perspective of immunology.
PMID- 29798158
TI - [Vestibular function tests for vestibular migraine: clinical implication of video
head impulse and caloric tests].
AB - Objective:To review the results of caloric test and the video head impulse test
(vHIT) in a cohort of VM patients and assessed the value of each for predicting
the prognosis in VM patients. Method:A retrospective analysis was performed on VM
patients in our vertigo clinic, vestibular function were assessed by caloric test
and vHIT at the initial visit and 6 months after treatment. Complete response
(CR) was defined as no need for continued medication, uncomplete response (UR) as
improved symptoms but need for continued medication, no symptomatic improvement.
Result:At the initial evaluation, 15 of 75 (15.0%) exhibited abnormal caloric
test results, 9 of 75 patients (12.0%) exhibited abnormal vHIT results. Six
months later, 58 of 75 patients (77.3%) no longer required medication (CR), while
17 (22.7%)UR patients need for continued medication. The ratio of abnormal vHIT
gain and abnormal caloric results were significantly different between group (CR)
and group (UR) (P<0.05). Conclusion:Abnormal vHIT and caloric test results
revealed semicircular canal dysfunction in VM patients, peripheral vestibular
abnormalities are closely related to the development of vertigo in VM patients
and predicted prolonged preventive medication and vestibular rehabilitation
requirement.
PMID- 29798159
TI - [The analysis of the electrode impedance in different periods after cochlear
implantation performed with round window insertion in steroid deposition].
AB - Objective:To study the variation of the electrode impedance in different periods
after cochlear implantation performed with round window insertion in steroid
deposition, and to provide the basis for the postoperative debugging of the
speech processor. Method:Detected the electrode impedance of 47 cochlear
receivers after operation in steroid deposition from September 2014 to October
2015, compared the impedance values between different periods, and different
groups according to their locations. Result:The average impedance of all the
electrodes and the 3 subgroups are low after implantation (period A0), peaked at
the first month (period A1), then decreased slowly, and then turned to be stable
at the sixth month (period A3); the impedance values were no significantly
different between the sixth month (period A3) and the twelfth month (period A4)
while were significantly different between each of other two periods (P<0.05);
the apical group had the highest impedance and the basal group had the lowest
impedance in all the 5 periods. Conclusion:The local use of steroid can decrease
the impedance of the electrode after implantation. The impedance value were the
low during operation, peaked at the first month, then decreased slowly, and
turned to be stable at the sixth month. As a result, the cochlear implant should
be debugged at regular intervals in 6 months after operation to obtain the best
hearing status.
PMID- 29798160
TI - [Correlation between TLR4 gene polymorphisms and allergic rhinitis].
AB - Objective:To investigate the correlation between polymorphisms in the TLR4 locus
and the susceptibility of allergic rhinitis. Method:Three polymorphisms in TLR4
locus (rs10759930, rs2737190 and rs2770150) were genotyped in 212 subjects with
allergic rhinitis and in 169 healthy controls. PCR sequencing methods was
performed for genotyping. SPSS program version 17.0 was used for statistic
analysis. The characteristic of genetic polymorphism was analyzed. The
differences of genotype and allele frequencies between the two groups of children
were compared. Result:Heterozygous mutations CT (P=2.70*10-6, OR=2.66, 95%CI=1.76
4.00), and homozygous mutation TT (P=9.72*10-9, OR=8.78, 95%CI=3.83-20.13) of
rs10759930 appeared significant, are related to AR. Conclusion:Heterozygous and
homozygous allele in rs10759930 is associated with allergic rhinitis.
PMID- 29798161
TI - [Functional modified periauricular incision in parotidectomya].
AB - Objective:The purpose of this study was to present the usefulness of modified
periauricular incision in parotid surgical procedures. Method:Sixteen consecutive
partial superfacial parotidectomies were performed using modified periauricular
incision. Clinical evaluation of cosmetic satisfaction, incidence of
complications such as Frey's syndrome, facial nerve palsy, earlobe numbness and
salivary fistula were observed. Result:The parotid tumors were removed in all
cases with need for any further skin procedures, as the incisions produced a good
aesthetic result. The average scale of cosmetic satisfaction are 9.2. Temporary
paralysis of the facial nerve were found in two patients, and six patients felt
numbness around earlobe after operation. Hey all recovered in 1 to 3 months after
surgery. All patient healed without salivary fistula and Frey's syndrome. No
recurrence was happened during follow-up in 6 to 30 months (median follow-up
period was 22 months). Conclusion:The results of the present study indicate that
modified periauricular incision have a high-quality and highly aesthetic option
for surgical procedures concerning benign conditions of the parotid gland.
PMID- 29798162
TI - [Phonological assessment and voice analysis of thyroid surgery patients].
AB - Objective:To investigate the changes of speech and voice acoustics in patients
with thyroid disease before and after operation, get objective values, and
conducive to guiding the clinical diagnosis and treatment and recurrent laryngeal
nerve protection. Method:A total of 216 patients undergoing thyroid surgery from
October 2015 to October 2016 were treated with TVQ and GRBAS at 1 day before
surgery, 1 week, 1 month, and 3 months postoperatively to phonological
assessment, and Praat software was used to collect and analyze the acoustic
acoustics index for preoperative and postoperative comparative analysis.
Result:All patients did not damage the recurrent laryngeal nerve, unilateral or
bilateral thyroid subtotal resection (no exploration of recurrent laryngeal
nerve) in patients with postoperative speech and voice index F0 was decreased at
1 week after surgery (P<0.05), the other indicators did not change significantly;
difference was found out in single-sided total thyroidectomy+ipsilateral central
area lymph node dissection, bilateral thyroidectomy+unilateral central area lymph
node dissection (exploration of unilateral recurrent laryngeal nerve) in patients
with TVQ, GRBAS and F0, HNR after surgery 1 week compared with preoperative
(P<0.05), However, there was no significant difference 3 months; TVQ, GRBAS and
F0, HNR were significantly changed in patients with bilateral
thyroidectomy+bilateral central lymph node dissection (revealed bilateral
recurrent laryngeal nerve) at 1 week after operation (P>0.05). F0 and HNR were
lower than those before operation, and the patients were treated with Jitter and
Shimmer. The patients were treated with TVQ, GRBAS, Jitter and Shimmer before
operation, however, the majority of patients' TVQ, GRBAS, Jitter, Shimmer can be
restored to preoperative level after surgery 3 months (P>0.05). Conclusion:The
appearance of speech and voice problems in patients after thyroid surgery may
increase with the expansion of the surgical range, the change in speech and voice
after neck lymph node dissection is reversible.
PMID- 29798163
TI - [Application of voice acoustic analysis of surgical effect in children with
benign hyperplastic vocal cord disease].
AB - Objective:To evaluate the use of voice acoustic analysis in children with
hyperplasia diseases of vocal cords. Method:The software developed by Dr.Speech
was used to do acoustic analysis for 93 children patients with vocal cords
hyperplasia diseases before the operation, 15 and 30 days after the operation,
and 76 normal subjects were also analyzed as the controls. Result:The Jitter,
Shimmer and NNE parameters were higher, and HNR, SNR parameters were significant
lower in vocal cords hyperplasia diseases group than in normal controls (P<0.01).
The Jitter, Shimmer and NNE decreased, HNR, SNR increased 15 days and 30 days
after the operation in vocal cords hyperplasia diseases group. Compared with
preoperative group, there was a highly statistically significant at 15 and 30
days after the operation (P<0.01). All the data returned to normal levels 30 days
after surgery. Conclusion:Voice acoustic analysis can evaluate the quality of
children voice and the surgical effect objectively and quantitatively.
PMID- 29798164
TI - [A comparative study of therapy effects between esomeprazole plus mosapride
citrate and botulinum toxin injection on vocal process granuloma].
AB - Objective:Anti-reflux medications or botulinum toxin A injections are the main
current therapies for the definite vocal process granuloma. This studies is
focusing on comparing the effects of proton pump inhibitors plus prokinetic
agents with botulinum toxin A injections on vocal process granuloma. Method:Adult
patients in our outpatient department (from December 2014 to June 2016)
complaining of trachyphonia and/or abnormal pharyngeal sensations who were found
to have contact granulomas (38 cases) were included. Patients were divided into
two groups according to the treatment selected by themselves: esomeprazole with
mosapride citrate (n=28) or botulinum toxin A injection (n=20). The reflux
symptom index and reflux finding score determined by electronic fibrolaryngoscopy
were utilized to assess efficacy. Result:There was no statistical difference on
age, sex and reflux symptom index and reflux finding score before treatment
between the two groups. Total effective rate in the esomeprazole with mosapride
citrate group and the botulinum toxin A group were 96.43% and 45.00%, separately.
The recorded symptoms after therapy resolved with a statistically significant
improvement in the esomeprazole with mosapride citrate group. Conclusion:Combined
proton pump inhibitor plus prokinetic drug therapy plays a significant role in
the treatment of vocal process granulomas.
PMID- 29798165
TI - [Preliminary study on the expression of CD4+CD25+Tregs and Foxp3 in peripheral
blood of patients with head and neck squamous cell carcinoma].
AB - Objective:This paper discusses the expression and significance of CD4+CD25+ Tregs
and Foxp3 in peripheral blood of patients with head and neck squamous cell
carcinoma. Method:We have collected 40 cases of head and neck squamous cell
carcinoma patients with newly diagnosed or relapse after treatment, all of them
underwent surgery, 39 males and 1 females, aged 41-79 years, in our department
from January 2014 to December 2015. At the same time, 10 healthy volunteers are
enrolled as control group. 2 ml peripheral blood has been detected by flow
cytometry, and the ratio of CD4+CD25+/CD4+ and CD4+CD25+Fxop3+/CD4+ are
calculated, respectively. SPSS 23.0 is used for statistical analysis.
Result:CD4+CD25+ Tregs is highly expressed in head and neck tumors, compared with
that in the healthy control, and the difference is statistically significant
(P<0.01). There is significant difference between the early and late stage
(P<0.05). The positive rate of Foxp3+ is higher in CD4+CD25+ Tregs positive cells
than in control group (P<0.01). The difference of positive rate between late
stage and early stage head and neck tumors is statistically significant (P<0.05).
There is a significant positive correlation between CD4+CD25+ Tregs and Foxp3
(r=0.95). Conclusion:CD4+CD25+ Tregs and Foxp3 are highly expressed in the
peripheral blood of patients with head and neck squamous cell carcinoma. Through
the inhibition of the immune system in patients with head and neck squamous cell
carcinoma, the development of carcinoma were promoted.
PMID- 29798166
TI - [Clinical treatment of metastatic papillary thyroid carcinoma in cervical lymph
nodal with occult primary sites].
AB - Objective:To discuss the diagnosis, treatment and prognosis of metastatic
papillary thyroid carcinoma in cervical lymph nodal with occult primary sites.
Method:The clinical data of 5 patients involved papillary thyroid carcinoma with
cervical lymph nodal metastasis with occult primary sites from 2009 to 2015 were
analyzed. Result:According to preoperation examinations, two of them only
underwent neck lymph node resection and three patients underwent asubtotal or
total thyroidectomy plus neck lymph node dissection. All the pathological results
showed that there were metastatic papillary thyroid carcinoma in cervical lymph
nodal, but the primary sites of thyroid tissue were normal. After 1 to 7 year
follow-up, there was no recurrence. Conclusion:Whether to take the thyroidectomy
need to be carefully considered thyroidectomy in patients with metastatic
papillary thyroid carcinoma in cervical lymph nodal with occult primary sites.
PMID- 29798167
TI - [Relationship between obstructive sleep apnea syndrome and cognitive impairment
and functional status after stroke].
AB - Objective:To analyze the correlation between obstructive sleep apnea syndrome
(OSAHS) and cognitive impairment and functional status after stroke. Method:From
February 2014 to September 2016, a total of 189 patients were included in the
study, 33 patients with mild OSAHS were excluded, and finally 86 patients in the
study group and 70 patients in the control group were included in the final
analysis. The main indicators included the cognitive and functional status.
Cognitive status was assessed by nine dimensions: vigilance, attention, memory,
working memory, executive, language, insight, mental activity, psychomotor, and
intelligence. Functional status was assessed using neurological status and
functional independence. Secondary measures included sleepiness, fatigue, anxiety
and depression, and sleep quality. Result:The age of the study group was higher
than the control group, the BMI was higher than the control group, the average
hospitalization time was longer than the control group, and all of the difference
were statistically significant (all P<0.05). The total cognitive status of the
two groups was significantly different, and the total cognitive status study
group was significantly worse than in the control group (t=9.276, P=0.012). In
the nine dimensions of cognitive ability, the study group's attention, execution,
insight, mental adjustment and intelligence were lower than the control group,
and the difference was statistically significant (P<0.05). The functional status
of the study group was worse than that of the control group (t=38.094, P=0.000).
There were no significant differences between the two groups in terms of
sleepiness, fatigue, sleep quality, anxiety and depression (P>0.05).
Conclusion:The cognitive impairment and functional status of stroke patients with
OSAHS are significantly worse. OSAHS is a risk factor for poor prognosis in
stroke patients.
PMID- 29798168
TI - [Differences in myringoplasty between endoscopic and microscope].
AB - Objective:The intraoperative and postoperative clinical indexes of myringoplasty
performed by endoscope or by microscope were collected retrospectively, the
differences between the two different surgical methods were analysized.
Method:Retrospective analysis of the clinical data of 70 cases (70 ears)
udergoing myringoplasty in the department of Otolaryngology-Head and Neck
Surgery, at the Second People's Hospital of Kashi from June 2014 to August 2015.
According to the surgical approach cases were divided into two groups, 30 cases
in group A by endoscopic myringoplasty and 40 cases in group B by microscope
myringoplasty. The operation time, blood loss, postoperative dry ear time, wound
healing scar hyperplasia, tympanic membrane perforation rate and hearing
improvement rate were compared between the two groups, and SPSS 19.0 statistical
software was used to analyze the differences between the two groups. Result:The
operation time: Group A had an average of (35.23+/-6.38)min, less than group B
(42.60+/-7.97)min, with statistical difference (P<0.05). The intraoperative blood
loss: the average of group A was (7.33+/-2.11)ml, less than group B (17.93+/
3.84)ml, with statistical difference (P<0.05). The postoperative dry ear time
more than 1 month: group A was 40%, lower than Group B (75%), with statistical
difference (P<0.05). The postoperative incision healing scar hyperplasia: group A
was 0%, lower than group B (5%), no significant difference (P>0.05). The tympanic
membrane perforation rate: group A was 3.33%, lower than group B (7.5%), no
significant difference (P>0.05). The postoperative hearing improvement rate:
group A was 76.67%, lower than group B (80.00%), no significant difference
(P>0.05). Conclusion:Compared with the traditional microscope surgery, endoscopic
myringoplasty is a minimally invasive surgical technique which can get the same
curative effect, but with a shorter operation time, less intraoperative blood
loss, dry ear in shorter time , recovery more quickly, beautiful incision and
other advantages. So it is worthy of clinical application.
PMID- 29798169
TI - [Study of minimally invasive treatment of low-temperative plasma for the
congenital pyriform sinus fistula during acute infection phase].
PMID- 29798170
TI - [The aging changes of the nasal septum in adolescents].
PMID- 29798171
TI - [Therapeutic effect of two kinds of surgical treatment for chronic
dacryocystitis: external dacryocystorhinostomy and endoscopic
dacryocystorhinostomy].
PMID- 29798172
TI - [Nasal catheter drainage for treatment of retropharyngeal abscess].
PMID- 29798173
TI - [Inflammatory myofibroblastic tumor: a case report].
AB - A 59 years old woman with chief complain of intermittent hemoptysis and shortness
of breath was admitted to our hospital. Fiberoptic laryngoscope: no abnormality
seen; enhanced computerized tomography of the neck showed that at the second
trachea ring located a round nodule with a diameter of about 23 mm, flat on the
sixth cervical vertebrae, consider vascular tumors. The immunohistochemistry: an
inflammatory myofibroblastic tumor.
PMID- 29798174
TI - [Complete endoscopic resection of septonasal chondrosarcoma by coblation: two
cases report].
AB - Septonasal chondrosarcoma is extremely rare, though chondrosarcoma ranks the
third in bone malignant tumors. Typical symptoms can be lack duing to elusive
anatomical structure of the nasal sinuses, which easily leads to misdiagnosis.
Here we reported two cases of low-grade chondrosarcoma deriving from nasal septom
removed by intranasal endoscope using coblation. MRI and CT scan revealed typical
ring-and-arc appearance. Treatment with septonasal chondrosarcoma varied from
neoplasm staging and surgical approcaches had been reported long disease-free
survival.
PMID- 29798175
TI - [Triple semicircular canal occlusion with cochlear implantation for delayed
endolymphatic hydrops: a case report].
AB - A 46-year-old female with profound sensorineural hearing loss in her left ear
from childhood developed tinnitus and fluctuating hearing loss on the right side
8 years ago. Four years later, paroxysmal episodes of rotatory vertigo occurred
with gradually increased frequency, lasting from half an hour to 2 hours and
accompanied with nausea and vomiting. Audiometric test revealed severe
sensorineural hearing loss in both of her ears. The tympanograms showed type A on
both sides. The threshold of auditory brainstem response was 97 dBnHL in her
right ear while no waves could be educed on her left side. Weakened right-sided
vestibular function was confirmed on caloric testing and vestibular-evoked
myogenic potential. A normal cochlear morphology and clearness cerebellopontine
angle were shown in the MR imaging scan. She was diagnosed with Delayed
Endolymphatic Hydrops.
PMID- 29798176
TI - [External nose eccrine poroma:a case report].
AB - Eccrine poroma is a benign neoplasm of the terminal duct. It is commonly located
in distal extremities but rarely present in head and neck. This report mainly
describes a case of external nose eccrine poroma. We will clarify the disease
from pathology; histopathological examination; diagnosis; clinical manifestations
to prognosis.
PMID- 29798177
TI - [Research progress of intervention strategies on voice disorders in children with
vocal nodules].
AB - Vocal nodules in children is one of the common diseases in children,
characterized as the hoarse voice of the children, which is mainly due to
improper use of sound long-term or excessive use of the voice. The hoarseness of
children's voice undermine not only the physical and mental health of children,
but also the quality of life of children and their families. In recent years, the
incidence of vocal nodules in children has been increasing. There are
considerable differences between the children's own characteristics and adults
such as bronchial lumen and cognitive and cooperate aspects, which lead to a
large number of difficulties for clinical diagnosis and intervention. Based on a
large number of literatures, this paper reviews the risk factors, diagnosis,
voice assessment and intervention strategies of vocal nodules in children, in
order to provide more comprehensive reference for the medical workers.
PMID- 29798178
TI - [The regulatory mechanisms of 1,25(OH)2D3 in allergic rhinitis].
AB - Nearly 30 years at home and abroad, the study found that Vitamin D can adjust
congenital and acquired immune at the same time. As a result, Vitamin D is
expected to be used for prevention and treatment of allergic rhinitis. But about
vitamin D supplement to the mechanism of action of allergic disease such as
allergic rhinitis is still not clear, there is no consistent condusion, even some
of the result also has certain contradiction, so vitamin D is not routinely used
for clinical therapy. This review will help us to understand the mechanism of
action of vitamin D for allergic rhinitis in the treatment of thinking in the
future.
PMID- 29798179
TI - [Immunotherapies for head and neck squamous cell carcinomas].
AB - The Head and neck squamous cell carcinoma (HNSCC) is a group of heterogeneous
diseases. Patients with HNSCC demonstrate poor prognosis and survival time with
standard therapy, especially in patients with advanced HNSCC. Recurrence and
metastasis have not yet been effectively controlled. The immune profile in HNSCC,
whether caused by carcinogen exposure or human papillomavirus (HPV), showed
significantly immunosuppression. With the understanding of the complex
interaction between tumor and immune system and immune escape mechanism of HNSCC,
new immunotherapies have been generating. This article will summarize the
immunological mechanism and immune therapy of HNSCC currently.?.
PMID- 29798180
TI - [Clinical observation of coblation assisted transoral microsurgery for the
treatment of oral and oropharygneal malignancy].
AB - Objective:To evaluate the feasibility and effectiveness of coblation assisted
transoral surgery for the treatment of oral and oropharyngeal malignancy.
Method:19 patients who suffered from oral or oropharyngeal malignant tumors
underwent coblation assisted transoral surgery from August 2008 to August 2017
were studied. According to the tumor sites and pathological results, there were
four oral squamous cell carcinoma (SCC) (two tongue carcinoma and two mouth floor
carcinoma), eleven oropharygneal SCC (five tonsillar carcinoma, four soft palate
carcinoma, one tongue base cartinama and one multiple carcinoma invading both
soft palatine and hypopharynx), and four lymphatic and hematopoietic malignancies
(three tonsillar tumors and one tumor invading both tonsil and tongue base).
According to AJCC guideline, the stages of four oral SCC were T1N0M0, T1N2M0,
T2N1M0, and T2N2M0 respectively; while the stages of eleven orophygneal SCC were
T1N0M0 for 5 patients, T2N0M0 for 4 patients, T2N1M0 for one patient, and T2N2M0
for one patient respectively. Result:Among the 19 patients studied, concurrent
neck dissections and tracheotomies were performed in six and four patients
respectively. For all the transoral procedures, the blood loss could be
controlled within 20 ml, while the operative time were controlled within two
hours. 13 patients started oral feeding the day they were operated on. All of the
four patients who underwent the tracheotomy could be decannulated successfully
after surgery. Four patients diagnosed as lymphatic and hematopoietic
malignancies turned to hematology department for further treatments. For the
remaining 15 SCC patients, 14 were followed up successfully with one loss to
follow-up: the follow up time ranged from 6 to 108 months, during these times,
one patient with multiple carcinomas invading the soft palate and hypopharynx had
developed new carcinomas located in contralateral hypopharynx and esophagus and
had the metastasis in cervical lymph nodes 5 months after surgery, another
patient with soft palate carcinoma had the metastasis in cervical lymph nodes 18
months after surgery. Two patients died because of intracranial hemorrhage and
cardiovascular event 5 and 12 months after surgery respectively. The three years'
tumor free survival and overall survival rates calculated by Kaplan-Meier method
were 75.0% and 77.9% respectively. All patients had no severe dysfunctions for
swallow, speech and breathing related to the surgery. Conclusion:Radiofrenquency
coblation assisted transoral surgery for oral and oropharygneal carcinoma has
definite therapeutic effect. Most cases can avoid open surgery and
tracheotomy.The advantages are blood control, simplifing surgery,shortening
operational time,faster recovery, lower incidence of complications and better
preservation of organ function.
PMID- 29798181
TI - [Coblation and silicon stent implantation for recurrent laryngeal papilloma with
extensive lesions].
AB - Objective:To evaluate the feasibility and long-term outcome of radiofrequency
coblation combined with silicon stent implantation in the treatment of recurrent
laryngeal papilloma with extensive lesions. Method:From March 2009 to April 2016,
a total of 13 patients (8 males, 5 females; aged 28-67 years) who suffered
recurrent laryngeal papilloma were enrolled in this retrospective study. All the
cases had undergone at least one operation at other hospitals before this
hospitalization. The recurrence interval (RI) of these cases ranged from 2 to 6
months. Video-laryngoscopy or stroboscopy were preformed preoperatively and
postoperatively, as well as vocal function assessment. These cases underwent
radiofrequency coblationin combination of different stent implantation (member, 7
cases; tube, 4 cases; T-shaped tube, 2 cases) under general anesthesia. The
follow-up was 12 to 76 months. Result:Laryngoscopy revealed that the lesions
mainly located in the vocal folds, anterior commissure, ventricular band,
posterior commissure, epiglottis and even in subglottic area. Varying extent of
mucosal adhesion of anterior or/and posterior commissure were observed in all
cases, and two cases suffered mild subglottic tracheal stenosis. Two to six weeks
after surgery, the silicon stent were removed and no mucosal adhesion were found
except for 2 cases who suffered mucosal adhesion of anterior commissure again.
Compared to preoperative scores, VHI-10 and G scores showed the significant
improvement of voice quality postoperatively in all cases. The recurrence of
papilloma was observed in 3 cases during 1-year follow-up, and 4 cases during 2
year follow-up, no recurrence in 6 cases. These recurrence lesions mainly located
in ventricular band, subglottic area and trachea. However, no recurrence occurred
in these cases who received ablation again. No serious complications were
observed in these cases. Conclusion:Radiofrequency ablation may be an effective
approach in the treatment of recurrent laryngeal papilloma with extensive
lesions. One-stage application of suitable silicon stents can effectively prevent
the adhesion of the wound and the onset oflaryngo-tracheal stenosis, and improve
the quality of voice.
PMID- 29798182
TI - [Treatment of children's pharyngeal stenosis following pharyngeal surgery with
coblation].
AB - Objective:Pharyngeal stenosis as a postoperative complication following
pharyngeal surgery (tonsillectomy/adenoidectomy) with coblation is rare and may
be difficult to treat. This report is to explore the causes of pharyngeal
stenosis and presents our successful treatment experience. Method:From Jan 2012
to July 2016, 5 children with pharyngeal stenosis (2 nasopharyngeal stenosis and
3 nasopharyngeal stenosis combined with oropharyngeal stenosis) secondary to
pharyngeal surgery (tonsillectomy/adenoidectomy) in Peking Union Medical College
Hospital were analyzed. Five cases with severe nasopharyngeal stenosis received
surgery of scar resection, horizontal-to-vertical pharyngoplasty and local
pharyngeal flap rotation; and three of them received free skin transplantation.
After stenosis repair surgery, prolonged nasopharyngeal hollow stents were used
for more than 6 months. To evaluate the therapeutic effect, pharyngeal cavities
and symptoms of difficulty nasal breathing, mouth breathing, difficulty in
blowing nose, hyponasal speech, snoring, restless sleep, anosmia, dysphagia were
assessed and compared before and after surgery. Result:With 7-46 months follow
up, all symptoms of the 5 cases are ameliorated and the diameters of nasopharyx
are more than 1.5 cm. No velopharyngeal insufficiency complication happened.
Conclusion:Improper operation with coblation can cause severe pharyngeal
stenosis. Flap rotation, horizontal-to-vertical pharyngoplasty and prolonged use
nasopharyngeal hollow stents are reliable methods to correct pharyngeal stenosis
following children's pharyngeal surgery.
PMID- 29798183
TI - [Complications analysis of adenoidectomy and tonsillectomy assisted with ablation
on children].
AB - Objective:To analyze the complications of adenotonsilectomy assisted with
coblation in children. Method:Complications of 2 089 cases of children with
adenoid and tonsil surgery assisted with coblation, in our hospital nearly 10
years, were analyzed by epidemiological methods through the method of
retrospective analysis. Result:1the sex ratio of male to female was 2.08:1,
average age (5.87+/-3.12) years old, and most of 2 089 cases 76.35% (1 595/2 089)
were 3-7 years old; 2all cases underwent adenoidectomy. Different surgery methods
of tonsil consisted of three groups as partial resection associated with ablation
was 69.17% (1 445/2 089), ablation (channeling) alone was 22.26% (465/2 089) and
total resection was 8.57% (179/208). The amount of bleeding in operation was
(8.52+/-3.18)ml, average operation time was (30.15+/-8.26) minutes, the
postoperative pain score was (3.77+/-1.61); 3The incidence of postoperative
complications: postoperative bleeding (all were secondary bleeding cases) rate
was 0.24% (5/2 089), recurrence rate was 0.14% (3/2 089), prevertebral
lymphadenitis was 0.96% (20/2 089), the other was 0.29% (torus hyperplasia in 2
cases, dyspnea in 2 cases, 1 cases of angle of mouth burned, nasopharyngeal
adhesion in 1 cases). Postoperative fever rate was 9.81% (205/2 089).
Conclusion:coblation technique is a good method for the treatment of children's
adenoids and tonsil diseases with high efficiency and low complications. But
improving the operation procedure proficiency level and skills of operation is an
important link to reduce complications.
PMID- 29798184
TI - [Sternohyoid myocutaneous flap in pediatric laryngotracheal stenosis treatment].
AB - Objective:To assess the indications and outcomes of laryngotracheal
reconstruction (LTR) using the sternohyoid myocutaneous flap (SMF) in pediatric
patients with laryngotracheal stenosis. Method:Twenty patients (15 males, 5
females; mean age, 9 years old, range 3 to 14) with laryngotracheal stenosis who
underwent SMF procedures at Tangdu Hospital between August 1991 and October 2014
were analyzed. Stenosis was classified according to the Myer-Cotton grading
system. Four patients were grade II, ten were grade III, and six were grade IV.
The mean length of stenosis was 1.8 cm (rang, 0.3-4.0 cm). Three patients had
concomitant unilateral vocal paralysis and 2 had bilateral vocal paralysis.
Result:Fourteen of 20 patients (70%) were decannulated. The prevalence of
decannulation for grade II, III, and IV was 100%, 70%, and 50%, respectively.
There were no severe complications. Conclusion:The SMF is a relatively simple and
reliable method for LTR but should be used cautiously if employed in severe cases
such as grade IV with long-segment stenosis.
PMID- 29798185
TI - [Differences in clinical features of post-traumatic olfactory dysfunction and non
post-traumatic olfactory dysfunction: a follow-up study].
AB - Objective:To analyze the clinical features and recovery rate of post-traumatic
olfactory dysfunction (PTOD) in Chinese adults in a case control follow-up study.
Method:The clinical data of 202 patients who were diagnosed with olfactory
dysfunction between January 2015 and December 2016 and followed up for 14 to 473
days were analyzed in this retrospective study. The patients were divided into
those with PTODs (PTOD group) and those without PTODs (non-PTOD group). The two
groups were compared with regard to age (years), sex, olfactory function
(Sniffin' sticks), gustatory function (triple drop method), chemosensory evoked
potentials, and magnetic resonance imaging (MRI) characteristics of olfactory
pathways. The recovery rate of PTOD was evaluated by Sniffin' sticks and triple
drop method. Result:Patients in the PTOD group (40+/-11 years) were significantly
younger than those in the non-PTOD group (47+/-15 years), whereas the number of
men and women was similar in both groups. The mean TDI score (Sniffin' sticks)
was significantly different between the PTOD (12+/-5) and non-PTOD (19+/-8)
groups (P<0.05). The mean oERP P2 latency was significantly shorter for the non
PTOD group (418+/-64 ms) than for the PTOD group (483+/-82 ms, P<0.05). There
were no significant differences in the mean oERP N1 latency, N1 amplitude, P2
amplitude, mean tERP P2 latency and MRI between the two groups. After the follow
up period, 8.9% (5/56) and 5.4% (3/56) patients in the PTOD group exhibited an
improvement in olfactory function and gustatory function, respectively.
Conclusion:PTOD should be considered a type of disability that can lead to
serious accidents, and an adequate understanding of its clinical features and
etiologies is critical for appropriate diagnosis and treatment and for improving
the prognosis of treatment. The rate of recovery of olfactory function is higher
than that of gustatory function in patients with PTOD; further investigations are
required in this regard.
PMID- 29798186
TI - [Evaluation of neutrophil/lymphocyte ratio and platelet/lymphocyte ratio in
children of obstructive sleep apnea hypopnea syndrome].
AB - Objective:To evaluate neutrophil/lymphocyte ratio (NLR) and platelet/lymphocyte
ratio (PLR) in children of obstructive sleep apnea hypopnea syndrome (OSAHS).
Method:122 cases of OSAHS patients were selected, and divided into 3 groups: mild
OSAHS group (41 cases), moderate OSAHS group (41 cases), and severe OSAHS group
(40 cases), according to the stage apnea hypoventilation index (AHI) and the
lowest oxygen saturation (LSaO2). In addition, 40 cases healthy children were
selected as control group. The differences NLR and PLR between 4 groups were
compared. Result:The NLR in the severe OSAHS group (1.57+/-0.58) was
significantly higher than that in the normal control group (0.98+/-0.22), mild
OSAHS group (1.24+/-0.47), and moderate OSAHS group (1.36+/-0.46), P<0.05.
However, there was no significant difference in PLR between the normal control
group and other OSAHS groups (P> 0.05). A positive correlation between AHI and
NLR (r=0.385,P=0.000), and a negative correlation between AHI and minimal oxygen
saturation were observed (r=-0.686,P=0.000). Conclusion:NLR might be used as a
marker to evaluate the severity of inflammatory status in OSAHS patients, but PLR
could no.
PMID- 29798188
TI - [The clinical features and prognosis analysis of adenoid cystic carcinoma in
nasal cavity and paranasal sinus].
AB - Objective:To investigate the clinical features and prognosis of adenoid cystic
carcinoma (ACC) in nasal cavity and sinus. Method:67 patients with ACC were
recruited interview in our department from 2007 to 2017. The association between
clinical parameters and survival were evaluated by statistical analysis.
Result:The 1-, 5- and 10- overall survival were 95%, 79%, 67%, and the 1-, 3- and
5-year recurrence rate were 5%, 7%, 9%, respectively. Simple factor analysis
displays that age, the location of the tumour, clinical stages, sex, the interval
time between starting symptoms to diagnosis time, the expression quantity of Ki
67 were related to the prognosis, and the latter three and surgical margin were
also influential factors of distant metastasis after treatment. Multi-factors
analysis revealed that sex, the interval time between starting symptoms to
diagnosis time, the expression quantity of Ki-67 were significant factors for
prognosis, and the latter two and surgical margin were influential factors of
distant metastasis after treatment. Conclusion:The sex and the interval time
between starting symptoms to diagnosis time and Ki-67 may be independent
prognostic factors for ACC. The interval time between starting symptoms to
diagnosis time and Ki-67 level may be independent factors of distant metastasis
after treatment. Patients with long interval time between starting symptoms to
diagnosis time and high expression of Ki-67 may have a higher risk of recurrence
and mortality, which need reexamination of cycle-time reduction. The surgical
margin is influential factor of distant metastasis, but not for the patients'
prognosis.
PMID- 29798187
TI - [Anxiety and depression in patients with sudden sensorineural hearing loss and
its influencing factors].
AB - Objective:To evaluate the psychological status of anxiety and depression in
patients with sudden sensorineural hearing loss, and to analyze the factors that
affect the occurrence of anxiety and depression. Method:A total of 198 patients
with moderate and severe sudden sensorineural hearing loss were enrolled. The
patients were tested by general condition questionnaire and hospital anxiety and
depression scale (HADS). Patients who developed anxiety and depression were
tested again using the HADS at 1 week after completion of the treatment.
Result:The incidence of anxiety was 27.27% in patients with moderate and severe
sudden sensorineural hearing loss, and the incidence of depression was 25.25%.
The scores of anxiety and depression were statistically significant (P<0.05). The
multivariate logistic regression analysis showed that the status of anxiety and
depression was accompanied by symptoms and other diseases (P<0.05). There was a
significant difference between the effective group, the significant efficacy
group and the cured group (P<0.05). The difference between the scores before and
after treatment was compared. Differences in the ineffective group compared with
the other three groups, and the cured group compared with the other three groups
of anxiety, depression were statistically significant. Conclusion:The problem of
anxiety and depression in patients with moderate and severe sudden sensorineural
hearing loss is relatively prominent, and the symptoms of anxiety and depression
are obviously affected by the symptoms and other diseases. After treatment,
anxiety and depression status changes significantly. In clinical practice,
anxiety and depression and its influencing factors should be taken into account
for the treatment of the patients with severe sudden sensorineural hearing loss.
PMID- 29798189
TI - [Application of dysphonia severity index in laryngeal reflux related voice
diseases].
AB - Objective:To discuss the validity and reliability of dysphonia severity index in
evaluating the effect of diagnosis and treatment of laryngeal reflux related
voice diseases. Method:54 cases of voice disease patients accompanied by
laryngopharyngeal reflux from January 2016 to June 2017 in department of
otorhinolaryngology of our hospital were divided into two groups according to
treatment type. 32 cases in the operation group received laser surgery and
standard acid suppression therapy for 6 weeks, and 22 patients in the non
operation group received standard acid suppression therapy for 6 weeks. 24 h
multichannel impedance pH (MCII-pH) monitoring was carried out. The indexes of
reflux symptom, reflux finding score, subjective auditory perception and
objective acoustic parameters of voice were measured before and after treatment,
and the dysphonia severity index was calculated and analyzed. Result:There was no
significant difference in age, sex and course of disease between the two groups
(P> 0.05).?Compared with pre-treatment, RSI, RFS, Jitter, Shimmer, G and R in two
groups decreased significantly after treatment, and MPT, DSI increased
significantly (P<0.05). Before treatment, RSI, RFS, Jitter, Shimmer, G and R in
the operation group were significantly higher than those in the non-operation
group, and MPT, DSI were lower (P<0.05). There were no significant differences in
the parameters between the two groups after treatment (P> 0.05). DSI was
negatively correlated with GRBAS scoring parameters, Jitter and Shimmer, and
positively correlated with RSI, RFS, and MPT (P<0.01). DSI is related to the
location of the lesion (P<0.05) The incidence of anxiety was 27.27% in patients
with moderate and severe sudden sensorineural hearing loss, and the incidence of
depression was 25.25%. The scores of anxiety and depression were statistically
significant (P<0.05). The multivariate logistic regression analysis showed that
the status of anxiety and depression was accompanied by symptoms and other
diseases (P<0.05). There was a significant difference between the effective
group, the significant efficacy group and the cured group (P<0.05). The
difference between the scores before and after treatment was compared.
Differences in the ineffective group compared with the other three groups, and
the cured group compared with the other three groups of anxiety, depression were
statistically significant. Conclusion:DSI can be used as an objective evaluation
index for the diagnosis and treatment of laryngeal reflux related voice diseases,
and it is accurate and reliable.
PMID- 29798190
TI - [The expression of IL-22 in nasal polyps tissues].
AB - Objective:To analyze the expression of IL-22 in nasal polyps and the expression
of Th22 cells. Method:The concentrations of IL-22 in nasal polyps were determined
by ELISA. The mononuclear cells infiltrated in nasal polyps were separated and
flow cytometry was used to analyze the expression of IL-22 in CD4+ T cells and
the counts of Th22 cells. Result:Compared with the control group, the expression
of IL-22 in nasal polyps did not change significantly, however the expression of
IL-22 in CD4+ T cells increased. Furthermore the number of Th22 cells in the
tissue increased significantly. Conclusion:The expression of Th22 cells in nasal
polyps is significantly increased, which may play an important role in local
chronic inflammatory mucosal immunity.
PMID- 29798191
TI - [Expand pedicle forehead flap in the repair of whole nasal defect].
AB - Objective:To observed the application of expanded pedicle forehead flap in nasal
defect. Method:Totally 11 whole nasal defect cases in our department from June
2010 to March 2016 were treated with the expanded pedicle forehead flap. Regular
follow-up was performed after operation to observe the survival condition of
flaps, shape, color, texture and scar in donor site after nasal repair.
Result:The expanded pedicle forehead flap and transplantation of autologous
costal cartilage nasal stents were all survived, the reconstruction nasal shape
was satisfied. After 1-5 years follow up, the repair using expanded forehead flap
had a good color with enough blood supply, and the nasal ventilation function is
well. There was only one linear scar leaved in donor site. Conclusion:Application
of the expanded pedicle forehead flap is a good mothed for the treatment of whole
nasal defect.
PMID- 29798193
TI - [The experience on diagnosis and treatment of the third branchial anormal].
PMID- 29798192
TI - [Effect of APP on prognosis in patients with chronic rhinosinusitis after
endoscopic sinus surgery].
AB - Objective:To investigate interventional effect of APP on prognosis in patients
with chronic rhinosinusitis after endoscopic sinus surgery. Method:One hundred
and forty-four chronic rhinosinusitis patients in our hospital were divided into
observation group and control group randomly; observation group had 71 patients,
and control group had 73 patients. The control group was treated with standard
discharge instruction. In addition to standard discharge instruction, observation
group was followed up and directed by using of APP. Two groups' VAS scores and
Lund-Kennedy scores were compared in admission time, and 6 months after
discharge. Result:There was no significant difference in the Lund-Kennedy scores
and VAS scores between the two groups in admission time. However, 6 months after
discharge, Lund-Kennedy scores and VAS scores in observation group were obviously
lower than control group?(P< 0.05). Conclusion:The use of healthy APP can
significantly promote patients with chronic rhinosinusitis recovery and re-visit
after endoscopic sinus surgery.
PMID- 29798194
TI - [Practice experience of laternal neck incision in the operation of parapharyngeal
spece neoplasms].
PMID- 29798195
TI - [The clinical analysis of solitary lateral neck node metastasis in 10 cases of
thyroid carcinoma].
PMID- 29798196
TI - [The clinical research of arytenoid dislocation].
PMID- 29798197
TI - [Recent advances in early diagnosis of head and neck cancer in precision medicine
era].
AB - Head and neck squamous cell carcinoma, HNSCC, has high morbidity and mortality.
Even in America, more than 1/2 to 2/3 patients have been diagnosed at advanced
stage. So, it's urgent to find ways to diagnose HNSCC earlier and foresee the
curative effect. With the achievement of Next-Generation Sequencing, researchers
are trying to develop early diagnostic technology from a new perspective, such as
personal genomics, proteomics, metabolomics and other related personal
information. Here we reviewed the recent research in early diagnosis of HNSCC.
PMID- 29798198
TI - [Systematic review on orofacial myofunctional therapy to treat obstructive sleep
apnea-hypopnea syndrome].
AB - Obstructive sleep apnea-hypopnea syndrome (OSAHS) is an important risk factor for
cardiovascular and cerebrovascular diseases. The widespread collapse of the
airway, which can't maintain its normal position and structure, is an important
cause of OSAHS. Noninvasive ventilator-assisted ventilation is the preferred
treatment for OSAHS, but there are shortcomings of poor compliance. Orofacial
myofunctional therapy (OMT) is a noninvasive, simple, low-cost, low-risk therapy
that is expected to replace noninvasive ventilator-assisted ventilation to a
certain extent. So far, there is little Chinese literature on OMT, especially no
training methods in Chinese version. Therefore, this paper systematically
reviewed anatomical abnormalities and pathology of OSAHS, possible treatment
mechanism of OMT and related research progress. And we translated two kinds of
training method of OMT (Guimarase's and Hemmat Baz's) into Chinese for
researchers and clinicians' reference, which is widely cited in foreign
literature.
PMID- 29798199
TI - [Research advances of pregnancy rhinitis].
AB - Rhinitis during pregnancy refers to the various causes of rhinitis during the
pregnancy, such as allergic rhinitis, vasomotor rhinitis, drug rhinitis, etc.
Patients could have symptom before pregnancy, during pregnancy or postpartum
symptoms. Pregnancy rhinitis (PR) appear only in pregnancy, and the main clinical
manifestations is nasal congestion and the symptom disappeared after pregnancy.
There are some similarities between PR and rhinitis during pregnancy, but the
clinical feature, treatment option and prognosis are different. PR can affect the
quality of life throughout pregnancy, and may even lead to increased risk of
OSAHS in women and a threat to maternal and baby's safety. This article will
review the research progress of the pregnancy rhinitis.
PMID- 29798200
TI - [Exploration of transoral robotic surgery in the treatment of pediatric
obstructive sleep apnea-hypopnea syndrome].
AB - Transoral robotic surgery (TORS) is a relatively new technique in the treatment
of pediatric obstructive sleep apnea-hypopnea syndrome, which has been shown to
be safe and feasible. TORS provides a minimally invasive, visualization method,
which brings an unprecedented breakthrough in the treatment of pediatric
obstructive sleep apnea-hypopnea syndrome. In this paper, the application and
development prospect of TORS in the treatment of pediatric obstructive sleep
apnea-hypopnea syndrome will be reviewed.
PMID- 29798201
TI - [A multicenter randomized controlled study on the treatment of acute and chronic
pharyngitis with Binglianqingye Spray].
AB - Objective:To further evaluate the clinical efficacy and safety of Binglianqingye
Spray on acute and chronic pharyngitis by clinical observation. Method:A
randomized, double-blind and multicenter clinical trial which served Jinsangzi
Tablet and Jinhoujian Spray as the control groups was conducted to observe the
effect of Binglianqingye Spray on 360 patients with acute and chronic
pharyngitis. Result:Binglianqingye Spray could significantly improve the symptoms
with the exact clinical efficacy of the acute pharyngitis or chronic pharyngitis,
such as sore throat, pharyngeal mucosa and uvula, dry throat burning, headache,
cough, pharynx posterior wall lymphoid follicles hyperemia swelling and
mandibular angle lymph node swelling and so on, but it occasionally occurred
urine abnormalities and urine sugar adverse reactions. At the same time, the
taste satisfaction was 82.1%. Conclusion:The combined effects of Binglianqingye
Spray on the treatment of acute pharyngitis or chronic pharyngitis are well, the
adverse reaction is rare and the patient's compliance is high.
PMID- 29798202
TI - [The effects of PM 2.5 and air quality index on the emergency of otolaryngology].
AB - Objective:To discuss the effects of PM 2.5 and air quality index on the emergency
of otolaryngology. Method:Collect in 2015 Beijing tongren hospital emergency
department patients with acute otitis media, epistaxis, acute epiglottitis as
well as the same time Beijing AQI value and the PM 2.5 density data as the
experimental group 1, chooses in 2015 first Affiliated Hospital of Hebei North
University similar patient as well as the same time Zhangjiakou AQI value and the
PM 2.5 density as the experimental group 2. Statistics the quantity of each
sickness of two groups, and the relationships with PM 2.5 and AQI value; Group
all data by AQI value and statistics the differences in the incidence rates of
each group. Result:The number of cases of acute otitis media and epistaxis in the
2 experimental group were all correlated with the change of PM 2.5 and AQI value,
and the correlation was positive between the two groups, However, the incidence
of acute epiglottitis was not significantly correlated with the concentration of
PM 2.5 and AQI, and there was no significant correlation between the two. The air
quality when severe and more pollution when the number of the cases of acute
otitis media and epistaxis compared with good air quality for the number of
P<0.05, the difference was statistically significant. Conclusion:The increase of
PM 2.5 concentration and AQI index is a health risk factor for acute otitis media
and epistaxis.
PMID- 29798203
TI - [Differential evaluation of diagnostic criteria for pediatric obstructive sleep
apnea hypopnea syndrome].
AB - Objective:To evaluate the difference of accessing pediatric sleep symptoms and
living qualities between 3 diagnostic criteria: American Thoracic Society (ATS),
International Classification of Sleep Disorder (ICSD-3), domestic Urumqi
criterion (Draft); To investigate the relationship of PSG parameters and quality
of life scale OSA-18. Method:Children with snoring who received PSG in Capital
Medical University Beijing Tongren Hospital were recruited from Jan 2016 to Apr
2017. Subjects were diagnosed and grouped to SS, ICSD, ATS&ICSD (ATS&ICSD1,
ATS&ICSD2) according to 3 criteria: ATS (AHI>5 or OAI>1), ICSD-3 (OAHI>=1) and
Urumqi (AHI>5 or OAI>1, with LSaO2<92%). Subjects' height, weight as well as
quality of life scale OSA-18 were recorded. Result:Five hundred and fifteen
children were finally included (Male: 350 cases, Female: 165 cases). There were
315, 70 and 130 children in group SS, ICSD, ATS&ICSD respectively. Weights and
BMI of ICSD and ATS&ICSD were significantly higher than those of SS (P<0.05), and
dysplasia scale of ATS&ICSD was higher than SS (P<0.05); after adjusting height,
weight and BMI, sub-scores of sleep disorder were statistically different between
3 groups (P<0.05). Numbers and indexes of respiratory events other than central
apneas and hypopneas in group SS, ICSD and ATS&ICSD were increased sequentially
and statistically different (P<0.05); numbers and indexes of respiratory events
other than mixed apneas in ATS&ICSD2 were significantly higher than that of
ATS&ICSD1 (P<0.05). Conclusion:OAHI>=1/h combined with LSaO2<92% should be used
as pediatric OSAHS diagnostic criterion.
PMID- 29798204
TI - [Expression of long non-coding RNA LINC00460 in laryngeal squamous cell carcinoma
tissue and its clinical significance].
AB - Objective:To understand the expression of LINC00460 in laryngeal squamous cell
carcinoma (LSCC), and to discuss the role of LINC00460 in carcinogenesis and
development of laryngeal squamous cell carcinoma. Method:The expression of
LINC00460 in 68 laryngeal squamous cell carcinoma tissues and paired adjacent
normal tissues were examined by real-time PCR. The relationship between the
expression levels of LINC00460 and the clinicopathological features including
clinical stage, histological grade, pathological type, and lymph node metastasis
in LSCC was analyzed according to the clinical data. Result:The expression of
LINC00460 was significantly upregulated in the LSCC tissue compared with that of
adjacent normal mucosal tissue (P=0.006). There were no statistical differences
of the quantity of LINC00460 expression among supraglottic, glottic and
subglottic LSCC (P>0.05). Moreover, LINC00460 had no significant changes in
poorly differentiated LSCC when compared with that of well and moderately
differentiated LSCC (P>0.05). Furthermore, the expression of LINC00460 in LSCC
with lymph node metastasis had no significant changes when compared with that
without of lymph node metastasis (P>0.05). Notably, LINC00460 expression in T1+T2
stages patients were significantly lower than T3+T4 stages (P<0.05).
Conclusiont:Upregulation of long non-coding RNA LINC00460 might contribute to the
carcinogenesis and development of LSCC, furthermore play important biological
function.
PMID- 29798205
TI - [The technique of retaining part of the external auditory canal posterior wall
with epitympanoplasty in soft wall reconstruction treating middle ear
cholesteatoma].
AB - Objective:To investigate the surgical treatment of cholesteatoma of the middle
ear. Method:A retrospective analysis of patients from June 2013 to July 2016
diagnosed as cholesteatoma. 137 ears were divided into A group (retaining part of
the external auditory canal posterior wall with epitympanoplasty in soft wall
reconstruction for 75 ears) and B group (canal wall down mastoidotympanoplasty
for 62 ears). the extent and damage of cholesteatoma were observed, comparing the
time of dry ear and epithelial postoperative, the incidence of complications such
as dizziness and hearing changes. Result:compared with B group, the postoperative
dry ear time, epithelial time and hearing improvement in the A group were
obviously improved, and the incidence of vertigo after operation was decreased,
and the anatomical and physiological functions of the external auditory canal
were protected. Conclusiont:The technique of retaining part of the external
auditory canal posterior wall with epitympanoplasty in soft wall reconstruction
is conductive to the removal of lesions and normal anatomical and physiological
protection to external auditory canal, can prevent the forming of the abstraction
pocket effectively and the recurrence of cholesteatoma, has clinical and
practical value.
PMID- 29798206
TI - [Effects of double-dose antihistamine or combined therapy in allergic rhinitis].
AB - Objective:To compare the efficacy and side effects of combined therapy with
single-dose oral antihistamine and intranasal corticosteroid to monotherapy with
double-dose oral antihistamine in the treatment of seasonal allergic rhinitis.
Method:Eighty-two patients with seasonal allergic rhinitis caused by cypress
pollen were enrolled in a randomized, parallel-group studycomparing 2 weeks
therapy of either 10mg oral ebastine plus 200 MUg intranasal mometasone furoate
once daily (combined group) or 20 mg oral ebastine once daily (double-dose group)
during the pollen season. Daily rhinoconjunctivitis symptom score and rescue
medication use were recorded in both groups. After the treatment period,
questionnaires were used to survey the side effects of medicines and dosage form
preference of the patients. The levels of IL-6, IL-8 and TNF-alpha in the
peripheral blood were measured before and after the therapy. Result:During the
cypress pollen season, the daily rhinoconjunctivitis symptom score of the
combined group (n=42, 3.7+/-0.4) was significantly lower than that of double-dose
group (n=40, 4.5+/-0.5), P<0.001. The daily rescue medication score of the
combined group (1.3+/-0.6) was also significantly lower than double-dose
group(1.7+/-0.7), P<0.001. The daily medication cost of combined group was 7.08+/
0.33 Yuan, which was less than that of double-dose group (7.28+/-0.51 Yuan,
P=0.002). There was no statistical difference in the rate of adverse reactions
between the two groups. The peripheral levels of IL-6, IL-8 and TNF-alpha in two
groups did not show significant difference at the end of therapy . Conclusion:The
combined therapy with single-dose oral antihistamine and intranasal
corticosteroid was superior to the monotherapy with double-dose oral
antihistamine in the treatment of seasonal allergic rhinitis in our study.
PMID- 29798207
TI - [Efficacy of nasal spray-type allergen blocker combined with oral antihistamines
in patients with dust mite allergic AR].
AB - Objective:To investigate the clinical effect of nasal spray type allergen blocker
combined with oral antihistamine on dust mite allergic allergic rhinitis (AR).
Method:Ninety cases of patients withmild-severe allergic to dust mite were
randomly divided into experimental group and control group, 45 cases in each
group. The experimental group used nasal spray allergen barrier agent combined
with citrate tablets, and the control group used physiological seawater combined
with citrate sheet. The symptoms of subjective rhinitis, objective signs,
improvement of quality of life scores and adverse reactionsof 2 groups were
evaluated before and 2 weeks after treatment. Result:The symptoms, signs and
quality of life of the patients in the experimental group were better than those
in the control group (P<0.05). No obvious adverse reactions were observed.
Conclusion:The effect of nasal spray type allergen blocker combined with
antihistamine on AR patients with allergic to dust mite is remarkable. They can
significantly alleviate the symptoms and signs of rhinitis in patients, and is
worthy to be popularized in clinical application.
PMID- 29798208
TI - [Minimum battery of test inhalant allergens needed in screening?allergic rhinitis
patients in Beijing].
AB - Objective:The aim was to assess the prevalence of sensitized inhalant allergens
in patients with allergic rhinitis (AR), analyze the differences of aeroallergen
sensitization rates (SRs) in different areas, and define the minimal number and
the type of aeroallergens required to identify patients as sensitized. Method:Six
thousand two hundred and eighty five patients suffering from AR symptoms in
Beijing, Heilongjiang, Inner Mongolia, Hebei and Shandong areas underwent
standardized skin prick test (SPT) with 20 common aeroallergens during the period
from Jan 2011 to Jul 2013 when seeking medical help in Beijing Tongren Hospital.
The differences of aeroallergen sensitization rates in different areas were
compared. Chi square was used in statistical analysis. Conditional approach
allowed to determine the aeroallergen selection. Result:Among the 6 285 self
reported AR patients investigated, 5 575 (88.7%) patients had at least one
positive skin prick reaction. The most common aeroallergen in Beijing,
Heilongjiang, Hebei and Shandongwere house dust mites (HDMs). SRs of HDMs in
these four areas decreased with latitude increment, whereas SRs of mugwort,
ragweed and dandelion in these four areas increased with latitude increment. The
three most prevalent aeroallergens in Inner Mongolia were mugwort (59.86%),
ragweed (47.62%) and dandelion (46.26%), respectively. Overall, 8 allergens
allowed identification >=95% of sensitized subjects in Beijing. Conclusion:Der f
was the most prevalent allergen in patients with AR in Beijing, Heilongjiang,
Hebei and Shandong Areas, whereas SR of mugwort was highest in Inner Mongolia.
Sensitization pattern of aeroallergens varied with areas. Eight allergens allowed
identification of the majority of sensitized subjects in screening panels for
allergy in Beijing.
PMID- 29798209
TI - [The long-term benefits of cochlear implantation for children and their
families].
AB - Objective:To study the auditory language ability of children with artificial
cochlea after entering normal schools as well as the school life conditions and
to evaluate the long-term comprehensive benefits of surgery on children along
with their families. Method:The questionnaire was designed referring to the
categories of auditory performance (CAP), the speech intelligibility rating
(SIR), and family burden scale of diseases (FBS), with the questions involving
four aspects of the general conditions, the auditory language ability, the school
life conditions and the family conditions. Altogether 50 families were
participated. Children who had applied artificial cochlea for at least two years
and studied in normal schools were selected as the studying objects. The follow
up questionnaire was conducted on the parents. The differences were compared in
the auditory language ability and the school life conditions, grouped by gender,
age at surgery, parents' educational level, residence, service time of artificial
cochlea and parent-child time in general. Meanwhile, the differences of 15 family
conditions were compared before and after surgery. Result:The variable factors
were of no obvious statistical significance in the comparison among groups of the
auditory language ability (P>0.05); In the comparison of the school life
conditions, there were significant differences in the number of making friends
when grouped by parent-child time (P<0.05), there wes no statistical significance
in the comparison among groups of the remaining various factors (P>0.05); In the
comparison of family conditions before and after surgery, the rest of the indexes
were of significant differences (P<0.05), there was no significant differences in
the economic burden and social time of parents (P>0.05). Family conditions
improved evidently after surgery. Conclusiont:Under the circumstances of
different variable factors, after 2 years of rehabilitation, children are able to
acquire better auditory language ability, and adjust themselves to the school
life, finishing their studies, still there is a deficiency in the aspect of
organizational competence in comparison to age-matched children. In addition,
with children's recovery and attending schools, parents' mental stress is
significantly reduced, family life returns to normal, and family atmosphere
becomes harmonious. Not only can the artificial cochlea help rid children of
disability, but also it can be of obvious benefits to their families.
PMID- 29798210
TI - [The study of association of chronic rhinosinusitis inflammation subtype with
bronchial inflammation phenotype].
AB - Objective:We sought to compare clinical characteristics between eosinophilic and
non-eosinophilic chronic rhinosinusitis with nasal polyps (CRSwNP) and asthma,
and explored the association of chronic rhinosinusitis inflammation subtypewith
bronchial inflammation phenotype in CRSwNP with asthma. Method:Fifty-one
consecutive patients suffering from CRSwNP with asthma undergoing endoscopic
sinus surgery (ESS) were recruited. Preoperative computed tomography (CT), skin
prick test, bronchial provocation test, lung function, and oral fractional
exhaled nitric oxide (FeNO) measurements were conducted. Venous blood was taken
within 1 week before ESS. Nasal polyps (NPs) specimens were obtained from each
patient during ESS, and stained with hematoxylin and eosin. According to the
pathologic characteristics of NP samples, fifty-one patients suffering from
CRSwNP with asthma were grouped as eosinophilic CRSwNP (ECRSwNP) and non-ECRSwNP
and three levels of eosinophil infiltration were recognized. Result:Fifty-one
patients suffering from CRSwNP with asthma were grouped as ECRSwNP (n=33) and non
ECRSwNP (n=18). History of surgery, history of allergy, Lund-Mackay scores,
duration of asthma, frequency of severity asthma, numbers of asthma exacerbation
in the last year, FeNO, blood eosinophil percentage and absolute count were all
significantly higher in the ECRSwNP with asthma group compared with the non
ECRSwNP with asthma group (P<0.05). Blood eosinophil percentage had a significant
correlation with degree of eosinophil infiltration in NPs (r=0.459, P<0.01) and
FeNO (r=0.591, P<0.01). There was a correlation between degree of eosinophil
infiltration in NPs and FeNO (r=0.556, P<0.01). Furthermore, Lund-Mackay scores
had a positive correlation with blood eosinophil percentage (r=0.327, P<0.05) and
degree of eosinophil infiltration in NPs (r=0.412, P<0.01) and FeNO (r=0.691,
P<0.01). Conclusion:There is different clinical characteristics between ECRSwNP
with asthma and non-ECRSwNP with asthma. These data suggest that CRSwNP
inflammation subtype is significantly correlated with bronchial inflammation
phenotype in patients suffering from CRSwNP with asthma.
PMID- 29798212
TI - [Application and reliability verification of Beijing-based cognitive assessment
scale of montreal in cognitive function of adult OSAHS].
AB - Objective:To evaluate the cognitive function of adult OSAHS patients by MoCA-BJ,
and to evaluate the reliability and efficacy of MoCA-BJ. Method:A study was
conducted on the cognitive function of 94 patients with mild, moderate and severe
OSAHS and 28 healthy controls using the MoCA-BJ and the simple mental state
examination scale (MMSE). After 14 days, 10 subjects in the healthy control group
were tested for MoCA-BJ again. The internal consistency of MoCA-BJ and the
Pearson correlation coefficient were used to test the retest reliability. In
addition, the simultaneous validity of MoCA-BJ was assessed by comparison with
MMSE. Result:The MoCA-BJ internal consistency of the Kronbach coefficient alpha
was reliable (0.810). The Pearson correlation coefficient test was highly
reproducible (r=0.898, P<0.001). The overall score of MoCA-BJ in the control
group was significantly higher than that in the OSAHS group (P<0.01). In the
visual spatial ability, the performance of severe OSAHS group was significantly
weaker than that of the control group (P<0.01) and non-severe patients (P<0.01).
In the executive ability, the control group was significantly higher than the
OSAHS group (P<0.05), and the performance of patients with severe OSAHS was
weaker than that of non-severe group (P<0.01) and control group (P<0.05), but
there was no difference among every group in the trail making test. Attention,
the difference between the control group and the patients was significant
(P<0.01), but no difference between severe patients and non-severe patients. The
total score of the language,the difference between the control group and the
patient group was significant (P<0.01), while there was no difference between
severe and non-severe patients. The study also found that the results of language
repetition, delayed recall and the total score of the language was exactly the
same. Orientation,there was also significant difference between the control group
and the severe group (P<0.05). There was also significant difference between the
severe and non-severe patients (P<0.05). The optimal cutoff between the control
and patient groups was 25.5 points (total MoCA score). In addition, in the visual
spatial subscale, the cutoff between non-severe and severe OSAHS groups was 2.5
points. The correlation between MoCA score and MMSE score was statistically
significant (r=0.764, P<0.01). Conclusion:In summary, our study shows that MoCA
BJ is reliable, stable and effective in evaluating adult OSAHS cognitive
function. MoCA-BJ can detect cognitive dysfunction through visual space,
executive ability and total score, while distinguishing healthy controls and
OSAHS patients from attention, language total score, language repetition, delayed
recall, but can not distinguish between severe and non-severe OSAHS patients. In
addition, Orientation in the distinction between normal controls and severe
patients, severe and non-severe patients play a role, but can not distinguish
between normal controls and OSAHS patients.
PMID- 29798211
TI - [Effects of nasal polyps and allergy rhinitis on quantitative evaluation of
subjective and objective assessment of chronic rhinosinusitis].
AB - Objective:To investigate the effect of nasal polyps and allergy rhinitis on
disease assessment of chronic rhinosinusitis, and to analyze the correlation
between subjective and objective scores. Method:A total of 253 patients with
chronic rhinosinusitis were enrolled from June 2016 to June 2017, and the
clinical data such as sex, age, comorbidity, diagnosis and treatment were
summarized. All patients were grouped as with or without nasal polyps, with or
without eosinophilia, with or without allergic rhinitis group, and assessed by
the visual analogue scale(VAS), Lund-Mackay CT score and Lund-Kennedy score
respectively. The difference of the three grades in each group were analyzed by
statistic analysis. The correlation between the three grades was analyzed.
Result:Two hundred and fifty-three cases of chronic rhinosinusitis were included
in the analysis. There were 143 males and 110 females with an average age of
44.44+/-15.44 years old, mostly in the 41-65 age group, and the difference was
statistically significant (P<0.01). The scores of VAS, Lund-Mackay CT and Lund
Kennedy in the nasal polyp group were significantly higher than those in the non
nasal polyp group (P<0.05). The nasal endoscopy score of patients with allergic
rhinitis was higher than that of non-allergic rhinitis group (P<0.01). There was
no significant difference between VAS score, CT and endoscopic score in EOS group
(P>0.05). There was a positive correlation between VAS score and nasal endoscopy
score or CT score (P<0.01) in patients of non-allergic rhinitis or eosinophilia
group, but there was no correlation (P>0.05) in patients with allergic rhinitis
or eosinophilia group. There was no correlation when two or three factors exist
at the same time beteen the nasal polyp, allergic rhinitis and EOS group
(P>0.05), whereas show up positive correlation on non-merged group (P<0.01).
Conclusion:Chronic rhinosinusitis complicated with nasal polyps or allergic
rhinitis can significantly increase the subjective and objective symptoms of
patients. There are differences between subjective symptoms and objective tests
in the patients with nasal polyps, allergic rhinitis and EOS factors. Therefore,
clinical attention should be paid to the impact of allergic factors on patients,
using a variety of subjective and objective evaluation atrategies to evaluate the
condition of patients with chronic rhinosinusitis comprehensively, to provide a
basis for personalized diagnosis and treatment.
PMID- 29798213
TI - [Aeroallergen spectrum for patients with allergic rhinitis in Chongqing].
AB - Objective:To determine the distribution characteristics of inhaled allergens and
its influencing factors among allergic rhinitis (AR) patients in Chongqing.
Method:Intracutaneous test was carried out in 2 474 AR patients. All the cases
were divided into six groups according to age, 6-13 years old, >13-18 years old,
>18-29 years old, >29-39 years old, >39-49 years old, >49 years old and four
groups on the basis of season (spring, summer, autumn, winter). The correlations
between the positive rates and gender, age, season were analyzed. Result:The most
common allergens were dermatophagoides farin (71.26%), dermatophagoides
pteronyssinus (73.61%) and polyvalent insect (60.79%). There was no significant
correlation between most allergens and gender(P>0.05). Statistical analysis was
conducted among different age groups, it showed that the positive rates of
overwhelming majority allergens were highest in the group of 13-18 years old and
higher in 6-13 years old group, and then gradually declined with age with a
striking difference in each groups (P<0.05). Similar analysis was carried out
among the groups in four seasons. It revealed that the prevalence rates of most
allergens were higher in summer and autumn than that in spring and winter
(P<0.05). Conclusion:Dermatophagoides and polyvalent insect are the most
prevalent inhalant allergens in AR disease in Chongqing. Most AR patients had
multiple allergens. The prevalence rates of most allergens were significantly
different with age and season.
PMID- 29798214
TI - [Initial observations of inlay "butterfly" cartilage tympanoplasty].
PMID- 29798215
TI - [The influence of cold dry air on nasal mucosa].
AB - Nonspecific nasal hyperreactivity (NHR) has been widespread observed in patients
with allergic rhinitis (AR) and nonallergic rhinitis (NAR). As a clinical
hallmark, NHR is more common in patients with NAR. The cold dry air (CDA) can
stimulate nasal symptoms such as rhinorrhea and nasal obstruction, and the CDA
provocation test can be used as a reliable objective method to evaluate NHR. The
mechanism of CDA-induced nasal symptoms is very complicated and thus it has not
yet been fully illuminated. The innervation of the nasal nerves includes sensory
nerve (trigeminal ganglion), sympathetic nerve (superior cervical ganglion) and
parasympathetic nerve (sphenopalatine ganglion). CDA innervation may also be
associated with these nerves and associated signal pathway. Recently, general
attention has been focused on the transient receptor potential (TRP) channel,
including TRP vanilloid-1 (TRPV1) and TRP ankyrin-1 (TRPA1). More relevant
researches are needed to further clarify the mechanism.
PMID- 29798216
TI - [Research progress of amphiregulin and its role in airway inflammatory disease].
AB - Amphiregulin is a member of epidermal growth factor family, and is also one of
the ligand of epidermal growth factor receptor, it participates in many
physiological and pathological process by combining with EGFR. Researches have
proved that AREG participates in asthma and airway inflammatory diseases caused
by smoking and PM 2.5, and AREG plays an important role in the process of airway
remodeling and inflammation. This paper mainly reviews the expression and
function of AREG, and focus on it's research status in airway inflammatory
disease.
PMID- 29798217
TI - [Enviroment control and healthy education: the most important methods for
treatment of allergic rhinitis].
PMID- 29798218
TI - [Keypoints of the EAACI Guidelines on Allergen Immunotherapy: Allergic
rhinoconjunctivits (draft)].
PMID- 29798219
TI - [Treatment of allergic rhinitis-What can we do in allergen control for house dust
and pollens?]
PMID- 29798220
TI - [Allergic rhinitis management:environment control and patient education].
PMID- 29798221
TI - [Allergic rhinitis management:environment control and patient education].
AB - Objective:To observe the auxiliary curative effect of combined clostridium and
bifidobacterium capsules, live (also called Changlekang) in the treatment of
allergic rhinitis by comparing IL-10, transforming growth factor-beta1(TGF
beta1), the quality of life score and symptom score before and after oral
Changlekang.Method:Twenty qualified patients in the study group and 20 qualified
patients in the control group were enrolled.The study group was given
changlekang, desloratadine citrate disodium tablets and mometasone furoate nasal
spray for treatment. After 2 weeks of treatment, Changlekang was still given for
maintenance therapy for 6 weeks, and no other two kinds of drugs. The control
group was given the desloratadine citrate disodium tablets and mometasone furoate
nasal spray for 2 weeks and then quited the clinical trials. The changes of
symptom score, quality of life score, serum IL-10, serum TGF-beta1 would be
statistically analyzed during the treatment.Result:1Before treatment, serum TGF
beta1 and IL-10 of normal group was significantly different from the study group
and the control group (P< 0.001), and the study group and the control group's
were significantly lower than the normal group.2With the increase of treatment
time, serum IL-10, TGF-beta1 levels of patients in the study group increased
gradually. Four point method ocular symptoms score, VAS ocular symptoms score and
total score of RQLQ decreased gradually; 3patients in the control group after 2
weeks'treatment, serum IL-10, TGF-beta1 was higher than that before treatment (P<
0.001), at the same time all symptom scores, total score of RQLQ were lower than
those before treatment (P< 0.001). 4After 2 weeks' treatment, patients in the
study group, the serum IL-10 is higher than that of control group (P< 0.001);
5Before treatment serum IL-10, TGF-beta1 of AR patients might have little
correlation with symptom scores, total score of RQLQ on linear (P>
0.05).Conclusion:1serum IL-10, TGF-beta1 levels of AR patients may be lower
thannormal, and IL-10, TGF-beta1 may be involved in the pathogenesis of AR.
2Changlekang may increase the production of serum IL-10, TGF-beta1 in AR
patients. 3AR patients with desloratadine citrate and mometasone furoate nasal
spray treatment combined with Canglekang may promote higher serum IL-10
production than conventional two combination therapy, but there is no more
significant improvement in symptoms score and quality of life score. 4Changlekang
may improve ocular symptoms and the quality of life in AR patients. 5Before
treatment serum IL-10, TGF-beta1 of AR patients may have little correlation with
symptom scores, total score of RQLQ, as a result the level of serum IL-10 and TGF
beta1 can not be used to evaluate the severity of AR for the time being.
PMID- 29798222
TI - [The advances of probiotics treatment of allergic rhinitis].
AB - In recent years, the prevalence of allergic rhinitis faces an explosion. People's
understanding about the pathogenesis of this disease goes profound. Probiotics is
competent to regulate the balance of immune system, and also can reduce
susceptibility towards allergens. Now it is right a hot topic that applying
probiotics to remedy allergic rhinitis. Since the data of many researches in this
field is at hand, further discuss is needed from experimental methods to
molecular mechanisms.
PMID- 29798223
TI - [Interpretation of allergic rhinitis and its impact on asthma (ARIA)].
AB - Allergic rhinitis and its impact on asthma (ARIA) has been the most preferred
reference for national guidelines. The ARIA 2010 revision is the first evidence
based clinical guideline in the field of allergies, which has great influence in
the world. The ARIA 2016 revision continues the basic framework of the 2010
revision, which focuses on six controversial clinical issues in the treatment of
allergic rhinitis.It aimed to provide clear informationand systematic treatment
for patients, clinicians and health policy makers. The interpretation of the ARIA
2016 revision will help domestic otolaryngologist, respiratory doctors, and
allergy practitioners understand the latest guidelines for AR drug treatment in
the world.
PMID- 29798224
TI - [Drug-taking behavioral analysis in patients with allergic rhinitis].
AB - Objective:To analyze the drug-taking behavior of patients with allergic rhinitis,
and the relationship with the severity of the symptoms.Method:A total of 1 621
sets of data in 66 patients with allergic rhinitis were enrolled in this study,
who filled out the daily symptom score scale and daily medication score scale for
5 days or more. The symptoms include sneezing, rhinorrhea, nasal itching, nasal
congestion, eye itching/foreign body sensation/red eyes and watery eyes were
scored. The medications include antihistamines, nasal glucocorticoid, oral
glucocorticoid, beta2 receptor agonists and inhaled glucocorticoid were
scored.Result:In the 1 621 sets of data, the highest incidence of symptoms was
sneezing, 50.77%, the highest incidence of moderate to severe symptoms was
rhinorrhea, 10.06%. The drug-taking behavior was 827 times, and the drug?taking
rate was 51.02%. Antihistamines had the highest use ratio, 73.64%, followed by
nasal glucocorticoid, 49.33%. There was no direct relationship between the total
symptom score and the drug-taking rate, nasal glucocorticoid use ratio. Compared
with the group of continuous drug-taking less than 2 weeks, the total symptom
score of the group of continuous drug-taking more than 2 weeks was significant
low.Conclusion:The number and continuity of the drug?taking in patients with
allergic rhinitis vary greatly. Patient education is needed.
PMID- 29798225
TI - [The study of locating facial nerve precisely in middle ear surgery based on
clinical anatomy].
AB - Objective:To investigate the methods of locating facial nerve precisely in middle
ear surgery through the observation and measurement of the facial nerve and
surrounding anatomical structures and to provide reference for the middle ear
surgery.Method:Combined surgical approach on 15 cases (30 sides) fresh adult
cadaveric specimens were dissected, observed the characteristics of facial nerve
and its shape and spatial relationship of the surrounding structures, and
measured the distance between the facial nerve and its surrounding
structures.Result:The shortest distance from the midpoint of the posterior wall
of external auditory canal (annulus level) to the vertical segment of the facial
nerve was (3.37+/-0.34)mm, the shortest distance from the leading edge of the
sigmoid sinus to the vertical segment of facial nerve was (7.40+/-0.71)mm, the
shortest distance from the lateral margin of jugular bulb to the facial nerve was
(5.58+/-0.79)mm, the shortest distance from Henle crest to the pyramidal segment
of facial nerve was (12.76+/-1.24)mm, the shortest distance between the pyramidal
segment of facial nerve and the posterior short limb of incus was (1.56+/
0.35)mm, the shortest distance between the pyramidal segment of facial nerve and
the lower edge of posterior semicircular canal was (2.56+/-0.41) mm, the shortest
distance between the lower edge of horizontal semicircular canal and the
horizontal segment of facial nerve was (1.28+/-0.32) mm, the shortest distance
between the upper edge of vestibular window and the horizontal segment of facial
nerve was (0.67+/-0.15)mm.Conclusion:A good command of the anatomy of temporal
bone as well as the methods of locating facial nerve will provide the key to
reduce the probability of iatrogenic facial nerve injury in middle ear surgery.
PMID- 29798226
TI - [Diagnosis value with Xpert Mtb/RIF assay for cervical tuberculous
lymphadenitis].
AB - Objective:To evaluate the accuracy of Xpert MTB/RIF assay in the diagnosis of
cervical tuberculous lymphadenitis.Method:A total of 160 patients with cervical
lymph node tuberculosis confirmed by pathology in Wuhan Pulmonary Hospital
between January 2015 and June 2016 were enrolled. Cervical lymph node biopsy
tissue specimens from these patients were collected and tested with acid-fast
bacilli smear, TB-DNA assays, culture, and Xpert Mtb/RIF, respectively. The
results were analyzed using SPSS 17.0 statistical software.Result:Using
pathological diagnosis as the standard, the sensitivity of acid-fast bacilli
smear was 8.12%(13/160), the sensitivity of TB-DNA assay was 69.38%(111/160), the
sensitivity of culture was 31.88%(51/160), and the sensitivity of Xpert Mtb/RIF
was 74.38%(119/160). The detection rate of multidrug-resistant lymphoid
tuberculosis using a combination of Xpert Mtb/RIF, line probe assay (LPA), and
culture methods was 9.38%(15/160).Conclusion:Xpert Mtb/RIF can rapidly detect
cervical lymph node tuberculosis and assess rifampicin resistance. TB-DNA assay
exhibited similar sensitivity as compared to Xpert Mtb/RIF and can detect both
isoniazid and rifampicin resistant genes through LPA.These two methods are more
effective than the traditional culture and smear methods.
PMID- 29798228
TI - [The application of tragal cartilage-perichondrium in open method tympanoplasty].
AB - Objective:To investigate the efficacy of the application of tragus cartilage
perichondrium in open method tympanoplasty.Method:Thirty patients with middle ear
cholesteatoma were treated with open method tympanoplasty and titanium ossicular
prosthesis replacement surgery utilized the tragus cartilage-perichondrium, the
air-bone gap and the recovery of tympanic membrane were observed before and after
operation.Result:Thirty ears achieved primary healing. After a follow-up of 12
months, no prosthesis extrusion and atticus lateral wall collapse were observed.
The average air-bone gaps reduced by 13 dB in average.Conclusion:The tragus
cartilage-perichondrium is an appropriate material in open method tympanoplasty.
PMID- 29798227
TI - [Comparative study of surgical treatment combined with various methods for
treatment of ear scar].
AB - Objective:To evaluate the clinical effect of auricular keloids resection combined
with local injection of glucocorticoid and superficial X-ray treatment in order
to identify a surgery-based, personalized, comprehensive treatment
system.Method:One hundred and sixty patients with auricular keloids were divided
into four groups: surgery group, surgery combined with local injection of
glucocorticoid group, surgery combined with superficial X-ray group and surgery
combined with glucocorticoid and electron irradiation group (Triple therapy
group). These groups were followed up for one year. Based on Darzi's criterion of
keloid curative effect, the effect was judged and analyzed
statistically.Result:One year after operation, the effective rate of the surgery
group was 52.5%, that of the surgery combined with glucocorticoid injection group
was 80.0%, that of the surgery combined with the superficial X-ray group was
82.5%, and that of the triple therapy group was about 97.5%. The simple surgery
group was significantly lower than the surgery combined with glucocorticoid
injection group, the operation combined with superficial X-ray group and triple
therapy group (P< 0.01); the triple therapy group was better than the surgery
combined with superficial X-ray group and surgery combined with glucocorticoid
injection group, showing statistically significant differences (P< 0.05); There
was no statistical difference (P> 0.05) between the surgery combined with
glucocorticoid injection group and the surgery combined with superficial X-ray
group.Conclusion:In the auricular keloids treatment, surgical resection with a
poor curative effect is not recommended; triple therapy, which is better surgery
combined with glucocorticoid local injection and surgery combined with
superficial X-ray, should be used as the first line treatment. The overall
response rate of surgery combined with glucocorticoid local injection and surgery
combined with superficial X-ray is satisfactory. It should be individualized for
use depending upon the situation.
PMID- 29798229
TI - [Effects of exogenous brain-derived neurotrophic factor on the morphology and
function of cochlea in the animal model of hereditary hearing loss].
AB - Objective:To study the effect of early administration exogenous BDNF on the
morphology and function of conditional knockout Cx26 mice
cochlea.Method:Conditional knockout Cx26 mice was chosen as animal model, early
after birth (P0-P2) exogenous BDNF (rAAV mediated BDNF plasmid, AAV-BDNF) was
injected through scala media. Expression of BDNF in the cochlea was observed by
western-blot, the growth promoting effect of nerve fibers was detected by IHC; 2
m after injection, the protective effect of BDNF on corti and spiral ganglion
cells were detected by resin section; animal hearing were tested by
ABR.Result:1Exogenous BDNF could be well expressed in the inner ear; 2Exogenous
BDNF has a good effect on the growth of cochlea nerve fiber; 3Exogenous BDNF
could protect the morphology of organ of corti; to count the number of spiral
ganglion cells, using the density value of top-turn in non-injected ear as the
standard unit, the values of middle and basal turn in injected ear were 0.81+/
0.02, 0.65+/-0.02, compared with non-injected ears, both statistically
significant difference could be found, indicates that exogenous BDNF can
effectively improve the number of spiral ganglion cells. 4By calculating the ABR
threshold of different frequencies of the injected and non-injected ear, there
was no statistical difference between them. Indicates that exogenous BDNF has no
effect on improving the function of cochlea.Conclusion:The protective effect of
early administration exogenous BDNF was effective in the cochlea and spiral
ganglion cells of conditional knockout Cx26 mice, but no protective effect for
auditory function.
PMID- 29798230
TI - [An analysis of the subcutaneous immunotherapy on the pulmonary function and
symptoms of asthma and rhinitis in children].
AB - Objective:To evaluate the effect of subcutaneous immunotherapy on allergic asthma
and rhinitis in children.Method:A prospective study was adopted to analysis 3
years SCIT treatment of 144 cases of children with allergic asthma and rhinitis.
Before and after SCIT treatment for 1 year, 2 and 3 years, FEV1, daytime and
night symptoms of asthma and rhinitis were evaluated.Result:After 3 years of SCIT
treatment, the FEV1 had significantly increased (F= 243.05, P< 0.01), and with
the duration of the treatment was further improvement (P< 0.01). Compared with
the baseline, treatment 1 year in daytime, nighttime symptoms scores of asthma
and nighttime symptoms scores of rhinitis had significantly reduced (P< 0.01).
All symptoms were reduced further by 2 years of treatment (P< 0.01) compared with
1 year. Compared with the 2 years of treatment, daytime symptoms of asthma and
rhinitis of 3 years SCIT treatment was improved significantly (P<
0.05).Conclusion:Subcutaneous immunotherapy with 3 years in children allergic
with asthma and rhinitis can play a significant effect.
PMID- 29798231
TI - [Computer-assisted anatomical evaluation of the nasal sinuses in 2-3 years old
children].
AB - Objective:To analyze the anatomical and developmental characteristics of nasal
sinuses in 2-3 years old children.Method:Coronal CT scans of 62 cases (2-3 years
old children) were studied with the imaging station. The gasification conditions
of the sinuses were identified and measured.Result:The mean of the transverse and
vertical diameters for the left side of the maxillary sinuse was (17.51+/-2.92)mm
and (16.63+/-3.46)mm. Those for the right side were (17.28+/-2.51)mm and (17.24+/
3.72)mm. The mean for the left side of the anterior ethmoid sinus were (5.06+/
1.18)mm and (13.61+/-2.49)mm. Those for its right side were (5.00+/-1.45)mm and
(13.64+/-2.30)mm. The mean for the left side of the posterior ethmoid sinus were
(7.94+/-1.72)mm and (12.80+/-1.78)mm. Those for the right side were (7.88+/
1.85)mm and (12.64+/-.96)mm.96.77%(120 sides) of the sphenoid sinuses were
developed. The mean for the left side of the sphenoid sinus were (7.38+/-3.11)mm
and (8.67+/-3.14)mm. Those for the right side were (7.48+/-2.70)mm and (8.63+/
2.42)mm. 30.65% (38 sides) of the frontal sinuses were developed.Conclusion:The
all nasal sinuses in 2-3 years old children have been already developed. The
pneumatization of the frontal sinus was defined in some babies. It can help
radiologist make correct diagnosis of paranasal sinuses in children.
PMID- 29798232
TI - [The effects of tympanoplasty on improvements of hearing and tinnitus for chronic
otitis media patients with tinnitus].
AB - Objective:The purpose of this study is to investigate the correlation of hearing
and tinnitus improvement levels in chronic otitis media (COM) patients after
tympanoplasty.Method:Thirty-five cases with COM, accompanied with tinnitus on the
affected side or undefined sides, were enrolled and accepted for tympanoplasty
between May 2015 and Dec 2016. Audiologic evaluation by pure tone audiometry and
assessment of Tinnitus Handicap Inventory scores were conducted in pre-operation
and 3 months post-operation. The mean air conduction (AC), boneconduction (BC),
air-bone gap (ABG) at 500, 1 000, 2 000 and 4 000 Hz was calculated. THI scores
were recorded and analyzed.Result:All the patients healed well and the
felthearing was improved and tinnitus symptoms alleviated. There was a
significant difference between post-operative THI score (15.0+/-5.6) and pre
operative score (21.2+/-7.4), t= 7.8, P< 0.01. Significant differenceswerealso
found in comparison of pre-operative AC (41.6+/-9.9)dBHL and post-operative
AC(32.5+/-10.0)dBHL, t= 5.9, P< 0.01, pre-operative ABG (27.0+/-7.4)dBHL and post
operative ABG (18.3+/-7.7)dBHL,t= 6.5, P< 0.01. However, the value of BC in the
pre-operation (14.5+/-5.9)dBHL was almost the same as that in post
operation(13.9+/-6.0)dBHL, t= 1.2, P> 0.05. Hearing improve levels aboutAC and
ABG were positively correlated with the remission degree of tinnitus post
operatively (t= 0.9, P< 0.01).Conclusion:Patients accompanied with tinnitus
experienced a remarkableremission in tinnitus and recoveryin hearing
levelfollowed bytympanoplasty.Tympanoplasty was a positive treatment for
relievingtinnitus in COM patients.
PMID- 29798234
TI - [The curative effect observation of nasal irrigation by hypertonic salinein
treatment of infant acute rhinosinusitis].
PMID- 29798233
TI - [The efficacy of thyroid hormone replacement therapy in patients with
hypothyroidism and OSAHS: a Meta analysis].
AB - Objective:To systematically evaluate the impact of thyroid hormone replacement in
patients with OSAHS and hypothyroidism.Method:The related case-control study of
thyroid hormone replacement in patients with OSAHS and hypothyroidism had been
searched from Cochrane Library, PubMed, CNKI, Chinese Biomedical Literature
Database, Wanfang Resource Database.The case-control study which were in line
with the condition need to be evaluated the quality and to be extracted in
literature. The RevMan 5.3 and STATA softwares were applied to carry out the Meta
analysis.Result:Seven literatures of case-control study were included. The Meta
analysis showed that: After the thyroid hormone replacement, the patient's AHI
index, TSH index, LSaO2 index were significantly improved (P< 0.05). The AHI
index statistical results of the patients were [SMD= 3.15, 95%CI: (4.36-1.94), P<
0.000 01; I2= 96%], TSH index were [SMD= 4.15,95%CI: (1.15-7.15), P= 0.007; I2=
99%], LSaO2 index were [SMD=-1.08, 95%CI: (-1.46--0.70), P< 0.000 01, I2=
68%].Conclusion:The use of thyroid hormone replacement can effectively reduce the
patient's hypothyroidism symptoms and AHI symptoms.
PMID- 29798235
TI - [Study on differential diagnosis of upper digestive tract bleeding and epistaxis
by placing Foley tube].
PMID- 29798236
TI - [Huge glass foreign body penetrating into the infratemporal fossa through the
maxillary sinus:a case report].
AB - We report a rare case of glass foreign body penetrating into the infratemporal
fossa through the maxillary sinus. The patient felt a little difficulty to open
mouth. Both CT and DSA are helpful in diagnosis and treatment. It was important
to keep the foreign body stability and integrity, through the modified Weber
incision around the original traumatic wound and removal of anterior wall of the
maxillary sinus around the foreign body.
PMID- 29798238
TI - [Obstructive sleep apnea hypopnea syndrome study on pathogenesis and prognosis].
AB - OSAHS is a common disease during sleep and its pathogenesis is complex. The
anatomic level of upper airway is a more recognized pathogenic factor. Recent
studies have shown that nonanatomic factors, such as insufficiency in dilation
muscles of upper airway, high loop gain and low arousal threshold, play an
important role in the pathogenesis of OSAHS. This paper revives the latest
literature home and abroad on the anatomical and nonanatomical factors in the
pathogenesis of OSAHS and makes a systemic review.
PMID- 29798237
TI - [Wry nose and rhinolith: a case report].
AB - This report described a case of the wry nose and rhinolith patients. A 25 years
old female patient complained a sense of persistent left side of nasal congestion
runny with 10 years. During the 10 years the symptom repeatedly occurrence,
aggravated with peculiar smell of 1 months. A combined treatment including
endoscopic sinus surgery with correction of the deviated nose and removal of
nasal stone was performed in the patient.Three monthsafter operation, the patient
recovered well, without recurrence, external nasal deformity, foreign bodies
remain.
PMID- 29798239
TI - [Expression and significance of growth differentiation factor 15 and MUC5AC in
chronic rhinosinusitis].
AB - Objective:To investigate the expression of growth factor differentiation factor
15 (GDF15) in the nasal mucosa of patients with chronic rhinosinusitis (CRS) and
its relationship with mucin 5AC(MUC5AC).Method:Fifteen patients with CRS and
nasal polyps, 15 patients with CRS without nasal polyps and 15 patients with
normal nasal mucosa were enrolled in the study. Hisological changes of sinonasal
mucosa were examined by hematoxylin and eosin (HE) stainding. The expression of
total mucins was evaluated by periodic acid Schiff staining(PAS). And the
expression of GDF15 and MUC5AC were measured by immunohistochemistry (IHC), and
reverse transcription polymerase chain reaction (RT-PCR).Result:The tissuse
samples demonstrated mucaosal thicking, goblet cell hyperplasia, glandular
hyperplasia and inflammatory cell infiltration in CRSwNP and CRSsNP. IHC revealed
that GDF15 and MUC5AC expression higher in CRSwNP and CRSsNP than in normal sinus
mucosa (P< 0.05). qRT-PCR also displays that the relative expression levles of
mRNA exprssion of GDF15 and MUC5AC were higher in CRSwNP and CRSsNP than in
controls.And the expression level of GDF15 was positively correlated with that of
MUC5AC mRNA in CRS.Conclusion:GDF15 and MUC5AC were upregulated in CRS with or
without nasal polyps, indicating that GDF15 is an important factor in the process
of hypersecretion of MU5AC in CRS.
PMID- 29798241
TI - [Effects of voice therapy and psychological intervention on anxious and
depressive in patients with voice disorders].
AB - Objective:To investigate the expression of miR 34a in epithelial cells of nasal
polyps (NPs) and to determine its effects on hypoxia induced epithelial
mesenchymal transition (EMT).method:Nasal epithelial cells (NECs) were collected
from NPs and normal inferior turbinates. miR-34a expression was detected by means
of quantitative reverse transcriptase polymerase chain reaction. In addition,
normal NECs were cultured under normoxia/hypoxia conditons. The effects of miR
34a mimics on hypoxia induced EMT were investigated.result:miR-34a was
specifically down-regulated in NECs of NP. Hypoxia decreased miR-34a expression
in vitro. Furthermore, E-cadherin and alpha-SMA were down-regulated and up
regulated, respectively. miR-34a mimics transfection can reverse this
process.Conclusion:miR-34a suppress hypoxia-induced EMT in NECs. The down
regulation of miR-34a may contribute to nasal polypogenesis.
PMID- 29798240
TI - [Effects of voice therapy and psychological intervention on anxious and
depressive in patients with voice disorders].
AB - Objective:To investigatethe effect of voice therapy on anxious and depressive in
patients with voice disorders.Method:The patients who received voicee therapy in
our department from May 2014 to July 2016 were enrolled in our study and
evaluated by self-rating anxiety scale (SAS) and self rating depression scale
(SDS), before and after voice therapy.result:Before voicee therapy, the score of
SAS and SDS were 47.52+/-11.15 and 48.63+/-8.70 respectively, which were higher
than domestic norm (37.23+/-12.59 and 41.88+/-10.57 for SAS and SDS, respecative)
with P< 0.05. After voice therapy, the score of SAS and SDS were 38.03+/-6.95 and
42.21+/-7.71, which were lower than the score of before voice therapy (P< 0.05).
And compared with the domestic norm, the difference was not statistically
significant (P> 0.05).Conclusion:The anxiety and depression scores of patients
with voice disorders are higher than those of ordinary people. The voice therapy
can effectively relieve anxiety and depression of patients with voice disease.
PMID- 29798242
TI - [Effect of pretreatment serum LDH and ALP levels on the prognosis of patients
with nasopharyngeal carcinoma].
AB - Objective:To investigate the effects of serum lactate dehydrogenase (LDH) and
serum alkaline phosphatase (ALP) levels on the prognosis of patients with
nasopharyngeal carcinoma (NPC) before treatment.Method:Three hundred and thirty
nine NPC cases, who were firstly treated in our hospital from April 2006 to
October 2008, were enrolled in our study. Association of overall survival (OS)
rate and disease-free survival (DFS) rate with clinical characteristics (age,
gender, Tclassification, Nclassification, clinicalstage, radio therapy methods,
radio therapy dose, the levels of pretreatment serum LDH) were evaluated using
univariate and multivariate analysis methods.Result:Univariate analysis showed
that age, clinical stage, pretreatment LDH level were significantly associated
with OS and DFS of NPC. And multivariate analysis revealed that age, clinical
stage and pretreatment LDH level were independent factors for OS and
DFS.Conclusion:Age, clinical stage and the levels of pretreatment LDH level may
be independent prognostic factorsto predict recurrence and death of NPC.
PMID- 29798243
TI - [The characteristic of vestibular ocular reflex in patients with vestibular
migraine].
AB - Objective:Analysis of vestibular ocular reflex (VOR) in patients with vestibular
migraine (VM) by vestibular autorotation test (VAT). To explore the clinical
value of VAT technology in the diagnosis of vestibular migraine.Method:Sixty-nine
patients with VM, 73 patients with posterior circulation (PCI) vertigo and 65
normal people were examined by VAT test. The characteristics of vestibular ocular
reflex were analyzed by using VAT gain, phase and asymmetry among
them.Result:1Among the 69 cases of VM patients,54 cases (78.3%) were horizontal
or vertical gain abnormalities, 66 cases (95.7%) were horizontal or/and vertical
phase delay, and 13 cases (18.8%) were asymmetric abnormalities. 2Among the 54
(78.3%) cases of abnormal gain, 46 (66.7%) cases demonstrated high gain, 42 had
high gain combined with high phase, and 36 cases were simple horizontal high gain
(and mainly concentrated at 2-4 Hz, 26 cases), 8 cases (11.6%) showed low gain.
3VAT characteristics in PCI group:47 cases (64.4%) were high gain, and 11 cases
(15.1%) were low gain. There was no significant difference in high gain and low
gain between PCI group and VM group (chi2 was 0.07 and 0.37, P>0.05). Both VM
group and PCI group are high-gain, but there was significant difference in the
phase delay and asymmetric indicators. 4VAT characteristics in normal people
group: The four indices have low abnormally positive rates. There were
statistically significant differences of the high gain and the low gain between
the normal people group and VM group(chi2 were 56.17 and 97.57,
P<0.01).Conclusion:The vestibular ocular reflex of VM is high-gain based and was
mostly in the 2-4 Hz frequency,and accompanied by phase delay. Vestibular central
system was mainly involved in VM. VAT detection can provide a clue for VM
diagnostics.
PMID- 29798245
TI - [Retrospective analysis of the four kinds of virus in adeno tonsillar tissues
from children using PCR].
AB - Objective:To investigate the seasonal disturbations and the rates of detection of
Epstein?Barr virus (EBV), Human Bocavirus(HBoV), and polyomaviruses KI and WU
(KIPyV and WUPyV) in adenoid and tonsil tissues during the absence of acute
infection symptoms.Method:DNA expressions of EBV, HBoV, polyomaviruses KIPyV and
WUPyV were investigated in children with chronic tonsillar and adenoidal diseases
using real time polymerase chain reaction. The patients were divided into three
group: adenoid group, chronic tonsillit group and hypertrophic tonsillitis group.
The relationships of the expressions of these viruses with age, gender, recurrent
infection and airway obstruction were analyzed. Seasonal variations in rates of
detection of these viruses in adenoid and tonsil tissues were also
investigated.Result:Considering adenoid specimens, HBoV was found to be the most
frequent virus with the rate of 43.1%. In specimens of chronic tonsillitis and
hypertrophic tonsils, EBV was the most frequently encountered virus (53.8%, and
32.0%, respectively). In children with hypertrophic adenoids, while HBoV was
detected to be positive throughout the year, EBV was present throughout the year
in children with recurrent tonsillitis.Conclusion:The detection of HBoV and EBV
throughout the year in samples of children with asymptomatic chronic
adenotonsillar diseases may indicate that these viruses may remain persistently
in lymphoepithelial tissues of upper respiratory tract. Virus persistence may
have a pathogenetic potential for development of lymphoid hypertrophy and a
chronic stimulatory effect for inflammation.
PMID- 29798244
TI - [Clinical efficacy of of endoscopic assisted open pathway combined with
radiotherapy in the treatment of advanced maxillary sinus malignant tumor].
AB - Objective:The aim of this study is to analyze the clinical effect of endoscopic
assisted open pathway combined with radiotherapy in the treatment of patients
with advanced maxillary sinus malignant tumor.Method:A retrospective analysis was
performed on the survival of 29 patients with advanced maxillary sinus malignant
tumor treated by endoscopic assisted open approach combined with
radiotherapy.Result:A total of twenty nine patients with cancer lesions were
successfully resected, of which 7 cases underwent preoperative radiotherapy plus
surgery plus postoperative radiotherapy, 22 cases were treated by surgery plus
radiotherapy after operation. The median follow up time was 60 months (23-129
months). The 3 year and 5 year survival rate of the patients was 72% and 61%,
respectively (90% and 80% was in II stage respectively. 63% and 51% was in III+IV
stage respectively).Conclusion:Endoscopic assisted open pathway combined with
radiotherapy is an effective method for the treatment of maxillary sinus
carcinoma, and it can still be well treated with preoperative radiotherapy in
patients with stage IV without distant metastasis.
PMID- 29798246
TI - [Clinical analysis of 48 cases of non-invasive fungal sinusitis].
AB - Objective:To analyze the causes, imaging diagnosis, clinical characteristics and
clinical effect of the endoscopic surgery of non-invasive fungal rhino
sinusitis.Method:A retrospective analysis of 48 patients diagnosed with fungal
sinusitis by pathology.Result:Forty-eight cases of 40 cases are fungal sinusitis
(40/48), the other 8 cases are allergic fungal rhinosinusitis. The two
morphology, imaging, histopathology, treatment and outcome are not the same, more
than half of the CT scan and endoscopic examination, have different degrees of
anatomical abnormalities (26/28), 25 cases had a long-term frequent using of
antibiotics. Postoperative follow-up 1-3 years, cured 43 cases, recurrence 5
cases, which has been cured after re-surgery and other comprehensive treatment.
Conclusion: Nasal cavity anatomical abnormalities and the frequent use of
antibiotics is closely related to the attack of fungal sinusitis. Sinus CT scane
and nasal endoscopy is an important method for the diagnosis of fungal sinusitis,
and endoscopic sinus surgery is an effective method of fungal sinusitis. The
recurrence rate of allergic fungal sinusitis was higher (5/8), so comprehensive
treatment was an importance measure to prevent recurrence. Caution with
antibiotics and hormones is to prevent fungal sinusitis occurred in a factor that
can not be ignored.
PMID- 29798247
TI - [Application of carbon nanoparticle in neck VI lymph node dissection for
papillary thyroid carcinoma].
AB - Objective:To investigate the application value of carbon nanoparticle lymph
tracer in VI lymph node dissection for papillary thyroid cancer.Method:One
hundred and forty-eight patients with papillary thyroid cancer from October 2015
to May 2016 were enrolled into the retrospective analysis. Seventy-five cases
with carbon nanoparticle injected in operation were divided into observation
group, while the other seventy-three cases without lymph tracer were divided into
control group. The number of neck VI lymph nodes and metastatic lymph nodes
between the two groups were compared, as well as the incidence of parathyroid
injury and postoperative hypocalcemia. Result:There were no significant
difference in age, gender, tumor size, pathology or type of operation between the
two groups(P>0.05). Parathyroid injury incidence in the observation group
significantly lower than the control group (5.33% vs 5.33%, P< 0.05). There was
significant difference in the number of neck VI lymph node dissection between the
two groups (observation group: 6.51+/-4.65, control group: 4.42+/-3.10, P<
0.05).Conclusion:Carbon nanoparticle injected as a lymph tracer in thyroid
surgery is helpful, the characteristics of the parathyroid gland negative imaging
can effectively decrease the rate of parathyroid gland injury.
PMID- 29798248
TI - [Analysis of the lymphoepithelial carcinoma of the salivary gland: 12 cases
report].
AB - Objective:To improve recognization of clinical, imaging and pathological
characteristics of lymphoepithelial carcinoma (LEC) of the salivary
glands.Method:The clinical manifestations, imaging features, histological and
immunohistochemical characteristics of LEC of the salivary glands (n = 12)
between 2003 and 2013 were retrospectively reviewed.Result: Four cases of male
and 8 cases of female were enrolled, and the average age of 53.25 years. Ten
lesions were located in the parotid gland, and 2 cases were in the submandibular
gland. Two cases were unilateral multiple tumors, 10 cases were unilateral
solitary tumor, 4 cases were with cervical lymph node metastasis and 1 case was
with peripheral facial paralysis. Ten patients had positive EB VCA IgA test.
Homogeneous density and obvious enhancement were achieved in all lesions on CT
scan. 8 cases were with irregular shapes, partially or ill-defined margin, and
heterogeneous enhancement. Incomplete capsule was found in 4 cases, while no
obvious capsule was found in 6 cases. HE staining showed that the infiltrative
tumors were formed by the presence of sheets or nests of epithelial cells and
interstitial lymphoid tissue. Immunohistochemistry staining revealed that the
epithelial cells were reactive for pan CK, the lymphoid cells showed reactivity
for both CD20 and CD3 markers. All cases underwent primary tumor and involved
gland resection with ipsilateral neck dissection, and postoperative radiotherapy,
and 2 cases combined with postoperative chemotherapy. The 3 year survival rate of
patients was 75.0%(9/12), and 3 cases died of local recurrence or (and) distant
metastasis within 1-2 years of definite diagnosis.Conclusion: LEC of salivary
gland is associated with EB virus infection. Most cases present with unilateral
solitary mass, and incidence of regional lymph node involvement is high. The
imaging characteristics of tumor seem to be malignant on CT scan in most cases.
Treatment includes multimodality therapy including surgical resection, neck
dissection, and radiotherapy. Local recurrence and distant metastasis are the
main causes of death.
PMID- 29798249
TI - [Comparative study of two analgesic methods of postoperative children with
tonsillectomy].
AB - Objective:To observe the changes of pain response in two analgesic methodes of
postopetative children with tonsillectomy.Method:One hundred and twenty four
cases of Children with tonsillectomy were randomly divided into groups A and B
(for 60 cases and 64 cases). After the operation, the group A was given patient
controlled intravenous analegesia, and the group B was given a small dose (5
mg/kg/sub) of ibuprofen in accordance with the age and weight of the child. FLACC
was used for the analgesic score. Check the correlation between white blood cells
(WBC), serum c-reactive protein (CRP) and cortisol (COR)
concentration.Result:Among the four indicators of pain stress. The best judgement
method for he pain performance is FLACC and COR, and the poor judgement method is
the result of CRP and WBC. After 4 hours, 24 hours, 36 hours of three periods,
FLACC scores of children with group B compared with group A at the same time was
statistically significant (P< 0.05). There is no statistical significance between
each group. There was statistically significant among the concentration of WBC,
CRP and COR etc. There were statistically significant in COR's concentration of
24 hours among group A and B(P< 0.05).Conclusion:The judgment for children with
tonsillectomy stress can be used by FLACC pain score and Serum COR concentration.
Ibuprofen suspension liquid oral can reduce the stress pain response in children,
safety satisfy with postoperative analgesia.
PMID- 29798250
TI - [Application of adjacent micro flap in the repair of skin defect in head and
face].
AB - Objective:The aim of this study is to sum up the different surgical repair
methods and techniques for the skin defect of head and face.Method:A
retrospective study on 97 cases of the face and head skin defect caused by trauma
and tumor resection in nearly five years, including 37 cases on nasal, 21 cases
on cheek, 9 cases on frontal, 13 cases on temporal, and 17 cases on ear. After
resecting skin lesion in security boundary, skin defect were repaired by
transposition adjacent flap, zone vascular pedicle flap, myocutaneous flap and
the mucosal flap.Result:Seventy-one cases of the postoperative flap healed and
stitches discharged in a week; 24 cases of flap edge small necrosis in small, one
flap occurred most necrosis, and one skin flap occurred infection and
necrosis.Conclusion:Facial skin defect can be repaired primarily by various types
of micro adjacent flap. Flap survival is closely related to surgical techniques
and postoperative treatment. Retroauricular groove flap has been widely used to
repair skin defect of multiple regions for ear.
PMID- 29798252
TI - [The relationship between 18F-FDG uptake and oncogene in human laryngeal squamous
cell carcinoma transplantation tumor animal model].
AB - Objective:The aim of this study is to explore the relationship between [F18]
fluoro 2 deoxy d glucose 18F-FDG) uptake and oncogene expression in human
laryngeal squamous cell carcinoma (LSCC) transplantation tumor.Method:Ten nude
mice were randomly divided into two groups, 5 mice of each group. One group was
injected subcutaneously with Hep-2 cells to establish xenograft model, and the
other one was injected with HIF-RNAi-Hep-2 cells. All animals were conducted with
positron emission tomography computed tomography PET scan. The average tumor T/N
ratio was calculated. After PET scanning, tumor tissue was picked off for
immunohistochemical examination.Result:The tumor imaging were both clear and
there was no significant difference between these two groups (P> 0.05). The
expression of hypoxia inducible factor 1 alpha (HIF-1alpha), glucose transporter
protein-1 (GLUT-1) and vascular endothelial growth factor (VEGF) were high in Hep
2 group. The expression of HIF-1alpha was lower in HIF-RNAi-Hep-2 group (P<
0.05). But there was no significant difference about the expression of GLUT-1 and
VEGF between these two groups (P> 0.05).Conclusion:The oncogenic pathway
activated in LSCC is related with the uptake of 18F-FDG. The glycolysis gene and
vascular factor maybe play a critical role.
PMID- 29798251
TI - [Diagnostic accuracy and safety of US-guided core needle biopsy versus fine
needle aspiration biopsy of thyroid nodules: a Meta analysis].
AB - Objective:To evaluate the efficacy and safety of core needle biopsy (CNB) and
fine neon needle aspiration biopsy (FNAB) in the diagnosis of thyroid
nodules.Method:The CNKI, Wanfang database, China Biomedical Literature Database
(CBM), PubMed, Cochrane Library, EMBASE, Web of Science database (the deadline of
February 2017) were used to search the literature on CNB and FNABCNB. Two
reviewers independently screened the literature according to the inclusion and
exclusion criteria, extracted the data and evaluated the quality of the
literature, and used RevMan 5.3 software for Meta analysis.Result:The accuracy of
the CNB group was higher than that of the FNAB group(RR= 1.14, 95%CI: 1.06-1.22,
P< 0.01). Meta analysis showed that the accuracy of CNB group compared with FNAB
group was statistically significant the difference was statistically significant.
There was no significant difference between the two groups (RR= 0.92, 95%CI:0.67
1.25, P> 0.05).Conclusion:CNB is safe and feasible in the diagnosis of thyroid
nodules under the condition of mastery of puncture technique.
PMID- 29798253
TI - [Effects of perioperative thermoregulation on patients' body temperature,
peripheral circulation and blood coagulation time in patients undergoing elective
vertical hemi laryngectomy].
AB - Objective:To compare the effects of different thermal insulation measures on
perioperative body temperature, peripheral circulation and blood coagulation time
in patients undergoing vertical hemi laryngectomy.Method:Sixty eligible patients
with elective vertical hemi laryngectomy were randomly divided into 3 groups:
preoperative inflatable heating blanket group (A group, n=20), warmed irrigation
group (B group, n=20), and control group (C group, n=20). The core temperature
were recorded after entering the operating room, before induction, 20th minute
during operation, entering PACU and 2nd hour after operation respectively. Blood
samples were got at the end of operation to test pH, lactic acid, PT and APTT.
After waking patients' SpO2 and thermal comfort were recorded.Result:The core
temperatures at time points of 20th minute during operation and entering PACU
were significantly different between C group and A group, C group and B group.
There were significant difference in lactic acid, PT, APTT and SpO2 between C
group and A group, C group and B group. Patients' thermal comfort in all three
groups were different.Conclusion:Inflatable heating blanket during operation
combined with using it before operation or fluid warmers during operation for
perioperative body temperature protection duringelective vertical partial
laryngectomy surgery can effectively prevent perioperative hypothermia, improve
peripheral circulation and blood coagulation time changes, improve patients'
comfort after operation.
PMID- 29798254
TI - [Experimental model study on Li's repositioning maneuver for horizontal
semicircular canal benign paroxysmal positional vertigo].
AB - Objective:Based on the standard spatial semicircular canal model, the
scientificity and feasibility of Li's repositioning maneuver for horizontal
semicircular canal benign paroxysmal positional vertigo were analyzed.Method:The
standard space semicircular canal model was established. The significance and
shortcomings of each step were analyzed by simulating and observing the Li's
repositioning maneuver for horizontal semicircular canal benign paroxysmal
positional vertigo.Result:According to the model of semicircular canal, the
otoliths of horizontal semicircular canal are more likely to return to the
ampulla instead of moving into the utriculus through the semicircular canal after
using Li's repositioning maneuver.Conclusion:The experimental model suggests that
Li's repositioning maneuver omits the necessary steps of repositioning method,
and can not simulate the result of the reposition of otoliths.
PMID- 29798255
TI - [Analysis of curative effect on chronic suppurative otitis media by tympanoplasty
with or without mastoidectomy].
AB - Objective:Analysis of perforation rate and hearing improvement rate of patients
with chronic suppurative otitis media after operation, to observe the effect of
tympanoplasty with or without mastoidectomy.Method:Retrospective analysis the
clinical data of EENT Hospital of Fudan University from January 2011 to December
2016, 167 cases (ears) by tympanoplasty. According to the surgical approach were
divided into simple tympanoplasty in 108 cases (group A), tympanoplasty with
mastoidectomy in 59 cases(group B)(CWU in 23 cases, IBM in 11 cases, CWD in 25
cases). Endoscopy and pure tone audiometry after 3 to 6 months, using SPSS19.0
software to compare the difference of tympanic membrane perforation rate and
hearing improvement rate. Result:The tympanic membrane perforation rate of group
A and group B were 8.33%(9/108) and 5.08%(3/59),there was no significant
difference(chi2= 0.604, P> 0.05). The hearing improvement rate of group A and
group B were 83.33%(90/108) and 77.97%(46/59), there was no significant
difference (chi2= 0.727, P> 0.05).Conclusion:For chronic suppurative otitis media
with lesions confined to the mastoid and tympanic sinus, the removal of mastoid
lesions in the treatment of tympanic membrane healing and improvement of hearing
can not achieve better results than simple tympanoplasty.
PMID- 29798257
TI - [Efficacy analysis of transoral endoscopic radiofrequency coblation for early
glottic cancer].
PMID- 29798258
TI - [Transcatheter arterial embolization in the treatment of maxillary artery
pseudoaneurysm hemorrhage: a case report].
AB - Internal maxillary arterial pseudoaneurysm is a potential complication of
maxillofacial surgery. A 34-year old woman with maxillary sinus cancer undergoing
multiple endoscopic sinus surgery,and subsequently developed acute massive nasal
hemorrhage. She was eventually successfully treated with intravascular
embolization. The recurrence of nasal hemorrhage was not observed during a seven
month follow up.
PMID- 29798256
TI - [Effect of cetylpyridinium chloride Buccal Tablets on perioperative application
of OSAHS patients].
AB - Objective:To investigate the effect of Cetylpyridinium Chloride Buccal Tablets on
perioperative application of OSAHS patients.Method:Sixty patients of OSAHS were
randomly divided into treatment group and control group according to the ratio of
1:1, using randomized single-blind controlled trial. The treatment group was
treated with Cetylpyridinium Chloride Buccal Tablets in perioperative period and
the control group was not. All patients accepted UPPP. Pharyngeal pain,
pharyngeal edema, levels of IL-1, IL-8 and TNF-alpha in saliva were analyzed on
the first day, third day and fifth day after surgery.Result:Compared with control
group, the pharyngeal pain of treatment group was slighter on the third day and
fifth day (P< 0.05). The levels of IL-1beta, IL-8 and TNF-alpha in saliva were
lower on the third day and fifth day (P< 0.05).Conclusion:Applying
Cetylpyridinium Chloride Buccal Tablets during perioperative period can
effectively relieve postoperative pharyngeal pain and inflammatory response in
patients with OSAHS.
PMID- 29798259
TI - [Minor salivary duct carcinoma of the larynx: a case report].
AB - Salivary duct carcinoma SDC is a rare disease, especially in the larynx. From the
pathology point of view, SDC histomorphology is very similar to breast ductal
carcinoma. From the immunohistochemistry, epithelial antibodies are positive, but
the SMA is negative. From the review of papers, total resection is the first
choice for the treatment, but the local recurrence and distant metastasis are the
main influence factors for survival rate. Now we still lack the clinical
experience for such disease.
PMID- 29798260
TI - [Mechanism research progress of miRNA in laryngeal carcinoma].
AB - MiRNA(miR) is a non-coding small RNA of 18 to 24 nucleotides in length.By pairing
with 3'UTR of its target mRNA molecule, miRNA regulateS the target mRNA molecule
in the translation level or directly lead to its degradation. Each miRNA may
target several to several hundred mRNAs, of which 30% of the mRNA is regulated by
miRNAs. Some studies have shown that miRNA expression disorders play an important
role in the development and progression of various tumors and may be the ideal
target for tumor therapy. It has been shown that abnormal expression of RNA
(miRNA) plays an important role in the occurrence and development of laryngeal
cancer. Wang Ping found 47 kinds of miRNA expression abnormalities in laryngeal
cancer tissue with microarray chip detection, that of which high expression were
23 kinds and low expression were 24 kinds. MiRNAs that are abnormally expressed
in laryngeal squamous cell carcinoma are expected to be a new marker for early
diagnosis of laryngeal cancer. In this review, we summarized the progress in
recent three years on the association of miRNA and the biological behaviors of
laryngeal cancer.
PMID- 29798261
TI - [Issues in the diagnosis and treatment of laryngotracheal stenosis].
PMID- 29798262
TI - [Treatment progress of obstructive sleep apnea hypopnea syndrome].
PMID- 29798263
TI - [Transoral endoscopic minimally invasive surgery for hypopharyngeal postcricoid
and upper esophageal lesions].
AB - Objective:To describe the therapeutic effect of transoral endoscopic minimally
invasive surgery for hypopharyngeal postcricoidarea and upper esophageal lesions.
Method:Transoral endoscopic minimally invastive surgery was carried out in
fifteen patients.There were 7 patients with primary tumors on the postcricoid
area, including three squamous cell carcinoma(2 cases T2N0M0,1 case T2N2cM0),two
cavernous hemangioma, one giant fibrovascular polyp and one recurrent
lipofibroma. The transoral endoscopic tumors resection en bloc was performed in
all of them. Adjuvant postoperative radiation therapy was applied in cases of
cancer, and bilateral neck dissections was performed in the T2N2cM0 case; There
were other eight patients with upper esophageal lesions , seven cases dysphagia
was caused by stroke and one case was Zenker diverticulum, treated by endoscopic
cricopharyngeal myotomy ordiverticulotomy. Result:The en bloc resection
endoscopically was achieved in all of patients with tumors on the postcricoid
area. All surgical resection margins were negative.The two cases of postcricoid
cancer and four benign tumor were followed up 16-30 months and 2-12 months
respectively, and all of the cases were not local recurrence. A recent patient
with postcricoid cancer had not finished postoperative adjuvant radiation
therapy. The endoscopic cricopharyngeal myotomy was effective in 4 out of 7
patients,nasogastric feeding was no longer required.Significant improvement
occurred in Zenker diverticulotomy patient, due to the return of physiological
deglutition, and Barium swallow study showing disappearance of the diverticulum
after one month.The effects of minimally invasive threatment were
satisfactory,such as not need tracheotomy and early oral feeding, shorter
hospital stay and less postoperative complications. Conclusion:Transoral
endoscopic surgery is minimally invastive, safe and effective treatment
alternative for some hypopharyngeal postcricoid area and upper esophageal
lesions.
PMID- 29798264
TI - [Photodynamic therapy by topical drup for the treatment of juvenile onset
laryngeal papillomatosis].
AB - Objective:To observe the therapeutic effect of photodynamic therapy(PDT) on the
treatment of juvenile onset laryngeal papillomatosis. Method:Twenty-eight cases
of children with laryngeal papilloma were treated,only 2 cases for the first
time, and the rest were repeatedly treated outside our hospital, the average
hospital surgery were more than 4 times. Under self retaining laryngoscope and
microscope and endoscope assisted by semiconductor laser and plasma and cold
instrument method,visible tumor resection and local affixed deposited 20% 5
aminolevulinic acid(photosensitizer) 3 hours later, with 635 nm semiconductor
laser photodynamic,200-280 mW and can volume density of 80 to 120 J/cm2, 20 min
irradiation. PDT should be repeated after 25 days until no visible tumor.Then,2
times PDT must be done. Result:In 28 cases, 24 cases were followed up for more
than 1 years(12 cases were followed up for 3 years),19 had no recurrence, the
cure rate was 79.2%(19/24);5 cases recurrence, and the recurrence rate was
20.8%(5/24),among them,2 cases were abandoned because of the relapse,the other 3
cases were cure after 3 times of PDT.The main complications were adhesion of
larynx. Conclusion:The preliminary effect of PDT by topical drug for the
treatment of juvenile onset laryngeal papillomatosis is encouraging. The
principle of PDT and the principle of the recurrence of laryngeal papilloma in
children were also introduced in this paper.
PMID- 29798265
TI - [Effect of balloon dilation tracheoplasty in the management of acquired
subglottic stenosis in children].
AB - Objective:To assess the efficiency and safety of balloon dilation tracheoplasty
technique in the management of acquired subglottic stenosis in children.
Method:Twenty-three cases were diagnosed as acquired subglottic stenosis by chest
CT scan and bronchoscpy,and received the treatment of the balloon dilatation
tracheoplasty.The data about the efficiency,complication and prognosis of the
treatment were collected. Result:In the 23 cases,6 of All 11 patients with
tracheotomy were decannulated,11 patients were successfully managed without
tracheotomy,1 patients received laryngotracheal reconstruction(LTR) surgery, 5
cases were still under observation. The overall response rate was 73.91%.All
cases were divided into three stages according to Cotton stage system:1 cases
were stage I stenosis,6 cases were stage II and 16 cases were stage III. The
response rate was 100.00%,83.33%,and 68.75% respectively. The most common
complication in the balloon dilatation tracheoplasty was restenosis. Restenosis
occurs in 12(52.17%) cases who received more than 1 dilation procedures in this
study. Over all average dilation times is 1.87. Eight cases(88.9%) of 9 in fresh
leision group were recoverd, the average dilation time is 1.22; 8 cases (57.14%)
of 14 in old leision group were recoverd, the average dilation time is 2.23. The
average dilation time between two group is significant(P<0.05).
Conclusion:Subglottic stenosis in pediatric patients can be successfully managed
with balloon dilation tracheoplasty. In patients without prior tracheotomy,
tracheotomy can often be safely avoided with appropriate postoperative
management.
PMID- 29798266
TI - [Clinical and pathological characteristics of vocal fold leukoplakia and
influential factors of recurrence and malignancy].
AB - Objective:To investigate the clinical and pathological characteristics of vocal
fold leukoplakia and influential factors of recurrence and malignancy. Method:The
study included 555 cases of vocal fold leukoplakia. All patients received
surgical treatment. The relationship between the clinical and pathological
features, and influential factors of recurrence and malignancy were analyzed.
Result:The pathological types included squamous epithelial simple hyperplasia in
58.0%, mild hyperplasia in 15.5%, moderate hyperplasia in 10.1%, severe
hyperplasia and carcinoma in situ in 8.1%, infiltrative carcinoma in 8.3%. The
different pathological types varied among different ages significantly(P<0.01).
The tobacco and alcohol abuse had no relationship with pathological
types(P>0.05). The more severe the pathology were, the more decreasing of the
mucosal waves would be(P<0.01). The pathologic severity had an apparent
correlation with p53 positivity and the level of p53 and Ki67 positivity. The p53
positive proportion may increase with the increasing pathological
severity(P<0.01), so were the p53 and Ki67 positive levels(P<0.01).Among 232
cases of post?operation follow-ups, 83 cases(35.8%) relapsed while 8 cases(3.4%)
malignancy. Bilateral lesions had a higher rate of relapse and malignancy than
unilateral lesions(95%CIOR 0.378 [0.197-0.723],P<0.01). The relapse and
malignancy had a relationship with the pathologic severity. The incidence of
disease recurrence and malignancy were positively correlated with pathological
severity(P<0.05). p16 positive patients relapsed for more times(P<0.01) and with
an uprising tendency of relapse and malignancy(P>0.05). Conclusion:Pathological
types of vocal fold leukoplakia are different. The older men, decreasing of
mucosal wave of vocal folds and bilateral lesions were very important factors to
predict disease severity. p53 and Ki67 positive rating and pathological severity
were positively correlated. The bilateral lesions, pathological severity and p16
positivity had a tendency to increasing relapse and malignancy.
PMID- 29798267
TI - [The role of laryngopharyngeal reflux in the pathogenesis of Reinke's edema].
AB - Objective:To determine the significance of laryngopharyngeal reflux(LPR) in
Reinke's edema. Method:Sixteen patients who have been diagnosed as Reinke's edema
between February 2014 to June 2016 were included in this study. The control group
included fifty vocal cord leukoplakia and early glottic cancer patients for the
corresponding period. RSI,RFS,numbers of laryngopharyngeal acid reflux events,and
time of laryngopharyngeal acid exposure were evaluated between two groups.
Result:The mean RSI of the Reinke's edema was 11.2+/-3.8,the mean RFS was 8.2+/
1.5,the median numbers of laryngopharyngeal acid reflux events was
4.0[0.0;9.0],the time of laryngopharyngeal acid exposure was 5.6[0.0;16.7]min.The
rate of LPR positive in Reinke's edema patients was 100.0%(16/16)by RSI and
RFS,56.2%(9/16)by 24 hour MII-pH monitoring.The mean RSI of the control group was
8.6+/-3.2,the mean RFS was 6.8+/-2.1,the median numbers of laryngopharyngeal acid
reflux events was 0.0[0.0;3.0],the time of laryngopharyngeal acid exposure was
0.0[0.0;4.3]min.The rate of LPR positive in control group was 58.0%(29/50)by RSI
and RFS,28.0%(14/50)by 24 hour MII-pH monitoring.There were statistically
significance in RSI,RFS,numbers of laryngopharyngeal acid reflux events,and time
of laryngopharyngeal acid exposure between two groups(P<0.01 or P<0.05).
Conclusion:Laryngopharyngeal reflux might play a role as an etiologic factor in
Reinke's edema,awareness should increase about acid suppression therapy in
Reinke's edema.
PMID- 29798268
TI - [Effect of fixation of superior mallear ligament and anterior mallear ligament on
the middle ear transfer function-finite element modeling].
AB - Objective:To study the effect of fixation of superiormallear ligament (SML) and
anterior mallear ligament(AML) on the middle ear transfer function. Method:A
middle ear finite element model was established using micro-CT scanning from an
adult temporal bone with normal middle ear structure. And the fixation model of
SML and AML were established by changing its Young's modulus. Calculation of
fixation and detachment of the two ligaments on the middle ear transfer function.
Result:Displacement of tympanic membrane and stapes footplate was decreased
significantly in case of fixation in both AML and SML, especially in the low
frequency region.The SML fixation increased the amplitude of the stapes footplate
by 3 dB between 1-2 kHz. In case of SML fixation along and both the SML and AML
all fixation, the vibration of the stapes footplate was decreased obviously by 4
dB and 6 dB, respectively. By contrast, detachment of the SML and AML have little
effect on the displacement of the stapes footplate. Conclusion:It is different
that the effect of AML and SML on the vibration of the tympanic membrane and the
stapes footplate. The SML fixation decreased the displacement of tympanic
membrane and stapes footplate. Both the SML and SML all fixated decrease the
stapes footplate significantly.But, there is little effect of the detachment of
SML and AML on the displacement of the stapes footplate.
PMID- 29798269
TI - [Autosomal dominant hearing loss resulting from mutation in the GJB2
gene:nonsyndromic presentation in a Chinese family].
AB - Objective:To investigate the genotype, phenotype and genetic features. The
mutations in GJB2, GJB3, GJB6, SLC26A4 genes, 12SrRNA and tRNASer(UCN) were
tested in a Chinese family with autosomal dominant nonsyndromic hearing loss.
Method:Blood samples and clinical data of the proband and her partial family
members were collected. DNA was extracted from the blood samples. The GJB2, GJB3,
GJB6, SLC26A4 genes, 12SrRNA and tRNASer(UCN) mutations were analyzed by
polymerase chain reaction(PCR) and direct sequencing. Result:Heterozygous
mutation of GJB2 R75Q was identified in the proband and her mother. No mutation
of other testing genes was detected. Conclusion:The R75Q mutation of the GJB2
gene cause autosomal dominant nonsyndromic deafness in the proband and her
mother. Children can inherit the R75Q mutation from their parents, so the results
of gene testing will be helpful for further guidance of procreation.
PMID- 29798270
TI - [Association of RTN4 gene rs2864052 and rs6545468 with the susceptibility of
nasopharyngeal carcinoma in Guangxi Zhuang population].
AB - Objective:To study the relationship of the polymorphism of RTN4 gene rs2864052
and rs6545468 and haplotype with the susceptibility of nasopharyngeal carcinoma
in Guangxi Zhuang population. Method:The polymorphism of Nogo gene
(rs2864052,rs6545468) and haplotype were analyzed using the method of single-base
extension PCR and DNA sequencing in 282 cases of nasopharyngeal carcinoma (NPC)
and 199 healthy persons (control group) in Guangxi Zhuang Autonomous Region.
Result:There were no differences between the NPC's patients and controls in the
genotype and allele frequencies of RTN4 gene rs2864052 site,or rs6545468 site.
The frequency of AG haplotype in the NPC's patients was significantly lower than
in the controls(P=0.004, OR=0.14,95%CI=0.31-0.68). Conclusion:The haplotype AG of
RTN4 gene rs2864052 and rs6545468 sites may reduce the risk of nasopharyngeal
carcinoma in Guangxi Zhuang population.
PMID- 29798271
TI - [Clinical and genetic investigation of families with Waardenburg syndrome type
2].
AB - Objective:To investigate the clinical chacteration and molecular pathology of
Waardenburg syndrome type 2 in seven families, and provide genetic diagnosis and
hereditary counseling for family members. Method:Clinical data of seven families
with WS2(14 patients)were collected. Peripheral blood samples of the probands and
related family members were collected and genomic DNA was extracted. The coding
sequences of microphthalmia associated transcription factor (MITF), sex
determining region Y-box 10(SOX10), snail family zinc finger 2 (SNAI2) and
endothelin receptor type B(EDNRB)were analyzed by polymerase chain reaction and
DNA sequencing. Then the raw data was analyzed. Result:The most common
manifestations of WS2 are sensorineural hearing loss(10/14,71.4%), freckle(7/14,
50.0%),heterochromia iridis(6/14, 42.9%) and premature greying(5/14,35.7%). All
the deafness phenotype is congenital, bilateral profound sensorineural hearing
loss. Freckles phenotype is different from cutaneous pigment abnormalities of WS
in Westerners. The heterozygous mutation, c.328C>T in exon 3 of the MITF gene was
detected in the proband and all patients of pedigree 2. However, no pathological
mutation of the relevant genes (SOX10,SNAI2 and EDNRB) was detected in other
pedigrees. Conclusion:There are obvious variations in clinical features of WS,
while freckles may be a special subtype of cutaneous pigment disturbances. The
MITF gene mutation, R110X,is therefore considered the disease causing mutation in
pedigree WS02.However, there are novel disease causing genes or copy number
variations in Waardenburg syndrome type 2, which require further research.
PMID- 29798272
TI - [Efficacy and safety of conservative and nasal endoscopic therapy for residual
nasopharyngeal carcinoma].
AB - Objective:To compare the efficacy and safety of conservative and nasal endoscopic
therapy for residual nasopharyngeal carcinoma. Method:Eighty-two cases of
residual nasopharyngeal carcinoma patients in our hospital were selected and
according to the order of admission were equally divided into the nasal endoscopy
group and the conservative group with 41 cases in each, the conservative group
were given conservative treatment of radiotherapy and the nasal endoscopy group
were given nasal endoscopic surgery, the effects , prognosis and complications
were observed in the two groups. Result:The effective rates of nasal endoscopic
group and conservative group were 92.7% and 75.6% after treatment for 6 moths,
and the effective rate of nasal endoscopic group was significantly higher than
that in conservative group (P<0.05). There were no serious complications such as
massive hemorrhage of nose and throat and major organ damage in the two groups,
and other complications were improved after symptomatic treatment. The overall
survival, local recurrence free survival time and distant metastasis free
survival time in the nasal endoscopic group were (34.24+/-2.19) months, (28.45+/
1.98) months and (26.33+/-2.88) months, were significantly higher than those of
the conservative group (26.46+/-1.98)months, (21.87+/-2.09) months and (20.44+/
3.19) months(P<0.05). Conclusion:Compares with conservative radiotherapy, nasal
endoscopic surgery in the treatment of residual nasopharyngeal carcinoma can
improve the efficacy and safety, so as to prolong the survival time of patients
that has good application values.
PMID- 29798273
TI - [Surgical treatment and curative effect observation of vascular malformations in
the adult floor of the mouth and pharyngeal space].
AB - Objective:To investigate the effect of surgical treatment of vascular
malformation in the adult floor of the mouth and pharyngeal space. Method:Collect
12 cases of vascular malformation from the floor of the mouth and pharyngeal
space.All of them were checked out of the different degrees of tongue elevation
and dysphagia and to carry out the excision of vascular malformation with lingual
nerve and hypoglossal nerve preservation. Result:The lesion reduction degree of
10 cases of patients were IV class(excellent), theoher 2 cases were III
class(good). Hematoma at the bottom of the mouth after operation were not
happened. Follow-up 0.5-3 years,tongue deviation appeared in 1 cases of
postoperation. After 6 months of follow-up, the tongue is in the middle when it
put out, functional recovery,no tongue muscle atrophy,no tongue movement disorder
in the rest of the patients,no obvious recurrence of vascular malformations, no
swallowing disorder in all patients. Conclusion:It would be obtained ideal
therapeutic effect with surgical treatment in the adult vascular malformations of
the floor of the mouth and pharyngeal space.It would be the key to the success of
surgery with protecting the lingual nerve and hypoglossal nerve and controlling
bleeding.
PMID- 29798274
TI - [The surrounding radiation dose of iodine-125 seeds on normal larynx tissue in
rabbits].
AB - Objective:To monitor the change of the radiation dose of the rabbits which were
implanted rasioactive 125I seed into the normal laryngeal tiseue at different
time,and to evaluate the safety of radiation protection. Method:Sixty New Zwaland
rabbits, weighing 2.15-2.30 kg,were randomly divided into 5 groups:7 d,1month,2
month,4 month group and the control group, 12 rabbits in each group Iodine-125 of
0.8 mCi were implanted into the right side of the first trachea ring. At the
different time and different distance, the surrounding radiation dose was
measured after 4 months of implanting. The results were analyzed in statistics.
Result:With the increase of the distance and the prolong of the time, the
radiation dose was decreasing,and with the increase of distance,the radiation
dose decreased slowly. At the site of 1 meter from the seeds, the detected dose
is close to the natural background radiation dose. Conclusion:The clinical
application of radioactive 125I seed interstitial implant is easy to
protected,the surrounding close contacts is satety.
PMID- 29798276
TI - [The values of LigaSure vessel sealing system in thyroidectomy for
hyperthyroidism].
PMID- 29798275
TI - [Effect of Six1,TGF-beta,VEGF-C that promoting tumor lymphangiogenesis in human
laryngeal carcinoma xenografts in nude mice].
AB - Objective:To research the effect of Six1,TGF-beta,VEGF-C that promoting tumor
lymphangiogenesis in human laryngeal carcinoma xenografts in nude mice.
Method:Technology of RNA interference was used for silencing Six1 and TGF-beta
genes expression in laryngeal squamous cell, preparation Six1-targeting and TGF
beta-targeting siRNA for transfecting into laryngeal squamous cell carcinoma,
sieve out positive clone cell and amplify. Preparing bearing cancer mice, the
mice were divided into five groups, group A (untransfected),group B(empty
vector), group C(Six1-siRNA),group D(TGF-beta-siRNA),group E(Six1+TGF-beta
siRNA). 6-12mm when the tumor has grown, the mice were sacrificed by cervical.
The size of each tumor and metastasis were observed and recorded.The protein
expression of Six1,TGF-beta and VEGF-C was determined by immunohistochemistry and
Western blot.The mRNA of Six1,TGF-beta and VEGF-C was determined by RT-PCR.
Result:The average tumor volume and the number of metastasis cases in group B
have no statistically significant compared with group A. The average tumor volume
in group C, group D and group E has no significantly reduced, but there is a
clear reduction of the number of metastasis cases compared with group A. The
average tumor volume and number of metastasis cases in group E has no
significantly reduced compared with group C and group D. Both protein and mRNA
expression of Six1, TGF-beta and VEGF-C in group B had no significant difference
compared with group A. In group C, group D and group E,both protein and mRNA
expression of VEGF-C was decreased,difference has statistically significant
compared with group A. Both protein and mRNA expression of VEGF-C in group E had
no significant difference compared with group C and group D. Conclusion:Both Six1
and TGF-beta can mediate tumor lymphangiogenesis and lymph node metastasis by
mediate the expression of VEGF-C. Suggest that Six1,TGF-beta might be a potential
therapeutic target for preventing lymph node metastasis of tumor.
PMID- 29798277
TI - [Clinical observation on the modified surgical treatment of auricular pseudocyst
on 31 cases].
PMID- 29798278
TI - [Application experiences of local flap in the resection of the
children'sinfectious congenital preauricular fistula].
PMID- 29798279
TI - [Clinical analysis of plasma cell granuloma in nasal cavity and nasal sinus].
AB - The plasma cell granuloma occurred in nasal cavity and sinus, which is rarely
seen in clinical practice,the common clinical features included nasal
congestion,nose bleeding,eye protrusion and olfactory impairment.Its clinical
manifestations are similar to the clinical manifestations of malignant tumors,
the correct diagnosis depends on histopathology and immunohistochemistry, and
surgical treatment is the main method, can be supplemented by hormone therapy,
radiotherapy,the prognosis could be better.
PMID- 29798280
TI - [Giant rhinolith: a case report].
AB - My department reports a case of giant rhinolith.Rhinolith is a rare and slow
progressing disease. Clinically, rhinolith is easily to be misdiagnosed as
sinusitis or sinus tumor. High-resolution computed tomography (HRCT) can be used
to diagnose of rhinolith and differentiate it from sinusitis or sinus tumors.
HRCT can also provide reliable evidence for evaluating surgery difficulty level
and determining operation method.
PMID- 29798281
TI - [Foreign bodies of external nose and forehead:a case report].
AB - Foreign bodies of external nose and forehead are rarely seen.In this case the
patient stuck an acupuncture needle into the middle of two sides of the
superliliary arch 3 months ago. Patient complains of slight pain in that region
because of the infection.The X-ray and 3D CT examination present 4 abnormal high
density shadows in the subscutaneous tissue of external nose and forehead.Foreign
bodies in maxillofacial region have the quality of being changeable when the
muscles are contracting. The key point to the successful operation is finding the
accurate location of the foreign bodies with feasible image examination and
designing reasonable incisions.
PMID- 29798282
TI - [The relationship between lysosome-autophagy and the head and neck squamous
cancer cell apoptosis].
AB - Autophagy can be used as both a protective mechanism of cell survival and a
mechanism of cell death.The dual mechanism has been the emphases and difficulties
of our research.The latest research shows that autophagy plays an important role
in occurrence,development, transfer and treatment of the cancer.Head and neck
cancer is usually derived from the upper respiratory tract's. The upper digestive
tract's malignant tumor, because of its complex anatomic structure, low 5 years
of survival rate, to study the prevention and treatment has the extremely
important significance. The purpose of this paper is to clarify the role of
lysosome-autophagy,mitochondria-autophagy in the treatment of head and neck
cancers and the relationship between autophagy and apoptosis, which will be
contribute to the prevention and treatment of head and neck squamous cell
carcinomas for us.Autophagy as a cellular lysosomal degradation pathway that can
be used as a kind of dynamic monitoring index of the tumor.
PMID- 29798283
TI - [Progress in diagnosis and treatment of vocal fold nodules].
AB - Vocal fold nodules is the common cause of the hoarseness,which seriously affected
people's living standard.At present,the treatment of the vocal fold nodules
should be based on conservative treatment,surgical treatment as a
supplement.Conservative treatment mainly includes:rest the voice,drug
treatment,intervention and voice therapy.Surgical treatment is mainly the
laryngomicrosurgery in suspension laryngoscope. The purpose of this study is to
summarize the diagnosis and the treatment of vocal fold nodules,improving the
reference of clinical workers.
PMID- 29798284
TI - [Research status of vestibular migraine].
AB - Pathogenesis, clinical characteristics and treatments of vestibular migraine(VM)
in recent years were retrospectively analyzed. The results showed that VM had
diversified vestibular symptoms. VM may show a mild central and peripheral
vestibular dysfunction during ictal and interictal period. Although no
specificity, it could provide important references to identify VM. The
pathogenesis of VM, which depended upon the clues of migraine, had been supposed
to be probably related to genetic susceptibility, neurotransmitter changes
induced by trigeminal nerve, and abnormal integration of central signal, and
further exploration of functional abnormalities in brain-related areas showed by
functional imaging technology may shed light on the pathogenesis of VM. Until
now, the pharmacotherapies of VM have been still based on the treatment of
migraine and the efficacy remains to be clarified.
PMID- 29798285
TI - [Epidemiology, occupational and environmental risk factors of chronic
rhinosinusitis in China].
PMID- 29798286
TI - [Mechanism of chronic rhinosinusitis with polyps and its association with high
recurrence rate of polyps after sinus surgery].
PMID- 29798287
TI - [Surgical treatment of cronic rhinosinusitis with nasal polyps].
PMID- 29798288
TI - [Surgical treatment of difficult-to-treat chronic rhinosinusitis].
PMID- 29798289
TI - [The possible failing reasons of balloon catheter dilation procedure in the
management of chronic rhinosinusitis].
AB - Objective:To investigate the effectiveness of balloon catheter dilation (BCD) in
the treatment of chronic rhinosinusitis, and to analyse the possible factors
which lead to BCD failure, as well as to provide basic reference for BCD clinical
usage.Method:Forty-six sinuses of 32 patients with chronic rhinosinusitis were
underwent "Balloon-only" BCD or "FESS assisted" BCD at our institution between
September 2014 and December 2016. By recording details of the operation of all
the subjects in this study and following up the clinical symptoms, nasal
endoscopy, computed tomography of the sinuses, and postoperative complications
six months after operation, to evaluate the difficulty, safety, effectiveness and
especially, the failing reasons of BCD.Result:BCD was approached in 46 sinuses
(19 maxillary sinus, 22 frontal and 5 sphenoid), and succeeded in 13 maxillary
sinuses, 19 frontal sinuses, and 4 sphenoid sinuses. Of the 13 maxillary sinuses,
there were 9 sinuses underwent "Balloon-only" procedure, other 4 cases underwent
"FESS assisted" procedure. There were 3 cases of frontal sinus failed, because of
the frontal recess anatomical complexity and the twisted drainage. Of the 5
sphenoid sinuses, 4 cases succeeded, including fungal sphenoiditis cases, in
which the mould was completely cleared through the dilated ostia, and 1 case
failed. All the patients were followed up for 1, 3 and 6 months of patient's
quality of life, nasal endoscope, computed tomography of the sinuses. The results
showed that the SNOT-20 scores of the quality of life in significant relief of
symptoms, nasal mucosa status improved significantly compared with the
preoperative, dilated ostium remains open, no obvious scar formation, no severe
operative complications.Conclusion:Balloon catheter dilation in the treatment of
chronic rhinosinusitis is safe and effective. But the operation indications is
limited, and many factors influence the success rate of BCD, so, preoperatively
gaining the information of nasal cavity and anatomical structure around ostium
according to patients' nasal endoscopy and sinus CT is critical to success of
BCD.
PMID- 29798290
TI - [Diagnosis and treatment of basal cell adenoma of parotid gland].
AB - Objective:To investigate the clinical features, diagnosis and treatment
experience of basal cell adenoma (BCA).Method:The clinical data of 7 patients
with basal cell adenoma of the parotid gland from the Second Affiliated Hospital
of Medical University of Anhui from March 2012 to June 2016 were retrospectively
analyzed. Combined with literature review, the experience of diagnosis and
treatment was discussed.Result:Seven BCA were mostly diagnosed as pleomorphic
adenoma and adenomatous lymphoma before operation using parotid ultrasound, fine
needle aspiration cytology and parotid enhanced CT, and no patients was diagnosed
as BCA prior to the operation. Four cases were diagnosed as BCA, 1 case was
diagnosed as adenoma, 1 case was diagnosed as low-grade malignant tumor, and 1
case was not able to identify benign or malignant tumor by intraoperative fast
frozen pathological examination. There were no obvious complications after the
operation, and only 2 cases of mild facial paralysis returned to normal in 1
month, and no recurrence was found in the follow-up 18-69 months.
Conclusion:Basal cell adenoma of the parotid gland is a rare benign tumor of
parotid gland, the lack of specific clinical manifestations and signs,
preoperative ultrasonography, fine needle aspiration cytology and parotid gland
enhanced CT scan can not confirm the diagnosis, although they have some
limitations, they are of great value in the localization, qualitative and
differential diagnosis of BCA in the parotid gland. The diagnosis mainly depends
on intraoperative, postoperative pathology and immunohistochemical examination.
The treatment is mainly surgical excision, and the prognosis is better.
PMID- 29798291
TI - [The similarities and differences of mandarin open-set word recognition between
cochlear implant children and normal hearing children].
AB - Objective:To evaluate the ability of open-set word recognition in cochlear
implant (CI) children,and compare the similarities and difference of open-set
word recognition between CI children and normal hearing(NH) children.Method:This
study included 485 children with CI and 162 children with NH, who completed the
Mandarin lexical neighborhood test. The results for children at 1-8 years after
receiving their CI were compared to those from the children with NH using
analysis of variance.Result:There were significant differences in open-set word
recognition between the CI and NH groups(P<0.05).For implantation before 2 years,
there was no significant difference in recognition at the ages of 6-7
years,compared to 3-year-old children with NH, or at the age of 10 years,
compared to 6-year-old children with NH(P>0.05).For implantation before 3 years,
there was no significant difference in recognition at the ages of 8-9 years,
compared to 3-year-old children with NH,or at the age of 10 years, compared to 6
year-old children with NH(P>0.05).For implantation after 3 years, there was a
significant difference in recognition at the age of 13 years,compared to 3-year
old children with NH(P<0.05).Conclusion:Mandarin open-set word recognition
increased with time after CI.Chinese children with CI had delayed but similar
development of recognition, compared to normal children. Early CI can shorten the
gap between children with CI and normal children.
PMID- 29798292
TI - [Small interference of transcription factor Snail contribute to enhanced
cisplatin sensitivity on human laryngeal resistant cancer cells].
AB - Objective:To study the relationship between transcription factor Snail and the
sensitivity of cisplatin on human laryngeal resistant cancer cells.Method:siRNA
interference of Snail was transfected by small RNA interference technology. The
interference efficiency on mRNA level were detected by RT-qPCR assay; the
expression of Snail protein level was assessed by immunofluorescence. The
inhibition ratio of different cisplatin concentration (0, 1, 2, 4, 8, 16 MUg/ml)
was detected by CCK-8 assay; the protein level of Snail, E-cadherin, MDR1were
detected by Western blot assay.Result:RT-qPCR assay show the expression of Snail
on mRNA level was decreased to (67.85+/-9.50)% after transfection in Hep-2/CDDP
cell(P<0.05). Immunofluorescence show fluorescence intensity of si-Hep-2/CDDP
group was reduced both in nucleus and cytoplasm; CCK-8 assay show the inhibitory
ratio of transfected group was increased compared to negative control and Hep
2/CDDP group in different cisplatin concentration (0, 1, 2, 4, 8, 16 MUg/ml)
(P<0.05). Western blot assay show the protein expression of Snail and MDR1 were
down-regulated in transfected Hep-2/CDDP cells (allP<0.05), while epithelial
marker E-cadherin was up-regulated in protein level (P<0.05).Conclusion:Small
interference of transcription factor Snail could increase the expression of E
cadherin while decrease the expression of MDR1, and it was confirmed that
interference Snail contribute to enhanced cisplatin sensitivity on human
laryngeal resistant cancer cells.
PMID- 29798293
TI - [Experimental observation on the effect of bone marrow mesenchymal stem cells
transplanting CXCR4 over gentamicin ototoxicity].
AB - Objective:To observe the survival and migration ability of CXCR4-BMSCs in guinea
pig cochlea with gentamycin induced sensorineural hearing loss, and to explore
whether SDF-1/CXCR4 axis can mediate bone marrow mesenchymal stem cells (BMSCs)
to cochlear homing.Method:BMSCs were isolated and extracted from guinea pigs. A
bone marrow mesenchymal stem cell line with overexpression of CXCR4 was
established. The animal model of sensorineural deafness was established by
intraperitoneal injection of gentamicin[100 mg/(kg.d)]in 50 healthy guinea pigs.
The successful deafness animals were randomly divided into three groups(14 rats
in each group): sterilizing water group, BMSCs transplantation group and CXCR4
BMSCs transplantation group.Auditory brainstem response(ABR) were performed at 2
weeks and 4 weeks respectively after the tansplantation through cochlear tympanic
pathway. The directional homing of the implanted cells in the cochlea was traced
by the frozen section fluorescence of the cochlear tissue.Result:The hearing
thresholds of the three groups were (91.3+/-5.2),(90.7+/-4.8)and (90.9+/-5.6)dB
(SPL) respectively. There was no significant difference among them(P>0.05). In
sterile Water group, the hearing thresholds were(89.7+/-6.4)dB and (89.2+/-6.7)dB
respectively when detected on 2 and 4 weeks after transplantation. There were no
significant difference(P>0.05);In BMSCs group, the hearing thresholds were
(88.6+/-5.3)dB(SPL) on 2 weeks and (78.4+/-7.3)dB(SPL) on 4 weeks after
transplantation. In CXCR4-BMSCs group, the hearing thresholds were(75.3+/
7.8)dB(SPL) on 2 weeks and (62.1+/-8.4)dB(SPL) on 4 weeks after transplantation.
The differences were statistically significant (PThe hearing threshold was
(75.3+/-7.8)dB (SPL) at 2 weeks after operation. The hearing enhancement was
about 15 dB, the hearing threshold was (62.1+/-8.4)dB(SPL) at 4 weeks, the
difference was statistically significant(P<0.05).Fluorescence tracing showed that
the number of CXCR4-BMSCs homing cells was significantly increased, and showed a
cohort like arrangement.Conclusion:The SDF-1/CXCR4 axis plays an important role
in the directional homing and differentiation of the cells into the cochlea,
which can improve the hearing repair ability of guinea pigs induced by gentamicin
induced sensorineural deafness.
PMID- 29798294
TI - [Preparation and comparative study of decellularized rat cricoarytenoid dorsalis
muscle and gastrocnemius muscle scaffold].
AB - Objective:To prepare and to compare the decellularized rat cricoarytenoid
dorsalis muscle and gastrocnemius muscle scaffolds for providing a basis for
tissue engineered cricoarytenoid dorsalis muscle.Method:Sprague Dawley rats were
randomly divided into 6 groups with 10 rats in each group.The experimental groups
were divided as 2, 4, 6, 8, and 10day groups after decellularization. Muscles
were decellularized with 1% sodium dodecyl sulfate and observed by general
observation, histological section with hematoxylin eosin staining and collagenous
fiber staining, scanning electron microscope images with measurement of pore
diameter and area, and DNA extraction and quantification. The control group was
not decellularized.Result:The decellularized muscles in experimental groups
showed a semitransparent appearance while in control group the fresh muscle
showed a ruddy color.Muscle fibers were completely disappeared in cricoarytenoid
dorsalis muscle after 4 days decellularization and in gastrocnemius muscle after
8 days decellularzation, the extracellular matrix reserved well and no DNA was
detected. Scanning electron microscope revealed a different pore size between
decellularized cricoarytenoid dorsalis muscle and gastrocnemius muscle,and the
difference was statistically significant. The pore diameter in 4 days
decellularized cricoarytenoid dorsalis muscle was larger than the one in 8 days
decellularzed gastrocnemius muscle.Conclusion:Compare to gastrocnemius
muscle,decellularized cricoarytenoid dorsalis muscle has advantages in pore form
and size and more suitable as a scaffold for tissue engineered cricoarytenoid
dorsalis muscle.
PMID- 29798295
TI - [Clinical investigation of basophil activation test as a complementary test for
house dust mite allergen].
AB - Objective:To investigate the clinical application of glass micro fiber basophil
activation test (BAT) used as a complementary test for house dust mite
allergen.Method:Forty patients with clinical diagnosed allergic rhinitis was test
by three methods for house dust mite allergen, skin prick test(SPT),Immuno CAP
sIgE, and BAT in vitro. The sensitivity and specificity of glass micro fiber were
accessed, and the consistency between BAT, SPT, and Immuno sIgE was analyzed. As
in vivo provocation was not performed, gold standard is regarded as the
combination of medical history and positive reports of SPT and/or ImmunoCAP sIgE
test.Result:Twenty?three patients are diagnosed as house dust mite allergic
rhinitis by gold standard. The sensitivity and specificity of glass micro fiber
BAT were 60.9% and 88.2%, the sensitivity of SPT and sIgE was 87.0% and sIgE
73.9%. The correlation rates between BAT with SPT is 0.67(P<0.05), and sIgE
0.55(P<0.05). The accuracy, predictive value of positive and negative of BAT are
0.47,60.9%,88.2%.The Kappa values of BAT, SPT and sIgE with gold standard are
0.47,0.86,0.71.Conclusion:As a complementary test for house dust mite allergic
rhinitis, BAT have a good consistency with SPT and sIgE, while as it has only
moderate consistency with "gold standard", further studies are needed to prove
its clinical significance.
PMID- 29798296
TI - [Clinical observation of Weisu granules in treating laryngopharyngeal reflux].
AB - Objective:To observe the clinical effect of Weisu granules in treating
laryngopharyngeal reflux.Method:One hundred and eighty patients were divided into
three groups in random. Sixty patients in experimental group combined of Lanqin
oral solution and Weisu granules. Sixty patients in control group A using Lanqin
oral solution. Sixty patients in control group B using Esomeprazole Magnesium
Enteric?coated Tablets and Lanqin oral solution. The treatment course ranges from
4 weeks.Result:Four weeks after treatment,clinical symptoms of all the three
groups improved significantly. The effective curative rate in experimental group
was 91.7% which better than that of the control group A 73.3%(P<0.05). After
treatment, the symptoms and signs of the experimental group were significantly
different from those in the control group A(P<0.05). There were no significant
difference in the experimental group and the control group
B(P>0.05).Conclusion:The therapeutic method of Weisu granules using in
laryngopharyngeal reflux has obvious effects.
PMID- 29798297
TI - [Efficacy analysis of T type tube dilatation in adult cervical tracheal
stenosis].
AB - Objective:To investigate the efficacy, recurrence and strategy of T silicone tube
dilatation for cicatricial stenosis of cervical trachea in adults.Method:Cinical
data of 37 cases with the cervical tracheal stenosis were retrospectively
analyzed, who were firstly treated by T shape silicone tube dilation for at least
10 months, from Jun 2006 to Jun 2016.Result:In 37 adult patients with cicatricial
stenosis of the trachea, 29 cases were caused by tracheal intubation or incision,
6 cases were traumatic, and 2 cases were multiple osteochondritis. Twenty?three
cases were experienced one time surgery and extubated successfully, 8 cases were
experienced 2 times and more than 2 times surgery (sternohyoid muscle flap
pedicled hyoid bone with T tube expansion in 3 cases, tracheal endoscopic
dilatation in 2 cases, T tube dilatation in 3 cases), extubation success rate of
83.7%. Among the 6 cases with failure of extubation, 2 cases were multiple
osteochondritis, 3 cases had severe scar constitution, 1 cases were lost of
follow-up.Conclusion:T type silicon tube expansion for the treatment of adult
cervical tracheal stenosis can be achieved relatively high decannulation rate.
For recurrent stenosis, we can consider to use various methods of expansion, and
improve the decannulation rate. Intratracheal granulation tissuextubation after
exbation should be observed for at least 1 month. For concurrent with
polychondritis and severe scar the constitution, the operation should be
carefully chosen.
PMID- 29798298
TI - [Longitudinal study of the early prelingual auditory development of children with
cochlear implants].
AB - Objective:To explore the early prelingual auditory development (EPLAD) of
children with cochlear implants.Method:Children with cochlear implants switched
on at 1 and 2 years old in our center were recruited in this longitudinal study,
and the EPLAD of them would be tested with the ITMAIS at 3months, 6months, and
12months after switch on. Each children could be permitted to miss follow-up one
time.Result:Seventy-five children with unilateral cochlear implants were
recruited in the study, and divided into 2 age groups according to the age at
switch on,1 year group (32 children) and 2 years group (43 children). The EPLAD
of children in both groups improved as the time they wore the cochlear implants
increased. The speeds of improvement in both groups were similar to that of
normal children and faster than those of children with different hearing
impairments and without any interventions. The mean total score at 12 months
after switch on in 1 year groups could achieve the average level of mild hearing
impaired peer without interventions. In addition, the total scores in both groups
were significantly different at 3 months after switch on(P<0.05),and
no?significantly different at 6 and 12 months after switch
on(P>0.05).Conclusion:Cochlear implant could help the children with profound
sensorineural hearing loss to improve the abilities of EPLAD, and the speed of
improvement in the 12 months after switch on was similar to that of normal
children. And the results also indicated that the mean total scores at 12 months
after switch on in both groups could be close to or achieve the average level of
mild hearing impaired peer without interventions, it provided evidences to us for
counseling and rehabilitation exercise. In addition, the result, total scores in
both groups were just significantly different at 3 months after switch on,
emphasized the importance of early intervention as well.
PMID- 29798299
TI - [Etiology analysis and vestibular assessment of bilateral vestibular
vestibulopathy].
AB - Objective:To define clinical and laboratory characteristics of bilateral
vestibulopathy(BVP) and to propose diagnostic criteria of this disorder based on
clinical and laboratory vestibular function test findings.Method:Forty-two case
series with a clinical suspicion of BVP were retrospectively analyzed, in an
attempt to determine etiology. Presenting auditory-vestibular symptoms, bedside
dynamic visual acuity tests and laboratory test were reviewed, including
bithermal caloric test, rotatory chair tests, video head impulse test (vHIT),
vestibular-evoked myogenic potentials (VEMP).Result:Among these 42 patients,
dizziness was seen in 42 cases(100%), oscillopsia was seen in 21 cases(50%),
hearing loss was seen in 30(71.4%). Eight cases(19%) had tinnitus. Twenty-five
cases showed vestibular loss in dynamic visual acuity test (69.4%). Definite
diagnosis of complete BVP was made in 36 patients when the patients showed
abnormal findings on caloric test, rotatory chair test and vHIT in addition to
the symptoms. Whereas probable diagnosis of partial BVP was obtained in 6
patients with abnormal caloric test and rotatory chair test but no pathological
vHIT. VEMP (ocular or cervical) could be recorded in 20 patients. Fourteen cases
were caused by ototoxic drugs while no causes could be determined in 6 cases
among these 42 cases.Conclusion:The diagnosis of BVP is a challenge. Vestibular
laboratory test battery which reflect full frequency function of VOR has great
value to confirming the diagnosis and differentiate complete BVP to partial BVP.
Diagnosis standard shall be made combining clinical history, characteristic
symptoms and the results of auditory-vestibular function testing. Ototoxic drugs
contribute most considering etiology.
PMID- 29798301
TI - [Various nasal endoscopic approaches for treatment of maxillary sinus cyst].
PMID- 29798300
TI - [The efficacy comparation of adenoidectomy with acupuncture and tympanonstomy in
children secretory otitis media].
AB - Objective:This study aims to the comparative study of AT+A (adenoidectomy with
acupuncture) and AT+T (adenoidectomy with tympanonstomy tube) to monitor and
compare the therapeutic effect and prognosis of secretory otitis media in
children. The study make a summary and give the clinical suggestions as
well.Method:We collected and analyzed 280 outpatients of children secretory
otitis media from March 2015 to March 2016.Among them,172 cases took the
adenoidectomy with acupuncture and 108 cases took the adenoidectomy with
tympanonstomy tube. This research used the therapeutic effect indicators,middle
ear effusion time and one year follow-up to evaluate the pros and cons of two
surgery methods in different areas.Result:The patients of both groups had
relatively good therapeutic effect which promoted with time. There were no
significant difference between AT+A and AT+T in tympanic membrane. While AT+T
group acted better than AT+A group in pure tone average and tympanum figure. The
middle ear effusion time of AT+T group was significantly shorter than AT+A group.
In one year follow-up, there were no difference in hearing loss between two
groups.But AT+T group performed better in recurrence rate, infection rate and
total rate.Conclusion:Since the adenoidectomy with tympanonstomy tube method has
a lot of advantages over adenoidectomy with acupuncture,it's better to use AT+T
in severechildren secretory otitis media when situation is available.
PMID- 29798302
TI - [Diagnostic value of ultrasound-guided fine needle aspiration cytology of thyroid
nodule].
PMID- 29798303
TI - [Cervical bronchogenic cyst in the superior mediastinum and literature analysis:
a case report].
PMID- 29798304
TI - [Endoscopic middle ear surgery].
AB - Endoscopic transcannal middle ear surgery via natural route allows minimal
surgery procedure which avoids incision of auricula and protects mastoid air
cells. Utilizing endoscope of different angles provides excellent views of the
"hidden corner" in middle ear, therefore helping surgeons better get rid of
reduce residual pathological tissues. Surgeons in otology should understand
middle ear anatomy and physiology as well as master the use of endoscopic
surgical instruments to perform procedures well. treatment methods was present so
as to further improve the understanding of such kind of tumor.
PMID- 29798305
TI - [Clinical features and prognostic factors of squamous cell carcinoma of the base
of tongue:an analysis of 124 cases].
AB - Objective:To summarize the clinicopathological characteristics and prognostic
factors of squamous cell carcinoma (SCC) of the base of tongue (BOT).
Method:Review the clinical data of 124 cases of patients with BOT tumors. HPV-DNA
was detected using SPF10-DNA enzyme immunoassay and LiPA genotyping method.
Survival rates and prognostic factors was calculated with SPSS 19.0 software
using the Kaplan-Meier method and multivariate Cox model survival analysis.
Result:5-year disease-specific survival (DSS), 5-year overall survival and median
survival time for patients with SCC BOT were 54.5%, 48.5% and 45.2 months,
respectively. HPV infection rate was 18.3%(11/60), the HPV-16 subtype in 10
cases, accounting for 90.9%(10/11). Univariate analysis results showed that
smoking history (P=0.028), drinking history (P=0.001), tumor stage (P=0.009) and
p16 protein expression (P=0.015) significantly affected the prognosis. The
prognosis of patients with HPV positive BOT cancers was better than the HPV
negative patients (5-year DSS was 80.8% compared to 54.5%), but not statistical
difference (P=0.138). Multivariate analysis revealed that independent prognostic
factors of BOT tumors were drinking history (P=0.006) and tumor stage (P=0.035).
Conclusion:The prognosis of BOT tumor was relatively poor. Smoking history,
drinking history, tumor staging and the expression of p16 protein significantly
affected the prognosis. Drinking history and tumor stage were independent
prognostic factors for BOT cancers.
PMID- 29798306
TI - [Clinical characteristics and short term outcome of very old benign paroxysmal
positional vertigo patients].
AB - Objective:To provide evidence and strategy for treatment of very old patients
with benign paroxysmal positional vertigo (v-BPPV) by retrospective analysis of v
BPPV patients. Method:According to the criteria, 19 v-BPPV patients were
collected, aging from 80 to 91 years old, including 10 horizontal semicircular
canal BPPV (HC-BPPV), 4 posterior semicircular canal (PC-BPPV) and 5 mutiple
semicircular canal BPPV patients. PC-BPPV patients were treated by Epley or Li
maneuver, and HC-BPPV patients were treated by Barbecue or Li maneuver. Each
patient was treated twice, with an interval of 5 to 10 minutes. Result:Rates of 3
day cure and efficacy were 63.16% and 94.74%, respectively. Rates of 1-week cure
and efficacy were 89.47% and 100.00%, respectively. Conclusion:v-BPPV can have
good outcomes via proper treatment. Age should not influence BPPV outcomes, while
we should pay more attention to v-BPPV patients' physiclal status and systematic
diseases which may increase risk to diagnosis and treatment.
PMID- 29798307
TI - [Application of digital 3D imaging technique in flap design before maxillofacial
soft tissue reconstruction].
AB - Objective:Using digital technique to design the preoperative flap of oral and
maxillofacial soft tissue defect, to instruct the operation and to provide the
basis for making the individual femoral flap. Method:We chosed 7 patients with
oral and maxillofacial malignancies to undergo thin-line CT scans of lower
extremities and analyzed the data of CTA examination to measure the diameter,
shape and length of vascular pedicle. Then selected the appropriate perforation
as the source of blood supply for flap. The location of perforation was marked on
the skin to simulate flap removal range.During the surgery according to the
preoperative mark, draw lines and mark flap size. After flap preparation is
completed, suture the donor area directly and build in negative pressure drainage
tube. Result:All reconstruction of the anterolateral femoral artery descending
perforator flap can show the skin, muscle, blood vessels and their adjacent
relationship, and according to the size of the defect area, using Mimics 17.0
software simulation to cut flap which should be the same as the defect area. The
main perforating branches and trunks of seven skin flaps were consistent with pre
operative examination and all skin flaps survived; the wound surface and the
donor were all in stage I healing. After operation, patients were followed up for
1-6 months and the form, quality and function of skin flaps all recovered well.
Conclusion:The location of lateral femoral circumflex artery descending limb and
perforating branch were accurately located by digital technique three-dimensional
reconstruction technology, showing the spatial relationship among skin, muscle,
blood vessels and bones. By combining with Mimics 17.0 software, it can achieve
three-dimensional and accurate design of anterolateral thigh flap, reduce the
donor injury and improve the successful rate of skin flap.
PMID- 29798308
TI - [The predictive value of parathyroid hormone levels and decreases for
postoperative hypocalcemia after total thyroidectomy].
AB - Objective:To investigate the predictive value of parathyroid hormone levels and
decreases for postoperative hypocalcemia after total thyroidectomy. Method:We
reviewed 71 consecutive patients who underwent total thyroidectomy, measured
postoperative hypocalcemia, parathyroid hormone (PTH) values within 24 hours
after surgery, divided them into subgroups accroding to hypocalcemia symptom.
Combining with postoperative serum calcium,postoperative PTH values and decreases
(?PTH), we used the receiver operating characteristic curve (ROC) analysis to
assessment of predictive value. Result:The mean value of postoperative serum
calcium, postoperative PTH and ?PTH between subgroups have a significant
difference (P<0.01). ROC area under the curve (AUC) of ?PTH is 0.9706, with
cutoff value 0.7366. AUC of postoperative PTH is 0.9580, with cutoff value 14.82
ng/L. Conclusion:The postoperative PTH and ?PTH is a reliable indicator to
judging postoperative hypocalcemia after total thyroidectomy.
PMID- 29798309
TI - [The clinical features and prognosis of nasal Schwannoma].
AB - Objective:To investigate the experience of nasal Schwannoma in order to provide
guidance for the diagnosis and treatment of the disease.
Method:Clinicopathological data and follow-up results of nine patients, which
histopathology proved nasal Schwannoma were collected and analyzed. Result:The
patients were referred to our clinic due to the space-occupying symptoms or signs
of tumour compression. There were no specific findings in imaging examination.
The patients were treated by operation except a multiple Schwannoma patient.The
recurrence after operation were rare. The main features of pathological diagnosis
was a strong expression of S-100 protein. Conclusion:Nasal Schwannoma usually has
no specific clinical manifestations. Imaging examination is valuable to the
determination of surgical range and the diagnosis of benign and malignant
diseases. The diagnosis depends on histologic examination. Surgery is the only
effective treatment. The best surgical procedure selection hinges on the lesion
location and the prognosis is excellent.
PMID- 29798310
TI - [Applied anatomy of the cerebelloponotine angle through retrosigmoid approach].
AB - Objective:To privde anatomical basis for the surgical operation of endoscope
assisted retrosigmoid approach. Method:In 20 adult head cadavers fixed with
formalin (40 sides), the surgery via retrosigmoid approach was simulated. The
anatomic features of the cerebellopontine angle were examined and measured.
Result:The distance from the midpoint of posterior border of sigmoid sinus to
acoustic nerve near internal acoustic pore, trigeminal nerve near Meckel's cave,
glossopharyngeal nerve near jugular foramen and the posterior margin of internal
acoustic meatus were (32.8+/-1.7)mm, (46.3+/-1.8)mm, (29.4+/-3.0)mm, (31.2+/
2.0)mm. The tracks of degree of angle of median sagittal plane were (32.6+/-7.7)
degrees , (31.4+/-4.1) degrees , (40.2+/-6.9) degrees , (32.7+/-4.9) degrees .
Conclusion:The measurement provides some useful references for defining the
position in operating.
PMID- 29798311
TI - [The comparison of clinical features and laboratory indexes between flat
descending hearing loss and total hearing loss].
AB - Objective:To discuss similarities and differences in clinical features and
laboratory indexes between patients with flat descending type sudden hearing loss
and those with total hearing loss. Method:The clinical data of 123 patients with
full frequencies hearing loss were retrospectively analyzed. The differences in
clinical features and laboratory tests(platelet, coagulation series, D-dimer,
blood lipids, hemorheology) between patients with flat descending hearing loss
and those with total hearing loss were analyzed by gender, age and ear side,
treatment time, concomitant symptom (tinnitus, dizziness), original underlying
diseases (hypertension, diabetes), etc. Result:In the clinical features,among 51
flat descending cases, the ratio of male and female was 2.401:1; among 72 total
hearing loss cases, the ratio of men and women ratio was 1.058:1 (P<0.05). Among
two groups of patients,the majority received treatment within 7 days, among whom
66.7% were flat descending population, and 83.3% were total hearing loss
population (P<0.05). Flat descending population with dizziness only accounted for
35.3% while this figure was up to 70.8% when it came to total hearing loss
patients (P<0.01). Two groups showed no differences in age, ear side, tinnitus,
the original underlying diseases (hypertension, diabetes). In the laboratory
tests, the total hearing loss population overtopped the plat descending
population in PLT and PCT (P<0.05), while falling below the plat descending
population in APTT (P<0.01). Two groups showed no differences in other indicators
of platelet and coagulation series and laboratory data of D-dimer, blood lipids,
hemorheology. Conclusion:Compared with flat descending sudden hearing loss,
sudden total hearing loss more frequently happened to females who also were
accompanied by dizziness. The treatment rate within 7 days was high and the
patients with hypercoagulable state accounted for a higher proportion.
PMID- 29798312
TI - [Efficacy and safety of endonasal phototherapy in the treatment of adult allergic
rhinitis: A Meta-analysis].
AB - Objective:To perform a systematic review of the efficacy and safety of endonasal
phototherapy in the treatment of AR, and to provide evidence of evidence-based
medicine for clinical application. Method:Databases were from PubMed, Embase,
Cochrane Library, Chinese Academic Journal Full-text Database (CNKI) and Wanfang
database. A randomized controlled trial (RCT) or clinical study literature on
intranasal phototherapy for adult AR is available, and the deadline is March
2017. Based on the literature inclusion and exclusion criteria, the related
literatures were selected and the quality was evaluated by using the Cochrane
inclusion bias assessment table. Meta-analysis was performed with Revman 5.3
software. For continuous outcomes, the weighted mean difference (WMD) and its 95%
confidence intervals (CI) were calculated, forest maps and funnel plots were
drew. For uncontinuous outcomes, the odds ratio (OR) and its 95%CI were
calculated, and forest maps and funnel plots were drew. The efficacy included
total nasal symptom scores (TNSS), rhinoconjunctivitis quality of life
questionnaire (RQLQ) and palate itching scores, and the safety was assessed by
incidence rate of severe drying and mild drying of nasal mucosa. Result:A total
of 12 articles were selected, including 5 RCT and 7 clinical studies, and there
were 615 adult AR patients. The Meta-analysis shows that endonasal phototherapy
significantly reduced the TNSS, RQLQ and palate itching scores, the incidence
rate of mild drying of nasal mucosa was higher in comparison with pretreated
values, and the difference was statistically significant (P<0.05). The rate of
severe drying of nasal mucosa was higher, but the difference was not
statistically significant (P>0.05). It also shows that endonasal phototherapy
significantly reduced the TNSS, RQLQ and palate itching scores, and the rate of
mild drying of nasal mucosa was higher in comparison with placebo and
antihistamines groups, and the difference was statistically significant. The rate
of severe drying of nasal mucosa was higher, but the difference was not
statistically significant. Conclusion:Endonasal phototherapy can improve the
symptoms of TNSS, RQLQ and palate itching score in patients, and the safety is
also confirmed.Patients who are not satisfied with symptom relief may choose to
use it.
PMID- 29798313
TI - [A study on P16 and HPV16 in sinonasal squamous cell carcinoma].
AB - Objective:The aim of this study is to evaluate the relationship between the
expression of P16 and infection of HPV16 in sinonasal squamous cell carcinoma and
its clinical and pathological features, futher prognosis was also investigated.
Method:Fifty-five cases of sinonasal squamous cell carcinoma were
collected,twenty cases of nasal in-verted papilloma and twenty cases of nasal
polyp were chose as control. The expression of P16 and infection of HPV16 were
detected by immunohistochemistry in both experimental group and control group.
Result:The positive rate of P16 and HPV16 in sinonasal squamous cell carcinoma
and nasal in-verted papilloma were significantly higher than it in nasal polyp
(P<0.05 or P<0.01). The expression of P16 was correlated with tumor
differentiation and clinical TNM stages (P<0.05), but was not associated with
age, sex, smoking and the primary tumor site (P>0.05). HPV16 infection has no
statistical relationship with analysis factors (P>0.05). There was a positive
correlation between P16 expression an HPV16 infection in the cases of sinonasal
squamous cell carcinoma (r=0.483, P<0.01). Survival curves showed that the
expression of P16 and infection of HPV16 were positively associated with
prognosis of sinonasal squamous cell carcinoma, Log Rank test showed a
significant difference (P<0.05). Conclusion:The abnormal expression of P16 and
HPV16 infection play an important role in the development of sinonasal squamous
cell carcinoma. The two may had a synergistic effect. P16/HPV16 positive cases
had better prognosis.
PMID- 29798314
TI - [The correlation between normal or abnormal vestibule function and prognosis of
the low frequency sudden deafness].
AB - Objective:To study the correlation between prognosis and status of the vestibule
functions with the patients of the low frequency sudden deafness (SD).
Method:Eighty-eight patients with low frequency SD were enrolled in this study,
the cervical vestibular evoked myogenic potential (cVEMP), the ocular VEMP
(oVEMP) and caloric test were evaluated. Based upon the results of the test,
patients were divided into 4 groups. A group has no abnormal test results, B
group has one abnormal test results, C group has two abnormal test results,and D
group has three abnormal test results. Calculating and comparing the effective
rate and uneffective rate were conducted in every group after treatment.
Result:In A group, the effective rate is 27.27%, the uneffective rate is 2.27%.
In B group, the effective rate is 36.36%, the uneffective rate is 4.55%.In C
group, the effective rate is 9.09%, the uneffective rate is 6.82%. In D group,
the effective rate is 0%, the uneffective rate is 13.64%. The difference between
effective and uneffective rate was significant in group A, B, and D, while, there
was no significant difference in group C. Conclusion:The prognosis of low
frenquency SD patients without abnormal test results or only with one abnormal
test results is good. While, the prognosis of patients with three abnormal test
is bad. The prognosis of patients with two abnormal test is not sure. The
vestibule functions test can be used to determine the prognosis of low frequency
SD in clinical treatment.
PMID- 29798315
TI - [Effect of CCR3 gene knockout on eosinophils in mice].
AB - Objective:To investigate the effect of CCR3 gene knockout on the proliferation,
maturation and apoptosis of eosinophils (EOS) in mice. Method:Bone marrow cells
from CCR3 gene knockout mice (experimental group) and wild-type mice (control
group) were cultured in vitro and induced differentiation into mature EOS. EOS
proliferation was observed by cell counting.Expression of degranulation protein
mRNA was detected by qRT-PCR. EOS apoptosis was detected by Annexin V-FITC/PI
double staining method. Result:1The number of cells on the 0-14 day of EOS
cultured in vitro showed that the number of cells in the experimental group was
less than that in the control group at tenth, twelfth, fourteenth days, and the
proliferation was slower, the difference was statistically significant (P<0.01).
2The test results of EOS cells apoptosis showed that, under the condition of
containing 10 ng/ml IL-5 or no IL-5, the apoptosis rate of EOS cells in
experimental group was higher than that in normal control group, the difference
between both groups was statistically significant (P<0.01). 3The expression
results of ECP, EPO, MBP mRNA in EOS showed that the expression levels of ECP,
EPO, MBP mRNA in the experimental group compared with the normal control group
were reduced in varying degrees, the difference between both groups was
statistically significant (P<0.05). Conclusion:Knockout CCR3 gene can inhibit the
proliferation and maturation of EOS and promote its apoptosis, which provides a
theoretical basis for CCR3 as a target gene to treat allergic rhinitis.
PMID- 29798316
TI - [Clinical research of anxiety and depression state among patients suffered from
sudden deafness with vertigo].
AB - Objective:To offer the objective evidences for the diagnosis by analyzing anxiety
and depression among patients with sudden deafness and vertigo and their possible
causes. Method:Eighty patients with sudden deafness and vertigo of single ear as
the observation group; 80 patients with sudden deafness without vertigo and 60
healthy people as control group.Hamilton anxiety scale (HAMA), Hamilton
depression scale (HAMD) are used for quantitative analysis and compare the
results of each group score. Result:1The HAMA score of the deafness group with
vertigo was significantly higher than that of the group without vertigo and the
healthy group (P<0.01). The HAMD score of the deafness group with vertigo was
significantly higher than that of the group without vertigo and the healthy group
(P<0.01). 2There was correlation between HAMA and sex, age, duration of
dizziness, tinnitus, DHI and efficacy grading (P<0.05). There was no correlation
between HAMA and the mean hearing threshold, urban and rural distribution, ear
fullness (P> 0.05). There was a correlation between HAMD and sex, age, tinnitus,
DHI and efficacy grading (P<0.05). There was no correlation between HAMD and the
mean hearing threshold, duration of dizziness, urban and rural distribution, ear
fullness (P>0.05). Conclusion:There are anxiety and depression in patients with
deafness and vertigo. It is mainly related to sex, age, dizziness duration,
tinnitus, DHI and grade of efficacy. It is necessary to pay attention to the
mental state of patients in clinical treatment. Psychological counseling or
treatment can be used to improve clinical efficacy.
PMID- 29798317
TI - [Clinical analysis of 5 invasive fungal rhinosinusitis cases].
AB - Objective:To discuss the clinical characteristics, treatment and prognosis of
invasive fungal rhinosinusitis (IFRS). Method:Among the five patients who were
diagnosed as IFRS, 4 patients had history of diabetes mellitus, and 1 case had a
history of leukemia and chemotherapy. All the patients were treated through
operation and antifungal therapy. Result:The patients were followed 1 year to 3
years after the operation. And 3 patients were clinical cured, 1 was died because
of relapse, and 1 was died because of exacerbation. Conclusion:The diagnosis and
treatment of IFRS need to be considered through many aspects. Antifungal therapy
and medical treatment based on surgery might improve the recovery rate.
PMID- 29798318
TI - [Analysis of the recurrence related factors of sinonasal inverted papilloma].
AB - Objective:To evaluate the influence risk factors of recurrence and the methods
for reducing recurrence of sinonasal inverted papilloma (SNIP). Method:Clinical
data of 34 patients with SNIP were analyzed retrospectively. All of them were
unilateral onset. The diagnosis was confirmed pathologically and the range of
lesions was determined by endoscopic and imaging examination; clinical
classification according to Krouse classification method; All operations were
performed under nasal endoscope, of which 20 cases were operated by simple nasal
endoscope, and 14 cases were operated by endoscopic anterior lacrimal recess
approach. The patients were followed up for 12-36 months. The curative effects
were observed and the prognostic factors of the patients were analyzed.
Result:Thirty-four cases of SNIP patients with postoperative recurrence rate was
17.65% (6/34); simple nasal endoscopic surgery in 20 cases, the recurrence rate
was 25.00%(5/20); endoscopic anterior lacrimal recess approach in 14 cases, the
recurrence rate was 7.14%(1/14), the difference was statistically insignificant
(chi2=1.807, P>0.05). Four cases in stage I had no recurrence;20 cases in stage
II, the recurrence rate was 15.0%(3/20); 9 cases in stage III, the recurrence
rate was 33.3%(3/9); 1 case in stage IV had no recurrence, the recurrence rate of
the patients with different stages were statistically insignificant (chi2=2.692,
P>0.05).? Conclusion:Simple endoscopic resection of the tumor and endoscopic
anterior lacrimal recess surgery are effective methods for the treatment of SNIP.
The nasal surgery history and tumor origin are the risk factors for recurrence.
The operation completely tumorresection,detailed preoperative examination and
postoperative regular endoscopic examination are the keys to preventing
recurrence.
PMID- 29798319
TI - [Treatment of recurrent chylous leakage after neck dissection for one case with
thyroid carcinoma].
AB - The incidence of chylous leakage which is one of serious complications after neck
dissection is low. The recurrent chylous leakage is even rare. One patient with
recurrent chylous leakage after the operation of thyroid papillary carcinoma is
reported to investigate the pathogenesis and effective treatment of recurrent
chylous leakage after neck surgery.
PMID- 29798320
TI - [Removal of a giant ethmoidal sinus osteoma with orbital extension].
AB - Osteomas are slow growing bony tumors of the nasal sinuses. Ethmoid osteomas with
orbital extension are unusual. Any surgical approach has to take into account
protection of the vital structures, particularly the optic nerve and internal
rectus muscle, skull base. A 65-year-old man, without past medical history, was
referred to our hospital with a 1-month history of double vision and persisting
pain around the left eye. Three-dimensional computed tomography (CT) revealed a
large calcified dense mass measuring 32 mm * 25 mm * 25 mm in the left ethmoidal
sinus with orbital extension. An endoscopic endonasal approach combined with
inner canthus way was planned. Most of the tumor was removed from nasal cavity,
the rest part of the tumor was taken out of the inner canthus incision. The
medial wall of the orbital cavity was repaired with titanium mesh. No
cerebrospinal fluid (CSF) leakage was observed during the procedure. The patient
recovered rapidly and had no visual impairment and occular motility disorders
after operation. The double vision was alleviated and disappeared after one
months. Treatment of large ethmoid osteomas requires a combined approach to
prevent injury to the orbital content. The cooperation of both otolaryngologists
and ophthalmologists is necessary to achieve risk-free surgery.
PMID- 29798321
TI - [One case of multiple primary carcinoma: laryngeal neuroendocrine carcinoma
complicated with splenic mantle cell lymphoma].
AB - To report a rare case of multiple primary carcinoma:laryngeal neuroendocrine
carcinoma complicated with splenic mantle cell lymphoma to improve the
understanding of multiple primary carcinoma. The incidence rate of multiple
primary carcinoma was low. Laryngeal neuroendocrine carcinoma with mantle cell
lymphoma has not been reported yet. Early diagnosis and antidiastole is very
important for MPC. Pathological examination and immunohistochemistry plays an
important role in the diagnosis of multiple primary carcinoma, laryngeal
neuroendocrine carcinoma and mantle cell lymphoma.
PMID- 29798322
TI - [Bilateral vestibulopathy].
AB - The leading symptoms of bilateral vestibulopathy (BVP) are postural imbalance and
unsteadiness of gait, deficits of spatial memory and navigation. The etiology of
BVP remains unclear in more than 50% of patients: in these cases
neurodegeneration is assumed. Frequent known causes are ototoxicity mainly due to
gentamicin, bilateral Meniere's disease, autoimmune diseases, meningitis and
bilateral vestibular schwannoma, as well as an association with cerebellar
degeneration. The diagnosis of BVP is based on a bilaterally reduced or absent
function of the vestibulo-ocular reflex (VOR). Head impulse test (HIT), video
oculography system (vHIT), crvical/ocular vestibular-evoked myogenic potentials
(c/oVEMP) and dynamic visual acuity is an additional test supporting the
diagnosis. There are four different subtypes of BVP depending on the affected
anatomic structure and frequency range of the VOR deficit: impaired canal
function in the low-and/or high-frequency VOR range only and/or otolith function
only; the latter is very rare. There are four treatment options: first, detailed
patient counseling to explain the cause, etiology, and consequences, as well as
the course of the disease; second, daily vestibular exercises and balance
training; third, if possible, treatment of the underlying cause, as in bilateral
Meniere's disease, meningitis, or autoimmune diseases; fourth, if possible,
prevention, i.e., being very restrictive with the use of ototoxic substances,
such as aminoglycosides.
PMID- 29798323
TI - [A review on group 2 innate lymphoid cells and miR-155 in allergic rhinitis].
AB - Allergic rhinitis (AR) is the Th2 inflammatory response that atopic individuals
exposure to allergens, mediators released by IgE-mediated, and a variety of
immunocompetent cells and cytokines involved .Group 2 innate lymphoid cells
(ILC2) are a novel population of native lymphocyte, can secrete large amounts of
Th2 cytokines to promote the development of allergic diseases after being
activated. MiR-155 plays a vital role in the development of the immune system,
the differentiation of immune cells, and the maintenance of immune function.Nasal
mucosas from the patients with allergic rhinitis show enrichment for ILC2 and miR
155. Highly expressed miR-155 may enhance the level of IL-4 by promoting ILC2
expression, thereby promoting Th2 inflammatory response.This review focuses on
the function and meaning of ILC2 and miR-155 in patients with Allergic rhinitis.
PMID- 29798324
TI - [Variation trend and significance of adult tonsil size and tongue position].
AB - Objective:The aim of this study is to explore the changing trend and significance
of adult tonsil size and tongue position by observing adults in different age
groups. Method:Oropharyngeal cavities of 1 060 adults who undergoing health
examination and had no history of tonsil surgery were observed. Friedman tongue
position (FTP) and tonsil size (TS) were scored according to Friedman's criteria
and results were statistic analyzed to evaluate their changing law and
significance. Result: Mean FTP scores increased with age significantly(P <0.01);
FTP score in male was lower than that in female(P <0.01). TS score significantly
decreased with age(P <0.05).The average score of TS had no statistical
significance in different gender. Although there was no statistical significance,
total score of FTP show an increasing trend with age(P >0.05);Total scores of FTP
were different between sexes(male 4.12+/-0.67,female 4.23+/-0.68,P <0.05).BMI was
not found to be statistically different when FTP scores, TS scores and total
scores changed (P >0.05); but it showed an increasing trend with age(P <0.01).
Conclusion:Width of pharyngeal cavity in normal adults is always kept in certain
stability, while it proves to be narrower in obese people. TS score and FTP
score, which appear the opposite trend with age, can be thought as a major factor
to keep a stable width of oral pharyngeal cavity.
PMID- 29798325
TI - [The phase II clinical trial of Yanhouqing buccal tablets in the treatment of
acute pharyngitis of external wind heat type].
AB - Objective:The aim of this study is to preliminary evaluate the clinical efficacy
and safety of Yanhouqing buccal tablets in the treatment of acute pharyngitis of
external wind heat type and explore the safe and effective dose. Method:Design of
multi-center clinical trial with randomized, double-blind, single-analog, placebo
controlled, dose exploration. 288 patients were divided into three groups: low
dose group, high dose group and placebo group. The effectiveness evaluation
indexes was analysis of pharyngalgia or odynophagia, throat burning, TCM syndrome
and pharyngeal signs, and the safety was evaluated by vital sign, lab examination
indexes and adverse events. Result:The results showed that there was the
statistically significant difference between high/low dose group and placebo
group(P <0.01), and no significant difference between high and low dose groups(P
>0.01) among the effectiveness evaluation indexes. There were no serious adverse
events. The adverse events and adverse reactions in the three groups has no
statistical significance(P >0.05). Conclusion:Yanhouqing buccal tablets is safe
and effective in the treatment of acute pharyngitis of external wind heat type.
We recommend 8 tablets per day and treat for 5 days in phase III clinical trials.
PMID- 29798326
TI - [Clinical and pathological analysis of 1116 cases of vocal cord polyp].
AB - Objective:The aim of this study is to investigate the clinical and pathological
features of vocal cord polyp. Method:Retrospective analyzed the clinical and
pathological data of 1116 cases in vocal cord polyp patients treated with
suspension microlaryngoscopic surgery. Result:The bradycardia was observed in 170
cases(15.2%) during the suspension of laryngoscope under general anesthesia. In
this case,the suspension was stopped or the laryngoscope was taken out of mouth
and atropine was administrated by intravenous injection. After that, heartbeat
gradually accelerated and approached to normal,then the suspension was begun
again to complete microlaryngoscopic surgery. Among the 1116 cases,1094(98%) were
cured,22(2%) were improved,and no case was invalid. No pharyngeal injury was in
865 cases(77.5%). Mild,moderate and severe palatoglossal arch injury was observed
in 139 (12.5%)cases,86(7.7%)cases,and 26 (2.3%) cases,respectively. The
incidences of epithelial hyperplasia and dysplasia in vocal cord polyp were 35.3%
and 5.0%, respectively. The prevalence rates of epithelial hyperplasia and
dysplasia in male and female patients of vocal cord polyp were 76.2%,23.8% (P
<0.01) and 78.6%,21.4% (P <0.01),respectively. And the prevalence rates of
keratinization and parakeratosis in male and female patients were 93.1%,6.9% (P
<0.01) and 93.1%,6.9% (P <0.01),respectively.The incidence of keratinization in
patients of no epithelial hyperplasia, epithelial hyperplasia and epithelial
dysplasia were 2.1%,18.2% and 30.3%,respectively,with significant difference in
pairwise comparison.Conclusion:High incidence of vocal cord polyp is at the age
from 31 to 60 years old.Although laryngomicrosurgery is an effective treatment
for vocal cord polyp, pharyngeal injury and laryngopharyngeal vagal reflex should
be noticed during the operation.In addition,vocal cord polyps in male patients
are susceptible to epithelial dysplasia and keratinization.
PMID- 29798327
TI - [Effects of HPA and NF-E2 related factor on smoking related laryngeal squamous
cell carcinoma].
AB - Objective:To further explore the prognostic effects of Heparanase(HPA) and NF-E2
related factor (NRF2) on smoking related laryngeal squamous cell carcinoma,we
detect the expression of HPA and NRF2 on smoking related laryngeal squamous cell
carcinoma patients.Method:Continuously collected 84 patients with laryngeal
squamous cell carcinoma in the Ningde Hospital from 2014 to 2015.All patients
were divided into three groups according to smoking index:group A (severe smoking
patients with laryngeal carcinoma 30 cases),group B(mild to moderate smokers 20
cases) and group C (no smoking in laryngeal carcinoma patients with 34
cases).Antioxidative indices in serum and the expression of HPA and NRF2 in three
groups of patients were detected, and to explore their relationship with
prognosis and clinical staging of patients.Result:The HPA and NRF2 were highly
expressed in the cancer tissues of patients with stage III-IV,while the
expression of HPA and NRF2 in patients with stage I-II was low. The expression
level of NRF2 in group A was significantly higher than that of group Band group
C(P <0.05) as well as the NRF2 expression levels in higher stage patients with
laryngeal cancer were higher than those of low stages.The expression of HPA and
NRF2 is related to the pathological stage in laryngeal squamous cell carcinoma
patients(P <0.05).Conclusion:Compared with non smoking patients, severe smoking
patients with laryngeal cancer will face more severe oxidative stress. The
expression of HPA and NRF2 in laryngeal squamous cell carcinoma patients is
related to the pathological stage.
PMID- 29798328
TI - [The analysis of the misdiagnosis big data of the otolaryngology during 2004 to
2013 in China].
AB - Objective:The aim of this study is to explore the misdiagnosis status of the
otolaryngology in China as well as to provide evidence to reduce misdiagnosis and
improve the diagnostic level.Method:The retrieval and management system of the
misdiagnosed diseases database developed by Chen Xiaohong was used for searching
the literature of the misdiagnosis in otolaryngology.The 10 year' smisdiagnosis
literature data of the otolaryngology(from 2004 to 2013) were analyzed including
the literature sources, sample size, misdiagnosis rate,misdiagnosis consequences
and misdiagnosis reasons.Result:A total of 369 articles were found,including 4211
cases.The average misdiagnosis rate was 25.43% in 51 diagnosed diseases.The top
misdiagnosed diseases were nasopharyngeal tuberculosis(84.76%),tuberculous otitis
media (75%) and congenital laryngeal cyst(75%).The lowest misdiagnosed disease
was nasosinusitis(5.92%).The top three misdiagnosed diseases were tuberculosis of
otolaryngology(1216 cases),nasosinusitis(710 cases) and BPPV(697 cases).After
statistical analysis,we found that 97.22% of the misdiagnosed patients were grade
III consequences (that is the misdiagnosis and mistreatment does not cause
adverse consequences),but there were still 10 cases caused
gradeIconsequences(death or sequela).The main causes of the misdiagnosis were
lack of diagnosis experience, non detailed interrogation and physical examination
and non targeted examinations.Conclusion:The big data of the 10 years reflects
the misdiagnosis phenomenon in otolaryngology to some extent.Neurologist,
stomatologist and ophthalmologist should be familiar to the main points of the
differential diagnosis diseases of the otolaryngology and strive to reduce the
clinical misdiagnosis and mistreatment.
PMID- 29798329
TI - [Clinical characteristics and surgical management in patients with third and
fourth branchial anomalies].
AB - Objective:To analysize the clinical characteristics as well as the effect and
methods of the surgical treatment in patiets with the third and fourth branchial
anomalies.Method:The clinical data of 25 patients diagnosed as third and fourth
branchial cleft fistula by pathological method were analyzed retrospectively.Two
of 25 patients had undergone fistulectomy simply.Based on the embryologicc and
anatomic features of branchial anomalies,23 of 25 patients had received different
types of selective neck dissection.All of lesions were confirmed as branchial
cleft fistula by pathology.All patients were received the examinations of
Esophagus myelography,MRI and CT preoperatively.Result:The features of the third
and the fourth bianchial fistula were as following:most patients suffered from
recurrent neck abscess and had undergone incision and drainage. Esophagus
myelography and CT were important auxiliary examination for branchial
anomalies.No recurrent and complications were found in all patients by using
treatment of selective neck dissection (23/25 cases) and fistulectomy simply(2/25
cases) within 12 to 36 months following-up,postoperatively.Conclusion:Branchial
anomalies is characterized by recurrent acute abscess,acute thyroiditis or
fistula secretion inferior to neck.Complete removal of branchial lesions and
inflammatory granuloma using selective neck dissection is a safty and effective
treatment for recurrent branchial anomalies.
PMID- 29798330
TI - [Relationship between full flowering stages of anemophilous and ligneous plants
and consultation rate of allergic rhinitis].
AB - Objective:The aim of this study is to investigate the climatic changes on spring
phenology impacting on the consultation rate of patients with allergic
rhinitis.Method:We analyzed the periods of full flowering stages of 15 kinds of
flowers in Beijing from 2013 to 2014,and the number of patients with allergic
rhinitis in the outpatient clinic of Otolaryngology Department of Peking
University Third Hospital in the same time.The correlation test, analysis of
variance and rank sum test were used to investigate the inherent laws and
associations between the full flowering stages and the ratio of patients with
allergic rhinitis.Result:1Between 2013 and 2014,the early and middle April were
the full flowering stages of Platycladus orientalis,Salix matsudana, Fraxinus
chinensis,Elms and Birches.The number of patients with allergic rhinitis in
outpatient clinic elevated obviously in this period.2There were overlaps of full
flowering stages among different plants.During periods in which three or more
kinds of pollens in full flowering stages,the consultation rate of patients with
allergic rhinitis is higher than less overlaping flower period.The difference was
statistically significant(F value were -2.281,-2.964 respectively, P
<0.05).Also,there were obvious positive linear correlations between the number of
overlap of different pollens and the consultation rate of patients with allergic
rhinitis in in 2013 and 2014(r=0.957, 0.964 respectively).Conclusion:During the
overlaping flower periods,there was a higher consultation rate of patients with
allergic rhinitis.In prevention and treatment of allergic rhinitis,the
overlapping effect of full flowering stages of different pollens should be taken
into account.Upgrade the alarm level will reduce the incidence of AR and the
degree of allergic rhinitis episodes.
PMID- 29798331
TI - [The treatment of nose-eye correlated diseases with external nasal incision
combined with nasal cavity approach surgery through endoscope].
AB - Objective:To explore the indications and characteristics for the treatment of
nose-eye correlated diseases with endoscopic surgery through external nasal
incision combined with nasal cavity approach.Method:The clinical data of 13
patients whom hospitalized in our department and treated by endoscopic surgery
through external nasal incision combined with nasal cavity approach since October
2011, were retrospectively analyzed and the characteristics of different
pathological changes, clinical manifestations, surgical approach and follow-up
results were summarized.Four cases of patients underwent endoscopic surgery
through nasal cavity and lacrimal caruncle conjunctival incision, 4 cases
received bone fracture reduction and DCR with endoscope through double path of
nasal cavity and the original trauma wound, 2 cases with endoscopic bone tumor
resection through nasal cavity and external nasal incision, the rest of the 3
cases with endonasal endoscopic and peri-orbit incision surgery.Result:Two cases
of tumor patients showed no recurrence followed up for 1.5 to 2 years; the
diplopia disappeared in 2 cases of orbital medial wall fracture; surgeries of 4
cases of orbital wall fracture with lacrimal duct obstruction patients, 3 cases
succeeded, 1 case failed and change into dacryocystorhinotomy with external nasal
incision, and epiphora of all patients vanished; all of the patients of sinus
osteoma, foreign bodies and abscess were cured and symptoms disappeared; the
symptoms of ectopic meningioma patient gradually died down with eyebrow scars
left.Conclusion:The surgery with external nasal incision combined with nasal
cavity approach through endoscope to treat nose?eye correlated diseases can
effectively deal with relevant pathological changes, with the advantages of clear
operation field and less damage, but its exact indications and surgical methods
still need to be further explored.
PMID- 29798332
TI - [Study on Indole-3-carbinol inhibits nasopharyngeal carcinoma cells growth in
vitra and in vivo].
AB - Objective:The aim of this study is to investigate the inhibition of
nasopharyngeal carcinoma cells by indole-3-carbinol in vitro and in
vivo.Method:The human nasopharyngeal carcinoma cell line CNE2 was treated in
different concentrations 0,100,200,300 MUmol/L of indole-3-carbinol. Then we
detected cell proliferation after 0,24,48 and 72 h, apoptosis after 48 h and the
levels of PI3K/Akt pathway-related proteins in vitro. The BALB/c nude mice were
divided into three groups: prevention group, treatment group and control group.
In vivo, the nude mice in every group were inoculated with nasopharyngeal
carcinoma cells CNE2, and mice in prevention and treatment groups were given feed
containing 0.5% indole-3-carbinol. We investigated the tumoricidal effect of I3C
in nude mice , and eight weeks later, the PI3K/Akt pathway-related proteins
expressions in tumors from nude mice of each group were detected.Result:With the
indole-3-carbinol concentration increased, cell proliferation decreased and
apoptosis increased significantly.The levels of PI3K/Akt pathway-related proteins
were decreased.In animal experiments, the prevention and treatment group
developed smaller tumors, and the expression of PI3K/Akt pathway-related proteins
in prevention and treatment groups PI3K/Akt pathway also reduced, compared to
control group. Meanwhile, nearly no changes of heart, liver and kidney tissues in
all groups were seen in HE staining.Conclusion:Indole-3-carbinol inhibited the
growth of nasopharyngeal carcinoma cells and induced apoptosis effectively in
vivo and in vitro. The mechanism might be that indole-3-carbinol could suppress
PI3K/Akt pathway.
PMID- 29798333
TI - [The expression of MiR-148a in nasopharyngeal carcinoma and its effect on tumor
cell biology functions in nasopharyngeal carcinoma].
AB - Objective:Nasopharyngeal carcinoma(NPC) is a common malignant tumor, in recent
years,most of studies have found that micro RNA played an important role in the
development of NPC.This study was to explore the expression level of MiR-148a and
its effect on the biological functions of NPC cells.Method:The expression of MiR
148a in NPC cell line CNE2 was detected by Real-time PCR method.MTT,clone
formation assay and flow cytometry were applied to detect cell proliferation and
apoptosis. We predicted that EGFR was the downstream target genes of MiR-148a
through the analysis of bioinformatics software. Then the expression change of
EGFR was measured by Real-time PCR and Western blot.Result:Comparing with normal
nasopharyngeal epithelial tissue cells,MiR-148a expression level was
significantly reduced in NPC cell line CNE2.MTT,clone formation assay and flow
cytometry test show that overexpression of MiR-148a can inhibit cell
proliferation and promote cell apoptosis.Real-time PCR and Western blot test show
that MiR 148a can reduce the expression of EGFR.Conclusion:MiR-148a can affect
the proliferation and apoptosis of NPC cell,and it is likely to be involved in
the development and progression of NPC.
PMID- 29798334
TI - [The influence on the peeling off time of the tunica albuginea after 4-8C cool
water was applied to children's low temperature plasma-assisted tonsillectomy].
AB - Objective:The aim of this study is to discuss the influence on the peeling off
time of the tunica albuginea after 4-8C cool water was applied to children 's low
temperature plasma?assisted tonsillectomy.Method:One hundred and seventeen benign
hypertrophy of tonsil patients were recruited,the 56 cases of them were served as
experimental group,who were treated with plasma tonsillectomy using 4-8C normal
saline as medium,while the 61 cases were served as control group,who were treated
with plasma tonsillectomy using 22-25C normal saline as medium.Then,we analyzed
the peeling off time of the tunica albuginea between experimental and control
group.Result:The difference of formation time between experimental group and
control group was not statistically significant(P >0.05).The average peeling off
time of the tunica albuginea was (11.32+/-2.51) days in experimental group,while
time was(15.03+/-4.12) days in control group.There was a statistical difference
between them.Conclusion:The use of 4-8C cold water in children's low temperature
plasma-assisted tonsillectomy can reduce the peeling off time of the tunica
albuginea,and it indicate that the ice water medium can reduce the heat injury
caused by the plasma operation.
PMID- 29798335
TI - [The application of full thicknes skin graft inpartial laryngectomy for glottic
carcinoma].
AB - Objective:The aim of this study is to explore the experience and advantages of
the application of full thicknes skin graft in glottic carcinoma.partial
laryngectomy for glottic carcinoma.Method:One hundred and forty-three patients
with glottic cancer were treated with partial laryngectomy.Among those,78 cases
were repaired with full-thickness skin graft and 65 cases were repaired with
sternohyoid muscular fasciae.Compared the time of extubation and the formation of
granulation in laryngeal cavity after operation between the two groups.Result:In
the group of full-thickness skin graft,the mean time of decannulation was 6.8
days,5 cases with growth of granulation after operation.In other group,the mean
time of decannulation was 10.7 days,16 cases with growth of granulation after
operation.The mean time of decannulation(t=-4.739,P<0.01) and the growth of
granulation(chi2=9.379,P<0.01) are significantly different between the two
groups.No laryngostenosis was found in all patients.Conclusion:The application of
full-thicknes skin graft in partial laryngectomy for glottic carcinoma.can
shortthe time of extubation and reduce the formation of granulation.
PMID- 29798336
TI - [Clinical assessment of the radiotherapy and chemotherapy combined with
cetuximabin in the treatment of nasopharyngeal carcinoma].
AB - Objective:The aim of this study is toinvestigate the clinical effect of
radiotherapy and chemotherapy combined with cetuximab in the treatment of
nasopharyngeal carcinoma.Method:Seventy-eight cases of nasopharyngeal carcinoma
patients were divided into control group(n=42) and treatment group(n=36).The
control group was treated with radiotherapy and diamminedichloroplatinum;the
treatment group was treated with radiotherapy and diamminedichloroplatinum
combined with cetuximab.Short-term efficacy,survival time,and adverse reactions
were documented during following up in both groups.Result:The efficiency in the
treatment group (94.44%) was significantly higher than that in the control
group(78.57%),P <0.05.There were no significant difference of adverse reaction
including radiodermatitis,oral mucosa reaction,bone marrow inhibition,radiation
induced brain injury between the two groups,P >0.05.The medium survival time of
the treatment group(55 months) was significantly longer than that of the control
group(48 months),P <0.05.4-year survival rate in treatment group(88.89%) was
significantly higher than that in the control group(69.05%),P <0.05.4-year
diseases free survival(DFS) rate in treatment group(75%) was significantly higher
than that in the control group (59.52%),P <0.05.Conclusion:Radiotherapy and
chemotherapy combined with cetuximab can significantly improve the treatment
efficiency in patients with nasopharyngeal carcinoma,while do not lead to higher
rate of adverse reactions.This treatment is worth being promoted in clinical
application.
PMID- 29798337
TI - [The influence on the function of parathyroid after different thyroid cancer
radical operation].
AB - Objective:The aim of this study is to detect the changes of parathyroid hormone
and serum calcium levels before and after operation,and evaluate the effect and
treatment of parathyroid function after total thyroidectomy.Method:One hundred
and seventy-two cases of initial total thyroidectomy were analysed
retrospectively,who were divided into simple total thyroidectomy group(A),total
thyroidectomy with unilateral central lymph node dissection group(B),and total
thyroidectomy with lateral central lymph node dissection group(C).We analyse the
preoperative and postoperative serum calcium and parathyroid hormone.Result:In
three groups,the serum concentrations of the parathyroid hormone and calcium
decreased after operation.The difference was statistically significant between in
B group and C group(P<0.05);The hypoparathyroidism had statistical significance
between A group and C group(P<0.05).Conclusion:The possibility of
hypoparathyroidism and hypocalcemia was increasing with the expansion of the
range of operation.Therefore,retain vital recognition of parathyroid gland was
very important in the resection of thyroid cancer especially central lymph node
dissection.We should reduce the incidence of permanent hypoparathyroidism as far
as possible.
PMID- 29798338
TI - [Effects of nasal steroids on pulmonary function in patients with allergic
rhinitis].
AB - Objective:The aim of this study was to observe the changes of pulmonary function
in patients with allergic rhinitis and the effect of nasal glucocorticoid on
pulmonary function.Method:Ninety patients with Moderate and severe AR and 50
healthy control subjects were recruited.All participates accepted a pulmonary
function test and make a statistical analysis.90 patients of AR were divided into
two groups:A group(50) and B group(40).A group treated with nasal budesonide and
loratadine,while B group treated with nasal normal saline and loratadine.After 3
months,all patients reviewed the lung function.Result:Comparing with
control,FEV1.0/FVC,MMEF,FEF50% and FEF75% reduced significantly in AR
group(P<0.01),after 3 months,the treatment of A group compared
before:FEV1.0/FVC(P<0.05),MMEF,FEF50% and FEF75%(P<0.01) had statistical
significance.Compared B group after the treatment:FEF75% (P<0.05) was
significant.After the treatment of group A compared with normal group:there was
no significance.Conclusion:The reduced pulmonary function of allergic rhinitis
paitents is mainly due to the small airway dysfunction.Budesonide is superior to
loratadine to improve the pulmonary function.
PMID- 29798339
TI - [The effect and treatment of reflux throat on laryngeal function and wound
healing after CO2 laser laryngeal microsurgery].
PMID- 29798340
TI - [Clinical application of contrast-enhanced ultrasound in the differential
diagnosis of properties of patients with Hashimoto's thyroiditis and thyroid
nodules].
PMID- 29798341
TI - [Safety analysis of head and neck surgery for short-necked obese patients
accompanied by sleep-disordered breathing].
PMID- 29798342
TI - [Report and analysis of 2 cases of nerve paralysis with aspiration pneumonia
after infection of herpes zoster virus].
AB - Two cases of patients were hospitalized for sore throat with Dysphagia.Check:Wall
of the pharynx,tongue and epiglottis scattered the ulcer.The patients were loss
of pharynx reflex.Oropharynx and piriform fossa has a lot of saliva
retention.Posterior pharyngeal wall was drooping like waterfull.CT scan showed
may be the aspiration pneumonia in right lower lung.The admission diagnosiswere
pharyngeal herpes zoster virus infection,pharyngeal side muscle paralysis,and
inhalation pneumonia.The patients' clinical data were retrospectively
analyzed,and the report is as follows.
PMID- 29798343
TI - [The diagnosis and treatment of thyroid microcarcinoma].
AB - Thyroid microcarcinoma(TMC)refers to the diameter less than 1 cm in thyroid
carcinoma.With the development of high frequency ultrasound and pathological
diagnosis technology,the incidence of TMC increased.Preoperation examination
methods include routine ultrasound,ultrasound elastography,CT and fine needle
aspiration biopsy.But its treatment methods are controversal.In this paprer,we
review the present status in the diagnosis and treatment of thyroid
microcarcinoma.
PMID- 29798347
TI - [The role of ATA (2015) guidelines, superb microvascular imaging, and spectral
Doppler in differentiation between malignant and benign thyroid nodules].
AB - Objective:To evaluate the diagnostic value of ultrasound patterns of 2015
American Thyroid Association (ATA) guidelines, color Doppler flow imaging (CDFI),
superb microvascular imaging (SMI), and spectral Doppler in the differentiation
of benign and malignant thyroid nodules.Method:The study included 254 thyroid
nodules confirmed by fine needle aspiration cytology (FNAC) or histopathologic
examination. All nodules were detected by ultrasonography, SMI, CDFI and spectral
Doppler respectively. Blood flow detection technologies (CDFI and SMI) were used
to classify flow distribution characteristics of thyroid nodules according to
Kim's grading criteria. The optimal threshold drawing from ROC curve is
calculated to obtain the cut-off value of spectral Doppler.Result:1For benign
solid nodules with peripheral flow distribution and malignant solid nodules with
central blood flow distribution, the display rates of SMI are higher than those
of the CDFI, the differences were statistically significant (P< 0.05). 2There was
a significance difference in detecting benign and malignant nodules using
spectral Doppler (P< 0.05). 3The specificity and accuracy of combinative modality
2015 ATA+SMI was significantly higher than that of ATA, SMI, and spectral
Doppler. There was no significant difference between ATA+SMI and ATA+SMI+spectral
Doppler for the sensitivity, specificity, and accuracy.Conclusion:SMI had a
better detection rate of blood vessels than CDFI and contribute a certain value
in differential diagnosis of benign and malignant thyroid nodules. The
combination of 2015 ATA and SMI can improve diagnostic value in the
differentiation between benign and malignant thyroid nodules.
PMID- 29798344
TI - [A systematic evaluation of the assessment methods of spasmoidc dysphonia].
AB - To review the available subjective and objective evaluation methods used in the
assessment of the spasmodic dysphonia.A systematic literature search was
conducted in PubMed,web of science,EBSCO and Ovid database,date limited from 2000
to 2015,language limited English,using the following key words: "spasmodic
dysphonia" OR "spastic dysphonia" AND "evaluat*" OR " diagnosis" OR "treatment"
OR "assess*".Screening the titles and abstracts,and reading the full text,studies
met the inclusion criteria were enrolled.The references of eligible publications
were manually searched to identify additional studies.A total of 967 literatures
were retrieved.Finally,twenty-three papers were enrolled in the study according
to the inclusion criteria.Evaluation methods were mainly divided into subjective
and objective,including perception,subjective self-assessment;and
aerodynamic,acoustic analysis,respectively.The assessment of spasmodic dysphonia
should be multidimensional.
PMID- 29798345
TI - [Risk factors of central neck lymph node metastasis in cN0 papillary thyroid
carcinoma].
AB - Objective:To investigate the risk factors of central neck lymph node metastasis
(CNLNM) in cN0 papillary thyroid carcinoma. Method:Retrospective analysis of 114
patients with papillary thyroid carcinoma in stage of cN0 who underwent primary
treatment. Collected the clinical and pathological data, used the univariate and
multivariate analysis to investigate the risk factors of central neck lymph node
metastasis and high volume central neck lymph node metastasis.Result:In the
univariate analysis, age (48.2% in<45 years vs 27.6% in>=45 years), multifocal
(51% with vs 27.7% without), nodular goiter (58.8% with vs 28.7% without), showed
significant difference in prevalence of CNLNM. Age (14.3% in<45 years vs 1.7%
in>=45 years), tumor size (19.2% >1 cm vs 4.5%<=1 cm) showed significant
difference in prevalence of high volume CNLNM. Inmultivariate analysis, age (RR=
0.304), multifocal (RR= 3.637) and nodular goiter (RR= 4.132) showed the
independent risk factor of CNLNM.Conclusion:For cN0 patients with thyroid
papillary carcinoma, if the age is younger than 45 years old, the tumor is
multifocal, or associatedwith nodular goiter, the surgery should be more
aggressive in the prophylactic central neck dissection.
PMID- 29798350
TI - [Radiographic analysis for infarcted angiomatous polyps in maxillary sinus].
AB - Objective:To explore the CT, MRI manifestations of the polyp of maxillary sinus
cavernous hemangioma. To summarize the imaging characteristics and it may assist
doctors to diagnose infarcted angiomatous polyps in maxillary sinus with further
developing.Method:A retrospective analysis of the CT, MRI manifestations and
clinical analysis about 27 cases confirmed by surgery pathology who are maxillary
sinus cavernous hemangioma.Result:Twenty-seven cases were unilateral diseases,
and clinical manifestations were nasal congestion. Twenty-seven cases were
proceeded CT scan, of which 9 cases of double-phase dynamic enhanced CT
examination, 11 cases with MRI examination, of which 6 cases with MRI enhanced
examination. CT scan showed unilateral maxillary sinus full of mixed density and
involving the nasal cavity, maxillary sinus abnormal expansion of deformation,
with local bone resorption damage in 27 cases, but without violation the adjacent
tissue. CT enhanced scan of lesions shows that the spotted blood vessels were
significantly enhanced and progressive enhancement. MRI can clearly
identifylesions and obstructive sinusitis and morbid shape was irregular with
mixed signal. T2WI showed high and low mixed ring signals, and the edge of the
irregular low signal ring, with enhanced nodules and patchy unevenly
enhanced.Conclusion:Most polypof maxillary sinus cavernous hemangioma clinical
manifestations are unilateral nasal obstruction. CT shows the maxillary sinus
irregular expands and compression bone absorption with a prompt action to this
disease.Vascular-like enhancement and progressive enhancement are characteristic
enhanced CT manifestations of this disease. MRI can better show the lesion range.
T2WI with high and low ring mixed signals, low ring signals, and mostly enhanced
nodules, uneven enhancement of plaqueare characteristic imaging manifestations.
PMID- 29798351
TI - [Analysis of related factors of recurrent otitis media with effusion in
children].
AB - Objective:To investigate the influencing factors of recurrent episodes of otitis
media with effusion in children.Method:A retrospective summary of the clinical
data of 210 cases of children with otitis media with effusion, 75 cases of
recurrence after treatment, 135 cases were recovered, the recurrence of the
related factors and after symptomatic treatment effect is
analyzed.Result:Logistic regression analysis results found that adenoid
hypertrophy (III degrees , IV degrees ), tonsil hypertrophy (IV degrees ) and
sinusitis (including choanal polyp), a positive allergens, upper respiratory
tract infection, the stomach esophagus regurgitation, cleft palate, younger age
has significant effect on recurrence of otitis media with effusion, have
significant difference (P< 0.05). And the influence of duration, gender, passive
smoking history and previous medical history of otitis media with effusion has no
obvious statistical significance (P> 0.05). Through the comparison among
different age groups, adenoidectomy III degrees , IV degrees hypertrophy tract
infections in > 3-6 years old group has significant effect (P< 0.05), recurrent
respiratory tract infections in less than 3 years old group and the group of
children aged > 3-6 years OME recurrence has significant effect (P< 0.05). By
tympanocentesis or tympanostomy tube insertion and according to different
conditions to take symptomatic treatment, 75 cases (123 ears) were cured 96 ears
(78.05%), 19 ears were improved (15.45%), the total effective rate was 93.50%,
ineffective in 8 ears (6.50%).Conclusion:Adenoid hypertrophy (III degrees , IV
degrees ), tonsil hypertrophy (IV degrees ), sinusitis, nasal polyps, allergic
diseases and upper respiratory tract infection gastroesophageal reflux, cleft
palate and younger age may be adverse factors related to recurrent otitis media
with effusion in children, the clinical doctors should pay attention to these
symptoms, according to different causes, adopt individualized treatment plan,
make children get the best treatment as soon as possible.
PMID- 29798353
TI - [High mobility group box1 contributes to hypoxia-induced barrier dysfunction of
nasal epithelial cells].
AB - Objective:To investigated the promotion of high mobility group box1 (HMGB1) under
hypoxia, and determined the regulatory role of HMGB1 on the barrier function of
nasal epithelial cells.Method:Primary nasal epithelial cells (NECs) collected
from patients with septal deviation were cultured at air-liquid interface. The
release of HMGB1 under hypoxia was detected by ELISA. The effect of HMGB1 on
fluorescein isothiocyanatedextran 4 kDa (FD4) permeability of NECs was measured.
Western blot analysis was utilized to examine the level of major junction
proteins, namely E-cadherin, ZO-1, Occludin and Claudin-1.Result:The release of
HMGB1 was significantly upregulated in NECs under hypoxia. Recombinant human
HMGB1 increased FD4 permeability in a dose and time-dependent manner, indicating
the impaired epithelial barrier function. HMGB1-mediated barrier
hyperpermeability was accompanied by the selective downregulation of ZO-1,
occludin and Claudin-1, but not E-cadherin.Conclusion:HMGB1 mediates hypoxia
induce barrier dysfunction of nasal epithelium, which may be a potential target
for the treatment of chronic rhinosinusitis.
PMID- 29798349
TI - [The measurement of pneumatization of maxillary sinus extended into alveolar
process basing on the CT and its clinical significance].
AB - Objective:To investigate the significance of pneumatization of maxillary sinus
extended into alveolar process.Method:One hundred outpatients encountered were
included in this study. The pneumatizations of maxillary sinus extended into
alveolar process were observed and classified. Depth of surgical procedure of
maxillary sinus in different type was measured.Result:The actual depth of
surgical procedure of maxillary sinus of in different types of the alveolar
process pneumatization varied greatly. The actual depth of surgical procedure of
maxillary sinus in type III pneumatization of alveolar process were (32.27+/
3.48)mm, which were significantly deeper than type II (28.35+/-3.45)mm and type
I(20.73+/-3.09)mm (P< 0.05 respectively). Moreover, the actual depth of surgical
procedure of maxillary sinus in type II was also deeper than type I(P<
0.05).Conclusion:The pneumatization of maxillary sinus extended into alveolar
process can significantly affect the actual depth of the maxillary sinus in the
operation of endoscopic middle meatus antrostomy, which may serve as a reference
for the choice of endoscopic maxillary sinus approaches.
PMID- 29798348
TI - [Clinical analysis of tympanoplasty combined with ventilation tube insertion in
otitis media].
AB - Objective:The aim of this study is to explore the feasibility and clinical effect
of tympanoplasty combined with ventilation tube insertion in otitis media.Method:
Retrospective analysis of 35 patients with eustachian tube obstruction or
tympanic adhesions for tympanoplasty combined with ventil ation tube insertion in
otitis media in EENT Hospital of Fudan University from April 2008 to December
2010. Preoperative routine hearing tests and temporal bone CT examination.
Completely remove of lesions at the same time and as much as possible to protect
the integrity of the tympanic wall mucosa during operation. Reconstruction of the
ossicular chain and simultaneous tympanic membrane hole and placed silicone T
type ventilation tube. All patients were tested for pure tone auditory threshold
at 3 months after operation. The patients were followed up for 12 to 44
months.Result:The average hearing level of PTA (0.5,1.0,2.0 kHz) was (31.71+/
12.25) dBHL. 28 cases (80.0%) had improved to 40 dBHL after operation, 5 cases
(14.3%) had hearing enhancement of 10-20 dBHL, and 2 patients (5.7%) had no
change in hearing. The number of cases with air bone gap (ABG)(0.5, 1.0, 2.0 kHz)
reduced to less than 20 dBHL was 25, 32 and 34 after operation. Ventilation tube
self-prolapse in15 cases, 12 cases of artificial removal and 8 cases of
inpatient. Twenty seven cases were left perforation of tympanic membrane, of
which 22 cases (81.5%) were spontaneously healed and 5 cases(18.5%) were left
with small perforations less than 2 mm in diameter. All of them had dry ear after
operation, none of them had recurrence after operation, 33 cases (94.3%) had dry
ear within 12 weeks, and only 2 cases(5.7%) had dry ear for more than 6
months.Conclusion: Tympanoplasty combined with ventilation tube insertion for the
middle ear mucosal dysfunction and eustachian tube mucosal dysfunction of otitis
media treatment effect is obvious. It does not extend the dry ear time and cause
postoperative recurrence. This operation is feasible, reliable and effective.
PMID- 29798352
TI - [Clinical application of the dynamic adenoidal hypertrophy ratio in the
evaluation of illness severity in children with OSAHS].
AB - Objective:To evaluate application value of the dynamic adenoidal/hypertrophy
(A/N) ratio in children with OSAHS by analyzing the correlation between the A/N
ratio at the different respiratory phases and the index of
polysomnography.Method:Fifty-one childrens, with simple hypertrophic adenoids,
were diagnosed as OSAHS. Magnetic resonance imaging (MRI) with respiratory gating
technology were used to scan the upper airway of children during sleep at the
early, middle and end phases of respiratory cycle, and measure adenoidal
hypertrophy ratio in different respiratory phases. The correlation analysis was
performed between the A/N ratio in different respiratory phases and the index of
polysomnography (AHI and LaSO2). Finally, via ROC curve and Kappa analysis, the
critical value and diagnosis accordance rate of A/N ratio in illness severity
evaluation of children with OSAHS were confirmed.Result:At the 6 respiratory
phases, the A/N ratios showed significantly positive correlations with AHI (All
P< 0.01). There was a highest coefficient between the end-expiratory A/N ratio
and AHI (r= 0.559 4). In addition, the optimal cut-off point of A/N ratio between
slight-moderate and severe OSAHS was 0.834. The diagnosis accuracies of the end
expiratory A/N ratio in severe and slight moderate OSAHS were 81% and 84%,
respectively.Conclusion:MRI with respiratory gating technology obtaining dynamic
A/N ratio might be an important method of imaging diagnosis of children OSAHS,
and the end expiratory A/N ratio is expected to become a classification index of
slight moderate and severe children OSAHS.
PMID- 29798346
TI - [Clinical symptoms and immunology inspection characteristics of nasal cavity
local allergy].
AB - Objective:To investigate the clinical symptoms and immunology inspection
characteristics of nasal cavity local allergy. Method:Selected 60 patients as
observation group, who had only nasal local allergy symptoms, allergen skin prick
test and serum allergen specific IgE (SIgE) test were negative, 40 allergic
rhinitis (AR) patients and 40 healthy volunteers as control groups. To detect
Symptom scores and VAS scores, and eosinophilia counts in venous blood, allergen
skin prick test (SPT), serum allergen SIgE test, nasal secretions allergen SIgE
test, nasal mucous membrane excitation test in both observation group and AR
group, eosinophilia counts in nasal secretion, taked the data for statistical
analysis.Result:There was no difference (P> 0.05) in the symptom scores and VAS
scores of observation group and the AR group. The eosinophilia counts in venous
blood in the AR group were higher than in the observation group (P< 0.05). The
eosinophilia counts in venous blood in the observation group were higher than in
the healthy volunteers group (P< 0.05). The positive rate of nasal secretions
dust mites and pollen allergen was 90% (54/60) in observation group. There was no
significant difference (P> 0.05) in the eosinophilia percentages in nasal
secretion in the observation group and the AR group. There was significant
difference (P< 0.05) in the eosinophilia percentages in nasal secretion in the
observation group and the healthy volunteers group. There were 6 patients in
observation group whose nasal secretions allergen SIgE test and nasal mucous
membrane excitation test were both negative, could be diagnosised as non-allergic
rhinitis (NAR). According to eosinophilia counts in venous blood and nasal
secretions, 4 patients were diagnosised as vasomotor rhinitis and 2 patients were
diagnosised as NAR with eosinophilia syndrome. There were 54 patients in
observation group whose nasal secretions allergen SIgE test and (or) nasal mucous
membrane excitation test were positive, could be diagnosised as local allergic
rhinitis. After three years, all of the observation group patients were detected
with SPT and serum allergen SIgE test. Five patients diagnosed as local allergic
rhinitis before three years were positive. Six patients diagnosed as NAR before
three years were negative.Conclusion:For patients with the typical medical
history and symptoms of AR, but allergen SPT and serum allergen SIgE test were
negative, there was local specific hypersensitivity in nasal mucosa, but the
reaction was not accompanied by systemic sensitization. Combined with nasal
secretions allergen SIgE test or allergen nasal mucosa proocation tests positive,
could be diagnosed as local allergic rhinitis.
PMID- 29798354
TI - [The clinical signifinace of CEA, Cyfra21-1 and SCC in laryngeal carcinoma's
clinicopathological parameters].
AB - Objective:To investigate the clinical signifinace of carcinoembryonic antigen
(CEA), cytokeratin fragment 21-1 (Cyfra21-1) or squamous cell carcinoma antigen
(SCC) in laryngeal carcinoma's clinicopathological parametersthe.Method:CEA,
Cyfra21-1, SCC in 53 laryngeal cancer's serum were routine preoperative detected
to analyze the relationships between the tumor makers and the patient's age,
primary tumor (T staging), lymph node metastasis (N staging), recurrence and
metastasis.Result:According to age less than or equal to 60 years old and older
than 60 years, lymph node metastasis or not lymph node metastasis, with or not
with recurrence and metastasis and the T1+T2 and T3+T4 staging, the patients were
divided into two groups. T test show that CEA was statistically significant in
recurrence and metastasis (P= 0.047) and it is more prone to recurrence and
metastasis, but CEA was not statistically significant in primary tumor (P=
0.252), lymph node metastasis (P= 0.268). Cyfra21-1 was not statistically
significant in primary range (P= 0.402), but was statistically in lymph node
metastasis (P= 0.041). While the lymph node metastasis, it is more prone to
recurrence and metastasis in laryngeal cancer patients with Cyfra21-1's
increasing (P= 0.027). SCC was not significant in primary lesions (P= 0.051),but
was statistically significant in lymph node metastasis (P= 0.022). While lymph
node metastasis, it is more prone to recurrence and metastasis in laryngeal
cancer patients with SCC's increasing (P= 0.000). Logistic multivariate
regression analization show that CEA,Cyfra21-1 and SCC were statistically
significant in the recurrence and postoperative of laryngeal patients (P< 0.05)
but not in the age of patient, primary tumor, the relationship and lymph node
metastasis (P> 0.05).Conclusion:It is more prone to occurrence lymph node
metastasis and recurrence and metastasis in the postoperative serum of laryngeal
cancer patients with Cyfra21-1, SCC. CEA and Cyfra21-1 increasing. SCC were
independent predictive factor of recurrence and metastasis after surgery. It is
more prone to recurrence and metastasis after surgery in the laryngeal cancer
patients with CEA, Cyfra21-1 and SCC preoperative increasing.
PMID- 29798359
TI - [Diagnosis and treatment of 204 cases of metallic foreign bodies aspiration in
children].
PMID- 29798356
TI - [Analysis of sonographic characteristics of thyroid nodules diagnosed as false
positive or negative results in shear wave elastography].
AB - Objective:In order to improve diagnostic accuracy, we study the characteristics
of two dimensional ultrasound and shear wave elastography in the diagnosis of
false negative or false positive thyroid nodules by shear wave
elastography.Method:One hundred and eighty-nine nodules in 189 consecutive
patients who had been determined by surgical operation and pathology.
Conventional ultrasound features and SWE elasticity imaging characteristics and
properties of the final postoperative pathology were recorded. A comparative
study between true and false results of quantitative SWE elasticity imaging, and
the corresponding conventional ultrasound nodule characteristics were
compared.Result:Postoperative pathology showed 189 nodules, 74(39.2%) were benign
and 115(60.8%) were malignant. The sensitivity, specificity of conventional
ultrasound in the diagnosis of thyroid nodules were 56.5% and 81.1% respectively,
and those of SWE were 60.9% and 85.1%. The false positive rate of shear wave
elastography in diagnosing benign nodules and the false negative rate of
malignant nodules were 14.9% and 39.1%, respectively. The false negative rate was
higher than the false positive rate. A vertical growth (P< 0.01) and smaller
diameter of the masses were significantly associated with false SWE findings (P<
0.01).Conclusion:The SWE imaging has important significance for differentiating
benign and malignant thyroid nodules, but false results are inevitable, which
requires clinicians conjunction with other test results to prevent errors
judgment when reviewing the SWE imaging.
PMID- 29798357
TI - [Endoscopic sinus surgery for the treatment of invasive fungal sinusitis].
AB - Objective:To explore the early diagnosis, the treatment and theprognosis of
invasive fungal rhinosinusitis.Method:By summarizing the clinical data of 18
patients, CT and MRI images, pathological diagnosis and follow-up results to
analysis the early diagnosis and the treatment.Result:All 5 patients with
invasive fungal sinusitis were infected with mucor, 3 of whom died of
intracranial complications. Among 13 patients with chronic invasive fungal
rhinosinusitis, 9 were aspergillus, 3 were mucor, and 1 was negative. There were
9 cases of diabetes, 1 cases of ankylosing spondylitis, 3 cases of
hypoproteinemia, 1 cases of organ transplantation, and 1 cases of leukemia. There
were 3 cases of death who unenforced the operation, 15 patients received enlarged
endoscopic surgery, postoperative antifungal therapy. Followed up 1-3 years,
relapse in 3 cases, 1 case of death.Conclusion:The diagnosis of FRS needs to be
combined with clinical manifestations, imaging features and pathological
findings. The treatment requires surgery to completely remove diseased tissue
(enlarged sinus open surgery) combined with antifungal use in sufficient
quantities.
PMID- 29798355
TI - [Endoscopic transoral resection the tumors of the higher position in
parapharyngeal space: a retrospective case-control study].
AB - Objective:To analysis the indication and security of the endoscopic resection of
transoarl and pterygoid process approach of neoplasms in elevatus parapharyngeal
space.Method:A retrospective case-control study were carried out in 22 patients
with parapharyngeal space tumors.In the observation group (11 patients), tumors
were endoscopic resected by transoral and pterygoid process approach. Paired with
11 patients (control group) who underwent surgry by traditional approach. We
compared the en bloc resection rate, the operation time, blood loss,
postoperative hospital stay, complication rate between the two groups.Result:In
the observation group, the operation time, the blood loss and the postoperative
hospital stay was (122.45+/-22.2)min, (97.26+/-13.75)ml, (4.09+/-1.22)d
respectively. While in the control group, the operation time, the blood loss and
the hospitalized days was (134.36+/-20.38)min, (213.64+/-63.99)ml, (5.10+/-0.99)d
respectively. There were tumors that were block resection in both groups. In the
observation group, there were a recurrence. Comparing the operation time, en bloc
resection rate and postoperative hospital stay, there were no significant
differences. There were differences in bloods loss between the two
groups.Conclusion:The endoscopic transoral resection the tumors of the higher
position in parapharyngeal space is safe, minimally invasive and feasible in
selected cases, with a high local control rate and a low surgical complication
rate.
PMID- 29798358
TI - [Imaging-navigated endoscopic surgery in acute pediatric sinusitis with orbital
subperiosteal abscess: two cases report].
PMID- 29798360
TI - [Laryngeal undifferentiated soft-tissue sarcoma: a case report and review of
literature].
AB - Patient presented as a 74-year-old male complaining of hoarseness. Electronic
laryngoscope showed a neoplasm whose size was about 1.0 cm*0.5 cm*0.5 cm at
anterior commissure. A surgery was conducted to excise the neoplasm en bloc. The
histopathological and immunohistochemistry examination suggested inflammatory
myofibroblastic tumor. A month later, the patient presented with dyspnea and
blood-stained sputum. CT scan of neck showed an occupation lesions under glottis.
A tracheotomy and a CO2 laser surgery was conducted due to patient's will. The
histopathological and immunohistochemistry examination suggested undifferentiated
sarcoma. We advised him keeping a tracheal cannula to receive further treatment
such as radiotherapy or chemotherapy in oncology department, but the patient was
not compliant with care instructions for personal reason. He was readmitted 2
months later for dyspnea after plugging the tube. Electronic laryngoscope showed
a large neoplasm occupied the laryngeal vestibule, covering the glottis. CT and
MRI scan showed the lesion involved spaces of supraglottic, glottic, subglottic
and soft tissue around larynx. Hence, a total laryngotomy and bilateral
functional neck dissection was conducted. The histopathological examination
agreed with the former one. Three weeks later, the skin around his tracheal
cannula swelled,ulcerated and pyorrheal. After 10 days of dressing change,
patient died of uncontrolled infection.
PMID- 29798361
TI - [Two cases of carotid sheath ectopic thyroid carcinoma].
AB - Ectopic thyroid tissue is resulting from developmental defects at early stages of
thyroid gland embryogenesis. It can be found in many sites,including the tongue,
pharynx, hyoid and throat. Ectopic thyroid tissue may also be involved in the
same pathological processes as normal, or hotopic thyroid gland. These processes
include tumors, inflammation and hyperplasia. They can cancerization, and the
treatment of ectopic thyroid carcinoma is also controversial. The appearance of
such tissue in rare locations may lead to diagnostic and therapeutic dilemmas.
PMID- 29798362
TI - [The relationship between incidence of secretory otitis media and passive smoking
in children].
AB - Children with secretory otitis media are often the result of multiple factors.
Recent epidemiological studies on its incidence have mentioned the effects of
passive smoking on its incidence. This article explores the potential links
between the two by summarizing relevant literatures.
PMID- 29798363
TI - [An association between obstructive sleep apnea hypopnea syndrome and deep vein
thrombosis and pulmonary embolism].
AB - OSAHS is a kind of the sleep-related breathing disorder, with lack of oxygen.
OSAHS can induce many kinds of cardiovascular system diseases. Deep vein
thrombosis and pulmonary embolism (deep vein thrombosis and/or pulmonary
embolism, DVT and PE) is caused by deep vein thrombosis embolism pulmonary artery
trunk or branch pulmonary disorder, potentially lethal diseases of the
cardiovascular system. In recent years, researchers have found that OSAHS may
have something to do with DVT-PE. So in this review, the relationship was
summarized between OSAHS and DVT-PE in epidemiology, pathophysiology, assessment
and treatment measures.
PMID- 29798364
TI - [Current status of treatment of laryngeal contact granuloma].
AB - Laryngeal contact granuloma, also known as vocal cord granuloma, is the non
neoplastic inflammatory granulation tissue occurring in the vocal cord around.
The cause is unclear, morbidity and cure rate is low, the recurrence rate is
high, leading to a poor clinical treatment. But some symptoms of the sound
disorder, pharyngeal foreign body sensation seriously affect the quality of life
of patients, increase the psychological burden of patients. With the increasing
awareness of the disease, the deepening of the research, there have been
different treatment methods. This paper reviews the previous literatures, and
summarizes LCG treatment for the status.
PMID- 29798365
TI - [Standardization of diagnosis and treatment in chronic otitis media].
PMID- 29798366
TI - [Classification, diagnosis and surgical management of chronic suppurative otitis
media].
PMID- 29798367
TI - [Bone conduction in tympanosclerosis patients and its changes after surgery for
tympanosclerosis].
AB - Objective:To investigate the bone conduction (BC) in tympanosclerosis patients
and its changes after surgery for tympanosclerosis, as well as its possible
causes.Method:Retrospectively analyzed 30 cases of tympanosclerosis
patients.Result:Before surgery, the average BC of the operated ear was (21.06+/
8.88) dBHL, the average BC of the healthy side was (16.78+/-8.97) dBHL, the
difference had a statistical significance (t= 3.072, P< 0.01). The BC threshold,
air conduction (AC) and ABG were significantly lower after operation.
Preoperative BC at 2 000 Hz was the highest threshold (25.17+/-10.95) dBHL, and
the postoperative decrease of BC thresholds at 500 Hz, 1 000 Hz and 2 000 Hz were
statistically significant (P< 0.05 or P< 0.01). Tympanoplasty with mastoidectomy
for BC threshold improvement was better than only tympanoplasty (P<
0.05)Conclusion:Tympanosclerosis leads to elevated BC threshold. Removal of
tympanosclerosis lesions together with the removal of the lesions in antrum and
mastoid and the reconstruction of sound conduction structures not only improve AC
hearing and shorten ABG, but also improve postoperative BC hearing significantly.
PMID- 29798368
TI - [Effect of intratympanic injection of budesonide for otitis media with effusion
in adolescents and adults].
AB - Objective:To assess the longitudinal curative effect and improvement of
subjective symptoms by using intratympanic injection of budesonide (BUD) for OME
patients over 12 years old and adults.Method:One hundred and eighty patients who
were diagnosed as OME were recruited. A single-blind, randomized, parallel
control prospective study was performed. Dexamethasone (DEX) and sodium chloride
(NS) were served as controls. The patients were randomly assigned into three
groups, which respectively received intratympanic injection of BUD (0.5 mg/ml),
DEX (5 mg/ml) or 0.9% NS solution (1 ml) once a week. Survival analysis was
applied to compare the longitudinal curative effect among the three groups.
Meanwhile, seven main subjective symptoms were scored by 10-point visual scale
(VAS) and physician's evaluations were preformed during treatment and follow
up.Result:After adjustement for course of disease, volume and characters of
effusion, the relative risk (RR) of BUD was 0.131 (95%CI: 0.053-0.354) when
compared with NS. Survival curve showed, in BUD group, about 96.6%(57/59) of
patients maintained effectiveness more than 3 months, 83.1%(49/59) were more than
1 year, and 81.4%(48/59) of the patients could sustain longer than 3 years. In
DEX group, 73.2%(41/56) of patients showed effectiveness more than 3 months,
46.4%(26/56) were more than 1 year, and 42.9%(24/56) of the patients could
sustain longer than 3 years. While in NS group, 50.8%(33/65) of the patients
showed effectiveness more than 3 months, 26.2% (17/65) were more than 1 year, and
20.0%(13/65) of the patients could sustain longer than 3 years. Survival curve
demonstrated that the rank of longitudinal therapeutic efficacy was BUD, DEX and
NS (P< 0.05). Both BUD and DEX showed improvements in subjective symptoms and
quality of life (except for mental stress) compared with NS (P< 0.05). In the
aspect of improving the symptom of stuffy ear, BUD showed advantage over both DEX
and NS. During and after treatment, no serious complications or sequelae were
observed.Conclusion:Intratympanic injection with BUD for OME patients showed
advantages in improving long-term therapeutic efficacy, it was a safe as well as
effective intervention for children over age of 12 and adults suffering from OME.
PMID- 29798369
TI - [Clinical observation on the treatment of intractable otomastoiditis with
reconstruction of external auditory canal wall].
AB - Objective:To observe the clinical effect on the treatment of intractable
tympanitis with reconstruction of external auditory canal wall.Method:Five cases
underwent radical removel of residual lesion and reconsruction of external
auditory posterior canal wall using hydroxyapatite ceramic wall with transferred
pedicle temporalis fascia covering on it were analyzed. The implement of
ossicular chain reconstruction depends on the probing for tympanic cavity
intraoperatively. Periodic review were conducted in the 1st, 3rd, 6th, 12th month
after surgery.Result:All 5 cases gained dryears within 3 months after surgery, in
which hearing of 3 cases improved and ABG were within 20 dB. 1 cases showed no
improvement compared to preoperation, and 1 case gained a worse audiology result.
Central part of the transplant of 1 case was naked, while peripheral part had
been epithelization and external auditory canal gained no accessible to mastoid
cavity, the patient were also found recurrence of cholesteatom inside the mastoid
cavity when received CT examination during outpatient follow-up one year
postoperatively, and underwent correction surgery. 1 case underwent revision
operation for a fistula between the reconstructed wall and the skin of the outer
auditory canal. No surgical complications like infection or facial paralysis
occurred, all patients were satisfied with surgery effect.Conclusion:HA with
transferred vascularized temporalis fascia pedicle for the reconstructon of the
external auditory canal wall is an effective method for the treatment of
intractable tympanitis, and worth promoting.
PMID- 29798370
TI - [Screening for effective antibiotics in chronic suppurative otitis media].
AB - Objective:To explore the method of screening effective antibiotics in active
stage of otitis media, in order to lay ground for rational clinical application
of antibiotics in this scenario.Method:The clinical data of 198 patients
diagnosed as otitis media were analyzed. Based on the results of bacterial
culture and drug sensitivity test of middle ear secretion, the clinical
availability and the ototoxicity of corresponding drugs, first and second line
drugs were screened to control infection. The therapeutic effect of drugs was
summarized.Result:In 21 out of 198 cases, there was no growth of common bacteria,
while in the remaining 177 cases, there were 36 cases(20.34%) of coagulase
negative staphylococcus, which was sensitive to linezolid, vancomycin,
cefuroxime, levofloxacin, SMZ-TMP, and rifampin; 30 cases(16.95%) of pseudomonas
aeruginosa, which was sensitive to meropenem, imipenem, ceftazidime, amikacin,
and piperacillin; and 26 cases(14.69%) of staphylococcus aureus, which was
sensitive to linezolid, vancomycin, oxacilin, gentamycin, levofloxacin,
ciprofloxacin, moxifloxacin. Drugs that were administrated based on experience
including: local use of levofloxacin eardrops, cefuroxime and moxifloxacin as
first line oral antibiotics, and ceftazidime as second line oral antibiotics.
There were 3 cases of post-operational infection, which recovered after systemic
and local use of sensitive drugs and dressing.Conclusion:In otitis media,
sensitive antibiotics chosen from results of bacterial culture and drug
sensitivity test, are useful in reducing the complication of infection after
surgery.
PMID- 29798371
TI - [The surgical treatment of middle ear cholesteatoma complicated with peripheral
facial paralysis (with 22 cases)].
AB - Objective:To summarize the clinical characteristics, the surgical methods and the
recovery of facial nerve function outcomes in patients with the middle ear
cholesteatoma complicated with peripheral facial paralysis.Method:Retrospective
analysis method was used on patients treated for middle ear cholesteatoma
associated with peripheral facial paralysis. Facial nerve decompression and great
auricular nerve grafting were performed for restoration of facial nerve. Facial
nerve function was assessed with the House-Brackmann (H-B) grade scale. Spearman
test was employed for statistic analysis.Result:Surgical exploration revealed
that the cholesteatoma was mainly located in epitympanic cavity, mastoid and
sinus tympani, which mainly damaged the tympanic segment of facial nerve.
Nineteen cases with facial nerve edema, including complete sheath (n=15) and
sheath defect (n=4), were performed decompression. Among which 15 recovered to H
B I, 3 recovered to H-B II, 1 recovered to H-B IV. Three cases with facial nerve
disrupt underwent great auricular nerve grafting, 1 recovered to H-B IV, 2
recovered to H-BV. The rate of recovery to H-B I or II in patients underwent
surgery within 2 weeks was 92.3%(12/13).Conclusion:When the middle ear
cholesteatoma complicated with peripheral facial paralysis, surgery should be
carried out as soon as possible. After removed the cholesteatoma completely,
facial nerve decompression could acquire a better facial nerve function recovery
compared to great auricular grafting.
PMID- 29798372
TI - [A retrospective study of 54 cases with chronic otitis media prepared for staging
tympanoplasty].
AB - Objective:To analyze the clinical effect of 54 cases with chronic otitis media
prepared for staging tympanoplasty and 19 cases finished staging ossicular
reconstruction surgery, evaluate the advantages of different surgery
technique.Method:Fifty-four cases with chronic otitis media were planned for
staging tympanoplasty surgery and had been received the first stage surgery.
Silicagel plates were placed in the tympanic cavity in order to prevent
adhesions. Among them, 19 cases had received the second stage ossicular
reconstruction, including 10 cases with TORPs and 9 cases with PORPs. The average
air bone gaps (ABG) were measured at four frequencies: 500, 1 000, 2 000 and 4
000 Hz.Result:Among the 54 cases, 45 cases had swollen mucosa in the tympanic
cavity and eustachian tube, 18 cases had tympanic fibrous adhesions, and 12 cases
had fixed or sclerotic stapes. The preoperative ABG of the 54 cases were (38.26+/
7.88)dB. As for the 19 cases finished the second stage surgery, their
preoperative ABG in the first stage were (39.21+/-7.05)dB, the preoperative ABG
in the second stage were (38.82+/-11.43)dB, and the postoperative ABG after the
second stage were (21.77+/-11.92)dB. The hearing function after staging
tympanoplasty was significantly improved compared with the preoperative hearing
in the first and the second stage operation (P< 0.01). In addition, the second
stage surgery of three cases was postponed because of a good postoperative
hearing with (10.42+/-10.63)dB ABG after the first stage surgery. Up to now, none
of the 54 cases suffered from facial palsy, infection and other complications
after surgery.Conclusion:Staging tympanoplasty is an important technique to
improving hearing and reducing the complications, especially for the cases with
chronic otitis media unsuitable for one stage reconstruction of ossicular chain.
PMID- 29798373
TI - [Immediate reconstruction of facial nerve defect using cable grafting of the
greater auricualr nerve after parotid cancer resection].
AB - Objective:To evaluate the feasibility of immediate reconstruction of facial nerve
defect by using cable grafting of the greater auricular nerve after parotid
cancer resection.Method:Clinical data of 11 patients suffering from parotid
cancer was reviewed.9 cases were diagnosed as mucoepidermoid carcinoma and 2
cases were adenoid cystic carcinoma. Total parotidectomy and elective neck
dissection were performed before cable grafting of the greater auricular nerve
was interposed between stumps of facial nerve trunk and its branches. The facial
nerve electromyogram and symmetry of mimetic musculature were evaluated. The
House Brackmann (HB) grading system was used to assess the functional outcome of
facial nerve rehabilitation. 8 patients received 50-65 Gy postoperative
radiotherapy. The follow-up time ranged from 8 months to 36 months with the mean
time of 12 months.Result:At all function oriented facial nerve reconstructed
region, satisfactory orbicularis oculi muscle function in 7 patients was achieved
(7/7); 5 patients obtained seeable and almost symmetric frowning (5/7); 9
patients obtained obvious nasolabial groove and satisfactory rest symmetry of the
mouth corners (9/9); 4 patients got better facial nerve function restoration when
it was 3 months after radiotherapy. Nine patients got HB grade II, and 2 patients
got HB grade III facial nerve function restoration. Facial nerve electromyogram
revealed weaker amplitude nerve conduction in 9 patients of HB grade II than the
conduction at its corresponding normal side.Conclusion:Cable grafting of the
greater auricular nerve is a feasible candidate for the immediate reconstruction
of facial nerve defect. Free nerve transplantation is probably not a
contraindication for postoperative radiotherapy in the parotid region.
PMID- 29798374
TI - [Intratympanic steroid intervention as initial therapy for sudden sensorineural
hearing loss: a systematic review of reviews].
AB - Objective:The aim of this overview is to evaluate the relevant systematic reviews
and Meta-analysis that focus on intratympanic steroid intervention as initial
therapy for sudden sensorineural hearing loss.Method:The literature search was
based on the published systematic reviews and Meta analysis. According to the
include and exclude critera, the important data was extracted. Quality assessment
was rigorously performed using the 11-item Assessment of Multiple Systematic
Reviews (AMSTAR) and main outcome indicators of literature evidence quality were
evaluated by Grading of Recommendations, Assessment, Development and Evaluation
(GRADE).Result:Sixteen related systemic reviews and Meta-analysis (6 Chinese
literatures and 10 English literatures) published during 2009-2016 were included;
AMSTAR score was 4 to 9 points,14 studies was medium quality and 2 was high
quality. However, the clinical evidence was controversial and there is no
consensus regarding the efficacy of intratympanic steroid therapy for sudden
sensorineural hearing loss.Conclusion:As an initial treatment, there is no
sufficient high quality evidence to recommend the ITS therapy. There is a need
for further systematic reviews that adhere to strict scientific methods.
PMID- 29798375
TI - [Intratympanic dexamethasone vesus post-auricular subperiosteal injection of
methylprednisolone treatment for sudden hearing loss].
AB - Objective:To compare the efficacy and side effect between intratympanic
dexamethasone and subperiosteal injection of methylprednisolone treatment for
sudden hearing loss.Method:One hundred and eight unilateral sudden hearing loss
patients were enrolled in this study, randomly divided into A group, which was
accepted intratympanic dexamethasone (10 mg/ml) , and B group, which was accepted
post-auricular subperiosteal injection of methylprednisolone (40 mg/ml) by every
3 days intotal 5 times. After 3 months follow-up, analysis of the differences of
pure tone threshold, tinnitus handicap inventory (THI), dizziness handicap
inventory (DHI), blood glucose between pretreatment and postreatment was made.
Side effect such as perforation of tympanic membrane, infection of post-auricular
skin was also observed.Result:1Both in A and B group, there was no statistical
changes in total efficiency and pure tone threshold decrease (P> 0.05), whereas
the pure tone threshold statistical change was observed in the low frequency
sudden deafness between A and B group (P< 0.05). 2Both in A and B group, there
was no statistical changes in THI (P> 0.05), whereas the THI statistical decrease
was observed in the high frequency sudden deafness between A and B group (P<
0.05). 3There was no statistical change in THI both in A and B group (P> 0.05).
4Both in A and B group, there was no statistical changes in fasting plasma
glucose between pretreatment and postreatment (P> 0.05). 5There was no patient
who had gotten tympanitis or postauricular infection, although in A group, there
were 2 patients had gotten perforation of tympanic membrane, but they all healed
after the follow-up.Conclusion:Both topical injection of glucocorticoid can
improve pure tone threshold and reduce the score of THI and DHI for sudden
hearing loss patients. Intratympanic dexamethasone can reduce more score of THI
for high frequency sudden deafness patients whereas subperiosteal injection of
methylprednisolone can improve pure tone threshold more for low frequency sudden
deafness patients. None of the two administrations elevate blood glucose, but
intratympanic dexamethasone have the risk of perforation of tympanic membrane.
PMID- 29798376
TI - [Expression of intercellular adhesion molecule-1 and myeloperoxidase in
peripheral blood and its significance in elderly patients with OSAHS].
AB - Objective:To investigate the detection and significance of serum intercellular
adhesion molecule-1 (ICAM-1) and myeloperoxidase (MPO) in elderly patients with
OSAHS in adults.Method:Ninety-three cases of elderly OSAHS patients who confirmed
by PSG were observed. According to the range of AHI, they were divided into 3
groups (severe, moderate and mild). 31 cases of healthy person were observed as
control group. The serum ICAM-1 and MPO were determined by ELISA method; ICAM-1
and MPO were measured after comprehensive treatment in patients with elderly
severe OSAHS, and the correlation between ICAM-1, MPO and PSG were
analyzed.Result:1With the severity of snoring increased, the serum levels of ICAM
1 and MPO increased gradually (F=29.937,18.946; P< 0.01); The concentration of
ICAM-1 and MPO in each group showed that there was no significant difference
between the mild group and the control group (P> 0.05), there were significant
differences between the rest each two groups (P< 0.05). 2There was no correlation
between serum levels of ICAM-1, MPO, BMI, age in OSAHS patients (P> 0.05). There
was a positively relationship between ICAM-1 and MPO . Both of them were
negatively correlated with AHI, and positively correlated with LSaO2 (P< 0.01).
3The concentration of ICAM-1, MPO and AHI in 31 elderly patients with severe
OSAHS decreased and LSaO2 increased significantly after 3 months of comprehensive
treatment (P< 0.01).Conclusion:The increase of ICAM-1 and MPO concentration in
peripheral blood is one of the mechanisms of cardiovascular damage in elderly
patients with OSAHS. Determination of peripheral blood ICAM-1, MPO levels in
elderly patients with OSAHS to help determine the severity of disease, the
evaluation of treatment,which may have a certain reference value for the
prediction of cardiovascular disease risk in patients with severe OSAHS.
PMID- 29798377
TI - [Analysis of related factors between portable monitoring and polysomnography].
AB - Objective:To analyze the related factors of portable monitoring and
polysomnography in simultaneous monitoring of suspected OSAHS cases. To evaluate
the application of portable monitoring in the diagnosis of OSAHS.Method:All
patients underwent a simultaneous monitoring of both portable monitoring and
polysomnography. Both the parameters of apnea hypopnea index (AHI), lowest oxygen
saturation (LSaO2), mean oxygen saturation (MSaO2), percentage of time with an
oxygen saturation below 90%(CT90%), oxygen desaturation index (ODI), the number
of apnea and hypopnea were collected and analyzed.Result:Both the portable
monitoring and polysomnography showed 39 cases met the diagnostic criteria for
OSAHS. Polysomnography showed 26 severe cases, 8 moderate cases and 5 mild case.
Portable monitoring showed 30 severe cases, 5 moderate cases and 4 mild cases.
Comparing portable monitoring with polysomnography, the parameter of AHI had a
significant difference. MSaO2, LSaO2, CT90%, ODI, the number of apnea and
hypopnea had no significant difference.Conclusion:Compared portable monitoring's
indicators with polysomnography's indicators, both correlated well. Portable
monitoring has a high diagnostic value. But the diagnostic accuracy in the
severity of the disease should be improved.
PMID- 29798378
TI - [Transnasal endoscopic surgery of communicating neoplasm outside cerebral dura
mater and orbital fasciae].
AB - Objective:This paper is intend to observe the feasibility of surgical approach
with which communicating neoplasm outside cerebral dura mater and orbital fasciae
was removed by transnasal endoscopic surgery.Method:Nine patients suffered from
communicating neoplasm in the area of naso-orbital-cranio complex were treated
with transnasal endoscopic surgery. The size of skull base bone defect was from
1.25 cm*1.13 cm to 3.25 cm*2.69 cm. No eye enucleation was done. No
reconstructions of skull base and medial wall of orbital cavity were done.
Iodoform gauze was packed in paranasal sinuses fourteen days. Patients with
malignant tumor were treated radiation therapy and chemical therapy after
surgical treatment. The degree of resection, complications of intracranial and
orbital cavities, survival condition were the focus of this study.Result:The
tumor were completely removed and all operations were successfully completed by
trasnasal endoscopic surgery. Only one patient was required blood transfusion due
to seriously bleeding from tumor during the operation. There was no orbital,
intracranial, and vascular complications. The defect of bone at skull base and
lamina papyracea were automatic reconstructed. Three patients have showed
recurrence of neoplasm, and two of them died during two years of following up
time.Conclusion:Transnasal endoscopic surgery is a safe, reliable and effective
approach to treat communicating neoplasm in the area of naso-orbital-cranio
complex, of which main part of tumor exists in the sinunasal cavity.
PMID- 29798379
TI - [Effects of hyperbaric oxygen on mucosal inflammatory response after nasal
surgery in rabbits].
AB - Objective:To observe the effect of hyperbaric oxygen therapy for the inflammation
of rabbit nasal in postoperation period, to investigate the clinical feasibility
of hyperbaric oxygen therapy in reducing the inflammatory reaction and promoting
mucosal healing in nasal recovery stage after surgery.Method:A total of 16
Japanese white rabbits were randomly assigned to hyperbaric oxygen group and non
hyperbaric oxygen group, with 8 in each, and another 5 was divided into normal
control group. The non hyperbaric oxygen group was in the normal atmospheric
environment, the hyperbaric oxygen group was given hyperbaric oxygen treatment on
the fifth day after the operation. All the animals were sacrificed to observe the
morphological changes and pathological changes of the mucosa in the bilateral
inferior turbinate surgery area at sixth weeks after operation. The normal
control group was taken the same part of mucosa.Result:Specimen: hyperbaric
oxygen group postoperative empyema in 2 side, 8 side of non hyperbaric oxygen
group, hyperbaric oxygen group was significantly reduced compared with non
hyperbaric oxygen group, the difference was statistically significant (P< 0.05).
Pathological changes: in the hyperbaric oxygen group, the infiltration of
inflammatory cells was mild in 12 sides and moderate in 4 sides; the non
hyperbaric oxygen group was mild in 1 sides, moderate in 13 sides, and severe in
2 sides, the hyperbaric oxygen group was significantly lower than the non
hyperbaric oxygen group, the difference was statistically significant (P<
0.01).Conclusion:Under the condition of this experiment, hyperbaric oxygen
therapy can significantly reduce the inflammatory response of rabbit nasal mucosa
after operation, and reduce the accumulation of purulent secretion.
PMID- 29798380
TI - [Tympanoplasty without mastoidectomy for active otitis media].
AB - Objective:The study aims to assess the effect of tympanoplasty without
mastoidectomy for the middle ear under different infected states.Method:One
hundred and thirteen cases (113 ears) with chronic otitis media (COM) received
tympanoplasty without mastoidectomy. All the patients were found inflammatory
proliferative lesions in the mastoid and tympanic antrum via CT scan before
surgery and were followed up over 1 year. The patients were placed into the
infected ear group (72 ears) and uninfected ear group (41 ears) according to the
infective condition. We used SPSS statistical software to analyze the
efficacy.Result:Of 113 cases, 92 ears had dry ear canals in 1/2 to 1 month after
surgery, and the negative air pressure in the tympanum gradually disappeared in 3
6 months after surgery. Of the 72 ears in the infected ear group, 69 ears had
postoperative dry ears, and a large amount of intraoperative purulent secretion
was seen in the tympanum in 4 cases, which all had dry ear canals. Three cases
had relapse, for a dry ear canal rate of 95.8%. Three ears showed dry tympanic
membrane perforations, and effective ears with air-bone conduction differences
smaller than or equal to 20 dB accounted for 51.4% of cases. Of the 41 ears in
the uninfected group, 40 ears had postoperative dry ears, 1 case had relapse, for
a dry ear canal rate of 97.6%. Two ears showed dry tympanic membrane
perforations. Effective ears with air-bone conduction differences smaller than or
equal to 20 dB accounted for 48.8% of cases. No case of facial paralysis,
dizziness, formation of invaginations of the tympanic membrane and cholesteatoma
were seen in the patients included in this study during the follow-up
visits.Conclusion:Whether there are inflammatory proliferative lesions in the
mastoidor not, tympanoplasty without mastoidectomy is feasible for chronic active
otitis media. Moreover, different infection statuses of the middle ear do not
cause difference in the postoperative relapse rate and hearing improvement.
PMID- 29798381
TI - [ollow-up study of otitis media with effusion in newborns and infants].
AB - Objective:To study the natural history of otitis media with effusion (OME) in
infants, to guide clinical observation and treatment.Method:Newborns and infants
born who failed newborn hearing screening and diagnosed OME were studied. Those
with OME were diagnosed within 3 months old and followed-up. All subjects were
examined with tympanometry once a month and with whole audiometric evaluation
once three months. The causes, the time of recovery, and the disease course were
analyzed in 46 infants followed-up at least 6 months.Result:24 infants were
failed bilateral and 22 with monaural in initial hearing screening. 22 infants
were failed bilateral and 24 with monaural in repeated screening. The failed ears
side changed in 2 with monaural. 69 ears (bilateral in 23 cases and unilateral in
23 cases) were diagnosed OME. In these 69 ears, the 226 Hz tympanograms showed
type A, type B, or type C, the 1 000 Hz tympanograms had no peak, the distortion
product otoacoustic emission (DPOAE) were not found, the response thresholds of
auditory brainstem response (ABR) were 20-60 dBnHL. 37 cases (80.4%) were
recovered, and 9 cases were unrecovered. The first recovered case was in 4 months
old, the last one was in 18 months old. 29 recovered cases were followed-up less
than 6 months (with 9 months old), 7 cases in the 9 unrecovered cases did not
return visit after followed-up 6 months, but they were found that the hearing
level improved by the telephone follow-up. The other 2 cases showed recurrence
and from unilateral ear to both ears. The causes included choking milk,
rhinobyon, and cold repeatedly.Conclusion:OME in infants has the self-healing
nature. We suggest to observe the infants for a long time. Avoiding the causes is
benefit to self-healing.
PMID- 29798382
TI - [Application of oto-endoscope on intact canal wall mastoidectomy].
PMID- 29798383
TI - [Diagnosis, misdiagnosis, and treatment of facial nerve Schwannoma (7 cases
report)].
PMID- 29798384
TI - [Transoral resection of partial fistula wall to treat incomplete second branchial
fistula: a case report].
AB - We describe a case of a 55-year-old man who presented with sore throat for two
days, while neck swelling for one day, and was found to have a fistula in his
left tonsil and an abscess in his left lateral pharyngeal wall with the lower
bound to the upper border of the cricoid cartilage by ultrasonography and
enhanced CT. The fistula from tonsillar fossa to hypopharynx was detected
followed by left tonsillectomy, and then anterior wall of the fistula and mucosa
covering it was resected. He was eventually diagnosed with incomplete second
branchial fistula with infection, and was followed up for five years with no
recurrence.
PMID- 29798385
TI - [Primary malignant tumor of uvula: report of 3 cases and review of the
literature].
AB - We retrospectively analyzed 3 middle-aged male patients of primary malignant
tumor of uvula. There was a long history of smoking and drinking for the
patients. All of them came to the hospital with the syptoms of pharyngeal foreign
body sensation and two of them had pharyngeal sore. The patients appeared well,
new neoplasm at the apex of the uvula. Diameter of 2 cases were less than 1 cm
without invading the midline of the soft palate. Another patient's diameter was
more than 1 cm with invading the midline of the soft palate. Preoperative
pathology diagnosis of 3 patients was squamous cell carcinoma of uvula. The
pharyngeal CT of the patient with invading the midline of the soft palate showed
nodules at the end of the soft palate, obviously enhancement and small lymph node
in left neck.
PMID- 29798386
TI - [Progress in multi-omic study of head and neck cancer].
PMID- 29798387
TI - [The related factors of head and neck mocosal melanoma with lymph node
metastasis].
AB - Objective:To investigate the related factors of mucosal melanoma of head and neck
with lymph node metastasis for early diagnosis and further treatments. Method:A
retrospective analysis of 117 cases of head and neck mucosal malignant melanoma
patients which received surgical treatment was performed. Eleven cases of
patients with pathologically confirmed lymph node metastasis and 33 cases without
lymph node metastasis (1?3) were randomly selected to analyze. The related
factors of lymph node metastasis of head and neck mucosal melanoma patients
including age, gender, whether the existence of recurrence, bone invasion, lesion
location were analyzed. The single factor and logistic regression analysis were
performed, P<0.05 difference was statistically significant. Result:The lymph node
metastasis rate of head and neck mucosal melanoma was 9.40%(11/117), the single
factor analysis showed that there were 3 factors to be associated with lymph node
metastasis, which was recurrence (P=0.0000), bone invasion (P=0.001), primary
position (P=0.007). Recurrence (P=0.021) was a risk factor for lymph node
metastasis according to the Logistic regression analysis, and the impact of bone
invasion (P=0.487) and primary location (P=0.367) remained to be further
explored. Conclusion:The patients of head and neck mucosal melanoma with the
presence of recurrent usually accompanied by a further progression of the
disease, such as lymph node metastasis, so for recurrent patients should pay
special attention to the situation of lymph node and choose the reasonable
treatment.
PMID- 29798388
TI - [The effect of regional neck dissection on positive cervical lymph node of cN0
laryngeal carcinoma].
AB - Objective:To evaluate the efficacy and safety of regional neck dissection in the
treatment of cN0 laryngeal carcinoma with positive cervical lymph node. Method:A
retrospective analysis of 120 cases with cN0 laryngeal squamous cell carcinoma
who received the first time for primary tumor resection and regional neck
dissection (II-IV) in our hospital during the period of 2000.01-2016.06 were
performed. Twenty-two patients with lymph node positive (pN+) were selected by
postoperative paraffin pathology in II-IV region and followed up to 2017.06. The
recurrence rate, survival rate and survival related regression analysis of
patients with stage cN0 pN+ laryngeal carcinoma were analyzed. Result:The cN0
laryngeal cancer occult metastasis rate was 18.33% (22/120) in regional neck
dissection. Local recurrence, regional recurrence, distant metastasis rates of 3
and 5 years were 41.18%, 17.65%, 17.65% and 40.00%, 13.33%, 20.00%, respectively
in cN0 pN+ patients. The overall survival rates of 3 and 5 years were 61.2% and
30.6% respectively, and the disease-free survival rate was 31.8% and 22.7%. There
was no significant difference in overall and disease-free survival between the T
staging or clinical classification (P>0.05). Cox regression analysis showed that
overall survival was related to age and local-regional (RR=11.421, P=0.001,
RR=5.211, P=0.022). Logistic multivariate regression analysis showed that local
regional recurrence was not related to each factor (P>0.05). Conclusion:Local
recurrence rate and mortality rate of cN0 pN+ laryngeal carcinoma are higher,
survival rate is lower, however, neck recurrence rate is low.Therefore, II-IV
neck dissection is a safe and effective treatment for neck of cN0 pN+ laryngeal
carcinoma.
PMID- 29798389
TI - [Clinical analysis of neuroendocrine carcinoma of the larynx].
AB - Objective:To evaluate the clinical manifestation, therapy and prognosis of
neuroendocrine carcinoma of the larynx. Method:Twenty-six cases with
neuroendocrine carcinoma of the larynx were analyzed retrospectively. Four
pathological types were found in our series: typical carcinoid (1/26), atypical
carcinoid (15/26), small cell carcinoma, neuroendocrine type (9/26) and combined
small cell carcinoma, neuroendocrine type (1/26). In this series, 12 cases were
received total laryngectomy, 2 cases supracricoid partial laryngectomy
cricohyoidopexy (SCPL-CHP), 4 cases horizontal partial laryngectomy, 5 cases
vertical partial laryngectomy, 1 case transoral CO2 laser microsurgery, and 2
cases radiotherapy and/or chemotherapy. Result:Three-year and five-year overall
survival rates were 76.9% and 69.2% respectively. Conclusion:The neuroendocrine
carcinoma of the larynx was rare, which was more common in the supraglottis.
Atypical carcinoid was the most common pathological type. Compared to SCC, the
clinical feature of neuroendocrine carcinoma of the larynx was non-specific. The
clinical behavior, treatment,and prognosis were correlative to the tumor
pathological.The diagnosis depended on comprehensive immunohistochemistry
examination.
PMID- 29798390
TI - [Platysma myocutaneous flaps in reconstruction of defects caused by
hypopharyngeal cancer resection].
AB - Objective:To study the method and feasibility in reconstruction of platysma
myocutaneous flap of defects caused by hypopharyngeal cancer resection.
Method:Twelve cases underwent reconstruction with the platysma myocutaneous flap
of hypopharyngeal soft defect were investigated. All cases received 2 times
preoperative induction chemotherapy followed by hypopharyngeal cancer resection
with laryngeal function preservation and the neck lymph node dissection. Platysma
myocutaneous flap was used to repair the hypopharyngeal soft tissue defect.
Result:All the flaps survived. Three weeks after surgery, all patients can eat
without pharyngeal fistula and dysphagia, but pharyngeal foreign body sensation.
Three cases of cough after surgery, improved after training. After postoperative
radiotherapy, flap survived without secondary necrosis. Laryngeal function of all
cases was retained, and only one case with cannula maintained.
Conclusion:Preoperative induction program can effectively reduce the lesion. The
placenta myocutaneous flap has rich blood supply, strong anti-infectivity. It is
an effective method to repair the hypopharyngeal tissue defect with the placenta
myocutaneous flap.
PMID- 29798391
TI - [Association of vitamin D receptor and its genetic polymorphisms with
nasopharyngeal carcinoma].
AB - Objective:To investigate the gene expression and polymorphisms of vitamin D
receptor (VDR) in nasopharyngeal carcinoma. Method:The expression of VDR mRNA was
detected by fluorescent quantitative PCR in PBMC from 48 patients with
nasopharyngeal carcinoma and 48 controls. The FokI and ApaI loci of all subjects
were detected by TaqMan-MGB fluorescent probe PCR. Result:The VDR mRNA ?Ct value
was 9.32+/-0.91 and 7.31+/-1.04 in nasopharyngeal carcinoma patients and
controls, respectively. Using multivariate Logistic regression analysis, the
results showed that the distribution of FokI point Ff genotype in the case group
was significantly higher than that in the control group (adjusted OR=1.97,
95%CI=1.33-2.91). No difference was found between the control group and the
patient group at ApaI loci. Further haplotype analysis was used to find that
compared with fa haplotypes, the distribution of FokI Ff genotype in the case
group was significantly higher than that in the healthy control group (adjusted
OR=0.65, 95%CI=0.48-0.87). There were significant differences in the gene
expression level of VDR among the patients with the different genotypes of FokI
loci (F=194.5, P<0.01). Conclusion:Genetic variants of the VDR gene are closely
associated with nasopharyngeal carcinoma.
PMID- 29798392
TI - [Preoperative airway management and perioperative period asphyxia prevention in
patients with tumors invasion in the cervical tracheal].
AB - Objective:To investigate the effect of preoperative airway treatment and
postoperative asphyxiation preventive measures in patients with tumors invasion
in the cervical tracheal. Method:The clinical date of 35 patients with different
degree of tumors invasion in the cervical tracheal were analyzed retrospectively.
Anesthesia including normal endotracheal intubation anesthesia, awake intubation
anesthesia with visual laryngoscope assisted after topical anesthesia, intubation
anesthesia with preoperative tracheotomy, intubation anesthesia after transection
of trachea and anesthesia with extracoporeal circulation was selected according
to the patient's situation such as whether exist forced position, or the extent
of dyspnea, or the range of recurrent tumor. Preventive tracheotomy or
fistulization was performed according to the patients' tracheal involvement and
the choice of operation and general condition during the operation. Result:All 35
patients were successfully anesthetized, 17 of whom had no dyspnea or forced
position, this kind patients were all anesthesia successfully, and 3 of them
underwent prophylactic tracheotomy. Sixteen cases of nonrecurrent tumor with
forced position, 15 patients were accepted awake anesthesia successfully with
visual laryngoscope assisted after topical anesthesia, 1 patient who cannot be
intubated or done tracheotomy is completed with extracorporeal circulation;
prophylactic tracheotomy or tracheostomy was performed in this group. Of 2 cases
of recurrent tumor with forced posture, preoperative tracheal intubation failed,
1 case was intubated after emergency transection of trachea, 1 case was
successfully intubated by emergency tracheotomy before operation and 2 cases
received postoperative tracheostomy. In this study, no serious complications such
as massive bleeding, asphyxia and cardiovascular accident occurred after the
operation. Conclusion:The preoperative airway management of patients whose tumors
involves the cervical tracheal and whether tracheotomy or ostomy need to prevent
asphyxia or not should be based on the nature of the tumor such as whether is
recurrent, the extent of trachea involvement and whether to merge the OSAHS. Only
by considering the various factors that affect the airway synthetically, an
effective method can be adopted to ensure the safety of the operation.
PMID- 29798393
TI - [Effects of adenotonsillectomy on immunoregulation in children with obstructive
sleep apnea hypopnea syndrome].
AB - Objective:To observe the effects of adenotonsillectomy on immunoregulation in
children with obstructive sleep apnea hypopnea syndrome. Method:Thirty-six OSAHS
children were recruited as an experimental group while 40 healthy children for
the control group. Blood samples were collected from experimental group and
control group. Tonsillectomy and adenoidectomy were performed under general
anesthesia in the experimental group. Blood samples of the experimental group
were collected at 4 days, 1, 3 and 6 months after operation, respectively.
Lymphocyte subsets, NK cells and immunoglobulin were analysis in all patients.
Result:1The expression of IgA in the experimental group was significantly lower
than the control group before operation (P<0.01). No significant difference was
found between the other groups (P>0.05). 2The percentage of CD4+ T cells and
CD4+/CD8+ ratio in the experimental group were significantly lower than those in
the control group before operation (P<0.01 or <0.05). The percentage of CD8+ T
cells in experimental group was significantly higher than that in control group
one day before operation (P<0.01). 3In the experimental group, the expression of
IgG at 4 days and 1 month was significantly lower than that before operation
(P<0.05). At 3 and 6 months after operation, IgA was significantly higher than
that before operation, the difference was statistically significant (P<0.01 or
<0.05). No significant difference was found between the other groups (P>0.05).
4The percentage of CD4+ T, CD3+ T and NK cells, CD4+/CD8+ ratio in the
experimental group at post-operative 4 days were lower than those before
operation, and the percentage of CD8+ T cells was CD4+/CD8+ ratio in 3 and 6
months after operation was higher than that before operation, and CD8+ T was
lower than before operation,the difference was statistically significant (P<0.01
or <0.05). No significant difference was found between the other groups (P>0.05).
Conclusion:1Compared with healthy children, OSAHS children have a certain degree
of cellular and humoral immune disorders, accompanied by different levels of
cellular and humoral immune function decline. 2The cellular and humoral immune
function in children with obstructive sleep apnea hypopnea syndrome (OSAHS)
decreased within 1 month, but recovered to healthy children at 6 months after
operation. Adenoidectomy and tonsillectomy were helpful to restore the immune
function of children with OSAHS.
PMID- 29798394
TI - [The clinical anatomy of the inferior thyroid arteries and veins and the safety
of the modified tracheotomy].
AB - Objective:To evaluated the clinical anatomy of the inferior thyroid arteries and
veins and the safety of clinical application of the modified tracheotomy.
Method:Sixty patients who need partial resection or full resection of thyroid
cancer and 50 patients with laryngeal or hypopharyngeal cancer required
tracheotomy were enrolled in this study. The distribution of the inferior thyroid
arteries and veins closely related to tracheotomy is further dissected. The
inverted "U" type of tracheal flap tracheotomy was further improved based on
anatomical findings. Finally, the further modified tracheotomy was used for
clinical practice and compared with the conventional tracheotomy and the inverted
"U" type of tracheal flap tracheotomy. Result:Of the 110 cases, the lowest
thyroid artery was found in 11 cases, with a rate of 10%. The inferior thyroid
vein has 2-4 branches, which originates from the lower lobe or isthmus of the
thyroid lateral lobe, descending in the anterior tracheal space. According to the
characteristics of the static reflux of the thyroid gland, the lower thyroid
vessels are classified into four types: intravenous dry type (28 cases);
intravenous double dry without traffic type (43 cases); intravenous double trunk
with traffic (28 cases); mixed type (11 cases). At present, the further modified
tracheotomy can effectively avoid the rebleeding of the lower thyroid arteries
and veins. There were no bleeding and other complications in all cases.
Conclusion:It provides a basis for the further modified of tracheotomy based on
the clinical anatomy of the inferior thyroid artery and vein, which is closely
related to tracheotomy. The further modified tracheotomy has certain advantages
than the conventional tracheotomy and the inverted "U" type of tracheal flap
tracheotomy.
PMID- 29798395
TI - [An analysis of spectrum of allergen sensitization among patients with tree
pollen allergy in Beijing].
AB - Objective:To explore the feature of allergic sensitization of tree pollen and the
relationship between tree pollen and airborne pollen in Beijing. Method:Two
thousand five hundred and twenty-one patients with allergic diseases were
enrolled between January to July in 2017 in an allergy department. All patients
received SPT of 8 kinds of tree pollen. Result:1The overall tree pollen positive
rate of SPT was 49.3% (1 244/2 521). Male had a higher positive rate than female
(56.4% vs 45.0%, P<0.01) while child group had a higher positive rate than adult
group (55.9% vs 48.6%, P<0.05). The poly sensitization was observed with a rate
of 26.3%, take the percentage of 53.3% of the overall positive subjects. 2The
highest sensitization rate was found in March (62.2%), followed by May (52.1%),
the lowest was January (27.9%). There was a positive correlation between tree
pollen sensitization rate and pollen count of each month (r=0.929, P<0.01).
3Cypress had the highest positive rate (34.6%), followed by poplar (21.3%), the
lowest was sophora (13.3%). The sensitization rate was positively correlated with
pollen count among different tree pollen (r=0.714, P<0.05). Conclusion:The main
allergic pollen in Beijing was cypress. The tree pollen sensitization showed a
seasonality with the peak in March. The sensitization status was tightly
associated with the amount of airborne pollen.
PMID- 29798396
TI - [Imaging charateristics of bony erosion and fungal culture analysis in allergic
fungal rhinosinusitis].
AB - Objective:To investigate the imaging characteristics of bony erosion, fungal
culture and related factors in allergic fungal rhinosinusitis (AFRS).
Method:Sixty cases of AFRS were reviewed in this study. The characteristics of
Computed Tomography and Magnetic Resonance Imaging including positive rate of
bony erosion, eroded sites, disease extension and sinus expansion were
summarized. Fungal culture and identification of nasal secretion were done. The
correlation between the degree of sinus expansion, species of fungi and bony
erosion was evaluated by statistical analysis. Result:Of the 60 patients, 18
(30%) had bony erosion. There was a significant difference in the proportion of
bone erosion among the sinuses (P<0.05). The most commonly eroded site was the
ethmoid sinus. The orbit were the most common adjacent anatomic spaces to exhibit
disease extension, and anterior cranial fossa is the second most common.
Statistical analysis revealed a significant association(P<0.05)of bone erosion
with sinus expansion. The positive rate of fungal culture was 51.3%, among which
the most common is Aspergillus. Statistical analysis shows no
association(P>0.05)of bone erosion with specific fungi. Conclusion:Bone erosion
is an important imaging feature of AFRS. Bone erosion may cause by sinus
expansion. Extension of disease into the orbit or intracranial cavity results
from a natural progression of disease after erosion occurs. Specific fungal
species that can cause bone erosion was found.
PMID- 29798397
TI - [A preliminary study on the relationship between laryngopharyngeal reflux and
chronic rhinosinusitis].
AB - Objective:To study the relationship between laryngopharyngeal reflux and chronic
rhinosinusitis. Method:A total of 46 patients were enrolled in this study
including 25 cases with chronic rhinosinusitis with nasal polyps, 10 cases with
chronic rhinosinusitis without nasal polyps and 11 cases underwent surgery due to
abnormal nasal anatomy such as nasal septum deviation, bubble in the turbinate,
etc. as control group. The expression of pepsin was detected using
immunohistochemistry in three groups. The intensity of pepsin expression and CT
score of sinus, blood eosinophils percentage, blood neutrophils percentage, blood
basohils percentage, blood mononuclear percentage, blood lymphocytes percentage
were analyzed. Result:There were 8 strong positive cases (32%, 8/25), positive in
8 cases (32%, 8/25), 2 weakly positive cases (8%,2/25), 7 negative cases (28%,
7/25) in chronic rhinosinusitis with nasal polyps group. In the chronic
rhinosinusitis without nasal polyps group, the expression of pepsin was strong
positive in 4 cases (40%, 4/10), positive in 3 cases (30%, 3/10), weakly positive
in 1 cases (10%, 1/10), negative in 2 cases (20%, 2/10). There were no strong
positive expression in the control group, positive in 2 cases (18.2%, 2/11),
weakly positive in 3 cases (27.3%, 3/11), negative in 6 cases (54.5%, 6/11),
chronic rhinosinusitis with nasal polyps group and chronic rhinosinusitis without
nasal polyps group higher than the control group (P<0.05). There was no
significant difference in pepsin expression between chronic rhinosinusitis with
nasal polyps group and without group (P=0.617). Spearman correlation analysis
indicated that the intensity of pepsin was positively correlated with the score
of Lund-Markay (r=0.349,P=0.017),? there was no correlation with the percentage
of various inflammatory cells. Conclusion:The positive expression intensity of
pepsin in chronic rhinosinusitis without nasal polyps and chronic rhinosinusitis
with nasal polyps is significantly higher than that in normal control group,
suggested that there is a correlation between laryngopharyngeal reflux and
chronic rhinosinusitis. Laryngopharyngeal reflux is positively correlated with
the severity of nasal polyps. Chronic nasal inflammation caused by
laryngopharyngeal reflux is not mediated by a certain kind of inflammatory cells.
PMID- 29798398
TI - [Diagnostic value of magnetic resonance imaging for middle ear cholesteatoma: a
Meta-analysis].
AB - Objective:To evaluate the values of magnetic resonance (MR) in the diagnosis of
middle ear cholesteatoma by meta-analysis. Method:The articles concerning the
diagnosis of middle ear cholesteatoma by using MRI until September 2017 were
searched in databases including The Cochrane Library, PubMed, CBM, VIP, Wan Fang
Data and CNKI with the search term "cholesteatoma, MR, Magnetic Resonance". Two
independent researchers screened literature, extracted data, and assessed the
risk of the bias of included studies with the inclusion and exclusion criteria
using the QUADAS-2 tool. Then, meta-analysis was performed using Stata 12.0
software. The pooled weighted sensitivity and specificity were calculated, the
summary receiver operating characteristic curve (SROC) was drawn and the area
under the curve was calculated. Result:A total of 21 original studies were
included. The results of meta-analysis showed that the pooled sensitivity,
specificity, DOR and area under SROC curve of MR for diagnosing middle ear
cholesteatoma were 0.88 (95%CI0.83 to 0.92), 0.91 (95%CI0.86 to 0.95), 10.13
(95%CI6.20 to 15.55), 0.13 (95%CI0.09 to 0.19), 79.23 (95%CI37.74 to 166.33), and
0.96 (95%CI0.93 to 0.97), respectively. The 1.5T MR has little differences with
1.5T MR in diagnosing middle ear cholesteatoma. In contrast to retrospective
studies, prospective studies have further demonstrated that MR has a higher
diagnostic value for middle ear cholesteatoma. Based on regions, the analysis
showed that MR in Europe was more valuable in the diagnosis of middle ear
cholesteatoma. Conclusion:In the diagnosis of middle ear cholesteatoma, MR has a
high value.
PMID- 29798400
TI - [Application of the microdissection needle for endoscopic prelacrimal recess
approach].
PMID- 29798399
TI - [Investigation of epithelial-mesenchymal transition induced by cisplatin on human
laryngeal resistant cancer cells].
AB - Objective:To investigate the mechanism between epithelial-mesenchymal transition
(EMT) and cisplatin induced resistant cell subline and the malignant biological
characteristics, to explore EMT in human hep-2 laryngeal resistant cells.
Method:Using cisplatin-resistant cells (hep-2/CDDP) and non-resistant cells (hep
2) established in our previous study; the invasion and migration biological
behaviors were detected by transwell and scratch assay; the expressions of E
cadherin, Zo-1, Snail, Slug, Twist1, Vimentinon in the mRNA level were detected
by RT-qPCR and the protein level by Western blot. Result:Transwell and scratch
assay show the invasion and migration behaviors were increased in hep-2/CDDP
cells (P<0.05), the epithelial marker E-cadherin and Zo-1 were downregulated in
hep-2/CDDP cells (all P<0.05), transcription factor Snail, Slug were upregulated
in mRNA and protein level (all P<0.01) while Twist1 had no significant changed in
protein level (P>0.05), the expression of mesenchymal marker Vimentin was also
increased in mRNA and protein levels in cisplatin resistant cells (P<0.01). It
was confirmed that the hep-2/CDDP cells possessed EMT phenotypes. Conclusion:The
cisplatin resistant laryngeal cancer cells perform higherinvasion and migration
biological behaviors,and the mechanisms of increased ability of invasion and
migration induced by cisplatin was associated to eEMT, study on signal path
related to EMT may overcome cisplatin resistance and reduce invasion and
migration behaviors.
PMID- 29798401
TI - [Endoscopic assisted minimally invasive cochlear implants: a review of 40 cases].
PMID- 29798402
TI - [Nasal glioma in sphenoid sinus: a case report and literature review].
AB - Nasal glioma is a rare congenital malformation in maxillofacial region. It is
sluggish, benign, non-tumorous and with low recurrence rate. It is important to
evaluate whether there is any intracranial connection before the operation
through CT or MRI to avoid the serious intracranial complications. We reported a
case of a adult with a nasal glioma in sphenoid sinus, and discuss clinical
characteristics, diagnosis, and pathology.
PMID- 29798403
TI - [Hodgkin's lymphoma of salivary gland: a case report].
AB - Hodgkins lymphoma (HL) of salivary gland is extremely rare. We reported a
bilateral HL in a female of 58 years old, whose main clinical complaint was
bilateral enlargement of parotid gland and cervical lymph nodes. The clinical
symptoms were relieved after intermittent treatment by anti-inflammatory drugs
and traditional Chinese herbs resulted in misdiagnosis of chronic lymphadnitis.
The postoperative pathological diagnosis was HL of parotid gland, submandibular
gland and cervical lymph nodes. It implies that lymphoma shall also be take into
consideration even if anti-inflammatory drugs and Chinese traditional herbs have
treatment effect on a parotid gland mass.
PMID- 29798404
TI - [The clinical application status and progression of auditory steady-state evoked
response].
AB - Auditory steady-state evoked response(ASSR) is an objective method to evaluate
hearing threshold. Recently, it was reported that CE-Chirp ASSR is more reliable
than the conventional ASSR in predicting the behavioral hearing threshold. Many
reseaches were conducted to improve the reliability of ASSR threshold and
behavioral threshold. This paper reviewed the development, principle, clinical
application status, and progress of ASSR.
PMID- 29798405
TI - [Current treatment of pharyngocutaneous fistula after total laryngectomy].
AB - Pharyngocutaneous fistula is a common and serious complication after total
laryngectomy, and it will seriously affect patients' postoperative recovery. The
treatment of pharyngeal fistula mainly include conservative and surgery therapy.
The use of negative-pressure wound therapy and endoscopic technique to treat post
laryngectomy pharyngocutaneous fistula also acquire good result in recent years.
However, specific therapy options should be choose according to the type and size
of the fistula, the patient's overall health condition and preoperative
chemoradiotherapy etc. This article will give a review on the status of treatment
of pharyngocutaneous fistula after total laryngectomy.
PMID- 29798406
TI - [Advance in research of delayed endolymphatic hydrops].
AB - Delayed endolymphatic hydrops (DEH) is a disease entity first described by Kamei
and named by Schuknecht, defined as profound sensorineural deafness at early
stage and after several years started to appear clinical feature of endolymphatic
hydrops such as vertigo, aural fullness like Meniere's disease or fluctuating
hearing loss in the contralateral ear . DEH can be classified into ipsilateral
type, contralateral type and bilateral type. Although DEH has low incident rate,
there are many kinds of etiology and audiology and vestibular tests. Up to now, a
lot of literatures about etiology, diagnose, clinical manifestation, relevant
examination and therapy of DEH were published abroad. In this review, we will
make a summary of research status of DEH.
PMID- 29798408
TI - [Retrospective study of partial superficial parotidectomy and superficial
parotidectomy on superficial parotid benign tumor].
AB - Objective:To compare the clinical effect between partial superficial
parotidectomy (PSP) and superficial parotidectomy (SP) through a retrospective
analysis and to provide evidence for the benign tumor surgical strategy.
Method:According to different surgical strategies performed, 168 patients with
superficial parotid benign tumor were reviewed and divided into PSP group and SP
group. Clinical data was compared between two groups from different aspects,
including operation condition, complications, recurrence rate, as well as parotid
function. SPSS 22.0 software was used in statistical analysis. Result:PSP group
has an average operative incision length of (6.65+/-1.17)cm, and average
operation time of (82.25+/-11.56)min, while SP group is (10.86+/-1.65)cm and
(121.42+/-17.32)min. So, compared with the SP group, PSP has obvious advantages
in operation conditions (P<0.01). Furthermore, PSP group also presents an
advantage over SP group in occurrence of postoperative complications (P<0.05),
while there is no significant difference between two groups in palindromia rate
(P>0.05). As for the maintenance of parotid gland functions, PSP group could
retain most of parotid functions, but no function would be retained in SP group.
Statistical analysis confirms the significance (P<0.05). Conclusion: Compared
with SP, in PSP there is declined complication incidence but no increased
palindromia recurrence. However, PSP has an apparent advantage on decreased
surgical incision length, downscaled operation scope, reduced operation time and
retained original functions of gland. All these advantages present the
reliability and feasibility of PSP in treating superficial parotid benign tumor.
PMID- 29798407
TI - [Curative effect analysis of the vestibular rehabilitation training on residual
dizziness after successful canalith repositioning maneuvers in patients with
benign paroxysmal positional vertigo].
AB - Objective:To explore the curative effect and quality life of the vestibular
rehabilitation training on residual dizziness after successful canalith
repositioning maneuvers in patients with benign paroxysmal positional vertigo
(BPPV). Method:Residual dizziness after successful canalith repositioning
maneuvers in patients with BPPV were enrolled in our study. They were randomized
into three groups, the control group A were no disposition which include 32
patients, the intervention group B were guided to self rehabilitation training
which include 33 patients, the control group C were guided to Brandt Daroff
training which include 33 patients. Dizziness handicap inventory (DHI) and
residual dizziness duration were used to evaluation the patients. Result:After
one week vestibular rehabilitation training, the scores of DHI in group B and C
decreased, and there was no significant difference (P>0.05), there were
significant differences between group B and group C in group A, respectively
(P<0.01). After four weeks of vestibular rehabilitation training, the scores of
DHI in group B and group C were lower than those in group A, the decrease of
group B was significantly higher than that of group C (P<0.01). The residual
dizziness duration indicated that no statistical differences in the B, C groups
(P>0.05) and scores of group B,C were significantly lower than group A (P<0.05).
Conclusion:The appropriate vestibular rehabilitation training on residual
dizziness after successful canalith repositioning maneuvers in patients with BPPV
can help promote the central vestibular compensation, reduce the residual
symptoms, improve the quality life of patients, and which can be used as the
adjuvant treatment on BPPV patient who has residual dizziness symptoms.
PMID- 29798409
TI - [The fMRI research of vestibular migraine neural pathways].
AB - Objective:To investigate the abnormal functional response of neural pathways of
vestibular migraine (VM) patients, located the functional area, and inferred the
possible mechanism. Method:Twenty patients of VM, 20 of migraine without aura
(MwoA), and 20 of healthy controls (HC), underwent whole-brain blood oxygen level
dependent (BOLD) fMRI during ear irrigation with cold water. We chose the
stimulation image and the diapause stimulation image. Analyses explored
functional area with BOLD signal change of the three groups. Result:We observed
task-positive BOLD signal change in cortical and subcortical pattern in response
to ear irrigation of all participants. Such as parietal lobe, temporal lobe,
insular lobe, cingulate gyrus, thalamus, caudate nucleus, optic radiation,
precuneus. VM patients showed a significantly task-negative in thalamic and
fusiform gyrus in comparison with the other groups (P<0.05). Conclusion:fMRI
could response the respective functional area objectively. We inferred the
possible mechanism of VM was abnormal activation of thalamus and fusiform gyrus,
produced crossing between the vestibular sensory pathway conduction and the pain
conduction.
PMID- 29798410
TI - [Analysis of the speech discrimination scores of patients with congenital
unilateral microtia and external auditory canal atresia in noise].
AB - Objective:Case-control study analysis of the speech discrimination of unilateral
microtia and external auditory canal atresia patients with normal hearing
subjects in quiet and noisy environment. To understand the speech recognition
results of patients with unilateral external auditory canal atresia and provide
scientific basis for clinical early intervention. Method:Twenty patients with
unilateral congenital microtia malformation combined external auditory canal
atresia, 20 age matched normal subjects as control group. All subjects used
Mandarin speech audiometry material, to test the speech discrimination scores
(SDS) in quiet and noisy environment in sound field. Result:There's no
significant difference of speech discrimination scores under the condition of
quiet between two groups. There's a statistically significant difference when the
speech signal in the affected side and noise in the nomalside (single syllable,
double syllable, statements; S/N=0 and S/N=-10) (P<0.05). There's no significant
difference of speech discrimination scores when the speech signal in the
nomalside and noise in the affected side. There's a statistically significant
difference in condition of the signal and noise in the same side when used one
syllable word recognition (S/N=0 and S/N=-5) (P<0.05), while double syllable word
and statement has no statistically significant difference (P>0.05).
Conclusion:The speech discrimination scores of unilateral congenital microtia
malformation patients with external auditory canal atresia under the condition of
noise is lower than the normal subjects.
PMID- 29798411
TI - [Expression of Fra-1 in laryngeal squamous cell carcinoma].
AB - Objective:To investigate expressions of Fra-1 in laryngeal squamous cell
carcinoma. Method:Using immunohistochemical method and RT-PCR detecting 47 cases
of laryngeal squamous carcinoma tissues and 21 cases of para-carcinoma tissues
with the level of protein and mRNA, we analyzed the relationship of the genes
expression and clinical parameters. Result:The level of protein positive
expression of Fra-1 genes in laryngeal squamous cancer tissue were higher than
adiacent tissues (P<0.05). The expression of Fra-1 protein in laryngeal squamous
cancer tissue were significant correlation with the clinical stages, lymph
metastasis, smoking. They had no significant correlation with the pathological
grade, age, anatomic zonation. The mRNA level expression of Fra-1 genes in
laryngeal squamous cancer tissue were higher than adjacent tissues (P<0.01). The
expression of Fra-1 gene in the mRNA level was correlated with pathologic stage,
clinical stage, lymph metastasis, and smoking, but wasn't correlated with age and
anatomic zonation. Conclusion:In laryngeal squamous cell carcinoma, the
expression of Fra-1 gene are overexpressed. Fra-1 may play a role in the
occurrence and development of the laryngeal cancer.
PMID- 29798413
TI - [Study on the serum 25-hydroxyvitamin D levels of benign paroxysmal positional
vertigo patients].
AB - Objective:To study whether serum 25-hydroxy vitamin D can be used as an
independent indicator of prognosis in patients with benign paroxysmal positional
vertigo. Method:Two hundred and two patients with BPPV were collected and divided
into vitamin D deficiency group and control group according to their serum 25-OHD
level. The severity of the symptoms and the recurrence rate were compared between
the two groups. Result:Compared with the control group, patients with vitamin D
deficiency group showed severer symptoms, either in longer duration of vertigo,
lower success rate of repositioning maneuver treatment at the first time, or
higher recurrence rate within six months. Conclusion:Serum 25-OHD level was
negatively correlated with the severity and prognosis of BPPV, and could be used
as an important index to evaluate the prognosis of BPPV.
PMID- 29798412
TI - [Comparative study of VOTE classification in obstructive sleep apnea hypopnea
syndrome patients between awake and sleep state].
AB - Objective:To compare the differences of the sites of airway obstruction in OSAHS
patients between awake and sleep state by using the VOTE classification system.
Method:Forty OSAHS patients diagnosed by PSG underwent awake Muller's maneuver
and drug-induced sleep endoscopy (DISE). Video and compare the observation. Its
findings were described using the VOTE classification system which include the
following features: level, degree and configuration of obstruction. Associations
were analyzed between different degrees of obstruction, BMI, AHI, minimal SaO2
and average SaO2. Result:Our patients had 52.5% complete velum collapse and 30.0%
complete oropharyngeal lateral wall collapse in awake Muller's maneuver. In DISE,
airway closure of 95.0% cases related to velum occurred collapse in concentric
configuration, and 90.0% cases showed completely obstructive. We found that 60.0%
complete oropharyngeal lateral wall collapse and 17.5% complete tongue base
collapse. There was a significant difference in the VOTE scores between DISE and
awake Muller's maneuver, and the VOTE scores of DISE were higher in all levels
(P<0.05). No association was found between degrees of obstruction, AHI, BMI,
minimal SaO2 and average SaO2 in Muller's maneuver (P>0.05). Complete velum
collapse was just significantly associated with AHI and minimal SaO2 in DISE
(P<0.05). Conclusion:The VOTE scores of DISE were higher than awake Muller's
maneuver, reflected by more obstructive levels and severe degree. The difference
between different degrees of obstruction, BMI, AHI, minimal SaO2 and average SaO2
was not significant.
PMID- 29798414
TI - [The expression and clinical significance of survivin in salivary gland adenoid
cystic carcinoma: a Meta analysis].
AB - Objective:This Meta-analysis was performed to explore the association between
survivin expression and clinical pathological features of salivary gland adenoid
cystic carcinoma (SACC). Method:Literature was searched in some databases such as
PubMed, Embase, Cochrane Library, web of science, OVID, Springerlink, CNKI, CBM,
Wanfang and CQVIP. The pooled odds ratios (ORs) and 95% confidence intervals (95%
CIs) were estimated to determine the correlation between survivin expression and
SACC using a fixed or random effects model according to heterogeneity. Result:A
total of ten studies with 367 SACC patients and 124 normal control subjects were
included in this Meta-analysis. The results showed that survivin was
overexpressed in SACC tissues (OR=38.26, 95% CI: 17.37-84.29, P<0.01). It also
revealed significant correlations between survivin expression and pathological
types (OR=0.3, 95% CI: 0.17-0.55, P<0.01), advanced tumor clinical stages
(OR=0.23, 95% CI: 0.13-0.42, P<0.01) and lymph node metastasis (OR=3.7, 95% CI:
1.82-7.56, P<0.01). Conclusion:The current study demonstrated that survivin
expression may be associated with the clinical pathological features of SACC.
However, due to the influence of the quantity and quality of the included
literature, additional high quality of studies with larger sample sizes are
needed to confirm our findings.
PMID- 29798415
TI - [Degeneration and regeneration characteristics of nerves and muscles after
recurrent laryngeal nerve injury].
AB - Objective:We investigated the degeneration and regeneration characteristics of
nerves and muscles after different degrees of recurrent laryngeal nerve (RLN)
injury, and then discussed the possible relations between them. Method:Ninety
five Sprague Dawley (SD) rats were used in this study, 2 rats died of infection,
2 died during surgery and 1 died in transit. The rest 90 SD rats were classified
as having complete injuries (transection,43 rats), incomplete injuries (crush, 43
rats) and conrtol group (4 rats). The characteristics of nerve injuries were
evaluated by endoscopic examination, laryngeal electromyography (LEMG), and
histopathologic examination at 6 hours, 12 hours, 1 day, 3 day, 5 day, 1 week, 2
weeks, 3 weeks and 4 weeks after the injury. Result:All the vocal folds kept
fixation in the completely injury group, where as 41/43 vocal folds in
imcompletely injury group got normal activity 2 weeks after surgery, 1 at 3 weeks
and 1 at 4 weeks. Fibrillation potentials were found 1 day after transection, and
rennervation potentials appeared 4 weeks after transection. LEMG was normal 3
weeks after RLN was crushed. The average muscle fiber number of the left
thyroarytenoid (TA) decreased with the time in the completely injury group, which
increased in the second week after crush. Degeneration appeared more seriously in
the distal region after transaction, and a small amount of large myelinated nerve
fibers appeared at 4 weeks after injury. The most serious nerve degeneration
appeared in the first day after crush, and 1 week later the ultrastructures of
RLN were almost normal. The phagocytosis of Schwann cells occurred within 2 weeks
after injury, 3 weeks later we found the apperance of Schwann cells who did not
wrap around nerve fibers, especially in the proximal region. In the crush group,
the phagocytosis of Schwann cells occurred within 1 week after injury, apoptosis
and degeneration appered occasionally. Inflammatory response was more severe in
the transection group, the number of fibroblasts and collagen fibers in the
mesenchy increased. Conclusion:The degeneration is more severe in the distal
nerve when RLN is traversed. Nerve regeneration appears in the fourth week after
RLN is traversed. Injuried nerve has the ability to repair itself. Schwann cells
play a role in phagocytosis after injury, and immature Schwann cells are found.
Nerve fiber disintegration product can accelerate the migration of macrophage
activation.
PMID- 29798416
TI - [Metformin induced apoptosis of papillary thyroid carcinoma BCPAP cells].
AB - Objective:To investigate the role of metformin in inducing apoptosis of papillary
thyroid carcinoma BCPAP cells. Method:Using MTT methods to detect effects of
metformin on cell proliferation of BCPAP in different concentrations (0 mmol/L, 1
mmol/L, 5 mmol/L, 10 mmol/L, 20 mmol/L) and time course (0 h, 4 h, 8 h, 16 h, 24
h, 48 h). The experiment was divided into four groups: Con, Met, Met+Sal and
Met+DM, flow cytometry to detect the rate of apoptosis of BCPAP. Then detect the
protein expressions of CHOP, GRP78 and Caspase-12 of 4 groups by Western blot.
Result:Compared with the experimental control group, the percentage of cell
proliferation index significantly decreased in metformin (0-20 mmol/L and 0-48 h)
treatment group. Compared with the experimental control group, the percentage of
apoptosis cells significantly increased in metformin treatment group. Compared
with the control group, the protein expressions of GRP78, CHOP and Caspase-12
were significantly increased in Met group; While compared with the Met group, the
protein expressions of GRP78, CHOP and Caspase-12 were significantly inhibited in
the Met+Sal group. Conclusion:Metformin can induced availably BCPAP cell
apoptosis by activating endoplasmic reticulum stress mechanism.
PMID- 29798417
TI - [Expression and clinical significance of Nrf2 and ERK pathway in papillary
thyroid carcinoma].
AB - Objective:To explore the expression and clinical significance of nuclear factor
erythroid-2 related factor 2 (Nrf2) and ERK sinal in papillary thyroid carcinoma
(PTC). Method:PTC microassy were detected by immunohistochemical method (IHC).
The expression of Nrf2, ERK2 and p-ERK1/2 in PTC, para-carcimoma and normal
thyroid tissue were detected by Western blot. The transcriptional level of Nrf2
mRNA in PTC, para-carcimoma and normal thyroid tissue were detected by real-time
quantitative PCR (qPCR) method. Result:Nrf2, ERK2, p-ERK1/2 protein were high
expression in group of lymph node metastasis PTC and negative or weak positive
expression in para-carcinoma tissue (P<0.01). And the proteins of clinical stage
III-IV of PTC groups express higher than without lymph node metastasis group and
clinical stage I-II of PTC group (P<0.05). The expression of Nrf2 protein was
positively correlated with ERK2 and p-ERK1/2 protein in PTC (r=0.723 2,r=0.668
6,P<0.05). The Western blot results of Nrf2, ERK2, p-ERK1/2 had a higher level in
PTC than those of paracarcinoma and normal thyroid tissue (P<0.01). The level of
Nrf2 mRNA were higher than those of paracarcinoma and normal thyroid tissue
(P<0.01). Conclusion:High expresion of Nrf2 may be associated with the
progression of papillary thyroid carcinoma, and may relate to the high expression
and activity of ERK pathway.
PMID- 29798418
TI - [Effect of recombinant human interleukin-11 treatment on prognosis of patients
with radiochemoradiotherapy in the treatment of nasopharyngeal carcinoma].
AB - Objective:To investigate the effects of recombinant human IL-11 treatment on
prognosis of patients with radiochemoradiotherapy in the treatment of
nasopharyngeal carcinoma. Method:Seventy-eight cases of nasopharyngeal carcinoma
patients were selected as the research object, all the patients were equally
divided into observation group and control group with 39 cases in each group
accorded to the random draw envelope principle. The control group received
conventional chemoradiotherapy treatment, the observation group received the IL
11 treatment based on the treatment in control group, the treatment cycles in the
two groups were 2 cycles. Result:After treatment, the total effective rates of
the observation group and the control group were 87.2% and 59.0%, respectively,
the total effective rate of the observation group was significantly higher than
that of the control group (P<0.05). There were 14 cases of grade 0 radiation
induced oral mucositis, 6 cases of grade I, 14 cases of grade II, 5 cases of
grade III in the observation group during the treatment; There were 28 cases of
grade 0 radiation-induced oral mucositis, 5 cases of grade I, 4 cases of grade
II, 2 cases of grade III in the control group during the treatment there was
statistically significant difference between the two groups (P<0.05). After
treatment, the serum CRP of observation group and control group were (5.32+/
1.92)mg/L and (12.44+/-2.48)mg/L that were significantly lower than before
treatment of the (24.59+/-3.14)mg/L and (24.12+/-2.87)mg/L (P<0.05), serum levels
of CRP after treatment in the observation group were significantly lower than the
control group (P<0.05). After treatment, the pain scores of the observation group
and the control group were significantly lower than those before treatment
(P<0.05), and the pain scores of the observation group after treatment were
significantly lower than the control group (P<0.05). Conclusion:The application
of recombinant human IL-11 in the treatment of nasopharyngeal carcinom patients
with radiochemoradiotherapy can decrease the expression of CRP, reduce the pain,
relieve the oral mucositis and improve the prognosis.
PMID- 29798419
TI - [Study on the recent application of ear correction model in children with
congenital auricular deformity].
AB - Objective:To study the short-term effect of Earwell ear correction model on
congenital auricular deformity in children. Method:Selected 38 children with ear
malformation, a total of 42 ears, born at the age of 7 days to 176 days, and the
average age was 62.40 days, and all of patients were used the U.S. Earwell
correction model for correction. Result:Final auricular morphologic results were
classified as excellent (normal shape), good (nearnormal shape), and poor (slight
or no improvement). And the patients were divided into group 1 (neonatal period),
group 2 (28-90 days) and group 3 (more than 90 days) according to age, after
using the Earwell ear correction device, the result which evaluated excellent are
100.00%, 89.47% and 72.73% respectively, and the average correction times are
16.75 days, 26.26 days and 38.91 days respectively, the ratio of complications
are 0, 73.68% and 100.00% respectively. Conclusion:The effection of Earwell ear
correction model is significant for the correction of children with congenital
auricular deformity , the earlier treatment cause the better result, the shorter
of the correcting time , and the lower of the complication rate.
PMID- 29798420
TI - [The standardization of inflammation detecting methods in upper and lower
airways].
AB - Objective:To investigate the standardization of inflammation detecting methods in
upper and lower airways. Method:After a five year cooperation with Guangzhou
Institute of Respiratory Diseases on inflammatory diseases of airways, we have
found a series of evaluation methodology and normative values in upper and lower
airways (NO), airway hyper reactivity and cytology (Eos). Result:The normative
range of nasal and pulmonary NO is 400-900 ppb and 5-25 ppb respectively. The
nasal resistance increased >=100% and FEV1 fell >=20% when compared with their
respective baselines both illustrating a positive result. The positive value of
nasal and pulmonary Eos are >=2.00/HP and >=2.5% respectively. Conclusion:The
standardization of evaluation methods for upper and lower airway inflammation
provides the methodology and research basis for follow-up studies of upper and
lower airways.
PMID- 29798421
TI - [Application of scar skin dilatation in repair of auricular defects after burn].
PMID- 29798422
TI - [Nasal sinus tuberculosis misdiagnosed as chronic nasal sinusitis in 2 cases].
PMID- 29798423
TI - [Synchronous multifocal laryngeal squamous carcinoma a case report].
AB - An aged female complained intermittent hoarse 10 years, without swallowing and
breathing difficulties. A month ago, this patient's voice hoarse became worse,
she also had sore throat and pharyngeal foreign body sensation at the same time.
There are visible lesions on the right side of the vocal cords, anterior
commissure and on the left side of the ventricular bands. Laryngeal CT: the right
side of the vocal cords has increased thickness, and hyper density with mild
enhancement.
PMID- 29798424
TI - [Treatment for the external auditory canal lesions in the psoriasis patient: a
case report].
AB - A case of a psoriasis patient with the lesions in the left external auditory
canal is reported. A 34-year-old male patient has the symptoms of left hearing
loss and aural fullness for 8 years. Physical examination: left external auditory
canal was full of granulation tissue. Ear HRCT: the left external auditory canal
filled with tissue, no damage of the external auditory canal bone. Pure tone
audiometry: mild conductive hearing loss in the left ear. Clinical diagnosis:
psoriasis lesions in left external auditory canal, psoriasis vulgaris.
PMID- 29798425
TI - [Lateral semicircular canal cupulolithiasis in children: a case report].
AB - We report a case of lateral semicircular canal cupulolithiasis in a 12 years old
child. He presented to ENT clinic due to vertigo and nausea for 4 hours. Roll
test showed strong apogeotropic nystagmus for about 70 seconds when roll to the
left recumbent position. The right lateral semicircular canal cupulolithiasis was
diagnosed. The child was treated with the Li's quick repositioning maneuver and
the vertigo was disappeared. His symptoms did not occurred after 6 months follow
up.
PMID- 29798426
TI - [Review of 1 000 Hz probe tone tympanogram applying to evaluate middle ear of
infants].
AB - 1 000 Hz probe tone acoustic admittance test as a efficient method used to
evaluate function of middle ear in infants, has reached consensus from domestic
and foreign scholars. But there still exsits controversy on proper use in infants
of months old. Here is to make a brief introduction about physiological
characteristics of middle ear in infants, 1 000 Hz tympanometry and applicable
age range, hoping to providing reference for future research.
PMID- 29798427
TI - [Progress in the role of neurotrophin-3 and its receptors in the development of
cochlear spiral ganglion in rats].
AB - Neurotrophin-3 (NT-3) attracted increasing attention about NTFs researches in
recent years. But the mechanism of promoting the development of neurons and
neurite extension is not clear. Recombinant human NT-3 or NT-3 gene is commonly
used in the treatment of peripheral and central neurons system damage. When rats
are born, the cochlear is not mature yet. It is a suitable experimental animal
for studying the morphological and functional development of the peripheral
auditory pathway. It was found that NT-3 could promote the survival, growth,
division and extension of the cochlear neurons in rats. To make clear the role of
NT-3 in the development of spiral ganglion in the rat cochlear will be of
significance for the treatment of nervous hearing loss by NT-3 in the future.
PMID- 29798428
TI - [Research progress on cochlear implantation in patients with unilateral
deafness].
AB - Cochlear implantation (CI) is a relatively new therapeutic method for patients
with severe-to-profound unilateral sensorineural hearing loss. Acoustic signal
can stimulate the ear directly, thus patients can gain better binaural hearing.
This passage has reviewed the recent researches about CI for patients suffered
from single side deafness. It can improve the ability of sound localization and
speech recognition in noisy environment for patients, in addition, it may relieve
tinnitus to some degree. But the long-term effect and indication selection still
need further study.
PMID- 29798429
TI - [Classification and clinical research progress of sudden deafness].
PMID- 29798430
TI - [Research progress on the etiology of bilateral sudden deafness].
PMID- 29798431
TI - [A clinical analysis for sudden sensorineural hearing loss withcontralateral
sensorineural hearing loss].
AB - Objective:To analyze the clinical characteristics and prognosis,and to learn the
impact factors of patients with sudden sensorineural hearing loss with
contralateral sensorineural hearing loss(SSHLwCSHL).Method:Clinical data of 63
cases of patients with SSHLwCSHL were analyzed systematically,including all the
clinical manifestations,audiologic characteristics and the effect assessment,and
compared with that of unilateral sudden sensorineural hearing loss(USSHL) and
bilateral sudden sensorineural hearing loss(BSSHL).Base on those,we summarized
comprehensively the development and prognosis characteristics of the
disease.Result:The incidence of SSHLwCSHL was 8.3 percent of overall patients
with SSNHL.SSHLwCSHL occurs more commonly in male patients,with more
vertigo,diabetes mellitus,and lipid panel abnormalities compared with other
groups.Hearing curve and the degree of hearing loss of the prevalence ear of
SSHLwCSHL was statistically significant difference with USSHL(P<0.05).Most common
reason of the contralateral hearing loss was sudden sensorineural hearing
loss(49%),and 59% patients of SSHLwCSHL suffered hearing loss of other ear after
2-10 years after contralateral hearing loss.The total effective rate was 14.3%,1
in 63 patients cured,1 excellence and 6 effective.The total effective rate was
9.5% in patients with severe or profound sensorineural hearing loss in the
contralateral ear,which was lower than that of patients with moderate and
moderately severe sensorineural hearing loss in the contralateral
ear(P=0.021).Conclusion:SSHLwCSHL has complex condition.The prognosis for
improvement is poor.Recognition of similarities and differences between bilateral
and unilateral SSNHL can help in counseling and managing the patients.
PMID- 29798432
TI - [The role of word recognition score in outcomes assessmentof idiopathic sudden
sensorineural hearing loss].
AB - Objective:To investigate the change of word recognition score(WRS) during the
treatment of patients with idiopathic sudden sensorineural hearing loss(ISSNHL)
and explore the role of WRS in outcomes assessment of ISSNHL.Method:Thirty-seven
patients diagnosed with ISSNHL,whose pure-tone average(PTA) has no change but WRS
has improved after treatment,were analyzed retrospectively.The WRS and the test
intensity for WRS of pre-treatment and those of post-treatment were compared
statistically.Result:There is statistical significance between WRS of post
treatment and that of pre-treatment(P<0.01).The increase of WRS score is
consistent with improvement of symptom in these patients.Conclusion:More
attention needs to be paid to WRS during treatment of ISSNHL patients,especially
those whose PTA has no improvement but patients feel better about their
hearing,and WRS could be an important factor in terms of outcome assessment
during treatment of ISSNHL patients for the further treatment.
PMID- 29798433
TI - [Analysis of tinnitus with sudden deafness].
AB - Objective:To explore the pathogenesis of tinnitus.Method:Retrospective analysis
of 192 patients with sudden deafness was done with the characteristics.Charactier
of tinnitus and the factors that may affect tinnitus.Result:The intense of
tinnitus is related with sex,chi2=14.704,P<0.01,and with age increased,the
difference was more significant.The intense of tinnitus has not significant
difference between age group with 45 years old(chi2 =6.515,P>0.05) and the
classification of sudden deafness and the degree of hearing
loss(chi2=7.783,P>0.05,chi2=17.374,P>0.05).Conclusion:Tinnitus was the protection
mechanism of the body,and the intensity was irrelevant to hearing loss.On one
hand,there may be different modulate systems between different individual,on the
other hand,women over 45 years old were more suffered from the severe tinnitus.
PMID- 29798434
TI - [The characteristics of the patients with BPPV accompanied byidiopathic sudden
sensorineural hearing loss].
AB - Objective:To investigate the characteristics of the patients with BPPV
accompanied by idiopathic sudden sensorineural hearing
loss(ISSNHL).Method:Retrospective analysis of 150 cases of patients with
ISSNHL.All data of the cases were collected,including history,pure tone
audiograms and VNG tests.Result:16.0% of all patients had BPPV accompanied by
ISSNHL.77.8% of these patients had an abnormal caloric test result.73.9% of them
had a profound hearing loss.The hearing outcome between those with and without
BPPV had no significant difference.Conclusion:Patients with BPPV accompanied by
ISSNHL seem to have a more severe damage than those without BPPV,while the
hearing outcomes seem no significant difference between them.
PMID- 29798435
TI - [Clinical characteristics of sudden low-tone hearing loss].
AB - Objective:We aimed to investigate the prognosis and clinical characteristics of
patients with acute low-tone sensorineural hearing loss(ALHL) and the patients
with sudden low-tone loss who were not classified in the audiometric definition
ALHL of(non-ALHL).Method:Two hundred and seven patients diagnosed at the
university hospital with idiopathic sudden low-tone loss of sensorineural hearing
loss including 48 ALHL and 159 non- ALHL from Jan 2010 to Dec 2015.The patients
were followed up in the long term with three months to 5 years.The rates of
recurrence and/or progression to Meniere's disease for patients with ALHL were
compared with those for non-ALHL patients.Result:The recurrence rate was 26.4%
for non-ALHL and 16.7% for ALHL.There was statistically significant difference
between the two patient groups.In ALHL patients,8.8% patients progressed to
Meniere's disease,1.9% was diagnosised with acoustic neuroma and 5.7% with
vestibular migraine.In non-ALHL patients,4.2% patients progressed to Meniere's
disease.Conclusion:In clinical practice,sudden low-tone loss other than ALHL
shows a potential for recurrence and progressed to Meniere's disease.
PMID- 29798436
TI - [Curative effect analysis of different degree of hearing lossin sudden deafness
patients].
AB - Objective:Through analysis of the clinical data for different degree of hearing
loss in patients with sudden deafness,to learn the relationship between degree of
hearing loss and therapeutic effect.Method:By selecting for nearly three years
264 cases of patients with sudden deafness hospitalized in our department,we
classified them by the level of hearing loss,to comparatively analyze the
therapeutic effect on different degree of hearing loss in patients with sudden
deafness.Result:The more severe the degree of low-frequency hearing loss,the
worse the therapeutic effect.In all frequency sudden deafness,there were no
clinically significant in mild and moderate sudden deafness(P>0.05);The cure rate
and effective rate among mild,moderate and total sudden deafness are
statistically significant(P<0.05),and between severe and total sudden deafness
recovery rate are statistically significant(P<0.05);and mild and severe as
so(P<0.05),the rest are no statistical significance(P>0.05).Conclusion:The degree
of hearing loss has important influence on the curative effect of sudden
deafness.
PMID- 29798437
TI - [The reclassification of sudden deafness].
AB - Objective:Reclassified the total deafness and flat type of sudden
deafness,identified the relationship between new classification and hearing
prognosis.Method:To analyze 192 cases of patients with sudden deafness,especially
for 159 cases of flat type and total deafness patients for further curve type
classification,classified as rise,decline and consistent,analysis the possible
factors with hearing in multiple factors using regression
analysis.Result:According to age group of 45 years old,P>0.05,the difference has
not statistically significant;Course of the disease according to the 0-7 days and
14 days more,P<0.01,OR=4.291;8-14 days and 14 days,P<0.05,OR=2.983;According to
the flat type and total deafness grouping,P<0.05,OR=0.409;According to the curve
type of deafness,the difference between rise type and total deafness was
significant,P<0.01,OR=9.692;Decline type,consistent type compared to total
deafness type,there is no statistically significant
difference,P>0.05.Conclusion:According to the pathogenesis of
classification,sudden deafness can be divided into rise type,decline type and
consistent type.The pathogenesis of rise type may be different degree of hydrops
of inner ear.Decline type may be hair cell damage,and consistent type may be
related to the damage of vascular stria and potential labyrinthitis,prognosis is
poorer.
PMID- 29798438
TI - [Characteristics of tinnitus related to idiopathic suddensensorineural hearing
loss in 272 patients].
AB - Objective:To investigate the characteristics of sudden sensorineural hearing loss
(SSHL) patients with tinnitus.Method:Two-hundred and seventy two SSHL patients
with tinnitus underwent evalution through Tinnitus Handicap Inventory(THI) and
Tinnitus Questionnaire(TQ).Demographic data and tinnitus characteristics were
analyzed.Result:In 272 patients,41.6% of patients suffered from low frequency
tinnitus,2.0% with medium frequency tinnitus,56.4% with high frequency
tinnitus.There were 79% patients whose tinnitus were continous,while 21% were
intermittent;From the view point of daily life compromising,37.4% were
minor,44.8% were moderate,17.8% were serious.The most important factors that
deteriorate tinnitus were bad sleep,noise,life pressure and
tiredness.Conclusion:There were individual differences among patients with sudden
deafness and tinnitus.Enough evaluation should be made to decrease the infection
of tinnitus.
PMID- 29798439
TI - [An analysis of various diseases and hearing screening in NICU infants].
AB - Objective:It is the first time to study the hearing screening results in NICU
infants in Heilongjiang province,to analyze the various diseases and hearing loss
distribution in NICU infants.Method:Three hundred and thirty four newborns(668
ears) in NICU received hearing screening with TEOAE and AABR test.We compared the
results of different risk factors.Result:The failed ratio of different diseases
in NICU are as follow: premature infants 61%,hypoxic-ischemic encephalopathy(HIE)
35%,neonatal infectious pneumonia 30%,neonatal sepsis 30%,neonatal aspiration
pneumonitis 36%,neonatal jaundice 29%.Conclusion:The positive ratio of preterm
infants was 61%,which is higher than the other diseases in NICU infants of
Heilongjiang province.Both TEOAE and AABR failure have a high incidence of
abnormal hearing status.Neonatal jaundice,neonatal infectious pneumonia and
premature infants diseases are the high risk factors of auditory neuropathy
spectrum disorder(ANSD) in NICU infants of Heilongjiang.
PMID- 29798440
TI - [A hereditary hearing loss case accompanied by unilateral sudden hearingloss:GJB2
235delC homozygotes related late-onsetmoderate hearing impairment].
AB - Summary A sporadic,case suffering from sudden hearing loss of left ear
accompanied by tinnitus when he visited our hospital in 2015,whose hearing
threshold had a fluctuation in recent two year.Mutation screening of GJB2
gene,was carried out on the case and his parents by polymerase chain reaction
amplification and Sanger sequencing.Targeted 307 genes capture and next
generation sequencing(NGS) was performed to explore,additional possible genetic
codes.GJB2 235delC homozygotes were identified,and NGS showed no other
pathogenic,likely pathogenic variations or modifier genes.The overexpression of
Connexin30 or the presence of modifier genes may be the possible mechanisms of
the late-onset moderate hearing impairment phenotype,and much more cases
collection and further in vivo/vitro experiments need to be done to decipher the
genetic code.
PMID- 29798441
TI - [The application of U-shaped cartilage-perichondrium tympanoplastyfor subtotal
tympanic membrane perforation].
AB - Objective:To evaluate the anatomical and functional results of U-shaped cartilage
perichondrium tympanoplasty for subtotal tympanic membrance perforation.Method:A
retrospective study was carried out 45 patients(45 ears) with chronic otitis
media treated with U-shaped cartilage-perichondrium tympanoplasty.Patients were
followed up to assess anatomic and functional results and the data was analyzed
statistically.Result:Forty-five cases were followed up successfully,all cases had
a tympanic membrane with no irregularities(100%),and the average air-bone gaps of
pure tone audiometry of 0.25,0.50,1.00,2.00,4.00 kHz were reduced
significantly(P<0.05).Conclusion:U-shaped cartilage-perichondrium tympanoplasty
for subtotal tympanic membrane perforation is good with high survival rate and
better results of hearing.
PMID- 29798442
TI - [The value of area curve ratio electrocochleography in diagnosisof Meniere's
disease during different periods].
AB - Objective:To evaluate the value of summating potential /action potential (SP/AP)
area curve ratio in diagnosis of Meniere s disease(MD) during acute attacks and
quiescence phase.Method:The normal control group and the MD group during acute
attacks and quiescence phase received electrocochleography(EcochG)examination.The
SP/AP amplitude and area curve ratios were measured respectively(to derive the
SP/AP amplitude and area curve ratio),with normal SP/AP amplitude and area curve
ratios of EcochG were identified,and analysed the results of EcochG in MD acute
attacks and MD quiescence phase and the normal control group.Result:The upper
limit of normal for SP/AP amplitude and area curve ratio were respectively 0.40
and 1.78.The SP/AP area curve ratio positive rate during acute attacks was 95.56%
and SP/AP amplitude ratio was 71.77%.SP/AP area curve ratio positive rate during
quiescence phase was 55.56% and amplitude ratio was 33.33%.The results showed
that there were significant different between the two markers(P<0.05).The
positive rate which during the period of acute attacks was higher than that
quiescence phase period,The positive rate of the SP/AP amplitude and area curve
ratios between acute attacks and quiescence phase were significant
different(P<0.05).By the F rest,the SP/AP area curve ratio parameters in MD acute
attacks and MD quiescence phase and the normal control group were compared,and
the difference was significant(F=111.10,P<0.05).There were significant different
between the two groups among three(P<0.05).The SP/AP area curve ratio parameters
among the MD acute attacks and MD quiescence phase and the normal control group
were significant different(F=65.68,P<0.05).There were significant different
between the two groups among three(P<0.05).Conclusion:It can increase the
positive rate when undergo the EcochG examination during acute attacks of
Meniere's disease,while the SP/AP area curve measures are more sensitive than
SP/AP amplitude ratio in diagnosis of Meniere's disease.
PMID- 29798443
TI - [Efficacy of different treatment on residual symptoms aftercanalith repositioning
procedure in patients withbenign paroxysmal positional vertigo].
AB - Objective:To assess which methods are effective for residual symptoms after
canalith repositioning procedure in patients with benign paroxysmal positional
vertigo(BPPV) then guide the clinical treatment.Method:A total of 93 cases who
were diagnosed with BPPV,then after the canalith repositioning procedure,which
still have residual symptoms were enrolled in our study.They were randomized into
three groups,The control group(A),The drug group(Ginkgo Leaves Soft-gel Capsule
and Qiangli Dingxuan tablets)(B),The vestibular rehabilitation gymnastics
group(C).Then compare the efficacy of different treatment.Result:In the follow-up
of 30 days,the number of DHI scores decline of group A is significantly lower
than that of group B(P<0.05) and C(P<0.05),making statistical significance.The
residual symptom duration of group A is significantly longer than that of group
B(P<0.05) and C(P<0.05),making statistical significance.There is no significant
statistical difference in comparing the number of DHI scores decline in the
follow-up of 30 days,and the residual symptom duration of B and
C(P>0.05).Conclusion:The drugs for improve the microcirculation and vestibular
rehabilitation gymnastics can reduce the duration of residual symptoms.
PMID- 29798444
TI - [The clinical study of the treatment of auricular pseudocyst with Suture
following by pressure dressing].
PMID- 29798445
TI - [Clinical application of acellular dermal matrix on repairingexternal auditory
canal skin defects].
PMID- 29798446
TI - [Eosinophilic granuloma of the temporal bone: a case report].
AB - One case of Eosinophilic granuloma of the temporal bone was reported which had
been misdiagnosed as cholesteatoma.This disease is a rare benign tumour that is
lack of specific feature in clinical performances and easy to be misdiagnosed.
PMID- 29798447
TI - [Primary ectopic meningioma of the middle ear:a case report].
AB - Extracranial meningioma involving the middleear is extremely uncommon.We report a
case of a primary ectopic meningioma of themiddle earand clinically presenting as
tinnitus with hearing loss.This case report highlights important considerations
and pitfalls in the diagnosisand treatment methods of this tumour.
PMID- 29798448
TI - [Challenge in the management of benign paroxysmal positional vertigo].
AB - Benign paroxysmal positional vertigo (BPPV) is the most common peripheral
vestibular disorder,which is defined as a disorder of the inner ear characterized
by repeated episodes of positional vertigo as changes in head position.The
effective positioning maneuvers has made BPPV the most successfully treated type
of vertigo.However,some patients experience a serious disturbance with residual
vertigo,light cupula and persistent or repeated attacks of BPPV even after
several trials of canalith repositioning procedures.In this article,the challenge
as light cupula,management of residual vertigo and repeated attacks of BPPV after
canalith repositioning procedures were reviewed.
PMID- 29798449
TI - [Clinical diagnosis and treatment progress of acute otitis externa].
AB - Acute otitis externa(AOE) is defined as diffuse inflammation of the external ear
canal skin or subdermis occurred in 3 weeks,which sometimes may also involve the
pinna or tympanic membrane.Clinical manifestations
include:otalgia,itching,fullness,sometimes with hearing loss.The hallmark sign of
AOE is tenderness with movement of the tragus or pinna AOE Often occurs in adults
or children older than age of 2,while little morbidity in children under the age
of 2.Lifetime incidence is up to 10% in a persons life.Recently,a lot of
different versions of the AOE clinical practice guidelines were published
abroad.In this review ,the etiology,diagnose,therapy,prevention,are comprehensive
described,which on the basis of evidence-based medicine.
PMID- 29798450
TI - [The application of acoustic stapedial reflex in hearing screening of infants].
AB - Nowadays,the newborn hearing screening has carried out in China
generally.Acoustic stapedial reflex,which could detect problems in the stapedial
reflex pathway,is one of the general screening tools.Because of the immatureness
of hearing system and poor cooperation of infants,we should build new standards
of infants when testing acoustic stapedial reflex.The paper has summarized the
studies about acoustic stapedial reflex on infants,which may guide the clinical
work.
PMID- 29798451
TI - [Progress in the tests of eustachian tube function].
AB - The eustachian tube is a narrow canal which connects the back of the nose with
the middle ear cavity.It is made up of a bony component comprising the lateral
one third and a cartilaginous component comprising the medial two thirds.The
eustachian tube is responsible for three main physiologic functions:1pressure
equilibration,2fluid clearance from the middle ear,3protection of the middle ear
from pathogens,which help maintain an air filled and fluid free cavity to allow
sound transmission.Eustachian tube dysfunction(ETD) is a common middle ear
disease which may manifest tympanic membrane atelectasis,otitis media with
effusion and attic retraction pocket.ETD is often linked to the underlying
possible cause of many middle ear diseases.The diagnosis of ETD relies on the
accurate assessment of the Eustachian tube function and different tests have been
developed for this intention during the past few decades.In this review we will
discuss the application of these tests of Eustachian tube function.
PMID- 29798452
TI - [Management of chronic rhinosinusitis].
AB - Chronic rhinosinusitis(CRS) is a common upper respiratory tract disorder that
involves chronic inflammation of the nasal and paranasal sinus mucosa.CRS can be
subdivided into two categories: CRS with nasal polyps(CRSwNP) and without nasal
polyps(CRSsNP).The management of CRS usually involves medical treatment and
surgical treatment.A long-term anti-inflammatory treatment is the key for curing
CRS.On the other hand,surgery should be considered when there is persistence the
symptomatology despite a maximal medical treatment.For those repeatedly
recurrence cases,the surgery usually as an adjunct to the medical treatment.On
the basis of distinct phenotypes and endotypes of CRS,the management of CRS is
extremely individual and must be discussed case by case in the future.
PMID- 29798453
TI - [Clinical analysis of delayed epistaxis after craniofacial trauma in 16
patients].
AB - Objective:To investigate the etiology,clinical presentations,diagnosis and
treatment of delayed epistaxis after craniocerebral trauma.Method:A retrospective
analysis was made including 16 cases who had the traumatic carotid artery injury
with massive delayed epistaxis.All of them were finally diagnosed by digital
substraction angiography(DSA).Final clinical outcome,radiographic data and follow
up data were analyzed.Result:Two cases of traumatic pesudoaneurysm from internal
maxillary artery were embolized with polyvinyl alcohol particles and gelatin
sponge.Fourteen cases of traumatic pesudoaneurysm located in ICA cavernous
segment was embolized by covered stent.The covered stent placement was successful
in all 14 pseudoaneurysms.No procedure-related complications or deaths occurred
during follow-up except one of the case with visual field defects and another
case with vision loss.Conclusion:Patients with delayed massive epistaxis or
recurrent epistaxis after craniofacial trauma which cause pesudoaneurysm should
undergo CTA,MRA or DSA examination,and it is would help to get proper diagnosis
and treatment as early as possible.No recurrence was found after successful
endovascular techniques.
PMID- 29798454
TI - [Analysis and evaluation of droplet digital PCR for H.pylori infections in
chronic tonsillitis].
AB - Objective:To investigate the measurement effect of droplet digital PCR(dd-PCR)
for H.pylori infections in chronic tonsillitis and explore the correlations
between H.pylori infections and chronic tonsillitis.Method:The subjects consisted
of 48 chronic tonsillitis patients aged between 7 and 52 years scheduled for
tonsillectomy.Core biopsy samples from resected tonsillary tissue was tested for
H.pylori detection using both RT-PCR and dd-PCR for the CagA and VacA
genes.Preoperative patient venous blood samples were also tested for H.pylori
antibodies by Enzyme-linked immunosorbent assay(ELISA).ELISA,RT-PCR and dd-PCR
were also used to detect expression of CagA and VacA genes in plasma and tissue
of 30 cases of obstructive sleep apnea syndrome(OSAHS) and 35 cases of plasma
from healthy subjects.Result:The expression of H.pylori antibodies is tested in
plasma:48 chronic tonsillitis patients(10.12+/-3.23)ng/ml, OSAS(9.87+/
2.43)ng/ml, healthy subjects(9.34+/-3.38) ng/ml.There was no significant
difference between groups in the plasma.The VacA and CagA gene sequences were
detected by RT-PCR:48 chronic tonsillitis patients
VacA(27.1%),CagA(16.7%),VacA+CagA(16.7%);30
OSAHS,VacA(23.3%),CagA(20.0%),VacA+CagA(16.7%);all of which were also positive by
dd-PCR,thus were considered H.pylori infected.Moreover,The expression of VacA and
CagA increased in tissues testing by dd-PCR:48 chronic tonsillitis patients
VacA(72.9%),CagA(52.1%),VacA+CagA(39.6%);30
OSAHS,VacA(33.3%),CagA(23.3%),VacA+CagA(16.7%).Conclusion:Our study supports the
possible role of H.pylori in chronic tonsillitis.H.pylori maybe one of the risk
factors of chronic tonsillitis.dd PCR had bettersensitivity and specificity
compare to H.pylori serological and RT PCR.Feasible anti H.pylori treatment maybe
used for H.pylori associated chronic tonsillitis.
PMID- 29798455
TI - [The analysis of the allergic rhinitis and chronic rhinosinusitis with nasal
polyps].
AB - Objective:To study the influence of allergen,sIgE,total IgE in allergic rhinitis
and chronic rhniosinusitis with nasal polyps.Method:Fifty patients with allergic
rhinitis and fifty patients with both allergic rhinitis and nasal polyp were
involved in this study.Average dense of sIgE and total IgE in serum were
dectected. Result:The kinds of allergens between the two groups were
siginificantly diffierent;there was no siginificantly diffierence on sIgE average
dense(P>0.05);total IgE dense was higher in allergic rhinitis and chronic
rhniosinusitis with nasal polyps patients(P>0.05).Conclusion:AR with nasal polyps
in patients was high proportion of food allergies and allergic reactions strength
was high than the AR.
PMID- 29798456
TI - [Efficacy of sublingual immunotherapy and subcutaneous immunotherapy in children
with allergic rhinitis].
AB - Objective:To evaluate the efficacy and safety of sublingual immunotherapy(SLIT)
and subcutaneous immunotherapy(SCIT) in children with pediatric allergic
rhinitis.Method:This study retrospectively analyzed the efficacy and safety of
SLIT and SCIT in 186 children with allergic rhinitis which induced by house dust
mites.Patients were randomly divided into two groups:SLIT group consisted of 104
patients,and SCIT group consisted of 82 patients.These two groups were followed
up 2 years and recorded on the following indexs including total rhinitis symptoms
score(TRSS),visual analogue scale(VAS),the rhinoconjunctivitis quality of life
questionnaire(RQLQ),skin index(SI),serum specific IgE(slgE), eosinophil cationic
protein(ECP),peripheral eosinophil(EOS) and adverse reactions.Result:After 2-year
treatment,both SLIT and SCIT groups showed significant reduction ins
TRSS,VAS,RQLQ,SI and ECP compared with those before treatment (P <0.05),while
there were no significant differences in sIgE of two groups compared with those
before treatment(P>0.05).Besides,there were no significant differences between
the two groups in TRSS,VAS,RQLQ,SI,sIgE,ECP and EOS (P >0.05) before or after
treatment.There were 3 patients in SLIT group and 2 patients in SCIT group who
showed adverse reactions.For the incidence of adverse reactions,the figures were
3.85% and 2.44%,respectively.No severe systemic side effects were registered in
these two groups.Conclusion:Both SLIT and SCIT demonstrated the improvement of
symptoms and quality of life in patients with pediatric allergic rhinitis.The
therapeutic efficacy is consistent with SLIT and SCIT.Both SLIT and SCIT groups
indicated significantly decrease in SI and ECP,which suggest that SI and ECP may
be the potential indicators in efficacy evaluation.
PMID- 29798457
TI - [Manifestation of first branchial anomaly:56 cases reportrhinitis].
AB - Objective:To sum up and conclude manifestation of congenital first branchial
anomaly(CFBCA).Method:The clinical data of 56 patients from 2005 to 2015 in our
hospital were retrospective reviewed.Result:Manifestation:mass without
pain(26.8%),repeated sore and discharge(71.4%),otological symptom(external
auditory discharge,hearing loss,28.6%).Eleven cases bacterial sample showed
positive result,and most of them show pseudomonas aeruginosa and staphylococcus
aureus.Auricular endoscopy typically performed stricture of external auditory
canal,cholesteatoma samples accumulated in ear canal,fistula at the conjunction
of the bone and cartilage and tympanic membranous attachment.Typical performance
of CT(MRI)was that there were cystic,lobulated or tubular abnormal shadow related
with ear canal in Pochet's triangle area whose cyst wall or pipe wall could been
enhanced in enhanced CT(MRI) scans,and part of that could be connected with
skin.The statistical difference between type Oslen and Work and clinical
characteristics(P<0.01),and the relationship between type Oslen and
Work(P<0.01).Most of Work I were cyst type,and these two type often had no
infected symptom.Most of them were young patients.Most of Work II were sinus and
fistula type ,and these two type often had infected symptom.Most of them were
teenagers.Part of patients of type Work II showed tympanic membranous
attachment.Conclusion:CFBCA was rare,and it is more common in young patients and
often in left part.It always performed as mass without pain,repeated sore and
discharge,external auditory discharge.Most of Work I were cyst type,and these two
type often had no infected symptom and most of them were young patients .Most of
Work II were sinus and fistula type,and these two type often had infected symptom
and most of them were teenagers.Auricular endoscopy,CT,MRI could help make
diagnose.Doctors clinical need to differentiate it with related diseases
according to different manifestations.
PMID- 29798458
TI - [Barium sulphate aspiration during upper gastrointestinal examinations: two cases
report and review of the literature].
AB - Objective:To investigate the causes of barium aspiration,death rate and the cause
of death in the upper gastrointestinal examinations,and to help the doctor
selecting a reasonable method in upper gastrointestinal tract
examination.Method:Two cases in our hospital was reported,and totally 25 patients
in 22 articles were obtained in pubmed or google shchoolar form 1989 to
2014.Purpose of radiologic studies of the upper digestive tract,distribution of
the aspirate,clinical presentation and prognosis after aspiration of the sulfate
barium in literature were collected.Result:1Aspiration of barium sulfate mainly
happened in elderly patients and infant.The age of died group were older than
survive group,however,there were no significant difference statistically(F=0.909,
P=0.355).2The directly mortality rate associated with barium aspiration was up to
38.1% in adult,and ten patients of them(47.6%) recovered.All the infants were
recovered completely form the respiratory distress cause by the aspiration of
barium sulfate.3Aspiration may more likelyoccur in certain conditions involving
dysphagia and esophageall obstruction by tumor or foreign body.Further,the gastro
esophageal reflux,recent esophageal surgery,eructation and vomiting may also
increase the risk of aspiration of the aspiration of barium sulfate.4About 84.0%
patients were with initial dyspnea,hypotension,respiratory distress or
respiratory failure.A minority of patients without significant reactions,only
suffered fever and cough(16.0%).5The deposition of barium sulphate were commonly
affected both lungs(80.0%).Barium sulfates distributed in unilateral lung only
were found in five patients 20.0%.Conclusion:Aspiration may easier occur in
certain conditions involving dysphagia and esophageall obstruction by tumor or
foreign body.Further,the gastro-esophageal reflux,recent esophageal
surgery,eructation and vomiting may also increase the risk of aspiration of the
aspiration of barium sulfate.Most of the patients after aspiration of barium were
presented with initial dyspnea,hypotension,respiratory distress or respiratory
failure.When considerable amounts of barium sulfate are aspirated into the lung
leading to arterial hypoxemia and dyspnea,it is mandatory that aggressive
treatment with steroids,antibiotics,respiratory physiotherapy,oxygen,and
bronchoalveolar lavage is initiated without delay.
PMID- 29798459
TI - [microRNA-98 and microRNA-143 in nasal and paranasal sinus carcinomas and its
clinical significance].
AB - Objective:To investigate the role and clinical significance of the expression of
microRNA-98(miRNA-98)and microRNA-143(miRNA-143)in development and progression of
nasal and paranasal sinus carcinomas.Method:The expression miRNA-98 and miRNA-143
was detected by Real time PCR metheod in the 53 nasal and paranasal sinus
carcinomas and 50 nasal polyp tissues and 20 cases of normal muscosa.The
expression of miRNA-98 was analyzed in nasal and paranasal sinus carcinomas with
different clinicopathogical parameters.Result:The expression of miRNA-98 in nasal
and paranasal sinus carcinomas was obvious higher than that in inflammation of
the nasal polyp tissues and normal muscosa,which had statistical significant
difference(P<0.05).There was a positive correlation between miRNA-98 expression
and TNM staging ,lymph node metastasis;but not pathological grade.The expression
of miRNA-143 in nasal and paranasal sinus carcinomas was obvious lower than that
in nasal polyp tissues and normal muscosa,which had statistical significant
difference(P<0.05).Conculusion:miRNA-98 highly expressed in nasal and paranasal
sinus carcinomas,miRNA-143 lowly expressed in nasal and paranasal sinus
carcinomas.
PMID- 29798460
TI - [Effects of specific immunotherapy on the expression levels of serum IL-17,IL-35
and Treg/Th17 regulatory T cellsin patients with allergic rhinitis caused by
dermatophagoides].
AB - Objective:To explore the regulatory effect of sublingual immunotherapy on the
balance of Treg/Th17 cells and the expression of IL-17 and IL-35 in serum of
allergic rhinitis(AR) in pre-specific and post-specific immunotherapy.Method:In
this study,30 cases were randomly selected from outpatients of otolaryngological
department in the second hospital of Hebei Medical university.These were
attributed as pretherapy group.After treatment,the same patients were as renamed
as the post-therapy group.Another 30 cases were healthy subjects enrolled from
physical examination branch of our hospital.We detected the expression level of
IL-35 and IL-17 in peripheral blood by using ELISA and defeced CD4+ CD25+ Foxp3+
T cell and CD4+ IL-17+ T cell expression level via flow cytometry.Result:The
expression level of IL-17 in pre therapy group was obviously higher than that in
control group(P<0.05);The expression level of IL-17 in post therapy group was
obviously lower than that in pre-therapy group,The difference was a statistically
significance(t=5.030,P<0.05);The expression level of IL-17 in post therapy group
was also higher than that in control group(P <0.05 ).The expression level of IL
35 in pre-therapy group was obviously lower than that in control
group(P<0.05);The expression level of IL-35 in post therapy was obviously higher
than that in pre-therapy group;The difference was a statistically significance
(t=-4.083,P<0.05),the expression level of IL-35 in post therapy group was also
lower than that in control group(P<0.05).The percentage of CD4+CD25+Foxp3+ T cell
in CD4+ T cell was significant lower in pre therapy group than that in control
group (P<0.05);The percentage of CD4+CD25+Foxp3+ T cell in CD4+ T cell in post
therapy was obviously higher than that in pre therapy group;The difference was a
statistically significance(t=-10.584,P<0.05),The percentage of CD4+CD25+Foxp3+ T
cell in CD4+ T cell was also lower in post therapy group than that in control
group (P<0.05 ).The percentage of CD4+IL17+ T cell in CD4+ T cell was significant
higher in pre therapy group than that in control group (P<0.05);The percentage of
CD4+IL-17+ T cell in CD4+ T cell in post therapy group was obviously lower than
that in pre therapy group.The difference was a statistically significance
(t=6.258,P<0.05).The percentage of CD4+IL-17+ T cell in CD4+ T cell was also
higher in post therapy group than that in control group (P<0.05
).Conclusion:Specific immunotherapy can have an impact on the expression levels
of IL-17,IL-35 and also on Treg/Th17 cells balance in peripheral blood for
patients with allergic rhinitis.
PMID- 29798461
TI - [The characteristics of a mouse model with nasal polyp established by
lipopolysaccharide].
AB - Objective:To explore the effect of lipopolysaccharide(LPS) on the formation of
nasal polyps in mice and its mechanism.Method:Five or ten MUg of LPS were dropped
into the nasal cavities of six to eight-week C57BL/6J mice in order to establish
animal models with nasal polyps.Buried food pellets experiment was used to assess
olfactory function of mice.Hematoxylin-eosin staining,olfactory marker
protein(OMP),and myeloperoxidase(MPO) for neutrophil immunohistochemistry were
used to observe histopathological changes in the nasal mucosa.The expression
levels of cytokines,such as interferon(IFN)-gamma,tumor necrosis factor(TNF)
alpha,IL-6 in the nasal lavage fluid,were detected by ELISA.Result:Compared to
the control group,mice in the LPS groups showed significant nasal polyp
formation,olfactory dysfunction,more MPO+ cells while less OMP+ cells in the
nasal mucosa,as well as increased significantly levels of IFN-gamma,TNF-alpha,and
IL-6 in the nasal lavage fluids(all P<0.05).Conclusion:LPS from Gram negative
bacteria alone can induce the formation of nasal polyps in mice,characterized by
more neutrophils,olfactory dysfunction,and increasing Th1 cytokine.Therefore,this
model is commensurate with the characteristics of primary nasal polyps found in
China and other major Asian.The current study suggests that LPS may be one of the
important pathogenesis of gram negative bacteria in the nasal polyps.
PMID- 29798462
TI - [Application of 128 slice spiral CT 3D reconstruction in dorsum narrow
osteotomy].
AB - Objective:To explore the value of 128 slice spiral CT 3D reconstruction in dorsum
narrow osteotomy.Method:Twenty cases of nasolacrimal duct injury patients were
collected from January 2014 to June 2015 as observation group;and other 20 cases
healthy adults were for control group.Maximum anteroposterior diameter and left
right diameter of lacrimal sac,distance of top and bottom of lacrimal sac,the
nasolacrimal duct length,nasolacrimal duct wall thickness,nasofrontal angleand
the distance of middle turbinate to the top and bottom of the lacrimal sac were
analyzed by 128 slice spiral CT 3D reconstruction.Result:In the observation
group,the duct length was(11.58+/-11.58)mm,and nasolacrimal duct wall thickness
was(1.25+/-0.36)mm.Maximum anteroposterior diameter of lacrimal sac was(0.89+/
0.14)cm,and left-right diameter of lacrimal sac was(0.66+/-0.06)cm.The distance
of top and bottom of lacrimal sac was(1.85+/-0.04)cm.All the parameters were
statistically significant compared with the control group(P<0.05).Conclusion:The
application of 128 slice spiral CT 3D reconstruction in dorsum narrow osteotomy
of lacrimal duct system,not only could efficiently prevent postoperative lacrimal
duct system damage,but also has important reference value in the diagnosis of
postoperative lacrimal duct system damage.
PMID- 29798463
TI - [Quality of life analysis of teachers in a primary school in Chengdu].
AB - Objective:To investigate the prevalence of voice disorder and quality of life in
the primary school teachers in a certain district of Chengduand examine the
correlation between two voice-specific questionnaires.Method:Random sampling
survey included 389 teachers from a certain district of Chengdu.Twelve item
general health questionnaire(GHQ-12),voice handicap index 10(VHI-10) and voice
related quality of life (V-RQOL) were investigated and routine examination of
throat with electronic fiber laryngoscopy were carried out for
teachers.Result:There were 189 teachers who had voice disorders(voice disorders
group),and 200 teachers who did not have voice disorders(control group).2The
total score's difference of V-RQOL and VHI-10,functional(F) and emotion(E)
dimensions in VHI-10 between two groups were statistically
significant(P<0.05),however,there were no statistically significant difference of
GHQ-12 between two groups. The total score of GHQ-12 was positively correlated
with VHI-10(r=0.194,P <0.05),while the total score of V-RQOL was negatively
correlated with GHQ-12(r=-0.209,P<0.05) and VHI-10 (r=-0.586, P
<0.05).Conclusion:Voice disorders can affect teachers' psychology and voice
related quality of life.Although the VHI-10 and V-RQOL had correlation,the
correlation is not strong.So it is recommended combine VHI-10 and V-RQOL when the
quality of life of voice disorders is evaluated.
PMID- 29798464
TI - [The diagnosis and treatment strategy for patients with severemultispace
abscesses in neck].
AB - Objective:To analyze the clinical characteristics of patients with severe deep
neck abscess,etiology,imaging,appropriate treatment selection in those
patients,to improve the judgement and understanding of the disease.Method:Thirty
cases of severe deep neck abscess treated from March 2010 to June 2015 were
retrospectively reviewed,including the clinical characteristics,diagnosis and
treatment strategy.Result:The sore throat,odynophagia,dysphagia,fever and
difficulty breathing were the most common symptoms of severe deep neck
abscess.The causes of deep neck abscess were tooth diseases(5 cases),foreign
bodies in pharynx or esophagus(4 cases),the second branchial crack cyst with
multiply abscess,uncertain cause(20 cases).Six of the above cases complicated
with mediastinal abscess.Radiologic evaluation was performed on all of the
patients to identify the most probable location of the abscess:parapharyngeal
space,retropharyngeal space,masticator space,carotid space,etc.Twenty-five cases
were treated by drainage via incising lateral neck and 4 patientsreceived abscess
puncture aspiration.Life-threatening complications were developed in all cases,29
cases were cured but one case dead.Conclusion:The treatment of severe deep neck
multispace abscesses should be mindful.Once deep neck abscesses are diagnosed,we
should timely undertake surgical drainage via incising lateral neck.Emergency
tracheostomy should be perform in some case like severe dyspnea,airway
obstrucion.Antibiotics should be appropriately applied,and basic diseases and
complications should be carefully controlled.
PMID- 29798465
TI - [Meta-analysis on common causes of paraesthesia pharyngis in China].
AB - Objective:To evaluate the common causes of paraesthesia pharyngis in
China.Method:By means of searching CBM,and VIP,CNKI,CSCD,WanFang
Database,etiology of paraesthesia pharyngis cross-sectional studies published
from January 2005 to December 2015.were chosen and R software was used for meta
analysis.Result:Sixteen cross-sectional study were chosen with a total of 6 624
cases.Meta analysis results showed that the etiology ratio of non-organic
disease,nose and throat inflammation,digestive system disease,thyroid
disease,cervical vertebra disease (95%CI)were 17.07(10.06-27.49),55.37(45.86
64.50),15.36(11.95-19.52),1.38(0.89-2.11),1.40(0.89-2.21)
respectively.Conclusion:Inflammation of the nose and throat is the most important
cause of paraesthesia pharyngis in China,and non organic diseases,digestive
system and thyroid,cervical disease are the common causes of paraesthesia
pharyngis.
PMID- 29798466
TI - [Refractory allergic rhinitis serum levels of vitamin D and vitamin D calcium
chewable therapeutic value].
AB - Objective:To survey clinical efficacy of vitamin D calcium chewable tablets in
the treatment of adults with refractory allergic rhinitis and investigate the
level of 1,25-dihydroxyvitamin D3 in the patients' serum.Method:Sixty cases who
were dignosed with adult refractory moderate to severe allergic in our hospital
outpatient were admitted in our study from May 2014 to November 2015.Sixty cases
of healthy people in our hospital medical center were selected as the control
group,serum 1,25-dihydroxyvitamin D3 were detected in all patients and normal
controls before the treatment.The control group were treated using fluticasone
propionate nasal spray and left cetirizine while the experimental group were
added with vitamin D calcium chewable tablets on the basis of the control group.
Before treatment and after 2 or 4 weeks of treatment,a visual analog scale of the
overall classification of nasal symptoms were used of and clinical symptoms
evaluation.Result:Compared with healthy controls,the incidence of serum 1,25
dihydroxy vitamin D3 content is:(17.46+/-4.94)MUg/L and the difference was
statistically significant(P<0.0).The symptom scores of the treatment group and
control group were significantly decreased before treatment and the difference
had statistical significance(P<0.05).After four weeks of treatment,the
experimental group of the symptom scores were lower than that of control
group.The differences were statistically significant(P<0.05).Conclusion:Serum
1,25 dihydroxyvitamin D3 deficiency is a widespread phenonmenon in refractory
allergic rhinitis.Vitamin D calcium chewable tables adjuvant treatment of
allergic rhinitis is one of methods with safe,effective,and simple treatment.
PMID- 29798467
TI - [Tryptase and ECP are related to olfactory dysfunction in allergic rhinitis].
AB - Objective:Olfactory dysfunction is one of the common symptoms in patients with
allergic rhinitis(AR),but the underlying mechanisms are not yet known.The purpose
of this study was to explore the underlying mechanisms which tryptase and
eosinophilic cationic protein(ECP) lead to olfactory dysfunction in patients with
AR.Method:We have compared the results between tryptase,ECP,nasal airway
resistance and olfactory function of the patients with AR and analyzed the
correlations between them.Result:Patients with AR showed impaired olfactory
functions compaired to the control group,but there was no differences in the
nasal airway resistance between the two groups.Nasal secretion analysis in the
patients with AR showed that the level of tryptase and ECP was increased in
comparison with the controls.Conclusion:The increase of tryptase and ECP in the
olfactory cleft can lead to olfactory dysfunction in patients with AR.
PMID- 29798468
TI - [Establish of standard system in otorhinolaryngology experimental teaching].
PMID- 29798469
TI - [Papillary thyroid microcarcinoma with huge lymph node metastasis and squamous
cell cancerization:a case report].
AB - We report a 75 years old woman with papillary thyroid microcarcinoma,and squamous
cell cancer was found in her lymph node metastases.The patient was characterized
by a cervical mass,which was diagnosed as papillary thyroid microcarcinoma by
fine needle aspiration.Total thyroidectomy+right radical neck dissection+VI
bilateral neck lymph node dissection were performed for the patient.
PMID- 29798470
TI - [Typical carcinoid tumor in sphenoid sinus:a case report].
AB - We report a case of typical carcinoid tumor in sphenoid sinus.A 52-year-old woman
presented with a history of nasal obstruction,nasal purulent discharge and
occasionally accompanied with blood streaks and hyposmia.All laboratory
examinations were normal.Sinus computed tomography showed soft tissue mass in the
right nasal cavity,nasopharynx and sphenoid sinus,with thickened locoregional
mucosa.No sign of bone erosion was observed.In conclusion the case was diagnosed
as typical carcinoid tumor.
PMID- 29798471
TI - [Historical evolution and frontier of endolymphatic sac surgery for treatment of
Meniere's disease].
AB - Surgical treatment could be necessary for patients with intractable Meniere's
disease.Endolymphatic sac surgery is a favorable option as it could preserve
patient's hearing and vestibular function.The overall vertigo control rate after
the surgery was about 80%.Endolymphatic sac surgery includes several
variations,namely sac shunting,sac drainage,and sac decompression.Although their
establishment and development have experienced a long tortuous process,the
theoretical basis and clinical efficacy of the surgery remain
controversial.Meanwhile,new findings and surgical innovation keep emerging.This
article introduces the historical evolution and reviews the frontier of
endolymphatic sac surgery for treatment of Meniere's disease.
PMID- 29798472
TI - [Effects of staphylococcus aureus hemolysin on nasal mucociliary clearance
system].
AB - The nasal mucosa directly connected with the outside world,and it is vulnerable
to various inhaled harmful factors.Nasal mucosal surface covered with mucus cilia
blanket.The cilia have the ability to eradicate alien.So the mucociliary
clear(MCC) is called first line of defence.In addition to congenital factors of
primary ciliary dyskinesia,a variety of acquired factors such as
heat,cold,smoking,bacterial infection can damage the function of MCC,leading to
various diseases,especially the occurrence of infectious diseases.
PMID- 29798473
TI - [Study on development of rehabilitation therapy for voice problems of hearing
impaired children].
AB - The production of voice is related to the coordinated operation of respiratory
system,phonation system and resonance system.Sonic wave which is produced by the
vibration of vocal fold goes through the resonating cavities to bring the voice
out.Hearing and voice are two kinds of functions which are very related.Hearing
impaired children have a very strong tendency to be in communication with people
by improving the volume of their voice(Lombard's effect).To summarize the
reasons,the methods of assessment and therapy of voice problems of hearing
impaired children.Review 32 literature related to voice problems of hearing
impaired children in the near past 12 years.Hearing intervention and improper way
of phonation have great effect on the voice health of hearing impaired
children.There are few studies on the therapy efficiency and auditory feedback
training of voice problems of hearing impaired children,which are expecting our
further study.
PMID- 29798474
TI - [Difficult-to-treat chronic rhinosinusitis:current status and perspectives].
PMID- 29798475
TI - [Clinical significance of serum allergen sIgE and peripheral blood EOS in
patients with chronic sinusitis with nasal polyps].
AB - Objective:To explore the influnces of allergen sIgE and peripheral blood
eosinophilic(EOS) on the severity and surgical outcomes in patients with chronic
rhinosinusitis with nasal polyps(CRSwNP). Method:Eighty-nine patients with CRSwNP
were enrolled. Before operation, allergen sIgE levels and peripheral blood EOS
were detected.All patients were examined paranasal computed tomography(CT). After
3 and 6 months of operation, all patients were examined by nasal
endoscopy,Allergen sIgE, peripheral blood EOS, nasal endoscopy score, and CT
score were retrospective analyzed. According to the results of allergen sIgE the
subjects were divided into two groups: the positive allergens group and negative
allergens group. Result:Allergen sIgE were positive in 49 patients(the positive
allergens group) and negative in 40 patients(the negative allergens group). The
major allergens were Dermatophagoides pteronyssinus(39 patients) and cockroach(16
patients). Between the positive allergens group and the allergens negative group,
there were no statistically significant differences in peripheral blood EOS count
and EOS percentage and CT scores and nasal endoscopy scores of 3-month
postsurgery(P>0.05). There was signifigant diference in the nasal endoscopy
scores of 6- month postsurgery between the two groups(P<0.05). In the 89 patients
with CRSwNP, peripheral eosinophil count showed no significant correlation with
CT image scores and 3-month postsurgery nasal endoscopy scores and 6-month
postsurgery nasal endoscopy scores(r=0.119,0.180,0.126,P>0.05). Blood eosinophil
percentage was not significantly correlated to CT image scores and 3-month
postsurgery nasal endoscopy scores and 6-month postsurgery nasal endoscopy
scores(r=0.167,0.263,0.140,P>0.05). Conclusion:Allergen sIgE has some impacts on
postoperative outcomes but has no impact on the severity of CRSwNP. Peripheral
eosinophil may not affect the severity and surgical outcomes of CRSwNP.
PMID- 29798476
TI - [Clinic characters analysis of 52 cases with sinus fungus ball disease].
AB - Objective:To explore the clinic characters and the associated factors for the
onset of the sinus fungus ball disease. Method:Analyze 52 cases who have received
surgery with sinus fungus ball disease retrospectively. The effect factors of
age, sex, occupation, the side of the lesion, involving sinus group number,
accompany with merger polyps or not, presence of nasal septum deviation,
vesicular middle turbinate, paradoxical middle turbinate, infraorbital
cells,routine blood leukocyte classification, serum specific IgE, pathogenic
fungi species have all been taken into consideration for statistical analysis.
Result:In 52 cases of sinus fungus ball disease, 36 cases only suffered in
maxillary sinus, 1 case only suffered in ethmoid sinus, 4 cases only suffered in
sphenoid sinus, 7 cases suffered from two sinus lesions with the combination of
maxillary sinus and ethmoid sinus in all, 4 cases fuffered from three sinus
lesions with the combination of maxillary sinus, ethmoid sinus and sphenoid sinus
in 2 cases, maxillary sinus, ethmoid sinus and frontal sinus in 2 cases. 26 cases
have the lesion on left side,17 cases on the right side, 8 cases on both sides.
Aspergillus is the main pathogenic fungus for sinus fungus ball. Maxillary sinus
is the most susceptible single sinus for sinus fungus ball. Nasal polyps is
commonly seen in multiple sinuses fungus ball(P<0.01). Single sphenoid sinus
fungus ball is more commonly seen in the patients with diabetes mellitus(P<0.01).
Lesion side of sinus fungus ball has nothing to do with the nasal anatomy
abnormalities of nasal septum deviation, vesicular middle turbinate, paradoxical
middle turbinate, infraorbital cells. Conclusion:The present study indicates that
maxillary sinus fungus ball is the most common type for sinus fungus ball.
Aspergillus is the main pathogenic fungus for sinus fungus ball. Nasal polyps is
more involved in multiple sinuses fungus ball,Single sphenoid sinus fungus ball
is more commonly seen in the patients with diabetes mellitus. Lesion side of
sinus fungus ball has none relationship with common nasal anatomy abnormalities.
PMID- 29798477
TI - [Microenvironment of middle meatus involved in pathogenesis of nasal polyps-the
possibility and research progress].
AB - Chronic rhinosinusitis with nasal polyps(CRSwNP) is a complicated inflammatory
disease, with increasing incidence and high recurrence rate,which the
pathogenesis remains unclear. This article reviewed research progress about the
relationships between middle meatus microenvironments and pathologic process of
CRSwNP: including hypoxia ,microbiome imbalance, innate function of the airway
epithelial barrier dysfunction. Pointing out a new direction to figure out
pathogenesis of CRSwNP.
PMID- 29798478
TI - [Chronic fungal rhinosinusitis:clinical and pathologic characteristics of 40
case].
AB - Objective:To further improve diagnosis and treatment for chronic fungal
rhinosinusitis(CFRS). Method:Forty patients with CFRS were treated with nasal
endoscopic surgrey(ESS). The key-points of surgery were as follows: 1for single
fungal sphenoid sinusitis, fracturing middle turbinate ourward or resecting one
third of posterior middle turbinate, enlarging the osseous ostium of sinus,
removing sinus fungal ball and polypoid nucosa, and flipping mucosa of ostium
inside sphenoid sinus to avoid narrow of ostium;2for fungal maxillary sinusitis,
retaining uncinate process or resecting tail of uncinate process, enlarging
ostium of maxillary sinus according to surgical field, and resecting lesion
tissue;3for frontal-ethmoidal sinus lesion, routine treatment was performed;
4iodoform gauze packing the surgical cavity after soaking it with diluted iodine
solution(1?1) for 5 min. Result:Pathological examination indicated that 39 cases
were infected with fungus(38 cases with aspergillus and 1 case with mucor,
respectively), including 4 cases in which lesion mucosa was invaded by fungus. Of
these 39 cases,34 cured after receiving one operation, 4 cases relapsed and
finally cured after receiving re-operation or outpatient treatment, 1 case had
concomitant sphenoid sinus fibroblastoma with skull base invaded and was remitted
after radiation therapy. In addition,1 case was diagnosed clinically as allergic
fungal sinusitis although no fungus was found by culture and pathological
examination, and the disease was not still controlled completely after several
times of recurrence. No complication was observed for all of the cases.
Conclusion:ESS is an effective treatment for CFRS, and intraoperative multi-point
biopsy may be ignored easily.Confirming whether mucosa of sinus is invaded by
fungus as well as pathogenic fungi types will have an important value for
postoperative further treatment and reduction of recurrence. Concomitant disease
should be considered for the cases with poor curative effect.
PMID- 29798479
TI - [Investigate the expression of miRNA-203 in papillary thyroid carcinomaand its
effect on cell proliferation].
AB - Objective:To investigate the expression of miRNA-203 in papillary thyroid
carcinoma(PTC)tissues and its correlation with clinical pathological
parameters,explore its effect on cell proliferation of WRO cell. Method:Thirty
cases of PTC tissues, paired normal tissues were collected in our hospital during
2013-2016. The expression of miRNA-203 was determined by qRT-PCR,then the
relationship of miRNA-203 expression, clinical pathological parameters were
analyzed.WRO cells were transfected with miRNA-203 mimics, then cell
proliferation, cell cycle and concerned cyclin protein(CyD1,CyB1) were tested by
MTT, flow cytometry and western blot. Result:Compared to the paired normal
tissues,tumor tissues showed sifnificantly lower expression of miRNA-203.
Upregulaion of miRNA-203 in WRO cells effectively reduced cell growth, G2/M
arrest. Mechanistically,in the miRNA-203-mimics-treated groups,cell-cycle-related
proteins cyclin B1 was up-regulated, while cyclin D1 was down-regulated.
Conclusion:miRNA-203 may play an anticarcinogenic effect in PTC. Upregulation of
miRNA-203 is highly correlated with cell prolliferation, and maybe miRNA-203 is a
potential targert for the treatment of thyroid carcinoma.
PMID- 29798480
TI - [Study on bimodal stimulation for aural rehabilitationdevelopmentin infants with
a unilateral cochlear implant].
AB - Objective:To evaluated the aural rehabilitation development of the bimodal
stimulation in deaf infants with a unilateral cochlear implant. Method:The 36
infants, suffering from severe-to-profound bilateral sensorineural hearing loss
with residual hearing on at least one side, assigned into two groups randomly:18
wore only the cochlear implant(unilateral A group),while the other 18 used the CI
and a contralateral hearing aid at the same time(bimodal group).The aural
rehabilitation development was evaluated by sound field test and speech
rehabilitation tests(including vowels, consonants, tones, monosyllables,
disyllables, tri-syllables and selected hearing) after rehabilitation lasting 3
month(T1),6 month(T2)and 12 month(T3)respectively. Result:At time T1,free-field
hearing threshold of 500 Hz of unilateral A group was statistically higher than
bimodal group. Free-field hearing thresholds of 500 Hz and 1 000 Hz of unilateral
A group were statistically higher than bimodal group attime T2(P<0.05).At time
T1, vowels, consonants, tones ,monosyllables, and disyllables rehabilitation
scores of unilateral A group were statistically higher than bimodal
group(P<0.05).At time T2,there were no statistically significant differences
between two groups(P>0.05).However,consonants, tones,disyllables, tri-syllables
and selected hearing rehabilitation scores of bimodal group were statistically
higher than unilateral group(P<0.05). Conclusion:The cochlear implant infants
with bimodal stimulation have better aural rehabilitation development with the
process of aural rehabilitation gradually.Therefore, it is essential to make full
use of the advantages of binaural hearing and to choose the appropriate aural
rehabilitation mode for every deaf infant.
PMID- 29798481
TI - [Experimental study of autologous fibroblast cell transplantation in the
treatment of vocal fold scar].
AB - Objective:To study the change characteristics of vocal cords scar after the
implantation of autologous fibroblast cells into the vocal cords. Method:Forty
New Zealand rabbits were used for the production of vocal fold scar model by
creating a unilateral vocal fold wounds, we take the skin tissues of each rabbits
and cultured them via enzyme digestion method to obtain autologous fibroblast
cells. The models were separated into the experimental group and the control
group, the third generation fibroblast cells with CM DIL labeled were then
injected into the surrounding area of the vocal fold scar in the experimental
group, the survival situation of the injected cells were observed by fluorescence
microscopy after 1 week, histological examination was performed after 1 months to
observe the morphological changes of vocal fold scar tissues, RT-PCR method was
used to measure the expression variation of typeIcollagen and type III collagen
in the vocal cords and the normal vocal cords, respectively. Result:After 1 week
of autologous fibroblast transplantation into the vocal folds, the fluorescence
labeled cells were observed.1 month after transplantation, the collagen protein
in the vocal fold scar tissues was similar to that in the normal vocal folds,the
expression of typeIcollagen and type III collagen in vocal fold scars is similar
to those in the normal vocal folds. Conclusion:Autologous fibroblast migration
into the vocal cords can survive well inside the vocal cords, and the vocal scar
can be recovered to normal vocal cord structure after transplantation of
autologous fibroblast cells.
PMID- 29798482
TI - [Repairmen of the rabbit's oral mucosa by tissue engineering technology].
AB - Objective:This experiment proposed to complicate BMMSCs and PLLA/SF scaffolds, to
study its repairing ability for rabbit oral mucosa wound, and try to evaluate the
most potential mixed proportion of PLLA and SF for tissue engineering.
Method:Separating,cultivating and identifying BMMSCs. Observing cell phenotype of
BMMSCs after cultivating BMMSCs in P70S30 PLLA/SF scaffold for one week.Observing
the structure change of BMMSCs and P70S30PLLA/SF scaffold complexes after oral
mucosa transplantation experiment for a week;Comparing the wound healing rate of
composite of BMMSCs and PLLA/SF scafold,PLLA/SF scaffold and nature repair.
Result:1There wasn't a significant effect of PLLA/SF scaffold on cell phenotype
of BMMSCs.2The wounds fused well with the oral mucosa transplanted composite of
BMMSCs and P70S30 PLLA/SF scaffold after a week.3The healing rate of composite of
BMMSCs and P70S30 scaffold was the highest.The average healing rate of composite
of BMMSCs and P70S30 scaffold was 94.8%,whose difference was statistically
significant compared with natural healing and pure scaffold(P<0.01).
Conclusion:1The complex composed of BMMSCs and PLLA/SF scaffolds has the
potential as an ideal scaffold for tissue engineering oral mucosa.2The complex
composed of BMMSCs and P70S30 PLLA/SF scaffolds is the most beneficial to wound
healing of oral mucosa,and has the greatest potential for constructing tissue
engineering oral mucosa.
PMID- 29798483
TI - [The diversity analysis of spontaneous cerebrospinal fluid otorrhea between young
children and adults].
AB - Objective:To assess the diversity of spontaneous cerebrospinal
fluid(CSF)otorrhear in clinical manifestation,CT,leakage sites and surgical
operation between young children and adults.Method:We conducted a retrospective
study of 6 consecutive patients who were all underwent the surgery through the
transmastoid approach,including 4 adults patients and 2 children.In the 4 adults
patients,two patients' bony defects lay on the tegmen mastoideum,one lay on the
tegmen tympani,and another one lay on the sinus meningioma angle.None of the 4
adults patients had abnormal inner ear structures.Materials used in repair
included free muscle graft,temporalis fascia,and fibrin glue of the 4 adults
patients.The 2 children patients were diagnosed with congenital abnormalities of
the lateral inner ear,who had bony defects of the foot plate or fenestra
vestibule.Materials used in repair included free muscle graft,temporalis
fascia,and musclein sequence. Result:No CSF leaks recurred after the operation
except one adults patient,who's left ear recurred two times and experienced three
operations. Conclusion:The clinical manifestations of spontaneous CSF otorrhea
between young children and adults are different,the HRCT scan on temporal bone
before the operation is very important.Especially foradults patients,making sure
of theleakagesites and numbers isvaluable and significance for thesurgical
procedure selection..
PMID- 29798484
TI - [Classification and its application of spontaneous epistaxis under nasal
endoscopy].
AB - Objective:Classify spontaneous epistaxis according to bleeding morhology and
characteristic saw under nasal endoscopy,in order to investigate the clinical
application of this classification. Method:Retrospectively analyze 125 cases with
spontaneous epistaxis treated by electric coagulation hemostasis in our hospital
from February 2015 to June 2016.According to performances of different sites
under endoscopy,93 cases of spontaneous epistaxis are like aneurysm,31 patients
with submucosal hemorrhage, and 1 case is not clear. Take endoscopic hemostatic
according to the characteristics of the different types of spontaneous
epistaxis,then collect and keep endoscopic images, and have conducted analysis.
Result:One hundred and twenty-four cases of patients were cured with endoscopic
hemostasis, 1 case of endoscopic hemostatic found no obvious bleeder, and he was
cured with iodoform gauze tamponaded in his nose after 1 week. Conclusion:For
spontaneous epistaxis, this classification and the corresponding hemostatic
measures can effectively improve the success rate of hemostasis, and reduce the
likelihood of bleeding complications.
PMID- 29798485
TI - [The radiologic evaluation of bony density change in chronic rhinosinusitis].
AB - Objective:To correlate abnormal bone density of sinus wall with impaired drainage
of sinus cavity. Method:A retrospective radiological study was conducted:pre
operative CT scans of 210 patients with chronic rhinosinusitis(CRS) and CT scans
of 40 age-and gender-matched individuals(normal group) without sinonasal disease
were reviewed. Opacification of the paranasal sinuses and the radiological
density of sinus wall were evaluated and analyzed using PHILIPS MxLite View
software. Result:The Hounsfield Units obtained from the lamina papyracea in
opaque anterior ethmoid sinus and posterior ethomid sinus were statistically
significantly higher than that of the no opacification population in AES and PES
and that of the normal group. Secondly, the Hounsfield Units of posterior wall in
the opaque frontal sinus in patients with CRS were statistically significantly
higher than that of the no opacification CRS patient group and the normal group.
Conclusion:Inflammatory bone density changes in CRS patients were observed in the
opaque sinuses, and few were found in the sinuses that were not opaque sinuses,
suggesting that osteitis mainly occurs in sinuses with drainage disorder or
mucosa disease. These change implied that HU change might be a mark of
intractable disease and surgery.
PMID- 29798486
TI - [The relationship between high jugular bulb and tinnitus and hearing disorder].
AB - Objective:To investigate the relationship between high jugular bulb and tinnitus
and hearing disorder. Method:Forty-nine inpatient patients with hearing disorder
were selected as the case group,and 50 cases as the control group,two groups of
patients conducted temporal CT, audiogram to find out the patients who had
hearing disorder,and then acoustic immittance, DPOAE, ABR,genetic screening for
deafness and tinnitus questionnaire were conducted to the patients with hearing
disorder. Result:Sixteen cases of these 99 patients had high jugular bulb,the
total incidence was 16.16%, 14 cases in the case group had high jugular bulb, the
incidence was 28.57%,only 2 cases in the control group, the incidence was 4.00%,
there was significant difference(chi2=11.027,P<0.01)between two groups.For the
cases group, 8 cases(57.14%) had hearing loss in the high frequencies, 4
cases(28.57%) in the low frequencies,2 cases(14.29%) in all frequencies;all of
these 14 cases with high jugular bulb also had different degrees of tinnitus,12
of which had pulsatile tinnitus(85.71%), vascular pulsatile tinnitus was most
common type,2 of which had non pulsatile tinnitus(14.29%).Four common deafness
genes GJB2, SLC26A4, mtDNA12srRNA and GJB3 9 mutation screening tests showed no
positive mutation in both groups. Conclusion:High jugular bulb has higher
incidence in patients with hearing disorder,which may be a risk factor for
hearing disorder.Attention should be paid to the influence of high jugular bulb
in clinical diagnosis and treatment of hearing disorder.
PMID- 29798487
TI - [Voice acoustic study of plasma radiofrequency ablation for the treatment of
laryngeal premalignant lesions].
AB - Objective:To study the voice function effect of low temperature plasma
radiofrequency ablation in the treatment of patients with laryngeal premalignant
lesions. Method:Fifty cases of laryngeal premalignant lesions were treated with
low temperature plasma radiofrequency ablation. All of the patients were examined
by electronic laryngoscopy and acoustic analysis(F0,Jitter,Shimmer,NNE,HNR) in 2
weeks,1 month,3 months after surgery. Voice acoustic results were compared with a
control group of 50 normal adults for the further analysis. Result:Fifty patients
with laryngeal premalignant lesions were treated by low temperature plasma
radiofrequency ablation.The result showed that 47 patients(94%)were successfully
decannulated without serious complications, such as dyspnea, aphonia and anterior
glottic stenosis. Acoustic analysis showed that F0,Jitter,Shimmer and NNE were
significantly different from normal 2 weeks after surgery(P<0.01).Voice function
recovered weakly 1 month after operation(P<0.05).There were no significant
differences in the vocal parameters between plasma radiofrequency ablation group
and control group 3 months after surgery(P>0.05). Conclusion:Radiofrequency
coblation was a safe,minimally invasive and effective surgical method and can be
widely used to treat laryngeal premalignant lesions..
PMID- 29798488
TI - [Recent and long-term hearing outcomes in the cases after open tympanoplasty
using titanium ossicular prosthesis].
AB - Objective:To analyze recent and long-term hearing outcomes of the patients with
chronic otitis media after open tympanoplasty using titanium ossicular
prosthesis. Method:Retrospectively analyzed total 84 cases after open
tympanoplaty with titanium ossicular prosthesis. The hearing outcomes before and
after surgery(6 months and 24 months) were compared. Result:The hearing levels in
the cases were improved to different degrees after surgery.Significant
differences were showed compared the average pure tone threshold/air bone gap
before surgery with the average recent/long-term outcomes after
surgery(P<0.01),and no significant difference could be found compared the recent
and long-term outcomes after surgery. Conclusion:Hearing level could be improved
in the patients after open tympanoplasty using titianium ossicular prosthesis,and
the long-term outcome could be steady.
PMID- 29798489
TI - [Efficacy assessment with allergic rhinitis treated by desloratadine citrate
disodium combined with Tongqiao biyan granule].
PMID- 29798490
TI - [Observation on the curative effect of traumatic perforation of the tympanic
membrane subsidizing therapy using compressed facial mask].
PMID- 29798491
TI - [One case of laryngotracheal fungal disease].
AB - Clinical manifestations: a female patient's hoarseness for more than 10 days.
Video Laryngoscope: White toothpaste-like albuginea is visilable on the bilateral
vocal cords and trachea about 2-3 ring. Pathology: Fungal bacterial mass.clinical
diagnosis:Laryngeal fungal disease.
PMID- 29798493
TI - [Radiofrequency coblation treatment for the supraglottic larynx neuroendocrine
carcinoma: a case report].
AB - In this paper, feasibility of coblation treatment for epiglottis neuroendocrine
carcinoma is discussed. The eligible case is operated by plasma, and the
recurrence of tumor isn't found during the follow-up period. Hence,
neuroendocrine carcinoma which is discovered early and localized can be attempted
to resect by this method. However, based on cautions consideration, it also needs
to further research for the long-term effect of radiofrequency coblation
treatment.
PMID- 29798492
TI - [Maxillary supernumerary teeth are misdiagnosed as nasal foreign body: one case].
AB - A male patient,13 years old,is hospitalized due to the congestion on the right
side on March 11th 2016.(foreign body in nose?)He was taken a X-ray of surface
jaw fault inspection,considering the nasal ectopic teeth on the right side,given
the operation to remove from the nasal endoscopic surgery.Now he is recovered.
PMID- 29798494
TI - [Efficiency of oral administration of glucocorticosteroid for treating nasal
inflammation].
AB - The pathological basis of nasal inflammation is still not well defined. Extensive
studies showed the immune response mediated by T cells played an important role
in the pathogenesis of nasal inflammation. The inflammation in the nasal membrane
could be considered as the common routines for the development of chronic
sinusitis(CRS), allergic rhinitis and allergic fungal
sinusitis.Glucocorticosteroid, a compound with similar structure with the adrenal
cortical hormone, has been commonly used for the treatment of nasal inflammation.
To date, its efficiency via nasal membrane has been well acknowledged in clinical
practice, while the efficiency of oral administration is still not well defined.
In this study, we aim to investigate the efficiency of oral administration of
glucocorticosteroid for treating nasal inflammation.
PMID- 29798495
TI - [New advancement in diagnosis and treatment of head and neck lymphoma].
AB - Malignant lymphomas are common malignant neoplastic of lymphatic hematopoietic
system, and there are different clinic manifestation in different sites.
Malignant lymphomas in the head and neck have characteristics in clinic
features,pathologic types and the most common locations. This review is about the
role of different clinic manifestation, pathologic types and the International
Prognostic Idex(IPI)in the evaluation of prognosis in the head and neck lymphoma.
PMID- 29798496
TI - [Salvage surgical treatment for local recurrent nasopharyngeal cancer].
AB - The salvage radiation or surgery is the main choice for recurrent nasopharyngeal
cancer now. However the recurrent tumor becomes radiation insensitive and
meanwhile,morbidity and mortality become higher.Recently the endoscopic salvage
surgery has been developed;the collective evidence from a number of such studies
suggests that endoscopic nasopharyngectomy is a safe and effective procedure for
the treatment of rNPC.This article reviewed related researches about the
feasibility,methods, and current situation of endoscopic salvage surgery.
PMID- 29798497
TI - [Clinical application of pedicel frontal flap in reconstruction of the nasal
defects].
AB - Objective:The aim of this study is to explorethe application of improving the
design of the myocutaneous flap and the transfer mode in nasal facial defect.
Method:Six cases with nasal defects were treated by using lateral or median
vascular frontal flap which is nourished by supratrochlear and supraorbital
arteries. Three patients with basal cell carcinoma of external nose and three
patients with cranial base tumor were hospitalized and underwent surgeries.
Result:There were 6 cases in this cohort, with the largest defect being 6.5
cm*3.0 cm and the smallest one being 3.0 cm*2.5 cm in size. Except one patient
was missing, the rest of the patients flaps survived, and wounds were healed by
first intention. Among 6 patients, 3 patients were treated with lateral forehead
flap, 2 patients were treated with median forehead flap, and 1 patient was
treated with oblique forehead flap. The follow-up being conducted for 6 months to
12 months, the flaps were normal color and sensation, satisfactory appearance and
functional recovery of forehead region. Conclusion:Forearm myocutaneous vessels
running more constant, superficial, rich blood supply, easy to dissect and can
provide a larger area flap, suitable for different causes of nasal facial defect
repair. Large area of flap can be provided. These may be favorable choice for
repair of the nasal defects. The tunnel through which the flap was transferred to
the defect should be wide enough to avoid flap necrosis because of pedicel flap
constriction.
PMID- 29798498
TI - [Study on the characters of wideband tympanometry in newborns with normal
hearing].
AB - Objective:The aim of this study is to establish the characteristic of wideband
tympanometry (WBT) in neonates with normal hearing. Method:Ninety newborn babies
(149 ears) who had received rooming in care and passed hearing screening were
randomly selected to test WBT. The screening programme consisted of distortion
product otoacoustic emissions (DPOAE) and tympanometry at 1 kHz. The eigenvalue
of ear canal volume, middle ear resonance frequency and acoustic absorptivity
were obtained initially to explore the influence of gender and mode of delivery
on the eigenvalues. Result:1Ear canal volume: The ear canal volume varied within
(0.50+/-0.12)ml. The statistically significant correlationwas observed between
ear canal volume and gender as well as the way of delivery (P<0.01). 2Resonance
frequency: the resonant frequency distributed from 128 Hz to 642 Hz (mean 328.2+/
125.76 Hz). No statistically significant correlation (P>0.05) was observed
between resonance frequency and gender as well as the way of delivery. 3Frequency
wideband absorbance function: the shape of the curve showed two peaks and two
troughs. The three most values were located in 1 296 Hz, 2 000 Hz and 5 339 Hz.
No statistically significant correlation (P>0.05) was observed between acoustic
absorptivity and gender as well as way of delivery. Conclusion:Resonance
frequency and frequency acoustic absorptivity functions of newborns are different
from adults. It is necessary to establish the normal reference value of WBT for
newborns in China in order to promote this technique in the clinic.
PMID- 29798499
TI - [Analysis of the related factors of attention deficit/hyperactivity disorder and
allergic rhinitis in children].
AB - Objective:To investigate the association between symptom of attention
deficit/hyperactivity disorder (ADHD) and allergic rhinitis (AR) in children with
AR at different sexes and different ages. Method:A total of 439 AR children aged
6 to 12 years were enrolled in this study. Basic information (age, gender,
medical history, family history and comorbidities) of children with AR was
collected. Results of prick tests on children skin were recorded. (TNSS) and
Chinese version of the SNAP-IV scale, children under the guidance of independent
completion of children's quality of life of children with nasal conjunctivitis
scale (PRQLQ). According to the results of SNAP-IV, children were divided into AR
with ADHD group [attention deficit and hyperactivity symptom score (IHS) >1.25]
and AR without ADHD group (IHS<=1.25). The differences between the two groups of
children were analyzed using group t-test and chi2 test, and the relationship
between each parameter and IHS >1.25 was analyzed by binary logistic regression.
Result:Using SPSS 22.0 software, among all the included AR children, IHS >1.25
children accounted for 26.4%, IHS >1.25 group AR symptom scores were
significantly higher than HIS <=1.25 groups. Univariate analysis showed that
children with age, gender, duration of AR symptoms, skin index and PRQLQ
subscales had a correlation with IHS >1.25. After controlling for age and gender,
duration of AR symptoms and skin index correlated with IHS >1.25 The estimated OR
values are 1.807 (95%CI: 1.350-2.419) and 1.912 (95%CI: 1.320-2.772),
respectively. The estimated OR values of PRQLQ subscale and IHS >1.25 were 1.657
(nasal symptom score), 1.324 (eye symptom score), 2.48 (non-eye-nasal symptom
score), 1.418 (Behavior problem score) and 2.045 (activity and sleep score). The
correlation between IHS>1.25 and the skin index and PRQLQ subscales was mainly
found in males with stratification of age and gender, and the association between
duration of AR symptoms and HIS >1.25 was statistically significant among all
groups reflected. Conclusion:The association between attention deficits and
hyperactivity symptoms in AR children was correlated with the severity of AR
symptoms, duration, and skin index, and this association was more pronounced in
males. In children with AR and ADHD, early management of AR symptoms may improve
their ADHD symptoms.
PMID- 29798500
TI - [Efficacy of sublingual immunotherapy with dermatophagoides farinae drops in
children with allergic rhinitis and the change of TGF-beta and IL-13 mRNA level].
AB - Objective:The aim of this study is to evaluate the efficacy of sublingual
immunotherapy (SLIT) with standardized dermatophagoides farinae drops and to
examine the change of TGF-beta and IL-13 mRNA level after 12 months SLIT in
children with allergic rhinitis (AR). Method:Ninety-two children with AR were
collected and randomly divided into two groups: SLIT group (n=62) and control
group (n=30). Before and after SLIT for 6 months and 12 months, total nasal
symptoms score (TNSS) and total medication score (TMS) were evaluated. In
addition, the mRNA expression of TGF-beta and IL-13 in peripheral blood
mononuclear cells of AR children after immunotherapy were examined by qRT-PCR.
Result:There were significant differences (P<0.01) in symptom and medication
scores between the two groups after 12 months treatment. The patients in SLIT
group had fewer symptoms and lower intake of medication.The rates for well
controlled, partly controlled and uncontrolled children were 45.2%, 32.3% and
22.6%, respectively. Five children (5.4%) experienced local adverse events and 1
children (1.1%) experienced mild systemic adverse events. No severe adverse
events happened during the treatment. Accordingly, comparing with the baseline
value, the mRNA levels of TGF-beta increased significantly, and IL-10 mRNA level
decreased significantly in well controlled children after 12 months treatment.
Conclusion:SLIT with dermatophagoides farinae drops is efficient and safe
treatment for children with HDM induced AR. The change of TGF-beta and IL-13 mRNA
level may be considered as an indicator for evaluating the clinical efficacy of
SLIT.
PMID- 29798501
TI - [Analysis of repositioning nystagmus in patients with posterior canal benign
paroxysmal positional vertigo].
AB - Objective:To conclude the four types of nystagmus in the final position during
canalith repositioning procedure (CRP) for posterior canal benign paroxysmal
positional vertigo (PC-BPPV), and predict the outcome of CRP therapy.
Method:Nystagmus were recorded by an infrared charge-coupled devicecamera when
underwent CRP therapy, which observed in the final position and concluded into
four types. Result:Two hundred and twenty-three patients were included in our
research and the results as follow. 1The nystagmus replicates the initial
nystagmus during the Hallpike maneuver, which suggests therapeutic outcome,128
cases in total (57.4%). 2The direction of vertical component is composite while
the rotary component is the same, also deeming a successful trial, 35 cases
(15.7%). 3The direction of both vertical component and rotary component isreverse
to the initial, which indicates a poor response, 36 cases (16.1%). 4Twenty-four
cases (10.8%) showed no certain nystagmus but exhibit horizontal nystagmus in
roll-test, denoting that the otolith moves to the lateral semicircular. At this
appointment, the horizontal nystagmus can resolute after several times of
barbecue maneuver. There is a significant difference of the one-time success rate
(chi2=46.198, P<0.01) and the mean treatment times (t=10.048, P<0.01) between
cupulolithiasis and canalolithiasis PC-BPPV. Conclusion:Different nystagmus in
the final position of CRP maneuver is important in predicting the repositioning
outcome. The one-time success rate of canalolithiasis is better than
cupulolithiasis.
PMID- 29798502
TI - [Therapeutic effects of psychological intervention combined with manual reduction
on benign paroxysmal positional vertigo in the elderly].
AB - Objective:To explore the best treatment for elderly patients with benign
paroxysmal positional vertigo (BPPV). Method:Sixty-eight BPPV patients aged 60-85
years were randomly divided into two groups. The control group was treated by
simple manipulation. The study group was treated by manual reduction combined
with psychological intervention. The curative effect was compared. Result:The
cure rates of the control group and the study group were 44.4% and 43.8%
respectively, with no significant difference (P>0.05); the effective rates were
52.78% and 87.50% respectively, with significant difference (P<0.05). The
recurrence rates of control group and study group were 8.3% and 3.1% after 2
weeks of treatment, respectively, with no significant difference (P>0.05). The
recurrence rates at 3 months were 5.6% and 0 respectively, with no significant
difference (P>0.05). The difference of SAS and SDS between the two groups after
treatment was statistically significant (P<0.05). There was no significant
difference in the residual symptoms between the two groups at the first follow-up
(P>0.05), and at 1 week and 4 weeks (P<0.05). The residual symptoms of the
patients after reoperation were relieved compared with those of the control
group. The DHI scores of the study group between 60-70 years old and 71-85 years
old group for the first time, after 1 week and 4 weeks were statistically
significant (P<0.05), and the residual symptoms in the 60-70 years group were
reduced compare to the 71-85-year-old group. Conclusion:Elderly people with BPPV
are susceptible to anxiety and depression. Manipulation combined with
psychological intervention can promote the curative effect well, but personalized
treatment plan should be developed.
PMID- 29798503
TI - [The clinical efficacy of coblation tonsillectomy and conventional tonsillectomy
in China: A Meta analysis].
AB - Objective:To systematically review the clinical efficacy of coblation
tonsillectomy and conventional tonsillectomy in China. Method:Randomized
controlled trials (RCT) of coblation tonsillectomy and conventional tonsillectomy
were searched and retrieved through online databases (PubMed, Cumulative Index to
Nursing and Allied Health, EMBASE, Cochrane Library, CBM, CNKI, VIP, WanFang,
SUMsearch and Google search engine) and related literatures were reviewed up to
30 April, 2017. Two investigators independently screened literatures,extracted
data and evaluated the risk of bias assessment tools for RCT using the Version
5.1.0 of Cochrane Handbook for Systematic Reviews of Interventions. Then, Meta
analysis was performed using RevMan 5.3 software provided by the Cochrane
Collaboration. Result:A total of 32 RCTs involving 3 197 tonsillectomy patients
were included. The results of meta-analysis showed that: the operation time (MD=
17.03, 95%CI -19.78 to -14.28, P<0.00 001), intraoperative blood loss (MD=-27.00,
95%CI -30.44 to -23.56, P<0.00 001), postoperative pain in 24 hours (MD=-2.00,
95%CI -2.65 to -1.35, P<0.00 001), time needed to regain the normal diet (MD=
2.01, 95%CI -2.60 to -1.42, P<0.00001), formation time of white membrane (MD=
2.44, 95%CI -3.96 to -0.93, P=0.002) of patients in the coblation tonsillectomy
group were all significantly lower than the conventional tonsillectomy group;
while the exfoliation time of white membrane (MD=2.02, 95%CI 0.65 to 3.39,
P=0.004) in the coblation tonsillectomy group was significantly longer than the
conventional tonsillectomy group. Conclusion:Current evidence shows that,
compared with the conventional tonsillectomy group, the coblation tonsillectomy
group can significantly shorten the operation time, decrease intraoperative blood
loss, alleviate postoperative pain degree during 24 hours, regain the normal diet
early and form white membrane early, but delaye the exfoliation time of white
membrane. Due to the limited kinds of literature and quality of the included
studies, the above conclusions still need to be verified by carrying out more
large scale samples and high quality randomized controlled trials (RCTs) studies.
PMID- 29798504
TI - [The clinical features and surgical treatment of facial basal cell carcinoma].
AB - Objective:To investigate the clinical features and reasonable surgical treatment
of facial basal cell carcinoma (BCC). Method:The clinical features of primary
facial BCC from 37 patients were analyzed.All patients were cured by standard
surgical excision with intraoperative frozen section examination and ensuring
margins negative,the defects were reconstructed by using adaptive flaps after
resection. Result:The patients were followed up for 12 to 36 months after
operation.Two cases of 37(2/37,5.41%) with unclear margin developed local
recurrence during 4-6 months after resection,the cure rate was 94.59% (35/37).
Except 4 cases were suffered with distal skin flap necrosis, the others were well
developed. Conclusion:The clinical feature of primary facial basal cell carcinoma
was various. The complete resection of primary nidus with clear margin is the key
to a successful treatment of facial basal cell carcinoma, and satisfactory
therapeutic effects can be obtained after repairing defects by using adaptive
flaps after resection.
PMID- 29798505
TI - [Application of endoscope assisted curved laryngoscope in laryngeal microsurgery
of patients with difficulty laryngeal exposure].
AB - Objective:To investigate the feasibility and clinical value of endoscope assisted
curved laryngoscopy in laryngeal microsurgery of patients with difficulty
laryngeal exposure. Method:The data of 28 patients with difficulty laryngeal
exposure underwent microlaryngosurgery with endoscope assisted curved
laryngoscopy technique were collected. Result:Surgeries with endoscope assisted
curved laryngoscopy technique were completed successfully one-time in all
patients. The fully exposure of glottis was 100%. All cases were followed up for
2 to 18 months. No residual disease or recurrence occurred and no obvious
complication occurred. Conclusion:Endoscope assisted curved laryngoscopy
technique is a useful solution to difficulty laryngeal exposure. It has
satisfactory glottis exposure, clear surgical field and fewer complications which
is worthy of promotion.
PMID- 29798506
TI - [The effect of the indwelling of nasogastric tube on recovery in patients after
laryngofission combined with cordectomy and vertical hemilaryngectomy].
AB - Objective:To investigate the effect of the indwelling of nasogastric tube on
recovery in patients after laryngofission combined with cordectomy and vertical
hemilaryngectomy. Method:A retrospective analysis was performed on 42 patients
who underwent laryngofission combined with cordectomy and vertical
hemilaryngectomy. According to postoperative indwelling of nasogastric tube or
not, patients were divided into two groups of non-indwelling group (Group A,
n=20) and indwelling group (Group B, n=22). Furthermore, the incidence of
postoperative infection, eating and choking, the time of tracheal tube plugging
and postoperative discharge were compared and analyzed between the two groups.
Result:The two groups were all returned to normal eating function and
successfully removed the endotracheal tube and discharged. There was no
significant difference in the incidence of infection, eating and choking between
groups (P>0.05); however, the average postoperative plugging time was
significantly less in group A than that in group B (P<0.05), and the time of
discharge was shorter than that of group B (P<0.01). Conclusion:Postoperative
treatment without the indwelling of nasogastric tube after laryngofission
combined with cordectomy and vertical hemilaryngectomy can significantly promote
the recovery of patients and improve the quality of life of patients.
PMID- 29798507
TI - [Association between the expression of MMP1 gene and prognosis in head and neck
squamous cell carcinoma].
AB - Objective:The aim of this study is to investigate the expression of MMP1 and
prognosis in patients with head and neck squamous cell carcinoma (HNSCC), and to
identify the potential mechanism of MMP1 in HNSCC. Method:The RNA sequencing data
and related clinical data of HNSCC were downloaded from the TCGA public database.
The MMP1 gene expression data and corresponding clinical information in the
samples were retrospectively analyzed; The data of gene microarray were used to
verify the correlation between MMP1 gene and HNSCC. The disease free survival and
overall survival of HNSCC were also analyzed; Gene set enrichment analysis was
conducted to identify the potential mechanism of MMP1 in HNSCC. Result:Among the
332 HNSCC patients, the expression of MMP1 was significantly associated with
lymphatic invasion and tumor grade (P<0.01). The higher the expression level of
MMP1 was, the more susceptible the patient was to lymph node metastasis. The data
confirmed that the expression of MMP1 in HNSCC was significantly higher than that
in normal mucosa (P<0.05); HNSCC of patient in MMP1 high expression group proved
to have worse disease free survival and overall survival than in MMP1 low
expression group (P<0.05); Gene enrichment analysis indicates that the high
expression of MMP1 gene might influence the biological process of tumor though
epithelial mesenchymal transition, TGF-beta signaling pathway, hypoxia,
angiogenesis, Noth signaling pathway, and up-regulation of KRAS gene signaling
pathway. Conclusion:The high expression of MMP1 was related with occurrence and
development of HNSCC, which can be used as an independent risk factor and has a
great clinical significance.
PMID- 29798508
TI - [The diagnosis and treatment of neck abscess and mediastinal abscess following
esophageal perforation induced by esophageal foreign body].
AB - Objective:The aim of this study is to discuss the clinical presentation, imaging
examination and treatment of neck abscess and mediastinal abscess following
esophageal perforation induced by esophageal foreign body. Method:Six patients
all underwent lateral neck incision and drainage of neck abscess. Simultaneously,
mediastinal abscess drainage was performed in 3 cases with mediastinal abscess.
Result:All 6 patients were cured. The median time of extraction of gastric tube
was 11 days (7-30 days). All patients were not treated with tracheotomy.
Conclusion:Neck and chest CT should be done as soon as possible for suspected
patients to definite the location of foreign body and the relationship between
foreign body and surrounding structures. Patient who were suspected with neck
abscess and mediastinal abscess should undergo surgery to remove foreign body and
drain the infectious deep neck and mediastinal spaces as early as possible.
PMID- 29798509
TI - [The correlation study between PM2.5 and the life quality in patients with
allergic rhinitis].
AB - Objective:This study aims to research the relationship betweeen allergic rhinitis
(AR) patients life quality and the PM2.5 concentration. Method:Fifty-two patients
with clinically diagnosed AR were enrolled in this study. Patients were asked to
fill in the questionnaire about the quality of life of rhinoconjunctivitis (RQLQ)
continuously. The concentrations of PM2.5 in their living environment were
continuously tested for one month (31 days) and SPSS 19.0 software was used to
analyze data through descriptive statistical method, Spearman correlation
analysis and nonparametric test. P<0.05 was considered statistically significant.
Result:There were significant association between PM2.5 and nasal symptoms
(r=0.121, P<0.01), daily activities (r=0.146, P<0.01) and practical problems
(r=0.099, P<0.01). However, sleep (r=0.059, P=0.051), non-hay fever symptoms
(r=0.042, P=0.169), emotion (r=0.042, P=0.168), eye symptoms (r=0.087, P=0.274)
and PM2.5 had no statistical significance. AR patients have faced notable
differences in genders. The scores of activities, non hay fever symptoms and
emotions also showed the difference. Female AR patients have demonstrated the
statistical significance with the concentration of PM2.5 among the activity, non
hay fever symptoms, practical problems, nasal symptoms and emotions. While the
male AR patients existed a statistical significance in the concentration of PM2.5
only between the activity and nasal symptoms. Conclusion:PM2.5 concentration is
negatively associated with the life quality of AR patients. The higher
concentration of PM2.5, the lower AR patients quality of life they got.
PMID- 29798510
TI - [The clinical significance of EBV DNA analysis in nasopharyngeal carcinoma
screening].
AB - Objective:The aim of this study is to explore the value of EBV DNA monitor in
high risk population of nasopharyngeal carcinoma (NPC). Method:A total of 366
cases of NPC at high risk were screened for 15 864 cases by ELISA, and 262 cases
were randomly selected from low-risk groups. Fifty-eight nasopharyngeal carcinoma
patients were also involved. EBV DNA was detected by PCR in 366 NPC high risk
patients and followed up for 1 year. The clinical significance of EBV-DNA in
screening NPC was compared. Result:The positive rate of EBV-DNA test was 12.0% in
primary screening, EBV-DNA test in primary screening was 3.4% in low-risk
population, and EBV-DNA in nasopharyngeal carcinoma was 91.4%, The positive rate
of the three groups was statistically significant (P<0.01); After one year follow
up, a total of 267 cases returned visit. Positive rate of group A with continuous
high risk was significantly higher than group B who was high risk at the first
time of visit and non high risk at returned visit (P<0.05).
Conclusion:Quantitative analysis of plasma EBV DNA in high risk population can
supply serological risk assessment. It can elevate the efficiency of screening
and has significant application value for NPC high risk population.
PMID- 29798512
TI - [One case of Pott's puffy tumor: inverted papilloma of nasal sinus postoperative
complications].
AB - A 45 years old male patient presented with recurrent abscess of the nasal root
and right periorbital tissue. The incision and drainage were performed
repeatedly, and anti-infection had poor effect of treatment. Previous history of
sinusitis surgery. Nasal cavity and frontal sinus infections and abscesses were
treated in other hospitals. CT showed enhanced patchy foci and abscesses on the
right temporal side, frontal, periorbital and nasal roots. Repeated discharge of
purulent secretions during hospitalization in our hospital prompted Klebsiella
pneumoniae infection. After the patient was discharged from the hospital, he was
diagnosed with Pott's tumor by repeated consultation with the literature.
PMID- 29798511
TI - [A case report of primary ectopic meningioma on occipital subcutaneous soft
tissue].
AB - A 65 years old male patient found one lump (A) located in left occipital
subcutaneous soft tissue, which was gradually enlarging since thirty years ago.
And the patient found another lump (B) located in left shoulder since five years
ago. The pathological results showed typical features and immunophenotype of (A)
lump resembling intracranial meningothelial meningioma, and (B) lump was a
lipoma. No local recurrence or metastasis could been found for 2 months after
excision.
PMID- 29798513
TI - [Nasal endoscopic treatment in one case of infratemporal fossa hydatid invaded
the orbit and skullbase].
AB - PATIENT: male, 43 years old, Tibetan, married. Chief complaint: visual impairment
of the left eye for one month. No significant abnormalities observed in nasal
endoscopy. Sinus CT: the 47 mm*44 mm cystic low density shadows can be seen in
the left infratemporal fossa, which is separated inside, and parts of the lesions
have invaded into the orbit and skull base. DIAGNOSIS: the left infratemporal
fossa hydatid invaded the orbit and skull base. Under the guidance of general
anesthesia navigation, the patient underwent sinusotomy on the left infratemporal
fossa and the left eyesight recovered after operation. CT examination showed that
the left temporal lobe tumor has been cleared.
PMID- 29798514
TI - [Advances in the immune mechanisms of chronic rhinosinusitis].
AB - Chronic rhinosinusitis (CRS) is a common disease of otolaryngology, which has a
serious impact on the quality of life of patients. Its pathogenesis is complex
and involves in allergic reactions, bacterial and fungal infections, immune
dysfunction, impaired epithelial defense, environmental exposure and many other
factors. In recent years, a raising attention has been paid to the role of immune
mechanism in its pathogenesis; this article is a brief review aimes to the immune
mechanism of chronic rhinosinusitis in recent years.
PMID- 29798515
TI - [Advances in the research of the relationship between miRNA-29c and cancer].
AB - miRNAs are a class of endogenous non coding, single stranded small RNAs, which
regulate the expression of tumor suppressor genes and oncogenes and involve in
almost all of the tumor-related processes. miRNA-29c, acting as a tumor
suppressor of miRNA, has low expression in many solid malignancies such as
nasopharyngeal carcinoma, glioma, gastric cancer, hepatocellular carcinoma,
bladder cancer, esophageal cancer, breast cancer, colon cancer and so on. It
relates with cancerous proliferation, apoptosis, invasion and metastasis. miRNA
29c directly inhibits the transcription of the target gene encoding protein and
down regulates the expression of the target gene. miRNA-29c inhibits tumor cells
infinite proliferation and promotes apoptosis by regulating the signal pathways,
oncogene, and cell cycle. miRNA-29c can inhibit the invasion and metastasis of
tumor cells by regulating different target genes, signal pathways and mediating
epithelial-mesenchymal transition. In tumor tissues, the lower expression of
miRNA-29c, the higher clinical stage,and the poorer prognosis, so it can be used
as an indicator of early diagnosis and prognosis. miRNA-29c is also closely
related to head and neck cancer. Therefore, enhancement of the expression of
miRNA-29c in tumor cells is expected to be a potential therapeutic strategy for
cancer. Selective COX2 inhibitors and demethylated drugs can significantly
increase the expression of miRNA-29c. miRNA-29c can be a new tumor biomarker and
drug or gene therapeutic target.
PMID- 29798517
TI - ?
PMID- 29798516
TI - [Advances in the regulation of lncRNA on laryngeal squamous cell carcinoma].
AB - Laryngeal cancer (LC) is one of the most common malignant tumors that occur in
the head and neck. Emerging evidence shows that coding RNAs and non-coding RNAs
play key roles in the formation and progression of LC. In this review, we focus
on the regulation of lncRNAs in LC. LncRNAs appear to be involved in laryngeal
cancer growth, invasion, and metastasis and in establishment of the laryngeal
tumor microenvironment through various mechanisms. Furthermore, we also discuss
the possibilities of establishing lncRNAs as potential biomarkers and therapeutic
targets for laryngeal cancer. Taken together, we summarize the emerging roles of
lncRNAs in laryngeal cancer development and their possible clinical significance.
PMID- 29798518
TI - [Effectiveness of arthroscopic capsular release to treat primary severe frozen
shoulder through trans cuff portal].
AB - Objective: To assess the effectiveness of arthroscopic capsular release to treat
primary severe frozen shoulder through trans cuff portal. Methods: Between June
2012 and January 2015, 28 patients with primary severe frozen shoulder were
enrolled in the study. There were 8 males and 20 females with an average age of
57 years (range, 42-81 years). The left shoulder was involved in 16 cases and the
right one in 12 cases. The mean disease duration was 11 months (range, 7-21
months). Six patients had diabetes. All patients underwent arthroscopic capsular
release by trans cuff portal. The range of motion (ROM) of the shoulder were
measured at preoperation and at 6 weeks and 24 months after operation; the scores
of American Shoulder and Elbow Surgeons (ASES) and visual analogue scale (VAS)
were used to evaluate the shoulder function and pain. Results: Primary healing of
incision was obtained, and no complications of infection, shoulder instability,
and nerve injury were found. All patients were followed up 24 months. Pain of the
shoulder was obviously relieved; VAS score was significantly lower at 6 weeks and
24 months after operation than preoperation ( P<0.05), and at 24 months than 6
weeks ( P<0.05). ROM of the shoulder and ASES score at 6 weeks and 24 months
after operation were significantly increased when compared with preoperative ones
( P<0.05); significant difference was found in ROM of forward flexion and
external rotation and the ASES score between at 6 weeks and 24 months ( P<0.05).
And internal rotation in-creased from trochanter (9 cases), hip (6 cases), sacrum
(7 cases), and L 4 vertebral level (6 cases) before operation to the T 12-T 6
vertebral level at 6 weeks and 24 months after operation, which were close to
normal side. Conclusion: Arthroscopic capsular release through trans cuff portal
is an effective and safe management for primary severe frozen shoulder.
PMID- 29798520
TI - [Comparative study on differences in acetabular position during total hip
arthroplasty between by direct anterior approach and by posterolateral approach].
AB - Objective: To compare the differences in acetabular position during total hip
arthroplasty (THA) between by direct anterior approach and by posterolateral
approach. Methods: Between December 2008 and December 2015, 102 patients
undergoing THA were included in the study. THA was performed by anterior approach
in 51 cases (anterior group) and by posterolateral approach in 51 cases
(posterolateral group). There was no significant difference in gender, age, body
mass index, side, and cause of illness between 2 groups ( P>0.05), with
comparability. The acetabular abduction angle and anteversion angel were measured
on the X-ray film at 1 day after operation to evaluate whether the acetabular
prosthesis was displaced in the safe zone. Results: The acetabular abduction
angle was (42.28+/-5.77) degrees in the anterior group and was (43.93+/-7.44)
degrees in the posterolateral group, showing no significant difference ( t=1.30,
P=0.19). The acetabular anteversion angle was (21.14+/-5.17) degrees in the
anterior group and was (21.05+/-4.10) degrees in the posterolateral group,
showing no significant difference ( t=0.05, P=0.96). The ratio in the target safe
zone of the acetabular abduction angle in the anterior group and the
posterolateral group were 88.2% (45/51) and 84.3% (43/51) respectively, showing
no significant difference ( chi2=0.33, P=0.56). The ratio in the target safe zone
of the acetabular anteversion was 80.4% (41/51) in the anterior group and was
82.4% (42/51) in the posterolateral group, showing no significant difference
between 2 groups ( chi2=0.06, P=0.79). The ratio in the target safe zone of both
the abduction and anteversion angel was 70.6% (36/51) in the anterior group and
was 68.6% (35/51) in the posterolateral group, showing no significant difference
( chi2=0.05, P=0.82). Conclusion: There is no differences in the acetabulum
position during THA between by direct anterior approach and posterolateral
approach.
PMID- 29798519
TI - [Effectiveness comparison of suspension fixation plus hinged external fixator and
double plate internal fixation in treatment of type C humeral intercondylar
fractures].
AB - Objective: To compare the effectiveness of suspension fixation plus hinged
external fixator with double plate internal fixation in the treatment of type C
humeral intercondylar fractures. Methods: Between January 2014 and April 2016, 30
patients with type C (Association for the Study of Internal Fixation, AO/ASIF)
humeral intercondylar fractures were treated. Kirschner wire suspension fixation
plus hinged external fixator was used in 14 cases (group A), and double plate
internal fixation in 16 cases (group B). There was no significant difference in
gender, age, injury cause, disease duration, injury side, and type of fracture
between 2 groups ( P>0.05). Results: There was no significant difference in
operation time and hospitalization stay between 2 groups ( P>0.05). But the
intraoperative blood loss in group A was significantly less than that in group B
( P<0.05); the visual analogue scale (VAS) score at 1 day and 3 days after
operation in group A were significantly less than those in group B ( P<0.05).
Primary healing of incision was obtained in all patients of 2 groups, and no
surgery-related complications occurred. The patients were followed up 6-24 months
(mean, 12.3 months) in group A and 6-24 months (mean, 12.8 months) in group B.
The self-evaluation satisfaction rate was 85.7% (12/14) in group A and was 81.2%
(13/16) in group B at 3 months after operation, showing no significant difference
( chi2=0.055, P=0.990). Based on the improved Gassebaum elbow performance score
at 6 months after operation, excellent and good rate of the elbow function was
78.6% (excellent in 5 cases, good in 6 cases, fair in 2 cases, and poor in 1
case) in group A and was 81.2% (excellent in 6 cases, good in 7 cases, fair in 2
cases, and poor in 1 case) in group B, showing no significant difference between
2 groups ( chi2=0.056, P=0.990). Heterotopic ossification occurred at 3 months
after operation in 1 case of each group respectively. The X-ray films showed bony
union in all cases; no loosening or breakage of screw was observed. The bone
union time showed no significant difference between 2 groups ( t=-0.028,
P=0.978). The time of internal fixation removal, the intraoperative blood loss,
and VAS score at 1 day and 3 days after operation in group A were significant
better than those in group B ( P<0.05). Conclusion: The suspension fixation plus
hinged external fixator and double plate internal fixation for the treatment of
type C humeral intercondylar fractures have ideal outcome in elbow function. But
the suspension fixation plus hinged external fixator is better than double plate
internal fixation in intraoperative blood loss, postoperative VAS score, and time
of internal fixation removal.
PMID- 29798521
TI - [Short-term effectiveness of joint distraction by Ilizarov combined with
arthroscopic debridement in treatment of knee osteoarthritis].
AB - Objective: To investigate the short-term effectiveness of joint distraction by
Ilizarov combined with arthroscopic debridement in the treatment of knee
osteoarthritis (KOA). Methods: Between January 2014 and January 2015, 15 patients
(15 knees) with KOA were treated using arthroscopic debridement assisting with
the Ilizarov distraction technology. There were 7 males and 8 females, aged from
45 to 64 years (mean, 55 years). The left knee and the right knee were involved
in 6 and 9 cases respectively. The disease duration was 2.0-9.5 years (median, 6
years). They all had received conservative treatment for 6 months and got poor
clinical improvement. The preoperative visual analogue scale (VAS) score, the
Western Ontario and McMaster University Osteoarthritis Index (WOMAC) score, the
knee injury and osteoarthritis outcome score (KOOS), the range of motion (ROM)
for knee, and the radiographic joint space width were 76.2+/-8.8, 59.3+/-5.7,
44.3+/-7.2, (75+/-21) degrees , and (2.5+/-0.4) mm respectively. According to
Kellgren-Lawrence grade system, 11 cases were rated as grade III and 4 cases as
grade IV. Results: There was no poor incision healing, infection, and deep vein
thrombosis. All the 15 patients were followed up 12-18 months (mean, 15.5
months). Patients achieved pain relief. The knee activity was obviously improved.
The postoperative VAS score, WOMAC score, KOOS score, and ROM at 12 months were
20.9+/-7.8, 38.2+/-5.5, 92.1+/-6.9, and (118+/-14) degrees respectively, showing
significant difference when compared with preoperative ones ( t=18.213, P=0.000;
t=10.317, P=0.000; t=18.564, P=0.000; t=6.599, P=0.000). Postoperative X-ray film
showed that joint space width at 12 months was (3.8+/-0.3) mm, showing
significant difference when compared with preoperative one ( t=10.070, P=0.000).
Conclusion: Joint distraction by Ilizarov combined with arthroscopic debridement
can effectively relieve pain, improve the function and quality of life. It was
beneficial to cartilaginous tissue repair and delaying the degenerative process
of KOA. The short-term effectiveness is satisfactory.
PMID- 29798522
TI - [Effect of autologous fibrin clot on tendon-bone healing after anterior cruciate
ligament reconstruction].
AB - Objective: To observe the effect and significance of autologous fibrin clot on
tendon-bone healing after anterior cruciate ligament (ACL) reconstruction.
Methods: Between October 2014 and January 2016, 34 patients (34 knees) with ACL
injury were enrolled in the study. During ACL reconstruction, autologous fibrin
clot was used in 17 cases (trial group) and was not used in 17 cases (control
group). The anterior drawer test, Lachman test, and axial displa-cement test were
positive in 2 groups before operation. There was no significant difference in
gender, age, causes of injury, injury side, disease cause, and preoperative knee
joint activity, Lysholm score, and American Hospital for Special Surgery (HSS)
score between 2 groups ( P>0.05), with comparable. The results of anterior drawer
test, Lachman test, and axial displacement test were recorded and compared
between 2 groups after operation. The knee joint activity, Lysholm score, and HSS
score were used to evaluate the knee function recovery at 6, 24, and 48 weeks
after operation; the graft signal intensity, graft signal to noise ratio, bone
tunnel expansion, and graft tendon-bone node T2 value were measured. Results: All
patients were followed up 48 weeks. Surgical incision healed at stage I. No joint
infection and joint adhesion occurred. The drawer test, Lachman test, and axial
shift test were negative in 2 groups. At 6, 24, and 48 weeks after operation, the
Lysholm score of trial group was significantly higher than that of control group
( P<0.05); there was no significant difference in knee joint activity between 2
groups ( P>0.05). The HSS score of trial group was significantly higher than that
of control group at 24 and 48 weeks ( P<0.05), but no significant difference was
found at 6 weeks ( P>0.05). MRI measu-rement showed that there was significant
difference in graft signal intensity, bone tunnel expansion, and graft signal to
noise ratio between 2 groups at 6, 24, and 48 weeks after operation ( P<0.05).
There was no significant difference in graft tendon-bone node T2 value between 2
groups ( P>0.05) at 48 weeks after operation, but difference was significant at 6
and 24 weeks ( P<0.05). Conclusion: Autologous fibrin clot can effectively
enhance graft revascularization, and accelerate the process of tendon-bone
healing after ACL reconstruction.
PMID- 29798523
TI - [Effectiveness of cerclage and a figure-of-eight tension band by a single
titanium wire in treatment of patellar fracture].
AB - Objective: To estimate the outcome of cerclage followed by a figure-of-eight
tension band with a single titanium wire for the treatment of patellar fracture.
Methods: A retrospective analysis was made on the clinical data of 46 patients
with patellar fractures treated between June 2012 and November 2014. There were
30 males and 16 females, aged 20-86 years (mean, 54 years). The fracture causes
included falling in 27 cases, traffic accident in 16 cases, and knock in 3 cases.
There were 41 cases of closed fractures and 5 cases of open fracture. The injury
located at the left side in 24 cases and the right side in 22 cases. According to
AO classification, fracture was rated as type 34-A in 3 cases, as type 34-B in 1
case, as type 34-C1 in 7 cases, as type 34-C2 in 13 cases, and as type 34-C3 in
22 cases. The time between injury and operation ranged 1-12 days (mean, 3.7
days). During operations, a single titanium wire was used to pass around the
patellar, followed a figure-of-eight wrapping, to tight and fix at the tension of
35 kg. Results: All incisions healed primarily. The patients were followed up
20.6 months on average (range, 6-24 months). Partial wire loosening was found in
2 cases, irritation of skin or soft tissue in 1 case. The X-ray examination
showed bony healing at 3 months after operation, without breakage of titanium
wire. The internal fixation was removed in 38 cases at 12 months after operation.
According to the Bostman rating score, the mean score was 28.34 (range, 24-30) at
12 months after ope-ration; the results were excellent in 42 cases and good in 4
cases, with an excellent and good rate of 100%. Conclusion: For patellar
fracture, cerclage followed by a figure-of-eight tension band with a single
titanium wire is able to achieve an effective stability and to allow early motion
for patient with less complication.
PMID- 29798524
TI - [Posterolateral minimal incision, poking reduction, and simple internal fixation
in treatment of collapsed fractures of posterolateral tibial plateau].
AB - Objective: To explore the effectiveness of limited incision, poking reduction,
and simple internal fixation in the treatment of collapsed fractures of the
posterolateral tibial plateau. Methods: Between October 2010 and January 2016, 16
patients with collapsed fractures of the posterolateral tibial plateau underwent
posterolateral incision, poking reduction, and simple internal fixation. There
were 10 males and 6 females with the age of 22-63 years (mean, 43.5 years). The
injury was caused by falling in 5 cases, traffic accident in 7 cases, and falling
from height in 4 cases. All cases had closed fractures. The left knee was
involved in 9 cases and the right knee in 7 cases. The injury-to-admission time
was 2 hours to 3 days (mean, 10 hours). X-ray films showed that the articular
surface collapsing was more than 2 mm. According to Schatzker criteria, 6 cases
were rated as type II and 10 cases as type III. Twelve cases had fracture of
fibular head. The incision length, operation time, intraoperative blood loss, and
incision healing were recorded; fracture healing was observed, and tibial plateau
angle and posterior slope angle were measured on X-ray films; loss of articular
surface reduction was observed by CT scan; and American Hospital for Special
Surgery (HSS) score was used to evaluate the knee joint function. Results: The
incision length was 7-10 cm (mean, 8.6 cm); operation time was 35-55 minutes
(mean, 46 minutes); intraoperative blood loss was 10-35 mL (mean, 28 mL). Primary
healing of incision was obtained. Skin pain occurred in 1 case at 2 months
because Kirschner wire retracted. Fifteen cases were followed up 8-21 months
(mean, 13.5 months). The fracture healing time was from 3 to 6 months (mean, 4.8
months). There was no significant difference in tibial plateau angle and
posterior slope angle between at immediate after operation and at last follow-up
( t=-1.500, P=0.156; t=-1.781, P=0.097). The anatomic reduction rate of articular
surface was 93.8% (15/16) at immediate after operation. At last follow-up, the
recollapse height of articular surface was 0.1-1.2 mm (mean, 0.36 mm). According
to the HSS score system, the results were excellent in 12 cases, good in 2 cases,
and fair in 1 case, and the excellent and good rate was 93.3%. Conclusion: The
limited incision by posterolateral approach, poking reduction, and simple
internal fixation have the advantages of small injury, full exposure, and easy
operation in the treatment of simple posterolateral tibial plateau fractures;
bone graft support and simple internal fixation can prevent recollapse of the
articular surface and achieve satisfactory knee function.
PMID- 29798525
TI - [Clinical observation of 3.5 mm T support plate fixation for simple
posterolateral tibial plateau fracture by posterolateral inverted L-shaped
approach].
AB - Objective: To summarize the effectiveness of 3.5 mm T support plate fixation for
simple postero-lateral tibial plateau fractures by posterolateral inverted L
shaped approach. Methods: Between March 2011 and January 2016, 13 patients with
simple posterolateral tibial plateau fracture were treated with 3.5 mm T support
plate fixation by posterolateral inverted L-shaped approach. Of 13 cases, 6 were
male and 7 were female, aged 28 to 52 years (mean, 43 years). The left side was
involved in 5 cases and the right side in 8 cases. The causes of injury were
traffic accidents in 6 cases, falls in 3 cases, and falling from height in 4
cases. All of patients had fresh closed fracture by X-ray, CT three dimensional
reconstruction, and MRI. According to Schatzker classification, 4 cases were
rated as type II and 9 cases as type III. The time between injury and operation
was 5-9 days (mean, 7 days). Results: One case had incision skin necrosis, which
was cured after debridement and skin grafting; and primary healing was obtained
in the other cases. The patients were followed up 10-16 months (mean, 13 months).
The X-ray film showed that the fracture line was blurred at 3 months after
operation, and disappeared at 12 months after operation. There was no
complications of wound infection, major neurovascular injury, loosening or
breakage of internal fixation, and dislocation of joint surface. The Hospital for
Special Surgery (HSS) knee function score was 94 (range, 89-97) at last follow
up; all were excellent. Conclusion: The 3.5 mm T support plate fixation by
posterolateral inverted L-shaped approach is one of preferred surgical method for
the treatment of simple posterolateral tibial plateau fractures.
PMID- 29798526
TI - [Effectiveness comparison of flexible fixation and rigid fixation in treatment of
ankle pronation-external rotation fractures with distal tibiofibular
syndesmosis].
AB - Objective: To compare the effectiveness of flexible fixation and rigid fixation
in the treatment of ankle pronation-external rotation fractures with distal
tibiofibular syndesmosis. Methods: A retrospective analysis was made on the
clinical data of 50 patients with ankle pronation-external rotation fractures and
distal tibiofibular syndesmosis treated between January 2013 and December 2015.
Suture-button fixation was used in 23 patients (flexible fixation group) and
cortical screw fixation in 27 patients (rigid fixation group). There was no
significant difference in age, gender, weight, side, fracture type, and time from
trauma to surgery between 2 groups ( P>0.05). The operation time, medial clear
space (MCS), tibiofibular clear space (TFCS), tibiofibular overlap (TFO),
American Orthopaedic Foot and Ankle Society (AOFAS) score, and Foot and Ankle
Disability Index (FADI) score were compared between 2 groups. Results: The
operation time was (83.0+/-9.1) minutes in the flexible fixation group and was
(79.6+/-13.1) minutes in the rigid fixation group, showing no significant
difference ( t=1.052, P=0.265). All patients achieved healing of incision by
first intention. The patients were followed up 12-20 months (mean, 14 months).
The X-ray films showed good healing of fracture in 2 groups. There was no screw
fracture, delayed union or nounion. The fracture healing time was (12.1+/-2.5)
months in the flexible fixation group and was (11.3+/-3.2) months in the rigid
fixation group, showing no significant difference between 2 groups ( t=1.024,
P=0.192). Reduction loss occurred after removal of screw in 2 cases of the rigid
fixation group. At last follow-up, there was no significant difference in MCS,
TFCS, TFO, AOFAS score and FADI score between 2 groups ( P>0.05). Conclusion:
Suture-button fixation has similar effectiveness to screw fixation in ankle
function and imaging findings, and flexible fixation has lower risk of reduction
loss of distal tibiofibular syndesmosis than rigid fixation.
PMID- 29798527
TI - [Neuroprotective effects and mechanism of saikosaponin A on acute spinal cord
injury in rats].
AB - Objective: To investigate the effect of saikosaponin a (SSa) on the levels of
immune inflammation in rats with acute spinal cord injury and its possible
mechanism. Methods: Seventy-two Sprague Dawley rats (weighing, 220-250 g) were
randomly divided into sham operation group (group A), spinal cord injury group
(group B), and SSa treatment group (group C) respectively, 24 rats in each group.
The spinal cord injury model was induced by using the Allen's method in groups B
and C; the spinous process and vertebral plate at both sides were cut off by
lamina excision to expose the spinal cord in group A. The rats were given
intraperitoneal injection of 10 mg/kg SSa in group C and equal volume of normal
saline in group B at immediate after injury. The spinal cord tissue was harvested
from 18 rats of each group at 24 hours after operation to measure the levels of
tumor necrosis factor alpha (TNF-alpha) and interleukin 6 (IL-6) by ELISA, to
detect the expressions of nuclear factor kappaB (NF-kappaB) P65, NF-kappaB P-P65,
and aquaporin 4 (AQP4) by Western blot and to observe the morphology of spinal
cord by HE staining. The motor function of the lower limbs was evaluated by BBB
score and tiltboard experiment in 6 rats at 1, 3, 7, 14, 21, and 28 days after
injury. Results: The BBB score and tiltboard experiment maximum angle were
significantly higher in group A than groups B and C at each time point ( P<0.05)
and in group C than group B at 14, 21, and 28 days after operation ( P<0.05).
ELISA test showed that the concentrations of TNF-alpha and IL-6 were
significantly lower in group A than groups B and C, and in group C than group B (
P<0.05). Western blot results showed that the protein expression levels of NF
kappaB P65, NF-kappaB P-P65, and AQP4 were significantly lower in group A than
groups B and C, and in group C than group B ( P<0.05). HE staining demonstrated
normal neurons of the spinal cord and no obvious lesion in group A; neuronal
cells were observed in the injured area of group B, with hemorrhage, neutrophil
infiltration, and nerve cell edema in the injured area; the neuronal cells were
visible in the spinal cord of group C, with microglia mild hyperplasia, and the
pathological changes were improved when compared with group B. Conclusion: SSa
has neuroprotective effects on acute spinal cord injury in rats by inhibiting NF
kappaB signaling pathway and AQP4 protein expression and reducing inflammation
response and edema.
PMID- 29798528
TI - [Percutaneous pedicle screw fixation and minimally invasive decompression in the
same incision for type A3 thoracolumbar burst fracture].
AB - Objective: To assess the effectiveness of percutaneous pedicle screw fixation and
minimally invasive decompression in the same incision for type A3 thoracolumbar
burst fracture. Methods: Between May 2014 and February 2016, 43 cases of type A3
thoracolumbar burst fracture with or without nerve symptoms were treated with
pedicle screw fixation and neural decompression. Of them, 21 patients underwent
percutaneous pedicle screw fixation and minimally invasive decompression in the
same incision (percutaneous group), and the other 22 patients underwent
traditional open surgery (open group). There was no significant difference in
gender, age, cause of injury, fractures level, preoperative American Spinal
Injury Association (ASIA) grade, thoracolumbar injury classification and severity
(TLICS) score, load-sharing classification, height of injury vertebrae, kyphotic
Cobb angle, and spinal canal encroachment between 2 groups ( P>0.05). The length
of soft tissue dissection, operation time, intraoperative blood loss,
postoperative drainage, X-ray exposure times, and incision visual analogue scale
(VAS) score at 1 day after operation were recorded and compared. At last follow
up, Japanese Orthopaedic Association (JOA) score and low back pain VAS score were
recorded and compared respectively. The ASIA grade recovery was evaluated; the
height of injury vertebrae, kyphotic Cobb angle, and spinal canal encroachment
were assessed postoperatively. Results: Percutaneous group was significantly
better than open group in the length of soft tissue dissection, intraoperative
blood loss, postoperative drainage, and incision VAS at 1 day after operation (
P<0.05), but no significant difference was found in operation time between 2
groups ( P>0.05); however, X-ray exposure times of open group were significantly
better than that of percutaneous group ( P<0.01). The patients were followed up
12 to 19 months (mean, 15.1 months) in 2 groups. All patients achieved effective
decompression. No complications of iatrogenic neurological injury and internal
fixation failure occurred. The height of injury vertebrae, kyphotic Cobb angle,
and spinal canal encroachment of the fractured vertebral body were significantly
improved at 3 days after operation when compared with preoperative ones (
P<0.05), but no significant difference was found between 2 groups ( P>0.05). At
last follow-up, JOA score and low back pain VAS score of percutaneous group were
significantly better than those of open group ( P<0.05). The neurological
function under grade E was improved at least one ASIA grade in 2 groups, but no
significant difference was shown between 2 groups ( Z=0.480, P=0.961).
Conclusion: Percutaneous pedicle screw fixation and minimally invasive
decompression in the same incision for type A3 thoracolumbar burst fracture has
satisfactory effectiveness. And it has the advantages of minimal trauma, quick
recovery, safeness, and reliableness.
PMID- 29798530
TI - [Repair of thumb defects with modified radial dorsal fasciocutaneous flap of
thumb].
AB - Objective: To investigate the operative method of repairing soft tissue defect of
the thumb with modified radial dorsal fasciocutaneous flap and its effectiveness.
Methods: Between June 2015 and December 2016, 15 patients with volar or dorsal
defects of the thumb were treated with modified radial dorsal fasciocutaneous
flaps which distal pedicles were cut off. Of 15 cases, 11 were male and 4 were
female, aged 35-70 years (mean, 46 years). The causes of injury included crush
injury in 12 cases and avulsion injury in 3 cases. Because all patients had volar
or dorsal defects of the thumb which were accompanied by tendon or bone exposure,
they had no condition or desire to replant. There were 12 cases of volar defect
of thumb and 3 cases of dorsal defect. The area of defects ranged from 2.0 cm*1.2
cm to 3.0 cm*2.5 cm. The time between injury and operation was 16 hours to 2 days
(mean, 30.4 hours). The radial dorsal fascio-cutaneous flaps of 2.3 cm*1.5 cm to
3.3 cm*2.8 cm in size were adopted to repair defects. The donor sites were
directly sutured. Results: All flaps survived, and no severe swelling or tension
blister occurred. The donor sites and wounds healed by first intention. All
patients were followed up 3-12 months (mean, 6 months). The color and texture of
the grafted flaps were similar to those of normal skin, with no bloated
appearance. According to total active motion standard at last follow-up, the
finger function was excellent in 8 cases and good in 7 cases. Conclusion:
Modified radial dorsal fasciocutaneous flap of the thumb is a reliable flap with
easy dissection and less trauma in repair of soft tissue defects of the thumbs,
and satisfactory clinical outcome can be obtained.
PMID- 29798529
TI - [Clinical observation of superficial branch of radial artery wrist crease flap
for repairing ring tissue defect of fingers].
AB - Objective: To explore the effectiveness and operation method of the superficial
branch of radial artery wrist crease flap for repair of ring tissue defect of the
fingers. Methods: Between June 2013 and March 2016, the superficial branch of
radial artery wrist crease flap was used to repair ring finger tissue defect in
20 cases (21 fingers). There were 14 males and 6 females with an average age of
39.3 years (range, 12-61 years). The causes included machine injury in 9 cases,
traffic accident injury in 6 cases, heat inury in 2 cases, and avulsed injury in
3 cases. The index finger was involved in 6 cases, middle finger in 6 cases, ring
finger in 3 cases, and little finger in 6 cases. Combined injuries included
exposure of bone, tendon, vessel, and nerve. The mean time of injury to operation
was 3 hours (range, 0.5-5.5 hours) in 17 patients undergoing emergency operation,
and was 8.5 days (range, 7-10 days) in 3 patients undergoing selective operation.
The superficial palmar branch of the radial artery from the flap was used for
bridging proper digital artery. The donor site was directly sutured in 19 cases
and was repaired by skin grafting in 1 case. Results: One case had blood blister
at distal flap, which was cured after dressing change; the other flaps survived,
and primary healing was obtained. Healing of incision at the donor site healed by
first intention. The patients were followed up 6-24 months (mean, 12 months). The
appearance, texture, and color of the flaps were satisfactory. The two-point
discrimination ranged from 6 to 13 mm (mean, 9 mm) at 6 months after operation.
According to the Chinese Medical Association Society of hand surgery of thumb and
finger reconstruction function evaluation standard, the results were excellent in
13 cases, good in 4 cases, and fair in 3 cases; the excellent and good rate was
85%. Conclusion: The superficial branch of radial artery wrist crease flap is an
ideal choice for the repair of ring tissue defect of the fingers.
PMID- 29798531
TI - [Effects of cryopreservation on biological characteristics of tendon-derived stem
cells in rat patellar tendon].
AB - Objective: To explore the effects of cryopreservation on the cell survival rate,
cell viability, early apoptosis, migration ability, and tendon-related marker
expression of tendon-derived stem cells (TDSCs) in rat patellar tendons. Methods:
The patellar tendon tissues were harvested from 12 4-month-old male Sprague
Dawley rats; 12 patellar tendon tissues from 6 rats were cryopreserved (the
experimental group), and the other 12 patellar tendon tissues were not treated
(the control group). The patellar tendons were digested with 0.3% type I
collagenase to obtain nucleated cells. The survival rate of nucleated cells was
detected by trypan blue exclusion assay, and colony-forming ability by crystal
violet staining. TDSCs were isolated and cultured to passage 3 (P3). The cell
viability of TDSCs was detected by Alamar Blue method, the early apoptosis by
Annexin V-FITC/PI assay, the cell migration ability by Transwell method, and the
mRNA expressions of tendon-related markers [collagen type I (Col1alpha1),
scleraxis (Scx), and tenomodulin (Tnmd)] by real-time quantitative PCR. Results:
The survival rate of nucleated cells was 91.00%+/-3.63% in the control group, and
was 61.65%+/-4.76% in the experimental group, showing significant difference (
t=12.010, P=0.000). The formation of the primary nucleated cell clones was
observed in 2 groups. At 12 days, the number of colonies forming of the
experimental group [(8.41+/-0.33)/1 000 nucleated cells] was significantly lower
than that of the control group [(15.19+/-0.47)/1 000 nucleated cells] ( t=28.910,
P=0.000). The percentage of TDSCs in the active nucleated cells in the
experimental group (1.37%+/-0.09%) was significantly lower than that in the
control group (1.67%+/-0.10%) ( t=5.508, P=0.003). The growth trend of TDSCs (P3)
in the 2 groups was consistent within 14 days. There was no significant
difference in absorbance ( A) value between 2 groups at each time point (
P>0.05). The early apoptotic rate of TDSCs was 1.67%+/-0.06% in the experimental
group and was 1.63%+/-0.06% in the control group, showing no significant
difference ( t=0.707, P=0.519). Under microscope, TDSCs adhered to the lower
chamber of the Transwell chamber; the number of cells was 445.00+/-9.70 in the
experimental group and was 451.50+/-12.66 in the control group, showing no
significant difference ( t=0.998, P=0.342). The relative mRNA expressions of
Col1alpha1, Scx, and Tnmd were 3.498+/-0.065, 0.062+/-0.002, and (4.211+/
0.211)*10 -5 in the experimental group and were 3.499+/-0.113, 0.062+/-0.001, and
(4.341+/-0.274)*10 -5 in the con-trol group, showing no significant difference (
t=0.013, P=0.991; t=0.042, P=0.969; t=0.653, P=0.549). Conclusion: The survival
rate of nucleated cells in cryopreserved rat tendon tissues is lower, but a large
number of active TDSCs, and its cell viability, early apoptosis rate, migration
ability in vitro, and cell tenogenic differentiation ability are remained.
PMID- 29798532
TI - [Effect of different electrical stimulation waves on orientation and alignment of
adipose derived mesenchymal stem cells].
AB - Objective: To investigate the effect of different electrical stimulation waves on
orientation and alignment of adipose derived mesenchymal stem cells (ADSCs).
Methods: ADSCs were isolated from 5-week-old Sprague Dawley rats (weight, 100-150
g) and cultivated. The cells at passages 3-5 were inoculated to prepare cell
climbing slices, subsequently was exposed to direct-current electrical
stimulations (ES) at electric field strengths of 1, 2, 3, 4, 5, and 6 V/cm on a
homemade electric field bioreactor (groups A1, A2, A3, A4, A5, and A6); at
electric field strength of 6 V/cm, at 50% duty cycle, and at frequency of 1 and 2
Hz (groups B1 and B2) of square wave ES; at electric field strength of 6 V/cm, at
pulse width of 2 ms, and at frequency of 1 and 2 Hz (groups C1 and C2) of
biphasic pulse wave ES; and no ES was given as a control (group D). The changes
of cellular morphology affected by applied ES were evaluated by time-lapse
micropho-tography via inverted microscope. The cell alignment was evaluated via
average orientation factor ( OF). The cytoske-leton of electric field treated
ADSCs was characterized by rhodamine-phalloidin staining. The cell survival rates
were assessed via cell live/dead staining and intracellular calcium activities
were detected by calcium ion fluorescent staining. Results: The response of ADSCs
to ES was related to the direct-current electric field intensity. The higher the
direct-current electric field intensity was, the more cells aligned perpendicular
to the direction of electric field. At each time point, there was no obvious cell
alignment in groups B1, B2 and C1, C2. The average OF of groups A5 and A6 were
significantly higher than that of group D ( P<0.05), but no significant
difference was found between other groups and group D ( P>0.05). The cytoskeleton
staining showed that the cells of groups A5 and A6 exhibited a compact fascicular
structure of cytoskeleton, and tended to be perpendicular to the direction of the
electric field vector. The cellular survival rate of groups A4, A5, and A6 were
significantly lower than that of group D ( P<0.05), but no significant difference
was found between other groups and group D ( P>0.05). Calcium fluorescence
staining showed that the fluorescence intensity of calcium ions in groups A4, A5,
and A6 was slightly higher than that in group D, and no significant difference
was found between other groups and group D. Conclusion: The direct-current
electric field stimulations with physiological electric field strength (5 V/cm
and 6 V/cm) can induce the alignment of ADSCs, but no cell alignment is found
under conditions of less than 5 V/cm direct-current electric field, square wave,
and biphasic pulse wave stimulation. The cellular viability is negatively
correlated with the electric field intensity.
PMID- 29798534
TI - [Regulation of microRNA-17-92 cluster on bone development, remodeling, and
metabolism].
AB - Objective: To review the regulation of microRNA-17-92 cluster on bone
development, remodeling, and metabolism. Methods: The related literature was
reviewed. The clinical genetic phenotype, animal experiment, and cell research
were illustrated so as to explore the possible regulatory mechanisms. Results:
MicroRNA-17-92 cluster is involved in physiological normal organs development,
pathological neoplasm occurrence, and development. Recently, studies have shown
that microRNA-17-92 cluster constitutes an intricate molecular signaling network
with its upstream transcription factors and downstream targeting proteins, which
controls bone development, remodeling, and metabolism exquisitely. Conclusion:
Present fundamental researches have certain understanding of the regulatory
mechanisms of microRNA-17-92 cluster on bone development, remodeling, and
metabolism. However, the exact mechanisms under these processes remain unknown.
PMID- 29798533
TI - [Sustained release of recombinant human bone morphogenetic protein-2 combined
with stromal vascular fraction cells in promoting posterolateral spinal fusion in
rat model].
AB - Objective: To observe the effect of stromal vascular fraction cells (SVFs) from
rat fat tissue combined with sustained release of recombinant human bone
morphogenetic protein-2 (rhBMP-2) in promoting the lumbar fusion in rat model.
Methods: SVFs were harvested from subcutaneous fat of bilateral inguinal region
of 4-month-old rat through the collagenase I digestion. The sustained release
carrier was prepared via covalent bond of the rhBMP-2 and beta-tricalcium
phosphate (beta-TCP) by the biominetic apatite coating process. The sustained
release effect was measured by BCA method. Thirty-two rats were selected to
establish the posterolateral lumbar fusion model and were divided into 4 groups,
8 rats each group. The decalcified bone matrix (DBX) scaffold+PBS, DBX
scaffold+rhBMP-2/beta-TCP sustained release carrier, DBX scaffold+SVFs, and DBX
scaffold+rhBMP-2/beta-TCP sustained release carrier+SVFs were implanted in groups
A, B, C, and D respectively. X-ray films, manual spine palpation, and high
resolution micro-CT were used to evaluate spinal fusion at 8 weeks after
operation; bone mineral density (BMD) and bone volume fraction were analyzed; the
new bone formation was evaluated by HE staining and Masson's trichrome staining,
osteocalcin (OCN) was detected by immunohistochemical staining. Results: The
cumulative release amount of rhBMP-2 was about 40% at 2 weeks, indicating
sustained release effect of rhBMP-2; while the control group was almost released
within 2 weeks. At 8 weeks, the combination of manual spine palpation, X-ray, and
micro-CT evaluation showed that group D had the strongest bone formation (100%,
8/8), followed by group B (75%, 6/8), group C (37.5%, 3/8), and group A (12.5%,
1/8). Micro-CT analysis showed BMD and bone volume fraction were significantly
higher in group D than groups A, B, and C ( P<0.05), and in group B than groups A
and C ( P<0.05). HE staining, Masson's trichrome staining, and
immunohistochemistry staining for OCN staining exhibited a large number of
cartilage cells with bone matrix deposition, and an active osteogenic process
similar to the mineralization of long bones in group D. The bone formation of
group B was weaker than that of group D, and there was no effective new bone
formation in groups A and C. Conclusion: The combination of sustained release of
rhBMP-2 and freshly SVFs can significantly promote spinal fusion in rat model,
providing a theoretical basis for further clinical applications.
PMID- 29798535
TI - [Research progress of the role of periosteum in distraction osteogenesis].
AB - Objective: To review the research progress of the role of periosteum in
distraction osteogenesis. Methods: The related domestic and foreign literature
about the role of periosteum in distraction osteogenesis in recent years was
extensively reviewed, summarized, and the mechanism and influencing factors of
periosteum during traction and osteogenesis were analyzed. Results: The
periosteum is rich in all kinds of cells (mesenchymal stem cells, osteoblasts,
etc.), microvessel and various growth factors, which are necessary for the
formation of new bone. It can promote the formation of new bone in the process of
traction osteogenesis significantly. Conclusion: The periosteum plays an
important role in the progress of distraction osteogenesis.
PMID- 29798536
TI - [Research progress of three-dimensional printing technique in foot and ankle
surgery].
AB - Objective: To review the current research progress of three-dimensional (3-D)
printing technique in foot and ankle surgery. Methods: Recent literature
associated with the clinical application of 3-D printing technique in the field
of medicine, especially in foot and ankle surgery was reviewed, summarized, and
analyzed. Results: At present, 3-D printing technique has been applied in foot
and ankle fracture, segmental bone defect, orthosis, corrective surgery,
reparative and reconstructive surgery which showed satisfactory effectiveness.
Currently, there are no randomized controlled trials and the medium to long term
follow-up is necessary. Conclusion: The printing materials, time, cost, medical
ethics, and multi-disciplinary team restricted the application of 3-D printing
technique, but it is still a promising technique in foot and ankle surgery.
PMID- 29798537
TI - [Research progress of correlation between traumatic brain injury and fracture
healing].
AB - Objective: To review the current status and advances of the correlation between
traumatic brain injury (TBI) and fracture healing. Methods: The related domestic
and abroad literature about the correlation between TBI and fracture healing was
extensively reviewed and analyzed. Results: There are a variety of studies on the
correlation between TBI and fracture healing, which can be divided into two major
aspects: revascularization and osteogenesis; the local and systemic changes of
the neuropeptide and hormone after TBI. Conclusion: TBI facilitates callus
formation, the further research is needed to clarify the exact mechanism.
PMID- 29798538
TI - [Early effectiveness of cervical disc replacement by using Pretic-I].
AB - Objective: To observe the early effectiveness of cervical disc replacement with
Pretic-I, a new type artificial disc. Methods: A retrospective analysis was made
on the clinical data of 10 patients who underwent single segmental cervical disc
replacement with Pretic-I from June to December 2014. Among 10 patients, 4 were
male and 6 were female, with an average age of 40 years (range, 27-51 years). The
mean disease duration was 15.4 months (range, 4-36 months). Affected segments
located at C 4, 5 level in 1 case, at C 5, 6 level in 8 cases, and at C 6, 7
level in 1 case. The visual analogue scale (VAS), Japanese Orthopaedic
Association (JOA) score, and neck disability index (NDI) were used to evaluate
the clinical outcomes. Besides, the disc height and the range of motion (ROM) at
operated level, and ROM of upper and lower adjacent level were measured to assess
the function. Results: The operation was successfully completed in all patients
who were followed up for 12 months. No complications of aggravated nerve
symptoms, vertebral artery injury, esophagotracheal fistula, cerebrospinal fluid
leakage, incision infection, hematoma and prosthetic loosening were observed
during follow-up. The VAS score, JOA score, and NDI significantly improved at
each time point after operation when compared with preoperative scores ( P<0.05).
The height of intervertebral disc at operated level was significantly increased
at immediate and 3 months after operation when compared with preoperative one (
P<0.05), but no significant difference was found between at 6 months or 12 months
after operation and at pre-operation ( P>0.05). No significant difference was
shown in the ROM at operated level, and ROM of upper and lower adjacent level
between at pre-operation and at each time point after operation ( P>0.05).
Conclusion: The early effctiveness of cervical disc replacement using Pretic-I is
satisfactory. The symptoms can be relieved significantly and the dynamic features
of the operated level, as well as the upper and lower adjacent levels, are well
preserved.
PMID- 29798539
TI - [Short-term effectiveness of transforaminal unilateral approach for bilateral
decompression in lumbar interbody fusion for the treatment of lumbar spinal
stenosis].
AB - Objective: To assess the clinical application value of tranforaminal unilateral
approach for bilateral decompression by comparing the short-term effectiveness of
bilateral decompression via unilateral approach of intervertebral foramen with
via small surgical incision of bilateral spinous process in lumbar interbody
fusion for the treatment of lumbar spinal stenosis. Methods: Between July 2014
and June 2015, 48 patients with lumbar spinal stenosis underwent decompression
and internal fixation by unilateral approach in 24 cases (trial group) and by
bilateral small incision approach in 24 cases (control group). There was no
significant difference in gender, age, disease duration, disease type, involved
segment, combined medical diseases, preoperative level of creatine phosphokinase
(CPK), the visual analogue scale (VAS), and Oswestry disability index (ODI)
between 2 groups ( P>0.05). The operation time, intraoperative blood loss,
postoperative drainage, hospitalization time, and the incidence of complications
were recorded. The CPK levels were evaluated at 1, 3, and 7 days after operation.
VAS score and ODI were used to evaluate the effectiveness, and lumbar X-ray film
or CT scanning to determine the intervertebral bony fusion. Results: There was no
significant difference in operation time, intraoperative blood loss, and
hospitalization time between 2 groups ( P>0.05), but significant difference was
found in postoperative drainage ( t=5.547, P=0.000). At 1 day after operation,
the level of CPK in the trial group was significantly lower than that in the
control group ( t=3.129, P=0.005), but there was no significant difference at 3
and 7 days after operation between 2 groups ( P>0.05). The patients were followed
up 12-24 months (mean, 17 months). All the wounds healed primarily. Heart failure
occurred in 1 case of the trial group, and cerebrospinal fluid leakage and
pulmonary infection, and nerve root injury occurred in 1 case of the control
group respectively. There was no significant difference in the incidence of
complications between 2 groups ( chi2=0.273, P=0.602). The interbody fusion rate
was 95.8% (23/24) in the trial group and was 91.7% (22/24) in the control group,
showing no significant difference ( chi2=0.356, P=0.551). No cage sink,
dislocation or plate and screw loosening and breakage was found in 2 groups. No
adjacent segment degeneration occurred during the follow-up, and there was no
change of scoliosis and lumbar sagittal curvature. At 3, 6, and 12 months after
operation, the VAS score and ODI were significantly improved when compared with
the preoperative scores in 2 groups ( P<0.05), and the VAS score and ODI of the
trial group were significantly better than those of control group ( P<0.05).
Conclusion: The bilateral decompression via unilateral approach of intervertebral
foramen and small surgical incision of bilateral spinous process in lumbar
interbody fusion have satisfactory efficacy for the treatment of lumbar spinal
stenosis, but the tranforaminal unilateral approach has the advantages of less
trauma, avoidance of bilateral muscle stripping and soft paraspinal muscle
injury, retention of posterior spinal structure, faster postoperative recovery,
shorter hospital stay and good short-term effectiveness.
PMID- 29798540
TI - [Effect of remote controlled injection manipulator system assisted percutaneous
kyphoplasty for treatment of rupture of posterior vertebral osteoporotic
vertebral fracture].
AB - Objective: To evaluate the effect of remote controlled injection manipulator
system (RCIM) assisted percutaneous kyphoplasty (PKP) for the treatment of
rupture of posterior vertebral osteoporotic vertebral fracture by comparing with
intermittent hand bolus injection of bone cement during operation. Methods:
Between September 2010 and January 2016, a retrospective analysis was made on the
clinical data of 48 senile patients with single segment rupture of the posterior
vertebral osteoporotic thoracolumbar fracture undergoing PKP who accorded with
the inclusion criteria. Of 48 patients, 22 received intermittent hand bolus
injection of bone cement in the control group, and 26 received RCIM assisted bone
cement perfusion in the trial group. There was no significant difference in age,
gender, duration of disease, causes of injury, implicated vertebral bodies, bone
mineral density T value, pain duration, preoperative visual analogue scale (VAS),
relative vertebral body height in the anterior part, and posterior convex Cobb
angle between groups ( P>0.05). The bone cement perfusion time, the radiation
dose of both doctors and patients, and the amount of bone cement injection were
recorded; treatment effects were evaluated based on VAS score, posterior convex
Cobb angle, relative ver-tebral body height in the anterior part, ratios of bone
cement diffusion area and bone cement leakage rate. Results: The patients were
followed up for 6 months; no complications of toxic effect of bone cement, spinal
cord or nerve root injuries, infection and vascular embolization occurred during
follow-up period. There was no significant difference in bone cement injection
amount and radiation dose of doctors between groups ( P>0.05), but bone cement
perfusion time, ratios of bone cement diffusion area, and radiation dose of
patients were significantly lower in the trial group than the control group (
P<0.05). Bone cement leakage was observed in 6 cases of the control group
(27.27%) and 2 cases of the trial group (7.69%), showing significant difference
between groups ( chi2=4.850, P=0.029); no cement leakage into the spinal canal
was found in both groups. VAS score, relative vertebral body height in the
anterior part, and posterior convex Cobb angle were significantly improved at 3
days and 6 months after operation when compared with preoperative ones ( P<0.05),
but no significant difference was observed in the above indexes between groups at
3 days and 6 months after operation ( P>0.05). Conclusion: Satisfactory
effectiveness can be achieved by applying RCIM assisted PKP for the treatment of
rupture of posterior vertebral osteoporotic vertebral fracture. RCIM can shorten
perfusion time, reduce radiation dose, and decrease incidence of bone cement
leakage.
PMID- 29798541
TI - [Efficacy comparison between one-stage combined posterior and anterior approaches
and simple posterior approach for lower lumbar tuberculosis].
AB - Objective: To compare the clinical efficacy between one-stage combined posterior
and anterior approaches (PA-approach) and simple posterior approach (P-approach)
for lower lumbar tuberculosis so as to provide some clinical reference for
different surgical procedures of lower lumbar tuberculosis. Methods: A
retrospective analysis was made on the clinical data of 48 patients with lower
lumbar tuberculosis treated between January 2010 and November 2014. Of them, 28
patients underwent debridement, bone graft, and instrumentation by PA-approach
(PA-approach group), and 20 patients underwent debridement, interbody fusion, and
instrumentation by P-approach (P-approach group). There was no significant
difference in gender, age, course of the disease, and destructive segment between
2 groups ( P>0.05). The operation time, blood loss, bed rest time, visual
analogue scale (VAS) and complication were recorded and compared between 2
groups; American Spinal Injury Association (ASIA) grade was used to evaluate the
nerve function, Bridwell classification and CT fusion criteria to assess bone
fusion, erythrocyte sedimentation rate (ESR) to evaluate the tuberculosis
control, and Oswestry disability index (ODI) to estimate lumbar function.
Results: The operation time, blood loss, and the bed rest time of the P-approach
group were significantly less than those of the PA-approach group ( P<0.05).
Iliac vessels rupture was observed in 1 case of the PA-approach group and sinus
tract formed in 2 cases of the P-approach group. The patients were followed up 13
35 months (mean, 15.7 months) in the PA-approach group and 15-37 months (mean,
16.3 months) in the P-approach group. At last follow-up, common toxic symptom of
tuberculosis disappeared and the ASIA scale was improved to grade E. The VAS
score and ESR at 1 year after operation and last follow-up, and ODI at last
follow-up were significantly improved when compared with preoperative ones in 2
groups ( P<0.05), but there was no significant difference between the 2 groups (
P>0.05). During follow-up, no internal fixation broken, loosening, or pulling was
found. Bridwell bone fusion rates were 89.29% (25/28) and 80.00% (16/20)
respectively, and CT fusion rates were 96.43% (27/28) and 90.00% (18/20)
respectively, showing no significant difference between the 2 groups ( P>0.05).
Conclusion: Both one-stage PA-approach and simple P-approach could obtain good
clinical efficacy. The PA-approach should be selected for patients with anterior
vertebral destroy, presacral or psoas major muscles abscess, and multiple
vertebral body destroy, while P-approach should be selected for patient who could
gain a good debridement evaluated by imaging before operation, especially for
patients with middle-vertebral body destroy, block the iliac blood vessels and
old patients.
PMID- 29798542
TI - [Influence of lateral retinacular release on anterior knee pain following total
knee arthroplasty].
AB - Objective: To investigate the effect of lateral retinacular release on the
clinical outcomes after total knee arthroplasty (TKA) without resurfacing of the
patella. Methods: A prospective randomized controlled study was performed on 132
patients with unilateral degenerative knee arthritis undergoing TKA bewteen
October 2012 and October 2014, who met the selection criteria. During TKA,
lateral retinacular release was used in 66 cases (trial group) and was not used
in 66 cases (control group). Two patients were excluded from the study due to
missing the follow-up in trial group. Four patients were excluded from the study
due to lateral retinacular release in control group. Finally, 64 patients and 62
patients were included in the trial group and in the control group. There was no
significant difference in gender, age, body mass index, side, disease duration,
preoperative patellar morphology, grading of patellofemoral arthritis, grade of
patellar cartilage degeneration, patellar malposition, patellar maltracking,
patellar score, and Knee Society Score (KSS) between 2 groups ( P>0.05). The
operation time, postoperative drainage volume, hospitalization time,
postoperative complications, and patient satisfaction were recorded.
Postoperative anterior knee pain was assessed by visual analogue scale (VAS), and
the knee joint function was evaluated by KSS score and patellar score. The
femoral angle, tibial angle, femoral flexion angle, and tibial posterior slope
angle were measured on the X-ray film for postoperative prosthetic alignment. The
postoperative patellar tracking and patellar position, as well as the presence of
osteolysis, prosthesis loosening, patellar fracture and patellar necrosis were
observed. Results: All patients were followed up for 24 months. There was no
significant difference in operation time, postoperative drainage volume,
hospitalization time, and patient satisfaction between 2 groups ( P>0.05). The
incidence of anterior knee pain in the trial group was better than that in the
control group ( P=0.033). KSS score and patellar score were significantly
improved in both groups at 24 months after operation when compared with
preoperative scores ( P<0.05), but no significant difference was found between 2
groups ( P>0.05). Complications included hematoma (2 cases in the trial group,
and 1 case in the control group), mild wound dehiscence (2 cases in each group
respectively), skin-edge necrosis (1 case in the trial group), and superficial
wound infection (1 case in each group respectively), which were cured by
conservative treatment. No patellar necrosis, patella fracture, or knee lateral
pain occurred in 2 groups. There was no significant difference in complication
rate between groups ( P=0.392). Satisfactory implant alignment was observed in
both groups during follow-up. There was no significant difference in femoral
angle, tibial angle, femoral flexion angle, and tibial posterior slope angle
between 2 groups ( P>0.05). No radiolucent line at the bone-implant interface was
seen around the tibial components and femoral components in both groups. The
patellar maltracking was observed in 3 patients of the trial group and 5 patients
of the control group, showing no significant difference ( P=0.488). However, the
incidence of patellar malposition in the trial group (18.8%) was significantly
lower than that in the control group (35.5%) ( chi2=0.173, P=0.034). Conclusion:
Lateral retinacular release during primary TKA without resurfacing of the patella
can reduce postoperative knee pain without increasing complications.
PMID- 29798543
TI - [Clinical efficacy of arthroscopic simultaneous treatment for anterior cruciate
ligament injury combined with meniscus bucket-handle tear].
AB - Objective: To explore the clinical efficacy of arthroscopic simultaneous both
anterior cruciate ligament (ACL) reconstruction and suture of the meniscus bucket
handle tear (BHT). Methods: Between January 2013 and April 2014, 22 patients (22
knees) with ACL injury and BHT, who accorded with the inclusion criteria, were
studied. There were 14 males and 8 females with a mean age of 30.68 years (range,
15-44 years). The left side was involved in 10 cases and the right side in 12
cases. Injury located at the medial meniscus in 14 patients, and at the lateral
meniscus in 8 patients. The median of interval from injury to operation was 40
days (range, 9 hours to 4 years). BHT was sutured, and then single bundle
reconstruction of ACL was performed under arthroscopy. Results: All incisions
healed by first intention, and there were no serious complications such as
infection, vascular injury, and nerve injury. The patients were followed up for
26.7 months on average (range, 12-42 months). At 6 weeks after operation, one
patient had limited motion of the knee, the function was recovered after release
under anesthesia; and one patient had joint space tenderness, which was relieved
after conservative treatment. The total effective rate was 90.9% (20/22). At last
follow-up, the anterior drawer test, Lachman test, and McMurray test were
negative in all the cases. The visual analogue scale (VAS), Tegner activity level
score, and Lysholm score were significantly improved at 12 months after operation
when compared with preoperative scores ( P<0.05). At 6-12 months after operation,
complete healing was obtained in 7 cases, and partial healing in 11 cases, and
nonunion in 4 cases based on MRI evaluation criteria by Crues et al. There was no
rupture of reconstruc-tive ligament during follow-up. Conclusion: Arthroscopic
simultaneous both ACL reconstruction and suture of BHT can improve the symptoms,
reduce the risk of re-tear of sutured meniscus effectively, delay degeneration of
articular cartilage, and maintain the stability of the knee joint.
PMID- 29798544
TI - [Comparative study on screw or buttress plate for fixation of supination
adduction type-II medial malleolar fracture].
AB - Objective: To investigate the functional outcomes of buttress plate fixation and
simple screws fixation for the treatment of supination-adduction type-II medial
malleolar fractures so as to provide reference for selection of internal
fixation. Methods: Between March 2009 and December 2012, 53 patients with
supination-adduction type-II medial malleolar fractures were treated with open
reduction and internal fixation. Of them, buttress plate fixation was used in 30
cases (buttress plate fixation group), and screws fixation was used in 23 cases
(screw fixation group). There was no significant difference in age, gender,
injury cause, injury side, disease duration, and combined injuries between 2
groups ( P>0.05). Complications and full weight-bearing time were recorded; the
American Orthopaedic Foot and Ankle Society (AOFAS) ankle-hindfoot score and the
visual analogue scale (VAS) were used to evaluate the functional outcomes. Post
operative anteroposterior and lateral X-ray films were taken to evaluate fracture
reduction and union condition. And the treatment failures were recorded. Results:
There was no significant difference in incision related complication rate and
infection rate between 2 groups ( P>0.05). The patients were followed up 46-91
months (mean, 64.5 months) in buttress plate fixation group and 44-86 months
(mean, 59.5 months) in screw fixation group. The full weight-bearing time of the
buttress plate fixation group was significantly shorter than that of screw
fixation group ( t=2.05, P=0.04). During follow-up time, malunion and nonunion
occurred in 2 cases and 1 case of screw fixation group; anatomic reduction and
bony union were observed in the other patients of 2 groups. The union time showed
no significant difference between 2 groups ( t=1.06, P=0.30). No significant
difference was found in AOFAS score and good and excellent rate, VAS score,
operation failure rate, and traumatic osteoarthritis rate between groups (
P>0.05). Conclusion: For patients with supination-adduction type-II medial
malleolar fracture, the angle between fracture line and tibial axial line is too
small to be fixed firmly with simple screws fixation, with a relatively higher
failure rate. And buttress plate fixation can reach rigid fixation, and has
better functional outcomes.
PMID- 29798545
TI - [Clinical applications of distally based anterolateral thigh flaps based on
perforating vessels from lateral circumflex femoral artery oblique branch].
AB - Objective: To explore the feasibility and technical points of soft tissue defect
reconstruction of the lower extremity using the distally based anterolateral
thigh (dALT) flap based on perforating vessels from the lateral circumflex
femoral artery (LCFA) oblique branch. Methods: Between July 2010 and July 2016, 7
patients underwent defect reconstruction of the lower extremities using the dALT
flap based on perforating vessels from the LCFA oblique branch. There were 4
males and 3 females with an average age of 26.7 years (range, 3-58 years). The
etiologies included angiofibrolipoma in 1 case, malignant fibrous sarcoma in 1
case, soft tissue sarcoma in 1 case, and post-burn scar contracture in 4 cases.
The disease duration was 13 years, 1 year, and 8 months in 3 patients with tumors
respectively, and was from 6 months to 35 years in 4 patients with post-burn scar
contracture. After resection of lesion tissues, the defect size ranged from 8
cm*6 cm to 24 cm*8 cm. The flap size ranged from 9 cm*7 cm to 24 cm*8 cm. The
length of the pedicle ranged from 12 to 22 cm (mean, 16.6 cm). The distance from
the flap pivot point to the superolateral border of the patella ranged from 9.5
to 14.0 cm (mean, 11.8 cm). The donor sites were directly closed in 6 cases and
covered with the split-thickness skin graft in 1 case. Results: All flaps
survived after surgery without any major complications. All wounds at the donor
and the recipient sites healed primarily. The patients were followed up from 5 to
54 months (mean, 30.7 months). The color, texture, and thickness of the flaps
were similar to those of the surrounding skin. No tumor recurrence was observed.
The range of motion of flexion and extension of the knee joint were greatly
improved in the patients with post-burn scar contracture. Conclusion: For
patients who have the oblique branch from the LCFA descending branch which sends
out perforating vessels to the skin of the anterolateral thigh region, a dALT
flap could be used to reconstruct soft tissue defects of the lower extremities.
PMID- 29798546
TI - [Application of digital technology in superficial lateral sural artery perforator
flap for tiny hand wounds reconstruction].
AB - Objective: To investigate the effectiveness of the digital technology in
repairing tiny hand wounds with superficial lateral sural artery perforator flap.
Methods: Between August 2013 and October 2016, 10 cases of tiny hand wounds were
treated with the superficial lateral sural artery perforator flap. There were 6
males and 4 females, aged 19 to 47 years (mean, 31.2 years). The causes included
crushing injury by machine in 6 cases, traffic accident injury in 3 cases, and
electric burning injury in 1 case. The location of the soft tissue defect was the
first web in 2 cases, the thumb pulp in 3 cases, the index finger pulp in 1 case,
the dorsal palms in 3 cases, and the dorsum of finger in 1 case. The time from
injury to hospitalization was 4 hours to 10 days (mean, 3.5 days). The size of
wound was from 4 cm*3 cm to 8 cm*7 cm. All defects were associated with exposure
of tendon and bone. CT angiography (CTA) from aortaventralis to bilateral
anterior and posterior tibial arteries was performed before operation, and the
appropriate donor site as well as perforator was selected. Then the CTA data were
imported into the Mimics15.0 software to reconstruct the three dimensional
structure of the perforator artery, bone, and skin; according to flap size, the
flap design and harvesting process were simulated. The flap was obtained on the
basis of preoperative design during operation. The size of flaps varied from 5
cm*4 cm to 10 cm*8 cm. The donor site was sutured directly in 9 cases and
repaired with skin grafting in 1 case. Results: Superficial medial sural artery
peforator was cut in 3 patients whose superficial lateral sural artery was too
narrow, and the flaps were obtained to repair defects smoothly in the others.
Venous crisis occurred in 1 flap, which survived after exploration of the vessel,
thrombus extraction, and thrombolysis; the other flaps survived successfully. All
wounds and incisions healed by first intention. All cases were followed up 3-18
months (mean, 10 months). The flaps had good shape. At last follow-up, the
results were excellent in 6 cases, good in 3 cases, and fair in 1 case according
to total active motion (TAM). Conclusion: The preoperative individualized design
of the superficial lateral artery perforator flap can realize through CTA digital
technology and Mimics15.0 software; it can reduce the operation risk and is one
of better ways to repair the tiny hand wounds.
PMID- 29798547
TI - [A biomechanical study on effect of deep radioulnar ligaments on the distal
radioulnar joint rotatory stability repaired by bone suture anchors].
AB - Objective: To discuss the role of the bone suture anchors for repair of avulsed
deep radioulnar ligaments in maintaining the rotatory stability of the distal
radioulnar joint. Methods: Nine upper limbs specimens were selected from fresh
adult cadavers to make wrist joint-bone capsular ligaments complex specimen. All
the specimens were tested under conditions of intact (normal group), deep
radioulnar ligaments injury (injury group), and deep radioulnar ligaments injury
repaired with anchoring (repair group). The internal and external rotation torque
values were recorded in 45 degrees wrist extension, neutral position, and 45
degrees wrist flexion by AG-IS series MS biomechanical testing system. The
statistic software was used to compare difference in rotation torque between
groups. Results: In 45 degrees wrist extension, neutral position, and 45 degrees
wrist flexion, the internal rotation torque values in normal group were (0.83+/
0.33), (0.86+/-0.34), and (0.36+/-0.30) N.m respectively; the external rotation
torque values were (0.86+/-0.38), (0.44+/-0.22), and (0.25+/-0.21) N.m
respectively. The internal rotation torque values in injury group were (0.18+/
0.17), (0.22+/-0.17), and (0.16+/-0.15) N.m respectively; the external rotation
torque values were (0.27+/-0.26), (0.13+/-0.17), and (0.04+/-0.04) N.m
respectively. The internal rotation torque values in repair group were (0.79+/
0.34), (0.73+/-0.33), and (0.41+/-0.23) N.m respectively; the external rotation
torque values were (0.80+/-0.39), (0.41+/-0.22), (0.41+/-0.40) N.m respectively.
In 45 degrees wrist extension, neutral position, and 45 degrees wrist flexion,
the internal and external rotation torque values in injury group were
significantly lower than those in normal group and repair group ( P<0.05), but no
significant difference was found between repair group and normal group ( P>0.05).
Conclusion: The deep radioulnar ligaments are important structure for maintaining
rotatory stability of distal radioulnar joint. Bone anchoring of the avulsed deep
radioulnar ligaments to the ulna fovea is critically important in reconstructing
function anatomy of the distal radioulnar joint.
PMID- 29798548
TI - [Effect of different intensity treadmill training on repair of micro-injured
Achilles tendon in rats].
AB - Objective: To explore the effect of different intensity treadmill training on the
repair of micro-injured Achilles tendon induced by collagenase in rats. Methods:
Seventy-two 8-week-old male Sprague Dawley rats (weighing, 200-250 g) were
selected. After adaptive treadmill training for 1 week, rats were injected with
30 MUL type I collagenase solution (10 mg/mL) into both Achilles tendons to make
micro-injured Achilles tendon models. After 1 week of cage feeding, the rats were
randomly divided into 3 groups: the control group, the low-intensity group, and
the high-intensity group, 24 rats each group. The rats in control group could
move freely, and the rats underwent daily treadmill training at the intensity of
13 m/min and 20 min/d in the low-intensity group and at the intensity of 17 m/min
and 60 min/d in the high-intensity group. At immediate, 1 week, and 4 weeks after
training, bilateral Achilles tendons were collected from 8 rats of each group for
gross observation, histological analysis, and mechanical testing. Results: At
immediate after training, there was no significant difference in the gross
observation, histological observation, and biomechanical properties of the
Achilles tendon between groups ( P>0.05). The gross observation showed connective
tissue hyperplasia near Achilles tendon and lackluster tendon in each group at 1
week; hyperplasia significantly reduced in the low-intensity group when compared
with the control group, and there were more connective tissue and a large number
of neovascularization in the high-intensity group at 4 weeks. At 1 week, there
was no significant difference in the semi-quantitative histological total score
between groups ( P>0.05), but there were significant differences in vascularity
between low-intensity group or high-intensity group and control group ( P<0.05).
At 4 weeks, the semi-quantitative histological total score was significantly
higher in high-intensity group than control group and low-intensity group (
P<0.05), and in control group than low-intensity group ( P<0.05). There were
significant differences in collagen arrangement, cell morphology, abnormal cells,
and vascularity between low-intensity group and high-intensity group or control
group ( P<0.05). And there was significant difference in abnormal cells between
high-intensity group and control group ( P<0.05). The mechanical testing showed
that there was no significant difference in cross-sectional area of the Achilles
tendon, the ultimate force, tensile strength, and elastic modulus between groups
at 1 week ( P>0.05); the low-intensity group was significantly higher than the
control group in the ultimate force and the tensile strength ( P<0.05), and than
high-intensity group in the ultimate force and elastic modulus ( P<0.05), but no
significant difference was found in the other indexes between groups ( P>0.05) at
4 weeks. Conclusion: Low-intensity treadmill training can promote the repair of
rat micro-injured Achilles tendon induced by collagenase.
PMID- 29798550
TI - [Effects of long time different negative pressures on osteogenic differentiation
of rabbit bone mesenchymal stem cells].
AB - Objective: To investigate the effects of long time different negative pressures
on osteogenic diffe-rentiation of rabbit bone mesenchymal stem cells (BMSCs).
Methods: The rabbit BMSCs were isolated and cultured by density gradient
centrifugation. Flow cytometry was used to analyze expression of surface markers.
The third passage cells cultured under condition of osteogenic induction and
under different negative pressure of 0 mm Hg (control group), 75 mm Hg (low
negative pressure group), and 150 mm Hg (high negative pressure group) (1 mm
Hg=0.133 kPa), and the negative pressure time was 30 min/h. Cell growth was
observed under phase contrast microscopy, and the growth curve was drawn;
alkaline phosphatase (ALP) activity was detected by ELISA after induced for 3, 7,
and 14 days. The mRNA and protein expressions of collagen type I (COL-I) and
osteocalcin (OC) in BMSCs were analyzed by real-time fluorescence quantitative
PCR and Western blot. Results: The cultured cells were identified as BMSCs by
flow cytometry. The third passage BMSCs exhibited typical long shuttle and
irregular shape. Cell proliferation was inhibited with the increase of negative
pressure. After induced for 4 days, the cell number of high negative pressure
group was significantly less than that in control group and low negative pressure
group ( P<0.05), but there was no significant difference between the low negative
pressure group and the control group ( P>0.05); at 5-7 days, the cell number
showed significant difference between 3 groups ( P<0.05). The greater the
negative pressure was, the greater the inhibition of cell proliferation was.
There was no significant difference in ALP activity between groups at 3 days
after induction ( P>0.05); the ALP activity showed significant difference (
P<0.05) between the high negative pressure group and the control group at 7 days
after induction; and significant difference was found in the ALP activity between
3 groups at 14 days after induction ( P<0.05). The greater the negative pressure
was, the higher the ALP activity was. Real-time fluorescence quantitative PCR and
Western blot detection showed that the mRNA and protein expressions of COL-I and
OC protein were significantly higher in low negative pressure group and high
negative pressure group than control group ( P<0.05), and in the high negative
pressure group than the low negative pressure group ( P<0.05). Conclusion: With
the increase of the negative pressure, the osteogenic differentiation ability of
BMSCs increases gradually, but the cell proliferation is inhibited.
PMID- 29798551
TI - [Repair effects of rat adipose-derived stem cells on DNA damage induced by
ultraviolet in chondrocytes].
AB - Objective: To explore the DNA repair effect of rat adipose-derived stem cells
(ADSCs) on chond-rocytes exposed to ultraviolet (UV) radiation. Methods: ADSCs
were isolated and cultured from the inguinal adipose tissue of Sprague Dawley rat
by digestion with collagenase type I. ADSCs cell phenotype was assayed with flow
cytometry. Multiple differentiation capability of ADSCs at passage 3 was
identified with osteogenic and adipogenic induction. The chondrocytes were
obtained from rat articular cartilage by digestion with collagenase type II and
were identified with toluidine blue staining. The chondrocytes at passage 3 were
irradiated with 40 J/m 2 UV and cultured with normal medium (irradiated group),
and medium containing the ADSCs supernatant (ADSCs supernatant group) or ADSCs
was used for co-culture (ADSCs group) for 24 hours; no irradiation chondrocytes
served as control group. The cell proliferation was estimated by MTS method. The
expression of phosphorylated histone family 2A variant (gammaH2AX) was detected
by immunofluorescence and Western blot. Results: ADSCs presented CD29(+),
CD44(+), CD106(-), and CD34(-); and results of the alizarin red staining and oil
red O staining were positive after osteogenic and adipogenic induction. Cell
proliferation assay demonstrated the absorbance ( A) values were 2.20+/-0.10
(control group), 1.34+/-0.04 (irradiated group), and 1.57+/-0.06 (ADSCs
supernatant group), showing significant difference between groups ( P<0.05).
Immunofluorescence and Western blot showed that the gammaH2AX protein expression
was significantly increased in irradiated group, ADSCs supernatant group, and
ADSCs group when compared with control group ( P<0.05), and the expression was
significantly decreased in ADSCs supernatant group and ADSCs group when compared
with irradiated group ( P<0.05), but no significant difference was found between
ADSCs supernatant group and ADSCs group ( P>0.05). Conclusion: ADSCs can increase
the cell proliferation and down-regulate the gammaH2AX protein expression of
irradiated cells, indicating ADSCs contribute to the repair of irradiated
chondrocyte.
PMID- 29798549
TI - [In vitrodifferentiation of human amniotic mesenchymal stem cells into ligament
fibroblasts after induced by transforming growth factor beta 1 and vascular
endothelial growth factor].
AB - Objective: To investigate whether human amniotic mesenchymal stem cells (hAMSCs)
have the characteristics of mesenchymal stem cells (MSCs) and the differentiation
capacity into ligament fibroblasts in vitro. Methods: The hAMSCs were separated
through trypsin and collagenase digestion from placenta, the phenotypic
characteristics of hAMSCs were detected by flow cytometry, the cytokeratin-19 (CK
19) and vimentin expression of hAMSCs were tested through immunofluorescence
staining. The hAMSCs at the 3rd passage were cultured with L-DMEM/F12 medium
containing transforming growth factor beta 1 (TGF-beta 1) and vascular
endothelial growth factor (VEGF) as the experimental group and with single L
DMEM/F12 medium as the control group. The morphology of hAMSCs was observed by
inverted phase contrast microscope; the cellular activities and ability of
proliferation were examined by cell counting kit-8 (CCK-8) method; the ligament
fibroblasts related protein expressions including collagen type I, collagen type
III, Fibronectin, and Tenascin-C were detected by immunofluorescence staining;
specific mRNA expressions of ligament fibroblasts and angiogenesis including
collagen type I, collagen type III, Fibronectin, alpha-smooth muscle actin (alpha
SMA), and VEGF were measured by real-time fluorescence quantitative PCR. Results:
The hAMSCs presented monolayer and adherent growth under inverted phase contrast
microscope; the flow cytometry results demonstrated that hAMSCs expressed the
MSCs phenotypes; the immunofluorescence staining results indicated the hAMSCs had
high expression of the vimentin and low expression of CK-19; the hAMSCs possessed
the differentiation ability into the osteoblasts, chondroblasts, and lipoblasts.
The CCK-8 results displayed that cells reached the peak of growth curve at 7 days
in each group, and the proliferation ability in the experimental group was
significantly higher than that in the control group at 7 days ( P<0.05). The
immunofluorescence staining results showed that the expressions of collagen type
I, collagen type III, Fibronectin, and Tenascin-C in the experimental group were
significantly higher than those in the control group at 5, 10, and15 days after
culture ( P<0.05). The real-time fluorescence quantitative PCR results revealed
that the mRNA relative expressions had an increasing tendency at varying degrees
with time in the experimental group ( P<0.05). The relative mRNA expressions of
collagen type I, collagen type III, Fibronectin, alpha-SMA, and VEGF in the
experimental group were significantly higher than those in the control group at
the other time points ( P<0.05), but no significant difference was found in the
relative mRNA expressions of collagen type I, collagen type III, and VEGF between
2 groups at 5 days ( P>0.05). Conclusion: The hAMSCs possesses the
characteristics of MSCs and good proliferation ability which could be chosen as
seed cell source in tissue engineering. The expressions of ligament fibroblasts
and angiogenesis related genes could be up-regulated, after induction in vitro,
and the synthesis of ligament fibroblasts related proteins could be strengthened.
In addition, the application of TGF-beta 1 and VEGF could be used as growth
factors sources in constructing tissue engineered ligament.
PMID- 29798552
TI - [Effect of porcine small intestinal submucosa extracellular matrix in promoting
vitality and functional gene expression of hepatocyte].
AB - Objective: To investigate the effect of porcine small intestinal submucosa
extracellular matrix (PSISM) on the vitality and gene regulation of hepatocyte so
as to lay the experimental foundation for the application of PSISM in liver
tissue engineering. Methods: The experiment was divided into two parts: 1 BRL
cells were cultured with 50, 100, and 200 MUg/mL PSISM-medium which were prepared
by adding PSISM into the H-DMEM-medium containing 10%FBS in groups A1, B1, and
C1, and simple H-DMEM-medium served as a control (group D1); 2 BRL cells were
seeded on 1%, 2%, and 3% PSISM hydrogel which were prepared by dissolving PSISM
in sterile PBS solution containing 0.1 mol/L NaOH in groups A2, B2, and C2, and
collagen type I gel served as a control (group D2). At 1, 3, and 5 days after
culture, the morphology and survival of liver cells were detected by the
Live/Dead fluorescent staining. The cell vitality was tested by cell counting kit
8 (CCK-8) assay. And the relative expressions of albumin (ALB), cytokeratin 18
(CK18), and alpha-fetoprotein (AFP) in hepatocytes were determined by real-time
fluorescent quantitative PCR (RT-qPCR). Results: The Live/Dead fluorescent
staining showed the cells survived well in all groups. CCK-8 results displayed
that the absorbance ( A) value of group C1 was significantly higher than that of
group D1 at 5 days after culture with PSISM-medium, and there was no significant
difference between groups at other time points ( P>0.05). After cultured with
PSISM hydrogels, the A values of groups A2, B2, and C2 were significantly higher
than those of group D2 at 3 and 5 days ( P<0.05), the A value of group A2 was
significantly higher than that of groups B2 and C2 at 5 days ( P<0.05), but there
was no significant difference between groups at other time points ( P>0.05). RT
qPCR showed that the relative expressions of ALB and CK18 mRNA significantly
increased and the relative expression of AFP mRNA significantly decreased in
groups A1, B1, and C1 when compared with group D1 ( P<0.05). The relative
expression of CK18 mRNA in group C1 was significantly lower than that in groups
A1 and B1 ( P<0.05). The relative expressions of ALB and CK18 mRNA were
significantly higher and the relative expression of AFP mRNA was significantly
lower in groups A2, B2, and C2 than group D2 ( P<0.05); the relative expression
of CK18 mRNA in group A2 was significantly higher than that in group B2 (
P<0.05), and the relative expression of AFP mRNA in group A2 was significantly
lower than that in group C2 ( P<0.05), but no significant difference was found
between other groups ( P>0.05). Conclusion: PSISM has good compatibility with
hepatocyte and can promote the vitality and functional gene expression of
hepatocyte. PSISM is expected to be used as culture medium supplement or cell
carrier for liver tissue engineering.
PMID- 29798553
TI - [Classification, diagnosis, and treatment system of posteromedial structure and
posterolateral corner injury in knee dislocation].
AB - Objective: To review the research progress of diagnosis and treatment system for
knee dislocation (KD) based on the stage and classification of posteromedial
structure and posterolateral corner injury, so as to provide guidance for
clinical work. Methods: The relevant literature on the classification, diagnosis
and treatment was extensively reviewed. Results: At present, the criterion of the
stage and classification of KD mainly include classification based on the size of
the injury energy, Kennedy classification, and the improved Schenck
classification, but they are not perfect because of no stage and classification
of multiple ligament injury and no standardized treatment of different injuries.
Hua Xi Knee Dislocation and Multiple Ligament Injury (HX-KDMLI) has optimum plan
for injury treatment of posteromedial structure and posterolateral corner injury
in KD based on stage and classification. Conclusion: At present, there is no
unified opinion on stages and classifications of the posteromedial structure and
posterolateral corner injury in KD as well as on diagnosis and treatment. HX
KDMLI has certain feasibility for the stage and classification of the
posteromedial structure and posterolateral corner injury in KD, to a certain
extent, it can be used as reference for the diagnosis and treatment of KD.
PMID- 29798554
TI - [Research progress of larger flexion gap than extension gap in total knee
arthroplasty].
AB - Objective: To summarize the progress of larger flexion gap than extension gap in
total knee arthro-plasty (TKA). Methods: The domestic and foreign related
literature about larger flexion gap than extension gap in TKA, and its impact
factors, biomechanical and kinematic features, and clinical results were
summarized. Results: During TKA, to adjust the relations of flexion gap and
extension gap is one of the key factors of successful operation. The
biomechanical, kinematic, and clinical researches show that properly larger
flexion gap than extension gap can improve both the postoperative knee range of
motion and the satisfaction of patients, but does not affect the stability of the
knee joint. However, there are also contrary findings. So adjustment of flexion
gap and extension gap during TKA is still in dispute. Conclusion: Larger flexion
gap than extension gap in TKA is a new joint space theory, and long-term clinical
efficacy, operation skills, and related complications still need further study.
PMID- 29798555
TI - [Progress of diagnosis and treatment of ankle fractures combined with acute
deltoid ligament injury].
AB - Objective: To review the diagnosis and treatment of ankle fractures combined with
acute deltoid ligament injury. Methods: Recent literature concerning the
diagnosis and treatment of ankle fractures combined with acute deltoid ligament
injury was reviewed. Results: Misdiagnosis is common for ankle fractures combined
with acute deltoid ligament injury. A diagnosis is given based on patients'
complaints, symptoms, and imaging examination, even surgical exploration is
necessary. Whether to repair the deltoid ligament remains controversial.
Conclusion: Deltoid ligament is an important structure to stabilize the medial
ankle joint. However, treatment of different kinds of ankle fractures combined
with acute deltoid ligament injury should be standardized; whether or not repair
deltoid ligament is determined by the intraoperative ankle stability.
PMID- 29798556
TI - [Effectiveness of multiple small-diameter drilling decompression combined with
hip arthroscopy for early osteonecrosis of the femoral head].
AB - Objective: To evaluate the effectiveness of multiple small-diameter drilling
decompression combined with hip arthroscopy for early oeteonecrosis of the
femoral head (ONFH). Methods: Between March 2010 and December 2013, 91 patients
with early ONFH were treated with the operation of multiple small-diameter
drilling decompression combined with hip arthroscopy in 39 cases (53 hips, group
A) or with drilling decompression alone in 52 cases (74 hips, group B). The
patients in 2 groups had obvious hip pain and limited motion before operation.
There was no significant difference in gender, age, etiology, effected side,
stage of osteonecrosis, and preoperative Harris score between 2 groups ( P>0.05).
Results: All operations succeeded and all incisions healed by first intention.
The operation time was significantly longer in group A [(73.3+/-10.6) minutes]
than in group B [(41.5+/-7.2) minutes] ( t=8.726, P=0.000). Temporary of sciatic
nerve apraxia after operation occurred in 2 patients of group A, and no
complication occurred in other patients. Patients were followed up 24-52 months
(mean, 39.3 months) in group A and 24-48 months (mean, 34.6 months) in group B.
At last follow-up, the Harris scores were 83.34+/-8.76 in group A and 76.61+/
9.22 in group B, showing significant differences when compared between 2 groups (
t=-4.247, P=0.029) and when compared with preoperative values in 2 groups ( t=
10.327, P=0.001; t=-8.216, P=0.008). X-ray films showed that the collapse of the
femoral head was observed in 6 hips (1 hip at stage Iand 5 hips at stage II) in
group A, and in 16 hips (4 hips at stageIand 12 hips at stage II) in group B; and
hip arthroplasty was performed. The total effective rates were 88.68% (47/53) in
group A and 78.38% (58/74) in group B, respectively; showing significant
difference between 2 groups ( chi2=5.241, P=0.041). Conclusion: Multiple small
diameter drilling decompression combined with hip arthroscopy is effective in
pain relief, improvement of hip function, slowing-down the process of femoral
head necrosis, delaying the need for total hip arthroplasty in patients with
early ONFH.
PMID- 29798557
TI - [Mid-term effectiveness of total hip arthroplasty by direct anterior approach].
AB - Objective: To retrospectively compare the mid-term effectiveness between by
direct anterior approach (DAA) and by posterolateral approach in total hip
arthroplasty (THA). Methods: Between January 2009 and December 2010, 110 patients
(110 hips) treated with THA and followed up more than 5 years were chosen in the
study. THA was performed on 55 patients by DAA (DAA group), and on 55 patients by
posterolateral approach (PL group). There was no significant difference in
gender, age, body mass index, types of hip joint disease, and preoperative Harris
score between 2 groups ( P>0.05). The operation time, amount of bleeding, length
of hospital stay, postoperative complications, and the Harris scores were
recorded and compared. Results: There was no significant difference in operation
time and length of hospital stay between 2 groups ( t=0.145, P=0.876; t=1.305,
P=0.093). The amount of bleeding was significantly less in DAA group than in PL
group ( t=2.314, P=0.032). All patients were followed up 5-7 years (mean, 5.97
years). Complications happened in 5 cases (9.1%) of DAA group and in 3 cases
(5.5%) of PL group, and there was no significant difference in the incidence of
complications between 2 groups ( chi2=0.539, P=0.463). There was significant
difference in Harris scores at 6 months after operation between 2 groups (
t=2.296, P=0.014), but no significant difference was found in Harris score at 1
year and 5 years between 2 groups ( t=1.375, P=0.130; t=0.905, P=0.087). Further
analysis, at 6 months after operation, the joint function score in DAA group was
significantly higher than that in PL group ( t=1.087, P=0.034), while there was
no significant difference in the pain score and range of motion score between 2
groups ( t=1.872, P=0.760; t=1.059, P=0.091). Conclusion: THA by DAA has the
advantages of less bleeding and faster recovery. The short-term effectiveness is
superior to the THA by traditional posterolateral approach, but there is no
obvious advantage in the mid-term effectiveness.
PMID- 29798558
TI - [Short-term effectiveness analysis of one-stage bilateral total hip arthroplasty
by direct anterior approach].
AB - Objective: To compare the effectiveness of one-stage bilateral total hip
arthroplasty by direct anterior approach (DAA) and by posterolateral approach,
and to investigate the application value of DAA in one-stage bilateral total hip
arthroplasty. Methods: The clinical data of 65 patients who underwent one-stage
bilateral total hip arthroplasty by DAA or posterolateral approach between June
2010 and November 2015 were analyzed retrospectively. DAA was used in 34 cases
(group A) and posterolateral approach was used in 31 cases (group B). There was
no significant difference in the gender, age, body mass index, preoperative
hemoglobin level, etiology, disease duration, preoperative Harris score, and
preoperative visual analogue scale (VAS) score between 2 groups ( P>0.05) with
comparability. The incision length, operation time, intraoperative blood loss,
total blood transfusion volume, hospitalization time, early postoperative
complications, Harris score, and VAS score were recorded and compared between 2
groups. The simple Likert scale method was applied to evaluate the patient
satisfaction, and the imaging evaluation was used. Results: The incision length,
operation time, intraoperative blood loss, total blood transfusion volume, and
hospitalization time of group A were significantly less than those of group B (
P<0.05). The patients were followed up 15-48 months (mean, 25.3 months) in group
A and 12-51 months (mean, 27.6 months) in group B. The overall incidence of
complications related to surgery in group A (10.29%) was significantly lower than
that of group B (19.35%) ( chi2=8.769, P=0.023). The acetabular anteversion and
abduction angle were in the normal range of 2 groups except 1 hip (1.47%) of
group A had a higher acetabular anteversion than normal value. Unstable fixed
prosthesis happened in 1 hip of groups A and B respectively, and the remaining
femoral calcar had no obvious bone resorption and fixed stably. The Harris score
and VAS score at each time point after operation of 2 groups were significantly
improved when compared with preoperative scores ( P<0.05), and the differences
between the time points after operation were also significant ( P<0.05). The
Harris score at 1 and 3 months after operation and the VAS score at 3 days after
operation of group A were significantly better than those of group B ( P<0.05),
but no significant difference was found at last follow-up between 2 groups (
P>0.05). According to the simple Likert scale method to analyze patient
satisfaction, comprehensive satisfaction of group A (97.1%, 33/34) was
significantly higher than that of group B (67.7%, 21/31) ( chi2=10.343, P=0.001).
Conclusion: The application of DAA in one-stage bilateral total hip arthroplasty
can significantly relieve the pain, accelerate the recovery of hip joint
function, and improve the patient satisfaction. But in clinical application, more
attentions should be paid to strictly grasp the indications and prevent the early
complications. The long-term effectiveness needs to be further observed.
PMID- 29798559
TI - [Effectiveness analysis of surgical treatment of ipsilateral femoral neck
fracture and subtrochanteric fracture].
AB - Objective: To discuss the effectiveness of intramedullary nail fixation with
selective cable wiring in the treatment of ipsilateral femoral neck fracture and
subtrochanteric fracture. Methods: Between June 2012 and December 2015, a total
of 19 patients with ipsilateral femoral neck fracture and subtrochanteric
fracture underwent closed reduction of femoral neck fracture and intramedullary
nail fixation combined with selective cable wiring. There were 5 males and 14
females with a median age of 52 years (range, 35-77 years). The cause of injury
included traffic accident injury in 17 cases and falling injury in 2 cases.
According to Garden classification for femoral neck fractures, 7 cases were rated
as type II, 8 as type III, and 4 as type IV. Femoral subtrochanteric fractures
were classified by Seinsheimer classification, with 9 cases as type II, 5 as type
III, 3 as type IV, and 2 as type V. The interval from injury to operation ranged
from 2 to 7 days with an average of 3.7 days. Results: The operation time was 58
125 minutes (mean, 82.4 minutes) and the intraoperative blood loss was 225-725 mL
(mean, 289.5 mL). All incisions achieved healing by first intention and no early
complication such as infection was observed. All patients were followed up 12-18
months (mean, 13.9 months). At 1 month after operation, the tip apex distance was
9-23 mm (mean, 15.2 mm). All patients achieved bone union with the healing time
of 18-42 weeks (mean, 27.4 weeks). One case of hip varus and femoral neck re
displacement (femoral neck shaft angle was 122 degrees ) occurred at 3 months
after operation, which achieved bone union at 42 weeks after operation. Five
patients complained of postoperative pain with the visual analogue scale (VAS)
score of 1-3 (mean, 1.8), which did not influence normal life. A total of 16
patients recovered preoperative hip function. During follow-up, no fracture
nonunion, femoral head necrosis, implant failure, screw cut-out, and loosening of
cable wiring was observed. The Harris hip score (HSS) was 72-92 (mean, 82.8) at
last follow-up and 15 patients (78.9%) achieved good hip function. Conclusion:
Intramedullary nail fixation combined with selective cable wiring was effective
in the treatment of ipsilateral femoral neck fracture and subtrochanteric
fracture.
PMID- 29798560
TI - [Effect of continuous and single shot adductor canal blocks for postoperative
analgesia and early rehabilitation after total knee arthroplasty].
AB - Objective: To compare the analgesia effect and clinical rehabilitation between
continuous adductor canal block (ACB) and single shot ACB in total knee
arthroplasty (TKA).. Methods: Between October 2016 and February 2017, 60 patients
with severe knee osteoarthritis undergoing primary unilateral TKA were
prospectively recruited in the study. All the patients were randomly allocated
into 2 groups and received ultrasound-guided continuous ACB (group A, n=30) or
single shot ACB (group B, n=30), respectively. There was no significant
difference in gender, age, body mass index, nationality, American Society of
Anesthesiology (ASA) grading, and preoperative knee range of motion and
quadriceps strength between 2 groups ( P>0.05). After operation, the tourniquet
time, postoperative drainage volume, hospitalization time, and adverse events in
2 groups were recorded. Visual analogue scale (VAS) scores at rest and during
activity, the quadriceps strength, the knee range of motion, and the time of 90
degrees knee flexion in 2 groups were also recorded and compared. Results: There
was no significant difference in tourniquet time, postoperative drainage volume,
and incidence of adverse events between 2 groups ( P>0.05). But the
hospitalization time was significant shorter in group A than in group B (
P<0.05). VAS scores at rest and during activity were lower in group A than in
group B, with significant differences in VAS score at rest after 12 hours and in
VAS score during activity after 8 hours between 2 groups ( P<0.05). The
quadriceps strength was higher in group A than in group B, with significant
difference at 24, 48, and 72 hours ( P<0.05). The knee range of motion was
significantly better in group A than in group B at 24, 48, 72 hours and on
discharge ( P<0.05). The time of 90 degrees knee flexion was significantly
shorter in group A than in group B ( t=-2.951, P=0.016). There were 4 patients in
group A and 7 patients in group B requiring meperidine hydrochloride (50 mg/time)
within 24 hours, and 3 patients in group A and 7 patients in group B at 24 to 48
hours, and 1 patient in group A and 3 patients in group B at 48 to 72 hours.
Effusion in the catheter site occurred in 2 cases of group A, but no catheter
extrusion occurred. Conclusion: Continuous ACB is superior in analgesia both at
rest and during activity and opioid consumption compared with single shot ACB
after TKA. And the quadriceps strength could be reserved better in continuous ACB
group, which can perform benefits in early rehabilitation.
PMID- 29798561
TI - [Short-term effectiveness of bone cement combined with screws for repairing
tibial plateau defect in total knee arthroplasty].
AB - Objective: To summarize the effectiveness of bone cement combined with screws for
repairing tibial plateau defect in total knee arthroplasty (TKA). Methods:
Between March 2013 and March 2016, 30 patients were treated with TKA and bone
cement combined with screws for repairing tibial plateau defect. Of the 30
patients, 8 were male and 22 were female, with an average age of 64.7 years
(range, 55-71 years). And 17 cases were involved in left knees and 13 cases in
right knees; 22 cases were osteoarthritis and 8 cases were rheumatoid arthritis.
The disease duration ranged from 9 to 27 months (mean, 14 months). Knee Society
Score (KSS) was 41.63+/-6.76. Hospital for Special Surgery Knee Score (HSS) was
38.10+/-7.00. The varus deformity of knee were involved in 19 cases and valgus
deformity in 11 cases. According to the Rand classification criteria, tibial
plateau defect were rated as type IIb. Results: All incisions healed by first
intention, without infection or deep vein thrombosis. All the patients were
followed up 27.5 months on average (range, 10-42 months). At last follow-up, HSS
score was 90.70+/-4.18 and KSS score was 93.20+/-3.75, showing significant
differences when compared with preoperative values ( t=-58.014, P=0.000; t=
60.629, P=0.000). Conclusion: It is a simple and safe method to repair tibial
plateau defect complicated with varus and valgus deformities with bone cement and
srews in TKA.
PMID- 29798562
TI - [Combination Ilizarov technique with tibiotalar or tibiocalcanean arthrodesis for
one-stage treatment of ankle joints infection and bone defects].
AB - Objective: To evaluate the effectiveness of Ilizarov technique and tibiotalar or
tibiocalcanean arthrodesis for one-stage treatment of ankle joints infection and
bone defects. Methods: Between January 2014 and April 2016, 14 patients with
ankle joints infection and bone defects were treated by Ilizarov technique and
tibiotalar or tibiocalcanean arthrodesis for one-stage. There were 12 males and 2
females with an average age of 39.8 years (range, 25-61 years). The causes of
ankle infection included falling from height injury in 5 cases, falling injury in
4 cases, traffic accident injury in 1 case, crushing injury in 1 case, sprain
injury in 1 case, and hematogenous reason in 2 cases. All the patients received
surgery for 0-8 times (mean, 3.7 times) before admission. The modified American
Orthopaedic Foot and Ankle Society (AOFAS) ankle and hindfoot score was 30.25+/
3.54 before operation. The disease duration was 1-30 months (mean, 10.3 months).
Results: All the incisions healed by first intension without recurrence of
infection, and two-stage bone grafting operation did not performed. One case felt
slight local pain and swell of ankle joint after weight-bearing walking more than
30 minutes, and without special treatment. All the patients had different degree
skin redness and swelling of Kirschner wire pinhole for 0-3 times, and relieved
after symptomatic treatment. All the patients were followed up 6-27 months (mean,
16.8 months). Except for 2 cases who did not remove the external fixator (with
external fixation time of 6 months and 8 months respectively), the other patients
removed the external fixator at 6-14 months (mean, 9 months) after operation, all
patients recovered the walk function and without ankle pain. The modified AOFAS
ankle and hindfoot score after removal of external fixator (70.92+/-1.0) was
significantly higher than preoperative one ( t=-10.992, P=0.000). Conclusion: It
is a simple and effective method for one-stage treatment of ankle joints
infection and bone defects by Ilizarov technique and tibiotalar or tibiocalcanean
arthrodesis.
PMID- 29798563
TI - [Effectiveness analysis of induced membrane technique in the treatment of
infectious bone defect].
AB - Objective: To evaluate the effectiveness of induced membrane technique in the
treatment of infectious bone defect. Methods: Thirty-six patients (37 bone
lesions) with infectious bone defects were treated with induced membrane
technique between January 2011 and June 2014. There were 28 males and 8 females
with an average age of 36 years (range, 20-68 years). All bone defects were post
traumatic infectious bone defect. The bone defect was located at the tibia and
fibula in 24 cases (25 bone lesions), at femurs in 6 cases (6 bone lesions), at
ulnas and radii in 2 cases (2 bone lesions), at calcanei in 3 cases (3 bone
lesions), and at clavicle in 1 case (1 bone lesion). The average time between
onset and the treatment of induced membrane technique was 6.2 months (range, 0.5
36.0 months); 15 patients were acute infections (disease duration was less than 3
months). At the first stage, after the removal of internal fixator (applicable
for the patients who had internal fixation), complete debridement of infection
necrotic bone tissue and surrounding soft tissue was performed and the bone
defects were filled with antibiotic-impregnated cement spacers. If the bone was
unstable after debridement, external fixator or plaster could be used for
stabilization. Patients received sensitive antibiotics postoperatively. At the
second stage (usually 6-8 weeks later), the cement spacer were removed, with
preservation of the induced membrane formed by the spacer, and filled the bone
defect with autologous iliac bone graft within the membrane. Results: The
hospitalization time after debridement was 17-30 days (mean, 22.2 days), and the
hospitalization time after the second stage was 7-14 days (mean, 10 days). All
the flaps healed uneventfully in 16 cases treated with local flap transposition
or free flap grafting after debridement. One patient of femur fracture received
Ilizarov treatment after recurrence of infection at 11 months after operation; 1
patient of distal femoral fracture received amputation after recurrence of
infection at 1 month after operation; 1 patient of distal end of tibia and fibula
fractures received ankle arthrodesis after repeated debridements due to the
recurrence of infection; 1 patient of tibia and fibula fractures lost to follow
up. The other 32 patients (33 bone lesions) were followed up 1-5 years (mean, 2
years) without infection recurrence, and the infection control rate was 91.7%
(33/36). All the patients had bony union, and the healing time was 4-12 months
(mean, 7.5 months); no refracture occurred. One patient of femur bone defect had
a lateral angulation of 15 degrees and leg discrepancy of 1.5 cm. Superficial
pin infection was observed in 7 cases and healed after intensive wound care and
oral antibiotics. Adjacent joint function restriction were observed in 6 cases at
last follow-up. Conclusion: Induced membrane technique is a simple and reliable
technique for the treatment of infectious bone defect. The technique is not
limited to the size of the bone defect and the effectiveness is satisfactory.
PMID- 29798564
TI - [Treatment of multiple segments of thoracolumbar tuberculosis using posterior
unilateral debridement with bone graft and internal fixation].
AB - Objective: To explore the effectiveness and related issues in the treatment of
multiple segments of thoracolumbar tuberculosis through posterior unilateral
debridement with bone graft and internal fixation. Methods: The clinical data of
29 patients with multiple segments of thoracolumbar tuberculosis who met the
selection criteria were retrospective analyzed between January 2012 and July
2015. There were 17 males and 12 females, with age of 21-62 years (mean, 37.4
years). Lesions contained 3-8 vertebral segments, including 3 segments in 6
cases, 4-6 segments in 17 cases, and 7-8 segments in 6 cases. The center lesions
located at thoracic spine in 8 cases, lumbar spine in 10 cases, and thoracolumbar
segment in 6 cases, and thoracic lumbar skip lesions in 5 cases. The
complications included vertebral abscess in 7 cases, psoas major abscess in 6
cases, sacral spine muscle abscess in 7 cases, iliac fossa and the buttocks
abscess in 1 case, spinal canal abscess in 2 cases. Preoperative neurological
function was assessed according to the American Spinal Injury Association (ASIA)
classification: 1 case of grade B, 3 cases of grade C, 8 cases of grade D, and 17
cases of grade E. The disease duration was 6-48 months (mean, 19.3 months). All
the patients were treated with posterior unilateral transpedicular or
transarticular debridement with bone graft fusion and internal fixation under
general anesthesia. Pre- and post-operative visual analogue scale (VAS) score,
Oswestry disability index (ODI), and sagittal Cobb angle were recorded and
compared. Bridwell classification standard was used to evaluate bone graft
fusion. According to the number and the center of the lesion, the necessity to
placement of titanium mesh cage was analyzed. Results: All the patients were
followed up 18-30 months (mean, 24 months). Cerebrospinal fluid leakage occurred
in 3 cases, intercostal neuralgia in 2 cases, wound unhealed and fistula
formation in 1 case, and ofiliac fossa abscess recurred in 1 case, and all
recovered after symptomatic treatment. During follow-up, no fracture or loosing
of internal fixation was found and all the lesions were cured at last follow-up.
According to Bridwell classification standard, bone graft achieved bony fusion
during 4-9 months after operation. The VAS score, ODI, and Cobb angle at
immediate after operation and at last follow-up were significantly improved when
compared with preoperative ones ( P<0.05). At last follow-up, the neural function
of all patients improved significantly when compared with preoperative one ( Z=
3.101, P=0.002). The ratio of no placement of titanium mesh cage was
significantly higher in patients with more than 6 lesion segments (6/6, 100%)
than in patients with less than 6 lesion segments (4/23, 17.4%) ( chi2=14.374,
P=0.000). And the ratio of placement of titanium mesh cage was not significantly
different between the patients with the different locations of center focus (
chi2=0.294, P=0.863). Conclusion: For treating multiple segments of thoracolumbar
tuberculosis, the method of posterior unilateral debridement with bone graft and
internal fixation can decrease the damage of posterior spinal structures and
surgical trauma.
PMID- 29798565
TI - [Treatment of thoracolumbar burst fractures with short-segment pedicle
instrumentation and recombinant human bone morphogenetic protein 2 and allogeneic
bone grafting in injured vertebra].
AB - Objective: To investigate the effect of preventing the loss of correction and
vertebral defects after thoracolumbar burst fractures treated with recombinant
human bone morphogenetic protein 2 (rhBMP-2) and allogeneic bone grafting in
injured vertebra uniting short-segment pedicle instrumentation. Methods: A
prospective randomized controlled study was performed in 48 patients with
thoracolumbar fracture who were assigned into 2 groups between June 2013 and June
2015. Control group ( n=24) received treatment with short-segment pedicle screw
instrumentation with allogeneic bone implanting in injured vertebra; intervention
group ( n=24) received treatment with short-segment pedicle screw instrumentation
combining with rhBMP-2 and allogeneic bone grafting in injured vertebra. There
was no significant difference in gender, age, injury cause, affected segment,
vertebral compression degree, the thoracolumbar injury severity score (TLICS),
Frankel grading for neurological symptoms, Cobb angle, compression rate of
anterior verterbral height between 2 groups before operation ( P>0.05). The Cobb
angle, compression rate of anterior vertebral height, intervertebral height
changes, and defects in injured vertebra at last follow-up were compared between
2 groups. Results: All the patients were followed up 21-45 months (mean, 31.3
months). Bone healing was achieved in 2 groups, and there was no significant
difference in healing time of fracture between intervention group [(7.6+/-0.8)
months] and control group [(7.5+/-0.8) months] ( t=0.336, P=0.740). The Frankel
grading of all patients were reached grade E at last follow-up. The Cobb angle
and compression rate of anterior verterbral height at 1 week after operation and
last follow-up were significantly improved when compared with preoperative ones
in 2 groups ( P<0.05). There was no significant difference in Cobb angle and
compression rate of anterior verterbral height between 2 groups at 1 week after
operation ( P>0.05), but the above indexes in intervention group were better than
those in control group at last follow-up ( P<0.05). At last follow-up, there was
no significant difference of intervertebral height changes of internal fixation
adjacent upper position, injured vertebra adjacent upper position, injured
vertebra adjacent lower position, and internal fixation adjacent lower position
between 2 groups ( P>0.05). Defects in injured vertebra happened in 18 cases
(75.0%) in control group and 5 cases (20.8%) in intervention group, showing
significant difference ( chi2=14.108, P=0.000); and in patients with defects in
injured vertebra, bone defect degree was 7.50%+/-3.61% in control group, and was
2.70%+/-0.66% in intervention group, showing significant difference ( t=6.026,
P=0.000). Conclusion: Treating thoracolumbar fractures with short-segment pedicle
screw instrumentation with rhBMP-2 and allogeneic bone grafting in injured
vertebra can prevent the loss of correction and vertebral defects.
PMID- 29798567
TI - [Evaluation of urogenital sinus surgery in disorder of sex development].
AB - Objective: To explore the indication and effectiveness of urogenital sinus
surgery in feminizing genitoplasty of disorder of sex development (DSD). Methods:
A retrospective analysis was made on clinical data from 22 patients with DSD who
underwent one stage feminizing genitoplasty between October 2010 and December
2015. The patients' age ranged from 1 year and 2 months to 21 years, with the
median age of 2 years and 1 month. According to the Prader classification
criteria, the appearance of vulvas were rated as grade I in 7 cases, grade II in
6 cases, grade III in 8 cases, and grade IV in 1 case. Cystoscopy was applied
before feminizing genitoplasty in all patients. Low confluence of vagina and
urethra was found in 19 patients, while high confluence was found in 3 patients.
The mean length of urogenital sinus was 1.6 cm (range, 0.5-3.0 cm). The mean
length of water-filled vagina was 4.4 cm (range, 3.5-5.5 cm). Cervix was detected
at the end of vagina in 16 patients, meanwhile absence of cervix was detected in
6 patients. The same procedures of clitoroplasty and labioplasty were used in all
patients. Three procedures of urogenital sinus surgery were applied, as the "cut
back" vaginoplasty in 6 patients, the "flap" vaginoplasty in 11 patients, and the
partial urogenital sinus mobilization (PUM) in 5 patients. Results: All
procedures were completed successfully and the incisions healed by stage I. All
patients were followed up 12-74 months, with the average of 30.5 months. The
outcome of appearance evaluation was excellent in 13 patients (59.1%), good in 6
patients (27.3%), and poor in 3 patients (13.6%). Urinary incontinence, post-void
residual, urinary infection, and urethrovaginal fistula were not found in 17
toilet trained patients. Conclusion: Urogenital sinus surgery is the most
critical step in feminizing genitoplasty of DSD. It can be finished in one stage
procedure with clitoroplasty and labioplasty before puberty. If thoroughly
evaluation before surgery is completed and the principle of different procedures
is handled, the outcome will be satisfactory.
PMID- 29798566
TI - [Effectiveness comparison between unilateral and bilateral percutaneous
kyphoplasty for Kummell disease].
AB - Objective: To compare the effectiveness between unilateral and bilateral
percutaneous kyphoplasty (PKP) in the treatment of Kummell disease. Methods: The
clinical data of 45 patients with Kummell disease that met the criteria between
January 2014 and February 2016 were analyzed retrospectively. Among them, 26
cases were treated by unilateral PKP (unilateral group), 19 cases were treated by
bilateral PKP (bilateral group). There was no significant difference in gender,
age, disease duration, injured vertebral segment, bone mineral density (T value),
and the preoperative visual analogue scale (VAS) score, Oswestry disability index
(ODI), anterior vertebral height, and kyphosis Cobb angle between 2 groups (
P>0.05). The operation time, intraoperative fluoroscopy times, amount of injected
bone cement, and hospitalization time were recorded, and the situation of bone
cement leakage was observed. The VAS score, ODI, anterior vertebral height, and
kyphosis Cobb angle were evaluated before operation, at 1 day after operation,
and at last follow-up. Results: Bone cement leakage during the operation were
found in 4 cases (15.38%) of unilateral group and 3 cases (15.79%) of bilateral
group without obvious neurological symptoms; there was no significant difference
in the incidence of bone cement leakage between 2 groups ( chi2=0.000, P=1.000).
The operation time, intraoperative fluoroscopy times, and amount of injected bone
cement in the unilateral group were significantly lower than those in the
bilateral group ( P<0.05); but there was no significant difference in the
hospitalization time between 2 groups ( P>0.05). The X-ray film examination
showed that there was no pulmonary embolism in all patients at 1 day after
operation. All the patients were followed up 12-24 months, with an average of
16.4 months. There was no complication such as vertebral re-fracture or cement
block displacement in the injured vertebra. The VAS score, ODI, anterior
vertebral height, and kyphosis Cobb angle at 1 day after operation and at last
follow-up were significantly improved when compared with preoperative values in 2
groups ( P<0.05); the VAS score and ODI in 2 groups were further reduced at last
follow-up when compared with the value at 1 day after operation ( P<0.05), but
the anterior vertebral height and kyphosis Cobb angle in 2 groups at last follow
up did not change significantly ( P>0.05). There was no significant difference in
above indexes at 1 day after operation and at last follow-up between 2 groups (
P>0.05). Conclusion: Both unilateral and bilateral PKP can achieve good
effectiveness in treatment of Kummell disease. But the unilateral puncture
technique possesses advantages such as shorter operation time, less radiation
dose, and less amount of injected bone cement.
PMID- 29798569
TI - [Repair of large skin defect of upper limb with abdominal pedicled flap].
AB - Objective: To investigate the feasibility and effectiveness of designing wide
pedicle of abdominal pedicled flap and repairing large skin defect of upper limb
with improved suture method. Methods: Between March 2014 and August 2016, 11
cases with hand and forearm skin soft tissue defect were repaired with abdominal
pedicled flaps. Among them, 8 cases were male and 3 were female; aged 18-65 years
(mean, 38 years). The causes of injury were machinery injury in 7 cases and
traffic accident in 4 cases. The wound located at left upper limb in 6 cases and
right upper limb in 5 cases. The size of wound ranged from 12 cm*7 cm to 20 cm*10
cm. The interval from injury to operation was 2-5 days (mean, 4 days). Four cases
were repaired with lower abdominal flap and 7 with umbilical flap. The size of
flap ranged from 10 cm*9 cm to 22 cm*10 cm. And the flap was designed with wide
pedicle at width of 8 to 18 cm (mean, 15 cm); then the wound was sutured with
improved method. The pedicle was cut after 3 weeks. Results: All the flaps
survived without congestion, necrosis, and tension blisters. The wound and the
incision were both healed at stage I. All patients were followed up 4-12 months
(mean, 8 months). The skin color, texture, and shape were satisfying, and no
ulcer formed. Only line-like scar left at the donor site. Conclusion: Abdominal
pedicled flap with wide pedicle and improved suture method can reduce the
abdominal skin waste, avoid postoperative infection, and be feasible to repair
large skin defect of upper limb with advantages of simple operation and reliable
fixation.
PMID- 29798568
TI - [Application of serratus anterior muscle flap combined with breast implants for
breast reconstruction after modified radical mastectomy].
AB - Objective: To investigate effectiveness of the combination of serratus anterior
muscle flap and breast implants for breast reconstruction after modified radical
mastectomy. Methods: Between January 2015 and December 2015, 25 female patients
with breast cancer were enrolled, aged 24-62 years (mean, 40.6 years). The tumor
located at left side in 9 cases and right side in 16 cases; 14 cases were in the
left upper quadrant, 4 cases were in the left lower quadrant, 7 cases were on the
top of the breast. All cases were invasive ductal carcinoma. According to TNM
staging, 14 cases were at stageIand 11 cases were at stageIIA. The diameter of
lumps were all less than 3 cm. All those lumps were solitary and without distant
metastasis. The sentinel nodes were all negative. After modified radical
mastectomy, the breasts were reconstructed by serratus anterior muscle flap and
breast implants. The nipples were spared in 22 cases. Results: The operation time
was 113-148 minutes (mean, 136 minutes). All breasts survived and incisions
healed at stageI. There was no complication such as hematoma, infection, etc. All
patients were followed up 6-18 months (mean, 15 months). Except 1 case, the
others were evaluated according to the criteria of the reconstructed breast at 12
months after operation. Among them, 23 cases were evaluated as good and 1 case as
fair. There was no tumor recurrence during the follow-up period. Conclusion: The
combination of serratus anterior muscle flap and breast implants after the
modified radical mastectomy is a handy approach of breast reconstruction which is
less harmful with few postoperative complications. It also gains a high degree of
satisfaction from patients for good breast shape.
PMID- 29798570
TI - [Repair of composite tissue defects and functional reconstruction of upper arm
with latissimus dorsi Kiss flap].
AB - Objective: To investigate the effectiveness of latissimus dorsi Kiss flap for
repairing composite tissue defects and functional reconstruction of upper arm.
Methods: Between March 2010 and November 2016, 12 cases of composite tissue
defects of upper arm were repaired by latissimus dorsi Kiss flap with blood
vessel and nerve bunch. There were 8 males and 4 females with a median age of 34
years (range, 21-50 years). The reason of injury included plowing mechanical
injury in 4 cases, traffic accident injury in 5 cases, electrical injury in 2
cases, and resecting upper arm soft tissue sarcoma in 1 case. There were deltoid
defect in 5 cases, triceps brachii and brachialis defect in 4 cases, and deltoid,
triceps brachii, and brachialis damaged in varying degrees in 3 cases. The defect
area ranged from 13 cm*7 cm to 20 cm*8 cm. Among them, there were 6 cases of
fracture combined with partial bone exposure, one of them with bone defect. The
disease duration was 3 hours to 6 months. The flap size ranged from 10 cm*6 cm to
15 cm*7 cm, and the donor sites were directly sutured. Results: Twelve flaps
survived with primary healing of wounds. Ten patients were followed up 6-26
months (mean, 14 months). At last follow-up, the flaps were soft and the skin
color was similar to the surrounding skin. No obvious scar was found at donor
sites. The abduction range of motion of shoulder was 30-90 degrees . The muscle
strength of brachialis were all at grade 4 or above. The superficial sensation
and tactile sensation recovered partialy (S 1 in 2 cases, S 2 in 6 cases, S 3 in
2 cases). According to Society of Hand Surgery standard for the evaluation of
upper part of the function, the shoulder joint function was excellent in 2 cases,
good in 4 cases, and fair in 4 cases. Conclusion: The design of the latissimus
dorsi Kiss flaps are flexible, and the donor site can be directly sutured, with
the nerves of the latissimus dorsi muscle can partialy reconstruct abduction
function of upper arm. In general, the Kiss flap repairing upper arm defect can
obtain satisfactory effectiveness.
PMID- 29798571
TI - [Construction of injectable tissue engineered adipose tissue with fibrin glue
scaffold and human adipose-derived stem cells transfected by lentivirus vector
expressing hepatocyte growth factor].
AB - Objective: To discuss the possibility of constructing injectable tissue
engineered adipose tissue, and to provide a new approach for repairing soft
tissue defects. Methods: Human adipose-derived stem cells (hADSCs) were extracted
from the lipid part of human liposuction aspirate by enzymatic digestion and
identified by morphological observation, flow cytometry, and adipogenic
induction. The hADSCs underwent transfection by lentivirus vector expressing
hepatocyte growth factor and green fluorescent protein (HGF-GFP-LVs) of different
multiplicity of infection (MOI, 10, 30, 50, and 100), the transfection efficiency
was calculated to determine the optimum MOI. The hADSCs transfected by HGF-GFP
LVs of optimal MOI and being adipogenic inducted were combined with injectable
fibrin glue scaffold, and were injected subcutaneously into the right side of the
low back of 10 T-cell deficiency BALB/c female nude mice (transfected group); non
HGF-GFP-LVs transfected hADSCs (being adipogenic inducted) combined with
injectable fibrin glue scaffold were injected subcutaneously into the left side
of the low back (untransfected group); and injectable fibrin glue scaffold were
injected subcutaneously into the middle part of the neck (blank control group);
0.4 mL at each point. Twelve weeks later the mice were killed and the implants
were taken out. Gross observation, wet weight measurement, HE staining, GFP
fluorescence labeling, and immunofluorescence staining were performed to assess
the in vivo adipogenic ability of the seed cells and the neovascularization of
the grafts. Results: The cultured cells were identified as hADSCs. Poor
transfection efficiency was observed in MOI of 10 and 30, the transfection
efficiency of MOI of 50 and 100 was more than 80%, so the optimum MOI was 50.
Adipose tissue-like new-born tissues were found in the injection sites of the
transfected and untransfected groups after 12 weeks of injection, and no new-born
tissues was found in the blank control group. The wet-weight of new-born tissue
in the transfected group [(32.30+/-4.06) mg] was significantly heavier than that
of the untransfected group [(25.27+/-3.94) mg] ( t=3.929, P=0.001). The mature
adipose cells in the transfected group [(126.93+/-5.36) cells/field] were
significantly more than that in the untransfected group [(71.36+/-4.52)
cells/field] ( t=30.700, P=0.000). Under fluorescence microscopy, some of the
single cell adipocytes showed a network of green fluorescence, indicating the
presence of GFP labeled exogenous hADSCs in the tissue. The vascular density of
new-born tissue of the transfected group [(16.37+/-2.76)/field] was significantly
higher than that of the untransfected group [(9.13+/-1.68)/field] ( t=8.678,
P=0.000). Conclusion: The hADSCs extracted from the lipid part after liposuction
can be used as seed cells. After HGF-GFP-LVs transfection and adipose induction,
the hADSCs combined with injectable fibrin glue scaffold can construct mature
adipose tissue in vivo, which may stimulate angiogenesis, and improve retention
rate of new-born tissue.
PMID- 29798572
TI - [Construction and biocompatibility in vitro evaluation of electrospun
graphene/silk fibroin nanofilms].
AB - Objective: To explore the construction and biocompatibility in vitro evaluation
of the electrospun-graphene (Gr)/silk fibroin (SF) nanofilms. Methods: The
electrostatic spinning solution was prepared by dissolving SF and different mass
ratio (0, 5%, 10%, 15%, and 20%) of Gr in formic acid solution. The hydrophilia
and hydrophobic was analyzed by testing the static contact angle of electrostatic
spinning solution of different mass ratio of Gr. Gr-SF nanofilms with different
mass ratio (0, 5%, 10%, 15%, and 20%, as groups A, B, C, D, and E, respectively)
were constructed by electrospinning technology. The structure of nanofilms were
observed by optical microscope and scanning electron microscope; electrochemical
performance of nanofilms were detected by cyclic voltammetry at electrochemical
workstation; the porosity of nanofilms were measured by n-hexane substitution
method, and the permeability were observed; L929 cells were used to evaluate the
cytotoxicity of nanofilms in vitro at 1, 4, and 7 days after culture. The primary
Sprague Dawley rats' Schwann cells were co-cultured with different Gr-SF
nanofilms of 5 groups for 3 days, the morphology and distribution of Schwann
cells were identified by toluidine blue staining, the cell adhesion of Schwann
cells were determined by cell counting kit 8 (CCK-8) method, the proliferation of
Schwann cells were detected by EdU/Hoechst33342 staining. Results: The static
contact angle measurement confirmed that the hydrophilia of Gr-SF electrospinning
solution was decreased by increasing the mass ratio of Gr. Light microscope and
scanning electron microscopy showed that Gr-SF nanofilms had nanofiber structure,
Gr particles could be dispersed uniformly in the membrane, and the increasing of
mass ratio of Gr could lead to the aggregation of particles. The porosity
measurement showed that the Gr-SF nanofilms had high porosity (>65%). With the
increasing of mass ratio of Gr, the porosity and conductivity of Gr-SF nanofilm
increased gradually, the value in the group A was significantly lower than those
in groups C, D, and E ( P<0.05). In vitro L929 cells cytotoxicity test showed
that all the Gr-SF nanofilms had good biocompatibility. Toluidine blue staining,
CCK-8 assay, and EdU/Hoechst33342 staining showed that Gr-SF nanofilms with mass
ratio of Gr less than 10% could support the survival and proliferation of co
cultured Schwann cells. Conclusion: The Gr-SF nanofilm with mass ratio of Gr less
than 10% have proper hydrophilia, conductivity, porosity, and other physical and
chemical properties, and have good biocompatibility in vitro. They can be used in
tissue engineered nerve preparation.
PMID- 29798573
TI - [Diagnosis and treatment strategy of tuberculosis infection after total knee
arthroplasty].
AB - Objective: To review the diagnosis and treatment of tuberculosis infection after
total knee arthro-plasty (TKA). Methods: The recent literature concerning the
diagnosis and treatment of tuberculosis infection after TKA were extensively
reviewed and summarized. Results: The diagnosis of tuberculosis infection after
TKA is difficult. It should be combined with the patient's medical history,
symptoms, signs, blood examinations, and imaging examinations, among which the
bacterial culture and histopathological examination are the gold standard of
diagnosis. Treatment strategy is combined with the drug treatment and a variety
of surgical procedures that depends on the clinical situation. Conclusion: At
present, there is no guideline for the diagnosis and treatment of tuberculosis
infection after TKA, it still needs further study and improvement.
PMID- 29798574
TI - [Clinical application progress of the Sauve-Kapandji procedure].
AB - Objective: To review the clinical application progress of the Sauve-Kapandji
procedure. Methods: The indications, techniques, effectiveness, and modifications
of the Sauve-Kapandji procedure were analyzed and evaluated by an extensive
review of the relevant literature. Results: The Sauve-Kapandji procedure can be
applied for various disorders of the distal radioulnar joint. Risk of series of
complications exist, which might be reduced by modification of the procedure.
Conclusion: The Sauve-Kapandji procedure, as a salvage operation, can be a good
alternative by meticulous manipulation for appropriate cases with distal
radioulnar joint disorders.
PMID- 29798575
TI - [Application progress of digital technology in auricle reconstruction].
AB - Objective: To review the application progress of digital technology in auricle
reconstruction. Methods: The recently published literature concerning the
application of digital technology in auricle reconstruction was extensively
consulted, the main technology and its specific application areas were reviewed.
Results: Application of digital technology represented by three-dimensional (3D)
data acquisition, 3D reconstruction, and 3D printing is an important developing
trend of auricle reconstruction. It can precisely guide auricle reconstruction
through fabricating digital ear model, auricular guide plate, and costal
cartilage imaging. Conclusion: Digital technology can improve effectiveness and
decrease surgical trauma in auricle reconstruction. 3D bioprinting of ear
cartilage future has bright prospect and needs to be further researched.
PMID- 29798576
TI - [Research progress of non-biological meshes for breast reconstruction].
AB - Objective: To review the application progress of non-biological meshes for breast
reconstruction (BR). Methods: The related home and abroad researches in BR were
reviewed and summarized. Results: Non-biological meshes can be divided into
degradable and nondegradable. The former has many types, whether its degradation
rate can match with the grow rate of repair tissue will significantly affect the
wound healing and tissue intergradation. TiLOOP, on behalf of the latter, has a
good postoperative performance due to its nano TiO 2 layer, lightness and
flexibility. Non-biological meshes have been gradually used to cover and fix
implant in BR. Compared with biological meshes, non-biological meshes are cheaper
and have a more positive postoperative performance generally, but definite
comparison can't be concluded due to the limited data. Conclusion: As non
biological meshes are applied to BR preliminarily, their effectiveness are still
needed to be observed further.
PMID- 29798577
TI - [Application of plasma-mediated bipolar radiofrequency ablation debridement in
treatment with retention of internal fixation for early postoperative infection
of fractures of extremities].
AB - Objective: To explore the effectiveness of plasma-mediated bipolar radiofrequency
ablation debridement (Coblation debridement) in treatment with retention of
internal fixation for early postoperative infection of fractures of extremities.
Methods: Between January 2012 and May 2015, 16 patients (12 males and 4 females)
with early postoperative infection of internal fixation for extremity fracture
were treated, with an average age of 41.6 years (range, 19-61 years). The
fractures included tibia and fibula fracture in 5 cases, femoral fractures in 5
cases, distal humeral fractures in 3 cases, ulna and radius fractures in 2 cases,
and patellar fracture in 1 case. Two cases were open fractures and 14 cases were
closed fractures. All fractures were fixed non-intramedullarily. Postoperative
infection occurred at 5-10 days (mean, 7 days) after operation, with bacteria
cultured from wound secretion in all cases. Type EIC5872 70 Coblation knife and
Coblator II plasma surgery system were conducted to debride the foci of infection
in ablating pattern with sterile saline used as the conductive fluid and the
magnitude of power from 6 to 9. After pulse irrigating with sterile saline,
irrigating tube and draining tube were placed beside the fixation. Postoperative
continuous irrigation and drainage and systemic antibiotic therapy would be
conducted. The effectiveness was evaluated by bone infection effectiveness
evaluation criteria. Results: All the 16 patients were followed up 12-36 months
(mean, 15 months) after operation. All the infected wounds were cured and healed
by first intention without recurrence in all the patients, and the fracture
healing time was 3-7 months (mean, 4.8 months) without limb dysfunction or
nonunion. Internal fixation was removed at 1-2 years after operation in 4 cases,
whom with good fracture healing and without recurrence of infection after
operation. Conclusion: The effectiveness of Coblation debridement in treatment
with retention of internal fixation for early postoperative infection of
extremity fractures are satisfactory, which can avoid the second stage operation,
infectious nonunion, and osteomyelitis.
PMID- 29798578
TI - [Short-term effectiveness of Kirschner wire elastic fixation in treatment of
Doyle type I and II mallet finger].
AB - Objective: To evaluate the short-term effectiveness of Kirschner wire (K-wire)
elastic fixation in the treatment of Doyle type I and II mallet finger. Methods:
Between July 2016 and March 2017, 18 patients with Doyle type I and II mallet
finger were treated. There were 12 males and 6 males, with an average age of 45
years (range, 16-61 years). The index finger was involved in 2 cases, the middle
finger in 3 cases, the ring finger in 10 cases, and the little finger in 3 cases.
The interval from injury to operation ranged from 2 hours to 45 days (median, 5.5
hours). There were 8 patients of closed wound and 10 patients of open wound.
Fourteen patients were simply extensor tendon rupture and 4 were extensor tendon
rupture complicated with avulsion fracture. The distal interphalangeal joints
(DIPJ) of injured fingers were elastically fixed with the K-wire at mild dorsal
extend position. The K-wire was removed after 6 weeks, and the functional
training started. Results: The operation time was 34-53 minutes (mean, 38.9
minutes). Patients were followed up 3-8 months (mean, 5 months). All incisions
healed primarily and no K-wire loosening or infection happened during the period
of fixation. All mallet fingers were corrected. The range of motion (ROM) in
terms of active flexion of injured DIPJ was (75.83+/-11.15) degrees at 6 weeks
after operation, showing significant difference when compared with the normal
DIPJ of contralateral finger [(85.28+/-6.06) degrees ] ( t=3.158, P=0.003). The
ROM in terms of active flexion was (82.67+/-6.78) degrees in 15 patients who
were followed up at 8 months after operation, showing no significant difference
when compared with the normal DIPJ of contralateral finger [(86.00+/-5.73)
degrees ] ( t=1.454, P=0.157). After the removal of K-wire at 6 weeks, visual
analogue scale (VAS) score of active flexion and of passive flexion to maximum
angle were 1.78+/-0.88 and 3.06+/-1.06, respectively. According to the total
active motion criteria, the effectiveness was rated as excellent in 10 cases,
good in 5 cases, moderate in 2 cases, and poor in 1 case, and the excellent and
good rate was 83.33%. The patients' satisfaction were accessed by Likert scale,
which were 3-5 (mean, 4.2). Conclusion: K-wire elastic fixation in the treatment
of Doyle typeIand II mallet finger can repair the extensor effectively, correct
the mallet finger deformity, and also be benefit for the flexion-extension
function restoration of DIPJ.
PMID- 29798579
TI - [Mid-term effectiveness of cerclage wires fixation in treatment of periprosthetic
femoral fractures associated with primary hip arthroplasty].
AB - Objective: To evaluate the mid-term effectiveness of cerclage wires fixation in
treating Mallory typeIIperiprosthetic femoral fractures associated with primary
hip arthroplasty. Methods: A retrospective analysis was made in the data of 14
patients (14 hips) with Mallory typeII periprosthetic femoral fractures
associated with primary hip arthroplasty and treated with cerclage wires fixation
between January 2010 and June 2011 (fracture group). Twenty-eight patients (28
hips) without periprosthetic femoral fractures associated with primary hip
arthroplasty at the same stage were chosen as control group. The uncemented
femoral prosthesis were used in 2 groups. There was no significant difference in
gender, age, body mass index, and primary disease between 2 groups ( P>0.05). The
operation time, intraoperative blood loss, and the complications were recorded.
The Harris score was used to evaluate the hip function and the visual analogue
scale (VAS) score was used to evaluate the pain degree of hip. According to the
postoperative X-ray films of hips, Engh et al. standard was used to evaluate the
fixed and stable status of the femoral prosthesis; the heterotopic ossification
was observed; the time of fracture union was recorded. Results: The operation
time of fracture group was significantly longer than that of control group ( t=
3.590, P=0.001). There was no significant difference in the intraoperative blood
loss between 2 groups ( t=-1.276, P=0.209). All patients were followed up 64-75
months (mean, 69.5 months). Hip dislocation after operation occurred in 1 case of
fracture group; delirium symptom and nonunion of incision occurred in 1 case and
1 case of control group, respectively. There was no significant difference in the
Harris score and VAS score at 6 months and 5 years between 2 groups ( P>0.05). X
ray films showed that all fractures healed and the mean time of fracture union
were 6.1 months (range, 3-12 months). There was no fracture nonunion or malunion
evidently. There was no significant difference in X-ray score at 6 months and 5
years between 2 groups ( P>0.05). At last follow-up, cerclage wires displacement
or breakage did not occur. Heterotopic ossification occurred in 2 cases of
fracture group and in 1 case of control group. Conclusion: Cerclage wires
fixation can achieve the good mid-term effectiveness in treating Mallory
typeIIintraoprative periprosthetic femoral fractures associated with primary hip
arthroplasty.
PMID- 29798580
TI - [Clinical research on perioperative restrictive fluid therapy combined with
preoperative urination training in total hip arthroplasty].
AB - Objective: To evaluate the effectiveness and safety of restrictive fluid therapy
combined with preoperative urination training during perioperative period in an
enhanced recovery after surgery (ERAS) program for primary total hip arthroplasty
(THA). Methods: A retrospective study were conducted in 73 patients who underwent
unilateral THA with liberal intravenous fluid therapy on the day of surgery
between April 2015 and March 2016 (control group) and in 70 patients with
restrictive fluid therapy and preoperative urination training between November
2016 and April 2017 (trial group). There was no significant difference in gender,
age, weight, height, body mass index, primary disease, and complications between
2 groups ( P>0.05). Perioperative related indexes were recorded and compared
between 2 groups, including operation time; pre-, intra-, post-operative
intravenous fluid volumes, overall intravenous fluid volume on the surgery day;
postoperative urine volume per hour after surgery; blood volume; total blood loss
during perioperative period; usage rates of diuretics and urine tube; the
incidences of hypotension, nausea and vomiting, hyponatremia, and hypokalemia
after surgery; postoperative length of stay; and the expressions of inflammatory
factors [C reaction protein (CRP), interleukin-6 (IL-6)] before sugery and at 1st
and 2nd days after surgery. Results: The pre-, intra-, post-operative intravenous
fluid volumes and the overall intravenous fluid volume on the surgery day in
trial group were significantly lower than those in control group ( P<0.05). There
was no significant difference in operation time, blood volume, total blood loss
during perioperative period, and postoperative urine volume per hour after
surgery between 2 groups ( P>0.05). The usage rates of diuretics and urine tube
in trial group were significantly lower than those in control group ( P<0.05),
while the differences in incidences of hypotension, nausea and vomiting,
hyponatremia, and hypokalemia after surgery of 2 groups were insignificant (
P>0.05). The level of inflammation factors (CRP, IL-6) at 1st and 2nd days was
significant lower in trial group than in control group ( P<0.05), with shorter
postoperative length of stay ( t=-5.529, P=0.000). Conclusion: It is safe and
effective to adopt restrictive fluid therapy and preoperative urination training
during perioperative period (intravenous fluid volume controls in about 1 200 mL
on the day of surgery) following ERAS in primary THA. However, prospective
studies with large-scale are still in demand for further confirming the
conclusion.
PMID- 29798581
TI - [Comparison of short-term effectiveness of staged bilateral total hip
arthroplasty via different approaches].
AB - Objective: To compare the short-term effectiveness of staged bilateral total hip
arthroplasty via different approaches. Methods: Thirty-two patients (64 hips)
with bilateral hip disease were treated with total hip arthroplasty between
January 2012 and December 2014. There were 20 males and 12 females with the mean
age of 45.3 years (range, 30-67 years). There were avascular necrosis of femoral
head in 14 cases, developmental dislocation of the hip in 12 cases, ankylosing
spondylitis in 4 cases, osteoarthritis in 2 cases. All patients were treated with
total hip arthroplasty; and the direct anterior approach (DAA) or direct lateral
approach (DLA) was chosen for the unilateral hip randomly. The length of
incision, operation time, total blood loss volume, the time of first
postoperative activity, and the acetabular anteversion angle were compared. The
Harris score, visual analogue scale (VAS) score, and incidence of complication
were compared between 2 groups. Results: All incisions healed at stage I. All
patients were followed up 20-53 months (mean, 39.6 months). The length of
incision, total blood loss volume, the time of first postoperative activity were
significantly shorter in DAA group than in DLA group ( P<0.05). The operation
time was significantly longer in DAA group than in DLA group ( P<0.05). There was
no significant difference in acetabular anteversion angle between 2 groups (
t=1.122, P=0.266). The incidence of complication were 25.00% and 15.63% in DAA
group and DLA group, respectively, showing no significant difference (
chi2=0.869, P=0.536). The Harris score in DAA group significantly increased at 3
and 9 months after operation than in DLA group ( P<0.05); there was no
significant difference at 18 months after operation between 2 groups ( P>0.05).
The VAS score was significantly lower in DAA group than in DLA group at 1 day, 3
days, and 1 week after operation ( P<0.05); there was no significant difference
at 4 and 8 weeks between 2 groups ( P>0.05). The prosthesis did not loosen and
sink during the follow-up. Conclusion: Total hip arthroplasty via DAA can reduce
operation related complication and speed up the recovery of hip function at the
early stage after operation. However, the lateral cutaneous nerve injury is the
most common complication.
PMID- 29798582
TI - [Effectiveness analysis of surgical treatment of Schatzker type IV tibial plateau
fractures].
AB - Objective: To introduce a surgical protocol based on the location and orientation
of the apex of the medial condylar fracture line for the treatment of Schatzker
type IV tibial plateau fractures and report the preliminary effectiveness.
Methods: The clinical data of 18 patients with Schatzker type IV tibial plateau
fractures underwent open reduction and internal fixation between March 2012 and
April 2016 were retrospectively analysed. There were 6 males and 12 females, aged
36-74 years (mean, 45 years). The causes of injury included traffic accident in 2
cases, falling in 14 cases, bruise injury in 1 case, and crush injury of heavy
object in 1 case. All cases were fresh closed fractures, without injury of nerves
and blood vessels. According to sub type of Wahlquist tibial plateau type IV
fracture classification, there were 1 case of type A, 5 cases of type B, and 12
cases of type C. The interval of injury and operation was 6-16 days (mean, 9.5
days). The location of the apex of the medial condylar fracture line was
determined the surgical approach. After operation, reduction of tibial plateau
fractures was evaluated by the DeCoster score evaluation criteria. The knee joint
function was assessed by short Musculoskeletal Function Assessment (SMFA) score
and Hospital for Special Surgery (HSS) score. Results: The incisions all healed
by first intension after operation without surgery related complications. All the
patients obtained satisfactory exposure and reduction during operation. According
to DeCoster score evaluation criteria, the results were excellent in 13 cases and
fair in 5 cases. All the patients were followed up 12-30 months (mean, 18
months). X-ray films showed that all fractures healed at 10-16 weeks (mean, 12
weeks) after operation. There was no plate displacement, screw loosening, and
other complications occurred during follow-up. At last follow-up, the SMFA score
was 15-48 (mean, 28.5). The HSS score was 52-94 (mean, 81.1), and the results
were excellent in 10 cases, good in 5 cases, fair in 2 cases, and poor in 1 case
with an excellent and good rate of 83.3%; the main clinical manifestation was
severe traumatic osteoarthritis symptom in 1 case with the fair result.
Conclusion: The surgical program should be developed based on the location and
orientation of the apex of the medial condylar fracture line. Open reduction and
internal fixation for treating Schatzker type IV fractures can achieve
satisfactory effectiveness.
PMID- 29798583
TI - [Effectiveness analysis of Ilizarov external fixation and ankle arthrodesis in
treatment of late traumatic ankle arthritis].
AB - Objective: To evaluate the effectiveness of Ilizarov external fixation and ankle
arthrodesis in the treatment of late traumatic ankle arthritis. Methods: Between
June 2013 and June 2015, 27 patients with late traumatic ankle arthritis were
treated with Ilizarov external fixation technique. There were 16 males and 11
females with an age of 27-69 years (mean, 45.7 years). Sixteen cases were on the
left side, 11 on the right side. All the patients suffered from traumatic ankle
fractures or ligament damages caused by initial traumas. After 6 months of
standard conservative treatment, the results was invalid and all patients had
ankle joint pain and movement disorders. The disease duration was 3-39 years
(mean, 11.5 years). According to Takakura ankle arthritis staging, there were 16
cases in stage 3 and 11 cases in stage 4. The tibial-talar angle before operation
was (102.55+/-4.02) degrees measured on conventional double-feet loading
anteroposterior and lateral X-ray films. The American Orthopaedic Foot and Ankle
Society (AOFAS) ankle and foot joint score was 45.72+/-6.45, and the visual
analogue scale (VAS) score was 8.61+/-1.96. Results: All the patients were
followed up 15-42 months (mean, 28.1 months). All ankles achieved bony fusion,
the clinical healing time was 12.9 weeks on average (range, 11-18 weeks). No
persistent bleeding in the incisions and needle tract occurred during the follow
up. There were 4 cases of mild needle infection, 2 cases of anterior dislocation
of talus, and 3 cases with different degree of limited activity. No traumatic
bone defect, bone disconnection, and false joint formation was observed. At 12
months after operation, the AOFAS ankle and foot joint score, VAS score, and
tibial-talar angle were 80.53+/-9.14, 2.77+/-0.82, and (94.36+/-2.48) degrees ,
respectively, which were significantly improved when compared with preoperative
ones ( t=16.17, P=0.00; t=14.28, P=0.00; t=9.01, P=0.00). The effectivenss was
excellent in 9 cases, good in 13 cases, and fair in 5 cases, with an excellent
and good rate of 81.5%. Conclusion: Satisfactory effectiveness can be obtained
through Ilizarov external fixation and ankle arthrodesis in the treatment of
traumatic ankle arthritis, showing certain application prospect, while long-term
effectiveness should be comfirmed by large sample randomized controlled trials.
PMID- 29798584
TI - [Application of three-dimensional printing technology for closed reduction and
percutaneous cannulated screws fixation of displaced intraarticular calcaneus
fractures].
AB - Objective: To evaluate the effectiveness of three-dimensional (3-D) printing
assisting minimally invasive for intraarticular calcaneal fractures with
percutaneous poking reduction and cannulate screw fixation. Methods: A
retrospective analysis was performed of the 19 patients (19 feet) with
intraarticular calcaneal fracture who had been treated between March 2015 and May
2016. There were 13 males and 6 females with an average age of 38.2 years (range,
24-73 years). There were 3 open fractures and 16 closed fractures. By Sanders
classification, 12 cases were type II, 7 cases were type III. By Essex-Lopresti
classification, 13 cases were tongue type, 6 cases were joint-depression type.
The time from injury to surgery was 1-10 days (mean, 4.7 days). A thin slice CT
scan was taken of bilateral calcaneus in patients. By using the mirror imaging
technique, the contralateral mirror image and the affected side calcaneus model
were printed according to 1?1 ratio. The displacement of fracture block was
observed and contrasted, and the poking reduction was simulated. Calcaneal
fracture was treated by percutaneous minimally invasive poking reduction and
cannulate screw fixation. The Bohler angle and Gissane angle at immediate after
operation and last follow-up was measured on X-ray films, and compared with
preoperative measurement. The functional recovery was evaluated by American
Orthopaedic Foot and Ankle Society (AOFAS) scores. Results: The operation time
was 25-70 minutes (mean, 45 minutes). The intraoperative blood loss was 10-40 mL
(mean, 14.5 mL). All the incisions healed by first intention and had no relevant
postoperative complications such as skin necrosis, nail tract infection, and
osteomyelitis. All the patients were followed up 12-25 months (mean, 14.6
months). All patients obtained fracture healing, and the fracture healing time
was 8-14 weeks (mean, 10.3 weeks). No screw withdrawal or breakage occurred
during follow-up; only 1 patient with Sanders type II fracture, whose calcaneus
height was partially lost at 6 weeks after operation, the other patients had no
reduction loss and fracture displacement, and no traumatic arthritis occurred.
The Bohler angle and Gissane angle at immediate after operation and last follow
up were significantly improved when compared with preoperative ones ( P<0.05),
but there was no significant difference between at immediate after operation and
last follow-up ( P>0.05). The AOFAS score was 76-100 (mean, 88.2), and the
results were excellent in 10 feet, good in 7, and fair in 2, the excellent and
good rate was 89.5%. Conclusion: 3-D printing assisting minimally invasive for
intraarticular calcaneal fractures with percutaneous poking reduction and
cannulate screw fixation can reduce the surgical trauma, improve the quality of
reduction and fixation, and make the operation more safe, accurate, and
individualized.
PMID- 29798585
TI - [Effectiveness analysis of limited small incision with simple Krackow suture in
treatment of acute closed Achilles tendon rupture].
AB - Objective: To explore the effectiveness of limited small incision with simple
Krackow suture in treatment of acute closed Achilles tendon rupture. Methods:
Between October 2013 and July 2016, 25 cases with acute Achilles tendon rupture
were repaired by simple Krackow suture via limited small incision. There were 21
males and 4 females with an average age of 33.6 years (range, 25-39 years). The
left side was involved in 15 cases and the right side in 10 cases. The injury
caused by sport in 22 cases and by falling in 3 cases. The time from injury to
operation was 3-7 days (mean, 4.4 days). Physical examination showed that the
Thompson sign and single heel raising test were positive. Results: The operation
time was 30-60 minutes with an average of 39.2 minutes. All incisions healed by
first intention. There was no complication of wound infection, deep vein
thrombosis, tendon re-rupture, and sural nerve injury. All patients were followed
up 9-20 months (mean, 14.2 months). The ankle and hindfoot score of American
Orthopaedic Foot and Ankle Society (AOFAS) was 92-97 (mean, 94.9) after 9 months.
The AOFAS score results were excellent in 13 cases, good in 9 cases, and fair in
3 cases. The range of motion of ankle joint was 49-58 degrees with an average of
53.7 degrees . All single heel raising tests were negative. Conclusion: The
method of simple Krackow suture via limited small incision has the advantages of
minimal injury, less incidence of re-rupture and sural nerve injury, quicker
recovery and so on.
PMID- 29798586
TI - [Effectiveness of percutaneous endoscopic spine surgery for treatment of lumbar
spine disorders with intraspinal ossification].
AB - Objective: To investigate the feasibility, effectiveness, and security of
percutaneous endoscopic spine surgery for treatment of lumbar spine disorders
with intraspinal ossification. Methods: Between July 2008 and June 2016, 96
patients with lumbar spine disorders (lumbar disc herniation or lumbar spinal
stenosis) with intraspinal ossification were treated with percutaneous endoscopic
spine surgery. There were 59 males and 37 females, aged from 13 to 57 years
(mean, 29.5 years). The disease duration was 3-51 months (mean, 18.2 months).
Fifty-one cases had trauma history. Ninety-two cases were single segmental
unilateral symptom, 4 cases were bilateral symptom. The ossification property was
posterior ring apophysis separation in 89 cases, and ossification of the fibrous
ring or posterior longitudinal ligament in 7 cases. There were 32 cases of
lateral type, 13 cases of central type, and 51 cases of mixed type. The pressure
factors, such as nucleus pulposus, hyperplasia of the yellow ligament, joint
capsule, or articular osteophyma, were removed under the microscope. Local
anesthesia or continuous epidural anesthesia was performed in the transforaminal
approach with 50 cases, and continuous epidural anesthesia or general anesthesia
was performed in the interlaminar approach with 46 cases. The visual analogue
scale (VAS) score was used to evaluate the degree of leg pain preoperatively and
at last follow-up. The effectiveness was evaluated at last follow-up according to
the modified Macnab criteria. Results: All patients were successfully operated.
Via transforaminal approach, the mean operation time was 53 minutes and the mean
intraoperative fluoroscopy times was 8 times; and via interlaminar approach was
58 minutes and 3 times, respectively. The mean bed rest time after operation was
6.5 hours and the mean hospitalization time was 4.7 days. All patients were
followed up 6-18 months (median, 11 months). Postoperative lumbar CT scan and
three-dimensional reconstruction after 3 days of operation showed that
ossification tissues of 26 cases were not resected, 12 cases were resected
partly, and 49 cases were resected completely. Postoperative lumbar MRI after 3
months of operation showed that spinal cord and nerve root were not compressed.
At last follow-up, VAS score of leg pain was 0.7+/-1.1, which was significantly
lower than preoperative score (5.8+/-1.1) ( t=1.987, P=0.025). At last follow-up,
according to modified Macnab criteria, the results were excellent in 87 cases,
good in 5 cases, and fair in 4 cases, and the excellent and good rate was 95.8%.
Conclusion: Percutaneous endoscopic spine surgery for treatment of lumbar spine
disorders with intraspinal ossification is an effective, safe, and minimal
invasive alternative, and the short-term effectiveness is reliable. Accounting
for the treatment of intraspinal ossification, comprehensive analysis should be
made by combining clinical symptoms, imaging characteristics, and risk
assessment.
PMID- 29798587
TI - [Short-term effectiveness of accurate decompression via foraminoplasty in
treatment of lumbar lateral recess stenosis].
AB - Objective: To discuss the effectiveness and the safety of accurate decompression
via foraminoplasty in treating lumbar lateral recess stenosis patients who
accompanied by disk-flavum ligamentum space and bony lateral recess stenosis, and
to analysis the short-term effectiveness of the surgical procedures. Methods:
Forty-five lumbar lateral recess stenosis patients accompanied by disk-flavum
ligamentum space and bony lateral recess stenosis were treated by accurate
decompression via foraminoplasty between January 2013 and January 2016. There
were 29 males and 16 females with a median age of 58 years (range, 42-82 years).
The disease duration was 3-96 months (mean, 24.4 months). The lesion segment
included L 4, 5 in 36 cases and L 5, S 1 in 9 cases. The visual analogue scale
(VAS) score of low back pain and leg pain at preoperation and last follow-up were
recorded, and the modified Macnab criteria was used to evaluate the effectiveness
at last follow-up. Postoperative CT and MRI were reviewed to evaluate the
stability and decompression of the lumbar spine. Results: All operations were
successfully completed. All the 45 patients were followed up 3-18 months (median,
11 months). Dural tear occurred in 2 cases during operation, bone graft removed
into the spinal canal in 1 case, postoperative low back pain occurred in 5 cases,
and there was no nerve root injury, hematoma formation, or other complications.
The leg pain VAS score at last follow-up (0.6+/-1.2) was significantly improved
when compared with preoperative score (5.7+/-1.4) ( t=8.981, P=0.001); and the
low back pain VAS scores showed no significant difference between preoperation
and last follow-up (1.5+/-1.3 vs. 1.7+/-1.4; t=0.535, P=0.585). According to the
modified Macnab criteria, the results were excellent in 20 cases, good in 22
cases, fair in 2 cases, and poor in 1 case at last follow-up, and the excellent
and good rate was 93.3%. Conclusion: Accurate decompression via foraminoplasty is
an effective, safe, and less invasive way for treating lumbar recess stenosis
patients accompanied by disk-flavum ligamentum space and bony lateral recess
stenosis.
PMID- 29798588
TI - [Comparison of effectiveness of cortical bone trajectory screw fixation and
pedicle screw fixation in posterior lumbar interbody fusion].
AB - Objective: To compare the effectiveness of cortical bone trajectory screw (CBTS)
and conventional pedicle screw for posterior lumbar interbody fusion (PLIF) in
the treatment of single segment lumbar degenerative disease. Methods: Between May
2013 and May 2016, a total of 97 patients with single segment lumbar degenerative
disease were treated with PLIF. Fifty-one patients were fixed with CBTS in PLIF
(trajectory screw group) and 46 with pedicle screw (pedicle screw group). There
was no significant difference in age, gender, body mass index, preoperative
diagnosis, lesion segment, and preoperative visual analogue scale (VAS) score,
Oswestry dysfunction index (ODI) between 2 groups ( P>0.05). The operation time,
intraoperative blood loss, postoperative drainage, bed rest time, length of
hospital stay, serum creatine kinase (CK) concentration, total amount of
diclofenac sodium, perioperative complications, ODI, VAS score, and interbody
fusion rate were recorded and compared between 2 groups. Results: All patients
were followed up 12 months. The patients in trajectory screw group had a
significantly less operation time, intraoperative blood loss, postoperative
drainage, and serum CK concentration when compared with the patients in pedicle
screw group ( P<0.05). Thirty-five patients (68.6%) in trajectory screw group and
46 patients (100%) in pedicle screw group were given diclofenac sodium within 48
hours after operation, showing significant difference between 2 groups (
chi2=89.334, P=0.000). There was no significant difference in the incidence of
perioperative complications between trajectory screw group and pedicle screw
group (3.9% vs. 8.7%, P=0.418). There was no significant difference in the VAS
score, ODI, and interbody fusion rate at 12 months after operation between 2
groups ( P>0.05). Conclusion: For the single segment degenerative lumbar disease,
the use of CBTS or conventional pedicle screw for PLIF can obtain satisfactory
clinical function and interbody fusion rate. But the former has the advantages of
less blood loss, less intraoperative muscle damage, less perioperative pain,
shorter length of hospital stay and bed rest time.
PMID- 29798589
TI - [An anatomical study of lumbar unilateral transverse process-pedicle percutaneous
vertebral augmentation].
AB - Objective: To measure the anatomical parameters related to lumbar unilateral
transverse process-pedicle percutaneous vertebral augmentation, and to assess the
feasibility and safety of the approach. Methods: A total of 300 lumbar vertebral
bodies of 60 patients were randomly selected, and vertebral augmentation were
simulated 600 times on X-ray and CT image with unilateral conventional
transpedicle approach (control group) and unilateral transverse process-pedicle
approach (experimental group). The distance between the entry point and the
midline of the vertebral body, the puncture inner inclination angle, the safe
range of the puncture inner inclination angle, and the puncture success rate were
measured and compared between the left and right with the same approach, and
between the two approaches. Results: The distance between the entry point and the
midline gradually increased from L 1 to L 5 on both sides in the 2 groups. In the
control group, the right sides distance of L 1 and L 2 was much longer than the
left sides, and the right sides distance of L 1, L 2, and L 5 was much longer
than the left sides in the experimental group ( P<0.05); the distance of the
experimental group between the entry point and the midline was much longer than
the control group regardless of the sides from L 1 to L 5 ( P<0.05). In the
experimental group, the right maximum inner inclination angle from L 1 to L 5,
the right middle inner inclination angle from L 1 to L 5, and the right minimum
inner inclination angle from L 1, L 2, L 4, L 5 were significantly larger than
the left side ( P<0.05). The maximum inner inclination angle and the middle inner
inclination angle presented increased tendency, the tendency of minimum inner
inclination angle was ambiguous, however, the all inner inclination angles were
much larger than those in control group among the different lumbar levels(
P<0.05). There was no significant difference of the safe range of the puncture
inner inclination angle between 2 sides in 2 groups at L 1 to L 5 ( P<0.05); the
safe range angle in experimental group at L 5 was significantly smaller than that
in control group ( P<0.05). The difference in total puncture success rate of all
lumbar levels was significant between the experimental group and the control
group ( chi2=172.252, P=0.000); the puncture success rates of the experimental
group were higher than those in the control group form L 1 to L 4 ( P<0.05), but
no significant difference was found in the puncture success rate between 2 groups
at L 5 ( P>0.05). Conclusion: Compared with the unilateral conventional
transpedicle approach, the entry point of the unilateral transverse process
pedicle approach is localized outside, the puncture inclination angle is wider,
and the puncture success rate is higher. It shows that the unilateral transverse
process-pedicle approach is safer and more reliable than the unilateral
conventional transpedicle approach.
PMID- 29798590
TI - [Correlation study between sagittal lumbar facet joint and degenerative lumbar
spondylolisthesis].
AB - Objective: To study the relationship between sagittal facet joint and
degenerative lumber spondylolisthesis (DLS) by observing the changes of the
lumbar facet joint angle. Methods: Fifty-seven patients with DLS who met the
inclusion criteria between January 2013 and February 2016 were collected (DLS
group). There were 26 males and 31 females, with the mean age of 54.0 years
(range, 34-84 years). Forty patients without DLS at same stage were collected as
control group. There were 23 males and 17 females with the mean age of 55.6 years
(range, 29-82 years). There was no significant difference in gender and age
between 2 groups ( P>0.05). The lumbar facet joint angles were measured and
compared by MRI scanning images in 2 groups. In DLS group, X-ray films were used
to evaluated the degree of the lumbar spondylolisthesis on the basis of the
Meyerding standard, and compared the facet joint angles between patients of
different DLS degree. Results: Facet joint angles in the DLS group [(34.18+/-
4.81) degrees ] were significantly smaller than those in control group [(45.87+/
1.09) degrees ] ( t=15.073, P=0.000). In DLS group, the patients were rated as
degree I in 24 cases, degree II in 19 cases, degree III in 14 cases. As the
degree of DLS increased, the lumbar joint angle decreased gradually, and showing
significantly differences between patients of different DLS degree ( P<0.05).
Conclusion: Sagittal lumbar facet joint may be one of the main risk factors of
DLS.
PMID- 29798591
TI - [Clinical research of sideburn reconstruction with expanded island scalp flap
based on parietal branch of superficial temporal vessel].
AB - Objective: To explore the feasibility and effectiveness of sideburn
reconstruction using the expanded island scalp flap based on the parietal branch
of the superficial temporal vessel. Methods: Between February 2012 and April
2015, 7 patients underwent sideburn reconstruction. There were 3 males and 4
females with an average age of 15 years (range, 4-44 years). The etiologies
included burn injury in 3 cases, trauma in 1 case, radiation therapy in 1 case,
and congenital melanocytic nevus in 2 cases. The size of the sideburn defects
ranged from 5.0 cm*3.5 cm to 16.0 cm*10.0 cm. At the first-stage, according to
the sideburn defect, a tissue expander of the appropriate size was inserted
beneath the superficial temporal fascia which containing the parietal branch of
the superficial temporal vessel. Postoperatively, regular saline injection was
commenced. After 3-6 months of the first-stage surgery, the expander was
adequately inflated. While the second-stage surgery was performed, the lesion was
excised and the tissue expander removed. The expanded island scalp flap based on
the parietal branch of the superficial temporal vessel was harvested and advanced
towards the defect of the sideburn according to the contralateral normal one, and
the size of flap ranged from 8 cm*3 cm to 17 cm*11 cm. The donor site was closed
primarily. Results: All flaps survived and the wound and donor sites were healed
primarily without any complication. All patients were followed up 1-36 months
(mean, 9.8 months). The profile, hair density, and hair direction of the new
sideburn were similar to the contralateral sideburn. In 1 patient, the
reconstructed sideburn was a little larger than the contralateral normal one.
After laser hair removal, the patient was satisfied with the appearance.
Conclusion: The expanded island scalp flap based on the parietal branch of the
superficial temporal vessel provides an effective option for the sideburn
reconstruction, which presents with the similar appearance to the contralateral
one.
PMID- 29798592
TI - [Reconstruction of the donor area of distally based sural flap with relaying
lateral gastrocnemius artery perforator propeller flap].
AB - Objective: To investigate the clinical application of relaying lateral
gastrocnemius artery perforator flap in reconstruction of the donor defect after
distally sural flap transferring. Methods: Between January 2014 and January 2016,
12 cases with foot and ankle defects were treated. There were 10 males and 2
females with an average age of 23.4 years (mean, 14-52 years). The injury was
caused by motorcycle accident in 7 cases and traffic accident in 5 cases. The
injury located at left limb in 7 cases and right limb in 5 cases. The size of
soft tissue ranged from 10 cm*4 cm to 12 cm*6 cm. The disease duration was 2-84
hours (mean, 26.2 hours). The foot and ankle defects were reconstructed by
distally sural flaps, then the flap donor sites were reconstructed with relaying
lateral gastrocnemius artery perforator flap at the same stage. The size of
distally sural flap ranged from 11 cm*5 cm to 13 cm*7 cm. The size of relaying
flap ranged from 7 cm*4 cm to 10 cm*6 cm. Results: All flaps survived
uneventfully. All recipient sites and donor sites healed smoothly. No vascular
crisis, wound dehiscence, or evident swelling occurred. All patients were
followed up 6-14 months (mean, 12.4 months) with satisfied esthetic and
functional results in recipient and donor sites. There were only linear scar on
the donor sites. The color and contour was satisfying, the function of calf and
foot were not affected. Conclusion: The relaying lateral gastrocnemius artery
perforator flap combined with distally sural flap is an idea choice to
reconstruct foot and ankle defect, which can avoid donor site skin grafting,
minimize donor site morbidity.
PMID- 29798593
TI - [Anatomical study on the repair of femoral nerve injury with anterior branch of
obturator nerve].
AB - Objective: To investigate the feasibility of the anastomosis of the anterior
branch of obturator nerve and the muscular branch of femoral nerve. Methods: Five
fresh frozen cadavers, including 3 males and 2 females, were included. Both of
the obturator nerve, femoral nerve and their branches were dissected, then their
routes and anatomical positions were observed. The diameter and the number of
myelinated nerve fiber of the anterior branch of obturator nerve and femoral
nerve muscular branches were measured, as well as the overlap distance between
them. Results: The diameter of myelinated nerve fiber of the anterior branch of
obturator nerve was (3.80+/-1.22) mm; the number of myelinated nerve fiber was 11
358+/-800. The diameters of the rectus femoris branch and the medial femoral
branch were (1.60+/-0.54) mm and (2.20+/-0.66) mm, respectively; the number of
myelinated nerve fiber were 4 961+/-655 and 6 666+/-466. Both the diameter and
number of myelinated nerve fiber were close to the anterior branch of obturator
nerve. The anterior branch of obturator nerve could be directly anastomosed with
each nerve branch of femoral nerve in nontension, and the overlap distance was
about 30 mm. Conclusion: It is feasible to repair the femoral nerve by transposed
the anterior branch of obturator nerve and anastomosed with the femoral nerve
muscular branches. And the rectus femoris branch and the medial femoral branch
should be taken as the recipient nerve.
PMID- 29798594
TI - [Effect of ursolic acid on proliferation and apoptosis of human osteosarcoma cell
line U2-OS].
AB - Objective: To investigate the effect of ursolic acid on the proliferation and
apoptosis of human osteosarcoma cell line U2-OS and analyze its mechanism.
Methods: Human osteosarcoma cell line U2-OS was divided into 4 groups, which was
cultured with ursolic acid of 0, 10, 20, and 40 MUmol/L, respectively. At 0, 24,
48, and 72 hours after being cultured, the cell proliferation ability was
detected by cell counting kit 8 (CCK-8). At 48 hours, the effects of ursolic acid
on cell cycle and apoptosis of U2-OS cells were measured by flow cytometry.
Besides, the expressions of cyclin D1 and Caspase-3 were detected by real-time
fluorescent quantitative PCR and Western blot. Results: CCK-8 tests showed that
the absorbance ( A) value of each group was not significant at 0 and 24 hours (
P>0.05); but the differences between groups were significant at 48 and 72 hours (
P<0.05). Flow cytometry results showed that, with the ursolic acid concentration
increasing, the G 1 phase of U2-OS cells increased, the S phase and G 2/M phase
decreased, and cell apoptosis rate increased gradually. There were significant
differences between groups ( P<0.05). Compared with the 0 MUmol/L group, the
relative expressions of cyclin D1 mRNA and protein in 10, 20, and 40 MUmol/L
groups significantly decreased ( P<0.05); whereas, there was no significant
difference in relative expression of Caspase-3 mRNA between groups ( P>0.05).
However, with the ursolic acid concentration increasing, the relative expressions
of pro-Caspase-3 protein decreased and the relative expressions of activated
Caspase-3 increased; there were significant differences between groups ( P<0.05).
Conclusion: Ursolic acid can effectively inhibit the proliferation of
osteosarcoma cell line U2-OS, induce the down-regulation of cyclin D1 expression
leading to G 0/G 1 phase arrest, increase the activation of Caspase-3 and promote
cell apoptosis.
PMID- 29798595
TI - [Effects of human urine-derived stem cells combined with chondroitinase ABC on
the expressions of nerve growth factor and brain-derived neurotrophic factor in
the spinal cord injury].
AB - Objective: To explore the effects of human urine-derived stem cells (hUSCs) and
hUSCs combined with chondroitinase ABC (chABC) on the expressions of nerve growth
factor (NGF) and brain-derived neurotrophic factor (BDNF) in the spinal cord
injury (SCI) of rats, and to investigate the underlying mechanism. Methods: hUSCs
were cultured from human urine, and their phenotypes were detected by flow
cytometry. The SCI model of rats were made via Allen method. Sixty Sprague Dawley
rats were divided into 5 groups ( n=12): the sham operation group (group A), SCI
group (group B), SCI+hUSCs group (group C), SCI+chABC group (group D), and
SCI+hUSCs+chABC group (group E). Basso, Beattie, Bresnahan (BBB) score was used
to measure the lower extremity motor function of rats in each group at 10, 20,
and 30 days after operation. Real-time fluorescent quantitative PCR was used to
detect the relative mRNA expressions of NGF and BDNF at 30 days. Meanwhile, the
protein expression of NGF and BDNF were confirmed by immunohistochemistry
staining. The relative protein expressions of Bax and Bcl-2 were detected by
Western blot. Results: The hUSCs were identified to have multipotential
differentiation potential. At 10, 20, and 30 days, BBB score was significantly
lower in group B than in groups A, C, D, and E, in groups C, D, and E than in
group A, in groups C and D than in group E ( P<0.05). Real-time fluorescent
quantitative PCR and immunohistochemistry staining demonstrated that the
expressions of NGF and BDNF were significantly lower in group B than in groups A,
C, D, and E, in groups C, D, and E than in group A, in groups C and D than in
group E ( P<0.05); but there was no significant difference between groups C and D
( P>0.05). Western blot results indicated that the protein expression of Bax was
significantly higher in group B than in groups A, C, D, and E, in groups C, D,
and E than in group A, in groups C and D than in group E ( P<0.05). Meanwhile,
the protein expression of Bcl-2 was significantly lower in group B than in groups
A, C, D, and E, in groups C, D, and E than in group A, in groups C and D than in
group E ( P<0.05). Conclusion: hUSCs can protect SCI and this positive effect can
be enhanced by chABC; this neuro-protective effect may depend on promoting the
expressions of NGF and BDNF, and suppressing the neuronal apoptosis.
PMID- 29798596
TI - [Radiological evaluation of dextran sulfate/recombinant human bone morphogenetic
protein 2/chitosan composite microspheres combined with coral hydroxyapatite
artificial bone in repairing large segmental bone defects].
AB - Objective: To evaluate the osteogenic effect of dextran sulfate/recombinant human
bone morphogenetic protein 2/chitosan (DS/rhBMP-2/CS) combined with coralline
hydroxyapatite (CHA) in repairing large segmental bone defects by radiographic
feature. Methods: Fifty-seven 24-week-old male New Zealand rabbits were prepared
for establishing right radius bone defect model of 20 mm in length. In which 54
rabbits were randomly divided into 3 groups ( n=18), and the CHA, DS/rhBMP
2/CS/CHA, and rhBMP-2/CHA artificial bone grafts were implanted into the bone
defect in groups A, B, and C respectively; the remaining 3 rabbits were implanted
nothing as blank control group. After operation, the gross condition of the
animals was observed; at 4, 8, and 12 weeks after operation, X-ray film
observation, Micro-CT scanning, and three-dimensional reconstruction were
performed to obtain the volume of the new bone. Results: The experimental animals
recovered well and were in normal condition. X-ray observation showed that the
bone healing in group B was better than that in groups A and C at each time
point. At each time point after operation, the X-ray scores of group B were
significantly higher than that of group A and group C ( P<0.05); the scores of
group C at 8 and 12 weeks after operation were significantly higher than that of
group B ( P<0.05). Micro-CT scanning and three-dimensional reconstruction
observation showed that at each time point after operation in group A, the bone
defect area had less bone formation and poor osteogenesis; in group B, there were
many new bone tissues in bone defect area, and the bone remodeling was well, and
gradually closed to normal bone morphology at 12 weeks; in group C, there were
many new bone tissues in bone defect area, but the bone formation was general.
The new bone volume of group B was significantly higher than that of group A and
group C ( P<0.05) at each time point after operation, and the score of group C
was higher than that of group A at 8 weeks after operation ( P<0.05). Conclusion:
The osteogenic effect of DS/rhBMP-2/CS/CHA sustained-release artificial bone is
much better than that of single CHA and rhBMP-2/CHA, which can provide a new idea
for treating bone defect by using bone tissue engineering in the future.
PMID- 29798597
TI - [Research progress of the donor factors and experimental factors affecting
adipogenic differentiation of adipose derived stem cells].
AB - Objective: To summarize the donor factors and experimental factors that affect
adipogenic differentiation of adipose derived stem cells, so as to provide
reference for adipogenic differentiation of adipose derived stem cells. Methods:
The related research literature about donor factors and experimental factors
affecting adipogenic differentiation of adipose derived stem cells in recent
years was extensively reviewed and summarized. Results: There are a lot of donor
factors and experimental factors affecting adipogenic differentiation of adipose
derived stem cells, but some of the factors are still controversial, such as
donor age, health status, adipose tissue of different parts, and so on. These
factors need to be further studied. Conclusion: The donor factors and
experimental factors that affect adipogenic differentiation of adipose derived
stem cells should be deeply studied and the controversial issues should be
clarified to lay a solid foundation for the application of adipose derived stem
cells in adipose tissue engineering.
PMID- 29798598
TI - [Research progress of drug-loaded antibacterial coating of orthopedic metal
implants].
AB - Objective: To investigate the research progress of drug-loaded antibacterial
coating of orthopedic metal implants in recent years. Methods: The recent
literature on the drug-loaded antibacterial coating of orthopedic metal implants
were reviewed. The research status, classification, and development trend of drug
loaded antibacterial coating were summarized. Results: The drug-loaded
antibacterial coating of orthopedic metal implants can be divided into passive
release type and active release type according to the mode of drug release.
Passive drug release coating can release the drug continuously regardless of
whether the presence of bacteria around the implants. Active drug release coating
do not release the drug unless the presence of bacteria around the implants.
Conclusion: The sustained and stable release of drugs is a key problem to be
solved in various antibacterial coatings research. The intelligent antibacterial
coating which release antibiotics only in the presence of bacteria is the future
direction of development.
PMID- 29798599
TI - [Research progress of growth factor sustained-release microspheres in fat
transplantation].
AB - Objective: To review the research progress of growth factor sustained-release
microspheres in fat transplantation. Methods: The recently published 1iterature
at home and abroad related the growth factor sustained-release microspheres in
fat transplantation was reviewed and analyzed. Results: The sustained-release
microsphere carrier materials include natural polymer materials and synthetic
polymer materials.The sustained-release complexes of different microsphere
materials with different growth factors can promote the vascularization of
transplanted fat in a timely manner, improve the survival rate of grafts, and
reduce the incidence of complications such as liquefaction, calcification, and
necrosis. Conclusion: The growth factor sustained-release microspheres have the
characteristics of persistence and controllability, which is a research hotspot
in the field of fat transplantation and has broad application prospects.
PMID- 29798600
TI - [Preliminary application of three dimensional printing personalized navigation
template in assisting total elbow replacement for patients with elbow tumor].
AB - Objective: To explore the clinical methods of resection of elbow tumor and total
elbow replacement with custom personalized prosthesis based on three dimensional
(3-D) printing navigation template. Methods: In August 2016, a 63-year-old male
patient with left elbow joint tumor was treated, with the discovery of the left
distal humerus huge mass over 3 months, with elbow pain, activity limitation of
admission. Computer-assisted reduction technique combined with 3-D printing was
used to simulate preoperative tumor resection, a customized personal prosthesis
was developed; tumor was accurately excised during operation, and the clinical
result was evaluated after operation. Results: The time was 46 minutes for tumor
resection, and was 95 minutes for personalized implant and allograft bone without
fluoroscopy. X-ray and CT examination at 1 week after operation showed good
position of artificial elbow joint; the anteversion of ulna prosthesis was 30
degrees and the elbow carrying angle was 15 degrees , which were consistent with
the simulated results before surgery. The finger flexion was normal at 1 month
after operation; the range of motion was 0-130 degrees for elbow flexion and
extension, 80 degrees for forearm pronation, and 80 degrees for forearm
supination. The elbow function was able to meet the needs of daily life at 7
months after operation, and no recurrence and metastasis of tumor were observed.
Conclusion: For limb salvage of elbow joint, computer aided design can make
preoperative surgical simulation; the navigation template can improve surgical
precision; and the function of elbow joint can be reconstructed with customized
and personlized prosthesis for total elbow replacement.
PMID- 29798601
TI - [Development and clinical application of a new type of intramedullary nail tail
cap].
AB - Objective: To develop a new type of tail cap for closing the tail of the central
hole of the interlocking intramedullary nail so as to reduce difficulty in
implantation of the tail cap into intramedullary nail, and ensure the accuracy of
implantation, as well as reduce unnecessary operation time. Methods: In 2014, the
new type of intramedullary nail tail cap ("new tail cap" for short) was
successfully developed, and has been granted national utility model patent. A
randomized controlled clinical trial was conducted in 34 patients with non
pathological femoral shaft fractures treated between August 2014 and March 2016.
The patients were randomly divided into groups A and B, 17 patients each group.
There was no significant difference in gender, age, side, body mass index, cause
of injury, injury to operation time, and fracture AO classification between
groups ( P>0.05), with comparability. All patients underwent fixation with
interlocking intramedullary nail, and the new tail cap and original tail cap were
used in groups A and B respectively. The blood loss and operation time during
tail cap implantation, fracture healing time, and complications were recorded and
compared between 2 groups; the limb function was evaluated by Klemm function
evaluation standard. Results: The blood loss and operation time during tail cap
implantation of group A [(3.5+/-0.8) mL and (10.57+/-3.15) s] were significantly
less than those of group B [(5.5+/-1.7) mL and (21.99+/-6.90) s] ( t=-8.281,
P=0.010; t=-10.743, P=0.009). All new tail caps were successfully implanted by
one-time in group A, and the one-time success rate was 100%; the original tail
cap exfoliation occurred in 3 cases of group B, and the one-time success rate was
82.4%. All the patients were followed up 6-16 months (mean, 9.7 months); there
was no deep infection, loosening or breakage of internal fixation, and other
serious complications. The time of fracture healing was (16.4+/-3.2) weeks in
group A and (15.8+/-3.5) weeks in group B, showing no significant difference (
t=0.514, P=0.611). At last follow-up, according to the Klemm function evaluation
standard, the results were excellent in 14 cases and good in 3 cases in group A,
and were excellent in 11 cases and good in 6 cases in group B, showing no
significant difference between 2 groups ( chi2=0.142, P=0.707). Conclusion: The
new type of femoral intramedullary nail tail cap can simplify operation, shorten
operation time, and reduce blood loss, and it has satisfactory effectiveness.
PMID- 29798602
TI - [Comparison of early effectiveness between different approaches in primary total
hip arthroplasty].
AB - Objective: To compare the early effectiveness between by anterior approach via
Bikini incision and by OCM approach in the primary total hip arthroplasty (THA).
Methods: Between June 2015 and March 2016, 60 patients with ischemic necrosis of
the femoral head who accorded with the inclusion criteria were chosen in the
study, who were divided into 2 groups according to different surgical approaches.
THA was performed on 30 patients by anterior approach via Bikini incision (group
A), and on 30 patients by OCM approach (group B). There was no significant
difference in age, gender, body mass index, side of affected hip, Steinberg stage
of ischemic necrosis of femoral head, preoperative hemoglobin, preoperative
Harris score, and preoperative visual analogue scale (VAS) between 2 groups (
P>0.05). The operation time, length of incision, intraoperative blood loss,
transfusion rate, starting time of straight leg raising exercise, starting time
of active abduction of hip, hospitalization time, the incidence of limb length
discrepancy, postoperative Harris score and VAS score were recorded and compared
between 2 groups. Anteroposterior pelvic X-ray films were taken to measure
acetabular abduction and acetabular anteversion. Results: Primary healing of
incision was obtained in all patients of 2 groups, and there was no significant
difference in complication between 2 groups ( chi2=0.144, P=0.704). All the
patients of 2 groups were followed up 3 to 12 months, averaged 6 months. There
was no significant difference in operation time, length of incision,
intraoperative blood loss, transfusion rate, hospitalization time, and starting
time of straight leg raising exercise between 2 groups ( P>0.05). Group A was
significantly shorter than group B in starting time of active abduction of hip (
t=-4.591, P=0.000), and was significantly lower than group B in the incidence of
limb length discrepancy ( chi2=5.455, P=0.020). After operation, neither Harris
score at 2 weeks, 6 weeks, 3 months, and 6 months nor VSA score at 24 hours and 6
weeks showed significant difference between 2 groups ( P>0.05). The
anteroposterior pelvic X-ray films showed that all the prostheses were in good
position, and there was no femoral prosthesis subsidence, acetabular cup
displacement or dislocation. No significant difference was found in acetabular
abduction and acetabular anteversion at 2 days after operation between 2 groups (
t=0.887, P=0.379; t=0.652, P=0.517). Conclusion: Both of two approaches in THA
can avoid muscle damage and achieve favorable short-term effectiveness. But,
anterior approach via Bikini incision is superior to OCM approach in starting
time of active abduction of hip and the incidence of limb length discrepancy.
PMID- 29798603
TI - [Detection and influence factor of serum metal ions concentration level after
resurfacing arthroplasty of the hip].
AB - Objective: To observe the tendency of serum cobalt (Co), chromium (Cr), and
molybdenum (Mo) ions concentration level after resurfacing arthroplasty of the
hip (RSAH) by inductively coupled plasma mass spectrometer (ICP-MS), and analyze
the influence factors. Methods: Forty-seven patients (55 hips) underwent RSAH
between June 2005 and November 2014 who had good joint function (Harris score
were >85) at every review time were selected in the study. There were 25 males
and 22 females, with the mean age of 49.7 years (range, 18-64 years). The disease
causes included developmental dysplasia of the hip (DDH) in 18 cases (22 hips)
and non-DDH in 29 cases (33 hips). The follow-up time was 6 months to 5 years
(mean, 3.2 years). The concentrations of Co, Cr, and Mo ions were measured by ICP
MS, and were compared with those of 6 normal controls. Based on the analysis of
the measured results, patients were chosen and grouped according to prosthesis
position and femoral head prosthesis diameter. A correlative analysis was made
between serum metal ions concentration and various factors (age, body mass index,
pre- and post-operative Harris scores, neck-shaft angle, and stem-shaft angle).
Results: The concentration of serum Co ion reached the peak at 1 year after
operation ( P<0.05), and then slowly decreased, increased again at 4 years after
operation and was close to the 2nd-year level at 5 years. The concentration of
serum Cr ion reached its peak at 9 months after operation ( P<0.05), and then
gradually decreased, and was close to normal level at 5 years. The concentration
of serum Mo ion kept increase trend and reached the peak at 5 years ( P<0.05).
Thirty-five hips of 29 patients followed up 9 months and 1 year were grouped. For
DDH and non-DDH patients, Co and Cr ion concentrations of 40-45 degrees
abduction angle of acetabular component were significantly lower than those of
<40 degrees and >45 degrees ( P<0.05), but there was no significant difference
in Mo ion concentration ( P>0.05). For all patients, Co, Cr, and Mo ion
concentrations of 15-20 degrees anteversion angle of acetabular component were
significantly lower than those of <15 degrees and >20 degrees ( P<0.05). Co,
Cr, and Mo ion concentrations of >=48 mm diameter of the femoral component were
significantly lower than those of <48 mm ( P<0.05). There was a negative
correlation between Co ion and postoperative Harris score ( r=-0.486, P=0.041).
Conclusion: The serum Co and Cr ions concentrations increase obviously at 1 year
and 9 months after RSAH operation, and Mo ion concentration displays an increase
trend. The metal ions concentrations have close relationship with the position of
acetabular component.
PMID- 29798604
TI - [Clinical evaluations of anterior cruciate ligament reconstruction with platelet
rich plasma].
AB - Objective: To investigate the clinical outcomes of autologous platelet rich
plasma (PRP) for anterior cruciate ligament (ACL) reconstruction. Methods:
Between August 2014 and August 2016, 42 patients with ACL ruptures who underwent
arthroscopic ACL reconstruction were randomly divided into 2 groups: 21 patients
received graft soaked with PRP (trial group) and 21 patients received routine
graft in ACL reconstruction (control group). Because 6 patients failed to be
followed up, 17 patients of trial group and 19 of control group were enrolled in
the study. There was no significant difference in gender, age, body mass index,
side, injury reason, disease duration, Kellgren-Lawrence grade, and preoperative
visual analogue scale (VAS), Lysholm score, and International Knee Documentation
Committee (IKDC) activity scores between 2 groups ( P>0.05). VAS score, Lysholm
score, and IKDC activity scores were used to evaluate pain and function at 3 and
12 months postoperatively. Further, second arthroscopy and MRI examination were
performed at 12 months postoperatively. Results: The patients in both groups were
followed up 3 to 12 months with an average of 9.83 months. The VAS score, Lysholm
score, and IKDC activity scores were significantly improved at 3 and 12 months
after operation in 2 groups ( P<0.05), and the scores of trial group were
significantly better than those of control group at 3 months ( P<0.05), but no
significant difference was found between 2 groups at 12 months ( P>0.05). No
complications of effusion, infection, and allergy were observed in 2 groups
during follow-up. MRI showed good position of ACL grafts and good signal quality
of the graft in the majority of the cases. However, mixed hyperintense and
presence of synovial fluid at the femoral bone-tendon graft interface were found
in 3 patients of trial group and 4 patients of control group, indicating poor
remodeling ligamentation. MRI score was 3.53+/-1.13 in trial group and was 3.21+/
0.92 in control group, showing no significant difference ( t=0.936, P=0.356). The
second arthroscopy examination showed ligament remodeling score was higher in
trial group than control group ( t=3.248, P=0.014), but no significant difference
was found in synovial coverage score and the incidence of cartilage repair (
t=2.190, P=0.064; chi2=0.090, P=0.764). Conclusion: PRP application in allograft
ACL reconstruction can improve knee function and relieve pain after operation,
which may also accelerate graft remodeling.
PMID- 29798605
TI - [Effect on time of temporarily-closed wound drainage on blood loss of primary
total knee arthroplasty after intravenous and intra-articular injection of
tranexamic acid].
AB - Objective: To investigate the effect and safety of time of temporarily-closed
wound drainage on blood loss of primary total knee arthroplasty (TKA) after
intravenous and intra-articular injection of tranexamic acid (TXA). Methods:
Eighty female patients were selected from 102 patients who underwent primary TKA
between September 2015 and July 2016, who were randomly divided into 4 groups:
control group (group A), 30 minutes group (group B), 60 minutes group (group C),
and 90 minutes group (group D), 20 patients each group. No significant difference
was found in age, body mass index, side, pathogen, duration, and preoperative
hemoglobin, albumin, and hematocrit between 4 groups ( P>0.05). All the patients
received intravenous injection of 1 g TXA at 10 minutes before removing the
tourniquet. The patients in group A were injected with 60 mL normal saline into
the articular cavity and closed drainage after surgery, while the patients in
groups B, C, and D were injected with 60 mL TXA into the articular cavity and
closed drainage for 30, 60, and 90 minutes respectively. The volume of drainage
at 24 hours after operation, the total blood loss, the postoperative hemoglobin
level, maximum hemoglobin loss, albumin loss, the volume and frequency of blood
transfusion, venous thrombo embolism rate, and pulmonary embolism rate were
recorded and compared between groups. Results: The volume of drainage and total
blood loss in groups B, C, and D were less than those of group A, showing
significant difference between groups C, D and group A ( P<0.05), but no
significant difference between group B and group A ( P>0.05). The volume of
drainage at 24 hours after operation in group B was higher than that in groups C
and D, showing significant difference between groups B and D ( P<0.05), but no
significant difference was found between groups C and D ( P>0.05). There was no
significant difference in the total blood loss between groups B, C, and D (
P>0.05). The hemoglobin loss and albumin loss gradually decreased from groups A
to D, but no significant difference was found between groups ( P>0.05). No venous
thrombo embolism and pulmonary embolism occurred. The hemoglobin value decreased
to 28 g/L at 3 days after operation in 1 patient of group D, who received venous
transfusion of 20 g human albumin. Conclusion: Intravenous and topical
application of TXA in TKA can significantly decrease postoperative bleeding.
Topical TXA combined with 60 minutes temporarily-closed wound drainage may reduce
postoperative blood loss to the greatest extent without increasing the risk of
venous thrombo and pulmonary embolism event after TKA..
PMID- 29798606
TI - [Change of inflammatory cytokines levels in both synovial fluid and plasm of
patients with primary knee medical osteoarthritis after high tibial osteotomy].
AB - Objective: To investigate interleukin-1beta (IL-1beta), IL-6, and IL-17 levels in
both synovial fluid and serum of patients with primary knee medial osteoarthritis
(OA) after high tbial osteotomy (HTO). Methods: Twenty-six patients with primary
knee medial OA undergoing HTO between January 2011 and June 2014 (experimental
group) and 30 healthy individuals (control group) were recruited into the study.
There was no significant difference in gender, age, and body mass index between 2
groups ( P>0.05). The X-ray film was taken to record healing time at osteotomy
site, to measure the tibiofemoral angle, and to assess limb alignment after HTO.
Visual analogue scale (VAS) pain score and knee society score (KSS) were used to
evaluate pain level and function of the knee. The IL-1beta, IL-6, and IL-17
concentrations in both plasma and synovial fluid were measured before operation
and at 6, 12, and 18 months after operation in the experimental group using ELISA
method; the levels in plasma were measured in control group. Results: Primary
healing of incisions was achieved in patients. All patients were followed up 18
24 months (mean, 21 months). The X-ray film showed osseous healing at osteotomy
site at 9-14 weeks (mean, 11.5 weeks). The average tibiofemoral angle was 167.5
degrees (range, 165-170 degrees ) after bone healing. Satisfactory limb
alignment was obtained in all patients. The postoperative VAS pain score was
significantly decreased and KSS score was significantly improved when compared
with preoperative scores ( P<0.05), but no significant difference was found
between different time points after operation ( P>0.05). The preoperative plasma
and synovial fluid IL-1beta, IL-6, and IL-17 concentrations were significantly
higher in patients than controls ( P<0.05). The postoperative IL-1beta, IL-6, and
IL-17 concentrations in plasma and synovial fluid were significantly lower than
preoperative ones in patients ( P<0.05), but the concentrations were
significantly higher than those in controls ( P<0.05). The postoperative plasma
and synovial fluid IL-1beta, IL-6, and IL-17 concentrations were significantly
declined in patients, but there was no significant difference between different
time points after operation ( P>0.05). Conclusion: HTO can significantly improve
the pain symptom and joint function and reduce IL-1beta, IL-6, and IL-17 levels
in both plasma and synovial fluid of patients with medial compartment knee OA,
but these cytokines can not return to normal level.
PMID- 29798607
TI - [Effectiveness of anterior talofibular ligament repair in treatment of lateral
ankle stability].
AB - Objective: To evaluate the effectiveness of anterior talofibular ligament repair
in the treatment of lateral ankle stability and the effect of combined tarsal
sinus syndrome on results. Methods: Between December 2013 and October 2014, 47
cases of lateral ankle instability underwent anatomical repair of anterior
talofibular ligament, and the clinical data were retrospectively analyzed. Of 47
cases, 32 had no tarsal sinus syndrome (group A); 15 had tarsal sinus syndrome
(group B), arthroscopic debridement of tarsal sinus was performed at the same
time. There was no significant difference in gender, age, disease duration, side,
American Orthopaedic Foot and Ankle Society (AOFAS), Karlsson score, and Tegner
movement function score between 2 groups ( P>0.05). Results: No early surgical
complication of infection occurred, and primary healing of incision was obtained
in 2 groups. The patients were followed up 20-31 months (mean, 26.0 months) in
group A, and 20-31 months (mean, 24.7 months) in group B. Disappearance of ankle
swelling, good joints movement, and recovery of normal walking were observed in
all patients. At last follow-up, AOFAS score, Karlsson score, and Tegner movement
function score were significantly improved when compared with preoperative ones
in 2 groups ( P<0.05), but no significant difference was found between 2 groups (
P>0.05). No ankle instability recurrence was found during follow-up period.
Conclusion: The effectiveness of anatomical repair of anterior talofibular
ligament in lateral ankle instability is satisfactory for patients with or
without tarsal sinus syndrome.
PMID- 29798608
TI - [Curative analysis of individual surgery for chronic Achilles tendon rupture].
AB - Objective: To investigate the effectiveness of individual surgery for chronic
Achilles tendon rupture. Methods: A retrospective analysis was made on the
clinical data of 25 patients (26 Achilles tendons) with chronic Achilles tendon
rupture between September 2009 and March 2016, including 22 males and 3 females
with a mean age of 38 years (range,18-59 years). The median disease duration was
12 weeks (range, 4 weeks to 12 years). The repairing method depended on the
defect size, injury site, and tissue condition of the involved Achilles tendon: 9
Achilles tendons were repaired by end-to-end anastomosis, 8 by a gastrocnemius
turndown flap, and 9 by auto free tendon (4 ipsilateral hamstring tendon and 5
ipsilateral 2/3 peroneus longus tendon). The American Orthopedic Foot and Ankle
Society (AOFAS) ankle-hind foot score, heel lifting of the affected leg, active
ankle range of motion (plantar flexion and dorsiflexion), maximum calf
circumference, and complications were applied to assess the effectiveness.
Results: Hypersensitivity occurred in 1 incision, and stage I healing was
obtained in the other incisions. No complication of re-rupture, infection, nerve
injury, or deep venous thrombosis occurred. All the patients were followed up 8
85 months (mean, 34 months). The AOFAS ankle-hind foot score was significantly
improved to 95.81+/-5.34 at last follow-up from preoperative 50.54+/-5.52 ( t=
34.844, P=0.000); the excellent and good rate was 100% (excellent in 21 cases and
good in 4 cases). The active dorsiflexion of the operated side [(13.9+/-2.4)
degrees ] was significantly lower than that of normal side [(16.7+/-2.0) degrees
] ( t=-9.099, P=0.000), but the active plantar flexion showed no significant
difference between affected side [(39.8+/- 3.2) degrees ] and normal side
[(40.6+/-2.6 degrees )] ( t=-1.917, P=0.068). The maximum calf circumference of
the operated side [(379.4+/-18.8) mm] was significantly lower than that of normal
side [(387.1+/-16.6) cm] ( t=-5.053, P=0.000). The other patients could finish
heel lifting of the affected leg without limitation except for 1 patient. All
patients returned to normal work and activity, and 12 patients returned to normal
sports. Conclusion: Individual surgery depending on the defect, injury site, and
tissue condition of the involved Achilles tendon can repair all kinds of chronic
Achilles tendon rupture with a low rate of complications.
PMID- 29798609
TI - [Research of enhanced green fluorescent protein gene transfer with ultrasound
mediated microbubble destruction in bone defects].
AB - Objective: To investigate the effect of ultrasonic irradiation time on enhanced
green fluorescent protein (EGFP) gene transfection efficiency and local tissue in
bone defects using ultrasound-mediated microbubble destruction. Methods: Thirty 3
month-old New Zealand rabbits (2.5-3.0 kg in weight) were randomly divided into 5
groups ( n=6) and bone defect models were made on the right ulna. At 10 days
after modeling, suspension of microbubbles and EGFP plasmids were locally
injected (0.3 mL/kg) and then ultrasound was performed on defect at a frequency
of 1 MHz, a intensity of 0.5 W/cm 2, and a duty ratio of 20% for 1, 2, 3, 4, and
5 minutes respectively (in 1, 2, 3, 4, and 5 minutes groups respectively). The
survival condition was observed. Rabbits were sacrificed for gross observation at
7 days after transfer. The gene expression was observed by fluorescence staining.
HE staining and transmission electron microscopy were used to observe the local
tissue damage. Results: The animals all survived. New soft tissue formed in bone
defects area at 1 week after transfer, the surrounding muscle tissue was partly
filled in it. Green fluorescence expression was observed in all rabbits. The
expression was the strongest in 2 minutes group, and was the weakest in 1 minute
group. The absorbance ( A) value showed significant differences when compared 1
minute and 2 minutes groups with other groups ( P<0.05), but no significant
difference was found between 3, 4, and 5 minutes groups ( P>0.05). Tissue damage
was observed in all groups and it was aggravated with the increase of irradiation
time. Conclusion: EGFP transfection efficiency in bone defect by ultrasound
mediated microbubble destruction is related to irradiation time. EGFP gene can be
efficiently transfected without obvious toxicity at 1 MHz, 0.5W/cm 2, and duty
ratio of 20% for 2 minutes in bone defects of rabbits.
PMID- 29798610
TI - [Domestic artificial cervical disc interface pressure distribution and effect of
bone-implant interface pressure on osseointegration].
AB - Objective: To analyze the distribution of stress in the upper and lower plates of
the prosthesis-bone interface, and the effect of interface pressure on
osseointegration. Methods: CT scanning was performed on goats at 1 week after
artificial cervical disc replacement to establish the finite element model of C
3, 4. The stress distribution of the upper and lower plates of the interface was
observed. At 6 and 12 months after replacement, Micro-CT scan and three
dimensional reconstruction were performed to measure the bone volume fraction
(BVF), trabecular number (Tb. N), trabecular thickness (Tb. Th), trabecular
separation (Tb. Sp), bone mineral density (BMD), bone surface/bone volume
(BS/BV), and trabecular pattern factor (Tb. Pf). The C 3 lower plate and C 4
upper plate of 4 normal goat were chosen to made the cylinder of the diameter of
2 mm. The gene expressions of receptor activator for nuclear factor kappaB ligand
(RANKL), osteoprotegerin (OPG), transforming growth factor beta (TGF-beta), and
macrophage colony-stimulating factor (M-CSF) were detected by real time
fluorescent quantitative PCR at immediate after cutting and at 24 and 48 hours
after culture. The samples of appropriate culture time were selected to made
mechanical loading, and the gene expressions of RANKL, OPG, M-CSF, and TGF-beta
were detected by real time fluorescent quantitative PCR; no mechanical loading
samples were used as normal controls. Results: Under 25 N axial loading, the
stress of the upper plate of C 3, 4 was concentrated to post median region, and
the stress of the lower plate to middle-front region and two orbits. According to
stress, the plate was divided into 5 regions. The Micro-CT scan showed that BMD,
Tb.Th, BVF, and Tb.N significantly increased, and BS/BV, Tb.Sp, and Tb.Pf
significantly decreased at 12 months after replacement when compared with ones at
6 months ( P<0.05). At 24 and 48 hours after culture, the gene expressions of
RANKL, OPG, and TGF-beta were signifi-cantly higher than those at immediate (
P<0.05), but no significant difference was found between at 24 and 48 hours after
culture ( P>0.05). The mechanical loading test results at 24 hours after culture
showed that the RANKL and OPG gene expressions and OPG/RANKL ratio in C 3 lower
plate and C 4 upper plate were significantly up-regulated when compared with
controls ( P<0.05), but no significant difference was shown in TGF-beta and M-CSF
gene expressions ( P>0.05). Conclusion: Domestic artificial cervical disc
endplate has different pressure distribution, the stress of lower plate is higher
than that of upper plate. Pressure has important effect on local
osseointegration; the higher pressure area is, the osseointegration is better.
Under the maximum pressure in interface, the osteoblast proliferation will
increase, which is advantageous to the local osseointegration.
PMID- 29798611
TI - [Analysis of cervical sagittal parameters on MRI in patients with cervical
spondylotic myelopathy].
AB - Objective: To analyse the correlation between cervical sagittal parameters of
cervical spondylotic myelopathy in different sagittal curvature so as to find out
representative cervical sagittal alignment parameters by measuring on MRI.
Methods: A retrospective analysis was made on the clinical data of 88 patients
with cervical spondylotic myelopathy between July 2015 and January 2016. The C 2
C 7 Cobb angle, T 1 slope (T 1S), and C 2-C 7 sagittal vertical axis (C 2-C 7
SVA) were measured on T2-weight MRI. According to C 2-C 7 Cobb angle, the
patients were divided into lordosis group (>=10 degrees Cobb angle, 48 cases)
and straightened group (0-10 degrees Cobb angle, 40 cases). Intraclass
correlation coefficient (ICC) was used for the reliability of measured data,
Pearson correlation analysis for correlation between cervical sagittal
parameters. Results: ICC was 0.858-0.946, indicating good consistency of
measurement parameters. The C 2-C 7 Cobb angle, T 1S, and C 2-C 7 SVA were (5.6+/
2.4) degrees , (22.2+/-6.7) degrees , and (10.2+/-5.4) mm in straightened group,
and were (20.1+/-8.2) degrees , (23.4+/-8.9) degrees , and (8.2+/-4.6) mm in
lordosis group respectively. There was no correlation between the 3 parameters in
straighten group ( r=0.100, P=0.510 for T 1S and C 2-C 7 Cobb angle; r=-0.100,
P=0.500 for T 1S and C 2-C 7 SVA; r=0.080, P=0.610 for C 2-C 7 Cobb angle and C 2
C 7 SVA). There was positive correlation between T 1S and C 2-C 7 Cobb angle (
r=0.540, P=0.000), negative correlation between T 1S and C 2-C 7 SVA ( r=-0.450,
P=0.001), and no correlation between C 2-C 7 Cobb angle and C 2-C 7 SVA ( r=
0.003, P=0.980). Conclusion: For cervical spondylotic myelopathy in patients with
cervical lordosis, only T 1S measurement on MRI can be used as the main parameter
to judge the sagittal curvature, but in patients with straightened cervical Cobb
angle, measurements of T 1S, C 2-C 7 Cobb angle, and C 2-C 7 SVA should be taken
for the comprehensive evaluation of cervical sagittal curvature.
PMID- 29798612
TI - [Extreme lateral channel debridement and fusion combined with posterior fixation
for lumbar spinal tuberculosis].
AB - Objective: To investigate the effectiveness of extreme lateral channel
debridement and fusion combined with posterior fixation for lumbar spinal
tuberculosis. Methods: A retrospective analysis was made on the clinical data of
17 patients with lumbar spinal tuberculosis undergoing extreme lateral channel
debridement and fusion combined with posterior fixation between December 2008 and
December 2014. There were 10 males and 7 females, aged 20-69 years (mean, 42.6
years). The disease duration was 1-6 months (mean, 3.4 months). The involved
segments included L 1, 2 in 5 patients, L 2, 3 in 6 patients, L 3, 4 in 3
patients, and L 4, 5 in 3 patients. Based on American Spinal Injury Association
(ASIA) classification, there were 2 cases of grade C, 13 cases of grade D, and 2
cases of grade E. The visual analogue scale (VAS) score, Japanese Orthopaedic
Association (JOA) score, and Barthel index were used to evaluate the
effectiveness, and the improvement rate of JOA score and Barthel index was
calculated at the same time. Lumbar X-ray film and CT were taken regularly to
evaluate lumbar kyphotic Cobb angle correction and bony fusion. Results: The
operation was successfully performed in 17 patients, and pathological examination
showed tuberculosis. All cases were followed up 24-48 months (mean, 35.3 months).
Lumbar X-ray film and CT showed that necrotic bone and abscess were cleared
completely, and lumbar kyphosis correction was satisfactorily in all cases. Bony
fusion was achieved within 6 months, and clinical cure was obtained within 18
months in all cases; no recurrence was found during follow-up period. No
loosening or breakage of internal fixation was observed. At last follow-up, ASIA
classification was recovered to grade D and grade E from grade C in 2 cases, to
grade E from grade D in 11 cases and had no change in 2 cases (grade D). Two
cases of ASIA grade E showed no neurological deficit. The VAS score, JOA score,
Barthel index, and lumbar kyphotic Cobb angle were significantly improved at 2
weeks after operation and at last follow-up when compared with preoperative ones
( P<0.05). At last follow-up, the improvement rate of JOA score was 75.2%+/-6.2%
and the improvement rate of Barthel index was 75.7%+/-10.8%. Conclusion: To use
extreme lateral channel debridement and fusion combined with posterior fixation
is an effective treatment for lumbar spinal tuberculosis.
PMID- 29798613
TI - [Effect of facial artery musculo-mucosal flap in reconstructing defects of tongue
and mouth floor].
AB - Objective: To explore the effect of facial artery musculo-mucosal (FAMM) flap to
reconstruct tongue and floor of mouth defects. Methods: Between January 2011 and
January 2016, 24 cases of tongue and floor of mouth defects were repaired with
FAMM flap after tumor resection. There were 16 males and 8 females, aged from 38
to 70 years with an average of 55 years. The disease duration was from 1 week to
6 months with an average of 4 months. The defect located at the floor of mouth in
4 cases, at the tongue in 15 cases, and both tongue and floor of mouth in 5
cases. There were 2 cases of carcinoma at the floor of mouth, 2 cases of adenoid
cystic carcinoma at the floor of mouth, 14 cases of carcinoma at the tongue, 1
case of adenoid cystic carcinoma at the tongue, and 5 cases of carcinoma at the
tongue and floor of mouth. The size of defect ranged from 4 cm*3 cm to 8 cm*7 cm.
Three ipsilateral and 21 contralateral FAMM flaps were harvested (5 cases were
repaired with FAMM flap and submental muscle island flap due to the large defect
area). The size of FAMM flap ranged from 5 cm*4 cm to 5 cm*5 cm, the size of
submental muscle island flap ranged from 4 cm*3 cm to 5 cm*4 cm. Results: All
flaps survived after operation, without local necrosis. Wound dehiscence at donor
site occurred in 5 cases, and healed after cleaning; primary healing was obtained
in the other 19 cases. All the patients were followed up 8 months to 5 years with
an average of 2 years and 4 months. No obvious facial deformity or fistula of the
floor of mouth occurred after operation. Injury of the submandibular branch of
the facial nerve was observed in 16 patients, who returned to normal at 3 months.
All 24 patients had limitation of mouth opening after operation, which
disappeared after 12 months. The functions of speech, chewing, and swallowing
were normal. Conclusion: FAMM flap has many advantages of simple operation, good
repair, high flap survival rate, and less injury at donor site for repairing
tongue and floor of mouth defects.
PMID- 29798614
TI - [Effect of tranilast on wound healing and administration time on scar hyperplasia
of deep partial-thickness burn in mice].
AB - Objective: To investigate the effect of tranilast on wound healing and the
mechanism of inhibiting scar hyperplasia in mice, and to study the relationship
between the inhibiting ability of tranilast on scar hyperplasia and
administration time. Methods: Sixty-six Kunming mice were selected to build deep
II degree burn model, and were randomly divided into the control group (18 mice),
the early intervention group (18 mice), the medium intervention group (18 mice),
and the late intervention group (12 mice). The mice in the early intervention
group, the medium-term intervention group, and the late intervention group were
given tranilast 200 mg/(kg.d) by gastrogavage at immediate, 7 days, and 14 days
after burn respectively, and the mice in the control group were managed with same
amount of normal saline every day. The wound healing was observed regularly. At
14, 28, and 42 days in the early and medium intervention groups and at 28 and 42
days in the late intervention group, fresh tissues were taken from 6 mice to
observe the shape of mast cells by toluidine blue staining, collagen content by
Masson staining; the collagen type I and collagen type III content were measured
to calculate the I/III collagen content ratio by immunohistochemistry method, the
contents of transforming growth factor beta 1 (TGF-beta 1) and histamine were
detected by ELISA; and the ultrastructure of fibroblasts was observed under
transmission electron microscope. Results: There was no significant difference in
wound healing time between groups ( F=1.105, P=0.371). The mast cells number,
collagen content, TGF-beta 1 content, histamine content, and the I/III collagen
content ratio in the early intervention group were significantly less than those
in the other groups ( P<0.05). Significant difference was found in mast cells
number, collagen content, and histamine content between control group and medium
or late intervention group at the other time points ( P<0.05) except between
control group and late intervention group at 42 days ( P>0.05). Compared with the
control group, the activity of fibroblasts in the early intervention group was
obviously inhibited, and the arrangement of the fibers was more regular; the
fibroblast activity in the medium and late intervention groups was also inhibited
obviously. Conclusion: Tranilast has no obvious effect on the wound healing time
in mice. Tranilast intervention shows the inhibitory effect on the scar
hyperplasia which can significantly reduce the number of mast cells, the content
of histamine and TGF-beta 1, inhibit the ability of fibroblasts synthetic
collagen and adjust the proportion of collagen synthesis. The immediate tranilast
intervention may have the best inhibitory effect on scar hyperplasia.
PMID- 29798616
TI - [Effects of different mechanical stretch conditions on differentiation of rat
tendon stem cells].
AB - Objective: To investigate the effects of different mechanical stretch conditions
on the differentiation of rat tendon stem cells (TSCs), to find the best uniaxial
cyclic stretching for TSCs tenogenic differentiation, osteogenic differentiation,
and adipogenic differentiation. Methods: TSCs were isolated from the Achilles
tendons of 8-week-old male Sprague Dawley rats by enzymatic digestion method and
cultured. The TSCs at passage 3 were randomly divided into 5 groups: group A
(stretch strength of 4% and frequency of 1 Hz), group B (stretch strength of 4%
and frequency of 2 Hz), group C (stretch strength of 8% and frequency of 1 Hz),
group D (stretch strength of 8% and frequency of 2 Hz), and group E (static
culture). At 12, 24, and 48 hours after mechanical stretch, the mRNA expressions
of the tenogenic differentiation related genes [Scleraxis (SCX) and Tenascin C
(TNC)], the osteogenic differentiation related genes [runt related transcription
factor 2 (RUNX2) and distal-less homeobox 5 (DLX5)], and the adipogenic
differentiation related genes [CCAAT-enhancer-binding protein-alpha (CEBPalpha)
and lipoprteinlipase (LPL)] were detected by real-time fluorescent quantitative
PCR and the protein expressions of TNC, CEBPalpha, and RUNX2 were detected by
Western blot. Results: The mRNA expressions of SCX and TNC in group B were
significantly higher than those in groups A, C, D, and E at 24 hours after
mechanical stretch ( P<0.05). The mRNA expressions of CEBPalpha and LPL in group
D were significantly higher than those in groups A, B, C, and E at 48 hours after
mechanical stretch ( P<0.05). The mRNA expressions of RUNX2 and DLX5 in group C
were significantly higher than those in groups A, B, D, and E at 24 hours after
mechanical stretch ( P<0.05). Western blot detection showed that higher protein
expression of TNC in group B than group E at each time point after mechanical
stretch ( P<0.05), and the protein expression of CEBPalpha was significantly
inhibited when compared with group E at 24 hours after mechanical stretch (
P<0.05). At 24 hours after mechanical stretch, the protein expression of RUNX2 in
group C was significantly higher than that in group E ( P<0.05); and the protein
expression of TNC was significantly lower than that in group E at 24 and 48 hours
after mechanical stretch ( P<0.05). At 48 hours after mechanical stretch, the
protein expression of CEBPalpha was significantly increased and the protein
expression of TNC was significantly decreased in group D when compared with group
E ( P<0.05), but no significant difference was found in the protein expression of
RUNX2 between groups D and E ( P>0.05). Conclusion: The mechanical strain could
promote differentiation of TSCs, and different parameter of stretch will lead to
different differentiation. The best stretch condition for tenogenic
differentiation is 4% strength and 2 Hz frequency for 24 hours; the best stretch
condition for osteogenic differentiation is 8% strength and 1 Hz frequency for 24
hours; and the best stretch condition for adipogenic differentiation is 8%
strength and 2 Hz frequency for 48 hours.
PMID- 29798615
TI - [Comparison of biological characteristics between bone marrow mesenchymal stem
cells and anterior cruciate ligament derived mesenchymal stem cells in rats].
AB - Objective: To compare the biological characteristics of bone marrow mesenchymal
stem cells (BMSCs) and anterior cruciate ligament derived mesenchymal stem cells
(ACL-MSCs) from rats in vitro. Methods: Ten male SPF-level BN rats, weighing 200
220 g, were selected to obtain anterior cruciate ligaments and bone marrows, and
ACL-MSCs and BMSCs were isolated for passage culture respectively under sterile
condition. The cell morphology was observed, and the cells at passage 3 were used
to detect the surface markers of CD34, CD45, CD90, and CD29 by flow cytometry,
the ability of cell proliferation by cell counting kit 8 (CCK-8), and colony
formation ability by clone forming test. The mRNA levels of differentiation
related genes [alkaline phosphatas (ALP), bone gamma-carboxyglutamate protein,
runt related transcription factor 2, bone morphogenetic protein 2 (BMP-2),
secreted phosphoprotein 1 (Spp1), collagen type II alpha1 (Col2alpha1), Aggrecan
(Acan), Sox9, peroxisome proliferator activated receptor gamma2 (PPARgamma2), and
CCAAT-enhancer-binding protein-alpha] were also determined by real-time
fluorescent quantitative PCR. Results: BMSCs and ACL-MSCs had similar morphology,
adherent cells displaying long fusiform. The immunoprofile of ACL-MSCs and BMSCs
at passage 3 was positive for CD29 and CD90 and was negative for CD45 and CD34.
The absorbance ( A) value of ACL-MSCs (1.11+/-0.08) was significantly higher than
that of BMSCs (0.78+/-0.05) ( t=3.599, P=0.023); the number of colonies of ACL
MSCs [(53.00+/-5.51)/hole] was significantly more than that of BMSCs [(30.67+/
4.84)/hole] ( t=3.045, P=0.038). The results of toluidine blue staining, alizarin
red staining, and oil red O staining were positive in BMSCs and ACL-MSCs at 21
days after osteogenic, chondrogenic, and adipogenic induction. The mRNA
expressions of BMP-2, Spp1, Col2alpha1, Acan, Sox9, and PPARgamma2 in ACL-MSCs
were significantly higher than those in BMSCs ( P<0.01). Conclusion: The
proliferation potential of ACL-MSCs is greater than that of BMSCs, and the former
is apt to differentiate into chondrocytes. ACL-MSCs are promising cells to
promote tendon-bone healing.
PMID- 29798617
TI - [Experimental study on loading naringin composite scaffolds for repairing rabbit
osteochondral defects].
AB - Objective: To investigate the performance of loading naringin composite scaffolds
and its effects on repair of osteochondral defects. Methods: The loading naringin
and unloading naringin sustained release microspheres were prepared by W/O/W
method; with the materials of the attpulgite and the collagen type I, the loading
naringin, unloading naringin, and loading transforming growth factor beta 1 (TGF
beta 1) osteochondral composite scaffolds were constructed respectively by "3
layers sandwich method". The effect of sustained-release of loading naringin
microspheres, the morphology of the composite scaffolds, and the biocompatibility
were evaluated respectively by releasing in vitro, scanning electron microscope,
and cell counting kit 8. Forty Japanese white rabbits were randomly divided into
groups A, B, C, and D, 10 rabbits each group. After a osteochondral defect of 4.5
mm in diameter and 4 mm in depth was made in the intercondylar fossa of two
femurs. Defect was not repaired in group A (blank control), and defect was
repaired with unloading naringin composite scaffolds (negative control group),
loading naringin composite scaffolds (experimental group), and loading TGF-beta 1
composite scaffolds (positive control group) in groups B, C, and D respectively.
At 3 and 6 months after repair, the intercondylar fossa was harvested for the
general, HE staining, and toluidine blue staining to observe the repair effect.
Western blot was used to detect the expression of collagen type II in the new
cartilage. Results: Loading naringin microspheres had good effect of sustained
release; the osteochondral composite scaffolds had good porosity; the cell
proliferation rate on loading naringin composite scaffold was increased
significantly when compared with unloading naringin scaffold ( P<0.05). General
observation revealed that defect range of groups C and D was reduced
significantly when compared with groups A and B at 3 months after repair; at 6
months after repair, defects of group C were covered by new cartilage, and new
cartilage well integrated with the adjacent cartilage in group D. The results of
histological staining revealed that defects were filled with a small amount of
fibrous tissue in groups A and B, and a small amount of new cartilage in groups C
and D at 3 months after repair; new cartilage of groups C and D was similar to
normal cartilage, but defects were filled with a large amount of fibrous tissue
in groups A and B at 6 months after repair. The expression of collagen type II in
groups C and D was significantly higher than that in groups A and B ( P<0.05),
but no significant difference was found between groups C and D ( P>0.05).
Conclusion: Loading naringin composite scaffolds have good biocompatibility and
effect in repair of rabbit articular osteochondral defects.
PMID- 29798618
TI - [Research progress and clinical prospect of three-dimensional spheroid culture of
mesenchymal stem cells].
AB - Objective: To review the research progress and clinical prospect of three
dimensional spheroid culture of mesenchymal stem cells (MSCs). Methods: Recent
literature about three-dimensional spheroid culture of MSCs was summarized,
mainly on the formation of MSCs spheroids collected by three-dimensional culture,
differences between MSCs spheroids and MSCs collected by traditional two
dimensional culture, and the mechanism underlying these differences. Last, its
clinical prospect was discussed. Results: Compared with MSCs collected by
traditional two-dimensional culture, MSCs spheroids collected by three
dimensional culture get a salient up-regulation in anti-apoptosis, multiple
differentiation potential, paracrine, and anti-inflammatory effect, which may be
related to the morphology and cytoskeleton organization, cell-to-cell contact and
gap junctions, and the hypoxia microenvironment. The animal experiments show
obvious effects in repair of refractory wounds, repair of ischemic injury, and
tissue remodeling, so MSCs spheroid has broad clinical prospect. Conclusion: MSCs
spheroids collected by three-dimensional culture have stronger biological
potential and treatment effect than MSCs collected by traditional two-dimensional
culture, MSCs spheroids can be used to optimize stem cell therapy and improve its
treatment effect.
PMID- 29798619
TI - [Application progress of surface electromyography and surface electromygraphic
biofeedback in low back pain].
AB - Objective: To summarize the application progress of surface electromyography
(sEMG) and surface electromygraphic biofeedback (sEMGBF) in low back pain (LBP).
Methods: The related literature about the application of sEMG and sEMGBF in
diagnosis and therapy of LBP was summarized and analyzed. Results: As a auxiliary
diagnostic technique, lumbar muscle fatigue, lumbar muscle activity disorder,
flexion-relaxation phenomenon, and asymmetry of the paravertebral muscle
electromygraphic activity were found in patients with LBP by sEMG. For treatment,
sEMG combined with sEMGBF technology to form sEMGBF training. sEMGBF training
include sEMGBF training and sEMGBF stretching exercise. sEMGBF training can
improve lumbar muscle activity disorder, recover muscle function, and relieve
back pain. Conclusion: sEMG can monitor the electromyographic signal and sEMGBF
biofeedback information can relax or strengthen the muscle. It is very meaningful
for diagnosis and therapy of LBP.
PMID- 29798620
TI - [Effectiveness of locking compress plate and extra cortical bone bridge fixation
for treatment of atrophic humeral nonunions].
AB - Objective: To assess the effectiveness of locking compress plate and extra
cortical bone bridge fixation for treating atrophic humeral nonunion. Methods:
Seventeen patients with atrophic humeral nonuninon were treated with locking
compress plate and extra cortical bone bridge fixation between November 2006 and
June 2015. Of 17 cases, 11 were male, 6 were female, aged 24-63 years (mean, 38.2
years). Fracture located at the left side in 9 cases and at the right side in 8
cases. The mechanism of injury was traffic accident in 13 cases, falling from
height in 3 cases, and heavy pound injury in 1 case. The patients underwent
surgery for 1 time in 7 cases, for 2 times in 5 cases, for 3 times in 4 cases,
and for 4 times in 1 case. The time from fracture to hospitalization was 10-76
months (mean, 22.6 months). The shoulder function was evaluated by Neer score,
and elbow function by Mayo score. Results: All incisions healed by first
intention. Two cases had transient radial nerve symptoms of numbness. All
patients were followed up 27.3 months on average (range, 15-60 months).
Radiographic examination showed signs of bone remodeling at 6-8 weeks after
operation, and formation of extra cortical bone bridge. All of them achieved bone
union within 10 to 41 weeks (mean, 17.6 weeks). At last follow-up, the average
Neer score was 83.36 (range, 72-96); and the shoulder function was excellent in
10 cases, good in 5, and fair in 2 with an excellent and good rate of 88.24%. And
the average Mayo score was 86.52 (range, 68-100); and the elbow function was
excellent in 11 cases, good in 3, and fair in 3 with an excellent and good rate
of 82.35%. Conclusion: The bone bridging could effectively form by extra cortical
grafting technique. Atrophic humeral nonunions can be successfully treated with
locking compress plate and extra cortical bone bridge fixation.
PMID- 29798621
TI - [Short-term effectiveness of polyaxial locking plate for fixation of femoral neck
fracture in middle-aged and elderly patients].
AB - Objective: To evaluate the feasibility and short-term effectiveness of polyaxial
locking plate for fixation of femoral neck fracture in the middle-aged and
elderly patients. Methods: A retrospective analysis was made on the clinical data
of 13 patients with femoral neck fracture undergoing fixation with polyaxial
locking plates between September 2013 and June 2015 (group A) and 13 patients
with femoral neck fracture undergoing fixation with three cannulated screws in
the same period (group B). There was no significant difference in gender, age,
side, cause of injury, Garden type, type of fracture position, type of Pauwels
angle, Singh index, time between injury and operation, and preoperative
complications between 2 groups ( P>0.05). The femoral neck shortening at 1 year
postoperatively, and fracture nonunion, femoral head necrosis, and Harris hip
score at last follow-up were compared between 2 groups. Results: The follow-up
time was (19.23+/-3.98) months in group A and (18.00+/-3.61) months in group B,
showing no significant difference between 2 groups ( t=2.063, P=0.417). No
femoral head necrosis occurred in group A, but head necrosis occurred in 1 case
of group B, and hemiarthroplasty was performed. There was no significant
difference in the rate of femoral head necrosis between 2 groups ( chi2=0.000,
P=1.000). Bone union was obtained in the other patients of 2 groups. The Harris
hip score of group A (85.23+/-2.95) was significantly higher than that of group B
(81.92+/-3.64) at last follow-up ( t=2.064, P=0.018). No infection or internal
fixation failure occurred in 2 groups. One case had pain at the outer thigh at 1
month after operation in group A, but pain relief was achieved at 3 months after
operation. At 1 year after operation, no femoral neck shortening occurred in
group A, but degree I, II, and III femoral neck shortening was observed in 3, 2,
and 8 cases of group B, respectively, showing significant difference between 2
groups ( Z=-4.714, P=0.000). Conclusion: Although fixation with polyaxial locking
plate for femoral neck fracture in the middle-aged and elderly patients has
similar femoral head necrosis rate to fixation with cannulated screws, it has
advantages in preventing neck shortening and improving hip joint function after
operation.
PMID- 29798622
TI - [Short-term effectiveness of minimally invasive total hip arthroplasty by direct
anterior approach].
AB - Objective: To evaluate the short-term effectiveness of minimally invasive total
hip arthroplasty (THA) by direct anterior approach (DAA). Methods: Between
January and August 2014, THA was performed on 48 patients (60 hips) by DAA (group
A), and on 72 patients (92 hips) by posterolateral approach (group B). There was
no significant difference in gender, age, etiology, course, and preoperative
visual analogue scale (VAS), Harris hip score (HHS), and hip range of motion
(ROM) between 2 groups ( P>0.05). The operation time, intraoperative blood loss,
postoperative drainage, hospitalization time, incision healing, and complications
were recorded and compared. The acetabular abduction and anteversion were
measured on the X-ray films; prosthesis loosening was observed. The VAS score,
HHS score, and hip ROM were used to evaluate the hip function. Results: The
operation time and intraoperative blood loss of group A were significantly higher
than those of group B, and the hospitalization time was significantly lower than
group B ( P<0.05), but no significant difference was found in postoperative
drainage between 2 groups ( t=0.71, P=0.46). The patients were followed up 2-2.5
years (mean, 2.2 years) in group A, and 2-2.5 years (mean, 2.1 years) in group B.
In group A, 3 cases had lateral femoral cutaneous nerve traction injury and 1
case had swelling and exudate, and primary healing of incision was obtained in
the other cases of group A and all cases of group B. No periprosthetic joint
infection occurred in the others of groups A and B except 1 case of group A at 2
months after operation, and infection was controlled after debridement,
irrigation, and intravenous infusion of Vancomycin for 1 month. The X-ray films
showed good position of prosthesis and no obvious radiolucent line or prosthesis
loosening. There was no significant differences in acetabular abduction and
anteversion between groups A and B at last follow-up ( P>0.05). The VAS score,
HHS score, and hip ROM at 3 months and last follow-up were significantly better
than preoperative ones in 2 groups ( P<0.05), but no significant difference was
found between at 3 months and last follow-up ( P>0.05). The VAS score, HHS score,
and hip ROM in group A were significantly better than those in group B at 3
months postoperatively ( P<0.05). At last follow-up, the hip ROM in group A was
significantly better than that in group B ( P<0.05), and there was no significant
difference in VAS and HHS scores between group A and group B ( P>0.05).
Conclusion: The short-term effectiveness of minimally invasive THA by DAA is
satisfactory, with the advantage of little trauma, short hospital stay, and rapid
postoperative recovery.
PMID- 29798623
TI - [Comparison of early effectiveness between SuperPATH approach and Hardinge
approach in total hip arthroplasty].
AB - Objective: To compare the early effectiveness between SuperPATH approach and
traditional Hardinge approach in total hip arthroplasty (THA). Methods: Between
May 2015 and March 2016, 154 patients (173 hips) undergoing initial THA were
included. THA was performed by SuperPATH approach in 64 cases (70 hips) in group
A and by traditional Hardinge approach in 90 cases (103 hips) in group B. There
was no significant difference in gender, age, body mass index, type of disease,
and Harris hip score (HHS) between 2 groups ( P>0.05). The incision length,
operation time, intraoperative blood loss, postoperative drainage volume,
transfusion rate, ambulation time, length of stay, and complications were
recorded. The HHS and visual analogue scale (VAS) were compared between 2 groups
before operation and at 1 day, 3 days, 1 week, 3 weeks, 6 weeks, 12 weeks, and 24
weeks after operation. And the relative parameters were measured for imaging
evaluation of prosthesis position. In addition, the stratification analysis was
performed on 92 patients (100 hips) who received the SuperPATH technology.
Results: The incision length, ambulation time, and length of stay in group A were
significantly less than those in group B ( P<0.05); the operation time,
transfusion rate, and intraoperative blood loss of group A were significantly
higher than those of group B ( P<0.05); and there was no significant difference
in postoperative drainage volume between 2 groups ( t=1.901, P=0.071). The follow
up period was 6 to 15 months (mean, 9 months). The VAS scores at 1 day, 3 days,
and 1 week after operation in group A were significantly lower than those in
group B ( P<0.05), but the HHS scores at 1 day, 3 days, 1 week, and 3 weeks after
operation in group A were significantly higher than those in group B ( P<0.05).
At 24 weeks after operation, the acetabular cup abduction and the proportion
within the safe zone showed no significant difference between 2 groups ( P>0.05);
the anteversion angle and limb length difference in group A were significantly
greater than those in group B ( P<0.05), and the proportion of anteversion angle
within the safe zone and eccentricity and recovery rate were significantly lower
than those in group B ( P<0.05). In the stratification analysis, the operation
time, incision length, intraoperative blood loss, transfusion rate, and VAS score
at 1 day after operation in the former 30 hips were significantly higher than
those in the latter 70 hips ( P<0.05). Great trochantern fracture and dislocation
of the hip joint occurred in 1 and 2 of the former 30 hips, but no complications
occurred in the latter 70 hips. No injury of nerve or blood vessel, deep vein
thrombosis, infection, and prosthetic loosening were observed in the 2 groups.
Conclusion: Compared with the Hardinge approach, the SuperPATH approach shows the
advantages in little trauma, fast recovery, satisfactory effectiveness, and
slight early postoperative pain, but it shows the disadvantages of much
intraoperative blood loss and long operation time. In addition, SuperPATH
approach needs a more anteverted angle, a smaller eccentricity, and a learning
curve, so the mid-term and long-term outcomes still need further follow-up study.
PMID- 29798624
TI - [Effectiveness of total hip arthroplasty in the treatment of involved hips in
patients with ankylosing spondylitis].
AB - Objective: To summarize the mid- to long-term effectiveness of total hip
arthroplasty (THA) in the treatment of the involved hips in patients with
ankylosing spondylitis (AS), and to investigate its influencing factors. Methods:
Between March 1999 and May 2011, 32 patients (42 hips) with AS and involved hip
underwent THA. There were 26 males and 6 females with a mean age of 39 years
(range, 20-78 years). The disease duration ranged from 2 to 41 years, with a
median of 10 years. Metal-on-polyethylene bearings were used in 19 hips, and
ceramic-on-ceramic bearings in 23 hips. The diameter of prosthetic femoral head
was 36 mm in 15 hips and was 28 mm in 27 hips; and all the prostheses were fixed
biologically. The Harris score, visual analogue scale (VAS) score, and total
range of motion (ROM) of the hip were compared between at pre- and post-operation
to evaluate the effectiveness. The DeLee zone was used for describing acetabular
prosthesis, the Gruen zone for describing femoral prosthesis, and the Brooker
criterion for evaluating the heterotopic ossification. Results: Healing of
incision by first intention was achieved in all patients after operation. There
was no complication of anesthetic accident, infection, or neurovascular injury.
Twenty-nine cases (39 hips) were followed up 5-17 years (mean, 9 years). Anterior
dislocation of hip joint occurred in 2 hips of 24 hips (28 mm diameter), but did
not in 15 hips (36 mm diameter). At last follow-up, the Harris score, VAS score,
and the total hip ROM were significantly improved ( P<0.05). The shorter the
disease duration was, the higher postoperative Harris score and the greater
postoperative total hip ROM would be ( P<0.05); the bigger diameter of prosthetic
femoral head was, the greater postoperative total hip ROM would be ( P<0.05). All
the acetabular components and femoral stems were well fixed at last follow-up.
The osteolysis rate around the acetabular cup in the metal-on-polyethylene
bearing group (50%, 9/18) was higher than that in the ceramic-on-ceramic bearing
group (0, 0/21). No prosthetic loosening was observed in 2 groups. Seven hips
were found to have heterotopic ossification, including 5 hips of Brooker grade I
and 2 hips of Brooker grade II. Conclusion: THA is an effective method to treat
involved hips in patients with AS; especially for patients having shorter
duration of the disease, THA shows better effectiveness when the bigger diameter
of prosthetic femoral head and ceramic-on-ceramic bearing are used.
PMID- 29798625
TI - [Surgical treatment of grade III pronation-external rotation fractures of ankle].
AB - Objective: To summarize the short-term effectiveness of the surgical treatment
for grade III pronation-external rotation ankle fractures. Methods: Between
October 2011 and May 2015, 36 patients with pronation-external rotation ankle
fractures (grade III) were treated with internal fixation and repair of the
anterior lower tibiofibular ligament, including 21 males and 15 females with an
average age of 45.2 years (range, 21-72 years). Injury was caused by sprain in 19
cases, by traffic accident in 6 cases, and by falling from height in 11 cases.
All patients had closed fractures, with no blood vessel and nerve injury. The
locations were the left ankle in 13 cases and the right ankle in 23 cases. The
time from injury to operation was 3 to 10 days (mean, 6.5 days). At last follow
up, ankle function was evaluated by American Orthopaedic Foot and Ankle Society
(AOFAS) ankle-foot score, and the improvement of pain was evaluated by visual
analogue scale (VAS). Results: Primary healing of incision was obtained in all
patients, no incision infection and skin necrosis occurred. Twenty-eight patients
were followed up 12-36 months (mean, 17.5 months). X-ray films showed bone union
was achieved within 2.6-5 months (mean, 3.2 months). No fracture of internal
fixation and disruption of tibiofibular diastasis occurred. At last follow-up,
according to AOFAS score for ankle function evaluation, the pain score was 36.3+/
2.9, the function score was 44.3+/-3.2, the ligament condition score was 9.2+/
0.5, and the total score was 89.8+/-6.6; the results were excellent in 11 cases,
good in 15 cases, and fair in 2 cases. VAS score was 1.6+/-0.5. The range of
motion of the ankle was (13+/-5) degrees in dorsiflexion and (38+/-9) degrees
in planteroflexion. Conclusion: Repair of anterior tibial ligament is an
effective method to treat tibiofibular diastasis injury in the surgical treatment
of grade III pronation-external rotation ankle fractures, with convenient
operation, and satisfactory short-term effectiveness.
PMID- 29798626
TI - [Minimally invasive treatment of calcaneal fractures by subtalar arthroscopy with
posterior approach].
AB - Objective: To evaluate the results of arthroscopically-assisted closed reduction
and percutaneous screw fixation by posterior approach to subtalar joint for
calcaneal fractures of Essex-Lopresti tongue type, Sanders IIA, IIB, and IIIAB.
Methods: Sixteen patients with unilateral calcaneal fracture were treated with
arthroscopically-assisted closed reduction and percutaneous screw fixation by
posterior approach to subtalar joint between June 2012 and June 2015. There were
13 males and 3 females with an average age of 37.8 years (range, 18-65 years).
The injury causes included falling from height in 10 cases and traffic accident
in 6 cases. Of 16 cases, 4 were classified as Essex-Lopresti tongue type, 5 as
Sanders IIA, 4 as Sanders IIB, and 3 as Sanders IIIAB. The interval of injury and
operation was 4-8 days (mean, 5.94 days). The Bohler angle, Gissane angle, and
width of calcaneus were measured before and after operation. American Orthopaedic
Foot and Ankle Society (AOFAS) score was used to evaluate the ankle function at
12 months after operation. Results: Primary healing of incision was obtained in
all cases, and no complications of infection, necrosis, and osseous fascia
compartment syndrome occurred. The patients were followed up 12-15 months (mean,
13.63 months). The X-ray films showed that fracture line disappeared at 6 months
after operation; the patients had no tenderness or percussion pain, no breakage
or loosening of internal fixation, no varus calcaneus tuberosity, no subtalar
joint fusion, and no compression symptoms of peroneal tendons. Achilles tendon
irritation occurred in 2 cases, and disappeared after removal of internal
fixation; traumatic arthritis occurred in 2 cases, and was relieved after removal
of internal fixation. The Bohler angle, Gissane angle, and calcaneal width were
significantly improved at 3 days and 6 months after operation when compared with
preoperative ones ( P<0.05). The loss of the above indexes was observed at 6
months, showing no significant difference between at 3 days and 6 months (
P>0.05). The AOFAS score results were excellent in 11 cases, good in 3 cases, and
fair in 2 cases, and the excellent and good rate was 87.5%. Conclusion: It has
the advantages of little trauma, less complication, and good function recovery to
use arthroscopically-assited closed reduction and percutaneous screw fixation by
posterior approach to subtalar joint for calcaneal fractures of Essex-Lopresti
tongue type, Sanders IIA, Sanders IIB, and Sanders IIIAB.
PMID- 29798627
TI - [Pre-implantation of high-intensity suture into tendon grafts to prevent
postoperative graft relaxation and creep in anterior cruciate ligament
reconstruction].
AB - Objective: To explore the feasibility of pre-implantation of high-intensity
suture into tendon grafts to prevent postoperative graft relaxation and creep in
anterior cruciate ligament (ACL) reconstruction. Methods: Thirty-six specimens of
ACL reconstruction graft were made using adult swine's Achilles tendon. All the
specimens were randomly divided into experimental group (groups A and C) and
control group (groups B and D), 9 specimens each group. One double-strand
Ultrabraid No.2 high-intensity suture was pre-implanted into the grafts of groups
A and C. Groups A and B underwent a 1 000-cycles load test while groups C and D
underwent a 3 000-cycles load test. Then a pull-out test was performed until
failure. The displacements at different cycles (100, 500, 1 000, 2 000, and 3
000) in all groups and yield loads of groups C and D were measured and analyzed.
Results: The displacement of group A was significantly smaller than that of group
B at the cycles of 100, 500, and 1 000 ( P<0.05); the displacement of group C was
significantly smaller than that of group D at every cycle ( P<0.05).
Additionally, the yield load of group C was significantly higher than that of
group D ( t=4.816, P=0.001). Conclusion: Pre-implantation of high-intensity
suture into tendon grafts play an important role in the prevention of
postoperative graft creep and relaxation in ACL reconstruction.
PMID- 29798628
TI - [Finite element study on calcium phosphate ceramic screw implanting after
removing dynamic hip screw].
AB - Objective: To investigate the validity of improving the femur's mechanical
characteristics by implanting calcium phosphate ceramic screws after removing
dynamic hip screw (DHS). Methods: The three dimensional finite element model of
the femur was built based on the CT scanning of a normal male volunteer. Then the
models of the femur with and without DHS were established. According to calcium
phosphate ceramic screws with porosity and apparent elastic modulus, 80% and 0.1
GPa were set as group A, 50% and 1.0 GPa as group B, and 30% and 1.5 GPa as group
C. Von Mises stress distribution and maximum stress were recorded when the joint
was maximally loaded in a gait cycle. Results: The Von Mises in normal femoral
shaft was uniform; no phenomena of stress concentration was observed and the
maximum stress located at the joint load-bearing site of the proximal femur. The
stress concentration was observed in the femur without DHS, and the maximum
stress located at the distal femur around the screw hole. By comparing several
different calcium phosphate ceramic screws, the stress distribution of group B
was similar to normal femur model, and the maximum stress located at the joint
load-bearing site. The other screws of groups A and C showed varying degrees of
stress concentration. Conclusion: Implanting calcium phosphate ceramic screw can
improve the mechanical characteristics of the femur after removing dynamic hip
screw, and the calcium phosphate ceramic screw with 50% porosity and 1.0 GPa
apparent elastic modulus is suitable for implanting.
PMID- 29798629
TI - [Clinical application of relaying anteromedial thigh perforator flap in
resurfacing of donor defect after anterolateral thigh flap transfer].
AB - Objective: To investigate the clinical application of relaying anteromedial thigh
(AMT) perforator flap in resurfacing of the donor defect after anterolateral
thigh (ALT) flap transfer. Methods: Between February 2012 and December 2015, 23
cases of oral carcinoma underwent radical resection; after resection of lesions,
the tongue or mouth floor defects were reconstructed by ALT perforator flaps, and
the donor sites were repaired with relaying AMT perforator flap at the same
stage. There were 21 males and 2 females,with a mean age of 52.6 years (range, 29
74 years). Sixteen patients had tongue squamous cell carcinoma and 7 patients had
buccal cancer. According to TNM tumor stage, 3 cases were classified as T 4N 0M
0, 5 cases as T 4N 1M 0, 7 cases as T 3N 1M 0, 5 cases as T 3N 2M 0, and 3 cases
as T 3N 0M 0. The disease duration ranged from 6 to 18 months (mean, 8.8 months).
Results: The AMT perforators existed consistently in all patients. All flaps
survived, and primary healing of wounds was obtained at recipient sites and donor
sites. No vascular crisis, wound dehiscence, or obvious swelling occurred. All
patients were followed up 6-20 months (mean, 9.4 months). There was only linear
scar at the donor sites, and the function of thighs was normal. The color and
contour of the flaps were satisfactory. Conclusion: The relaying AMT perforator
flap is an ideal choice to reconstruct the donor site of ALT flap.
PMID- 29798630
TI - [A technique analysis for dissection of anterolateral thigh perforator flap].
AB - Objective: To improve the harvesting techniques of anterolateral thigh perforator
flap, and to reduce the operation time. Methods: Between January 2008 and June
2015, 400 patients undergoing repair with anterolateral thigh perforator flap
were included to analyze the technical factors, including 370 cases (92.5%)
obtaining primary healing and 30 cases (7.5%) receiving re-exploration. Combined
with the literature, a modified flap dissection was made: reverse tracing and
sequential dissection of the descending branch of the lateral circumflex femoral
artery. Between June 2015 and June 2016, the modified free anterolateral thigh
perforator flap was used in 100 cases. Of 100 cases, 76 were male and 24 were
female, aged from 11 to 71 years (mean, 35.6 years). The wound size ranged from 8
cm*5 cm to 23 cm*9 cm. The time between injury and surgery ranged from 5 to 31
days (mean, 14.3 days). Results: The operation time of modified flap dissection
was reduced to (30.1+/-19.3) minutes from (85.0+/-30.2) minutes (unmodified flap
dissection). Postoperatively, 94 flaps survived uneventfully, and incision healed
by first intention. Six flaps received re-exploration surgery because of vascular
compromise; the flap survived after removal of thrombosis in 4 cases of vein
thrombosis; the flap necrosed in 2 cases of vein and artery thrombosis, and skin
grafting was performed. Ninety-four patients whose flaps survived were followed
up 3-12 months (mean, 6.3 months); the flaps had good color and appearance, and
second stage operation was performed to make the flap thinner in 21 cases.
Conclusion: Improved harvesting technique of free anterolageral thigh perforator
flap could decrease surgery time and difficulty in dissection.
PMID- 29798631
TI - [Clinical outcome of ISOBAR TTL dynamic stabilization with pars bone grafting for
treatment of lumbar spondylolysis].
AB - Objective: To evaluate the effectiveness of ISOBAR TTL dynamic stabilization with
pars bone grafting for the treatment of lumbar spondylolysis. Methods: A
retrospective analysis was made the clinical data of 26 patients with lumbar
spondylolysis who received ISOBAR TTL dynamic stabilization with pars bone
grafting between September 2009 and March 2014. There were 14 males and 12
females, with a mean age of 31 years (range, 19-47 years). The disease duration
ranged from 9 to 60 months (mean, 16 months). Preoperative lumbar anteroposterior
and lateral X-ray films, CT three-dimensional reconstruction, and MRI scans were
performed to identify the location of pars defect. The involved levels were L 4
in 9 cases and L 5 in 17 cases. There were 10 cases of pure spondylolysis and 16
cases of spondylolysis accompanied with I degree slipping. The clinical outcome
was assessed by visual analogue scale (VAS) and Oswestry disability index (ODI)
scores at preoperation and 1 week, 3 months, 6 months after operation, and at
last follow-up. The lumbar CT three-dimensional reconstruction was obtained at 6
months after operation to evaluate bone fusion of the pars. The adjacent segment
degeneration was assessed by the University of California at Los Angeles (UCLA)
grading scale at preoperation and last follow-up. Results: All incisions healed
by first intention; no infection, cerebrospinal fluid leakage, or pain at iliac
crest donor site occurred. Twenty-six patients were followed up 2-5 years (mean,
36.5 months). No secondary spondylolisthesis, internal fixation loosening and
breakage were found during follow-up. The postoperative VAS and ODI scores showed
significant differences ( P<0.05) when compared with preoperative scores, and the
scores at 3 months, 6 months, and last follow-up were significantly better than
that at 1 week ( P<0.05), but no significant difference was shown between the
other time points after operation ( P>0.05). The CT three-dimensional
reconstruction indicated satisfactory union at the pars in 23 cases (88.5%) at 6
months after operation. Of the 3 nonunion patients, good union was obtained in 1
patient at 1 year after operation; nonunion was observed in 2 patients at last
follow-up, but low back pain was obviously alleviated. According to the UCLA
grading scale, 20 cases were rated as grade I and 6 cases as grade II at last
follow-up, which was the same as preoperative grade and indicated no adjacent
segment degeneration. Conclusion: It is safe and effective to use the ISOBAR TTL
dynamic stabilization with pars bone grafting technique to treat lumbar
spondylolysis with or without degree I slipping. The fusion rate is satisfactory,
and adjacent segment degeneration can be slowed down after lumbar fusion surgery.
PMID- 29798632
TI - [Design and clinical application of a new extracorporeal reduction device for
percutaneous pedicle screw fixation in treatment of thoracolumbar fractures].
AB - Objective: To design a new extracorporeal reduction device for percutaneous
pedicle screw fixation of thoracolumbar fractures (short for "new reduction
device"), and to evaluate its effectiveness. Methods: According to the mechanism
of thoracolumbar fractures and biomechanics characteristic of reduction, a new
reduction device was designed and used in a combination with long U-shaped hollow
pedicle screw system. Between January 2014 and January 2016, 36 patients (group
A) with single segment thoracolumbar fracture without neurological complications
underwent percutaneous pedicle screw fixation, and the clinical data were
compared with those of another 39 patients (group B) with thoracolumbar fracture
underwent traditional open pedicle screw fixation. There was no significant
difference in gender, age, cause of injury, classification of fractures, segments
of fractures, injury to operation interval, height percentage of injury
vertebrae, and kyphotic angle between 2 groups ( P>0.05). The 2 groups were
compared in terms of operation time, length of incision, intraoperative blood
loss, drainage volume, visual analogue scale (VAS) at postoperative 24 hours,
fluoroscopy frequency, ambulation time, height percentage of injury vertebrae,
kyphotic angle and correction. Results: Group A was significantly better than
group B in the operation time, length of incision, intraoperative blood loss,
drainage volume, VAS score at postoperative 24 hours, and ambulation time (
P<0.05). However, fluoroscopy frequency of group B was significantly less than
that of group A ( P<0.05). All patients were followed up 11.2 months on average
(range, 7-15 months). There was no intraoperative and postoperative complications
of iatrogenic nerve injury, infection, breakage of internal fixation. Mild
pulling-out of pedicle screws occurred in 1 case of group A during operation. The
kyphotic angle and height percentage of the fractured vertebral body were
significantly improved at 3 days after operation when compared with preoperative
ones ( P<0.05), but no significant difference was found between 2 groups at 3
days after operation ( P>0.05). Conclusion: Minimally invasive extracorporeal
reduction device for percutaneous pedicle screw fixation is an effective and safe
treatment of thoracic vertebrae and lumbar vertebrae fractures, because of little
trauma, less bleeding, and quicker recovery.
PMID- 29798633
TI - [Transfection of lentivirus-bone morphogenetic protein 2 and lentivirus-inhibitor
of differentiation 1 into nucleus pulposus for delaying intervertebral disc
degeneration in an in vivo rabbit model].
AB - Objective: To investigate if the course of intervertebral disc degeneration (IDD)
is delayed by injecting lentivirus (Lv) vector carrying bone morphogenetic
protein 2 (BMP-2) and inhibitor of differentiation 1 (Id1) genes directly into
the nucleus pulposus. Methods: Thirty-two New Zealand white rabbits, 2.0-2.5 kg
in weight and 4 months in age, were used to establish the IDD models at L 3, 4, L
4, 5, and L 5, 6 discs with annular puncture via transabdominal approach. Thirty
rabbits with successful modeling were randomly divided into 5 groups, 6 rabbits
every group. At 4 weeks after modeling, rabbits were injected with Lv-BMP-2
(group A), with Lv-BMP-2 and Lv-Id1 (group B), with Lv-Id1 (group C), with Lv
green fluorescent protein (group D), and with PBS (group E). At 2, 4, and 8 weeks
after injection, T2-mapping MRI was performed on 2 rabbits each group to obtain
the T2 values, and then subsequently the lumbar disc tissues were harvested to
test the mRNA expressions and contents of collagen type II and proteoglycan by
real-time fluorescent quantitative PCR and ELISA methods. Results: T2-mapping MRI
demonstrated that there was no significant difference in the T2 value between
different groups at immediate and 2 weeks after injection ( P>0.05). The T2 value
of groups A and B was significantly higher than that of groups C, D, and E at 4
weeks after injection ( P<0.05), but no significant difference was observed
between group A and group B ( P>0.05). The T2 value of group B was significantly
higher than that of the other groups at 8 weeks after injection ( P<0.05). The
real-time fluorescent quantitative PCR and ELISA showed that the expressions and
contents of collagen type II and proteoglycan in group B were significantly
higher than those in the other groups at 2, 4, and 8 weeks after injection (
P<0.05). Conclusion: Combined application of Lv-BMP-2 and Lv-Id1 can delay IDD
changes in rabbit IDD models.
PMID- 29798634
TI - [Changes of endogenous Spastin expression after sciatic nerve injury in rats].
AB - Objective: To investigate the expression change of endogenous Spastin after
sciatic nerve injury in rats, and to discuss the role and significance in the
peripheral nerve regeneration. Methods: Thirty-six adult male Sprague Dawley rats
weighing 180-220 g were randomly divided into the experimental group ( n=30) and
the control group ( n=6). Sciatic nerve compression damage model was established
in the experimental group, and the sciatic nerve was only exposed in the control
group. The L 4-6 spinal cord tissue was obtained to detect Spastin mRNA and
protein levels by real-time fluorescence quantitative PCR and Western blot at 1,
3, 7, 14, and 28 days after operation in the experimental group ( n=6) and at 7
days in the control group. Meanwhile, the sciatic nerve at 5 mm distal to the
injured site was obtained to observe the ultrastructure of the distal axon by
transmission electron microscope (TEM). Results: The expression trends of Spastin
gene and Spastin protein in L 4-6 spinal cord tissue of 2 groups were basically
identical. In the experimental group, the expressions of Spastin gene and protein
decreased at the beginning, and then increased; the expressions reduced to the
minimum at 7 days after operation, and came back to the initial level at 28 days.
The expression levels of Spastin mRNA and protein at 3, 7, and 14 days were
significantly lower in the experimental group than the control group ( P<0.05),
but no significant difference was noted between 2 groups at 1 and 28 days (
P>0.05). The expression levels of Spastin mRNA and protein at 3, 7, and 14 days
were significantly lower than those at 1 and 28 days in the experimental group (
P<0.05), but no significant difference was noted between at 1 day and 28 days (
P>0.05). At 1, 3, and 7 days after operation, the myelin damage was observed by
TEM; at 14 days, there were regenerating Schwann cells; at 28 days, a large
number of myelinated nerve fibers were seen, which were closed to normal form.
Conclusion: In the process of sciatic nerve regeneration after injury, a complex
succession of changes take place in the expression of endogenous Spastin protein
in rats, indicating that Spastin protein plays an important role in the process.
PMID- 29798635
TI - [Effect of cyclic stretch on expression of c-fos gene in rat Achilles-derived
tendon stem cells].
AB - Objective: To investigate whether mechanical stretch stimulation affects the
expression of the immediate early gene c-fos mRNA in rat Achilles-derived tendon
stem cells (TSCs) in vitro. Methods: TSCs were isolated from the Achilles tendons
of 8 weeks old male Sprague Dawley rats by enzymatic digestion method and
cultured for 3 passages. The TSCs were stimulated by a uniaxial cyclic stretching
loading system under the condition of 1 Hz, respectively with 4% or 8% stretch
intensity for 0, 5, 15, 30, 60, and 120 minutes. At each time point, TSCs were
collected to detect c-fos mRNA expressions and to find the best time-point T max
by real-time fluorescence quantitative PCR. Then, TSCs were simulated with 2%,
4%, 6%, 8%, or 12% stretch intensity for T max to observe the relative
expressions of c-fos mRNA under different stretch intensities. Next, TSCs were
stretched for 0, 5, or 15 minutes respectively and followed by incubation at
relax status up to T max to observe the changes of c-fos mRNA expressions after
short period stimulation. Finally, TSCs were stimulated with 4% or 8% stretch
intensity respectively for 0, T max, or 120 minutes to detect the expressions of
the tenogenic differentiation related genes [collagen type I, tenomodulin
(TNMD)], the osteogenic differentiation related genes [runt related transcription
factor 2 (Runx2), distal-less homeobox 5 (Dlx5)], and the adipogenic
differentiation related gene [fatty acid binding protein 4 (FABP4)]. Results:
Under 4% or 8% stretch intensity, the relative expressions of c-fos mRNA
significantly increased at 15 minutes ( P<0.05), reached the maximum at 30
minutes ( P<0.05), and returned to baseline at 60 minutes ( P>0.05) when compared
with expression at 0 minute. Therefore, T max was 30 minutes. The stretch
intensity of 2% was enough to cause the expression of c-fos mRNA at 30 minutes,
and the expression was significantly higher under the stretch intensity of 6%,
8%, and 12% than 2% and 4% ( P<0.05). Even for a short period stimulation of 5
minutes, c-fos mRNA expression could still significantly increase at 30 minutes (
P<0.05). The relative expressions of differentiation related genes at 30 and 120
minutes showed no significant difference when compared with the expression at 0
minute under 4% stretch intensity ( P>0.05); but the relative expression of Runx2
gene significantly increased at 30 minutes, and the relative expressions of
collagen type I, TNMD, Dlx5, and Runx2 increased at 120 minutes under 8% stretch
intensity ( P<0.05). Conclusion: Mechanical stretch stimulation can affect the
relative expression of the immediate early gene c-fos mRNA of rat Achilles
derived tendon stem cells in vitro, and there is time- and intensity-dependence.
It is suggested that the mechanical stimulation with different time or intensity
may affect the differentiation of TSCs at early stage. This study is meaningful
for the further study on TSCs intracellular mechanical signal transfer mechanism.
PMID- 29798636
TI - [Role and mechanism of stromal cell derived factor 1 on proliferation of vascular
endothelial cells].
AB - Objective: To investigate the role and relative mechanism of stromal cell derived
factorl (SDF-1) secreted by nucleus pulposus cells (NPCs) on the proliferation of
vascular endothelial cells (VECs). Methods: The NPCs were isolated from the
degenerated disc specimens after discectomy. NPCs at passage 1 were transfected
with lentivirus-mediated SDF-1 over-expression; transfected and untransfected
NPCs at passage 2 were cultured in the three-dimensional alvetex(r) scaffold,
then they were co-cultured with HMEC-1 cells. The morphology of NPCs was observed
by scanning electron microscope (SEM), and the apoptosis of HMEC-1 cells was
detected by Annexin V/propidiumiodide staining after 72 hours co-culutre. The
proliferation of HMEC-1 cells was detected by cell counting kit 8 at 12, 24, 48,
and 72 hours in transfected group and untransfected group, respectively. ELISA
was used to measure the vascular endothelial growth factor (VEGF) expression
level. The virus transfection efficiency and relative Akt pathway were determined
by Western blot. Results: The NPCs maintained cell phenotype and secreted much
extracellular matrix in three-dimensional-culture by SEM observation. In the co
culutre system, after NPCs were transfected with SDF-1 over-expression
lentivirus, the proliferation of HMEC-1 cells was significantly increased, while
the apoptosis was decreased obviously. The ELISA results demonstrated that the
amount of VEGF was remarkably increased in the culture medium. Furthermore, SDF-1
promoted the up-regulation of phosphorylate Akt expression; after inhibition of
Akt expression by GSK690693, the proliferation rate of VECs decreased
significantly. Conclusion: Over-expression of SDF-1 by NPCs is beneficial for
VECs proliferation, which is involved in SDF-1-Akt signalling pathway.
PMID- 29798637
TI - [Matrix-induced autologous chondrocyte implantation for treatment of femoral
trochlea cartilage injury].
AB - Objective: To determine the short-term effectiveness of matrix-induced autologous
chondrocyte implantation (MACI) for femoral trochlea cartilage injury. Methods: A
retrospective analysis was performed on the clinical data of 10 patients with
femoral trochlea cartilage injury treated with MACI between June 2012 and October
2014. There were 6 males and 4 females, aged from 15 to 48 years (mean, 33
years). The left knee was involved in 3 cases and the right knee in 7 cases. Nine
patients had a history of trauma, and 1 case suffered from osteochondritis
dissecans. Combined injuries included meniscus injury in 1 case, anterior
cruciate ligament injury in 3 cases, and lateral collateral ligament tear in 2
cases. The mean lesion depth was 2.80 mm (range, 2-7 mm), with the mean defect
size of 84.85 mm 2 (range, 28.26-153.86 mm 2). The mean duration of definite
diagnosis was 14 days (range, 5 days to 3 months). By using arthroscopic biopsy,
200-300 mg healthy articular cartilage at non weight-bearing area of the knee
femoral trochlea was collected as a source of seed cells, which were isolated and
cultured to prepare MACI membrane. The adhesion activity, growth rate, and
mechanical properties of the chondrocytes on the Bio-gide collagen scaffold were
evaluated. In addition, the stretch rate, tensile strength, and suture strength
of scaffold were tested. MACI membrane was implanted after 2 weeks to 6 months.
The visual analogou scale (VAS), Lysholm score, and Tegner movement level score
at preoperation and last follow-up were used to assess the function. Results: The
MACI membrane was successfully prepared, and the human chondrocytes adhered and
grew well on the Bio-gide collagen scaffold. Mechanical test showed that MACI
membrane had the stretch rate of 65.27%, the tensile strength of 26.81 MPa, and
the suture strength of 6.49 N, indicating good mechanical properties. MACI
membrane was successfully implanted. The mean operation time was 58.5 minutes
(range, 43-99 minutes), and the mean hospitalization time was 7 days (range, 6-15
days). All incisions healed well. Ten cases were followed up 9 to 16 months
(mean, 12 months). Four cases underwent iliac bone graft surgery. The mean
healing time was 14 weeks (range, 12-16 weeks). No complications of
osteochondrolysis, knee pain, nerve and vascular injury, deep vein thrombosis,
and knee adhesion occurred during follow-up. The VAS score, Lysholm score, and
Tegner score at last follow-up were significantly improved when compared with
preoperative scores ( t=12.060, P=0.000; t=-9.200, P=0.000; t=-14.000, P=0.000).
Conclusion: MACI for femoral trochlea cartilage injury has good short-term
effectiveness, with less injury and fast function recovery.
PMID- 29798638
TI - [Research progress of P75 neurotrophin receptor and new idea of nonunion
treatment].
AB - Objective: To review the research progress of P75 neurotrophin receptor (P75NTR)
so as to clarify its mechanism, and to explore its relationship with nonunion so
as to provide a new idea for the treatment of nonunion. Methods: The related
domestic and foreign literature of P75NTR in recent years was extensively
reviewed, summarized, and analyzed to find out the mechanism of action of P75NTR
and the pathological factors of nonunion formation. Results: P75NTR can express
in nonunion tissues and lead to defect of fibrin degradation and inhibition of
angiogenesis, which play an important role in the pathogenesis of nonunion.
Conclusion: It needs to be confirmed by further study whether the purpose of
treating nonunion can be achieved by blocking the effects described above of
P75NTR.
PMID- 29798639
TI - [Research development of computer assisted navigation and robotics in
unicompartmental knee arthroplasty].
AB - Objective: To summarize the computer assisted navigation and robotics in the
classification of knee surgery, and the development, surgical indications and
contraindication, effectiveness, and the research progress of computer assisted
navigation and robotics in unicompartmental knee arthroplasty (UKA). Methods: The
related literature on computer assisted navigation and robotics in UKA was
extensively reviewed, summarized, and analyzed. Results: Recently, satisfactory
results have been achieved in UKA for the treatment of single compartmental knee
osteoarthritis. With the rapid development of computer navigation and robotic
technology gradually combined with clinical practice, the great precision and
accuracy of implant have been improved in computer navigation and robotics in UKA
surgery. Postoperative function is well recovered, meanwhile, prosthesis survival
can be significantly increased. Conclusion: Computer assisted navigation and
robotics in UKA can greatly improve the accuracy of the implant when compared
with traditional UKA. The early effectiveness is satisfactory, but the long-term
effectiveness still needs to be further observed.
PMID- 29798641
TI - [Role of cell autophagy in peripheral nerve injury and regeneration].
AB - Objective: To review the mechanism and effects of cell autophagy in the
pathophysiology changes of peripheral nerve injury. Methods: The recent
literature about cell autophagy in peripheral nerve injury and regeneration was
extensively reviewed and summarized. Results: The researches through drugs
intervention and gene knockout techniques have confirmed that the Schwann cell
autophagy influences the myelin degeneration, debris clearance, inflammatory
cells infiltration, and axon regeneration through JNK/c-Jun pathway. To adjust
autophagy process could slow down the Wallerian degeneration, maintain the
integrity of injured nerve, while the effect on axon regeneration is still
controversial. Conclusion: The Schwann cell autophagy plays a key role in the
pathophysiology changes of peripheral nerve injury, the further study of its
mechanism could provide new methods for the therapy of peripheral nerve injury.
PMID- 29798640
TI - [Research progress of microRNA and its non-viral vector in intervertebral disc
degeneration].
AB - Objective: To summarize the research progress of microRNA (miRNA) and its non
viral vector in intervertebral disc degeneration (IDD) and to investigate the
potential of non-viral vector delivery of miRNA in clinical application. Methods:
The related literature about the role of miRNA in IDD and its non-viral delivery
system was reviewed and analyzed. Results: MiRNA can regulate the related gene
expression level and further participate in the pathophysiologic process in
degenerated intervertebral disc, miRNA delivered by various non-viral vectors has
obtained an ideal effect in some diseases. Conclusion: MiRNA plays a great role
in the cellular and molecular mechanisms of IDD, as a safe and effective strategy
for gene therapy, non-viral vector provides new possibilities for IDD treated
with miRNA.
PMID- 29798642
TI - [Effectiveness of acetabular revision using a metal reconstruction cage].
AB - Objective: To explore the clinical outcomes of acetabular revision using a metal
reconstruction cage. Methods: Between October 2006 and October 2013, 16 patients
(16 hips) underwent acetabular revision with a metal reconstruction cage. There
were 4 males and 12 females, with the mean age of 62.7 years (range, 49-78
years). The time from total hip arthroplasty to revision was 3-15 years (mean,
8.2 years). The causes for revision were aseptic acetabular loosening in 15
cases, and femoral periprosthetic fracture (Vancouver type B3) in 1 case.
According to the American Academy of Orthopaedic Surgeons (AAOS) classification,
there were 12 cases of type III and 4 cases of type IV; according to the Paprosky
classification, there were 12 cases of type IIIA and 4 cases of type IIIB. Harris
score was used for hip function evaluation, and visual analogue scale (VAS) for
pain in the thigh. X-ray films were taken for imaging evaluation. Results:
Healing of incision by first intention was obtained in all patients. Deep venous
thrombosis occurred in 1 patient, and was cured after anticoagulation therapy. No
complications of infection, neurovascular injury, and prosthetic dislocation were
found. Sixteen patients were followed up 6.8 years on average (range, 2-9 years).
The Harris score was significantly increased from preoperative 42.44+/-4.66 to
91.88+/-3.28 at last follow-up ( t=-106.30, P=0.00). Two patients had mild pain
in the thigh, but pain disappeared at 1 year after operation. At immediate after
operation, the abduction angle was 37-54 degrees (mean, 42.9 degrees ). The
distance between acetabular rotation centre and teardrop line was (33.67+/-12.19)
mm for preoperative value and was (20.67+/-9.63) mm for postoperative value,
showing significant difference ( t=-9.60, P=0.00). The distance between
acetabular rotation centre and lateral teardrop was (34.98+/-12.30) mm for
preoperative value and was (40.04+/-6.61) mm for postoperative value, showing
significant difference ( t=-3.15, P=0.00). X-ray film results showed bony fusion
at the osteotomy sites at 4 to 12 months after operation. No continuous
radiolucent line, prosthetic dislocation, or osteolysis was found, and bony
ingrowth was observed in all patients. No patient received re-revision due to
prosthetic loosening. Conclusion: The metal reconstruction cage for acetabular
revision can achieve good effectiveness for patients with serious bone defect.
PMID- 29798643
TI - [Effect of acetabular tilt angle on acetabular version in adults with
developmental dysplasia of the hip].
AB - Objective: To investigate the difference in acetabular tilt angle (ATA) between
adults with deve-lopmental dysplasia of the hip (DDH) and normal adults and the
effect of ATA on acetabular version. Methods: Between February 2009 and October
2015, 31 adult female patients with DDH (39 hips) (DDH group) and 31 female
patients with osteoarthritis of the knee (31 hips) who had no history of hip
disease (control group) were included in this study. The average age was 39 years
(range, 18-59 years) in the DDH group, and was 69 years (range, 52-79 years) in
control group. The morphometric parameters of the acetabulum including ATA,
acetabular anteversion angle (AAA), acetabular inclination angle (AIA),
acetabular cranial anteversion angle (ACAA), and acetabular sector angle (ASA)
were mea- sured by CT reconstruction; The ASA was used as an index for acetabular
coverage of the femoral head. The correlation between ATA and other parameters
was analyzed using Pearson correlation analysis. Results: The values of ATA, AAA,
and AIA of the DDH group were significantly larger than those of the control
group ( P<0.05). The ASA in all directions was significantly decreased in the DDH
group when compared with the values in the control group ( P<0.05). There was no
significant difference in ACAA between two groups ( t=1.918, P=0.523). The ATA
was positively correlated with AAA and ACAA in the DDH group ( r=0.439, P=0.001;
r=0.436, P=0.002), but there was no correlation between ATA and AIA ( r=0.123,
P=0.308). In the control group, the ATA was not correlated with AAA, ACAA, and
AIA ( r=-0.004, P=0.724; r=-0.079, P=0.626; r=-0.058, P=0.724). Regarding
acetabular coverage of the femoral head, the ATA and AAA were correlated
negatively with anterior ASA ( P<0.05) and positively with posterior ASA (
P<0.05), but had no correlation with superior ASA ( P>0.05) in the DDH group; AIA
was correlated negatively with anterior ASA and superior ASA ( P<0.05) and had no
correlation with posterior ASA ( r=-0.092, P=0.440). In the control group, there
was no correlation between ATA and ASA in any direction ( P>0.05). In the DDH
group, defects of the acetabular anterior wall, lateral wall, and posterior wall
were observed in 18 hips (46.2%), 15 hips (38.5%), and 6 hips (15.3%),
respectively. ATA value of the posterior wall defect [(15.70+/-10.00) degrees ]
was significantly smaller than those of the acetabular anterior wall and lateral
wall defects [(22.91+/-5.06) degrees and (21.59+/-3.81) degrees ] ( P<0.05),
but no signficant difference was found between anterior wall and lateral wall
defects ( P>0.05). Conclusion: ATA will influence acetabular version in DDH. The
anterior rotation of the acetabular fragment during periacetabular osteotomies is
an anatomically reasonable maneuver for hips with anterolateral acetabular
defect, while the maneuver should be avoided in hips with posterior acetabular
defect.
PMID- 29798644
TI - [Efficacy comparison of different methods to treat femoral intertrochanteric
fracture in aged patients].
AB - Objective: To compare the efficacy of proximal femoral nail anti-rotation (PFNA),
locking compression plate (LCP), and artificial femoral head replacement for
femoral intertrochanteric fracture in aged patients so as to provide reference
for clinical treatment. Methods: A retrospective analysis was made on the
clinical data of 150 aged patients with femoral intertrochanteric fracture
treated between September 2009 and March 2016. PFNA was used in 51 cases (group
A), LCP in 53 cases (group B), and artificial femoral head replacement in 46
cases (group C). There was no significant difference in sex, age, side, cause of
injury, injury to operation time, type of fracture, and combined medical diseases
between groups ( P>0.05). The incision length, operation time, intraoperative
blood loss, time for full weight bearing, hip Harris score, and complications
were recorded and compared between groups. Results: The patients were followed up
12-23 months (mean, 18.6 months) in group A, 12-25 months (mean, 19.0 months) in
group B, and 12-24 months (mean, 18.9 months) in group C. The incision length,
operation time, and intraoperative blood loss of group A were significantly less
than those of groups B and C ( P<0.05); the operation time of group C was
significantly shorter than that of group B ( P<0.05), but there was no
significant difference in incision length and intraoperative blood loss (
P>0.05). The time for full weight bearing was significantly shorter in group C
than groups A and B, and in group A than group B ( P<0.05). Postoperative
complications occurred in 11 patients of group A (21.6%), 14 patients of group B
(26.4%), and 2 patients of group C (4.3%), showing significant difference between
group C and group A or group B ( P<0.05), but no significant difference was found
between groups A and B ( P>0.05). Hip Harris score at 12 months after operation
had no significant difference between groups ( P>0.05). Conclusion: PFNA, LCP,
and artificial femoral head replacement are all effective methods to treat
femoral intertrochanteric fracture in aged patients. PFNA has the advantages of
small incision, short operation time, less bleeding and simple procedure, and
artificial femoral head replacement has the advantages of early time for full
weight bearing, less bed rest time, and less complications. For these patients,
PFNA and artificial femoral head replacement are appropriate.
PMID- 29798645
TI - [Application of improved precision alignment method of lower limbs mechanical
alignment on open wedge high tibial osteotomy].
AB - Objective: To evaluate the effects of the improved precision alignment method of
lower limbs mecha-nical alignment for osteoarthritis of the knee in open wedge
high tibial osteotomy (OWHTO). Methods: A retrospective analysis was made on the
clinical data of 62 patients (68 knees) with knee osteoarthritis in the medial
compartment treated with OWHTO between January 2012 and December 2015 who
accorded with the inclusion criteria. The traditional method for positioning
lower limb mechanical force line was used in 29 cases (32 knees) (traditional
group), and improved method for positioning lower limb mechanical force line in
33 cases (36 knees) (modified group). There was no significant difference in
gender, age, side, course of disease, and osteoarthritis grading between two
groups ( P>0.05) with comparable. The operation time, intraoperative fluoroscopy
times, and intraoperative blood loss were recorded in two groups; Before and
after operation, the lower limb mechanical force line was observed on the X-ray
films, which was expressed by hip-knee-ankle angle (HKA). The clinical efficacy
was evaluated by the American Hospital for Special Surgery (HSS) score, and the
Western Ontario and McMaster University Osteoarthritis Index (WOMAC). Results:
Incision hematoma occurred in 1 case of traditional group and was cured at 3
weeks after symptomatic treatment; and primary healing was obtained in the other
patients, with no early complications. The operation time and intraoperative
fluoroscopy times of the modified group were significantly lower than those of
the traditional group ( t=11.934, P=0.000; t=11.663, P=0.000), but there was no
significant difference in blood loss between the two groups ( t=0.209, P=0.835).
The patients were followed up for 6 to 24 months (mean, 12.7 months) in the
traditional group and for 3 to 22 months (mean, 13.2 months) in the modified
group. The medial knee pain disappeared in all patients. At last follow-up, the
HSS score and WOMAC score were significantly improved when compared with
preoperative scores in two groups ( P<0.05), but there was no significant
difference between the two groups ( P>0.05). Postoperative X-ray examination
showed that the tibiofemoral angle was corrected in the two groups. The HKA angle
at immediate after operation and last follow-up was significantly higher than
angle at pre-operation in two groups ( P<0.05), but there was no significant
difference between at immediate after operation and at last follow-up ( P>0.05).
Conclusion: Compared with the traditional method for positioning lower limb
mechanical force line, the improved precision alignment method can reduce the
times of intraoperative fluoroscopy and shorten the operation time, which reduces
the radiation exposure of both doctors and patients.
PMID- 29798646
TI - [Safety evaluation of secondary conversion from external fixation to internal
fixation for open tibia fractures].
AB - Objective: To evaluate the safety of conversion from external fixation to
internal fixation for open tibia fractures. Methods: Between January 2010 and
December 2014, 94 patients (98 limbs) with open tibia fractures were initially
treated with external fixators at the first stage, and the clinical data were
retrospectively analyzed. In 29 cases (31 limbs), the external fixators were
changed to internal fixation for discomfort, pin tract response, Schantz pin
loosening, delayed union or non-union after complete wound healing and normal or
close to normal levels of erythrocyte sedimentation rate (ESR), C reactive
protein (CRP), and the leucocyte count as well as the neutrophil ratio (trial
group); in 65 cases (67 limbs), the external fixators were used as the ultimate
treatment in the control group. There was no significant difference in gender,
age, side of the limbs, interval from injury to the first debridement, initial
pathogenic bacteria, the limbs that skin grafting or flap transferring for skin
and soft tissue defect between the two groups ( P>0.05). The incidence of Gustilo
type III fractures in the control group was significantly higher than that in the
trial group ( P=0.000). The overall incidence of infection was calculated
respectively in the two groups. The incidence of infection according to different
fracture types and whether skin grafting or flap transferring was compared
between the two groups. The information of the pathogenic bacteria was recorded
in the infected patients, and it was compared with the results of the initial
culture. The incidence of infection in the patients of the trial group using
different internal fixation instruments was recorded. Results: The overall
incidences of infection for the trial and control groups were 9.7% (3/31) and
9.0% (6/67) respectively, showing no significant difference ( chi2=0.013,
P=0.909). No infection occurred in Gustilo type I and type II patients. The
incidence of infection for Gustilo type IIIA patients in the trial group and the
control group were 14.3% (1/7) and 6.3% (2/32) respectively, showing no
significant difference ( chi2=0.509, P=0.476); the incidence of infection for
type IIIB patients in the two groups were 50.0% (2/4) and 14.3% (2/14)
respectively, showing no significant difference ( chi2=2.168, P=0.141); and the
incidence of infection for type IIIC patients in the two groups were 0 and 16.7%
(2/12) respectively, showing no significant difference ( chi2=0.361, P=0.548). Of
all the infected limbs, only 1 limb in the trial group had the same
Staphylococcus Aureus as the result of the initial culture. In the patients who
underwent skin grafting or flap transferring, the incidence of infection in the
trial and control groups were 33.3% (2/6) and 13.3% (2/15) respectively, showing
no significant difference ( chi2=1.059, P=0.303). After conversion to internal
fixation, no infection occurred in the cases that fixed with nails (11 limbs),
and infection occurred in 4 of 20 limbs that fixed with plates, with an incidence
of infection of 20%. Conclusion: Conversion from external fixation to internal
fixation for open tibia fractures is safe in most cases. However, for open tibia
fractures with extensive and severe soft tissue injury, especially Gustilo type
III patients who achieved wound heal after flap transfer or skin grafting, the
choice of secondary conversion to internal fixation should carried out
cautiously. Careful pre-operative evaluation of soft tissue status, cautious
choice of fixation instrument and meticulous intra-operative soft tissue
protection are essential for its safety.
PMID- 29798647
TI - [Comparative study on treatment of thoracolumbar burst fractures with bone
grafting by transpedicular approach and by interlaminal small fenestration].
AB - Objective: To investigate the effectiveness and long-term stability of small
fenestration vertebral bone grafting and transpedicular bone grafting in the
treatment of Denis types A and B thoracolumbar burst fractures. Methods: Between
January 2012 and February 2014, 50 patients with Denis type A or B thoracolumbar
burst fractures were treated with vertebroplasty and pedicle screw rod fixation
system, and the clinical data were retrospectively analyzed. Small fenestration
vertebral bone grafting by trans-interlaminar approach was used in 30 cases
(group A), and bone grafting by unilateral transpedicular approach was used in 20
cases (group B). X-ray and CT examinations of the thoracolumbar vertebrae were
performed routinely before and after operation. There was no significant
difference in sex, age, cause of injury, time from injury to operation, fracture
type, injury segment, and preoperative Frankel classification, the percentage of
the anterior body height of the injured vertebra, and visual analogue scale (VAS)
score between two groups ( P>0.05). There was significant difference in
preoperative Cobb angle of kyphosis between two groups ( P<0.05). The Cobb angle
of kyphosis, the percentage of the anterior body height of the injured vertebra,
and the recovery of neurological function were recorded and compared between two
groups. Results: The patients were followed up for 16-31 months (mean, 19.1
months) in group A and for 17-25 months (mean, 20.2 months) in group B. Primary
healing of incisions was obtained in the two groups; no nerve injury and other
operative complications occurred. The neurological function was improved in
varying degrees in the other patients with neurological impairment before
operation except patients at grade A of Frankel classification. The lumbar back
pain was relieved in two groups. There was significant difference in VAS score
between before operation and at 3 months after operation or last follow-up in two
groups ( P<0.05), but no significant difference was found between at 3 months and
last follow-up in two groups and between two groups at each time point after
operation ( P>0.05). X-ray examination showed that there was no breakage of nail
and bar, or dislocation and loosening of internal fixation during follow-up
period. The bone grafts filled well and fused in the fractured vertebra. The
vertebral height recovered well after operation. The percentage of the anterior
body height of the in-jured vertebra and Cobb angle of kyphosis at 1 week, 3
months, and last follow-up were significantly better than preope-rative ones in
two groups ( P<0.05), but there was no significant difference between different
time points after operation ( P>0.05), and between two groups at each time point
after operation ( P>0.05). Conclusion: For Denis types A and B thoracolumbar
burst fractures, vertebral bone grafting and pedicle screw internal fixation
through interlaminal small fene-stration or transpedicular approach can restore
the vertebral height, correct kyphosis, and maintain the vertebral stability,
which reduce the risk of complications of loosening and breakage of internal
fixators. The appropriate bone grafting approach can be chosen based on the
degree of spinal canal space occupying, collapse of vertebral and spinal cord
injury.
PMID- 29798648
TI - [Common pedicle screw placement under direct vision combined with dome shaped
decompression via small incision for double segment thoracolumbar fracture with
nerve injury].
AB - Objective: To determine the feasibility, safety, and efficacy of common pedicle
screw placement under direct vision combined with dome shaped decompression via
small incision for double segment thoracolumbar fracture with nerve injury.
Methods: A retrospective analysis was performed on the clinical data of 32
patients with double segment thoracolumbar fracture with nerve injury undergoing
common pedicle screw placement under direct vision combined with dome shaped
decompression via small incision between November 2011 and November 2015
(combined surgery group), and another 32 patients undergoing traditional open
pedicle screw fixation surgery (traditional surgery group). There was no
significant difference in gender, age, cause of injury, time of injury-to
surgery, injury segments and Frankel classification of neurological function
between two groups ( P>0.05). The length of soft tissue dissection, the operative
time, the blood loss during surgery, the postoperative drainage, the visual
analogue scale (VAS) of incision after surgery, and recovery of neurological
function after surgery were evaluated. Results: All cases were followed up 9 to
12 months (mean, 10.5 months) in combined surgery group, and 8 to 12 months
(mean, 9.8 months) in traditional surgery group. The length of soft tissue
dissection, the operative time, the blood loss during surgery, the postoperative
drainage, and the postoperative VAS score in the combined surgery group were
significantly better than those in the traditional surgery group ( P<0.05). Dural
rupture during surgery and pedicle screw pulling-out at 6 months after surgery
occurred in 2 cases and 1 case of the combined surgery group; dural rupture
during surgery occurred in 1 case of the traditional surgery group. The X-ray
films showed good decompression, and fracture healing; A certain degree of
neurological function recovery was achieved in two groups. Conclusion: Common
pedicle screw placement under direct vision combined with dome shaped
decompression via small incision can significantly reduce iatrogenic trauma and
provide good nerve decompression. Therefore, it is a safe, effective, and
minimally invasive treatment method for double segment thoracolumbar fracture
with neurological injury.
PMID- 29798649
TI - [Application of ultrasonic bone curette in posterior cervical single open-door
laminoplasty].
AB - Objective: To investigate the safety and reliability of ultrasonic bone curette
in posterior cervical single open-door laminoplasty. Methods: The clinical data
were retrospectively analyzed, from 193 patients who underwent single open-door
laminoplasty (C 3-7) from January 2012 to January 2016. The patients were divided
into three groups according to different instruments: posterior single open-door
laminoplasty was performed with ultrasonic bone curette in 61 cases (group A),
with bite forceps in 73 cases (group B), and with micro-grinding drill in 59
cases (group C). There was no significant difference in gender, age, the course
of disease, underlying disease and preoperative Japanese Orthopedic Association
(JOA) score, visual analogue scale (VAS) between groups ( P>0.05). The operative
time, intraoperative blood loss, drainage volume at 48 hours, JOA score,
improvement rate, VAS and perioperative com-plication were compared. Results: The
operative time, intraoperative blood loss, and drainage volume at 48 hours of
group A were significantly less than those in groups B and C ( P<0.05), but there
was no significant between groups B and C ( P>0.05). The follow-up time was 12-21
months (mean, 14.6 months) in group A, 24-36 months (mean, 27.5 months) in group
B, and 28-47 months (mean, 38.1 months) in group C. There were no cerebrospinal
fluid leakage and incision infection in three groups. No complications of
internal fixation loosening and rupture occurred during the follow-up. Rediating
pain occurred in 6 cases of group A, 8 cases of group B, and 6 cases of group C,
and was cured at 1 week after dehydration and physical therapy. No nerve root
palsy was found in three groups. Fracture of portal axis occurred in 5 cases (7
segments) of group B and was fixed by micro titanium plate. The JOA score and VAS
score at last follow-up were significantly improved when compared with
preoperative scores in three groups ( P<0.05); there was no significant
difference in JOA score and improvement rate and VAS score between groups (
P>0.05). Conclusion: It is safe and reliable to use the ultrasonic bone curette
in posterior cervical single open-door laminoplasty. It can shorten the operative
time and has similar clinical curative effect to the traditional operation, and
the lateral rotation of the lamina can be avoided.
PMID- 29798650
TI - [Effectiveness of percutaneous endoscopic technique in treatment of intraspinal
cement leakage after percutaneous vertebroplasty].
AB - Objective: To evaluate the feasibility and safety of percutaneous endoscopic
technique in the treatment of intraspinal cement leakage after percutaneous
vertebroplasty (PVP). Methods: Between May 2014 and March 2016, 5 patients with
lower limb pain and spinal cord injury caused by intraspinal cement leakage after
PVP, were treated with percutaneous endoscopic spinal decompression. Of 5 cases,
3 were male and 2 were female, aged from 65 to 83 years (mean, 74.4 years). The
course of disease was 10-30 days (mean, 16.2 days). Imageological examinations
confirmed the levels of cement leakage at T 12, L 1 in 3 cases, and at L 1, 2 in
2 cases; bilateral sides were involved in 1 case and unilateral side in 4 cases.
Two patients had lower limb pain, whose visual analogue scale (VAS) were 8 and 7;
3 patients had lower extremities weakness, whose Japanese Orthopedic Association
(JOA) 29 scores were 18, 20, and 19. According to American Spinal Injury
Association (ASIA) impairment scale, neural function was rated as grade E in 2
cases and grade D in 3 cases. Results: The operation time was 55-119 minutes
(mean, 85.6 minutes), and the blood loss was 30-80 mL (mean, 48 mL). CT scan and
three-dimensional (3D) reconstruction at 1 day after operation showed that cement
leakage was removed in all patients. Five cases were followed up 6-21 months
(mean, 12 months). In 2 patients with lower limb pain, and VAS score was
significantly decreased to 2 at last follow-up. In 3 patients with lower
extremities weakness, the muscle strength was improved progressively, and the
JOA29 scores at last follow-up were 21, 23, and 22. Conclusion: Percutaneous
endoscopic technique for intraspinal cement leakage after PVP is safe, effective,
and feasible.
PMID- 29798651
TI - [Clinical application of various forms of free profunda femoral artery pedicled
chimeric myocutaneous perforator flap in defect reconstruction after tongue
carcinoma resection].
AB - Objective: To investigate the clinical anatomy and application of free profunda
femoral artery pedicled chimeric myocutaneous perforator flap in the defect
reconstruction after radical resection of tongue carcinoma. Methods: Between
April 2011 and January 2016, 44 cases of tongue carcinoma underwent radical
resection, and tongue defects were reconstructed by free profunda femoral artery
pedicled chimeric myocutaneous perforator flaps at the same stage. There were 40
males and 4 females, with a mean age of 46.3 years (range, 32-71 years). The
pathologic type was squamous cell carcinoma, which involved the lingual margin in
24 cases, the ventral tongue in 17 cases, and the mouth floor in 3 cases.
According to Union for International Cancer Control (UICC) TNM staging, 16 cases
were rated as T 4N 0M 0, 11 cases as T 4N 1M 0, 9 cases as T 3N 1M 0, and 8 cases
as T 3N 2M 0. The course of disease ranged from 1 to 22 months (mean, 8.6
months). The size of perforator flap ranged from 8.5 cm*4.0 cm to 12.0 cm*6.5 cm,
and the size of muscle flap ranged from 4.0 cm*3.0 cm to 7.5 cm*5.0 cm. The
adductor magnus myocutaneous flap with a pedicle of (8.3+/-0.5) cm was used in 11
cases, and the gracilis muscle myocutaneous flap with a pedicle of (8.1+/-0.8) cm
was used in 33 cases. The donor sites were sutured directly. Results: All 44
perforator flaps survived uneventfully, and the donor site healed well. The
patients were followed up for 12 to 40 months (mean, 23.8 months). The
reconstructed tongue had good appearance and function in swallowing and language.
No local recurrence was found. Only linear scar was left at the donor sites.
Conclusion: The free profunda femoral artery pedicled chimeric myocutaneous
perforator flap can be harvested in various forms, and is an ideal choice to
reconstruct defect after radical resection of tongue carcinoma.
PMID- 29798652
TI - [Reconstruction of oral and maxillofacial soft tissue defects with anterolateral
thigh (myocutaneous) flap assisted by computed tomography angiography].
AB - Objective: To investigate the efficacy of anterolateral thigh (myocutaneous) flap
designed with computed tomography angiography (CTA) to reconstruct oral and
maxillofacial soft tissue defects. Methods: Between January 2011 and December
2015, 23 cases of oral and maxillofacial tumors were treated. There were 14 males
and 9 females with the age range from 45 to 72 years (mean, 56.8 years). There
were 12 cases of tongue carcinoma, 5 cases of buccal mucosa carcinoma, 4 cases of
mouth floor carcinoma, and 2 cases of oropharynx carcinoma; all were squamous
cell carcinoma. According to standard TNM staging of the Union for International
Cancer Control (UICC), 8 cases were rated as T 2N 0M 0, 3 cases as T 2N 1M 0, 1
case as T 2N 2M 0, 4 cases as T 3N 0M 0, 2 cases as T 3N 1M 0, 2 cases as T 3N 2M
0, 2 cases as T 4N 1M 0, and 1 case as T 4N 2M 0. The course of disease was 1-6
months (mean, 2.4 months). CTA was performed before operation to locate the
perforator vessel and its surface projection of emerging point and to design
anterolateral thigh (myocutaneous) flap by computer. The defects of soft tissue
ranged from 6 cm*4 cm to 11 cm*7 cm after resection of tumor. The flap was used
to repair defects, including 14 thinned anterolateral thigh flaps, 7
anterolateral thigh myocutaneous flaps, and 2 anterolateral bilobed flaps; and
the flap area ranged from 7 cm* 5 cm to 12 cm*8 cm. The donor sites were sutured
directly. Results: CTA showed that myocutaneous perforators penetrated at the
fascias of the vastus lateralis muscles in 22 cases with a location rate of 95.7%
(22/23). Submandibular fistula occurred in 1 case at 5 days after operation and
fistula healed after changed dressings. Other wounds at recipient site and donor
site healed at primary stage. Anastomose with 2 vein was performed because of
poor venous return in 1 case, and the flap survived. The other flaps survived
well. All the patients were followed up 6-36 months (mean, 16.4 months). At 3
months after operation, the simplified recovery standard of speech function and
swallow function was established according to the University of Washington
Quality of Life Scale (UW-QOL). The speech and swallow function recovered
satisfactorily in 22 cases, and not very satisfactorily in 1 case of well
differentiated squamous cell carcinoma of the right mouth floor (T 4N 1M 0). No
obvious tissue atrophy was observed in 23 cases. No dysfunction was found at the
donor site. There was no tumor recurrence in 21 patients; 1 patient accepted the
second operation due to lymphonodi metastasis of contralateral neck at 6 months
after first operation, who died after 23 months; 1 patient died of distant
metastasis at 10 months after first operation. Conclusion: The anterolateral
thigh (myocutaneous) flap designed with CTA could well recover the morphology and
function of the recipient site.
PMID- 29798653
TI - [Efficacy evaluation of sural nerve bridging transplantation for restoration of
penis disturbance of sensation after selective dorsal nerve neurotomy].
AB - Objective: To evaluate the clinical effect of sural nerve bridging
transplantation for the restoration of penis disturbance of sensation after
selective dorsal nerve neurotomy. Methods: Between May 2014 and May 2016, 23
patients underwent sural nerve bridging transplantation for the restoration of
penis disturbance of sensation after selective dorsal nerve neurotomy. The age
ranged from 19 to 38 years (mean, 27 years). The course of disease was 1-28
months (mean, 14 months). The defect length was 1.0-1.5 cm. Preoperative main
neurological sign was sensory disturbance. The chief complaint of 21 patients was
a erectile dysfunction; all had normal hormone levels, and there was no other
organic diseases or surgical contraindication. According to the self-assessment
lists of symptom-SCL90 evaluation, 19 cases had depression, 16 cases had anxiety,
and 15 cases had both anxiety and depression; 3 cases had psychological
abnormalities (social barriers, etc.). According to the functional neurological
evaluation standard of British Medical Research Institute, the static two-point
discrimination and sensation recovery standard rating were evaluated before and
after operation; sexual pleasure obstacle sensitivity, temperature sense, and
other subjective improvement were recorded. The International Index of Erectile
Function 5 (IIEF-5) was used to evaluate questionnaire of the patient with
erectile dysfunction before and after operation. The psychological state was
accessed with the self-assessment lists of symptom-SCL90 evaluation after
operation. Results: All incisions healed by first intention. The mean follow-up
time was 8 months (range, 6-12 months). Sexual pleasure obstacle was obviously
improved in 11 cases, partially improved in 5 cases; the improvements in
temperature and tactile sensitivity were achieved in 21 cases; no obvious
improvement was observed in 1 case. The sensation recovery standard rating,
static two-point discrimination and grade of IIEF-5 were significantly improved
at 3 and 6 months after operation when compared with preoperative ones ( P<0.05).
At 6 months after operation, symptom was improved in 14 of 19 patients with
depression (73.68%), in 12 of 16 patients with anxiety (75.00%), and in 12 of 15
patients with anxiety and depression(80.00%). Conclusion: Sural nerve bridging
transplantation is an effective method for the restoration of penis disturbance
of sensation after selective dorsal nerve neurotomy.
PMID- 29798654
TI - [Comparison of wide local excision and radical excision for Paget's disease
involving the penis and scrotum].
AB - Objective: To evaluate the effectiveness and advantages of the wide local
excision for Paget's disease involing the penis and scrotum by comparing with the
radical excision. Methods: A retrospective analysis was made on the clinical data
of 41 patients with Paget's disease involving penis and scrotum who met the
inclusion criteria between November 2010 and August 2015. Among them, 14 patients
received wide local excision (group A), and 27 patients received radical excision
(group B). No significant difference was found in age, course of disease, and
lesion site between two groups ( P>0.05). The recurrence rate, operative time,
times of intraoperative frozen section pathology, hospitalization time, grade of
wound healing, appearance and functions satisfaction were recorded and compared
between two groups. Results: The operative time and hospitalization time in group
A were significantly shorter than those in group B ( P<0.05); the times of
intraoperative frozen section pathology in group A were significantly less than
that in group B ( P<0.05). All patients were followed up 13 to 67 months (mean,
35.5 months) in group A and 11 to 70 months (mean, 38.8 months) in group B.
Grades A, B, and C wound healing was obtained in 11 cases, 2 cases, and 1 case of
group A and in 12 cases, 7 cases, and 8 cases of group B respectively, showing
significant difference between two groups ( Z=-2.102, P=0.036). The 5-year
recurrence rate was 28.6% (4/14) in group A and 22.2% (6/27) in group B, showing
no significant difference ( chi2=0.202, P=0.654). The score of satisfaction in
appearance and functions in group A was significantly higher than that in group B
( t=-2.810, P=0.008). Conclusion: Paget's disease involving penis and scrotum has
a slow disease progression and good prognosis. Wide local excision can relieve
symptoms effectively and obviously decrease perioperative risk in elderly
patients, with no significant increase of the recurrence rate.
PMID- 29798655
TI - [Effects of rapamycin and deferoxamin on wound healing after ischemia and
hypoxia].
AB - Objective: To explore the effect and mechanism of rapamycin and deferoxamin on
wound healing after ischemia and hypoxia. Methods: The model of ischemia and
hypoxia wound was made on the back of 40 SPF male adult Sprague Dawley rats,
weight (300+/-20) g; they were randomly divided into 4 groups ( n=10): the
control group (group A), deferoxamine intervention group (group B), rapamycin
intervention group (group C), and deferoxamine+rapamycin intervention group
(group D). At 3, 6, and 9 days after model preparation, rats of groups A, B, C,
and D were intra-peritoneally injected with normal saline, deferoxamin (10
mg/kg), rapamycin (3 mg/kg), deferoxamin (10 mg/kg)+rapamycin (3 mg/kg)
respectively. The wound healing was observed and the healing time was recorded in
each group; the wound healing tissue was harvested to test the mRNA and protein
expressions of mammalian target of rapamycin (mTOR), hypoxia inducible factor
1alpha (HIF-1alpha), and vascular endothelial growth factor (VEGF) by real-time
fluorescence quantitative PCR and Western blot at 2 days after wound healing.
Results: All rats survived to the end of the experiment, and wounds healed; the
healing time of groups A, B, and D was significantly shorter than that of group C
( P<0.05), but there was no significant difference between groups A, B, and D (
P>0.05). Real-time fluorescence quantitative PCR showed that the expression of
mTOR mRNA in groups C and D was significantly decreased when compared with the
expressions in groups A and B ( P<0.05); there was significant difference between
groups A and B ( P<0.05), but no significant difference between groups C and D (
P>0.05). The expressions of HIF-1alpha mRNA and VEGF mRNA were signi-ficantly
higher in groups B and D than groups A and C, and in group A than group C (
P<0.05), but there was no signifi-cant difference between groups B and D (
P>0.05). Western blot showed that the relative expressions of mTOR protein in
groups C and D were significantly decreased when compared with the expressions in
groups A and B ( P<0.05), but there was no significant difference between groups
C and D ( P>0.05). The relative expressions of HIF-1alpha protein in groups A, B,
and C were significantly increased when compared with expression in group D (
P<0.05), but there was no significant difference between groups A, B, and C (
P>0.05). The relative expression of VEGF protein were significantly lower in
groups B, C, and D than group A, in group D than groups B and C, and in group C
than group B ( P<0.05). Conclusion: Defe-roxamin can promote the wound healing of
rats after ischemia and hypoxia, and the effect of rapamycin is opposite. It may
be related to the existence of mTOR and HIF-1 signaling pathway in chronic
ischemia-hypoxia wound.
PMID- 29798656
TI - [Preparation of acellular matrix from antler cartilage and its biological
compatibility].
AB - Objective: To study the feasibility of acellular matrix materials prepared from
deer antler cartilage and its biological compatibility so as to search for a new
member of the extracellular matrix family for cartilage regeneration. Methods:
The deer antler mesenchymal (M) layer tissue was harvested and treated through
decellular process to prepare M layer acellular matrix; histologic observation
and detection of M layer acellular matrix DNA content were carried out. The
antler stem cells [antlerogenic periosteum (AP) cells] at 2nd passage were
labelled by fluorescent stains and by PKH26. Subsequently, the M layer acellular
matrix and the AP cells at 2nd passage were co-cultured for 7 days; then the
samples were transplanted into nude mice to study the tissue compatibility of M
layer acellular matrix in the living animals. Results: HE and DAPI staining
confirmed that the M layer acellular matrix did not contain nucleus; the DNA
content of the M layer acellular matrix was (19.367+/-5.254) ng/mg, which was
significantly lower than that of the normal M layer tissue [(3 805.500+/-519.119)
ng/mg]( t=12.630, P=0.000). In vitro co-culture experiments showed that AP cells
could adhere to or even embedded in the M layer acellular matrix. Nude mice
transplantation experiments showed that the introduced AP cells could proliferate
and induce angiogenesis in the M layer acellular matrix. Conclusion: The deer
antler cartilage acellular matrix is successfully prepared. The M layer acellular
matrix is suitable for adhesion and proliferation of AP cells in vitro and in
vivo, and it has the function of stimulating angiogenesis. This model for deer
antler cartilage acellular matrix can be applied in cartilage tissue engineering
in the future.
PMID- 29798657
TI - [Effect of injectable composites of calcium sulfate and hyaluronate in enhancing
osteogenesis].
AB - Objective: To fabricate an injectable composite bone substitute with hyaluronic
acid (HA) and calcium sulfate and to evaluate the biocompatibility and effect of
the composite on cell proliferation, osteogenic differentiation in vitro and
osteogenic capability in vivo. Methods: Calcium sulfate powder was mixed with HA
solution, cross-linked HA solution, and phosphate buffer solution (PBS) in a
ratio of 2?1 ( W/ V) to get composites of CA+HA, CA+HAC, and CA. The standard
extracts from above 3 materials were prepared according to ISO10993-5, and were
used to culture mouse MC3T3-E1 cells. The composite biocompatibility and cell
proliferation in different concentrations of extract were tested with cell
counting kit-8 (CCK-8). The cells were cultured with standard medium as a
control. The optimal concentration was selected for osteogenic differentiation
test, and ELISA Kit was used to determine the alkaline phosphatase (ALP),
collagen type I (COL-I), and osteocalcin (OCN). The femoral condylar bone defect
was made on New Zealand white rabbits and repaired with CA+HA, CA+HAC, and CA.
Micro-CT was done to evaluate new bone formation with bone volume/tissue volume
(BV/TV) ratio at 6 and 12 weeks. HE staining was used to observe bone formation.
Results: CA+HA and CA+HAC were better in injectability and stability in PBS than
CA. The biocompatibility test showed that absorbance ( A) value of CA group was
significantly lower than that of control group ( P<0.05) at 6, 12, and 24 hours
after culture, but no significant difference was found in A values between CA+HA
group or CA+HAC group and control group ( P>0.05). The proliferation test showed
25% and 50% extract of all 3 materials had significantly higher A value than
control group ( P<0.05). For 75% and 100% extract, only CA+HA group had
significantly higher A value than control group ( P<0.05). And 50% extract was
selected for osteogenic differentiation test. At 14 and 21 days, ALP, COL-I and
OCN concentrations of CA+HA group and CA+HAC group were significantly higher than
those of CA group and control group ( P<0.05). Micro-CT results showed higher
BV/TV in CA+HA group and CA+HAC group than CA group at 6 and 12 weeks ( P<0.05),
but no significant difference was found between CA+HA group and CA+HAC group (
P>0.05). HE staining revealed that a little bone tissue was seen in CA+HA group
and CA+HAC group, but there was no bone formation in CA group at 6 weeks; more
streak bone tissue in CA+HA group and CA+HAC group than CA group at 12 weeks.
Conclusion: Composites prepared with calcium sulfate and HA or with cross-linked
HA are stable, injectable, and biocompatible. The materials have excellent effect
on proliferation and differentiation of mouse MC3T3-E1 cells. They also show good
osteogenic capability in vivo. So it is a potential bone substitutes for bone
defective diseases.
PMID- 29798658
TI - [Effect of bone morphogenetic protein 2 and dexamethason on proliferation and
differentiation of human dental pulp cells in vitro].
AB - Objective: To investigate the effect of bone morphogenetic protein 2 (BMP-2) and
dexamethason (DXM) on proliferation and differentiation of human dental pulp
cells in vitro. Methods: Primary human dental pulp cells were cultured in vitro
by tissue culture method. The 3rd generation cells were used to identify cell
phenotype for vimentin and cytokeratin by immunocytochemistry staining. The 3-5
generations of human dental pulp cells were randomly divided into 4 groups: 100
ng/mL BMP-2 (group A), 1*10 -8 mol/L DXM (group B), and both 100 ng/mL BMP-2 and
1*10 -8 mol/L DXM (group C) were added; neither BMP-2 nor DXM was added in group
D as control group. The cell growth curve was drawn at 1, 3, 5, and 7 days after
culture. The expressions of osteo/dentanogenic genes including alkaline
phosphatase (ALP), dentin sialophoshoprotein (DSPP), and dentin matrix protein 1
(DMP-1) were detected by RT-PCR analysis at 5 and 7 days after culture, the ratio
between the positive staining area and the total area by ALP staining at 14 days,
and absorbance ( A) value at 562 nm by alizarin red staining at 21 days after
culture. Results: Human dental pulp cells were successfully isolated and
cultured, which were long fusiform and showed a positive reaction for vimentin
and a negative reaction for cytokeratin. The growth curve indicated that cells
increased with the extending of incubation time, reached a peak at 5 days, then
reduced at 7 days to the level at 3 days. At 5 days after culture, the cells were
significantly more in groups A, B, and C than group D ( P<0.05), in group C than
group A ( P<0.05), and in group A than group B ( P<0.05). RT-PCR analysis showed
that the mRNA expressions of ALP, DSPP, and DMP-1 at 5 days were significantly
higher in groups A, B, and C than group D ( P<0.05), and in group C than groups A
and B ( P<0.05), but no significant difference was found between groups A and B (
P>0.05); the mRNA expression of DSPP in groups A, B, and C was significantly
higher than that in group D ( P<0.05), but there was no significant difference in
mRNA expressions between other groups at 7 days ( P>0.05). At 14 days, positive
staining in varying degrees was observed in each group, especially in group C;
the ratio between the positive staining area and the total area was significantly
higher in group C than groups A, B, and D ( P<0.05), and in groups A and B than
group D ( P<0.05), but there was no significant difference between groups A and B
( P>0.05). At 21 days, there were a variety of mineralized nodules in groups A,
B, and C in nonuniformly scattered or clustered distribution, but no mineralized
nodules were observed in group D. The A values of mineralized nodules showed
significant difference between groups ( P<0.05). Conclusion: BMP-2 may be more
effective in promoting proliferation of human dental pulp cells than DXM.
Combined application of BMP-2 and DXM can remarkably promote the proliferation
and differentiation of human dental pulp cells.
PMID- 29798659
TI - [Research progress of adipose-derived stem cells in skin wound healing].
AB - Objective: To review the research progress of adipose-derived stem cells (ADSCs)
in skin wound healing. Methods: The recent experiments and clinical studies on
the role of ADSCs in skin wound healing were extensively retrieved and analyzed.
Additionally, possible mechanisms and novel application strategies were proposed.
Results: As confirmed by in vitro and in vivo experiments and clinical studies,
ADSCs promote skin wound healing mainly by two mechanisms: differentiation to
target cells that participate in skin wound healing and cytokines paracrine to
promote proliferation and migration of various cell lines that are mandatory to
promote skin wound healing. Moreover, scaffold materials and cell sheet
technology may further add to the potency of ADSCs in promoting skin wound
healing. Conclusion: Remarkable progress has been made in the application of
ADSCs in skin wound healing. Further studies are needed to explore the
application methods of ADSCs.
PMID- 29798660
TI - [Protective effect of exosome on organs after ischemia-reperfusion injury].
AB - Objective: To investigate the protective effect of the exosome on the organ
damage induced by ische-mia-reperfusion (I/R) so as to provide a new way for the
treatment of I/R damage. Methods: The literature related to the treatment of I/R
damage was reviewed and analyzed. Results: The exosome volume is small and it is
present in blood, cerebrospinal fluid, and urine, which has the function to cross
the blood-brain barrier, and protect the heart, brain and other organs after I/R
damage. Conclusion: Exosome is a new material for the treatment of I/R organ
injury, and it is important to understand the protective effect and possible
mechanism.
PMID- 29798661
TI - [Application and research progress of subtalar distraction bone block arthrodesis
in treatment of calcaneus fracture malunion].
AB - Objective: To review the application and research progress of subtalar
distraction bone block arth-rodesis in the treatment of calcaneus fracture
malunion. Methods: The recent literature concerning the history, surgical
technique, postoperative complication, indications, and curative effect of
subtalar distraction arthrodesis with bone graft block interposition in the
treatment of calcaneus fracture malunion was summarized and analyzed. Results:
Subtalar distraction bone block arthrodesis is one of the main ways to treat
calcaneus fracture malunion, including a combined surgery with subtalar
arthrodesis and realignment surgery for hindfoot deformity using bone block
graft. The advantage is on the base of subtalar joint fusion, through one-time
retracting subtalar joint, the posterior articular surface of subtalar joint
implants bone block can partially restore calcaneal height, thus improving the
function of the foot. Compared with other calcaneal malunion treatments, subtalar
distraction arthrodesis is effective to correct complications caused by calcaneus
fracture malunion, and it can restore the height of talus and calcaneus, correct
loss of talocalcaneal angle, and ease pain. Conclusion: Subtalar distraction bone
block arthrodesis has made remarkable progress in the treatment of calcaneus
fracture malunion, but it has the disadvantages of postoperative nonunion and
absorption of bone block, so further study is needed.
PMID- 29798662
TI - A Universal GSH-Responsive Nanoplatform for the Delivery of DNA, mRNA, and
Cas9/sgRNA Ribonucleoprotein.
AB - The long-sought promise of gene therapy for the treatment of human diseases
remains unfulfilled, largely hindered by the lack of an efficient and safe
delivery vehicle. In this study, we have developed a universal glutathione
responsive nanoplatform for the efficient delivery of negatively charged genetic
biomacromolecules. The cationic block copolymer, poly(aspartic acid-(2-aminoethyl
disulfide)-(4-imidazolecarboxylic acid))-poly(ethylene glycol), bearing imidazole
residues and disulfide bonds, can form polyplexes with negatively charged DNA,
mRNA, and Cas9/sgRNA ribonucleoprotein (RNP) through electrostatic interactions,
which enable efficient cellular uptake, endosomal escape, and cytosol unpacking
of the payloads. To facilitate the nuclear transport of DNA and RNP, the nuclear
localization signal peptide was integrated into the DNA or RNP polyplexes. All
three polyplex systems were fully characterized and optimized in vitro. Their
relatively high transfection efficiency and low cytotoxicity, as well as
convenient surface functionalization merit further investigation.
PMID- 29798663
TI - Overcoming Multidrug Resistance by Codelivery of MDR1-Targeting siRNA and
Doxorubicin Using EphA10-Mediated pH-Sensitive Lipoplexes: In Vitro and In Vivo
Evaluation.
AB - The therapeutic efficacy of chemotherapy is dramatically hindered by multidrug
resistance (MDR), which is induced by the overexpression of P-glycoprotein (P
gp). The codelivery of an antitumor drug and siRNA is an effective strategy
recently applied in overcoming P-gp-related MDR. In this study, a multifunctional
drug delivery system with both pH-sensitive feature and active targetability was
designed, in which MDR1-siRNA and DOX were successfully loaded. The resulting
carrier EphA10 antibody-conjugated pH-sensitive doxorubicin (DOX), MDR1-siRNA
coloading lipoplexes (shortened as DOX + siRNA/ePL) with high serum stability had
favorable physicochemical properties. DOX + siRNA/ePL exhibited an incremental
cellular uptake, enhanced P-gp downregulation efficacy, as well as a better cell
cytotoxicity in human breast cancer cell line/adriamycin drug-resistant (MCF
7/ADR) cells. The results of the intracellular colocalization study indicated
that DOX + siRNA/ePL possessed the ability for pH-responsive rapid endosomal
escape in a time-dependent characteristic. Meanwhile, the in vivo antitumor
activities suggested that DOX + siRNA/ePL could prolong the circulation time as
well as specifically accumulate in the tumor cells via receptor-mediated
endocytosis after intravenous administration into the blood system. The
histological study further demonstrated that DOX + siRNA/ePL could inhibit the
proliferation, induce apoptosis effect, and downregulate the P-gp expression in
vivo. Altogether, DOX + siRNA/ePL was expected to be a suitable codelivery system
for overcoming the MDR effect.
PMID- 29798664
TI - Synthetic Studies Toward the Skyllamycins: Total Synthesis and Generation of
Simplified Analogues.
AB - Herein, we report our synthetic studies toward the skyllamycins, a highly
modified class of nonribosomal peptide natural products which contain a number of
interesting structural features, including the extremely rare alpha-OH-glycine
residue. Before embarking on the synthesis of the natural products, we prepared
four structurally simpler analogues. Access to both the analogues and the natural
products first required the synthesis of a number of nonproteinogenic amino
acids, including three beta-OH amino acids that were accessed from the convenient
chiral precursor Garner's aldehyde. Following the preparation of the suitably
protected nonproteinogenic amino acids, the skyllamycin analogues were assembled
using a solid-phase synthetic route followed by a final stage solution-phase
cyclization reaction. To access the natural products (skyllamycins A-C) the
synthetic route used for the analogues was modified. Specifically, linear peptide
precursors containing a C-terminal amide were synthesized via solid-phase peptide
synthesis. After cleavage from the resin the N-terminal serine residue was
oxidatively cleaved to a glyoxyamide moiety. The target natural products,
skyllamycins A-C, were successfully prepared via a final step cyclization with
concomitant formation of the unusual alpha-OH-glycine residue. Purification and
spectroscopic comparison to the authentic isolated material confirmed the
identity of the synthetic natural products.
PMID- 29798666
TI - Redox Activity, Ligand Protonation, and Variable Coordination Modes of Diimino
Pyrrole Complexes of Palladium.
AB - Ligand-based functionality is a prominent method of increasing the reactivity or
stability of metal centers in coordination chemistry. Some of the most successful
catalysts use ligand-based redox activity, pendant protons, or hemilability in
order to specifically accelerate catalysis. Here we report the diimino-pyrrole
ligand Tol,CyDIPyH (Tol,CyDIPy = 2,5-bis( N-cyclohexyl-1-( p
tolyl)methanimine)pyrrolide), which exhibits all three of these ligand
properties. Metalation of Tol,CyDIPy to Pd gives the pseudo-square planar complex
(Tol,CyDIPy)PdCl, which upon reduction forms a mixture of products, including a
Pd(I)-Pd(I) dimer wherein Tol,CyDIPy bridges the dimeric unit. Upon addition of
PMe3, the imine arms of (Tol,CyDIPy)PdCl are displaced to yield
(Tol,CyDIPy)Pd(PMe3)2Cl, where the Tol,CyDIPy ligand binds in a monodentate
fashion. This complex can be reduced to generate a ligand-based radical, as shown
by EPR spectroscopy. Finally, (Tol,CyDIPy)PdCl also can be protonated at the
imine arm, exhibiting a total of three different coordination modes across this
series of complexes. Taken together, these studies show that Tol,CyDIPy exhibits
notable flexibility in its coordination and redox chemistry.
PMID- 29798665
TI - Three Novel Escherichia coli Vectors for Convenient and Efficient Molecular
Biological Manipulations.
AB - We have constructed novel plasmids pANY2, pANY3, and pANY6 for flexible cloning
with low false positives, efficient expression, and convenient purification of
proteins. The pANY2 plasmid can be used for efficient isopropyl-beta-d
thiogalactoside (IPTG) induced protein expression, while the pANY3 plasmid can be
used for temperature-induced expression. The pANY6 plasmid contains a self
cleaving elastin-like protein (ELP) tag for purification of recombinant protein
by simple ELP-mediated precipitation steps and removal of the ELP tag by self
cleavage. A urea-based denaturation and refolding processes for renaturation of
insoluble inclusion bodies can be conveniently integrated into the ELP-mediated
precipitation protocol, removing time-consuming dialysis steps. These novel
vectors, together with the described strategies of gene cloning, protein
expression, and purification, may have wide applications in biosciences,
agricultural, food technologies, and so forth.
PMID- 29798667
TI - Total Synthesis of the Highly N-Methylated Peptide Jahanyne.
AB - Total synthesis of jahanyne (1) was achieved from commercially available
materials on a 38 mg scale. The Boc- N-Me- L-Val-OH fragment along with the
HATU/DIPEA coupling condition was applied to avoid the diketopiperazine side
reaction in solution phase synthesis.
PMID- 29798668
TI - Mining the Chemical Information on Urban Wastewater: Monitoring Human Exposure to
Phosphorus Flame Retardants and Plasticizers.
AB - At the individual level, exposure to contaminants is generally assessed through
the analysis of specific biomarkers in biological matrices. However, these
studies are costly and logistically demanding, limiting their applicability to
monitor population-wide exposure over time and space. By focusing on a selection
of exposure biomarkers to phosphorus flame retardants and plasticizers (PFRs),
this study aims to explore the possibility of using wastewater as a complementary
source of information about exposure. Wastewater samples were collected from five
cities in Europe and analyzed using a previously established method. Substantial
differences in biomarker levels were observed between the investigated
catchments, suggesting differences in exposure. Time trends in biomarkers
observed between 2013 and 2016 were found to agree with results from human
biomonitoring studies and reports about production volumes. Using Monte Carlo
simulations, average urinary concentrations were estimated. These were generally
higher compared to results from human biomonitoring studies. Various explanations
for these differences were formulated (i.e., other excretion routes, external
sources and different sampling approaches). Obtained results show that wastewater
analysis provides unique information about geographical and temporal differences
in exposure, which would be difficult to gather using other monitoring tools.
PMID- 29798669
TI - Dynamics and Reactions of Molecular Ru Catalysts at Carbon Nanotube-Water
Interfaces.
AB - Immobilization of molecular catalysts to electrode surfaces can improve the
recyclability and electron transfer rates. The drawback is that most experimental
techniques and theoretical methods are not applicable. Here we present results
from a study of a ruthenium water oxidation catalyst [RuVO(bda)L2] in explicit
water at a carbon nanotube water interface, forming the key O-O bond between two
128 atom catalysts, all fully dynamically. Our methodology is based on a recently
developed empirical valence bond (EVB) model. We follow the key steps of the
reaction including diffusion of the catalysts at the interface, formation of the
prereactive dimer, and the bond formation between the two catalysts. On the basis
of the calculated parameters, we compute the turnover frequency (TOF) at the
experimental loading, in excellent agreement with the experiments. The key O-O
bond formation was significantly retarded at the surface, and limiting components
were identified that could be improved by catalyst modification.
PMID- 29798670
TI - Surface Functionalized Fluorescent PS Nanobead Based Dual-Distinct Solid State
Sensor for Detection of Volatile Organic Compounds.
AB - Solid state emitting, distinctly dual vapor sensors with high quantum yield was
developed using polystyrene incorporating two fluorophores following a
miniemulsion polymerization method. Selective functionalization with carboxy and
amine functionality was used to decorate the resulting polystyrene nanobeads
having both perylenebisimide (PBI) and oligo ( p-phenylenevinylene) (OPV)
fluorophores. These nanobeads with a size of 70-180 nm showed near white emission
under UV light. On contact with vapors of specific electron deficient
nitroaromatics OPV emission got selectively quenched to show yellow emission from
the nanobeads under UV radiation. Exposure to amine vapor quashed PBI emission
selectively resulting in blue emission under UV lamp. Such a vast range of color
alteration from white to either blue or yellow from the same sensor makes it a
true dual analyte sensor with two distinct outputs. Control of surface
functionality (-COOH, -NH2, and neutral) on the nanobeads played a pivotal role
in boosting the sensing efficiency; introduction of functionality on the surface
facilitated analyte-sensor interaction through hydrogen bonding, prompting their
fast responsiveness. Real time, potential device based application was
demonstrated with free-standing films which was capable of efficient detection
with fast analyte exchange from dilute solutions. Recyclability of the film
sensor was demonstrated with 8 cycles of reuse.
PMID- 29798671
TI - ZnO-Assisted Growth of CH3NH3PbI3- xCl x Film and Efficient Planar Perovskite
Solar Cells with a TiO2/ZnO/C60 Electron Transport Trilayer.
AB - Appropriate electron transport layers (ETL) are essential in perovskite solar
cells (PSCs) with high power conversion efficiency (PCE). Herein, a TiO2/ZnO/C60
trilayer fabricated on a transparent fluorine-doped tin oxide (FTO) glass
substrate is used as a compound ETL in planar PSCs. The trilayer shows positive
effects on both perovskite synthesis and device performance. The ZnO layer
assists growth of CH3NH3PbI3- xCl x ( x ~ 0) annealed at a lower temperature and
with a shorter time, which is due to a more rapid and easier decomposition of the
intermediate CH3NH3PbCl3 phase in the growth of CH3NH3PbI3- xCl x. All three
materials in the trilayer are important for obtaining PSCs with a high PCE. ZnO
is critical for enhancing the open circuit voltage by ensuring proper energy
alignment with the TiO2 and C60 layers. C60 enhances carrier extraction from the
CH3NH3PbI3- xCl x layer. TiO2 eliminates charge recombination at the FTO surface
and ensures efficient electron collection. The best-performing PSC based on the
TiO2/ZnO/C60 electron transport trilayer features a PCE of 18.63% with a fill
factor of 79.12%. These findings help develop an understanding of the effects of
ZnO-containing ETLs on perovskite film synthesis and show promise for the future
development of high-performance PSCs with compound ETLs.
PMID- 29798672
TI - Highly Stretchable and Transparent Thermistor Based on Self-Healing Double
Network Hydrogel.
AB - An ultrastretchable thermistor that combines intrinsic stretchability, thermal
sensitivity, transparency, and self-healing capability is fabricated. It is found
the polyacrylamide/carrageenan double network (DN) hydrogel is highly sensitive
to temperature and therefore can be exploited as a novel channel material for a
thermistor. This thermistor can be stretched from 0 to 330% strain with the
sensitivity as high as 2.6%/ degrees C at extreme 200% strain. Noticeably, the
mechanical, electrical, and thermal sensing properties of the DN hydrogel can be
self-healed, analogous to the self-healing capability of human skin. The large
mechanical deformations, such as flexion and twist with large angles, do not
affect the thermal sensitivity. Good flexibility enables the thermistor to be
attached on nonplanar curvilinear surfaces for practical temperature detection.
Remarkably, the thermal sensitivity can be improved by introducing mechanical
strain, making the sensitivity programmable. This thermistor with tunable
sensitivity is advantageous over traditional rigid thermistors that lack
flexibility in adjusting their sensitivity. In addition to superior sensitivity
and stretchability compared with traditional thermistors, this DN hydrogel-based
thermistor provides additional advantages of good transparency and self-healing
ability, enabling it to be potentially integrated in soft robots to grasp real
world information for guiding their actions.
PMID- 29798674
TI - Palladium-Catalyzed Benzylic Phosphorylation of Diarylmethyl Carbonates.
AB - A palladium-catalyzed benzylic substitution of tert-butyl diarylmethyl carbonates
with a pinacol-derived H-phosphonate proceeds to deliver the corresponding
benzylic phosphorylated products in good yields. Moreover, the asymmetric
synthesis is possible via a Pd/( Rp, R'p)-( S)-Mandyphos-catalyzed kinetic
resolution-DYKAT (dynamic kinetic asymmetric transformation) sequence, and
optically active alpha-chiral diarylmethylphosphonates are obtained with
synthetically useful yields and enantiomeric ratios (up to 50% and 92:8 er).
PMID- 29798675
TI - Synthesis of 2-Aminobenzonitriles through Nitrosation Reaction and Sequential
Iron(III)-Catalyzed C-C Bond Cleavage of 2-Arylindoles.
AB - A variety of 2-aminobenzonitriles were prepared from 2-arylindoles in good to
excellent yields through tert-butylnitrite (TBN)-mediated nitrosation and
sequential iron(III)-catalyzed C-C Bond cleavage in a one-pot fashion. The 2
aminobenzonitriles can be used to rapidly synthesize benzoxazinones by
intramolecular condensation. The present method features an inexpensive iron(III)
catalyst, gram scalable preparations, and novel C-C bond cleavage of indoles.
PMID- 29798673
TI - In Situ Vaccination with Cowpea vs Tobacco Mosaic Virus against Melanoma.
AB - Cancer immunotherapy approaches have emerged as novel treatment regimens against
cancer. A particularly interesting avenue is the concept of in situ vaccination,
where immunostimulatory agents are introduced into an identified tumor to
overcome local immunosuppression and, if successful, mount systemic antitumor
immunity. We had previously shown that nanoparticles from cowpea mosaic virus
(CPMV) are highly potent in inducing long-lasting antitumor immunity when used as
an in situ vaccine in various tumor mouse models. Here we asked whether the
nanoparticles from tobacco mosaic virus (TMV) could also be applied as an in situ
vaccine and, if so, whether efficacy or mechanism of immune-activation would be
affected by the nanoparticle size (300 * 18 nm native TMV vs 50 * 18 nm short TMV
nanorods), shape (nanorods vs spherical TMV, termed SNP), or state of assembly
(assembled TMV rod vs free coat protein, CP). Our studies indicate that CPMV, but
less so TMV, elicits potent antitumor immunity after intratumoral treatment of
dermal melanoma (B16F10 using C57BL/6 mice). TMV and TMVshort slowed tumor growth
and increased survival time, however, at significantly lower potency compared to
that of CPMV. There were no apparent differences between TMV, TMVshort, or the
SNP indicating that the aspect ratio does not necessarily play a role in plant
viral in situ vaccines. The free CPs did not elicit an antitumor response or
immunostimulation, which may indicate that a multivalent assembly is required to
trigger an innate immune recognition and activation. Differential potency of CPMV
vs TMV can be explained with differences in immune-activation: data indicate that
CPMV stimulates an antitumor response through recruitment of monocytes into the
tumor microenvironment (TME), establishing signaling through the IFN-gamma
pathway, which also leads to recruitment of tumor-infiltrated neutrophils (TINs)
and natural killer (NK) cells. Furthermore, the priming of the innate immune
system also mounts an adaptive response with CD4+ and CD8+ T cell recruitment and
establishment of effector memory cells. While the TMV treatment also lead to the
recruitment of innate immune cells as well as T cells (although to a lesser
degree), key differences were noted in cyto/chemokine profiling with TMV inducing
a potent immune response early on characterized by strong pro-inflammatory
cytokines, primarily IL-6. Together, data indicate that some plant viral
nanotechnology platforms are more suitable for application as in situ vaccines
than others; understanding the intricate differences and underlying mechanism of
immune-activation may set the stage for clinical development of these
technologies.
PMID- 29798676
TI - Correction to Improvements to Practical Usability of the "Crystalline Sponge"
Method for Organic Structure Determination.
PMID- 29798677
TI - Photocatalytic Reaction of Potassium Alkyltrifluoroborates and Sulfur Dioxide
with Alkenes.
AB - A three-component reaction of potassium alkyltrifluoroborates, the sulfur dioxide
surrogate of DABCO.(SO2)2, and alkenes under photocatalysis in the presence of
visible light is developed. This reaction works efficiently at room temperature
with the insertion of sulfur dioxide under mild conditions, affording diverse
sulfones in good to excellent yields. The alkyl radical and alkylsulfonyl radical
are generated as key intermediates, and a reductive single-electron transfer is
involved in the reaction process.
PMID- 29798678
TI - A new alkylbenzoquinone from Embelia rowlandii Gilg. (Myrsinaceae).
AB - A new alkylbenzoquinone named embeliquinone (1) together with five known
compounds, lupeol (2), 3-O-[6'-O-palmitoyl-beta-d-glucosyl]-spinasta-7,22(23)
diene (3), quercetin (4), (2S,3S,4R,8E)-2-[(2'R)-2'-hydroxy-heneicosanoylamino]
heneicosane-1,3,4-triol-8-ene (5), and beta-sitosterol-3-O-beta-d-glucopyranoside
(6) were isolated from the MeOH leaf extract of Embelia rowlandii by using
repeated open column chromatography techniques. The structure of the new compound
was characterized by analyses of 1D- and 2D-NMR, and MS data. Embeliquinone (1)
had moderate anti-cell proliferation activity against A549 cell line with the
IC50 value of 21.8 MUM. In addition, 1 exhibited weak antibacterial activities
against Klebsiella pneumoniae and Staphylococcus aureus with an MIC value of
206.0 MUM in both cases.
PMID- 29798680
TI - The role of cognitive function and physical activity in physical decline in older
adults across the cognitive spectrum.
AB - OBJECTIVES: The aim of this study was to investigate physical decline over 1-year
in a cohort of older people across the cognitive spectrum. METHODS: Physical
function was assessed using the Physiological Profile Assessment (PPA) in 593
participants (cognitively normal [CN]: n = 342, mild cognitive impairment [MCI]:
n = 77, dementia: n = 174) at baseline and in 490 participants available for
reassessment 1-year later. Neuropsychological performance and physical activity
(PA) were assessed at baseline. RESULTS: Median baseline PPA scores for CN, MCI
and dementia groups were 0.41 (IQR = -0.09-1.02), 0.66 (IQR = -0.06-1.15) and
2.37 (IQR = 0.93-3.78) respectively. All baseline neuropsychological domains and
PA were significantly associated with baseline PPA. There were significant
interaction terms (Time * Cognitive Group, Global Cognition, Processing Speed,
Executive Function and PA) in the models investigating PPA decline. In
multivariate analysis the Time * Executive Function and PA interaction terms were
significant, indicating that participants with poorer baseline executive function
and reduced PA demonstrated greater physical decline when compared to individuals
with better executive function and PA respectively. DISCUSSION: Having MCI or
dementia is associated with greater physical decline compared to CN older people.
Physical inactivity and executive dysfunction were associated with physical
decline in this sample, which included participants with MCI and dementia. Both
factors influencing physical decline are potentially amenable to interventions
e.g. exercise.
PMID- 29798681
TI - Madeira moneywort (Sibthorpia peregrina L.) as a new source of verbascoside and
its derivatives with potential phyto-pharmaceutical applications.
AB - The qualitative and quantitative characterization of Madeira moneywort
(Sibthorpia peregrina L.) compounds was investigated for the first time. The
antioxidant activity and the effect of the methanolic extract on digestive
enzymes activity linked to type-2 diabetes and obesity were also determined by in
vitro assays. A total of 56 components were characterized in S. peregrina.
Phenylethanoids glycosides (PhEGs) represented the main classes of compounds
(95.23 mg g-1 of dry extract), almost all verbascoside and its derivatives (up to
98.85% of the total individual phenolic content). The analysed sample was active
against ABTS, DPPH, nitric oxide and superoxide radicals, suggesting a potential
beneficial effect against oxidative stress. In addition, the methanolic extract
was able to inhibit the catalytic activity of alpha-, beta-glucosidases, alpha
amylase and pancreatic lipase. Overall, S. peregrina showed good perspectives to
be explored as a rich source of verbascoside and its derivatives for
nutraceutical/pharmaceutical products.
PMID- 29798683
TI - Development of a qPCR for the detection of infectious laryngotracheitis virus
(ILTV) based on the gE gene.
AB - 1. Infectious laryngotracheitis is a respiratory disease that affects the poultry
industry worldwide. It is common in flocks with high-bird density, causing major
economic losses. 2. In this study, a SYBR(r) FAST polymerase chain reaction (PCR)
double-strand DNA intercalating agent assay was performed for the detection of
infectious laryngotracheitis virus (ILTV) in clinical samples in comparison with
a conventional nested-PCR, both based on the glycoprotein E encoding gene. This
assay amplified 56 bp and was capable of detecting 19 to 1 copies of virus. 3. In
total, 164 clinical samples were obtained from birds with respiratory problems
from the period of 2009-2016. In the nested-PCR, there were 45.12% positive
samples and 54.88% negative samples, while in the real-time PCR (qPCR), there
were 81.1% positive samples and 18.9% negative samples. 4. In conclusion, qPCR
from the DNA double-strand intercalating agent SYBR(r) GREEN FAST was useful for
the diagnosis of ILTV because it detected samples that were negative in nested
PCR. This assay has advantages, such as a shortened processing-time, and no need
for post-amplification processing (electrophoresis) with additional reagents,
such as MgCl2 and agarose. Hence, qPCR proved to be useful, rapid and low cost
for use with clinical samples.
PMID- 29798684
TI - Effects of antiarrhythmics and hypokalemia on the rate adaptation of cardiac
repolarization.
AB - OBJECTIVES: In normal conditions, sudden heart rate acceleration provokes a rapid
reduction in ventricular action potential duration (APD). The protracted APD rate
adaptation favors early afterdepolarizations and precipitates arrhythmia.
Nevertheless, it is uncertain as to whether the rate-dependent changes of
ventricular repolarization can be adversely modified by arrhythmogenic drugs
(quinidine and procainamide) and hypokalemia, in comparison to the agents with
safe therapeutic profile, such as lidocaine. DESIGN: The rate adaptation of QT
interval and monophasic APD obtained from the left ventricular (LV) and the right
ventricular (RV) epicardium was examined during rapid cardiac pacing applied in
isolated, perfused guinea-pig heart preparations. RESULTS: At baseline, an abrupt
increase in cardiac activation rate was associated with a substantial reduction
of the QT interval and ventricular APD in the first two cardiac cycles, which was
followed by a gradual shortening of repolarization over subsequent pacing
intervals. The time constants of the fast (taufast) and slow (tauslow) components
of the APD dynamics determined from a double exponential fit were longer in RV
compared to LV chamber. Quinidine, procainamide, and hypokalemia prolonged
ventricular repolarization and delayed the rate adaptation of the QT interval and
APD in LV and RV, as evidenced by increased taufast and tauslow values. In
contrast, lidocaine had no effect on the dynamic changes of ventricular
repolarization upon heart rate acceleration. CONCLUSIONS: The rate adaptation of
ventricular repolarization is delayed by arrhythmogenic interventions, such as
quinidine, procainamide, and hypokalemia, but not changed by lidocaine, a
clinically safe antiarrhythmic agent.
PMID- 29798686
TI - Effects of saturated palmitic acid and omega-3 polyunsaturated fatty acids on
Sertoli cell apoptosis.
AB - : Obesity is believed to negatively affect male semen quality and is accompanied
by dysregulation of free fatty acid (FFA) metabolism in plasma. However, the
implication of dysregulated FFA on semen quality and the involvement of Sertoli
cells remain unclear. In the present study, we report obesity decreased Sertoli
cell viability through dysregulated FFAs. We observed an increased rate of
apoptosis in Sertoli cells, accompanied with elevated FFA levels, in the testes
of obese mice that were provided a high-fat diet (HFD). Moreover, the levels of
reactive oxygen species were elevated. Furthermore, we demonstrated by in vitro
assays that saturated palmitic acid (PA), which is the most common saturated FFA
in plasma, led to decreased cell viability of TM4 Sertoli cells in a time- and
dose-dependent manner. A similar finding was noted in primary mouse Sertoli
cells. In contrast to saturated FFA, omega-3 (omega-3) polyunsaturated fatty
acids (PUFAs) protected Sertoli cells from PA-induced lipotoxicity at the
physiologically relevant levels. These results indicated that the lipotoxicity of
saturated fatty acids might be the cause of obesity-induced Sertoli cell
apoptosis, which leads to decreased semen quality. In addition, omega-3 PUFAs
could be classified as protective FFAs. ABBREVIATIONS: FFA: free fatty acid; HFD:
high-fat diet; SD: standard diet; PA: palmitic acid; PUFA: polyunsaturated fatty
acid; AI: apoptotic index; MTT: 3-(4,5-dimethyl-2-thiazolyl)-2,5-diphenyl-2-H
tetrazolium bromide; ROS: reactive oxygen species; HE: Hematoxylin and eosin;
WT1: Wilm Tumor 1; NAFLD: non- alcoholic fatty liver disease; DCFH-DA: 2', 7'
dichlorofluorescin diacetate; 36B4: acidic ribosomal phosphoprotein P0; SD:
standard deviation; EPA: eicosapentaenoic acid; PI: propidium iodide; DHA:
docosahexenoic acid.
PMID- 29798688
TI - Thirteen-year trend in the persistence with vitamin K antagonists for venous
thromboembolism in the UK: a cohort study.
AB - BACKGROUND: Venous thromboembolism (VTE) comprises deep vein thrombosis (DVT) and
pulmonary embolism (PE) and is associated with significant recurrence and
mortality risk. Standard VTE treatment includes at least 3 months
anticoagulation. The objective was to describe time trends in the duration of
oral anticoagulation in patients initially treated with vitamin K antagonists
(VKAs). METHODS: A retrospective cohort study was conducted on patients with
first VTE and VKA treatment initiation within 30 days, identified from the UK
Clinical Practice Research Datalink from 2001 to 2014. VKA users were followed
for the duration of oral anticoagulation which included switching to non-VKA oral
anticoagulants. The probability of remaining on anticoagulation treatment
(persistence) was estimated using Kaplan-Meier survival functions. RESULTS: A
total of 16,018 patients with VTE initiated VKA; 48.2% males, mean age 62.1
years, median VKA treatment duration 6.5 months. The 90-day persistence increased
from 75.6% in 2001 to 91.2% in 2013 (p < .0001) and the 180-day persistence from
39.3% in 2001 to 61.1% in 2013 (p < .0001). This time trend was also shown for
patients with DVT, PE, provoked VTE, unprovoked VTE, provoked DVT, unprovoked
DVT, provoked PE and unprovoked PE. There were no major differences in
persistence between patients with provoked and unprovoked VTE, but persistence
was lower following DVT than PE (p < .0001). CONCLUSIONS: The increase in
persistence was independent of the presentation of the first VTE (provoked or
unprovoked), but higher for first PE. Whether the increasing persistence resulted
in decreasing risk of recurrent VTE needs to be confirmed.
PMID- 29798687
TI - Thrombotic storm in a 4-year-old boy with a thrombus in the right atrium.
AB - Thrombotic storm (TS) is a rare disease, especially with thrombus in the heart of
pediatric patient. We present a case of a 4-year-old boy, who was diagnosed with
TS during his first hospitalization due to lower extremity deep venous
thrombosis, pulmonary embolism, and thrombosis of the inferior vena cava,
cerebral, left internal jugular, portal, renal, and iliac veins. He was
eventually prescribed with rivaroxaban to control thrombosis after 30 days of
successive use of low-molecular-weight heparin, unfractionated heparin, and
warfarin, which were demonstrating little effect on preventing thrombosis, and
the patient was intolerant to argatroban. While his lupus anticoagulant ratio was
slightly above the normal range and no other potential causes such as congenital
thrombophilia, severe infection, malignancy, and trauma were confirmed, we
suspected antiphospholipid antibody syndrome and prescribed glucocorticoid and
rituximab to control the disease. After 36 days of admission, ultrasonography
showed recanalization of the former thrombus. One month after discharge, a tumor
embolus resembling a mass emerged in his right atrium under effective
anticoagulant therapy. During his second admission, he underwent surgical
thrombectomy, and pathological examination confirmed the mass to be a platelet
rich thrombus rather than tumor embolus or infection. Considering the suspected
antiphospholipid antibody syndrome as the cause of the TS, we prescribed aspirin
combined with rivaroxaban to prevent thrombosis. In this case, surgery and
pathology shed light on the type of thrombus that emerged from the inferior vena
cava and traveled to the heart, which is the possible potential cause of TS. It
also changed our therapeutic strategy to antiplatelet therapy combined with
anticoagulant therapy to control the disease.
PMID- 29798690
TI - Exercise-based evaluations and interventions for pulmonary hypertension with
connective tissue disorders.
AB - INTRODUCTION: Exercise intolerance is a common and often significant limitation
in pulmonary arterial hypertension (PAH). This intolerance greatly affects the
quality of life and function of the individual with PAH, irrespective of its
etiology. In PAH associated with connective tissue disorders (PAH-CTD), exercise
intolerance is further amplified by the presence of coexisting musculoskeletal
manifestations of CTD. The evaluation of exercise capacity and prescription for
exercise training therefore becomes a challenge to the clinician. Areas covered:
This review highlights factors contributing to exercise intolerance in PAH-CTD,
evaluation methods of exercise capacity and an overview on exercise training and
a roadmap for future research. Expert commentary: Exercise intolerance is a
complex interplay of cardiovascular, pulmonary, and musculoskeletal systems. Data
from cardiopulmonary exercise tests have shown predictive abilities for both
diagnosis and prognosis. In its absence, the 6-min walk test can be used to
provide similar information thereby making the role of exercise testing an
invaluable evaluation method in PAH-CTD. Exercise training data in PAH-CTD are
still sparse, though, data from PAH studies suggest potential benefit. However,
more research is required in this area of testing and training for greater
understanding on exercise hemodynamic, phenotypes, and training benefits.
PMID- 29798689
TI - Future perspective for potential Helicobacter pylori eradication therapies.
AB - Helicobacter pylori infection of the human stomach causes chronic inflammation
and forms a major risk factor for the development of peptic ulcer disease and
gastric cancer. Current standard eradication therapies use an acid-suppressing
drug and two antibiotics, now frequently supplemented with bismuth. Declining
eradication efficiencies, off-target effects of lengthy broad-spectrum antibiotic
treatments and the desire of a more systematic eradication in asymptomatic H.
pylori carriers to suppress gastric cancer incidence spur a search for an
effective vaccine and alternative therapeutic options. Here, we review the
current progress in the field, with an emphasis on narrow-spectrum or
nonantibiotic therapeutics.
PMID- 29798691
TI - Trace amine-associated receptor 1: a multimodal therapeutic target for
neuropsychiatric diseases.
AB - INTRODUCTION: The trace amines, endogenous amines closely related to the biogenic
amine neurotransmitters, have been known to exert physiological and neurological
effects for decades. The recent identification of a trace amine-sensitive G
protein-coupled receptor, trace amine-associated receptor 1 (TAAR1), and
subsequent development of TAAR1-selective small-molecule ligands, has renewed
research into the therapeutic possibilities of trace amine signaling. Areas
covered: Recent efforts in elucidating the neuropharmacology of TAAR1,
particularly in neuropsychiatric and neurodegenerative disease, addiction, and
regulation of arousal state, will be discussed. Focused application of TAAR1
mutants, synthetic TAAR1 ligands, and endogenous biomolecules such as 3
iodothyronamine (T1AM) has yielded a basic functional portrait for TAAR1, despite
a complex biochemistry and pharmacology. The close functional relationship
between TAAR1 and dopaminergic signaling is likely to underlie many of its CNS
effects. However, TAAR1's influences on serotonin and glutamate neurotransmission
will also be highlighted. Expert opinion: TAAR1 holds great promise as a
therapeutic target for mental illness, addiction, and sleep disorders. A
combination of preclinical and translationally driven studies has solidified
TAAR1 as a key node in the regulation of dopaminergic signaling. Continued focus
on the mechanisms underlying TAAR1's regulation of serotonin and glutamate
signaling, as well as dopamine, will yield further disease-relevant insights.
PMID- 29798692
TI - Recent advances in electrospun for drug delivery purpose.
AB - Electrospun, an advanced technology, has been successfully employed for fibre
production and offers many merits in novel drug delivery systems (DDSs). In
recent years, electrospun has gained significant attention and attraction of the
scientists in soaring numbers. This technology is superior to other technologies
in fabricating the fibres which range from micrometers to manometers scale. The
selection of appropriate polymers, electrospun processes and electrospun
parameters play important roles in controlling the drug release while, treating
serious illness. Besides, electrospraying process has similar characteristics to
the electrospun and is presented briefly here. Further, in vivo and in vitro
evaluations of the electrospun nanofibers are comprehensively discussed. In
addition, the electrospun nanotechnology has been exploited to design drug
release systems, investigate drug's pharmacokinetics and further develop DDS. The
electrospun nanofibers improve bioactivity of various types of drugs including
water-insoluble, soluble, anticancer and antibacterial drugs and genetic
materials. In the end, the prospects and challenges in the process of designing
drug-loaded electrospun nanofibers are discussed in detail.
PMID- 29798693
TI - Views and experiences of care home staff on managing behaviours that challenge in
dementia: a national survey in England.
AB - AIM: To determine the views of care home (CH) staff in relation to experiencing
and managing behaviour that challenges (BtC) in dementia and their experiences of
training. METHOD: Cross-sectional survey using a self-report questionnaire,
distributed to staff employed in a 20% sample of all registered dementia
specialist CHs in England, either by postal or direct distribution. RESULTS:
Questionnaires were returned from 352 care staff (25%), representing 5% of all
dementia-specialist CHs, half were CH without nursing. Respondents estimated
caring for 14,585 residents, 9,361 with dementia and 5,258 with BtC. 30.2% of
residents with dementia were estimated as being prescribed a medicine to control
BtC. BtC reported as experienced by most respondents were: shouting (96.6%),
verbal aggression (96.3%) and physical aggression (95.7%), with physical
aggression viewed as most difficult to manage. Top behaviours experienced every
shift were: wandering (77.8%), perseveration (68.2%) and restlessness (68.2%).
Approaches such as assessing residents, knowing them and treating them as
individuals, identifying triggers, having time for them and using an appropriate
style of communication, were viewed as key to managing BtC, rather than guideline
specific interventions such as massage, aromatherapy and animal-assisted therapy.
Only 38% agreed/strongly agreed medicines were useful to control BtC, which was
related to the extent to which they were prescribed. Training was available, but
variable in quality with on-line training being least useful and on-the job
training most desirable. CONCLUSION: BtC are commonly and frequently experienced
by care staff, who consider individual approaches, having time and good
communication are key to successful management.
PMID- 29798695
TI - Susceptibility of Candida glabrata biofilms to echinocandins: alterations in the
matrix composition.
AB - Candidiases are the most recurrent fungal infections, especially among
immunosuppressed patients. Although Candida albicans is still the most widespread
isolated species, non-Candida albicans Candida species have been increasing. The
goal of this work was to determine the susceptibility of C. glabrata biofilms to
echinocandins and to evaluate their effect on the biofilm matrix composition,
comparing the results with other Candida species. Drug susceptibilities were
assessed through the determination of minimum inhibitory concentration (MIC),
minimum fungicidal concentration (MFC) and minimum biofilm eradication
concentration (MBEC) of caspofungin (Csf) and micafugin (Mcf). The beta-1,3
glucans content of the matrices was assessed after contact with the drugs. The
data suggest that, generally, after contact with echinocandins, the concentration
of beta-1,3 glucans increased. These adjustments in the matrix composition of C.
glabrata biofilms and the chemical differences between Csf and Mcf, seem
responsible and may determine the effectivity of the drug responses.
PMID- 29798696
TI - Epileptic seizure anticipation and localisation of epileptogenic region using EEG
signals.
AB - Electric activity of brain gets disturbed prior to epileptic seizure onset. Early
prediction of an upcoming seizure can help to increase effectiveness of
antiepileptic drugs. The scalp electroencephalogram signals contain information
about the dynamics of brain and have been used to predict an upcoming seizure and
localise its zone. The objective of this paper is to localise the epileptogenic
region and predict an upcoming seizure at the earliest. To localise epileptogenic
region, Electroencephalogram signals are categorised into four regions of brain
(Frontal, Temporal, Parietal and Central). For each signal seventy-two (72)
parameters in frequency domain have been extracted by using ten minute non
overlapping window. Four prominent ratio parameters, gamma1/gamma5,
gamma3/gamma1, theta/gamma2 and gamma4/theta have been identified as best
parameters based on relative fisher score. Zone 2 shows the highest change in all
the parameters as compared to the other zones. So, temporal region is identified
as the epileptogenic region in this work. For prediction of the epileptic seizure
machine learning algorithm artificial neural network (ANN) is proposed. The
proposed machine learning algorithm has an accuracy of 92.3%, sensitivity of 100%
and specificity of 83.3%.
PMID- 29798697
TI - Regioselective synthesis of gentisyl alcohol-type marine natural products.
AB - Gentisyl alcohol-type natural products, possessing various important biological
properties, have been synthesized from 4-methoxyphenol by using a selective
phenol monohydroxymethylation/monochlorination, a CAN oxidation and a sodium
dithionite reduction as the key steps. The natural product synthesis is
efficient, atom- and step-economical, and requires no protecting groups.
PMID- 29798698
TI - Secukinumab in moderate-to-severe plaque psoriasis: a multi-center,
retrospective, real-life study up to 52 weeks observation.
AB - OBJECTIVES: To evaluate efficacy and safety of the anti-IL-17 drug secukinumab in
a real-life large cohort of patients with moderate-to-severe plaque psoriasis in
Central Italy. METHODS: Multicenter, retrospective study with an observation
period of up to 52 weeks. Efficacy was assessed by Psoriasis Area and Severity
Index (PASI) score; clinical and laboratory examinations were performed at
baseline and at weeks 4, 12, 24, 36, and 52. RESULTS: A 90% and a 100% PASI score
reduction (PASI90 and PASI100) were reported in 67.5% and 55% of patients at week
12, respectively. A rapid improvement of skin lesions was observed particularly
in young patients and in patients naive to biologics: at week 4, the achievement
of PASI90 and PASI100 was higher in younger patients (odds ratio [OR] 0.95, and
0.95; p = 0.003, and 0.005, respectively); PASI90 was achieved by 42.0% of
patients naive to biologics and by 17.0% of patients with prior exposure to
biologics (PBT) (OR 0.24; p = 0.001); and PASI100 was reached by 25.5% of naive
patients and 9.8% of PBT (OR 0.28; p = 0.015).The drug was well tolerated.
CONCLUSION: Secukinumab was effective in this real-life analysis, with rapid
clinical improvement and long-term maintenance of results.
PMID- 29798699
TI - Effect of filtering with time domain features for the detection of epileptic
seizure from EEG signals.
AB - Pattern recognition plays an important role in the detection of epileptic seizure
from electroencephalogram (EEG) signals. In this pattern recognition study, the
effect of filtering with the time domain (TD) features in the detection of
epileptic signal has been studied using naive Bayes (NB) and supports vector
machines (SVM). It is the first time the authors attempted to use TD features
such as waveform length (WL), number of zero-crossings (ZC) and number of slope
sign changes (SSC) derived from the filtered and unfiltered EEG data, and
performance of these features is studied along with mean absolute value (MAV)
which has been already attempted by the researchers. The other TD features which
are attempted by the researchers such as standard deviation (SD) and average
power (AVP) along with MAV are studied. A comparison is made in effect of
filtering and without filtering for the University of Bonn database using NB and
SVM for the TD features attempted first time along with MAV. The effect of
individual and combined TD features is studied and the highest classification
accuracy obtained in using direct TD features would be 99.87%, whereas it is 100%
with filtered EEG data. The raw EEG data can be segmented and filtered using the
fourth-order Butterworth band-pass filter.
PMID- 29798700
TI - Long-term deterioration of interstitial lung disease in patients with rheumatoid
arthritis treated with abatacept.
AB - OBJECTIVE: To examine the deterioration of interstitial lung disease (ILD) in
patients with rheumatoid arthritis (RA) treated with abatacept over the long
term. METHODS: We examined 131 patients with RA who had been treated with
abatacept for more than 1 year. All patients underwent high-resolution computed
tomographic (HRCT) scanning of the chest before administration of abatacept, and
we examined deterioration of ILD over a follow-up period after administration of
abatacept was initiated. RESULTS: Eleven patients (8.4%) showed deterioration of
ILD over a mean follow-up period of 47.8 months. The factors related to ILD
deterioration were use of methotrexate (MTX) [odds ratio 12.75, 95% confidence
interval (CI) 1.09-148.77], and change in Krebs von-den Lungen-6 (odds ratio
1.00, 95% CI 1.00-1.01), according to multivariate logistic regression analysis.
CONCLUSION: MTX in patients with RA treated with abatacept was a risk factor for
deterioration of ILD. Discontinuation of MTX should be considered one of
treatment reduction to prevent the deterioration of ILD.
PMID- 29798702
TI - Iguratimod, a synthetic disease modifying anti-rheumatic drug inhibiting the
activation of NF-kappaB and production of RANKL: Its efficacy, radiographic
changes, safety and predictors over two years' treatment for Japanese rheumatoid
arthritis patients.
AB - OBJECTIVE: To elucidate the clinical and radiographic outcomes for rheumatoid
arthritis (RA) patients treated with a synthetic disease-modifying antirheumatic
drug, iguratimod (IGU). METHODS: Clinical outcomes for 213 RA patients treated
with 25 mg/day oral IGU or 50 mg/day after 4 weeks of 25 mg/day treatment for one
day to 104 weeks were assessed. RESULTS: A total of 142 active RA patients (DAS28
ESR >=3.2) treated for more than 12 weeks showed a significant reduction in both
DAS and simplified disease activity index (SDAI) scores at week 4 (p < .001) to
week 104. Good and moderate DAS responses were achieved in 54 (38%) and 66 (46%)
patients, respectively. Total Genant-modified Sharp scores (GSS) of 31 patients
at week 104 showed no progression (total GSS <=0.84: the smallest detectable
change) in 16 (52%) patients with a mean score reduction (95%CI) of -4.3 (-8.1~
0.5) (p < .05). Predictors were an early response, moderate disease activity at
baseline, and male gender. Eleven of the 213 patients had gastric and/or duodenal
ulcer. A peculiar haemorrhage was seen in two patients treated concomitantly with
IGU and warfarin potassium. CONCLUSION: IGU treatment shows an early and
sustained efficacy. Radiographically, no progression of GSS was evident in 16
(52%) patients at week 104. Gastric bleeding or gastric perforation warrants
careful attention, especially in patients with concomitant use of both a non
steroidal anti-inflammatory drug and oral prednisolone.
PMID- 29798704
TI - I would like to do some research. Do you have a project?
PMID- 29798706
TI - Effect of Lactobacillus strains on thymus and chemokine expression in
keratinocytes and development of atopic dermatitis-like symptoms.
AB - Lactobacillus strains, a major group of lactic acid bacteria, are representative
food microorganisms that have many potential beneficial effects via their
interactions with immune and intestinal epithelial cells. However, little is
known about the effect of Lactobacillus strains on atopic dermatitis via
keratinocytes, which comprise the physical barrier of the skin. In this study, we
report that Lactobacillus strains have a significant suppressive effect on tumour
necrosis factor (TNF)-alpha-induced expression and production of thymus and
activation-regulated chemokine (TARC), a T helper 2 cell chemokine responsible
for atopic dermatitis, in human keratinocytes. An RNA interference study showed
that the effect of Lactobacillus reuteri strain Japan Collection of
Microorganisms (JCM) 1112, the most suppressive strain, depended on the presence
of Toll-like receptor 2 and the induction of A20 (also known as TNF-alpha-induced
protein 3) and cylindromatosis in HaCaT cells. Topical application of a water
soluble extract of homogenised JCM 1112 cells significantly suppressed the
development of house dust mite-induced atopic skin lesions and TARC expression at
the lesion sites in NC/Nga mice. Our study provides new insights into the use of
Lactobacillus strains as suppressive agents against keratinocyte-involved atopic
inflammation of the skin.
PMID- 29798705
TI - Lactobacillus fermentum UCO-979C beneficially modulates the innate immune
response triggered by Helicobacter pylori infection in vitro.
AB - Helicobacter pylori infection is associated with important gastric pathologies.
An aggressive proinflammatory immune response is generated in the gastric tissue
infected with H. pylori, resulting in gastritis and a series of morphological
changes that increase the susceptibility to cancer development. Probiotics could
present an alternative solution to prevent or decrease H. pylori infection. Among
them, the use of immunomodulatory lactic acid bacteria represents a promising
option to reduce the severity of chronic inflammatory-mediated tissue damage and
to improve protective immunity against H. pylori. We previously isolated
Lactobacillus fermentum UCO-979C from human gastric tissue and demonstrated its
capacity to reduce adhesion of H. pylori to human gastric epithelial cells (AGS
cells). In this work, the ability of L. fermentum UCO-979C to modulate immune
response in AGS cells and PMA phorbol 12-myristate 13-acetate (PMA)
differentiated THP-1 (human monocytic leukaemia) macrophages in response to H.
pylori infection was evaluated. We demonstrated that the UCO-979C strain is able
to differentially modulate the cytokine response of gastric epithelial cells and
macrophages after H. pylori infection. Of note, L. fermentum UCO-979C was able to
significantly reduce the production of inflammatory cytokines and chemokines in
AGS and THP-1 cells as well as increase the levels of immunoregulatory cytokines,
indicating a remarkable anti-inflammatory effect. These findings strongly support
the probiotic potential of L. fermentum UCO-979C and provide evidence of its
beneficial effects against the inflammatory damage induced by H. pylori
infection. Although our findings should be proven in appropriate experiments in
vivo, in both H. pylori infection animal models and human trials, the results of
the present work provide a scientific rationale for the use of L. fermentum UCO
979C to prevent or reduce H. pylori-induced gastric inflammation in humans.
PMID- 29798707
TI - The ascent of the blessed: regulatory issues on health effects and health claims
for probiotics in Europe and the rest of the world.
AB - The outcome of the first series of health claim applications for probiotics in
Europe as evaluated by the European Food Safety Authority (EFSA) has, up to 2013
almost completely yielded negative results. All recent applications also have
been rejected, including the latest on prevention of mastitis in breastfeeding
mothers. In other developed countries, such as Switzerland, Japan and Canada, the
health effects of probiotics, for which scientific evidence has been provided,
can be communicated to potential consumers. The number of clinical trials with
probiotics over recent years shows a trend to level off or even decline. At the
same time, clinical research into the role of (gut) microbiota in a wide variety
of diseases and conditions is booming. Ultimately, this may offer new indications
for gut microbiota management by probiotics, prebiotics or other food
supplements.
PMID- 29798708
TI - Expanding the reach of probiotics through social enterprises.
AB - The rapid rise in microbiome and probiotic science has led to estimates of
product creation and sales exceeding $50 billion within five years. However, many
people do not have access to affordable products, and regulatory agencies have
stifled progress. The objective of a discussion group at the 2017 meeting of the
International Scientific Association for Probiotics and Prebiotics was to
identify mechanisms to confer the benefits of probiotics to a larger portion of
the world's population. Three initiatives, built around fermented food, were
discussed with different methods of targeting populations that face enormous
challenges of malnutrition, infectious disease, poverty and violent conflict. As
new candidate probiotic strains emerge, and the market diversifies towards more
personalised interventions, manufacturing processes will need to evolve.
Information dissemination through scientific channels and social media is
projected to provide consumers and healthcare providers with rapid access to
clinical results, and to identify the nearest location of sites making new and
affordable probiotic food and supplements. This rapid translation of science to
individual well-being will not only expand the beneficiaries of probiotics, but
also fuel new social enterprises and economic business models.
PMID- 29798709
TI - Values and value in simulated participant methodology: A global perspective on
contemporary practices.
AB - This article has been written for the 40th year of the publication of Medical
Teacher. While we celebrate the contribution of simulated participants (SPs) to
health professions education through values and value-based learning, we also
offer critical reflection on elements of our practice, commencing with language.
We argue for the use of the term simulated rather than standardized and
acknowledge the dominant role of the SP as patient and the origins of the
methodology. These shifts in terms and their implications in practice reflect
changes in the conceptualization of SP-based methodology. Recently published
standards for those who work with SPs (SP practitioners) are noted as an
important milestone in our community's development. We consider contemporary
practices addressing the complex notions of values and value in SP-based
learning. We simultaneously refer to the work of SPs and SP practitioners. Phases
of educational design including identifying learning objectives, scenario design,
implementation, feedback and debriefing are used to illustrate methodological
shifts. Within each of these phases, there are relational issues that have to
date often gone unchecked and are under reported in literature. Finally, using
the metaphor of a murmuration, we celebrate contemporary practices of the global
SP practitioner community.
PMID- 29798710
TI - Underreporting, crash severity and fault assignment of minor crashes in China - a
study based on self-reported surveys.
AB - Unreported minor crashes have importance as a surrogate for more serious crashes
that require infrastructure, education, and enforcement strategies; and they
still inflict damages. To study factors that influence underreporting, cause, and
severity of minor crashes; a survey was performed in Kunming and Beijing to
collect self-reported personal characteristics and crash history data of the
three major urban road users in China: automobile drivers, bicycle riders and
electric bike (e-bike) riders. Underreporting rates of automobile to automobile,
automobile to non-motorized vehicle, and non-motorized vehicle to non-motorized
vehicle crashes are 56%, 77% and 94%, respectively. Minor crashes with higher
reported injury severity levels are more likely to be reported. E-bike riders
without a driver's license are more likely to cause crashes. Licensing and
education could be an effective way to reduce their crashes. The party that is
not at fault in a crash is more likely to sustain high level of injury.
PMID- 29798712
TI - 43rd Annual Southern Pediatric Neurology Society Meeting, New Orleans, Louisiana.
PMID- 29798711
TI - Individualized antithrombotic therapy in high-risk cardiovascular patients.
AB - Recent guidelines suggest dual antiplatelet therapy (DAPT) after 'drug-eluting'
stent (DES) implantation for 6 months in stable patients and for 12 months in
patients after acute coronary syndrome. Serious complications after stent
implantation include stent thrombosis, recurrent myocardial infarction, ischemic
stroke, cardiovascular death and bleeding. These complications also occur beyond
1 year after coronary intervention. Thus, it is important to consider whether a
prolonged DAPT (>12 months after percutaneous coronary intervention) is of
benefit to lower thrombo-ischemic events in high-risk patients. This review
addresses the results of recent randomized clinical studies (DAPT, ITALIC,
OPTIDUAL and PEGASUS) and meta-analyses to support the author's view of which
patient collectives might benefit from prolonged DAPT.
PMID- 29798713
TI - Collaborative use of virtual patients after a lecture enhances learning with
minimal investment of cognitive load.
AB - BACKGROUND: The use of virtual patients (VPs), due to their high complexity
and/or inappropriate sequencing with other instructional methods, might cause a
high cognitive load, which hampers learning. AIM: To investigate the efficiency
of instructional methods that involved three different applications of VPs
combined with lectures. METHOD: From two consecutive batches, 171 out of 183
students have participated in lecture and VPs sessions. One group received a
lecture session followed by a collaborative VPs learning activity (collaborative
deductive). The other two groups received a lecture session and an independent VP
learning activity, which either followed the lecture session (independent
deductive) or preceded it (independent inductive). All groups were administrated
written knowledge acquisition and retention tests as well as transfer tests using
two new VPs. All participants completed a cognitive load questionnaire, which
measured intrinsic, extraneous and germane load. Mixed effect analysis of
cognitive load and efficiency using the R statistical program was performed.
RESULTS: The highest intrinsic and extraneous load was found in the independent
inductive group, while the lowest intrinsic and extraneous load was seen in the
collaborative deductive group. Furthermore, comparisons showed a significantly
higher efficiency, that is, higher performance in combination with lower
cognitive load, for the collaborative deductive group than for the other two
groups. CONCLUSION: Collaborative use of VPs after a lecture is the most
efficient instructional method, of those tested, as it leads to better learning
and transfer combined with lower cognitive load, when compared with independent
use of VPs, either before or after the lecture.
PMID- 29798714
TI - Is prenatal sex selection associated with lower female child mortality?
AB - I examine whether prenatal sex selection has substituted postnatal excess female
mortality by analysing the dynamics of child sex ratios between 1980 and 2015
using country-level life table data. I decompose changes in child sex ratios into
a 'fertility' component attributable to prenatal sex selection and a 'mortality'
component attributable to sex differentials in postnatal survival. Although
reductions in numbers of excess female deaths have accompanied increases in
missing female births in all countries experiencing the emergence of prenatal sex
selection, relative excess female mortality has persisted in some countries but
not others. In South Korea, Armenia, and Azerbaijan, mortality reductions
favouring girls accompanied increases in prenatal sex selection. In India, excess
female mortality was much higher and largely stable as prenatal sex selection
emerged, but slight reductions were seen in the 2000s. In China, although
absolute measures showed reductions, relative excess female mortality persisted
as prenatal sex selection increased.
PMID- 29798715
TI - Personalized medicine in brain metastases: a plea for more translational studies.
PMID- 29798717
TI - Thank you reviewer list.
PMID- 29798718
TI - HMG CoA reductase inhibitors (statins) for preventing acute kidney injury after
surgical procedures requiring cardiac bypass.
PMID- 29798716
TI - Genetic polymorphism in RANK is associated with mandibular size.
AB - OBJECTIVE: The aim of this study was to evaluate the association between genetic
polymorphisms in RANK, RANKL and OPG with maxillary and mandibular dimensions in
humans. DESIGN: DNA extracted from saliva and the rs3826620, rs9594738 and
rs2073618 polymorphisms in RANK, RANKL and OPG, respectively, were analysed by
real-time PCR. Four linear measurements (Co-Gn, GoPg, Co-Go and PTM-A) from
lateral cephalograms were examined for the evaluation of craniofacial
measurements. ANOVA testing and a multivariate linear regression analysis,
adjusted for age and gender, were used for statistical analysis, with an alpha of
5%. Hardy-Weinberg equilibrium was also evaluated using the chi-square test
within each polymorphism. SETTING: School of Dentistry of Ribeirao Preto,
University of Sao Paulo. PARTICIPANTS: A total of 100 unrelated non-syndromic
Brazilian Caucasian subjects were included in this study. RESULTS: The
polymorphism in RANK was associated with a higher Go-Pg measurement (p = .039).
In the multivariate analysis, adjusted for age and gender, the polymorphism in
RANK was associated with Go-Pg (p = .017) and Co-Gn (p = .043). CONCLUSION: The
polymorphism rs3826620 in RANK is associated with the mandibular size.
PMID- 29798719
TI - Cutting for the stone (transperineal lithotomy).
PMID- 29798720
TI - Cut sutures? Too long or too short!
PMID- 29798721
TI - Letter to the Editor.
PMID- 29798722
TI - Resuscitation issues in hospital.
PMID- 29798724
TI - News Update.
PMID- 29798723
TI - Grasp the nettle.
PMID- 29798726
TI - Altered folate binding protein expression and folate delivery are associated with
congenital hydrocephalus in the hydrocephalic Texas rat.
AB - Hydrocephalus (HC) is an imbalance in cerebrospinal fluid (CSF)
secretion/absorption resulting in fluid accumulation within the brain with
consequential pathophysiology. Our research has identified a unique cerebral
folate system in which depletion of CSF 10-formyl-tetrahydrofolate-dehydrogenase
(FDH) is associated with cortical progenitor cell-cycle arrest in hydrocephalic
Texas (H-Tx) rats. We used tissue culture, immunohistochemistry, in-situ PCR and
RT-PCR and found that the in-vitro proliferation of arachnoid cells is highly
folate-dependent with exacerbated proliferation occurring in hydrocephalic CSF
that has low FDH but high folate-receptor-alpha (FRalpha) and folate. Adding FDH
to this CSF prevented aberrant proliferation indicating a regulatory function of
FDH on CSF folate concentration. Arachnoid cells have no detectable mRNA for
FRalpha or FDH, but FDH mRNA is found in the choroid plexus (CP) and CSF
microvesicles. Co-localization of FDH, FRalpha and folate suggests important
functions of FDH in cerebral folate transport, buffering and function. In
conclusion, abnormal CSF levels of FDH, FRalpha and folate inhibit cortical cell
proliferation but allow uncontrolled arachnoid cell division that should increase
fluid absorption by increasing the arachnoid although this fails in the
hydrocephalic brain. FDH appears to buffer available folate to control arachnoid
proliferation and function.
PMID- 29798727
TI - Consider Advance Care Planning for Transplant Candidates.
PMID- 29798728
TI - High Expression of FOXP3 mRNA in Blood and Urine as a Predictive Marker in Kidney
Transplantation.
AB - BACKGROUND: Diagnosis of allograft dysfunction by noninvasive biomarker tests is
preferable to invasive allograft biopsies and has been extensively considered in
recent years. This study aims to evaluate blood and urinary forkhead box P3
(FOXP3) messenger RNA (mRNA) expression in renal transplant recipients in an
attempt to determine whether differential diagnosis of graft dysfunction is
feasible using mRNA profiles. METHODS: We analyzed FOXP3 mRNA expression in
paired urinary and peripheral blood mononuclear cell (PBMC) samples. A total of
91 kidney transplant recipients enrolled in this study that were classified into
3 groups: biopsy-proven acute rejection (AR; n = 27), chronic allograft
nephropathy (n = 19), and well-functioning graft (n = 45). The FOXP3 mRNA
expression was quantified by TaqMan probe real-time polymerase chain reaction.
RESULTS: Acute rejection patients had a higher expression level of transcription
factor FOXP3 compared to the chronic nephropathy and control groups. Analysis of
receiver operating characteristic curves showed that rejection could be diagnosed
with 100% sensitivity and 96% specificity in urine, and 92% sensitivity and 86%
specificity in PBMC samples using the optimal FOXP3 mRNA cutoff value. We
subdivided the AR group into progressive and nonprogressive patients, which
showed a significant difference in FOXP3 mRNA expression. This result confirmed
the role of FOXP3 as a diagnostic marker in predicting transplantation outcomes.
CONCLUSION: Our results suggested that elevated expression of FOXP3 in blood and
urine samples from kidney transplant recipients could be a useful noninvasive
biomarker to diagnose graft dysfunction.
PMID- 29798729
TI - Improvement of the developmental competence of canine oocyte using caffeine
supplementation during IVM at different maturation time.
AB - SummaryThe aim of the current study was to investigate the effect of caffeine
supplementation during in vitro maturation (IVM) for different maturation times
on the developmental potential of canine oocytes recovered from
ovariohysterectomized bitches. The recovered cumulus-oocytes complexes were in
vitro matured for 72 h. Here, 10 mM caffeine was added to the maturation medium
for different incubation times (caffeine from 0-72 h maturation, caffeine for the
first 24 h of maturation only, caffeine addition from 24 to 48 h maturation time,
caffeine addition from 48 to 72 h maturation or in caffeine-free medium, control
group). The matured oocytes were in vitro fertilized using frozen-thawed
spermatozoa. The presumptive zygotes were in vitro cultured in synthetic
oviductal fluid medium for 5 days. The results showed that both maturation and
fertilization rates were significantly higher (P ? 0.05) using caffeine-treated
medium for the first 24 h of maturation compared with the control and other two
groups of caffeine treatment (from 24 to 48 h and from 48 to 72 h), whereas use
of caffeine-treated medium for a 0-72 h incubation time did not affect these
rates (P > 0.05). Interestingly, the matured oocytes in caffeine-supplemented
medium for the first 24 h or from 0-72 h showed a significant (P ? 0.05) increase
in the total number of cleaved embryos compared with the control group. In
conclusion, supplementation of the maturation medium with 10 mM caffeine for the
first 24 h of maturation or during the whole maturation time (0-72 h) improved
nuclear maturation and subsequent embryo development preimplantation following in
vitro fertilization.
PMID- 29798731
TI - Dietary arginine affects growth, gut morphology, oxidation resistance and
immunity of hybrid grouper (Epinephelus fuscoguttatus?*Epinephelus lanceolatus?)
juveniles.
AB - An 8-week growth trial was conducted to evaluate the effects of dietary arginine
(Arg) levels on growth, gut morphology, oxidation resistance and immunity of
hybrid grouper (Epinephelus fuscoguttatus?*Epinephelus lanceolatus?) juveniles.
Seven isoenergetic (1465 kJ (350 kcal)/100-g DM), isoproteic (53.5 % of DM) and
isolipidic (7 % of DM) experimental diets were formulated to contain graded Arg
levels ranging from 1.9 to 4.7 % (dry weight) at approximately 0.5 % increments.
Each diet was randomly assigned to triplicate groups of 16 juvenile fish (average
initial body weight: 11.7 (sd 0.1) g) and was administered twice daily (08.00 and
16.00 hours). After the growth trial, all remaining fish were fed their
prescribed diets for 2 d and then exposed to 4.5 mg Cu2+/l water for 36 h.
Results showed that growth performance and feed utilisation of experimental fish
were significantly affected by different dietary Arg levels. Weight gain % (WG%)
of fish was increased as dietary Arg increased, reaching a peak value at 3.8 %
dietary Arg level, and when dietary Arg level increased to 4.7 % WG% was reduced.
Fish fed 1.9 and 2.2 % dietary Arg levels had higher daily feed intake compared
with fish fed other dietary Arg levels. Feed conversion ratios in fish fed 1.9,
2.2, 2.7 and 4.7 % dietary Arg levels were higher than those in fish fed 3.1, 3.8
and 4.1 % dietary Arg levels. Protein efficiency ratio and protein productive
value (PPV) increased with an increase in dietary Arg, up to a peak value at 3.8
% dietary Arg level, above which these parameters declined. On the basis of
quadratic regression analysis of weight gain % (WG%) or PPV against dietary Arg
levels, the optimal dietary Arg requirement for hybrid grouper was estimated to
be 3.65 %. Fish fed 3.8 % dietary Arg had higher whole-body and muscle protein
contents compared with fish fed other dietary Arg levels. Fish fed 3.8 and 4.1 %
dietary Arg levels had higher levels of mRNA for insulin-like growth factor-I and
target of rapamycin in the liver compared with fish fed other dietary Arg levels.
Hepatic S6 kinase 1 mRNA expression in fish fed 3.8 % dietary Arg level was
higher than that in fish fed any of the other dietary Arg levels. Gut morphology,
hepatic antioxidant indices and immune indices in serum and head kidney were
significantly influenced by dietary Arg levels. In conclusion, the optimal
dietary Arg requirement for hybrid grouper was estimated to be 3.65 %, and
suitable dietary Arg supplementations improved gut morphology and oxidation
resistance of hybrid grouper.
PMID- 29798730
TI - Telephone-delivered psychosocial interventions targeting key health priorities in
adults with a psychotic disorder: systematic review.
AB - BACKGROUND: The mental and physical health of individuals with a psychotic
illness are typically poor. Access to psychosocial interventions is important but
currently limited. Telephone-delivered interventions may assist. In the current
systematic review, we aim to summarise and critically analyse evidence for
telephone-delivered psychosocial interventions targeting key health priorities in
adults with a psychotic disorder, including (i) relapse, (ii) adherence to
psychiatric medication and/or (iii) modifiable cardiovascular disease risk
behaviours. METHODS: Ten peer-reviewed and four grey literature databases were
searched for English-language studies examining psychosocial telephone-delivered
interventions targeting relapse, medication adherence and/or health behaviours in
adults with a psychotic disorder. Study heterogeneity precluded meta-analyses.
RESULTS: Twenty trials [13 randomised controlled trials (RCTs)] were included,
involving 2473 participants (relapse prevention = 867; medication adherence =
1273; and health behaviour = 333). Five of eight RCTs targeting relapse
prevention and one of three targeting medication adherence reported at least 50%
of outcomes in favour of the telephone-delivered intervention. The two health
behaviour RCTs found comparable levels of improvement across treatment
conditions. CONCLUSIONS: Although most interventions combined telephone and face
to-face delivery, there was evidence to support the benefit of entirely telephone
delivered interventions. Telephone interventions represent a potentially feasible
and effective option for improving key health priorities among people with
psychotic disorders. Further methodologically rigorous evaluations are warranted.
PMID- 29798732
TI - Transition from blastomere to trophectoderm biopsy: comparing two preimplantation
genetic testing for aneuploidies strategies.
AB - SummaryShortly after the implementation of comprehensive chromosome screening
(CCS) techniques for preimplantation genetic testing for aneuploidies (PGT-A),
the discussion about the transition from day 3 to blastocyst stage biopsy was
initiated. Trophectoderm biopsy with CCS is meant to overcome the limitations of
cleavage-stage biopsy and single-cell analysis. The aim of this study was to
assess the results obtained in our PGT-A programme after the implementation of
this new strategy. Comparisons between the results obtained in 179 PGT-A cycles
with day 3 biopsy (D+3) and fresh embryo transfer, and 204 cycles with
trophectoderm biopsy and deferred (frozen-thawed) embryo transfer were
established. Fewer embryos were biopsied and a higher euploidy rate was observed
in the trophectoderm biopsy group. No differences in implantation (50.3% vs.
61.4%) and clinical pregnancy rate per transfer (56.1% vs. 65.3%) were found.
Although the mean number of euploid embryos per cycle did not differ between
groups (1.5 +/- 1.7 vs. 1.7 +/- 1.8), the final number of euploid blastocysts
available for transfer per cycle was significantly higher in the trophectoderm
biopsy group (1.1 +/- 1.3 vs. 1.7 +/- 1.8). This factor led to an increased
cumulative live birth rate in this last group (34.1% vs. 44.6%). Although both
strategies can offer good results, trophectoderm biopsy offers a more robust
diagnosis and the intervention is less harmful for the embryos so more euploid
blastocysts are finally available for transfer and/or vitrification.
PMID- 29798733
TI - The effects of gender, age, schooling, and cultural background on the
identification of facial emotions: a transcultural study.
AB - : ABSTRACTBackground:Social cognition tasks, such as identification of emotions,
can contribute to the diagnosis of neuropsychiatric disorders. The wide use of
Facial Emotion Recognition Test (FERT) is hampered by the absence of normative
dataset and by the limited understanding of how demographic factors such as age,
education, gender, and cultural background may influence the performance on the
test. METHODS: We analyzed the influence of these variables in the performance in
the FERT from the short version of the Social and Emotional Assessment. This task
is composed by 35 pictures with 7 different emotions presented 5 times each.
Cognitively healthy Brazilian participants (n = 203; 109 females and 94 males)
underwent the FERT. We compared the performance of participants across gender,
age, and educational subgroups. We also compared the performance of Brazilians
with a group of French subjects (n = 60) matched for gender, age, and educational
level. RESULTS: There was no gender difference regarding the performance on total
score and in each emotion subscore in the Brazilian sample. We found a
significant effect of aging and schooling on the performance on the FERT, with
younger and more educated subjects having higher scores. Brazilian and French
participants did not differ in the FERT and its subscores. Normative data for
employing the FERT in Brazilian population is presented. CONCLUSIONS: Data here
provided may contribute to the interpretation of the results of FERT in different
cultural contexts and highlight the common bias that should be corrected in the
future tasks to be developed.
PMID- 29798734
TI - Metabolic side effects of atypical antipsychotics in older adults.
AB - : ABSTRACTBackground:The atypical antipsychotics (AAPs) are associated with a
recognized class effect of glucose and lipid dysregulation. The use of these
medications is rapidly increasing in elderly patients with, and without,
dementia. However, the metabolic risks specific to elderly remain poorly studied.
METHODS: Design: A case-control study. SETTING: Psychogeriatric service in
Auckland, New Zealand. PARTICIPANTS: Elderly patients either receiving AAP
treatment (cases) or not (controls) between 1 Jan 2008 and 1 Jan 2014. MAIN
OUTCOME MEASURES: metabolic data of glucose, HbA1c, lipids, and cardiovascular
events and death. The data were analyzed using t-tests and linear regression
models for each metabolic outcome. RESULTS: There were 330 eligible cases and 301
controls from a total study population of 5,307. There was a statistically
significant change in the HbA1c over time, within the cases group of -1.14
mmol/mol (p = 0.018, 95% CI -0.19 to -2.09). Also statistically significant was
the reduction in total cholesterol of -0.13 mmol/L (p = 0.036, 95% CI -0.008 to
0.245). The only significant difference found between cases and controls was in
the change in cholesterol ratio of 0.16 mmol/L between groups (95%CI 0.01-0.31, p
= 0.036). CONCLUSIONS: AAP use was not associated with any clinically significant
change in metabolic outcomes in this study population.
PMID- 29798735
TI - The unmet support needs of carers of older Australians: prevalence and mental
health.
AB - : ABSTRACTBackground:Population aging places greater demands on the supply of
informal carers. The aims of this study were to examine (1) the types of unmet
support needs of carers of older Australians and (2) the association of unmet
needs with mental health. METHODS: Utilizing new data from the 2015 Australian
Bureau of Statistics (ABS) Survey of Disability, Ageing and Carers, we calculated
the prevalence of carers experiencing specific and multiple unmet needs for
support, using single and multiple item measures. Logistic regression models were
fitted to examine the association between unmet needs and psychological distress
(using the Kessler psychological distress scale), once demographic and health
factors were controlled for. RESULTS: In 2015, 35% of carers of older Australians
cited at least one unmet need for support. Among this group, almost two-thirds
cited multiple unmet support needs (64.7%). The most prevalent types of unmet
needs included financial (18%), physical (13%), and emotional support (12%), as
well as additional respite care and support to improve carer health (12%). After
controlling for demographic and health characteristics of the carer, having any
unmet need for support increased the odds of psychological distress by twofold
(OR = 2.20, 95% CI = 1.65, 2.94). With each successive unmet need for support,
the odds of psychological distress increased 1.37 times (OR = 1.36, 95% CI =
1.22, 1.54). Those who had received assistance with care, but required further
support were 1.95 times more likely (OR = 1.95, 95% CI = 1.17, 3.24) to be in
distress and those who had not received care assistance were about 2.4 times more
likely (OR = 2.38 95% OR = 1.56, 3.62) to be in distress relative to those with
no unmet need. CONCLUSIONS: Addressing unmet support needs of carers is
important, not only for the planning of services for carers in an aging
population, but also because of the association between unmet support needs and
carers mental health.
PMID- 29798736
TI - Strategies employed by older people to manage loneliness: systematic review of
qualitative studies and model development.
AB - : ABSTRACTObjectives:To (i) systematically identify and review strategies
employed by community dwelling lonely older people to manage their loneliness and
(ii) develop a model for managing loneliness. METHODS: A narrative synthesis
review of English-language qualitative evidence, following Economic and Social
Research Council guidance. Seven electronic databases were searched (1990-January
2017). The narrative synthesis included tabulation, thematic analysis, and
conceptual model development. All co-authors assessed eligibility of final papers
and reached a consensus on analytic themes. RESULTS: From 3,043 records, 11
studies were eligible including a total of 502 older people. Strategies employed
to manage loneliness can be described by a model with two overarching dimensions,
one related to the context of coping (alone or with/in reference to others), the
other related to strategy type (prevention/action or acceptance/endurance of
loneliness). The dynamic and subjective nature of loneliness is reflected in the
variety of coping mechanisms, drawing on individual coping styles and
highlighting considerable efforts in managing time, contacting others, and
keeping loneliness hidden. Cognitive strategies were used to re-frame negative
feelings, to make them more manageable or to shift the focus from the present or
themselves. Few unsuccessful strategies were described. CONCLUSION: Strategies to
manage loneliness vary from prevention/action through to acceptance and
endurance. There are distinct preferences to cope alone or involve others; only
those in the latter category are likely to engage with services and social
activities. Older people who deal with their loneliness privately may find it
difficult to articulate an inability to cope.
PMID- 29798737
TI - A new music therapy engagement scale for persons with dementia.
AB - : ABSTRACTObjectives:To develop and validate a new scale to assess music therapy
engagement in persons with dementia (PWDs). DESIGN: A draft scale was derived
from literature review and >2 years of qualitative recording of PWDs during music
therapy. Content validity was attained through iterative consultations, trial
sessions, and revisions. The final five-item Music Therapy Engagement scale for
Dementia (MTED) assessed music and non-music related elements. Internal
consistency and inter-rater reliability were assessed over 120 music therapy
sessions. MTED was validated with the Greater Cincinnati Chapter Well-being
Observation Tool, Holden Communication Scale, and Participant Engagement
Observation Checklist - Music Sessions. SETTING AND PARTICIPANTS: A total of 62
PWDs (83.2 +/- 7.7 years, modified version of the mini-mental state examination =
13.2/30 +/- 4.1) in an acute hospital dementia unit were involved. RESULTS: The
mean MTED score was 13.02/30 +/- 4.27; internal consistency (Cronbach's alpha =
0.87) and inter-rater reliability (intra-class correlation = 0.96) were good.
Principal component analysis revealed a one-factor structure with Eigen value > 1
(3.27), which explained 65.4% of the variance. MTED demonstrated good construct
validity. The MTED total score correlated strongly with the combined items
comprising Pleasure, Interest, Sadness, and Sustained attention of the Greater
Cincinnati Chapter Well-being Observation Tool (rs = 0.88, p < 0.001).
CONCLUSIONS: MTED is a clinically appropriate and psychometrically valid scale to
evaluate music therapy engagement in PWDs.
PMID- 29798738
TI - Mild cognitive impairment and dementia in older patients attending a general
hospital in south India: DSM-5 standards and correlates.
AB - : ABSTRACTBackground and Aims:The changes in DSM-5 diagnostic criteria for
dementia (Major neurocognitive disorder (NCD)) and mild cognitive impairment
(mild NCD) mandate a re-evaluation of screening instruments. This study attempted
to validate screening instruments, identify optimum threshold, and describe their
indices of efficacy. METHOD: Consecutive people above the age of 65 years
attending the outpatient department of a general hospital were recruited. They
were assessed using the Mini-Mental State Examination and the Vellore Screening
Instruments for Dementia and were evaluated against the DSM-5 standard. Bivariate
and multivariate statistics were obtained. Receiver-operating-characteristic
curves were drawn, optimum thresholds obtained, sensitivity, specificity, and
predictive values calculated. RESULTS: One hundred and thirty four older people
were recruited. The majority were women, married, with low levels of education,
not employed, living with family, and had medical co-morbidity. A minority
satisfied DSM-5 criteria for major (1.5%) and mild NCD (36.5%). The factors
associated with NCD were older age, fewer years of education, and lower socio
economic status. MMSE, VSID patient, and VSID informant scores were significantly
associated with NCD. The indices of efficacy for the MMSE and VSID patient
version were modest for identifying Mild NCD. However, their performance in
identifying major NCD was better. Nevertheless, optimal thresholds for
recognition differed markedly from their originally recommended cut-offs.
CONCLUSIONS: The DSM-5 standards, with new and different cognitive domains,
mandate a revaluation and recalibration of existing screening instruments.
Ideally, new screening instruments, which match the cognitive domains and DSM-5
standard should be developed.
PMID- 29798739
TI - The Fountain of Health: effective health promotion knowledge transfer in
individual primary care and group community-based formats.
AB - : ABSTRACTBackground:The Fountain of Health (FoH) initiative is a knowledge
transfer (KT) project on the science of brain health and resilience promotion, in
alignment with positive psychiatry. OBJECTIVES: Assess the effectiveness of FoH
KT delivered in individual and group-based formats. DESIGN: Pre- and post
intervention quality assurance survey of FoH KT. SETTING: Interventions occurred
in Nova Scotia, Canada. PARTICIPANTS: Adults over age 50 years without pre
existing dementia were targeted. A total of 92 participants received FoH KT in
individualized (n = 41) and group-based (n = 51) formats. INTERVENTION: FoH KT
(e.g. sharing evidence, lifestyle coaching, and goal setting) using a range of KT
supports (e.g. FoH website, paper materials) was delivered to (1) individual
patients by primary care clinicians and (2) community-based groups by lay
leaders. MEASUREMENTS: The main outcome measure was participant pre- and post
quality assurance self-reports. RESULTS: Improvements were found in participant
awareness of FoH, knowledge of evidence-based mental health promotion
initiatives, and in application of this information in daily life in both
individual and group-based settings. Improvements in participant knowledge about
epigenetic factors that impact health and confidence with health behavior goal
setting were reported in both contexts. Changes in self-perceptions of aging
scores reached significance in the group intervention. CONCLUSIONS: FoH KT
produced short-term positive self-reported changes in participants in both
individual and group formats. Larger control studies with long-term follow up are
needed to better assess effects of both individual and group formats of FoH KT
and longer term impacts on health behaviors and outcomes.
PMID- 29798740
TI - Successful aging in Spanish older adults: the role of psychosocial resources.
AB - : ABSTRACTBackground:Psychological and social resources such as extraversion,
optimism, social support, or social networks contribute to adaptation and to
successful aging. Building on assumptions derived from successful aging and from
the developmental adaptation models, this study aims to analyze the joint impact
of different psychosocial resources, such as personality, social relations,
health, and socio-demographic characteristics on life satisfaction in a group of
people aged 65 years-old and older from Spain. METHOD: A cross-sectional survey
using non-proportional quota sampling was carried out. The sample comprised 406
community-dwelling older adults (M = 74.88, SD = 6.75). In order to collect the
data, face-to-face interviews were individually conducted. A structural equation
model (SEM) was carried out using the PLS software. RESULTS: The results of the
SEM model showed that, within this sample, psychosocial variables explain 47.4%
of the variance in life satisfaction. Social relations and personality,
specifically optimism, were strongly related with life satisfaction, while health
status and socio-demographic characteristics were modestly associated with life
satisfaction. CONCLUSIONS: Findings support the view that psychosocial resources
are important for successful aging and therefore should be included in successful
aging models. Furthermore, interventions aimed at fostering successful aging
should take into account the role of psychosocial variables.
PMID- 29798741
TI - How couples with dementia experience healthcare, lifestyle, and everyday decision
making.
AB - : ABSTRACTObjectives:Recent research has demonstrated the challenges to self
identity associated with dementia, and the importance of maintaining involvement
in decision-making while adjusting to changes in role and lifestyle. This study
aimed to understand the lived experiences of couples living with dementia, with
respect to healthcare, lifestyle, and "everyday" decision-making. DESIGN: Semi
structured qualitative interviews using Interpretative Phenomenological Analysis
as the methodological approach. SETTING: Community and residential care settings
in Australia. PARTICIPANTS: Twenty eight participants who self-identified as
being in a close and continuing relationship (N = 13 people with dementia, N = 15
spouse partners). Nine couples were interviewed together. RESULTS: Participants
described a spectrum of decision-making approaches (independent, joint,
supported, and substituted), with these approaches often intertwining in everyday
life. Couples' approaches to decision-making were influenced by "decisional,"
"individual," "relational," and "external" factors. The overarching themes of
"knowing and being known," "maintaining and re-defining couplehood" and
"relational decision-making," are used to interpret these experiences. The
spousal relationship provided an important context for decision-making, with
couples expressing a history and ongoing preference for joint decision-making, as
an integral part of their experience of couplehood. However, the progressive
impairments associated with dementia presented challenges to maintaining joint
decision-making and mutuality in the relationship. CONCLUSIONS: This study
illustrates relational perspectives on decision-making in couples with dementia.
Post-diagnostic support, education resources, proactive dyadic interventions, and
assistance for spouse care partners may facilitate more productive attempts at
joint decision-making by couples living with dementia.
PMID- 29798742
TI - Sensory loss and suicide ideation in older adults: findings from the Three-City
cohort study.
AB - : ABSTRACTObjectives:To examine the longitudinal risk of vision loss (VL) or
hearing loss (HL) for experiencing suicidal ideation in older adults. DESIGN: The
Three-City study, examining data from three waves of follow-up (2006-2008, 2008
2010, and 2010-2012). SETTING: Community-dwelling older French adults.
PARTICIPANTS: N = 5,438 adults aged 73 years and over. MEASUREMENTS: Suicidality
was assessed by the Mini-International Neuropsychiatric Interview, Major
Depressive Disorder module. Mild VL was defined as Parinaud of 3 or 4 and severe
VL as Parinaud >4. Mild HL was self-reported as difficulty understanding a
conversation and severe HL as inability to understand a conversation. RESULTS:
Severe VL was associated with an increased risk of suicidal ideation at baseline
(OR = 1.59, 95% CIs = 1.06-2.38) and over five years (OR = 1.65, 95% CIs = 1.05
2.59). Mild and severe HL were associated with an increased risk of suicidal
ideation, both at baseline (OR = 1.29, 95% CIs = 1.03-1.63; OR = 1.78, 95% CIs =
1.32-2.40) and over five years (OR = 1.47, 95% CIs = 1.17-1.85; OR = 1.97, 95%
CIs = 1.44-2.70). CONCLUSION: Sensory losses in late life pose a risk for
suicidal ideation. Suicidality requires better assessment and intervention in
this population.
PMID- 29798743
TI - Increased plasma complement factor H is associated with geriatric depression.
AB - : ABSTRACTBackground:Complement factor H (CFH) plays a key role in regulating the
cascade of the alternative pathway of the complement system. Dysregulation of CFH
may be involved in the pathophysiology of various inflammation-mediated diseases
including neuropsychiatric illnesses. This study aimed to investigate this
relationship by examining determining CFH levels in elderly individuals with and
without depression. METHODS: A total of 152 elderly individuals (major depressive
disorder (MDD) group, n = 76; comparison sample, n = 76) were selected from the
Ansan Geriatric study. The plasma level of CFH was measured. MDD was diagnosed
with the Mini-International Neuropsychiatric Interview as per DSM-IV criteria.
The severity of depression was evaluated with the geriatric depression scale
(GDS). Mean CFH levels were compared using the Mann-Whitney U test. After
adjusting for possible confounding factors including age, sex, marital status,
education, alcohol use, hemoglobin levels, and the Korean version of the Mini
Mental State Examination (MMSE-KC), a multiple regression analysis was conducted.
The GDS score and plasma level of CFH were analyzed using Spearman's correlation.
RESULTS: Plasma CFH level was significantly higher in individuals with MDD than
in the comparison sample (289.51 +/- 21.16 vs. 339.67 +/- 66.23, p < 0.001). In a
regression model adjusted for possible confounders, CFH was significantly
associated with geriatric depression (p < 0.001). CFH levels were not
significantly related to GDS scores in the depressed group. CONCLUSION: This
study revealed an association between high plasma levels of CFH and geriatric
depression, thereby suggesting the alternative pathway of the complement system
contributing to the development of geriatric depression.
PMID- 29798744
TI - Plasmodium falciparum Plasmepsin 2 Duplications, West Africa.
AB - Dihydroartemisinin/piperaquine (DHA/PPQ) is increasingly deployed as antimalaria
drug in Africa. We report the detection in Mali of Plasmodium falciparum
infections carrying plasmepsin 2 duplications (associated with piperaquine
resistance) in 7/65 recurrent infections within 2 months after DHA/PPQ treatment.
These findings raise concerns about the long-term efficacy of DHA/PPQ treatment
in Africa.
PMID- 29798745
TI - Therapeutic and Transmission-Blocking ?Efficacy of Dihydroartemisinin/Piperaquine
and Chloroquine against Plasmodium vivax Malaria, Cambodia.
AB - We assessed the efficacy of standard 3-day courses of chloroquine and
dihydroartemisinin/piperaquine against Plasmodium vivax malaria. Compared with
chloroquine, dihydroartemisinin/piperaquine was faster in clearing asexual P.
vivax parasites and blocking human-to-mosquito transmission. This drug
combination was also more effective in preventing potential recurrences for >2
months.
PMID- 29798747
TI - Erratum, Vol. 15, May 3 Release.
AB - This corrects the article DOI: 10.5888/pcd15.160471.
PMID- 29798746
TI - Phylogeny of Yellow Fever Virus, Uganda, 2016.
AB - In April 2016, a yellow fever outbreak was detected in Uganda. Removal of
contaminating ribosomal RNA in a clinical sample improved the sensitivity of next
generation sequencing. Molecular analyses determined the Uganda yellow fever
outbreak was distinct from the concurrent yellow fever outbreak in Angola,
improving our understanding of yellow fever epidemiology.
PMID- 29798749
TI - [Syphilis].
AB - Syphilis is a sexually transmitted infection caused by the spirochaete Treponema
pallidum. Syphilis re-emerged worldwide in the late 1990s, and hereafter
increasing rates of syphilis were seen also in Denmark. Currently, around 700
cases are diagnosed yearly in Denmark, and syphilis is mainly encountered among
men, who have sex with men. However, because of the risk of congenital infection
screening of pregnant women has been introduced. Syphilis gives rise to highly
variable symptoms such as chancre, skin rashes and fever or latent infection. The
recommended treatment is intramuscular administration of penicillin.
PMID- 29798748
TI - One year in review 2018: novelties in the treatment of rheumatoid arthritis.
AB - The current approach to treatment of rheumatoid arthritis (RA) includes early and
aggressive intervention aiming to reach early and persistent low disease activity
and remission. New drugs have improved the therapeutic armamentarium of
rheumatologists, providing new options for patients. Beyond these innovations,
new evidence has improved the safety of therapies and provided tools for the
optimisation of long-term management of RA. This paper reviews the most relevant
studies published over the last year in the field of treatment of RA.
PMID- 29798750
TI - [Genital herpes].
AB - Genital herpes is a common sexually transmissible infection (STI) caused by
herpes simplex virus (HSV). Clinically, the infection presents with genital
ulcers but may also be asymptomatic. The risk of genital HSV infection is
associated with increasing number of sex partners, previous infection with
another STI and female sex. The cornerstone of treatment is systemic antivirals
such as acyclovir. Counselling on transmission and recurrence risks is essential,
and psychological distress is often a consequence of the disease.
PMID- 29798751
TI - [Ano-genital warts are more than benign lesions].
AB - Ano-genital warts are in general considered as benign lesions, as they are mostly
caused by the non-oncogenic HPV types 6 and 11. In this review, there is a focus
on the problematic issues, that these lesions and their treatments can cause.
Both topical and ablative treatments are associated with side effects, and the
varying effect of the treatments combined with an uncertain timeline to clearance
are known to cause psychological distress. Vaccination against HPV-6 and -11 has
been shown to reduce the incidence of this troublesome infection substantially in
both women and men in countries with high vaccine coverage.
PMID- 29798752
TI - [Gonorrhoea].
AB - Gonorrhoea, a condition on the rise, is associated with high morbidity, and the
possibility of reproductive sequelae has become a public health problem in
Denmark. It is important to perform swabs for gonorrhoea from all relevant
anatomical locations, whether or not there are symptoms. Through complete
microbiological diagnostics, treatment with recommended antibiotics and partner
detection, infection chains can be broken. Whole-genome sequencing in real time
will identify outbreaks in high-risk populations.
PMID- 29798753
TI - [Sexually transmitted Mycoplasma genitalium infection is difficult to treat].
AB - Mycoplasma genitalium infection is sexually transmitted, and it is almost as
common as chlamydia in most European settings. Symptoms are indistinguishable
from those of chlamydia, and late sequelae are believed to be similar. Treatment
of M. genitalium infection is complicated due to widespread antimicrobial
resistance not only to first-line azithromycin but now also increasingly to
second-line moxifloxacin, leaving no other antibiotics registered in Denmark
available for effective treatment. In the absence of available antimicrobials,
screening of asymptomatic individuals should be avoided.
PMID- 29798754
TI - [Chlamydia in Denmark].
AB - Oculo-genital chlamydia caused by Chlamydia trachomatis is the most frequent
sexually transmitted infection. The number of laboratory verified cases increases
steadily in Denmark. This is due to an increased testing activity but also
increased positivity rates. Men show substantially lower test rates than women
albeit higher positivity rates and seem to constitute an important reservoir for
a continued high prevalence of chlamydia. Screening and treatment of especially
younger sexually active individuals, not at least men, is vital in controlling
the disease.
PMID- 29798755
TI - [Haemorrhagic proctocolitis as primary manifestation of lymphogranuloma venereum
in an HIV-positive male].
AB - This is a case report of lymphogranuloma venereum (LGV) manifesting as
haemorrhagic proctocolitis in a homosexual HIV-positive male. The primary symptom
was a rectal abscess, which was initially surgically treated and subsequently
insufficiently treated with single-dose tablet azithromycin. The patient's
symptoms were successfully treated after a 21-day doxycycline regime. LGV is a se
xually transmitted infection with a rising incidence among persons with risk
behaviour. This case report underlines the importance, that all positive rectal
screenings for Chlamydia trachomatis should be routinely serotyped.
PMID- 29798756
TI - You Are What You Tweet: Navigating Legal Issues in Social Media for
Interventional Radiologists.
PMID- 29798759
TI - Patient-Derived Xenograft Tumor Models: Overview and Relevance to IR.
PMID- 29798760
TI - Gastrointestinal Elimination of a Hepatocellular Carcinoma.
PMID- 29798762
TI - Role of Angiography in the Diagnosis and Treatment of Gastrointestinal Bleeding:
Historical Perspective.
PMID- 29798761
TI - Role of Biodegradable Stents as Part of Treatment of Biliary Strictures after
Pediatric and Adult Liver Transplantation: An Observational Single-Center Study.
AB - This brief report presents the results of 20 adult and pediatric patients treated
with the use of biodegradable SX-Ella biliary stents placed by means of a
transhepatic approach for the treatment of benign biliary strictures after liver
transplantation. Stent insertions were always feasible (100%), and only 1 case of
acute pancreatitis was observed (5%). The overall clinical success rate of the
procedure, including anastomotic and nonanastomotic strictures, was 75%, and was
higher in the anastomotic stricture group (81.25%) than in the nonanastomotic
stricture group (50%).
PMID- 29798763
TI - Ventral hernia and pregnancy: A systematic review.
AB - BACKGROUND: Consensus lacks concerning management of ventral hernia in women who
are, or might become pregnant. The aim of this systematic review was to examine
the risk of recurrence following pre-pregnancy ventral hernia repair, and
secondly the prevalence of ventral hernia during pregnancy and the risk of
surgical repair pre- and post-partum. DATA SOURCES: PubMed, Embase, CINAHL,
Cochrane Library and Web of Science were systematically searched for randomized
controlled trials, case-control, cohort studies and larger case-series on ventral
(umbilical, epigastric or incisional) hernia repair in relation to pregnancy.
CONCLUSIONS: If possible, elective ventral repair should be postponed until after
last pregnancy. A non-mesh repair seems appropriate for smaller primary ventral
hernia in women who plan future pregnancies. Umbilical hernia during pregnancy
seems very rare and seldom requires repair pre- and post-partum. Routine practice
of umbilical hernia repair in combination with cesarean section cannot be
recommended. PROSPERO: CRD42017073736.
PMID- 29798765
TI - Biodegradable-Polymer Drug-Eluting Stent Platforms: The Importance of Long-Term
Outcomes.
PMID- 29798766
TI - Disease Burden and Clinical Outcomes Following Initial Diagnosis of Critical Limb
Ischemia in the Medicare Population.
PMID- 29798767
TI - The Long-Term Impact of Post-Procedural Asymmetry and Eccentricity of
Bioresorbable Everolimus-Eluting Scaffold and Metallic Everolimus-Eluting Stent
on Clinical Outcomes in the ABSORB II Trial.
PMID- 29798768
TI - Predictors of Calcium Fracture Derived From Balloon Angioplasty and its Effect on
Stent Expansion Assessed by Optical Coherence Tomography.
PMID- 29798764
TI - Education amplifies brain atrophy effect on cognitive decline: implications for
cognitive reserve.
AB - Level of education is often regarded as a proxy for cognitive reserve in older
adults. This implies that brain degeneration has a smaller effect on cognitive
decline in those with more education, but this has not been directly tested in
previous research. We examined how education, quantitative magnetic resonance
imaging-based measurement of brain degeneration, and their interaction affect
cognitive decline in diverse older adults spanning the spectrum from normal
cognition to dementia. Gray matter atrophy was strongly related to cognitive
decline. While education was not related to cognitive decline, brain atrophy had
a stronger effect on cognitive decline in those with more education. Importantly,
high education was associated with slower decline in individuals with lesser
atrophy but with faster decline in those with greater atrophy. This moderation
effect was observed in Hispanics (who had high heterogeneity of education) but
not in African-Americans or Caucasians. These results suggest that education is
an indicator of cognitive reserve in individuals with low levels of brain
degeneration, but the protective effect of higher education is rapidly depleted
as brain degeneration progresses.
PMID- 29798769
TI - Images in Intervention: Icons.
PMID- 29798771
TI - 2-Year Outcomes From the Largest Real-Life Global Registry Investigating Drug
Coated Balloon Angioplasty for Femoropopliteal Artery Disease: Time for a
Treatment Shift Toward Drug Elution and Minimal Stenting?
PMID- 29798772
TI - 1-Year All-Comers Analysis of the Eluvia Drug-Eluting Stent for Long
Femoropopliteal Lesions After Suboptimal Angioplasty.
AB - OBJECTIVES: The aim of this study was to assess the performance of the
fluoropolymer-based paclitaxel-eluting stent (PES) in long femoropopliteal
lesions. BACKGROUND: The new-generation fluoropolymer-based PES showed promising
outcomes in short femoropopliteal lesions. The main feature of the stent is its
controlled and sustained paclitaxel release over 12 months. However, the safety
and efficacy of this technology in longer femoropopliteal lesions remain unclear.
METHODS: Between March 2016 and March 2017, 62 patients were included in this
analysis. Indications for fluoropolymer-based PES deployment were insufficient
luminal gain or flow-limiting dissection after plain old balloon angioplasty in a
femoropopliteal lesion. Primary patency, freedom from target lesion
revascularization, amputation-free survival, and paclitaxel-related adverse
events were retrospectively analyzed for up to 1 year of follow-up. RESULTS:
Lesions were de novo in 84% of patients. Mean lesion length was 20 +/- 12 cm, and
79% of the lesions (n = 49) were chronic total occlusions. Moderate or severe
calcification was present in 42% of the lesions (n = 26). Stent implantation
involved the distal superficial femoral artery and the proximal popliteal artery
in 76% (n = 47) and 44% (n = 27) of patients, respectively. The Kaplan-Meier
estimate of primary patency and freedom from target lesion revascularization was
87%. Amputation-free survival was 100% for patients with claudication (n = 32
[52%]) and 87% in patients with critical limb ischemia (n = 30 [48%]) (hazard
ratio: 6.3; 95% confidence interval: 1.25 to 31.54; p = 0.052). Five aneurysm
formations of the treated segments (8%) were thought to be attributable to
paclitaxel. CONCLUSIONS: The fluoropolymer-based PES showed promising 1-year
clinical and angiographic outcomes in real-world long femoropopliteal lesions.
The long-term impact of aneurysm formation remains to be further investigated.
PMID- 29798773
TI - Paclitaxel-Eluting Stents and Aneurysm Formation, A Worrisome Association.
PMID- 29798770
TI - Drug-Coated Balloon Treatment of Femoropopliteal Lesions for Patients With
Intermittent Claudication and Ischemic Rest Pain: 2-Year Results From the IN.PACT
Global Study.
AB - OBJECTIVES: The IN.PACT Global Study is the largest prospective, multicenter,
independently adjudicated trial to evaluate a paclitaxel drug-coated balloon in
patients with lifestyle-limiting claudication and/or ischemic rest pain due to
atherosclerotic disease of the femoropopliteal artery and includes complex
lesions beyond what are typically included in randomized controlled trials.
BACKGROUND: Randomized controlled trials have demonstrated the safety and
efficacy of drug-coated balloons for the treatment of Trans-Atlantic Inter
Society Consensus Document II A and B lesions, but there is a need for large
scale prospective studies to evaluate a broader range of lesions. METHODS: The
IN.PACT Global Study enrolled 1,535 subjects, and 1,406 (1,773 lesions) were
included in the pre-defined clinical cohort analysis. Freedom from clinically
driven target lesion revascularization was evaluated at 24 months. The safety
composite endpoint was freedom from device- and procedure-related death through
30 days and freedom from target limb major amputation and clinically driven
target vessel revascularization within 24 months. RESULTS: Mean lesion length was
12.1 cm, 35.5% were total occlusions, and 18.0% had in-stent restenosis. Freedom
from clinically driven target lesion revascularization at 24 months was 83.3%,
the composite safety endpoint was met in 81.7%, the 2-year all-cause mortality
rate was 7.0%, and the major target limb amputation rate was 0.7%. Increased
lesion length and the presence of de novo in-stent restenosis or coronary artery
disease were associated with increased risk for clinically driven target lesion
revascularization by 24 months. CONCLUSIONS: This real-world study of
femoropopliteal artery disease treatment with drug-coated balloons confirmed
positive findings reported from more strictly designed randomized controlled
trials and showed that outcomes are durable in this population up to 2 years
after treatment. (IN.PACT Global Clinical Study; NCT01609296).
PMID- 29798774
TI - Impact of Optimized Procedure-Related Factors in Drug-Eluting Balloon Angioplasty
for Treatment of In-Stent Restenosis.
AB - OBJECTIVES: The aim of this study was to investigate the impact of optimizing
procedure-related factors during drug-eluting balloon (DEB) angioplasty on
clinical outcomes of drug-eluting stent in-stent restenosis (ISR). BACKGROUND:
Although DEB angioplasty is recommended as a reasonable option for ISR, recurrent
target lesion failure (TLF) still occurs in many patients after DEB angioplasty.
METHODS: Consecutive patients with drug-eluting stent ISR treated with DEB
(SeQuent Please) were collected from 4 centers in Korea. The primary outcome was
2-year TLF. Procedure-related modifiable independent predictors for TLF and their
best cutoff values were determined. RESULTS: In a total of 256 patients (309
lesions), TLF occurred in 52 patients (20.3%). Modifiable independent predictors
of TLF among procedure-related factors were residual diameter stenosis after
lesion preparation (residual percentage diameter stenosis [%DS]), DEB-to-stent
ratio (BSR), and DEB inflation time (Tinflation), whose best cutoff values were
20%, 0.91, and 60 s, respectively. TLF rates were significantly higher in groups
with residual %DS >=20% (34.7% vs. 12.5%; adjusted hazard ratio: 2.15; 95%
confidence interval: 1.86 to 2.48; p < 0.001), BSR <=0.91 (46.4% vs. 21.9%;
adjusted hazard ratio: 2.02; 95% confidence interval: 1.75 to 2.34; p < 0.001),
and Tinflation <=60 s (26.2% vs. 14.0%; adjusted hazard ratio: 1.82; 95%
confidence interval: 1.36 to 2.45; p < 0.001). When classifying ISR lesions by
combination of procedure-related factors, TLF occurred in 8.3% in the fully
optimized procedure group (residual %DS <20%, BSR >0.91, and Tinflation >60 s)
and 66.7% in the nonoptimized group (residual %DS >=20%, BSR <=0.91, and
Tinflation <=60 s) (p < 0.001). CONCLUSIONS: Residual %DS after lesion
preparation, BSR, and Tinflation were the only modifiable procedure-related
factors in DEB angioplasty. Fully optimized DEB angioplasty with optimal lesion
preparation, prolonged inflation, and sufficient dilation may play an important
role in reducing TLF after DEB angioplasty.
PMID- 29798775
TI - Drug-Eluting Balloon Therapy for In-Stent Restenosis of Drug-Eluting Stents:
Choose and Prepare the Appropriate Lesion.
PMID- 29798777
TI - Farewell to Drug-Eluting Balloons for In-Stent Restonsis?: Appropriate Technique
of Drug-Eluting Balloons Implantation Matters.
PMID- 29798778
TI - Comparison of a Novel Biodegradable Polymer Sirolimus-Eluting Stent With a
Durable Polymer Everolimus-Eluting Stent: 5-Year Outcomes of the Randomized
BIOFLOW-II Trial.
AB - OBJECTIVES: The authors aimed to compare long-term data of an ultrathin cobalt
chromium stent with passive silicon carbide coating and an active biodegradable
polymer that releases sirolimus (O-SES) (Orsiro, BIOTRONIK, Bulach, Switzerland)
with the durable polymer-based Xience Prime everolimus-eluting stent (X-EES)
(Abbott Vascular, Santa Clara, California). BACKGROUND: Biodegradable polymer
stents have been developed aiming to overcome long-term detrimental effects of
durable polymer stents, ultimately leaving a bare-metal stent in the vessel.
METHODS: This multicenter, assessor-blinded trial randomized 452 patients with
505 lesions to either O-SES or X-EES in a 2:1 fashion. Endpoints at 5 years were
target lesion failure (TLF), its components, and stent thrombosis. RESULTS: TLF
occurred in 10.4% (n = 30) of O-SES patients versus 12.7% (n = 19) of X-EES
patients (p = 0.473), overall stent thrombosis occurred in 0.7% (n = 2) versus
2.8% (n = 4) (p = 0.088), and definite stent thrombosis in 0% versus 0.7% (n = 1)
(p = 0.341). Post hoc analysis was performed in diabetic patients (n = 128) and
vessels <=2.75 mm (n = 259). In diabetic patients, the O-SES group had
numerically more target lesion revascularizations (13.5% vs. 4.5%; p = 0.138),
but fewer cardiac deaths (1.3% vs. 6.9%; p = 0.089) and stent thrombosis (0% vs.
6.9%; p = 0.039). In small vessels, the O-SES group had a significantly lower 5
year mortality (3.7% vs. 11.3%; p = 0.022). CONCLUSIONS: At 5 years, the
biodegradable polymer O-SES demonstrated low TLF rates comparable to the durable
polymer X-EES, confirming its long-term safety and performance. Particularly
encouraging is the absence of definite stent thrombosis.
PMID- 29798779
TI - Subjective perception of paraesthesia of the lower lip after bilateral sagittal
split osteotomy at a district general hospital.
AB - Our aim was to assess patients' perception of paraesthesia of the lower lip after
bilateral sagittal split osteotomy (BSSO) at a district general hospital.
Patients who had BSSO between August 2013 and August 2014 (n=46) were asked to
score their perception of numbness between 0-10 (0=normal sensation. 10=complete
loss of sensation/total numbness) one day postoperatively and then weekly for
seven weeks, and at three months, 6 months, and one year. Data was collected on
score sheets and by regular contact by telephone. Of the 46 operated on, 31 were
female and 15 male. Data were available one year postoperatively for 43 patients.
Ten of the 92 sides were reported as feeling normal on day 1 postoperatively,
three-quarters as feeling normal at six months, and 79 at one year. On
multivariate analysis there was no significant difference in postoperative
sensation at one year between sides operated on by the registrar (left) and
consultant (right) operated (p=0.76). Our results compared favourably with the
limited data available in similarly designed studies.
PMID- 29798776
TI - 3-Year Clinical Follow-Up of the RIBS IV Clinical Trial: A Prospective Randomized
Study of Drug-Eluting Balloons Versus Everolimus-Eluting Stents in Patients With
In-Stent Restenosis in Coronary Arteries Previously Treated With Drug-Eluting
Stents.
AB - OBJECTIVES: This study sought to compare the long-term safety and efficacy of
drug-eluting balloons (DEB) and everolimus-eluting stents (EES) in patients with
in-stent restenosis (ISR) of drug-eluting stents (DES). BACKGROUND: Treatment of
patients with DES-ISR remains a challenge. METHODS: The RIBS IV (Restenosis Intra
Stent of Drug-Eluting Stents: Drug-Eluting Balloons vs Everolimus-Eluting Stents)
trial is a prospective multicenter randomized clinical trial comparing DEB and
EES in patients with DES-ISR. The pre-specified comparison of the 3-year clinical
outcomes obtained with these interventions is the main objective of the present
study. RESULTS: A total of 309 patients with DES-ISR were randomized to DEB (n =
154) or EES (n = 155). At angiographic follow-up, the in-segment minimal lumen
diameter was larger in the EES arm (2.03 +/- 0.7 mm vs. 1.80 +/- 0.6 mm; p <
0.01). Three-year clinical follow-up was obtained in all enrolled patients
(100%). The combined clinical outcome measure of cardiac death, myocardial
infarction and target lesion revascularization was significantly reduced in the
EES arm (19 [12.3%] vs. 31 [20.1%]; p = 0.04; hazard ratio: 0.57 [95% confidence
interval: 0.34 to 0.96]), driven by a lower need for target lesion
revascularization (11 [7.1%] vs. 24 [15.6%]; p = 0.015; hazard ratio: 0.43 [95%
confidence interval: 0.21 to 0.87]). The need for "late" (>1 year) target lesion
revascularization (2.6% vs. 4%) and target vessel revascularization (4% vs. 6.6%)
was similar in the 2 arms. Rates of cardiac death (3.9% vs. 3.2%), myocardial
infarction (2.6% vs. 4.5%), and stent thrombosis (1.3% vs. 2.6%) at 3 years were
also similar in both arms. CONCLUSIONS: The 3-year clinical follow-up of this
randomized clinical trial demonstrates that in patients with DES-ISR, EES reduce
the need for repeat interventions compared with DEB. (Restenosis Intra-Stent of
Drug-Eluting Stents: Drug-Eluting Balloons vs Everolimus-Eluting Stents [RIBS
IV]; NCT01239940).
PMID- 29798780
TI - [Problems encountered by hospital pharmacists with information systems: Analysis
of exchanges within social networks].
AB - OBJECTIVE: The development of information systems in French hospitals is
mandatory. The aim of this work was to analyze the content of exchanges carried
out within social networks, dealing with problems encountered with hospital
pharmacies information systems. METHODS: Messages exchanged via the mailing list
of the Association pour le Digital et l'Information en Pharmacie and abstracts of
communications presented at hospital pharmacists trade union congresses were
analyzed. Those referring to information systems used in hospital pharmacies were
selected. RESULTS: From March 2015 to June 2016, 122 e-mails sent by 80
pharmacists concerned information systems. From 2002 to 2016, 45 abstracts dealt
with this topic. Problems most often addressed in these 167 documents were
"parameterization and/or functionalities" (n=116), interfaces and complexity of
the hospital information systems (n=52), relationship with health information
technologies vendors and poor reactivity (n=32), additional workload (n=32),
ergonomics (n=30), insufficient user training (n=22). These problems are
interdependent, lead to errors and in order to mitigate their consequences, they
compel pharmacy professionals to divert a significant amount of working hours to
the detriment of pharmaceutical care and dispensing and preparing drugs.
CONCLUSION: Hospital pharmacists are faced with many problems of insecurity and
inefficiency generated by information systems. Researches are warranted to
determine their cost, specify their deleterious effects on care and identify the
safest information systems.
PMID- 29798781
TI - On the dimorphism and the pressure-temperature state diagram of racemic m
nisoldipine, a dihydropyridine calcium ion antagonist.
AB - The pressure-temperature phase diagram of the dimorphism of racemic m-nisoldipine
is constructed using temperatures and enthalpies of fusion of forms A and B. At
ordinary pressure, the transition from form B to form A is found to occur around
192K, which indicates that these polymorphs are enantiotropically related and
that form A is stable at room temperature. Nevertheless, the phase relationship
turns to be monotropic when pressures become greater than about 100MPa, which
indicates that form B becomes the sole stable phase.
PMID- 29798782
TI - Clinical Spectrum of SCN5A Mutations: Long QT Syndrome, Brugada Syndrome, and
Cardiomyopathy.
AB - SCN5A gene encodes the pore-forming ion-conducting alpha-subunit of the cardiac
sodium channel (Nav1.5), which is responsible for the initiation and propagation
of action potentials and thereby determines cardiac excitability and conduction
of electrical stimuli through the heart. The importance of Nav1.5 for normal
cardiac electricity is reflected by various disease entities that can be caused
by mutations in SCN5A. Gain-of-function mutations in SCN5A lead to more sodium
influx into cardiomyocytes through aberrant channel gating and cause long QT
syndrome, a primary electrical disease of the heart. Loss-of-function mutations
in SCN5A lead to lower expression levels of SCN5A or production of defective
Nav1.5 proteins and cause Brugada syndrome, an electrical disease with minor
structural changes in the heart. In addition, both loss- and gain-of-function
mutations may cause dilated cardiomyopathy, which is an arrhythmogenic disease
with gross structural defects of the left ventricle (and sometimes both
ventricles). Other SCN5A-related diseases are multifocal ectopic premature
Purkinje-related complexes (gain-of-function mutations), isolated cardiac
conduction defect (loss-of-function mutations), sick sinus syndrome (loss-of
function mutations), atrial fibrillation (loss-of-function or gain-of-function
mutations), and overlap syndromes (mutations with both loss-of-function and gain
of-function effects). Growing insights into the role of SCN5A in health and
disease has enabled clinicians to lay out gene-specific risk stratification
schemes and mutation-specific diagnostic and therapeutic strategies in the
management of patients with a SCN5A mutation. This review summarizes currently
available knowledge about the pathophysiological mechanisms of SCN5A mutations
and describes how this knowledge can be used to manage patients suffering from
potentially lethal cardiac diseases.
PMID- 29798783
TI - A Prospective Randomized Trial of Apixaban Dosing During Atrial Fibrillation
Ablation: The AEIOU Trial.
AB - OBJECTIVES: This study sought to determine whether uninterrupted apixaban would
have similar rates of bleeding and thromboembolic events as does minimally
interrupted apixaban at the time of atrial fibrillation (AF) ablation and to
compare those results with rates in historical patients treated with
uninterrupted warfarin. BACKGROUND: The safety, efficacy, and optimal dosing
regimen for apixaban at the time of AF ablation are uncertain. METHODS: This
prospective, multicenter clinical trial enrolled 306 patients undergoing catheter
ablation for nonvalvular AF and randomized 300 to uninterrupted versus minimally
interrupted (holding 1 dose) periprocedural apixaban. A retrospective cohort of
patients treated with uninterrupted warfarin at the same centers was matched to
the apixaban-treated subjects for comparison. Endpoints included clinically
significant bleeding, major bleeding, and nonhemorrhagic stroke or systemic
embolism (SE) from the time of ablation through 30 days. RESULTS: There were no
stroke or SE events. Clinically significant bleeding occurred in 11.3% of 150
evaluable patients on uninterrupted apixaban and 9.7% of 145 evaluable patients
on interrupted apixaban (risk difference: 1.7% [95% confidence interval: -5.5% to
8.8%]; p = NS). Rates of major bleeding were 1.3% with uninterrupted apixaban,
and 2.1% with interrupted (risk difference: -0.7%; p = NS). The rates of
clinically significant and major bleeding were similar for all apixaban patients
combined (10.5% and 1.7%), compared with the matched warfarin group (9.8% and
1.4%). CONCLUSIONS: Both uninterrupted and minimally interrupted apixaban at the
time of AF ablation were associated with a very low rate of thromboembolic
events, and rates of both major (<2%) and clinically significant bleeding were
similar to uninterrupted warfarin. (Apixaban Evaluation of Interrupted Or
Uninterrupted Anticoagulation for Ablation of Atrial Fibrillation [AEIOU];
NCT02608099).
PMID- 29798784
TI - Periablation Anticoagulation: Translating Research Into Clinical Practice.
PMID- 29798785
TI - Uninterrupted Direct Oral Anticoagulant and Warfarin Administration in Elderly
Patients Undergoing Catheter Ablation for Atrial Fibrillation: A Comparison With
Younger Patients.
AB - OBJECTIVES: The goal of this study was to evaluate the efficacy and safety of
uninterrupted direct oral anticoagulant (DOAC) use and uninterrupted warfarin
administration in elderly patients undergoing catheter ablation for atrial
fibrillation (AF). BACKGROUND: There is limited knowledge regarding the
uninterrupted use of oral anticoagulant agents in elderly patients undergoing
catheter ablation for AF. METHODS: This retrospective study included 2,164
patients (n = 325 >=75 years of age and n = 1,839 <75 years of age) who underwent
catheter ablation for AF. All the patients received uninterrupted oral
anticoagulant agents during the procedure. We investigated the occurrences of
periprocedural events and compared these between the DOAC and warfarin groups of
the elderly and younger groups. RESULTS: Major bleeding events (3.1% vs. 1.3%; p
= 0.023) and minor bleeding events (9.2% vs. 5.0%; p = 0.002), except for
thromboembolic events (0% vs. 0.8%; p = 0.248), were significantly higher in the
elderly group than in the younger group. No significant differences in
thromboembolic and bleeding events were found between the DOAC and warfarin
groups of both the elderly and younger groups. Adverse complications did not
differ between the groups after adjustment using propensity score matching
analysis. Multivariate analysis revealed that lower body weight (odds ratio:
0.96; p = 0.010) and antiplatelet drug use (odds ratio: 2.21; p = 0.039) were
independent predictors of adverse events in the elderly group. CONCLUSIONS: The
periprocedural bleeding risk during the use of uninterrupted oral anticoagulants
was higher in the elderly group than in the younger group. This area needs more
attention for these patients in whom caution is required.
PMID- 29798786
TI - Safety and Efficacy of Uninterrupted Direct Oral Anticoagulant Administration in
Elderly Patients Undergoing Catheter Ablation of Atrial Fibrillation.
PMID- 29798788
TI - Sex and Stroke Risk in Atrial Fibrillation: More Work to Be Done.
PMID- 29798787
TI - Comparing Management and Outcomes in Men and Women With Nonvalvular Atrial
Fibrillation: Data From a Population-Based Cohort.
AB - OBJECTIVES: This study sought to identify the differences in stroke, mortality,
and bleeding between men and women with atrial fibrillation (AF). BACKGROUND:
There are inconsistent data regarding the thromboembolic risk difference between
men and women with AF. The authors assessed the risk of stroke, death, and
bleeding in men and women with incident AF. METHODS: The authors employed a
prospective historical cohort using an electronic database from a large health
maintenance organization. All members with incident AF between 2004 and 2015 were
included. Primary endpoints were ischemic stroke, death, and major bleeding.
RESULTS: The authors identified 89,213 members with incident nonvalvular atrial
fibrillation (NVAF), 52.3% of whom were women. Women were older, with a higher
prevalence of hypertension, whereas more men had diabetes, heart failure, and
ischemic heart disease than the women did. Ischemic stroke occurred in 6.4% of
the patients: 7.0% of women and 5.8% of men. Sex did not affect adjusted stroke
risk (hazard ratio [HR]: 0.91; 95% confidence interval [CI]: 0.77 to 1.06; p =
0.22). However, women 75 years of age and older were at an increased risk (HR:
1.25; 95% CI: 1.17 to 1.34). Mortality rates were higher among women (33.5% vs.
32%; p < 0.001); however, women had a significantly lower adjusted mortality risk
(HR: 0.78; 95% CI: 0.71 to 0.86). Women had lower risk of intracranial hemorrhage
(HR: 0.81; 95% CI: 0.76 to 0.87) and major gastrointestinal bleeding (HR: 0.78;
95% CI: 0.70 to 0.87). CONCLUSIONS: Men and women with AF had a similar risk of
ischemic stroke, except for women 75 years of age or older, who had a higher
risk. Our findings support using a similar anticoagulation strategy for
prevention of stroke in men and women with a similar number of risk factors.
PMID- 29798789
TI - Burden of Atrial Fibrillation-Associated Ischemic Stroke in the United States.
AB - OBJECTIVES: This study aimed to investigate whether the excess morbidity and
mortality of atrial fibrillation (AF)-related stroke persists in the contemporary
era. BACKGROUND: Acute ischemic stroke (AIS) in patients with AF is associated
with worse outcomes than in patients without AF. Stroke prevention strategies in
patients with AF have improved over the last decade and AIS-related mortality
overall has also declined. METHODS: Patients >=18 years of age who were admitted
with AIS between 2003 and 2014 were identified in the National Inpatient Sample.
The study compared crude and propensity score-matched in-hospital morbidity and
mortality, cost, length of stay, and discharge dispositions between patients with
and without AF. RESULTS: A total of 930,010 patients were admitted with AIS, and
18.2% of these patients had AF. The prevalence of AF in these patients increased
from 16.4% in 2003 to 20.4% in 2014, with the greatest increase observed in white
and older patients. Propensity score matching attained 2 pairs of 125,203
patients with AIS with and without AF. In these matched cohorts, the mortality
rate was higher in patients with AF (9.9% vs. 6.1%; p < 0.001). Ischemic stroke
in patients with AF was also associated with higher incidences of acute kidney
injury, bleeding and infectious complications, and severe disability. Hospital
length of stay was significantly longer, and cost of care was 20% higher in
patients with AF. CONCLUSIONS: The prevalence of AF in AIS patients continued to
rise, particularly in white and older patients. Despite the improvement in AIS
related morality overall, the differential negative impact of AF on the
morbidity, mortality, and cost of AIS was steady over the study's 12-year period.
PMID- 29798790
TI - The Clinical Benefits and Mortality Reduction Associated With Catheter Ablation
in Subjects With Atrial Fibrillation: A Systematic Review and Meta-Analysis.
AB - OBJECTIVES: This study sought to compare the efficacy and safety of catheter
ablation (CA) with those of medical therapy (MT) for the treatment of atrial
fibrillation (AF). BACKGROUND: The preferred therapeutic strategy for subjects
with AF remains unclear. METHODS: A total of 17 randomized controlled trials were
selected using Medline, EMBASE, and CENTRAL (September 1998 to 2 February 2018).
The analysis was stratified at the trial level according to the following: 1)
patients with AF and heart failure (HF); and 2) patients with AF without HF.
RESULTS: A total of 2,272 patients with AF (775 patients with HF and 1,497
patients without HF) participated in this analysis. In patients with HF, CA was
associated with significant relative risk reduction in all-cause mortality (risk
ratio [RR]: 0.52; 95% confidence interval [CI]: 0.36 to 0.76; p < 0.001; I2 = 0),
recurrent atrial arrhythmia (RR: 0.44; 95% CI: 0.31 to 0.61; p <0.001; I2 = 56),
and cardiac hospitalization (RR: 0.63; 95% CI: 0.46 to 0.87; p = 0.01; I2 = 43)
compared with MT. Conversely, in patients without HF, CA had no beneficial effect
on the risk of all-cause mortality compared with MT (RR: 0.88, 95% CI: 0.29 to
2.61; p = 0.81; I2 = 0). However, CA reduced the risk of recurrent atrial
arrhythmia (RR: 0.40; 95% CI: 0.31 to 0.52; p < 0.001; I2 = 73) and cardiac
hospitalization (RR: 0.32; 95% CI: 0.23 to 0.45; p < 0.001; I2 = 0) in patients
without HF. CONCLUSIONS: This meta-analysis suggests that although CA reduced the
risk of cardiac hospitalization and recurrent atrial arrhythmia both in subjects
with HF and in subjects without HF, the reduction in all-cause mortality was
limited to subjects with HF only.
PMID- 29798791
TI - Catheter Ablation: First-Line Therapy for Atrial Fibrillation in Systolic Heart
Failure?
PMID- 29798792
TI - Medical and Interventional Outcomes in Pediatric Lone Atrial Fibrillation.
AB - OBJECTIVES: The goal of this study was to describe the clinical characteristics
of pediatric patients with lone atrial fibrillation (LAF) and their treatment
outcomes. The authors focused on patients who underwent ablation and compared the
recurrence after ablation of supraventricular tachycardia substrates as presumed
triggers versus pulmonary vein isolation (PVI). BACKGROUND: LAF in pediatrics is
rare, and outcomes remain poorly defined. Current guidelines on ablation are
based on a few small studies, and we present outcomes from the largest cohort of
patients after ablation. METHODS: This retrospective review included patients
<=21 years of age diagnosed with LAF from 2004 to 2015. Relevant clinical data,
including recurrence rates after treatment, were tracked and analyzed with a
focus on patients who underwent ablation procedures. RESULTS: Sixty-two patients
were identified with LAF; 88% were male, and 63% were athletes. Of the 33
patients taking antiarrhythmic medication, 20 (61%) experienced recurrence.
Overall, 16 patients (26%) underwent ablation: PVI in 10 (62.5%), ablation of an
accessory pathway in 3 (19%), and modification of the slow atrioventricular nodal
pathway in 3 (19%). One-half of patients who underwent PVI experienced documented
recurrence. Of those who solely underwent supraventricular tachycardia substrate
ablation, one-half also had symptomatic or documented recurrence. CONCLUSIONS:
Ablation recurrence within this pediatric cohort was higher than expected. These
recurrence rates may be demonstrative of the technical challenge of pediatric
ablation compared with adult counterparts, characteristics of these patients such
as athletic conditioning, or inherent differences in their atrial tissue,
rendering it more refractory to substrate modification.
PMID- 29798793
TI - Delirium Cordis: An Arrhythmia at the Intersection of Pediatric and Adult
Electrophysiology.
PMID- 29798794
TI - Impact of Body Mass Index on Safety and Efficacy of the Subcutaneous Implantable
Cardioverter-Defibrillator.
AB - OBJECTIVES: This study determined whether obesity increased the risk of failed
shocks and complications among subcutaneous implantable cardioverter
defibrillator (S-ICD) recipients. BACKGROUND: The S-ICD is an established
treatment for patients at high risk for ventricular arrhythmias. Obesity may
increase the transvenous defibrillation threshold and the rate of complications.
METHODS: We analyzed data from the 321 patients enrolled in the S-ICD
Investigational Device Exemption (IDE) study. They were categorized into 3 body
mass index (BMI) groups: <25 kg/m2 (underweight and normal), 25 to 30 kg/m2
(overweight), and >30 kg/m2 (obese). Patients underwent implantation followed by
defibrillation testing at 65 J. Chronic defibrillation testing was repeated >150
days post implantation in a subset of patients. RESULTS: Seventy-nine patients
had BMI <25 kg/m2, 105 had BMI 25 to 30 kg/m2, and 137 had BMI >30 kg/m2. A
failed first shock of any kind occurred in 52 patients, including 41 patients
during implant defibrillation testing, 11 patients during chronic defibrillation
testing, and 5 patients during spontaneous ventricular arrhythmias. The rate of
failed first shocks during implant defibrillation testing increased across BMI
categories (5.1% among underweight and normal patients, 13.3% among overweight
patients, and 16.9% among obese patients; p = 0.04). Among obese patients, shock
impedance was higher during failed first shocks than successful first shocks
(103.5 ohms vs. 84.6 ohms; p = 0.001). The rate of failed first shocks during
chronic defibrillation testing and spontaneous ventricular arrhythmias did not
significantly differ among BMI groups, nor did the rate of complications. Of the
8 underweight patients, there were no failed shocks or complications.
CONCLUSIONS: Obese patients are at increased risk of failed first S-ICD shocks
during defibrillation testing. Whether this can be overcome by optimal
implantation techniques remains unknown. Rates of complications were not
increased among obese patients.
PMID- 29798796
TI - Defining an Isthmus for the Cost Effectiveness of Catheter Ablation for
Ventricular Tachycardia Associated With Prior Myocardial Infarction.
PMID- 29798795
TI - Cost Effectiveness of Ventricular Tachycardia Ablation Versus Escalation of
Antiarrhythmic Drug Therapy: The VANISH Trial.
AB - OBJECTIVES: This analysis uses the data from the randomized controlled trial to
assess the cost effectiveness of catheter ablation (n = 132) versus escalated
antiarrhythmic therapy (n = 127). BACKGROUND: For survivors of myocardial
infarction with implantable cardioverter-defibrillator shocks despite
antiarrhythmic drugs, the VANISH (Ventricular Tachycardia Ablation Versus
Escalated Antiarrhythmic Drug Therapy in Ischemic Heart Disease) trial
demonstrated improved clinical outcomes with catheter ablation compared with more
aggressive antiarrhythmic pharmacotherapy. METHODS: Health care resource use and
quality-of-life data were used to determine the cost effectiveness of catheter
ablation. Published references were used to estimate costs (in 2015 Canadian
dollars). The analysis was over 3 years, with a 5% discount rate. Adjustment was
made for censoring and baseline utilities. RESULTS: Ablation resulted in greater
quality-adjusted life-years (QALYs) than escalated drug therapy did (1.63 vs.
1.49; difference: 0.14; 95% confidence interval [CI]: -0.20 to 0.46) and higher
cost ($65,126 vs. $60,269; difference: $4,857; 95% CI: -$19,757 to $27,106); with
an incremental cost per QALY gained for ablation versus escalated drug therapy of
$34,057 primarily due to the initial costs of ablation, which were partially
offset by the costs of subsequent ablations and adverse outcomes in the escalated
drug therapy arm. For patients with amiodarone-refractory ventricular
tachycardia, ablation dominated escalated drug therapy, with greater QALYs (1.48
vs. 1.26; difference: 0.22; 95% CI: -0.19 to 0.59) and lower costs ($67,614 vs.
$68,383; difference: -$769; 95% CI: -$35,330 to $27,092). For those with sotalol
refractory ventricular tachycardia, ablation resulted in similar QALYs (1.90 vs.
1.90; difference: -0.00; 95% CI: -0.59 to 0.62) and higher costs ($60,455 vs.
$45,033; difference: $15,422; 95% CI: -$10,968 to $48,555). CONCLUSIONS: For the
total trial population, results are suggestive that ablation is cost effective
compared with escalation of drug therapy. This result was only manifest for the
subgroup of patients whose qualifying arrhythmia occurred despite amiodarone.
PMID- 29798797
TI - Change in Left Ventricular Ejection Fraction Following First Myocardial
Infarction and Outcome.
AB - OBJECTIVES: This study hypothesizes that a lack of left ventricular ejection
fraction (LVEF) recovery after myocardial infarction (MI) would be associated
with a poor outcome. BACKGROUND: A reduced LVEF early after MI identifies
patients at risk of adverse outcomes. Whether the change in LVEF in the weeks to
months following MI provides additional information on prognosis is less certain.
METHODS: Change in LVEF between the early (2 to 7 days) and later (2 to 12 weeks)
post-MI periods in patients with a first MI was assessed in 3 independent cohorts
(REFINE [Risk Estimation Following Infarction Noninvasive Evaluation]; CARISMA
[Cardiac Arrhythmia and Risk Stratification after Myocardial Infarction]; ISAR
[Improved Stratification of Autonomy Regulation]). Patients were categorized as
having no recovery (Delta <=0%), a modest increase (Delta 1% to 9%), or a large
increase (Delta >=10%) in LVEF. The relationship between change in LVEF and risk
of sudden cardiac arrest (SCA) and all-cause mortality were assessed in Cox
multivariable models. RESULTS: In REFINE, patients with no LVEF recovery had a
higher risk of sudden cardiac arrest (hazard ratio: 5.8; 95% confidence interval:
2.1 to 16.6; p = 0.001) and death (hazard ratio: 3.9; 95% confidence interval:
1.5 to 10.1; p < 0.001), independent of revascularization, baseline LVEF, and
medical therapy compared with patients with recovery. Similar findings were
observed in the other cohorts. LVEF reassessments beyond 6 weeks post-MI were
more predictive of outcome than were earlier reassessments. CONCLUSIONS: The
degree of LVEF recovery after a first MI provides important prognostic
information. Patients with no recovery in LVEF after MI are at high risk of
sudden cardiac arrest events and death.
PMID- 29798798
TI - If We Knew Then What We Know Now: Change in Ejection Fraction Post-Myocardial
Infarction and Outcome.
PMID- 29798800
TI - Estimating Cardiac Sympathetic Activity From Subcutaneous Nerve Recordings: More
Than Skin Deep?
PMID- 29798799
TI - Effects of Stellate Ganglion Cryoablation on Subcutaneous Nerve Activity and
Atrial Tachyarrhythmias in a Canine Model of Pacing-Induced Heart Failure.
AB - OBJECTIVES: This study aimed to test the hypothesis that subcutaneous nerve
activity (SCNA) can adequately estimate the cardiac sympathetic tone and the
effects of cryoablation of the stellate ganglion in dogs with pacing-induced
heart failure (HF). BACKGROUND: Recording of SCNA is a new method to estimate
sympathetic tone in dogs. HF is known to increase sympathetic tone and atrial
arrhythmias. METHODS: Twelve dogs with pacing-induced HF were studied using
implanted radiotransmitters to record the stellate ganglia nerve activity (SGNA),
vagal nerve activity, and SCNA. Of these, 6 dogs (ablation group) underwent
bilateral stellate ganglia cryoablation before the rapid ventricular pacing; the
remaining 6 dogs (control group) had rapid ventricular pacing only. In both
groups, SCNA was compared with SGNA and the occurrence of arrhythmias. RESULTS:
SCNA invariably increased before the 360 identified atrial tachyarrhythmia
episodes in the 6 control dogs before and after HF induction. SCNA and SGNA
correlated in all dogs with an average correlation coefficient of 0.64 (95%
confidence interval: 0.58 to 0.70). Cryoablation of bilateral stellate ganglia
significantly reduced SCNA from 0.34 +/- 0.033 MUV to 0.25 +/- 0.028 MUV (p =
0.03) and eliminated all atrial tachyarrhythmias. CONCLUSIONS: SCNA can be used
to estimate cardiac sympathetic tone in dogs with pacing-induced HF. Cryoablation
of the stellate ganglia reduced SCNA and arrhythmia vulnerability.
PMID- 29798802
TI - Sinoventricular Conduction in the Setting of Severe Hyperkalemia.
PMID- 29798801
TI - A Unique Emergency Maneuver and Rescue During Left Atrial Appendage Occlusion
Device-Related Cardiac Perforation.
PMID- 29798803
TI - Impact of Evolving Comorbidities on Outcomes After Catheter Ablation of Atrial
Fibrillation in the United States Between 2003 and 2013.
PMID- 29798804
TI - Hyphenation of capillary electrophoresis to inductively coupled plasma mass
spectrometry with a modified coaxial sheath-flow interface.
AB - Capillary electrophoretic analyses benefit significantly from hyphenation to mass
spectrometric techniques. While the coupling to ESI-MS is routinely performed,
for example by using a coaxial sheath-flow interface, hyphenating it to
inductively coupled plasma mass spectrometry is more technically challenging. We
use a commercially available coaxial sheath-flow interface (CSFI) and a simple
PTFE-based end-cap for easy, inexpensive CE-ICP-MS hyphenation with improved
sensitivity and analytical performance compared to commercially available
interfaces. We have optimized key nebulizer parameters such as capillary
position, sheath liquid flow rate, and carrier gas flow rate, and compared the
CSFI with a commercially available interface. In a set of proof-of-principle
experiments employing the anticancer agent cisplatin it was demonstrated that the
signal to noise response and sensitivity were considerably improved leading to
detection limits for 195Pt of 0.08 MUM.
PMID- 29798806
TI - Magnetic molecularly imprinted polymer nanoparticles for simultaneous extraction
and determination of 6-mercaptopurine and its active metabolite thioguanine in
human plasma.
AB - Cytotoxic drugs used in cancer chemotherapy require the continuous monitoring of
their plasma concentration levels for dose adjustment purposes. Such condition
necessitates the presence of a sensitive technique for accurate extraction and
determination of these drugs together with their active metabolites. In this
study a novel solid phase extraction technique using magnetic molecularly
imprinted nanoparticles (MMI-SPE) is combined with liquid chromatography tandem
mass spectrometry (LC-MS/MS) to extract and determine the anti-leukemic agent; 6
mercaptopurine (6-MP) and its active metabolite thioguanine (TG) in human plasma.
The magnetic molecularly imprinted nanoparticles (Fe3O4@MIP NPs) were synthesized
via precipitation polymerization technique and were characterized using different
characterization methods A computational approach was adopted to help in the
choice of the monomer used in the fabrication process. The Fe3O4@MIPs NPs
possessed a highly improved imprinting efficiency, fast adsorption kinetics
following 2nd order kinetics and good adsorption capacity of 1.0 mg/g. The
presented MMI-SPE provided the optimum approach in comparison to other reported
ones to achieve good extraction recovery and matrix effect of trace levels of 6
MP and TG from plasma. Chromatographic separation was carried out using a
validated LC-MS/MS assay and recovery, matrix effect and process efficiency were
evaluated. Recovery of 6-MP and TG was in the range of 85.94-103.03%, while,
matrix effect showed a mean percentage recovery of 85.94-97.62% and process
efficiency of 85.54-96.18%. The proposed extraction technique is simple,
effective and can be applicable to the extraction and analysis of other
pharmaceutical compounds in complex matrices for therapeutic drug monitoring
applications.
PMID- 29798805
TI - Screening and identification of ligand-protein interactions using functionalized
heat shock protein 90-fluorescent mesoporous silica-indium phosphide/zinc sulfide
quantum dot nanocomposites.
AB - Currently, nanosphere-based ligand fishing cannot be accomplished with imaging
processing, although this step is important for real-time identification. Herein,
a ligand fishing technique combined with real-time imaging is presented for the
identification of ligands for heat shock protein 90alpha (Hsp 90alpha) from a
complex matrix, Alisma plantago-aquatica Linn. crude extract, using Hsp 90alpha
functionalized mesoporous silica nanoparticle (MSN)-InP/ZnS quantum dot (QD)
nanocomposites as a support material. Twenty ligands for Hsp 90alpha were
screened, and their structures were identified by mass spectrometry. The
activities of the ligands were verified by real-time imaging of cells apoptotic
morphological changes. Quantitative analysis showed that Alisma plantago-aquatica
Linn contained 8.19MUg/g Alisol F, which regarded as one typical component of
Alisma plantago-aquatica Linn, and the extraction ratio of Alisol F was 76.2%.
The precision for five replicate measurements was 7.0% (RSD). The prepared
nanocomposites were also used to screen proteins from a mixture of cellular
extracts, and five proteins from HeLa cells were identified as potential client
proteins of Hsp 90alpha.
PMID- 29798807
TI - Methodology to determine the extent of anaerobic digestion, composting and CH4
oxidation in a landfill environment.
AB - An examination of the processes contributing to the production of landfill
greenhouse gas (GHG) emissions is required, as the actual level to which waste
degrades anaerobically and aerobically beneath covers has not been
differentiated. This paper presents a methodology to distinguish between the rate
of anaerobic digestion (rAD), composting (rCOM) and CH4 oxidation (rOX) in a
landfill environment, by means of a system of mass balances developed for
molecular species (CH4, CO2) and stable carbon isotopes (delta13C-CO2 and
delta13C-CH4). The technique was applied at two sampling locations on a sloped
area of landfill. Four sampling rounds were performed over an 18 month period
after a 1.0 m layer of fresh waste and 30-50 cm of silty clay loam had been
placed over the area. Static chambers were used to measure the flux of the
molecular and isotope species at the surface and soil gas probes were used to
collect gas samples at depths of approximately 0.5, 1.0 and 1.5 m. Mass balances
were based on the surface flux and the concentration of the molecular and
isotopic species at the deepest sampling depth. The sensitivity of calculated
rates was considered by randomly varying stoichiometric and isotopic parameters
by +/-5% to generate at least 500 calculations of rOX, rAD and rCOM for each
location in each sampling round. The resulting average value of rAD and rCOM
indicated anaerobic digestion and composting were equally dominant at both
locations. Average values of rCOM: ranged from 9.8 to 44.5 g CO2 m-2 d-1 over the
four sampling rounds, declining monotonically at one site and rising then falling
at the other. Average values of rAD: ranged from 10.6 to 45.3 g CO2 m-2 d-1.
Although the highest average rAD value occurred in the initial sampling round,
all subsequent rAD values fell between 10 and 20 g CO2 m-2 d-1. rOX had the
smallest activity contribution at both sites, with averages ranging from 1.6 to
8.6 g CO2 m-2 d-1. This study has demonstrated that for an interim cover,
composting and anaerobic digestion of shallow landfill waste can occur
simultaneously.
PMID- 29798808
TI - Management of placenta percreta with temporary balloon occlusion of the internal
iliac arteries.
PMID- 29798809
TI - Molecular Testing Turnaround Time in Non-Small-Cell Lung Cancer: Monitoring a
Moving Target.
PMID- 29798810
TI - Unusual cause of left ventricular dysfunction in a child.
AB - Anomalous Origin of the Left Coronary Artery from the Pulmonary Artery is a rare
congenital heart disease and a cause of myocardial ischemia during childhood.
Most undiagnosed cases die in the first year of life as an extensive collateral
network is essential for survival. The diagnosis requires a high index of
clinical suspicion. The authors present the case of an 8-year-old black
asymptomatic child referred from Cape Verde Island in order to clarify left
ventricular dilatation and dysfunction with systo-diastolic turbulent flows
observed at the interventricular septum. At the age of 3 months, she was
diagnosed with heart failure, in the context of showing dilated cardiomyopathy.
She was managed and clinically improved with anticongestive therapy, which she
was still taking at the time of admission to our Center. The echocardiogram
findings suggested Anomalous Origin of the Left Coronary Artery from the
Pulmonary Artery and the diagnosis was confirmed by computerized angiotomography
and cardiac catheterization. The patient was successfully submitted to direct
implantation of the left coronary artery into the aorta, allowing the creation of
a double coronary perfusion system. This case illustrates an unusual presentation
of a rare pathology that survived without a diagnosis after the first year of
life. It also reinforces the importance of multimodality image screening in these
cases.
PMID- 29798811
TI - Are defibrillators less useful in patients with non-ischemic heart disease?
AB - INTRODUCTION AND OBJECTIVE: The benefits of implanted defibrillators in patients
with ischemic heart disease (IHD) are well known. However, the evidence is less
robust in patients with non-ischemic heart disease (non-IHD). We aimed to
determine whether patients with non-IHD have a similar incidence of appropriate
shocks and all-cause mortality compared to those with IHD. METHODS: In a
retrospective single-center study we analyzed all patients with implantable
cardioverter-defibrillators or cardiac resynchronization therapy-defibrillators
implanted for primary prevention between 2004 and 2014. The population was
divided into two groups: patients with IHD and patients with non-IHD. The
composite endpoint was appropriate shock and all-cause mortality. RESULTS: Two
hundred and eighty-one patients were studied, of whom 187 (66%) had IHD. Patients
with IHD were older, more frequently male and with more cardiovascular risk
factors. Mean follow-up was 55+/-42 months. Thirty-four patients (18%) with IHD
and 20 patients (21%) with non-IHD had an appropriate shock (p=0.64). Eighty-nine
patients (47%) with IHD and 36 (38%) with non-IHD died during follow-up (p=0.19).
The rate of shocks or death over time was similar in patients with IHD and non
IHD according to Kaplan-Meier survival curve analysis (log-rank p=0.10).
CONCLUSION: In this population, there were no differences in appropriate shocks
or all-cause mortality in the two groups.
PMID- 29798812
TI - Concurrency of partnerships, consistency with data, and control of sexually
transmitted infections.
AB - Sexually transmitted infections (STIs) are a globally increasing public health
problem. Mathematical models, carefully matched to available epidemiological and
behavioural data, have an important role to play in predicting the action of
control measures. Here, we explore the effect of concurrent sexual partnerships
on the control of a generic STI with susceptible-infected-susceptible dynamics.
Concurrency refers to being in more than one sexual partnership at the same time,
and is difficult to measure accurately. We assess the impact of concurrency
through the development of three nested pair-formation models: one where
infection can only be transmitted via stable sexual partnerships, one where
infection can also be transmitted via casual partnerships between single
individuals, and one where those individuals in stable partnerships can also
acquire infection from casual partnerships. For each model, we include the action
of vaccination before sexual debut to inform about the ability to control. As
expected, for a fixed transmission rate, concurrency increases both the endemic
prevalence of infection and critical level of vaccination required to eliminate
the disease significantly. However, when the transmission rate is scaled to
maintain a fixed endemic prevalence across models, concurrency has a far smaller
impact upon the critical level of vaccination required. Further, when we also
constrain the models to have a fixed number of new partnerships over time (both
long-term and casual), then increasing concurrency can slightly decrease the
critical level of vaccination. These results highlight that accurate measures and
models of concurrency may not always be needed for reliable forecasts when models
are closely matched to prevalence data. We find that, while increases in
concurrency within a population are likely to generate public-health problems,
the inclusion of concurrency may be unnecessary when constructing models to
determine the efficacy of the control of STIs by vaccination.
PMID- 29798813
TI - The influence of early intervention, informal support and the family environment
on trajectories of competence for fathers raising children with developmental
disabilities.
AB - BACKGROUND: Scant research disentangles the relationship between parenting
competence, early intervention (EI) services, the family environment and informal
support among fathers of children with developmental disabilities. AIMS: (1) To
determine the trajectory of parental competence for fathers of children with DD
from age 3 to age 15. (2) Controlling for child and family characteristics,
determine the main effects of the family environment, informal support, and EI
services on paternal competence when their child with a developmental disability
was age 3. (3) To determine whether there were lasting effects of the family
environment, informal support, and the EI service system on differences in
paternal competence over time. METHODS: This study used multilevel modeling to
analyze longitudinal data from 93 American fathers from the Early Intervention
Collaborative Study. RESULTS: There was no significant change over time in
paternal competence after controlling for various covariates. Fathers who
initially reported low levels of competence when their child was three reported
continuously lower competence over time. Family relationships, positive supports,
and perceived helpfulness of home visits were significant predictors of paternal
competence at age three. CONCLUSION: Implications for programs and policy include
developing and adopting rigorous ways to measure and carefully monitor service
provision, including assessments of paternal competence, family relationships and
informal supports at the start of early intervention, and fostering continuous
collaborations between providers, researchers and clinicians to address
challenges in data collection.
PMID- 29798814
TI - [Safety and efficacy of the use of eltrombopag in a case of severe acquired bone
marrow aplasia].
PMID- 29798815
TI - Development of a Model to Predict Invasiveness in Ductal Carcinoma In Situ
Diagnosed by Percutaneous Biopsy-Original Study and Critical Evaluation of the
Literature.
AB - BACKGROUND: Approximately 30% of ductal carcinoma in situ (DCIS) cases have an
invasive component discovered on the final analysis that could affect surgical
management. The aims of the present study were to determine the risk factors
associated with the underestimation of DCIS and to develop a model to predict the
probability of invasiveness. MATERIALS AND METHODS: A retrospective analysis was
performed on the data for all patients with a diagnosis of DCIS found by
percutaneous biopsy from January 2008 to February 2016. Thirteen potential
predictors of invasiveness were examined. The statistical analysis of the present
study was improved using Nagelkerke's R2, the area under the receiving operating
characteristic (AUC) curve, and the Hosmer-Lemeshow goodness-of-fit test.
RESULTS: Of 354 biopsy specimens deemed to be DCIS on initial biopsy, 100 (28.2%)
were recategorized as invasive carcinoma after surgery. On multivariate analysis,
the strongest predictors of invasiveness were comedonecrosis, size on
mammography, suspected microinvasion, histologic grade, and younger patient age.
The model had a good discriminative ability, with an AUC of 0.764. The overall
performance of the model was fair, with a Nagelkerke's R2 of 40.9%. A separate
analysis performed on 274 specimens obtained through vacuum-assisted biopsy
revealed different variables were associated with underestimation; however, a
similar AUC (0.743) and Nagelkerke's R2 (45.7%) were obtained. CONCLUSION: Our
model had the best AUC for predicting DCIS invasiveness reported to date.
However, further statistical analysis showed only a fair overall performance. The
currently known clinical, radiographic, and pathologic features might be
insufficient to identify which patients with DCIS have underestimated disease.
PMID- 29798817
TI - Reinforcement with EndoGIATM to Prevent Blow-out of the Aortic Stump.
PMID- 29798816
TI - The function of the heart is not obvious.
AB - It is widely believed that the function of the heart is obviously to pump blood.
I argue here that it is not. The definition, presentation, and pathophysiological
explanation of heart failure, as well as the measurement of cardiac dysfunction,
are not as might be expected if the function of the heart was simply to pump
blood. Far from being obvious, many central features of heart failure are still
being investigated. This has important implications for philosophical debates
about health and disease. According to naturalists like Christopher Boorse,
medical practice is founded on a well-established body of physiological
knowledge, which provides the one true account of the biological function of
organs. On this naturalistic view, there should only be one account of the
pathophysiology of heart failure in use in medical practice. This account of the
pathophysiology of heart failure should be well-established, as opposed to
uncertain. Medics should use this physiological knowledge to inform their
clinical practice, and not vice versa. Clinical considerations, such as whether
patients respond to therapy, should not inform debates about what the
pathophysiology of heart failure is. I will show this is not the case. The
handling of knowledge of the biological function of the heart in medical practice
differs substantially from Boorse's account.
PMID- 29798818
TI - Remote organ failure in acute kidney injury.
AB - Despite supportive care with renal replacement therapy, acute kidney injury (AKI)
remains linked with increased short and long-term mortality, not just because of
renal failure but also because of accompanying remote organ dysfunction.
Increasing evidence from animal studies suggests that numerous factors contribute
both to the development of AKI and the impairment of various vital organs,
including pro-inflammatory cytokine expression, leukocyte infiltration, vascular
permeability changes, ion channel derangement, oxidative stress, and cell
apoptosis. Human studies have reported that AKI with concomitant multi-organ
dysfunction is associated with a high death rate. We propose that persistent
organ dysfunction after AKI can be considered in relation to three proposed
mechanisms (1) classical uremic stress and its associated sequelae (2) systemic
inflammation as a consequence of kidney injury (3) treatment-related effects.
Using this framework, we discuss the known pathways through which AKI can affect
the function of a number of remote organs. We review the short- and long-term
clinical impact of AKI on other organ systems and potential mechanisms through
which AKI may affect remote organ systems. Further elucidating the effects of AKI
on remote organ function may lead to new therapeutic strategies to improve
outcomes after AKI.
PMID- 29798819
TI - Do patients benefit more from robot assisted approach than conventional
laparoscopic distal pancreatectomy? A meta-analysis of perioperative and economic
outcomes.
AB - BACKGROUND/PURPOSE: Robotic approach has improved the ergonomics of conventional
laparoscopic distal pancreatectomy (LDP), but whether patients benefit more from
robot assisted distal pancreatectomy (RADP) is still controversial. This meta
analysis aims to compare the perioperative and economic outcomes of RADP with
LDP. METHODS: A systematic review of the literature was carried out on PubMed,
EMBASE, and the Cochrane Library between January 1990 and March 2017. All
eligible studies comparing RADP versus LDP were included. Perioperative and
economic outcomes constituted the end points. RESULTS: 13 English studies with
1396 patients were included. Regarding to intraoperative outcomes, RADP was
associated with a significant decrease in conversion rate (OR = 0.52; 95%CI:
0.34, 0.78; P = 0.002). Although the spleen-preserving rates were comparable
between RADP and LDP, a significant higher splenic vessels conservation rate was
observed in the RADP group (OR = 4.71; 95%CI: 1.77, 12.56; P = 0.002). No
statistically significant differences were found at operation time, estimated
blood loss and blood transfusion rate. Concerning postoperative outcomes, pooled
data indicated the overall morbidity, pancreatic fistula and the length of
hospital stay did not differ significantly between the RADP and LDP groups. And
concerning pathological outcomes, positive margin rate and the number of lymph
nodules harvested were comparable between the two groups. The operative cost of
RADP was almost double that of LDP (WMD = 2350.2 US dollars; 95%CI: 1165.62,
3534.78; P = 0.0001). CONCLUSION: RADP showed a slight technical advantage. But
whether this benefit is worth twofold cost should be considered by patient's
individuation.
PMID- 29798820
TI - A haplotype of MAP2K5/SKOR1 was associated with essential tremor in Chinese
population.
PMID- 29798821
TI - Tuberculosis and Microscopic Polyangiitis. A Rare Combination.
PMID- 29798822
TI - Mental health, preoperative disability, and postoperative outcomes in patients
undergoing shoulder arthroplasty.
AB - BACKGROUND: Mental health conditions are associated with poor outcomes in
patients with chronic disease as well as various orthopedic conditions. The
purpose of this study was to describe the relationship between mental health,
preoperative disability, and postoperative outcomes in patients undergoing
shoulder arthroplasty. METHODS: Data, including mental health diagnoses, were
prospectively collected from patients undergoing total shoulder arthroplasty or
reverse total shoulder arthroplasty from 2009 to 2015 at a single academic
institution. Shoulder range of motion, visual analog scale, 12-Item Short Form
Health Survey, and American Shoulder and Elbow Surgeons scores were collected
preoperatively and at 1 and 2 years postoperatively. Data were analyzed using
multivariate mixed-effect regression analysis. RESULTS: The study included 280
patients, 105 (37.5%) of whom had a mental health diagnosis of depression,
anxiety, schizophrenia, or bipolar disorder. Both groups of patients had similar
shoulder range of motion, pain, and function before shoulder arthroplasty.
Hospital length of stay, discharge destination, and readmissions were similar for
both groups. There were similar improvements in pain, function, and range of
motion after shoulder arthroplasty in patients with and without diagnosed mental
health conditions. CONCLUSIONS: Overall, the presence of a psychiatric diagnosis
was not predictive of outcomes. Although psychiatric conditions are often
considered surgical comorbidities, mental health diagnoses should not be a
barrier to performing clinically indicated shoulder arthroplasty, because both
groups of patients appear to benefit from pain relief and improved shoulder
function.
PMID- 29798824
TI - Predicting adverse events, length of stay, and discharge disposition following
shoulder arthroplasty: a comparison of the Elixhauser Comorbidity Measure and
Charlson Comorbidity Index.
AB - BACKGROUND: Rising health care expenditures and the adoption of bundled-care
initiatives require efficient resource allocation for shoulder arthroplasty. To
determine a reliable and accessible metric for implementing perioperative care
pathways, we compared the accuracy of the Elixhauser Comorbidity Measure (ECM)
and Charlson Comorbidity Index (CCI) for predicting adverse events and
postoperative discharge destination after shoulder arthroplasty. MATERIALS AND
METHODS: The National Inpatient Sample was queried for patients who underwent
total shoulder arthroplasty or reverse total shoulder arthroplasty between 2002
and 2014. Logistic regression models were constructed with basic demographic
variables and either the ECM or the CCI to predict inpatient deaths,
complications, extended length of stay, and discharge disposition. The predictive
discrimination of each model was evaluated using the concordance statistic (C
statistic). RESULTS: We identified a total of 90,491 patients. The model
incorporating both basic demographic variables and the complete set of ECM
comorbidity variables provided the best predictive model, with a C-statistic of
0.867 for death, 0.752 for extended length of stay, and 0.81 for nonroutine
discharge. The model's discrimination for postoperative complications was good,
with C-statistics ranging from 0.641 to 0.879. CONCLUSION: A predictive model
using the ECM outperforms models using the CCI for anticipating resource
utilization following shoulder arthroplasty. Our results may assist value-based
reimbursement methods to promote quality of care and reduce health care
expenditures.
PMID- 29798823
TI - A randomized controlled trial of arthroscopic capsular release versus
hydrodilatation in the treatment of primary frozen shoulder.
AB - BACKGROUND: Arthroscopic capsular release (ACR) and hydrodilatation (HD) have
been developed for the management of frozen shoulder refractory to conservative
treatment. To date no randomized trial has directly compared the efficacy of both
interventions. The aim of this trial was to determine whether the Oxford Shoulder
Score (OSS) differs between patients with frozen shoulder randomized to treatment
with ACR or HD. METHODS: Patients presenting with severe idiopathic frozen
shoulder deemed suitable for surgical intervention by a consultant shoulder
surgeon were randomized to ACR or HD. The primary outcome measure was OSS at 6
months, with secondary outcomes measures of the EuroQol-5D visual analog scale,
external rotation, complications, and crossover rate also recorded. RESULTS:
Between June 2013 and December 2016, 50 patients were randomized to HD or ACR.
The average age of the HD and ACR cohorts was 55.2 and 52.6 years, respectively
(P = .36). At 6 months after the intervention, 20 patients were available for
follow-up in the HD cohort and 19 in the ACR cohort. Both groups demonstrated
significant improvements in OSS from baseline, but the OSS was significantly
higher in the ACR cohort than the HD cohort (43.8 vs. 38.5, P = .023). The OSS
was noted to improve rapidly after the intervention, with 75% of improvement in
OSS noted at 6 weeks after surgery in both groups. CONCLUSIONS: Patients
randomized to ACR reported a significantly higher OSS at 6 months than those
randomized to HD. Both groups, however, showed a significant improvement.
PMID- 29798826
TI - Synthesis of novel hetero ring fused pyridine derivatives; Their anticancer
activity, CoMFA and CoMSIA studies.
AB - A series of novel furo[2,3-b]pyridine-2-carboxamide 4a
h/pyrido[3',2':4,5]furo[3,2-d] pyrimidin-4(3H)-one derivatives 5a-p were prepared
from pyridin 2(1H) one 1 via selective O-alkylation with alpha-bromoethylester
followed by cyclization, then reaction with different aliphatic primary amines to
obtain 4 and further reaction with triethyl orthoacetate/triethyl orthoformate.
Also prepared novel furo[2,3-b]pyridine-2-carbohydrazide Schiff's bases 7a-h and
pyrido [3',2':4,5]furo[3,2-d]pyrimidin-4(3H)-one derivatives 8a-h starting from
furo[2,3-b]pyridine carboxylate derivatives 3 by reaction with hydrazine hydrate
to form 6 and reaction with diverse substituted aldehydes and cyclization.
Products 4a-h, 5a-p, 7a-h and 8a-h were screened against four human cancer cell
lines (HeLa, COLO205, Hep G2 and MCF 7) and one normal cell line (HEK 293).
Compounds 4e, 4f, 4g, 5h, 7c, 7d, 7e and 7f showed significant anticancer
activity against all the cell lines at micro molar concentration and found to be
non-toxic to normal cell line. Studies for HeLa, COLO205 and MCF-7 using CoMFA
and CoMSIA. Models from 3D-QSAR provided a strong basis for future rational
design of more active and selective HeLa, COLO205 and MCF-7 cell line inhibitors.
PMID- 29798825
TI - Development of autotaxin inhibitors: A series of zinc binding triazoles.
AB - A series of inhibitors of Autotaxin (ATX) has been developed using the binding
mode of known inhibitor, PF-8380, as a template. Replacement of the benzoxazolone
with a triazole zinc-binding motif reduced crystallinity and improved solubility
relative to PF-8380. Modification of the linker region removed hERG activity and
led to compound 12 - a selective, high affinity, orally-bioavailable inhibitor of
ATX. Compound 12 concentration-dependently inhibits autotaxin and formation of
LPA in vivo, as shown in pharmacokinetic-pharmacodynamic experiments.
PMID- 29798827
TI - Isoxazole-tethered diarylheptanoid analogs: Discovery of a new drug-like PAR2
antagonist.
AB - A new class of isoxazole-tethered diarylheptanoids having characteristic 1,3-syn
diol and 1,3-anti-diol chemophoric moieties, e.g. 4a-d and 5a-c respectively,
have been designed and synthesized starting from d-glucose following a stereo
conserved general synthetic strategy. The isoxazole heterocycle was installed
using our recently elaborated methodology deploying MagtrieveTM as a selective
oxidizing agent. Two of these new analogs 4a and 5a exhibited significantly
improved in vitro drug-like properties including solubility, metabolic stability,
cell permeability and lack of nonspecific cytotoxicity when compared with
curcumin-I. In a HEK293 cell-based intracellular calcium [Ca2+]i release assay,
4a and 5a, when tested at 30 MUM, inhibited the trypsin agonist induced protease
activated receptor-2 (PAR2) activity by 80% and 70% respectively. IC50 of 4a
(SB70) has been determined as 6 MUM which is in the same range of current
benchmarks for PAR2 antagonists.
PMID- 29798828
TI - Attitudes towards motherhood of women with physical versus psychiatric
disabilities.
AB - BACKGROUND: Women with disabilities may face social negative attitudes with
regard to their being mothers. In addition, attitudes toward different
disabilities form a hierarchy, with more positive attitudes being displayed
toward persons with physical disabilities than toward persons with psychiatric
disabilities. OBJECTIVE: Current observational study examined whether the
relationship between a woman's type of disability (psychiatric vs. physical) and
the social attitude towards her would be moderated by her being presented as a
mother. METHOD: University students (N = 100) filled out the Multidimensional
Attitudes Scale Toward Persons With Disabilities and the Social Distance Scale,
after reading one of six randomly assigned fictitious vignettes. The vignettes
consisted of a woman with a physical disability/a woman with a psychiatric
disability/a woman without a disability, who either was or was not a mother.
RESULTS: Type of disability was found to have a main effect in some attitude
domains, suggesting that attitudes toward women with physical disabilities were
better than attitudes towards women with psychiatric disabilities. An interaction
between type of disability and motherhood was found for the interpersonal
distress subscale of the attitudes scale. It was found that when women had
physical disabilities, there was no change in attitude towards them regardless of
whether they were presented as mothers or not; However, when the target woman had
a psychiatric disability, and she was presented as a mother, negative attitudes
were generated towards her. CONCLUSIONS: The study demonstrates the existence of
a hierarchy of stigmatization and the effect of being a mother on stigmatization.
PMID- 29798829
TI - Disability, stress, and health disparities.
PMID- 29798830
TI - Neuromyelitis optica in Sub-Saharan Africa: the first case report from Togo.
AB - Neuromyelitis optica (NMO) appears to affect blacks disproportionately in mixed
populations. We report the first case of documented NMO in Togo (West Africa). A
26-year-old Togolese woman was admitted for progressive tetraplegia, acute urine
retention, and dyspnea. Magnetic resonance imaging of the cervical spinal cord
demonstrated an extensive longitudinal lesion, and an ophthalmologic examination
found bilateral optic neuritis. Antibody testing for AQP4-IgG was positive. The
patient died of severe respiratory distress despite high doses of intravenous
corticosteroids. Epidemiological data about NMO in sub-Saharan Africa would
improve our understanding of the role of genetic and environmental risk factors
in this disease.
PMID- 29798831
TI - A Web-Based Transdiagnostic Intervention for Affective and Mood Disorders:
Randomized Controlled Trial.
AB - BACKGROUND: Research increasingly supports a transdiagnostic conceptualization of
emotional disorders (ie applying the same underlying treatment principles across
mental disorders, without tailoring the protocol to specific diagnoses), and many
international researchers are currently investigating this issue. OBJECTIVE: The
aim of this study was to evaluate the efficacy and acceptability of a Web-based
transdiagnostic program using a sample of Romanian adults diagnosed with anxiety
and/or depression. METHODS: Volunteer participants registered for the study and
completed a series of online self-report measures. Participants who fulfilled
basic inclusion criteria on these measures were contacted for a telephone
diagnostic interview using the Structural Clinical Interview for Diagnostic and
Statistical Manual of Mental Disorders, 4th Edition Axis I Disorders (SCID-I).
Enrolled participants were randomized to either the active treatment group (N=69)
or the wait-list control group (N=36) using a 2:1 ratio. The transdiagnostic
treatment was based on the Unified Protocol for Transdiagnostic Treatment of
Emotional Disorders (UP; Barlow et al, 2011) that addresses common underlying
mechanisms of anxiety and depression. Participants randomized to the active
treatment condition received 10 weeks of Web-based treatment based on the UP.
Throughout treatment, graduate students in clinical psychology provided guidance
that consisted of asynchronous written communication on a secure Web platform.
After the intervention, participants in both study conditions were invited to
complete a set of self-report measures and a postintervention SCID-I interview
conducted by a different team of graduate students blinded to participants' group
and diagnostic status. Six months later, participants in the active treatment
group were invited to complete an online follow-up assessment. RESULTS: During
the intervention, active treatment participants completed on average 19 homework
assignments (SD 12.10), and we collected data from 79.0% (83/105) at
postintervention and 51% (35/69) at follow-up for self-report measures.
Postintervention SCID-I interviews were collected from 77.1% (81/105)
participants. Relative to the wait-list control group, the transdiagnostic
intervention yielded overall medium to large effect sizes for the primary outcome
measures (within-group Hedges g=0.52-1.34 and between-group g=0.39-0.86), and
also for anxiety sensitivity (g=0.80), symptom interference (g=0.48), and quality
of life (g=0.38). Significant within-groups effects only were reported for the
active treatment group on Panic Disorder Severity Scale-Self Report (PDSS-SR,
g=0.58-0.65) and Yale-Brown Obsessive Compulsive Scale (Y-BOCS, g=0.52-0.58).
CONCLUSIONS: Insignificant between-group differences for the Y-BOCS and PDSS-SR
could be explained by the small number of participants with the associated
primary diagnostic (eg, only 3 participants with obsessive compulsive disorder)
by the choice of outcome measure (PDSS-SR was not rated among the evidence-based
measures) and by the fact that these disorders may be more difficult to treat.
However, the overall results suggest that the transdiagnostic intervention tested
in this study represents an effective treatment option that may prove easier to
disseminate through the use of Web-based delivery systems. TRIAL REGISTRATION:
ClinicalTrials.gov CT02739607;
https://clinicaltrials.gov/ct2/show/study/NCT02739607 (Archived by WebCite at
http://www.webcitation.org/6yY1VeYIZ).
PMID- 29798832
TI - TEAMS (Tele-Exercise and Multiple Sclerosis), a Tailored Telerehabilitation
mHealth App: Participant-Centered Development and Usability Study.
AB - BACKGROUND: People with multiple sclerosis face varying levels of disability and
symptoms, thus requiring highly trained therapists and/or exercise trainers to
design personalized exercise programs. However, for people living in
geographically isolated communities, access to such trained professionals can be
challenging due to a number of barriers associated with cost, access to
transportation, and travel distance. Generic mobile health exercise apps often
fall short of what people with multiple sclerosis need to become physically
active (ie, exercise content that has been adapted to accommodate a wide range of
functional limitations). OBJECTIVE: This usability study describes the
development process of the TEAMS (Tele-Exercise and Multiple Sclerosis) app,
which is being used by people with multiple sclerosis in a large randomized
controlled trial to engage in home-based telerehabilitation. METHODS: Twenty-one
participants with disabilities (10 people with multiple sclerosis) were involved
in the double iterative design, which included the simultaneous development of
the app features and exercise content (exercise videos and articles). Framed
within a user-centered design approach, the development process included 2
stages: ground-level creation (focus group followed by early stage evaluations
and developments), and proof of concept through 2 usability tests. Usability
(effectiveness, usefulness, and satisfaction) was evaluated using a mixed-methods
approach. RESULTS: During testing of the app's effectiveness, the second
usability test resulted in an average of 1 problem per participant, a decrease of
53% compared to the initial usability test. Five themes were constructed from the
qualitative data that related to app usefulness and satisfaction, namely: high
perceived confidence for app usability, positive perceptions of exercise videos,
viable exercise option at home, orientation and familiarity required for
successful participation, and app issues. Participants acknowledged that the
final app was ready to be delivered to the public after minor revisions. After
including these revisions, the project team released the final app that is being
used in the randomized controlled trial. CONCLUSIONS: A multi-level user-centered
development process resulted in the development of an inclusive exercise program
for people with multiple sclerosis operated through an easy-to-use app. The
promotion of exercise through self-regulated mHealth programs requires a
stakeholder-driven approach to app development. This ensures that app and content
match the preferences and functional abilities of the end user (ie, people with
varying levels of multiple sclerosis).
PMID- 29798834
TI - Important considerations for interpreting biochemical tests in children.
PMID- 29798833
TI - Exploring the Waveform Characteristics of Tidal Breathing Carbon Dioxide,
Measured Using the N-Tidal C Device in Different Breathing Conditions (The
General Breathing Record Study): Protocol for an Observational, Longitudinal
Study.
AB - BACKGROUND: In an increasingly comorbid population, there are significant
challenges to diagnosing the cause of breathlessness, and once diagnosed,
considerable difficulty in detecting deterioration early enough to provide
effective intervention. The burden of the breathless patient on the health care
economy is substantial, with asthma, chronic heart failure, and pneumonia
affecting over 6 million people in the United Kingdom alone. Furthermore, these
patients often have more than one contributory factor to their breathlessness
symptoms, with conditions such as dysfunctional breathing pattern disorders-an
under-recognized component. Current methods of diagnosing and monitoring
breathless conditions can be extensive and difficult to perform. As a
consequence, home monitoring is poorly complied with. In contrast, capnography
(the measurement of tidal breath carbon dioxide) is performed during normal
breathing. There is a need for a simple, easy-to-use, personal device that can
aid in the diagnosis and monitoring of respiratory and cardiac causes of
breathlessness. OBJECTIVE: The aim of this study was to explore the use of a new,
handheld capnometer (called the N-Tidal C) in different conditions that cause
breathlessness. We will study whether the tidal breath carbon dioxide (TBCO2)
waveform, as measured by the N-Tidal C, has different characteristics in a range
of respiratory and cardiac conditions. METHODS: We will perform a longitudinal,
observational study of the TBCO2 waveform (capnogram) as measured by the N-Tidal
C capnometer. Participants with a confirmed diagnosis of asthma, breathing
pattern disorders, chronic heart failure, motor neurone disease, pneumonia, as
well as volunteers with no history of lung disease will be asked to provide twice
daily, 75-second TBCO2 collection via the N-Tidal C device for 6 months duration.
The collated capnograms will be correlated with the underlying diagnosis and
disease state (stable or exacerbation) to determine if there are different TBCO2
characteristics that can distinguish different respiratory and cardiac causes of
breathlessness. RESULTS: This study's recruitment is ongoing. It is anticipated
that the results will be available in late 2018. CONCLUSIONS: The General
Breathing Record Study will provide an evaluation of the use of capnography as a
diagnostic and home-monitoring tool for various diseases. REGISTERED REPORT
IDENTIFIER: RR1-10.2196/9767.
PMID- 29798835
TI - Antiplatelet Therapy, Cerebral Microbleeds, and Intracerebral Hemorrhage: A Meta
Analysis.
AB - BACKGROUND AND PURPOSE: Antiplatelet therapy is associated with the presence of
cerebral microbleeds (CMBs) with limited studies. We further investigate the
topic focusing on different effects of antiplatelet therapy on strict lobar and
deep/infratentorial MBs. METHODS: We searched PubMed and EMBASE from January 1,
1997 to December 1, 2017, for relevant studies, calculated the pooled odds ratios
(OR) for CMB incidence and distribution (strictly lobar, deep/infratentorial) in
antiplatelet users versus nonantiplatelet users and calculated the OR for the
incidence of intracerebral hemorrhage in antiplatelet users with CMBs versus
those without. RESULTS: We included 20 988 participants from 37 studies. CMBs
were more frequent in antiplatelet users than those in nonantiplatelet users
(pooled OR, 1.21; 95% confidence interval, 1.07-1.36; P=0.002). There was a
significant association of antiplatelet therapy with strictly lobar MBs (OR,
1.45; 95% confidence interval, 1.15-1.84; P=0.002) rather than
deep/infratentorial MBs (OR, 1.37; 95% confidence interval, 0.98-1.90; P=0.062).
Intracerebral hemorrhage incidence was higher in participants with CMBs than
those without CMBs (OR, 3.40; 95% confidence interval, 2.00-5.78; P=0.000) in
antiplatelet users. CONCLUSIONS: Antiplatelet drug use was associated with
increased risk of strictly lobar MBs and increased the intracerebral hemorrhage
incidence in participants with CMBs.
PMID- 29798836
TI - Seven days in medicine: 16-22 May 2018.
PMID- 29798837
TI - Nerve ablation reduced blood pressure in two thirds of patients, trial finds.
PMID- 29798839
TI - A simple technique to construct tissue macroarrays.
AB - AIMS: In the diagnostic or research field, there is a need that the
histopathological evaluation provides as much information as possible, with the
shortest time and lowest cost. The development of the tissue microarray (TMA)
technology has significantly facilitated and accelerated studies with tissue
analyses using in situ technologies. Nevertheless, one of the most recognised
limitations of TMA is that the small cores used to construct a TMA may not
accurately represent characteristics of the whole tissue specimen. The aim is to
present the technical aspects of a simple system to construct tissue macroarrays
(TMaAs), its advantages and limitations, and some results of its use in for
research purposes. METHODS: The study presents three possibilities of preparing
the specimens with up to 6 mm diameter to be included into the TMaA paraffin
block using our method. RESULTS: With this technique, it was possible to obtain
glass slides with multiple whole fragments, which were used in five different
studies. CONCLUSIONS: We presented a method for construction of multi-TMaA
paraffin blocks, which is very simple for optimising laboratory techniques
requiring paraffin-embedded tissue sections and can be easily implemented in any
institution with a histology laboratory.
PMID- 29798840
TI - Importance of pharmacology to avoid complications with endoscopic sedation.
PMID- 29798838
TI - Idylla assay and next generation sequencing: an integrated EGFR mutational
testing algorithm.
AB - AIMS: Any reference laboratory testing non-small cell lung cancer samples for
predictive biomarkers needs to develop and validate a wide range of different
molecular techniques, each with a specific time requirement and application.
Updated international guidelines suggest that next generation sequencing (NGS) to
be the initial procedure. However, in a non-negligible subset of cases, library
generation may fail or amplicon coverage may be insufficient. In these NGS
'invalid' cases, the Idylla system may represent a viable option for rapid
epidermal growth factor receptor (EGFR) genotyping. METHODS: This retrospective
study included 68 archival DNA samples previously processed by Ion Torrent NGS
assay. Out of these, 43 cases, including 24 EGFR mutant samples, had a valid NGS
result, whereas 25/68 (37%) were invalid. All samples were retested by directly
pipetting the DNA inside the EGFR Idylla assay cartridge. RESULTS: In all 43
cases with a valid NGS result, Idylla confirmed the EGFR mutational status. In
particular, 24/24 (100%) of EGFR mutant samples as detected by NGS were confirmed
by Idylla. Moreover, a large portion of cases (20/25; 80%) whose assessment by
NGS was invalid were adequately processed by Idylla. Noteworthy, in 4/25 (16%) of
cases, Idylla detected actionable EGFR mutations. CONCLUSIONS: Idylla assay could
be very useful to quickly process cases for which NGS does not allow genotyping.
PMID- 29798841
TI - Nardilysin inhibits pancreatitis and suppresses pancreatic ductal adenocarcinoma
initiation in mice.
AB - OBJECTIVE: Nardilysin (NRDC), a zinc peptidase, exhibits multiple localisation
dependent functions including as an enhancer of ectodomain shedding in the
extracellular space and a transcriptional coregulator in the nucleus. In this
study, we investigated its functional role in exocrine pancreatic development,
homeostasis and the formation of pancreatic ductal adenocarcinoma (PDA). DESIGN:
We analysed Ptf1a-Cre; Nrdcflox/flox mice to investigate the impact of Nrdc
deletion. Pancreatic acinar cells were isolated from Nrdcflox/flox mice and
infected with adenovirus expressing Cre recombinase to examine the impact of Nrdc
inactivation. Global gene expression in Nrdc-cKO pancreas was analysed compared
with wild-type pancreas by microarray analysis. We also analysed Ptf1a-Cre;
KrasG12D; Nrdcflox/flox mice to investigate the impact of Nrdc deletion in the
context of oncogenic Kras. A total of 51 human samples of pancreatic
intraepithelial lesions (PanIN) and PDA were examined by immunohistochemistry for
NRDC. RESULTS: We found that pancreatic deletion of Nrdc leads to spontaneous
chronic pancreatitis concomitant with acinar-to-ductal conversion, increased
apoptosis and atrophic pancreas in mice. Acinar-to-ductal conversion was observed
mainly through a non-cell autonomous mechanism, and the expression of several
chemokines was significantly increased in Nrdc-null pancreatic acinar cells.
Furthermore, pancreatic deletion of Nrdc dramatically accelerated KrasG12D
driven PanIN and subsequent PDA formation in mice. These data demonstrate a
previously unappreciated anti-inflammatory and tumour suppressive functions of
Nrdc in the pancreas in mice. Finally, absence of NRDC expression was observed in
a subset of human PanIN and PDA. CONCLUSION: Nrdc inhibits pancreatitis and
suppresses PDA initiation in mice.
PMID- 29798842
TI - Effect of embryo transfer technique on the likelihood of pregnancy in the mare: a
comparison of conventional and Wilsher's forceps-assisted transfer.
AB - The aim of this study was to compare the success of embryo transfer (ET)
performed by veterinarians with different degrees of experience using one of two
ET techniques. Over three years, 179 embryos were transferred by three operators
with moderate to high experience using a 'conventional' manual technique, and 170
embryos were transferred by four operators with little or no previous ET
experience using a 'Wilsher' technique (Polansky speculum and Wilsher's cervical
forceps). The pregnancy status of recipient mares at the first pregnancy
diagnosis and the embryo loss by the last examination were compared between
groups and within group among operators. More recipients became pregnant
(P<0.005) following ET with the Wilsher technique (157/170; 92.3 per cent) than
with the conventional technique (127/179; 70.9 per cent), while the incidence of
pregnancy loss did not differ between the groups (9.1 v 7.9 per cent,
respectively). For the conventional technique, there was a significant operator
effect (P<0.01) on the percentage of pregnant recipients at the first examination
(50.9-79.7 per cent); no operator effect was apparent for the Wilsher technique
(90.9-93.4 per cent). In conclusion, the Wilsher technique yielded high pregnancy
rates (>90 per cent).
PMID- 29798843
TI - A novel de novo CDH1 germline variant aids in the classification of carboxy
terminal E-cadherin alterations predicted to escape nonsense-mediated mRNA decay.
AB - Most truncating cadherin 1 (CDH1) pathogenic alterations confer an elevated
lifetime risk of diffuse gastric cancer (DGC) and lobular breast cancer (LBC).
However, transcripts containing carboxy-terminal premature stop codons have been
demonstrated to escape the nonsense-mediated mRNA decay pathway, and gastric and
breast cancer risks associated with these truncations should be carefully
evaluated. A female patient underwent multigene panel testing because of a
personal history of invasive LBC diagnosed at age 54, which identified the
germline CDH1 nonsense alteration, c.2506G>T (p.Glu836*), in the last exon of the
gene. Subsequent parental testing for the alteration was negative and additional
short tandem repeat analysis confirmed the familial relationships and the de novo
occurrence in the proband. Based on the de novo occurrence, clinical history, and
rarity in general population databases, this alteration was classified as a
likely pathogenic variant. This is the most carboxy-terminal pathogenic
alteration reported to date. Additionally, this alteration contributed to the
classification of six other upstream CDH1 carboxy-terminal truncating variants as
pathogenic or likely pathogenic. Identifying the most distal pathogenic
alteration provides evidence to classify other carboxy-terminal truncating
variants as either pathogenic or benign, a fundamental step to offering
presymptomatic screening and prophylactic procedures to the appropriate patients.
PMID- 29798844
TI - In vivo aerobic metabolism of the rainbow trout gut and the effects of an acute
temperature increase and stress event.
AB - The fish gut is responsible for numerous potentially energetically costly
processes, yet little is known about its metabolism. Here, we provide the first
in vivo measurements of aerobic metabolism of the gut in a teleost fish by
measuring gut blood flow, as well as arterial and portal venous oxygen content.
At 10 degrees C, gut oxygen uptake rate was 4.3+/-0.5 ml O2 h-1 kg-1 (~11% of
whole-animal oxygen uptake). Following acute warming to 15 degrees C, gut blood
flow increased ~3.4-fold and gut oxygen uptake rate increased ~3.7-fold (16.0+/
3.3 ml O2 h-1 kg-1), now representing ~25% of whole-animal oxygen uptake.
Although gut blood flow decreased following an acute stress event at 15 degrees
C, gut oxygen uptake remained unchanged as a result of a ~2-fold increase in
oxygen extraction. The high metabolic thermal sensitivity of the gut could have
important implications for the overall aerobic capacity and performance of fish
in a warming world and warrants further investigation.
PMID- 29798845
TI - Can honey bees discriminate between floral-fragrance isomers?
AB - Many flowering plants present variable complex fragrances, which usually include
different isomers of the same molecule. As fragrance is an essential cue for
flower recognition by pollinators, we ask whether honey bees discriminate between
floral-fragrance isomers in an appetitive context. We used the olfactory
conditioning of the proboscis extension response, which allows training a
restrained bee to an odor paired with sucrose solution. Bees were trained under
an absolute (a single odorant rewarded) or a differential conditioning regime (a
rewarded versus a non-rewarded odorant) using four different pairs of isomers.
One hour after training, discrimination and generalization between pairs of
isomers were tested. Bees trained under absolute conditioning exhibited high
generalization between isomers and discriminated only one out of four isomer
pairs; after differential conditioning, they learned to differentiate between two
out of four pairs of isomers but in all cases generalization responses to the non
rewarding isomer remained high. Adding an aversive taste to the non-rewarded
isomer facilitated discrimination of isomers that otherwise seemed non
discriminable but generalization remained high. Although honey bees discriminated
isomers under certain conditions, they achieved the task with difficulty and
tended to generalize between them, thus showing that these molecules were
perceptually similar to them. We conclude that the presence of isomers within
floral fragrances might not necessarily contribute to a dramatic extent to floral
odor diversity.
PMID- 29798846
TI - Contribution of a natural polymorphism in protein kinase G modulates
electroconvulsive seizure recovery in Drosophila melanogaster.
AB - Drosophila melanogaster is a well-characterized model for neurological disorders
and is widely used for investigating causes of altered neuronal excitability
leading to seizure-like behavior. One method used to analyze behavioral output of
neuronal perturbance is recording the time to locomotor recovery from an
electroconvulsive shock. Based on this behavior, we sought to quantify seizure
susceptibility in larval D. melanogaster with differences in the enzymatic
activity levels of a major protein, cGMP-dependent protein kinase (PKG). PKG,
encoded by foraging, has two natural allelic variants and has previously been
implicated in several important physiological characteristics including: foraging
patterns, learning and memory, and environmental stress tolerance. The well
established NO/cGMP/PKG signaling pathway found in the fly, which potentially
targets downstream K+ channel(s), ultimately impacts membrane excitability,
leading to our hypothesis: altering PKG enzymatic activity modulates time to
recovery from an electroconvulsive seizure. Our results show that by both
genetically and pharmacologically increasing PKG enzymatic activity, we can
decrease the locomotor recovery time from an electroconvulsive seizure in larval
D. melanogaster.
PMID- 29798847
TI - Rapid depth perception in hunting archerfish. I. The predictive C-starts use an
independent estimate of target height.
AB - Archerfish dislodge aerial prey with water jets and use their predictive C-starts
to secure it. Their C-starts turn the fish to the later point of impact and set
the speed so that the fish arrive just in time. The starts are adjusted on the
basis of information on speed, direction, timing and horizontal start position of
prey movement - sampled during less than 100 ms after prey starts falling.
Presently, it is unclear whether one essential parameter, the initial height of
prey, can also be determined during this brief sampling time. Shooters and
probably also observing bystanders already know target height - used to hit and
to shape their jets - and would simply have to feed this information into their C
start circuitry. We challenged archerfish by launching initially invisible prey
objects either from the expected height level, at which the fish were looking and
at which they fired shots, or from more lateral positions and a lower or higher
initial height. The arrangement was designed so that an analysis of the direction
and the linear speed chosen by the starting fish could determine whether the C
start information is based on the expected height or on the actual height, which
can be detected only after hidden prey has begun falling. Our findings
demonstrate that the fish quickly estimate initial height during the initial
falling phase of prey and do not simply use the expected height level to which
they were cued.
PMID- 29798848
TI - Rapid depth perception in hunting archerfish. II. An analysis of potential cues.
AB - Based on the initial movement of falling prey, hunting archerfish select a C
start that turns them right to where their prey is going to land and lends the
speed to arrive simultaneously with prey. Our companion study suggested that the
information sampled in less than 100 ms also includes the initial height of
falling prey. Here, we examine which cues the fish might be using to gauge height
so quickly. First, we show that binocular cues are not required: C-starts that
either could or could not have used binocular information were equally fast and
precise. Next, we explored whether the fish were using simplifying assumptions
about the absolute size of their prey or its distance from a structured
background. However, experiments with unexpected changes from the standard
conditions failed to cause any errors. We then tested the hypothesis that the
fish might infer depth from accommodation or from cues related to blurring in the
image of their falling prey. However, the fish also determined the height of
'fake flies' correctly, even though their image could never be focused and their
combined size and degree of blurring should have misled the fish. Our findings
are not compatible with the view that archerfish use a flexible combination of
cues. They also do not support the view that height is gauged relative to
structures in the vicinity of starting prey. We suggest that these fish use an
elaborate analysis of looming to rapidly gauge initial height.
PMID- 29798849
TI - Biomechanical factors influencing successful self-righting in the pleurodire
turtle Emydura subglobosa.
AB - Self-righting performance is a key ability for most terrestrial animals, and has
been used as a metric of fitness, exhaustion and thermal limits in a variety of
taxa. However, there is little understanding of the underlying mechanisms that
drive variation in self-righting performance. To evaluate the mechanical factors
that contribute to success versus failure when animals attempt to self-right, we
compared force production and kinematic behavior in the rigid-bodied, pleurodire
turtle Emydura subglobosa between successful and unsuccessful self-righting
efforts. We found that the moment exerted during efforts to roll the body and the
velocity of that roll are the primary drivers behind self-righting success.
Specifically, turtles that self-righted successfully produced both larger moments
and faster rolls than turtles that failed. In contrast, the angle at which the
head was directed to lever the body and the extent of yaw that was incorporated
in addition to roll had little impact on the likelihood of success. These results
show that specific performance metrics can predict the ability of animals to self
right, providing a framework for biomimetic applications as well as future
comparisons to test for differences in self-righting performance across animals
from different environments, sexes, populations and species.
PMID- 29798850
TI - Examination of needle surface corrosion in electroacupuncture.
AB - BACKGROUND: Electroacupuncture (EA) is a modern form of acupuncture therapy where
stainless steel acupuncture needles are used as percutaneous electrodes to apply
electrical stimulation. The concern about electrolytic corrosion of needles
during EA has not been conclusively addressed. AIM: To examine whether corrosion
of stainless steel acupuncture needles occurs after EA to establish the safety
profile of this therapy. METHODS: The study comprised four EA sessions on healthy
participants mimicking a common clinical practice, with needle surface
examinations conducted immediately after each session. Used acupuncture needles
that did not undergo electrical stimulation and unused needles taken from the
original package were also examined as control comparisons. Two commonly used
types of single-use, silicone-coated, stainless steel needles were selected for
the experiment. The ES-160 digital acupunctoscope (a charge-balanced electric
stimulator) was used to deliver electrical stimulation, and an oscilloscope was
used to record the waveforms and electric currents. All needles were sterilised
before examination by a scanning electron microscope (SEM), where images of
needle tips and shafts were taken for further analysis. RESULTS AND CONCLUSIONS:
32 needles were examined under the SEM. As the main findings, the SEM images
showed the surface finish, burr attachments and surface characteristics of needle
samples. No evidence of electrolytic corrosion was detected on any needle that
underwent electrical stimulation for 30 min delivered by a charge-balanced
acupunctoscope in healthy participants. The choice of a charge-balanced
acupunctoscope is recommended to avoid any potential corrosion of needles in EA
clinical practice.
PMID- 29798852
TI - Comment on "Plant diversity increases with the strength of negative density
dependence at the global scale".
AB - LaManna et al (Reports, 30 June 2017, p. 1389) claim that subadult trees are
proportionally less common at high conspecific adult density (CNDD) and that this
effect increases toward the tropics and for rare species. We show that the CNDD
abundance correlation may have arisen from a methodological artifact and that a
range of processes can explain the reported latitudinal pattern.
PMID- 29798851
TI - Evaluating recovery potential of the northern white rhinoceros from cryopreserved
somatic cells.
AB - The critically endangered northern white rhinoceros is believed to be extinct in
the wild, with the recent death of the last male leaving only two remaining
individuals in captivity. Its extinction would appear inevitable, but the
development of advanced cell and reproductive technologies such as cloning by
nuclear transfer and the artificial production of gametes via stem cells
differentiation offer a second chance for its survival. In this work, we analyzed
genome-wide levels of genetic diversity, inbreeding, population history, and
demography of the white rhinoceros sequenced from cryopreserved somatic cells,
with the goal of informing how genetically valuable individuals could be used in
future efforts toward the genetic rescue of the northern white rhinoceros. We
present the first sequenced genomes of the northern white rhinoceros, which show
relatively high levels of heterozygosity and an average genetic divergence of
0.1% compared with the southern subspecies. The two white rhinoceros subspecies
appear to be closely related, with low genetic admixture and a divergent time
<80,000 yr ago. Inbreeding, as measured by runs of homozygosity, appears slightly
higher in the southern than the northern white rhinoceros. This work demonstrates
the value of the northern white rhinoceros cryopreserved genetic material as a
potential gene pool for saving this subspecies from extinction.
PMID- 29798854
TI - Comment on "Plant diversity increases with the strength of negative density
dependence at the global scale".
AB - LaManna et al (Reports, 30 June 2017, p. 1389) found higher conspecific negative
density dependence in tree communities at lower latitudes, yielding a possible
mechanistic explanation for the latitudinal diversity gradient. We show that
their results are artifacts of a selective data transformation and a forced zero
intercept in their fitted model. A corrected analysis shows no latitudinal trend.
PMID- 29798853
TI - Response to Comment on "Plant diversity increases with the strength of negative
density dependence at the global scale".
AB - Hulsmann and Hartig suggest that ecological mechanisms other than specialized
natural enemies or intraspecific competition contribute to our estimates of
conspecific negative density dependence (CNDD). To address their concern, we show
that our results are not the result of a methodological artifact and present a
null-model analysis that demonstrates that our original findings-(i) stronger
CNDD at tropical relative to temperate latitudes and (ii) a latitudinal shift in
the relationship between CNDD and species abundance-persist even after
controlling for other processes that might influence spatial relationships
between adults and recruits.
PMID- 29798859
TI - News at a glance.
PMID- 29798855
TI - Response to Comment on "Plant diversity increases with the strength of negative
density dependence at the global scale".
AB - Chisholm and Fung claim that our method of estimating conspecific negative
density dependence (CNDD) in recruitment is systematically biased, and present an
alternative method that shows no latitudinal pattern in CNDD. We demonstrate that
their approach produces strongly biased estimates of CNDD, explaining why they do
not detect a latitudinal pattern. We also address their methodological concerns
using an alternative distance-weighted approach, which supports our original
findings of a latitudinal gradient in CNDD and a latitudinal shift in the
relationship between CNDD and species abundance.
PMID- 29798858
TI - Canada's call.
PMID- 29798860
TI - Rival giant telescopes join to seek U.S. funding.
PMID- 29798861
TI - China's ambitious brain science project inches forward.
PMID- 29798856
TI - Gut microbiome-mediated bile acid metabolism regulates liver cancer via NKT
cells.
AB - Primary liver tumors and liver metastasis currently represent the leading cause
of cancer-related death. Commensal bacteria are important regulators of antitumor
immunity, and although the liver is exposed to gut bacteria, their role in
antitumor surveillance of liver tumors is poorly understood. We found that
altering commensal gut bacteria in mice induced a liver-selective antitumor
effect, with an increase of hepatic CXCR6+ natural killer T (NKT) cells and
heightened interferon-gamma production upon antigen stimulation. In vivo
functional studies showed that NKT cells mediated liver-selective tumor
inhibition. NKT cell accumulation was regulated by CXCL16 expression of liver
sinusoidal endothelial cells, which was controlled by gut microbiome-mediated
primary-to-secondary bile acid conversion. Our study suggests a link between gut
bacteria-controlled bile acid metabolism and liver antitumor immunosurveillance.
PMID- 29798862
TI - German law allows use of DNA to predict suspects' looks.
PMID- 29798857
TI - Beyond fossil fuel-driven nitrogen transformations.
AB - Nitrogen is fundamental to all of life and many industrial processes. The
interchange of nitrogen oxidation states in the industrial production of ammonia,
nitric acid, and other commodity chemicals is largely powered by fossil fuels. A
key goal of contemporary research in the field of nitrogen chemistry is to
minimize the use of fossil fuels by developing more efficient heterogeneous,
homogeneous, photo-, and electrocatalytic processes or by adapting the enzymatic
processes underlying the natural nitrogen cycle. These approaches, as well as the
challenges involved, are discussed in this Review.
PMID- 29798863
TI - B612 plans asteroid hunt with fleet of small satellites.
PMID- 29798864
TI - A call to arms against the other retrovirus.
PMID- 29798865
TI - Basic instincts.
PMID- 29798866
TI - The war on gluten.
PMID- 29798867
TI - Following the leader, for better or worse.
PMID- 29798868
TI - Enhancing energy transport in conjugated polymers.
PMID- 29798869
TI - Cold chemistry with two atoms.
PMID- 29798870
TI - Illuminating dark depths.
PMID- 29798871
TI - Cancer immunity thwarted by the microbiome.
PMID- 29798872
TI - The RNA face of phase separation.
PMID- 29798873
TI - Disparities in science literacy.
PMID- 29798875
TI - NextGen VOICES: Submit Now: Broad interests: Benefits for science.
PMID- 29798876
TI - The road to wild yak protection in China.
PMID- 29798874
TI - Brazil's government attacks biodiversity.
PMID- 29798877
TI - Trout in hot water: A call for global action.
PMID- 29798878
TI - A 550,000-year record of East Asian monsoon rainfall from 10Be in loess.
AB - Cosmogenic 10Be flux from the atmosphere is a proxy for rainfall. Using this
proxy, we derived a 550,000-year-long record of East Asian summer monsoon (EASM)
rainfall from Chinese loess. This record is forced at orbital precession
frequencies, with higher rainfall observed during Northern Hemisphere summer
insolation maxima, although this response is damped during cold interstadials.
The 10Be monsoon rainfall proxy is also highly correlated with global ice-volume
variations, which differs from Chinese cave delta18O, which is only weakly
correlated. We argue that both EASM intensity and Chinese cave delta18O are not
governed by high-northern-latitude insolation, as suggested by others, but rather
by low-latitude interhemispheric insolation gradients, which may also strongly
influence global ice volume via monsoon dynamics.
PMID- 29798879
TI - Cobalt-catalyzed asymmetric hydrogenation of enamides enabled by single-electron
reduction.
AB - Identifying catalyst activation modes that exploit one-electron chemistry and
overcome associated deactivation pathways will be transformative for developing
first-row transition metal catalysts with performance equal or, ideally, superior
to precious metals. Here we describe a zinc-activation method compatible with
high-throughput reaction discovery that identified scores of cobalt-phosphine
combinations for the asymmetric hydrogenation of functionalized alkenes. An
optimized catalyst prepared from (R,R)-Ph-BPE {Ph-BPE, 1,2-bis[(2R,5R)-2,5
diphenylphospholano]ethane} and cobalt chloride [CoCl2.6H2O] exhibited high
activity and enantioselectivity in protic media and enabled the asymmetric
synthesis of the epilepsy medication levetiracetam at 200-gram scale with 0.08
mole % catalyst loading. Stoichiometric studies established that the cobalt (II)
catalyst precursor (R,R)-Ph-BPECoCl2 underwent ligand displacement by methanol,
and zinc promoted facile one-electron reduction to cobalt (I), which more stably
bound the phosphine.
PMID- 29798880
TI - Imaging of pure spin-valley diffusion current in WS2-WSe2 heterostructures.
AB - Transition metal dichalcogenide (TMDC) materials are promising for spintronic and
valleytronic applications because valley-polarized excitations can be generated
and manipulated with circularly polarized photons and the valley and spin degrees
of freedom are locked by strong spin-orbital interactions. In this study we
demonstrate efficient generation of a pure and locked spin-valley diffusion
current in tungsten disulfide (WS2)-tungsten diselenide (WSe2) heterostructures
without any driving electric field. We imaged the propagation of valley current
in real time and space by pump-probe spectroscopy. The valley current in the
heterostructures can live for more than 20 microseconds and propagate over 20
micrometers; both the lifetime and the diffusion length can be controlled through
electrostatic gating. The high-efficiency and electric-field-free generation of a
locked spin-valley current in TMDC heterostructures holds promise for
applications in spin and valley devices.
PMID- 29798881
TI - Long-range exciton transport in conjugated polymer nanofibers prepared by seeded
growth.
AB - Easily processed materials with the ability to transport excitons over length
scales of more than 100 nanometers are highly desirable for a range of light
harvesting and optoelectronic devices. We describe the preparation of organic
semiconducting nanofibers comprising a crystalline poly(di-n-hexylfluorene) core
and a solvated, segmented corona consisting of polyethylene glycol in the center
and polythiophene at the ends. These nanofibers exhibit exciton transfer from the
core to the lower-energy polythiophene coronas in the end blocks, which occurs in
the direction of the interchain pi-pi stacking with very long diffusion lengths
(>200 nanometers) and a large diffusion coefficient (0.5 square centimeters per
second). This is made possible by the uniform exciton energetic landscape created
by the well-ordered, crystalline nanofiber core.
PMID- 29798882
TI - High parasite diversity accelerates host adaptation and diversification.
AB - Host-parasite species pairs are known to coevolve, but how multiple parasites
coevolve with their host is unclear. By using experimental coevolution of a host
bacterium and its viral parasites, we revealed that diverse parasite communities
accelerated host evolution and altered coevolutionary dynamics to enhance host
resistance and decrease parasite infectivity. Increases in parasite diversity
drove shifts in the mode of selection from fluctuating (Red Queen) dynamics to
predominately directional (arms race) dynamics. Arms race dynamics were
characterized by selective sweeps of generalist resistance mutations in the genes
for the host bacterium's cell surface lipopolysaccharide (a bacteriophage
receptor), which caused faster molecular evolution within host populations and
greater genetic divergence among populations. These results indicate that
exposure to multiple parasites influences the rate and type of host-parasite
coevolution.
PMID- 29798883
TI - From local collective behavior to global migratory patterns in white storks.
AB - Soaring migrant birds exploit columns of rising air (thermals) to cover large
distances with minimal energy. Using social information while locating thermals
may benefit such birds, but examining collective movements in wild migrants has
been a major challenge for researchers. We investigated the group movements of a
flock of 27 naturally migrating juvenile white storks by using high-resolution
GPS and accelerometers. Analyzing individual and group movements on multiple
scales revealed that a small number of leaders navigated to and explored
thermals, whereas followers benefited from their movements. Despite this benefit,
followers often left thermals earlier and at lower height, and consequently they
had to flap considerably more. Followers also migrated less far annually than did
leaders. We provide insights into the interactions between freely flying social
migrants and the costs and benefits of collective movement in natural
populations.
PMID- 29798885
TI - What I learned from teaching.
PMID- 29798886
TI - Race in America: What Does It Mean for Diabetes and CKD?
PMID- 29798887
TI - Intensive Blood Pressure Targets and Kidney Disease.
PMID- 29798884
TI - An ingestible bacterial-electronic system to monitor gastrointestinal health.
AB - Biomolecular monitoring in the gastrointestinal tract could offer rapid, precise
disease detection and management but is impeded by access to the remote and
complex environment. Here, we present an ingestible micro-bio-electronic device
(IMBED) for in situ biomolecular detection based on environmentally resilient
biosensor bacteria and miniaturized luminescence readout electronics that
wirelessly communicate with an external device. As a proof of concept, we
engineer heme-sensitive probiotic biosensors and demonstrate accurate diagnosis
of gastrointestinal bleeding in swine. Additionally, we integrate alternative
biosensors to demonstrate modularity and extensibility of the detection platform.
IMBEDs enable new opportunities for gastrointestinal biomarker discovery and
could transform the management and diagnosis of gastrointestinal disease.
PMID- 29798888
TI - Target Blood Pressure for Cardiovascular Disease Prevention in Patients with CKD.
PMID- 29798889
TI - Incidence and Progression of Chronic Kidney Disease in Black and White
Individuals with Type 2 Diabetes.
AB - BACKGROUND AND OBJECTIVES: Type 2 diabetes and associated CKD disproportionately
affect blacks. It is uncertain if racial disparities in type 2 diabetes
associated CKD are driven by biologic factors that influence propensity to CKD or
by differences in type 2 diabetes care. DESIGN, SETTING, PARTICIPANTS, &
MEASUREMENTS: We conducted a post hoc analysis of 1937 black and 6372 white
participants of the Action to Control Cardiovascular Risk in Diabetes (ACCORD)
trial to examine associations of black race with change in eGFR and risks of
developing microalbuminuria, macroalbuminuria, incident CKD (eGFR<60 ml/min per
1.73m2, >=25% decrease from baseline eGFR, and eGFR slope <-1.6 ml/min per 1.73
m2 per year), and kidney failure or serum creatinine >3.3 mg/dl. RESULTS: During
a median follow-up that ranged between 4.4 and 4.7 years, 278 black participants
(58 per 1000 person-years) and 981 white participants (55 per 1000 person-years)
developed microalbuminuria, 122 black participants (16 per 1000 person-years) and
374 white participants (14 per 1000 person-years) developed macroalbuminuria, 111
black participants (21 per 1000 person-years) and 499 white participants (28 per
1000 person-years) developed incident CKD, and 59 black participants (seven per
1000 person-years) and 178 white participants (six per 1000 person-years)
developed kidney failure or serum creatinine >3.3 mg/dl. Compared with white
participants, black participants had lower risks of incident CKD (hazard ratio,
0.73; 95% confidence intervals, 0.57 to 0.92). There were no significant
differences by race in eGFR decline or in risks of microalbuminuria,
macroalbuminuria, and kidney failure or of serum creatinine >3.3 mg/dl.
CONCLUSIONS: Black participants enrolled in a randomized controlled trial had
lower rates of incident CKD compared with white participants. Rates of eGFR
decline, microalbuminuria, macroalbuminuria, and kidney failure did not vary by
race.
PMID- 29798893
TI - Meet the First Authors.
PMID- 29798892
TI - Neural Dynamics of Variable Grasp-Movement Preparation in the Macaque
Frontoparietal Network.
AB - Our voluntary grasping actions lie on a continuum between immediate action and
waiting for the right moment, depending on the context. Therefore, studying
grasping requires an investigation into how preparation time affects this
process. Two macaque monkeys (Macaca mulatta; one male, one female) performed a
grasping task with a short instruction followed by an immediate or delayed go cue
(0-1300 ms) while we recorded in parallel from neurons in the grasp preparation
relevant area F5 that is part of the ventral premotor cortex, and the anterior
intraparietal area (AIP). Initial population dynamics followed a fixed trajectory
in the neural state space unique to each grip type, reflecting unavoidable
movement selection, then diverged depending on the delay, reaching unique states
not achieved for immediately cued movements. Population activity in the AIP was
less dynamic, whereas F5 activity continued to evolve throughout the delay.
Interestingly, neuronal populations from both areas allowed for a readout
tracking subjective anticipation of the go cue that predicted single-trial
reaction time. However, the prediction of reaction time was better from F5
activity. Intriguingly, activity during movement initiation clustered into two
trajectory groups, corresponding to movements that were either "as fast as
possible" or withheld movements, demonstrating a widespread state shift in the
frontoparietal grasping network when movements must be withheld. Our results
reveal how dissociation between immediate and delay-specific preparatory
activity, as well as differentiation between cortical areas, is possible through
population-level analysis.SIGNIFICANCE STATEMENT Sometimes when we move, we
consciously plan our movements. At other times, we move instantly, seemingly with
no planning at all. Yet, it's unclear how preparation for movements along this
spectrum of planned and seemingly unplanned movement differs in the brain. Two
macaque monkeys made reach-to-grasp movements after varying amounts of
preparation time while we recorded from the premotor and parietal cortex. We
found that the initial response to a grasp instruction was specific to the
required movement, but not to the preparation time, reflecting required movement
selection. However, when more preparation time was given, neural activity
achieved unique states that likely related to withholding movements and
anticipation of movement, shedding light on the roles of the premotor and
parietal cortex in grasp planning.
PMID- 29798891
TI - Lrfn2-Mutant Mice Display Suppressed Synaptic Plasticity and Inhibitory Synapse
Development and Abnormal Social Communication and Startle Response.
AB - SALM1 (SALM (synaptic adhesion-like molecule), also known as LRFN2 (leucine rich
repeat and fibronectin type III domain containing), is a postsynaptic density
(PSD)-95-interacting synaptic adhesion molecule implicated in the regulation of
NMDA receptor (NMDAR) clustering largely based on in vitro data, although its in
vivo functions remain unclear. Here, we found that mice lacking SALM1/LRFN2
(Lrfn2-/- mice) show a normal density of excitatory synapses but altered
excitatory synaptic function, including enhanced NMDAR-dependent synaptic
transmission but suppressed NMDAR-dependent synaptic plasticity in the
hippocampal CA1 region. Unexpectedly, SALM1 expression was detected in both
glutamatergic and GABAergic neurons and Lrfn2-/- CA1 pyramidal neurons showed
decreases in the density of inhibitory synapses and the frequency of spontaneous
inhibitory synaptic transmission. Behaviorally, ultrasonic vocalization was
suppressed in Lrfn2-/- pups separated from their mothers and acoustic startle was
enhanced, but locomotion, anxiety-like behavior, social interaction, repetitive
behaviors, and learning and memory were largely normal in adult male Lrfn2-/-
mice. These results suggest that SALM1/LRFN2 regulates excitatory synapse
function, inhibitory synapse development, and social communication and startle
behaviors in mice.SIGNIFICANCE STATEMENT Synaptic adhesion molecules regulate
synapse development and function, which govern neural circuit and brain
functions. The SALM/LRFN (synaptic adhesion-like molecule/leucine rich repeat and
fibronectin type III domain containing) family of synaptic adhesion proteins
consists of five known members for which the in vivo functions are largely
unknown. Here, we characterized mice lacking SALM1/LRFN2 (SALM1 KO) known to
associate with NMDA receptors (NMDARs) and found that these mice showed altered
NMDAR-dependent synaptic transmission and plasticity, as expected, but
unexpectedly also exhibited suppressed inhibitory synapse development and
synaptic transmission. Behaviorally, SALM1 KO pups showed suppressed ultrasonic
vocalization upon separation from their mothers and SALM1 KO adults showed
enhanced responses to loud acoustic stimuli. These results suggest that
SALM1/LRFN2 regulates excitatory synapse function, inhibitory synapse
development, social communication, and acoustic startle behavior.
PMID- 29798894
TI - Kir2.1 & Nav1.5 in Sickness and in Health: Who Needs a Chaperone When They Have
an Alpha Partner?
PMID- 29798896
TI - Glycomics and Cardiovascular Disease: Advancing Down the Path Towards Precision.
PMID- 29798895
TI - Cross Talk Between S-Nitrosylation and Phosphorylation Involving Kinases and
Nitrosylases.
PMID- 29798898
TI - Joshua Mayourian: Rising to the Challenge.
PMID- 29798897
TI - Predictive Value of Circulating Progenitor Cells in Acute Coronary Syndrome:
Implications for Treatment.
PMID- 29798899
TI - Reverse Translation: Using Computational Modeling to Enhance Translational
Research.
PMID- 29798900
TI - von Willebrand Factor for Aortic Valve Intervention: From Bench to Real-Time
Bedside Assessment.
PMID- 29798890
TI - Specialized Subpopulations of Deep-Layer Pyramidal Neurons in the Neocortex:
Bridging Cellular Properties to Functional Consequences.
AB - Neocortical pyramidal neurons with somata in layers 5 and 6 are among the most
visually striking and enigmatic neurons in the brain. These deep-layer pyramidal
neurons (DLPNs) integrate a plethora of cortical and extracortical synaptic
inputs along their impressive dendritic arbors. The pattern of cortical output to
both local and long-distance targets is sculpted by the unique physiological
properties of specific DLPN subpopulations. Here we revisit two broad DLPN
subpopulations: those that send their axons within the telencephalon
(intratelencephalic neurons) and those that project to additional target areas
outside the telencephalon (extratelencephalic neurons). While neuroscientists
across many subdisciplines have characterized the intrinsic and synaptic
physiological properties of DLPN subpopulations, our increasing ability to
selectively target and manipulate these output neuron subtypes advances our
understanding of their distinct functional contributions. This Viewpoints article
summarizes our current knowledge about DLPNs and highlights recent work
elucidating the functional differences between DLPN subpopulations.
PMID- 29798904
TI - Letter by Angus and Wright Regarding Article, "Pannexin-1 Channels as an
Unexpected New Target of the Antihypertensive Drug Spironolactone".
PMID- 29798901
TI - Steroid Hormone Vitamin D: Implications for Cardiovascular Disease.
AB - Understanding of vitamin D physiology is important because about half of the
population is being diagnosed with deficiency and treated with supplements.
Clinical guidelines were developed based on observational studies showing an
association between low serum levels and increased cardiovascular risk. However,
new randomized controlled trials have failed to confirm any cardiovascular
benefit from supplementation in the general population. A major concern is that
excess vitamin D is known to cause calcific vasculopathy and valvulopathy in
animal models. For decades, administration of vitamin D has been used in rodents
as a reliable experimental model of vascular calcification. Technically, vitamin
D is a misnomer. It is not a true vitamin because it can be synthesized
endogenously through ultraviolet exposure of the skin. It is a steroid hormone
that comes in 3 forms that are sequential metabolites produced by hydroxylases.
As a fat-soluble hormone, the vitamin D-hormone metabolites must have special
mechanisms for delivery in the aqueous bloodstream. Importantly, endogenously
synthesized forms are carried by a binding protein, whereas dietary forms are
carried within lipoprotein particles. This may result in distinct
biodistributions for sunlight-derived versus supplement-derived vitamin D
hormones. Because the cardiovascular effects of vitamin D hormones are not
straightforward, both toxic and beneficial effects may result from current
recommendations.
PMID- 29798906
TI - Phase 0 Trial of AZD1775 in First-Recurrence Glioblastoma Patients.
AB - Purpose: AZD1775 is a first-in-class Wee1 inhibitor with dual function as a DNA
damage sensitizer and cytotoxic agent. A phase I study of AZD1775 for solid
tumors suggested activity against brain tumors, but a preclinical study indicated
minimal blood-brain barrier penetration in mice. To resolve this controversy, we
examined the pharmacokinetics and pharmacodynamics of AZD1775 in patients with
first-recurrence, glioblastoma.Patients and Methods: Twenty adult patients
received a single dose of AZD1775 prior to tumor resection and enrolled in either
a dose-escalation arm or a time-escalation arm. Sparse pharmacokinetic blood
samples were collected, and contrast-enhancing tumor samples were collected
intraoperatively. AZD1775 total and unbound concentrations were determined by a
validated LC/MS-MS method. Population pharmacokinetic analysis was performed to
characterize AZD1775 plasma pharmacokinetic profiles. Pharmacodynamic endpoints
were compared to matched archival tissue.Results: The AZD1775 plasma
concentration-time profile following a single oral dose in patients with
glioblastoma was well-described by a one-compartment model. Glomerular filtration
rate was identified as a significant covariate on AZD1775 apparent clearance.
AZD1775 showed good brain tumor penetration, with a median unbound tumor-to
plasma concentration ratio of 3.2, and achieved potential pharmacologically
active tumor concentrations. Wee1 pathway suppression was inferred by abrogation
of G2 arrest, intensified double-strand DNA breakage, and programmed cell death.
No drug-related adverse events were associated with this study.Conclusions: In
contrast to recent preclinical data, our phase 0 study of AZD 1775 in recurrent
glioblastoma indicates good human brain tumor penetration, provides the first
evidence of clinical biological activity in human glioblastoma, and confirms the
utility of phase 0 trials as part of an accelerated paradigm for drug development
in patients with glioma. Clin Cancer Res; 24(16); 3820-8. (c)2018 AACRSee related
commentary by Vogelbaum, p. 3790.
PMID- 29798907
TI - Targeted Therapies for Brain Tumors: Will They Ever Deliver?
AB - The strategy of using biologically targeted therapeutics for cancer has yet to
translate into effective treatment of gliomas. The neuro-oncology community is
beginning to recognize that phase 0 studies should be performed to account for
the impact of the blood-brain barrier on the ability of a therapeutic to reach
its target(s). Clin Cancer Res; 24(16); 3790-1. (c)2018 AACRSee related article
by Sanai et al., p. 3820.
PMID- 29798905
TI - Response by Good et al to Letter Regarding Article, "Pannexin-1 Channels as an
Unexpected New Target of the Antihypertensive Drug Spironolactone".
PMID- 29798908
TI - Ad5NULL-A20: A Tropism-Modified, alphavbeta6 Integrin-Selective Oncolytic
Adenovirus for Epithelial Ovarian Cancer Therapies.
AB - Purpose: Virotherapies are maturing in the clinical setting. Adenoviruses (Ad)
are excellent vectors for the manipulability and tolerance of transgenes. Poor
tumor selectivity, off-target sequestration, and immune inactivation hamper
clinical efficacy. We sought to completely redesign Ad5 into a refined, tumor
selective virotherapy targeted to alphavbeta6 integrin, which is expressed in a
range of aggressively transformed epithelial cancers but nondetectable in healthy
tissues.Experimental Design: Ad5NULL-A20 harbors mutations in each major capsid
protein to preclude uptake via all native pathways. Tumor-tropism via alphavbeta6
targeting was achieved by genetic insertion of A20 peptide (NAVPNLRGDLQVLAQKVART)
within the fiber knob protein. The vector's selectivity in vitro and in vivo was
assessed.Results: The tropism-ablating triple mutation completely blocked all
native cell entry pathways of Ad5NULL-A20 via coxsackie and adenovirus receptor
(CAR), alphavbeta3/5 integrins, and coagulation factor 10 (FX). Ad5NULL-A20
efficiently and selectively transduced alphavbeta6+ cell lines and primary
clinical ascites-derived EOC ex vivo, including in the presence of preexisting
anti-Ad5 immunity. In vivo biodistribution of Ad5NULL-A20 following systemic
delivery in non-tumor-bearing mice was significantly reduced in all off-target
organs, including a remarkable 107-fold reduced genome accumulation in the liver
compared with Ad5. Tumor uptake, transgene expression, and efficacy were
confirmed in a peritoneal SKOV3 xenograft model of human EOC, where oncolytic
Ad5NULL-A20-treated animals demonstrated significantly improved survival compared
with those treated with oncolytic Ad5.Conclusions: Oncolytic Ad5NULL-A20
virotherapies represent an excellent vector for local and systemic targeting of
alphavbeta6-overexpressing cancers and exciting platforms for tumor-selective
overexpression of therapeutic anticancer modalities, including immune checkpoint
inhibitors. Clin Cancer Res; 24(17); 4215-24. (c)2018 AACR.
PMID- 29798909
TI - Tumor Elastography and Its Association with Collagen and the Tumor
Microenvironment.
AB - Purpose: The tumor microenvironment presents with altered extracellular matrix
(ECM) and stroma composition, which may affect treatment efficacy and contribute
to tissue stiffness. Ultrasound (US) elastography can visualize and quantify
tissue stiffness noninvasively. However, the contributions of ECM and stromal
components to stiffness are poorly understood. We therefore set out to quantify
ECM and stroma density and their relation to tumor stiffness.Experimental Design:
A modified clinical ultrasound system was used to measure tumor stiffness and
perfusion during tumor growth in preclinical tumor models. In vivo measurements
were compared with collagen mass spectroscopy and automatic analysis of matrix
and stromal markers derived from immunofluorescence images.Results: US
elastography estimates of tumor stiffness were positively correlated with tumor
volume in collagen and myofibroblast-rich tumors, while no correlations were
found for tumors with low collagen and myofibroblast content. US elastography
measurements were strongly correlated with ex vivo mechanical testing and mass
spectroscopy-based measurements of total collagen and immature collagen
crosslinks. Registration of ultrasound and confocal microscopy data showed strong
correlations between blood vessel density and T-cell density in syngeneic tumors,
while no correlations were found for genetic tumor models. In contrast to
collagen density, which was positively correlated with stiffness, no significant
correlations were observed for hyaluronic acid density. Finally, localized
delivery of collagenase led to a significant reduction in tumor stiffness without
changes in perfusion 24 hours after treatment.Conclusions: US elastography can be
used as a potential biomarker to assess changes in the tumor microenvironment,
particularly changes affecting the ECM. Clin Cancer Res; 24(18); 4455-67. (c)2018
AACR.
PMID- 29798902
TI - Epigenomes in Cardiovascular Disease.
AB - If unifying principles could be revealed for how the same genome encodes
different eukaryotic cells and for how genetic variability and environmental
input are integrated to impact cardiovascular health, grand challenges in basic
cell biology and translational medicine may succumb to experimental dissection. A
rich body of work in model systems has implicated chromatin-modifying enzymes,
DNA methylation, noncoding RNAs, and other transcriptome-shaping factors in adult
health and in the development, progression, and mitigation of cardiovascular
disease. Meanwhile, deployment of epigenomic tools, powered by next-generation
sequencing technologies in cardiovascular models and human populations, has
enabled description of epigenomic landscapes underpinning cellular function in
the cardiovascular system. This essay aims to unpack the conceptual framework in
which epigenomes are studied and to stimulate discussion on how principles of
chromatin function may inform investigations of cardiovascular disease and the
development of new therapies.
PMID- 29798910
TI - Draft Genome Sequence of the Feruloyl Esterase-Producing Strain Lactobacillus
fermentum CRL1446, a Probiotic for Malnutrition.
AB - We report here the draft genome sequence of Lactobacillus fermentum CRL1446
(2,148,781 bp, 51.4% G+C content). This strain exhibits feruloyl esterase
activity and important technological and probiotic properties. Because of its
proven beneficial effects in vivo, it represents an interesting candidate for the
development of functional foods or pharmabiotics for malnutrition.
PMID- 29798903
TI - Role of Resident Stem Cells in Vessel Formation and Arteriosclerosis.
AB - Vascular, resident stem cells are present in all 3 layers of the vessel wall;
they play a role in vascular formation under physiological conditions and in
remodeling in pathological situations. Throughout development and adult early
life, resident stem cells participate in vessel formation through vasculogenesis
and angiogenesis. In adults, the vascular stem cells are mostly quiescent in
their niches but can be activated in response to injury and participate in
endothelial repair and smooth muscle cell accumulation to form neointima.
However, delineation of the characteristics and of the migration and
differentiation behaviors of these stem cells is an area of ongoing
investigation. A set of genetic mouse models for cell lineage tracing has been
developed to specifically address the nature of these cells and both migration
and differentiation processes during physiological angiogenesis and in vascular
diseases. This review summarizes the current knowledge on resident stem cells,
which has become more defined and refined in vascular biology research, thus
contributing to the development of new potential therapeutic strategies to
promote endothelial regeneration and ameliorate vascular disease development.
PMID- 29798911
TI - Draft Genome Sequence of Rhizobium sophoriradicis H4, a Nitrogen-Fixing Bacterium
Associated with the Leguminous Plant Phaseolus vulgaris on the Coast of Peru.
AB - The genome sequence of Rhizobium sophoriradicis H4, a nitrogen-fixing bacterium
isolated from the common bean (Phaseolus vulgaris) in Peru, is reported here. The
genome assembly revealed a 6.44-Mbp genome which was distributed into 95 contigs,
with N50 and L50 values of 293 kbp and 9, respectively. The genome contained
6,312 coding sequence (CDS) genes and 52 RNA genes (49 tRNAs and 3 rRNAs).
PMID- 29798912
TI - Draft Genome Sequence of Bacillus velezensis PEBA20, a Strain with a Plant Growth
Promoting Effect and Biocontrol Potential.
AB - Bacillus velezensis PEBA20 is a poplar endophyte with biocontrol activities and
plant growth-promoting effects. The genome of B. velezensis PEBA20 was sequenced
and the draft genome assembled, with a length of 4,249,176 bp and 4,487 genes.
PMID- 29798913
TI - Metagenomic Description of Preenrichment and Postenrichment of Recalled Chapati
Atta Flour Using a Shotgun Sequencing Approach.
AB - The bacterial microbiome of flour recalled for possible Escherichia coli O121
contamination was characterized before (hour 0) and after (hour 24) enrichment
using shotgun sequencing. At hour 0, Staphylococcus (46.8 to 66.5%) and Pantoea
(12.6 to 21.0%) bacteria were dominant. At hour 24, Enterobacter (28.7 to 70.9%)
and Klebsiella (25.6 to 68.6%) bacteria dominated, and Escherichia coli ranged
from 0.3 to 17.9%.
PMID- 29798914
TI - Genome Sequence of JangDynasty, a Newly Isolated Mycobacteriophage.
AB - JangDynasty is a bacteriophage that infects Mycobacterium smegmatis mc2155. It
has a genome length of 70,883 bp, with 124 predicted open reading frames (ORFs),
42 of which have known functions. JangDynasty belongs to cluster O, and like
other cluster O phages, it is a siphovirus with a prolate capsid.
PMID- 29798915
TI - Genome Sequence of Geothermobacter sp. Strain HR-1, an Iron Reducer from the
Lo'ihi Seamount, Hawai'i.
AB - Geothermobacter sp. strain HR-1 was isolated from the Lo'ihi Seamount vent system
in the Pacific Ocean at a depth of 1,000 m. Reported here is its 3.84-Mb genome
sequence.
PMID- 29798916
TI - Genome Sequence of PM2-Like Phage Cr39582, Induced from a Pseudoalteromonas sp.
Isolated from the Gut of Ciona robusta.
AB - Phage Cr39582 was induced by mitomycin C from Pseudoalteromonas sp. strain
Cr6751, isolated from a marine invertebrate gut. Pseudoalteromonas phage Cr39582
has 85% pairwise nucleotide identity with phage PM2 but lacks sequence homology
in the spike protein. This report supports previous bioinformatic identification
of corticoviral sequences within aquatic bacterial genomes.
PMID- 29798917
TI - Complete Genome Sequences of Two Salmonella Viruses, VSe11 and VSe102 (Family
Myoviridae, Subfamily Ounavirinae), with a Very High Degree of Similarity.
AB - Two lytic double-stranded DNA bacteriophages, VSe11 and VSe102, infecting broad
spectrum Salmonella enterica were isolated from the sewage of two different
poultry farms. The phage genomes comprise 86,360 bp and 86,365 bp, respectively,
with a G+C content of 39.0%, and both contain 129 putative coding sequences.
PMID- 29798918
TI - Draft Genome Sequence of the Plant Growth-Promoting Sphingobium sp. Strain AEW4,
Isolated from the Rhizosphere of the Beachgrass Ammophila breviligulata.
AB - Sphingobium sp. strain AEW4 is a novel isolate from rhizosphere soil attached to
the root of the American beachgrass Ammophila breviligulata The genomic sequence
consisted of 4,678,518 bp and 4,428 protein-coding sequences. Here we report the
draft genome sequence of this strain and some initial insights on its plant
growth-promoting capabilities.
PMID- 29798919
TI - Complete Genome Sequencing of the Mouse Intestinal Isolate Escherichia coli
Mt1B1.
AB - Escherichia coli Mt1B1, a mouse isolate, is a facultative anaerobic bacterium
which was shown to counteract Salmonella enterica serovar Typhimurium infection
in a mouse model. In the present study, we describe the complete genome sequence
of E. coli Mt1B1, composed of a 5.1-Mb chromosome and a 62.6-kb plasmid.
PMID- 29798920
TI - Complete Genome Sequence of Escherichia coli J53, an Azide-Resistant Laboratory
Strain Used for Conjugation Experiments.
AB - We report here the complete genome sequence of Escherichia coli J53, which is
used as a recipient in conjugation experiments and is a laboratory strain derived
from E. coli K-12. This genome sequence will help in the development of a
comprehensive genetic analysis of conjugative elements.
PMID- 29798921
TI - Complete Genome Sequence of Streptomyces lunaelactis MM109T, Isolated from Cave
Moonmilk Deposits.
AB - Streptomyces lunaelactis MM109T is a ferroverdin A (anticholesterol) producer
isolated from cave moonmilk deposits. The complete genome sequence of MM109T was
obtained by combining Oxford Nanopore MinION and Illumina HiSeq and MiSeq
technologies, revealing an 8.4-Mb linear chromosome and two plasmids, pSLUN1
(127,264 bp, linear) and pSLUN2 (46,827 bp, circular).
PMID- 29798922
TI - Draft Genome Sequence of Two Marine Plantactinospora spp. from the Gulf of
California.
AB - Plantactinospora sp. strains BB1 and BC1 were isolated in 2009 from sediment
samples of the Gulf of California from among almost 300 actinobacteria. Genome
mining of their ~8.5-Mb sequences showed the bioprospecting potential of these
rare actinomycetes, providing an insight to their ecological and biotechnological
importance.
PMID- 29798923
TI - Single Passage of Human Metapneumovirus in LLC-MK2 Cells Does Not Affect Viral
Protein-Coding Capacity.
AB - Here, we report the complete genome sequences of human metapneumovirus (HMPV)
prior to and after passaging in LLC-MK2 cells. Paired comparisons of the 13,335
nucleotide genomes revealed that the virus acquired the T10736C transition in its
genome, which did not affect the amino acid sequences of HMPV proteins.
PMID- 29798924
TI - Draft Genome Sequence of Carbapenem-Resistant Klebsiella pneumoniae XPY20
Collected from a Bloodstream Infection Patient.
AB - Bloodstream infections caused by carbapenem-resistant Klebsiella pneumoniae
(CRKP) strains have been a severe problem with high clinical costs and high
mortality rates. The blaKPC-2-producing CRKP strain XPY20 was collected from the
blood of a patient. The genome characteristics and antimicrobial resistance
mechanisms were determined using next-generation sequencing.
PMID- 29798925
TI - Complete Genome Sequence of Buffalopox Virus.
AB - The first complete genome assembly of buffalopox virus isolate Karachi 2005, with
a length of 195,630 bp, is presented here. Phylogenetic analysis shows the virus
to cluster within Vaccinia species, and the genome contains 177 protein-coding
sequences.
PMID- 29798926
TI - Draft Genome Sequences of Enterococcus mundtii Strains Isolated from Beef
Slaughterhouses in Kenya.
AB - We present here draft genome sequences of Enterococcus mundtii strains K7-EM, P2
EM, C11-EM, and H18-EM, which were isolated from slaughterhouse equipment,
carcasses, and personnel of small- and medium-sized beef slaughterhouses in
Kenya.
PMID- 29798927
TI - Draft Genome Sequence of Mycobacterium montefiorense Isolated from Japanese Black
Salamander (Hynobius nigrescens).
AB - Mycobacterium montefiorense is a member of the Mycobacterium simiae complex, the
largest group of nontuberculous mycobacteria. Here, we report the genome sequence
of M. montefiorense isolate BS, isolated from diseased Japanese black salamander
(Hynobius nigrescens) reared in an aquarium in Japan. This is the first reported
case of an M. montefiorense infection in an amphibian.
PMID- 29798928
TI - Draft Genomic Sequencing of Six Potential Extraintestinal Pathogenic Escherichia
coli Isolates from Retail Chicken Meat.
AB - Potential extraintestinal pathogenic Escherichia coli strains DP254, WH333,
WH398, F356, FEX675, and FEX725 were isolated from retail chicken meat products.
Here, we report the draft genome sequences for these six E. coli isolates, which
are currently being used in food safety research.
PMID- 29798929
TI - Complete Genome Sequences of Four Novel Human Coronavirus OC43 Isolates
Associated with Severe Acute Respiratory Infection.
AB - We report here the complete genome sequences of four human coronavirus (HCoV)
OC43 isolates generated using targeted viral nucleic acid capture and next
generation sequencing; the isolates were collected in New Mexico and Arkansas,
USA, in February (HCoV-OC43/USA/TCNP_0070/2016) and March (HCoV
OC43/USA/ACRI_0052/2016) 2016 and January 2017 (HCoV-OC43/USA/TCNP_00204/2017 and
HCoV-OC43/USA/TCNP_00212/2017).
PMID- 29798931
TI - Correction for Smith and Unckless, "Draft Genome Sequence of Lysinibacillus
fusiformis Strain Juneja, a Laboratory-Derived Pathogen of Drosophila
melanogaster".
PMID- 29798930
TI - High-Quality Draft Genome Sequence of Sphaerisporangium cinnabarinum ATCC 31213.
AB - A high-quality draft genome sequence of Sphaerisporangium cinnabarinum ATCC 31213
is presented here. This bacterium produces several important bioactive compounds
and may also produce functional amyloids. This is the first sequenced genome from
the genus Sphaerisporangium, and it will be essential in determining the nature
of the potential amyloid protein.
PMID- 29798932
TI - High-throughput fabrication of vascularized spheroids for bioprinting.
AB - Overcoming the problem of vascularization remains the main challenge in the field
of tissue engineering. As three-dimensional (3D) bioprinting is the rising
technique for the fabrication of large tissue constructs, small prevascularized
building blocks were generated that can be incorporated throughout a printed
construct, answering the need for a microvasculature within the small micron
range (<10 MUm). Uniform spheroids with an ideal geometry and diameter for
bioprinting were formed, using a high-throughput non-adhesive agarose microwell
system. Since monoculture spheroids of endothelial cells were unable to remain
stable, coculture spheroids combining endothelial cells with fibroblasts and/or
adipose tissue derived mesenchymal stem cells (ADSC) as supporting cells, were
created. When applying the favorable coculture ratio, viable spheroids were
obtained and endothelial cells spontaneously formed a capillary-like network and
lumina, as shown by immunohistochemistry and transmission electron microscopy.
Especially the presence of ADSC led to a higher vascularization and extracellular
matrix production of the microtissue. Moreover, spheroids were able to assemble
at random in suspension and in a hydrogel, creating a macrotissue. During at
random assembly, cells reorganized, creating a branched capillary-network
throughout the entire fused construct by inoculating with capillaries of adjacent
spheroids. Combining the advantage of this natural capacity of microtissues to
self-assemble and the controlled organization by bioprinting technologies, these
prevascularized spheroids can be useful as building blocks for the engineering of
large vascularized 3D tissues.
PMID- 29798933
TI - Nanopatterning by ion beam sputtering in unconventional formats.
AB - Nanopatterning at solid surfaces by ion beam sputtering (IBS) has been practiced
mostly for stationary substrates with an ion beam incident under a fixed sputter
geometry. We have released such constraints in the sputter condition. We
simultaneously apply two ion beams or sequentially vary the orientation of
substrate with respect to an ion beam. We also periodically change either the
azimuthal or polar angle of the substrate with respect to an ion beam during IBS.
These unconventional ways of IBS can improve the order of the pattern, and
produce novel and non trivial nano patterns that well serve as touch stones to
refine the theoretical models and thus deepen our understanding of the patterning
mechanisms by IBS.
PMID- 29798934
TI - Nanoformulations of doxorubicin: how far have we come and where do we go from
here?
AB - Nanotechnology, focused on discovery and development of new pharmaceutical
products is known as nanopharmacology, and one research area this branch is
engaged in are nanopharmaceuticals. The importance of being nano has been
particularly emphasized in scientific areas dealing with nanomedicine and
nanopharmaceuticals. Nanopharmaceuticals, their routes of administration,
obstacles and solutions concerning their improved application and enhanced
efficacy have been briefly yet comprehensively described. Cancer is one of the
leading causes of death worldwide and evergrowing number of scientific research
on the topic only confirms that the needs have not been completed yet and that
there is a wide platform for improvement. This is undoubtedly true for
nanoformulations of an anticancer drug doxorubicin, where various nanocarrriers
were given an important role to reduce the drug toxicity, while the efficacy of
the drug was supposed to be retained or preferably enhanced. Therefore, we
present an interdisciplinary comprehensive overview of interdisciplinary nature
on nanopharmaceuticals based on doxorubicin and its nanoformulations with
valuable information concerning trends, obstacles and prospective of
nanopharmaceuticals development, mode of activity of sole drug doxorubicin and
its nanoformulations based on different nanocarriers, their brief descriptions of
biological activity through assessing in vitro and in vivo behavior.
PMID- 29798935
TI - Influence of temperature on the magnetic oscillations in graphene with spin
splitting: a new approach.
AB - We analyze the magnetic oscillations (MO) due to the de Haas-van Alphen effect,
in pristine graphene under a perpendicular magnetic field, taking into account
the Zeeman effect. We consider a constant Fermi energy, such that the valence
band is always full and only the conduction band is available. At zero
temperature the MO consist of two sawtooth peaks, one for each spin. Both peaks
have the same frequency, but different amplitude and phase. We show that, in
order to observe the spin splitting in the MO, Fermi energy of about 0.1 eV is
required. At low temperatures we obtain that the MO can be expressed as the MO at
zero temperature, plus small Fermi-Dirac like functions, each centered around the
MO peaks. Using this expression, we show that the spin splitting is observable in
the MO only when the thermal energy is smaller than the Zeeman energy. We also
analyze the shift of the MO extrema as the temperature increases. We show that it
depends on the magnetic field, which implies a broken periodicity at nonzero
temperature. Finally, we obtain an analytical expression for the MO envelope. The
results obtained could be used to infer temperature changes from the MO extrema
shift and vice versa.
PMID- 29798936
TI - Rapid response of Kasabach-Meritt phenomenon to a combination of oral
prednisolone and sirolimus.
PMID- 29798937
TI - Plica polonica: from national plague to death of the disease in the nineteenth
century Vilnius.
PMID- 29798940
TI - Stent removal using novel balloon catheter after rupture of stent for EUS-guided
pancreatic duct drainage.
PMID- 29798939
TI - Identification of a novel mutation in the SRD5A2 gene of one patient with 46,XY
disorder of sex development.
PMID- 29798938
TI - Sperm origins and concentration do not impact the clinical outcomes in
intracytoplasmic sperm injection cycles.
AB - In the present study, we evaluated the impact of sperm origins and concentration
on the clinical outcomes of intracytoplasmic sperm injection (ICSI) cycles. A
total of 1201 ICSI cycles were retrospectively analyzed for male azoospermia or
oligozoospermia between January 2015 and December 2015 in the Peking University
Third Hospital. Patients were divided into three groups (Group 1 vs Group 2/3;
surgically extracted sperm vs ejaculated sperms): Group 1 included 343 ICSI
cycles and Group 2 analyzed 388 cycles on semen with sperm concentration <5 * 106
ml-1 (severe oligozoospermia group). Group 3 included 470 cycles with sperm
concentration between 5 * 106 ml-1 and 15 * 106 ml-1 (mild oligozoospermia
group). Fertilization rates, clinical pregnancy rates, and live birth rates were
analyzed and compared among groups of different semen origins and concentrations
on the oocyte retrieval day. Group 2 showed a lower fertilization rate than Group
3 (62.9% +/- 21.6% vs 66.8% +/- 22.1%,P< 0.05). There were no statistically
significant differences in clinical pregnancy rate per transfer (51.3%, 46.7%,
and 50.0%, respectively), live birth rate per transfer (44.4%, 40.9%, and 41.4%,
respectively), accumulative live birth rate (58.3%, 51.0%, and 52.1%,
respectively), twin birth rate (18.4%, 10.6%, and 12.6%, respectively), and birth
defects rate (0, 0.3%, and 0.2%, respectively) among three groups. The results of
this study indicated that sperm origins and concentration do not impact the
clinical outcomes in ICSI cycles.
PMID- 29798941
TI - Cystic duct antegrade stenting for cholangitis after the long-term deployment of
lumen-apposing metal stents for calculous cholecystitis.
PMID- 29798943
TI - Colorectal cancer: A looming threat, opportunities, and challenges for the Saudi
population and its healthcare system.
PMID- 29798942
TI - Clinical and prognostic significance of MUC1 expression in patients with
esophageal squamous cell carcinoma after radical resection.
AB - Background/Aim: To investigate the clinical and prognostic significance of MUC1
expression in patients with esophageal squamous cell carcinoma (ESCC) after
radical resection. Materials and Methods: A total of 108 ESCC specimens were
evaluated by reverse transcriptase-polymerase chain reaction (RT-PCR) to detect
MUC1 at the mRNA level and were evaluated by immunohistochemistry (IHC) to detect
MUC1 at the protein level. Results: MUC1 mRNA was found in 74 cases by RT-PCR and
MUC1 protein expression was found by IHC in 70 cases. Both MUC1 mRNA and protein
expression correlated with pT (<0.05), pN (P < 0.01), and pTNM (<0.01). The 5
year survival rates of the patients were 39.8%. In univariate analysis, the 5
year survival rate in the ESCC patients was significantly associated with pT (P <
0.01), pN (P < 0.01), pTNM stage (P < 0.01), and MUC1 mRNA and protein expression
(P < 0.05). In multivariate analysis, pN and MUC1 expression were the independent
relevant factors. Conclusion: MUC1 expression can become a useful marker to
predict poor prognostic factors for 5-year survival rate in patients with ESCC
after radical resection.
PMID- 29798944
TI - Papillary thyroid cancer: Why the increase and what can be done?
PMID- 29798945
TI - Radical radiotherapy for carcinoma of the larynx in the elderly: Functional and
oncological outcomes from a tertiary cancer care center in India.
AB - INTRODUCTION: It is estimated that around 10% of all head and neck cancer
patients in India are aged over 70 years. Elderly patients are often subjected to
palliative or inadequate treatment for head and neck cancers in spite of being
candidates for curative intent therapy. In this study we evaluated our use of
radical radiotherapy in carcinoma larynx for patients over seventy years of age
to determine morbidity, likelihood of completing therapy, functional and
oncological outcomes. MATERIALS AND METHODS: 132 patients of squamous cell
carcinoma of the larynx treated between 2005-2015 at Amrita Institute of Medical
Sciences, Kochi who were seventy years of age or older were included. The
endpoint for analysis was overall survival. Survival curves were generated using
Kaplan Meier method and univariable analysis was performed using log rank test.
RESULTS: The median age of patients was 77 years (range 70-102). All patients
(100%) completed radiotherapy with 6 (5%) requiring treatment breaks. All
patients had at least minor (grade I/II) toxicities. Grade III toxicities were
seen in 10 (8%) of patients. No grade IV reactions or treatment related deaths
occurred. When a univariate analysis was performed for determinants of major
toxicities with age range, performance status, smoking, number of co-morbidities
or TNM stage, no determinants were statistically significant. 2-year disease free
survival for stage I, II, III and IV was 100%, 98%, 80% and 64% respectively, and
the 2-year overall survival for all four stages was 100%. CONCLUSION: Patients
over seventy years tolerate radical radiotherapy for treatment of laryngeal
cancer. In spite of minor toxicities, all patients completed treatment and had
good oncological outcomes. Patients with stage III/IV unfit for concomitant
chemotherapy administration treated with radiotherapy alone had a good disease
free survival. Curative intent therapy should not be withheld from elderly
patients on the basis of age.
PMID- 29798946
TI - Prevalence of human papillomavirus in oral squamous cell carcinoma: A rural
teaching hospital-based cross-sectional study.
AB - BACKGROUND: Human papillomavirus (HPV) is a well-established oncogenic agent in
the pathogenesis of cervical carcinoma. Its role in the oncogenesis of tumors
such as oral squamous cell carcinoma (OSCC) is not clear. Globally, approximately
3% of OSCCs are positive for HPV. Studies conducted in India indicate its
prevalence from as low as 0% to as high as 74%. However, a recent Indian study on
leukoplakia failed to find any evidence of HPV involvement. This motivated us to
reexamine the HPV status in OSCC. AIM: To evaluate the prevalence of HPV in OSCC.
SETTINGS AND DESIGN: This was a rural teaching hospital-based cross-sectional
study. SUBJECTS AND METHODS: Sixty histopathologically confirmed samples of OSCC
were used for the study. Genomic DNA was isolated from frozen, surgically
resected specimens. HPV positivity was tested by polymerase chain reaction-based
method using GP5+/6+ primers in the L1 consensus region of the viral genome.
RESULTS: None of the samples were HPV positive. CONCLUSIONS: Results of this
study indicate that the association between HPV and OSCC may be overestimated.
Hence, multicentric studies covering diverse geographical and socioeconomic
groups are needed to delineate the profile of HPV infectivity and OSCC in the
Indian subcontinent.
PMID- 29798947
TI - Nasopharyngeal carcinoma: Experience and treatment outcome with radical conformal
radiotherapy from a tertiary care center in India.
AB - BACKGROUND AND AIM: The majority of nasopharyngeal carcinoma (NPC) reports on the
outcome and prognostic factors are from endemic high-risk regions. Data on the
outcome of Indian patients are sparse. In this study, we retrospectively analyzed
the outcome of NPC patients treated radically with conformal radiotherapy (RT).
The primary objective was to assess the outcome, and the secondary objectives
were to assess treatment-related morbidities and the impact of various prognostic
factors on the outcome. MATERIALS AND METHODS: Sixty-eight patients with biopsy
proven NPC who received radical conformal RT, i.e., three-dimensional conformal
RT or intensity-modulated RT (IMRT) during 2004-2013 were analyzed. All patients
received conformal RT with or without chemotherapy. Statistical analysis was
performed using Statistical Package for the Social Sciences (SPSS, version 20.0)
software, IBM, USA. Survival analysis was performed using Kaplan-Meier method.
For calculating the hazard ratio of the prognostic factors, univariate and
multivariate Cox regression analyses were done. Chi-square test was used to
determine the association. RESULTS: In this study, with a median follow-up of 43
months, the overall survival (OS), disease-free survival, and cause-specific
survival were 91, 85.2, and 98.4% at 2 years and 78.3, 72.8, and 88.2% at 3
years, respectively. The locoregional failure was low (3%), and the 5-year cause
specific survival with chemoradiation was excellent (79%), even with 50% of the
patients being nonmetastatic Stage IV. Eleven out of 12 failures were distant
metastases. The treatment-related late morbidities were acceptable and better
with IMRT. Significant prognostic factors affecting the outcome were composite
stage of the disease and the interval between diagnosis and treatment initiation.
CONCLUSION: In locally-advanced NPC, excellent local control is possible with
modern conformal RT with concurrent chemotherapy. Distant metastases remain a
therapeutic challenge despite systemic chemotherapy. Novel systemic therapies are
needed in the future for improving the OS of these patients.
PMID- 29798948
TI - Magnetic resonance imaging: A predictor of pathological tumor dimensions in
carcinoma of anterior two-thirds of tongue - A prospective evaluation.
AB - INTRODUCTION: Preoperative imaging is mandatory for deciding the extent of
surgery in tumors of oral tongue. Previous studies have shown the significance of
depth of tumor invasion in predicting nodal involvement. AIM: This prospective
study aimed to assess the correlation between tumor dimensions in all three
planes obtained through preoperative imaging and histopathological findings, as
well as the correlation between these and pathological node positivity. MATERIALS
AND METHODS: Fifty-nine consecutive patients with nonmetastatic, operable,
squamous cell carcinoma of anterior two-thirds of the tongue were included in the
study. Preoperative imaging findings were compared with pathological findings and
analyzed. RESULTS: Histopathological dimensions were concordant with imaging
findings. Anteroposterior, transverse, and craniocaudal (CC) dimensions obtained
through imaging showed a significant correlation with corresponding pathological
findings (0.730, 0.621, 0.810, respectively; P < 0.001). Among all three, only CC
dimension showed a significant correlation with pathological nodal involvement
(odds ratio [OR] = 7.875, P = 0.03, relative risk = 0.236). Pathological tumor
thickness of >3 mm had a positive predictive value of 54.9% for nodal involvement
(OR = 7.875, P = 0.03). CONCLUSION: With widespread availability of state-of-the
art magnetic resonance (MR) scanners, CC dimension needs to be emphasized as the
most significant prognostic tumor parameter. Recent evidence, including our
study, suggests that MR imaging is concordant with pathological findings,
justifying its use in the pretreatment evaluation of oral tongue lesions.
PMID- 29798949
TI - Clinicopathological profile of papillary carcinoma of thyroid: A 10-year
experience in a tertiary care institute in North Karnataka, India.
AB - CONTEXT: Papillary thyroid carcinoma (PTC) is the most common thyroid malignancy
accounting for 80% of the thyroid cancers. Many histopathologic variants of PTC
have been recognized, and few of these are of prognostic significance. The
studies on clinicopathological features of PTC and its variants are so far seldom
reported in India. AIM: The aim of the study was to study the percentage
distribution of PTC among total thyroid specimens, the age and sex distribution
of PTC, its histopathological features including frequency of nuclear findings,
and various histological subtypes are also studied in detail. Methods: All cases
of PTC diagnosed in our department from April 2003 to March 2013 formed the
material for the study. The tissues were routinely processed and stained. On
microscopic examination, tumors were classified according to 2004 WHO
classification. RESULTS: PTC formed the predominant type of malignancy accounting
to 71% of the total cases. Of these, about 75% of patients were in the second to
fifth decade. Male to female ratio was 1:5.4. Other than the usual classic
variant and follicular variant, we also found rare types such as clear cell
variant, tall cell type, oncocytic type, and macrofollicular variant.
Microscopically, nuclear overcrowding and ground glass nuclei were seen in more
than 90% of cases. Nodular goiter, Hashimoto's thyroiditis, and follicular
adenoma were associated lesions in some cases. CONCLUSION: PTC is the most common
thyroid malignancy, and it can affect any age group though it presents mostly in
the third to fourth decade of life. Recognition of histological subtype is
crucial in patient prognosis.
PMID- 29798950
TI - Compliance and outcomes of concurrent Chemo-radiation in patients with peri
ampullary cancer undergoing curative resections.
AB - OBJECTIVES: We aimed to study the compliance and treatment outcome of patients
who received adjuvant treatment following curative resection for periampullary
cancers periampullary cancers. MATERIALS AND METHODS: Institute medical records
of PAC treated during 2007-2014 were retrieved. Demographics, treatment, and
outcome in patients who were intended to receive adjuvant chemoradiation after
curative resection were analyzed. Patients received first cycle chemotherapy with
5-fluorouracil folinic acid/capecitabine, followed by external radiotherapy 45
Gy/25 fractions/5 weeks and second and third cycle concurrent chemotherapy.
Fourth and fifth cycle chemotherapy were administered after radiotherapy).
Various prognostic factors, disease-free survival (DFS), and overall survival
(OS) were evaluated. RESULTS: Sixty-five patients were evaluated. Median age was
50 years. 96.9% patients completed the intended course of radiation and overall
adherence to chemotherapy was 86.2%. Median follow-up and DFS were 20 and 29.64
months, respectively (range: 1.9-97.3 months). Estimated 1-, 2-, 5-year DFS was
77.8%, 59.3%, and 37.6%, respectively. One-year estimated OS was 92.7%. Median
DFS for node-negative and node-positive patients was 88.6 and 24.33 months (P =
0.06). Grade >=III hematological toxicity was 20%. CONCLUSION: Positive node
indicated a trend toward poor survival. The study highlights high compliance to
multimodal management of PAC with acceptable toxicity in and out of clinical
trial setting in a tertiary cancer center in India.
PMID- 29798951
TI - Advanced hepatocellular carcinoma: A regional cancer center experience of 48
cases.
AB - BACKGROUND: Hepatocellular carcinoma (HCC) is a major health burden and the
seventh most common cause of cancer-related death in India. Patients with
advanced unresectable HCC have a poor prognosis with a reported median survival
of only 2-3 months with the best supportive care (BSC). Sorafenib is the only
drug that has demonstrated a survival benefit over BSC in advanced HCC.
Unfortunately, even though it has been used for a long time, there are very few
published data regarding the experience of sorafenib therapy in advanced HCC from
India. MATERIALS AND METHODS: Patients diagnosed with advanced HCC from January
2012 to July 2017 at our center were reviewed retrospectively. Patients' profile,
time to progression, survival, and toxicity of sorafenib therapy were evaluated.
RESULTS: Of the 48 advanced patients with HCC, 35 (72.9%) were male. The median
age at diagnosis was 52 years. The most common presenting symptom was abdominal
pain (77%, n = 37), followed by abdominal distension (37.5%, n = 18), loss of
appetite and/or weight (33.3%, n = 16), and jaundice (16.7%, n = 8). Hepatitis B
virus infection was documented in 37 patients (77%), whereas 4 patients had
hepatitis C virus infection. Patients were treated with standard dose sorafenib
(n = 30), BSC alone (n = 14), or transarterial chemoembolization followed by
sorafenib (n = 4). Sorafenib therapy was well-tolerated in most cases. The median
progression-free survival with upfront sorafenib was 4.3 months. The median
overall survival (OS) of the patients who received upfront sorafenib was
significantly better than those treated with BSC alone (5.9 vs 3.0 months; log
rank P= 0.00). CONCLUSION: Sorafenib therapy was well-tolerated and provided
about 3 months longer median OS in our patients with advanced HCC than those
treated with BSC alone.
PMID- 29798952
TI - Nontrial, real-world outcomes in unresectable locally advanced pancreatic cancer:
Chemotherapy and chemoradiation is the standard while surgery is uncommon.
AB - BACKGROUND: Outcomes and survival of truly unresectable locally advanced
pancreatic cancers (LAPC) is often reported along with borderline resectable
pancreatic cancers especially from a real world cohort. METHODS: The audit of
LAPC patients, diagnosed based on the NCCN criteria between February 2013 and
January 2016 was used to identify patients starting and continuing treatment in
our institution. Practice patterns, outcomes and prognostic factors for overall
survival were evaluated. RESULTS: Of the 83 patients, 52 were available for
inclusion in the analysis. Median age was 56 years (range 30- 77), with males
constituting 75% of patients. Baseline comorbidities seen were diabetes mellitus,
hypertension and cardiac dysfunction in 46.1%, 69.1% and 52% of patients
respectively. 84.6% of patients had arterial vascular involvement as criteria for
unresectable LAPC. 50% of patients received chemotherapy only, while the
remainder received chemotherapy and concurrent chemoradiation. One patient was
able to undergo curative R0 resection. FOLFIRINOX was the most commonly used
chemotherapy regimen (53.8%). With a median follow up of 15.9 months, median
progression free survival (mPFS) was 7.26 months (95% CI: 5.75-8.76) and median
OS was 11.8 months (95% CI: 9.96 - 13.61). None of the potential prognostic
factors evaluated, i.e., age, gender, nodal status, pre-treatment CA 19.9 levels,
showed correlation with OS. CONCLUSION: This analysis shows outcomes in
unresectable LAPC comparable to existing literature. Surgery in unresectable LAPC
patients is less common than seen in previously published studies, more likely
due to this cohort being truly 'unresectable' in terms of major arterial
involvement.
PMID- 29798954
TI - Interneural tissue clearance in axillary dissection for breast cancer: What is
the importance?
AB - BACKGROUND: Breast cancer is the most common cancer in women and second most
common type of cancer overall. The prime objectives of axillary surgery in the
management of breast cancer are 1) accurate staging, 2) treatment to cure and 3)
quantitative information of metastatic lymph nodes for prognostic purposes and
allocation to adjuvant protocols. During axillary dissection, all 3 level
lymphnodes are removed, while the important axillary structures (axillary vein,
long thoracic and thoracodorsal nerves) are preserved. The latter two structures
are particularly vulnerable to injury when dissecting the tissue between them
(the interneural tissue). METHODS: This prospective non-randomized study,
conducted on 125 female patients, who underwent axillary lymphadenectomy for
breast cancer has evaluated the importance of dissection of the Interneural
tissue during axillary dissection in breast cancer surgery by reviewing the lymph
node yield and metastasis rate. The interneural tissue was excised separately
after a routine axillary dissection. RESULTS: Lymph nodes were found in the
interneural tissue of 70 out of 125 patients (56%). The average number of
interneural lymph nodes recovered per specimen was 1.3. The interneural tissue
lymph nodes were positive for metastasis in 10 (8%) patients. There was no
incidence of isolated metastasis in the internerve tissue nodes. CONCLUSIONS:
There is a significant incidence of lymph nodes (56%) and axillary node
metastases (8%) in the tissue lying between the long thoracic and thoracodorsal
nerves. Therefore, meticulous dissection and excision of this interneural tissue
is strongly recommended to optimize decision making regarding adjuvant treatment
and outcome.
PMID- 29798955
TI - Axillary dissection for breast cancer using electrocautery versus ultrasonic
dissectors: A prospective randomized study.
AB - BACKGROUND: The major morbidities of modified radical mastectomy both short- and
long-term are sequelae of axillary dissection. Flap complications, prolonged
seroma, need for axillary drainage, wound infection, lymphedema, shoulder
stiffness, and paresthesia are major causes for morbidity after axillary
dissection. Different techniques have been implemented to tackle these problems.
Few of these include reducing the axillary dead space, using various forms of
energy devices. AIMS: We have prospectively compared two energy sources, namely,
ultrasonic dissector (UD) against the electrocautery dissection in axillary
dissection for breast cancer with respect to outcomes. MATERIALS AND METHODS: One
hundred female patients with breast cancer undergoing modified radical mastectomy
were randomized to either of the two arms - axillary dissection using UD and
axillary dissection using electrocautery. The parameters taken into consideration
were operating time, operative blood loss, amount and duration of axillary
drainage, flap complications, nodal yield, and postoperative pain scoring.
RESULTS: There were no significant differences overall between the two groups
with respect to oncological safety and functional outcomes.
PMID- 29798953
TI - Comparative pharmacokinetics, efficacy, and safety of bevacizumab biosimilar to
reference bevacizumab in patients with metastatic colorectal cancer.
AB - OBJECTIVE: To establish clinical biosimilarity of BevaciRelTM bevacizumab
biosimilar (study bevacizumab) with the reference innovator bevacizumab in terms
of pharmacokinetics, efficacy, and safety in metastatic colorectal cancer (mCRC).
MATERIALS AND METHODS: A total of 119 patients with mCRC were enrolled across 20
centers and randomized to receive study and reference bevacizumab in this Phase
III clinical study. Of these, 116 patients were administered bevacizumab 5 mg/kg
intravenously every 2 weeks with folinic acid, fluorouracil, and irinotecan
regimen. The primary endpoint of the study was objective response rate (ORR) at
week 25, and the secondary endpoints assessed were progression-free survival
(PFS), overall survival (OS), and assessment of pharmacokinetics and safety along
with immunogenicity in both treatment arms. RESULTS: The ORR was 60.53% in study
bevacizumab and 66.67% in reference arm. The proportions of subjects showing CR
and PR were comparable in both the arms. The median PFS at 1 year was 3.83 months
in test arm and 4.6 months in reference arm. The mean OS was 10.91 months in test
arm and 14.68 months in reference arm. The difference in ORR, median PFS, and OS
was not statistically significant (P > 0.05). The median Tmaxwas 6.00 h in both
the arms. The median t1/2 was 330.63 h and 226.14 h, respectively, for test and
reference bevacizumab. The adverse event profile of both products was in line
with the known profile of bevacizumab. CONCLUSION: The study biosimilar
bevacizumab was found to be noninferior and clinically biosimilar to the
reference bevacizumab, thereby meeting an unmet medical alternative need in mCRC.
PMID- 29798956
TI - Short-term efficacy and safety of apatinib in advanced squamous cell carcinoma of
the lung.
AB - OBJECTIVE: To evaluate the short-term efficacy and safety of apatinib alone or
combined with chemotherapy in the treatment of advanced squamous cell lung
cancer. METHODS: Forty patients with advanced squamous cell lung carcinoma were
enrolled in this study, who were treated in Xuzhou Central Hospital from 2014 to
2015. All patients underwent first-line or more chemotherapy. Patients were
administrated with apatinib 425 mg/day, alone or combined with chemotherapy. The
short-term efficacy was evaluated according to the RECIST criteria. The main
safety event was evaluated by CTC-AE criteria. RESULTS: Among all the 40
patients, partial response in 5 cases, stable disease in 24 cases, progressive
disease in 11 cases, overall response rate in 12.5%, disease control rate in
72.5%, the median progression-free survival was 3.7 months. The main adverse
events were leukopenia, fatigue, and hypertension. Most of the adverse events
were grade I and II level. CONCLUSION: The use of apatinib alone or combined with
chemotherapy in patients with advanced or metastatic squamous cell lung carcinoma
demonstrates a high response rate, favorable tolerability profile.
PMID- 29798957
TI - Role of laparoscopy in predicting surgical outcomes in patients undergoing
interval cytoreduction surgery for advanced ovarian carcinoma: A prospective
validation study.
AB - OBJECTIVE: The objective of this study was to evaluate the safety and efficacy of
laparoscopy in detecting inoperable disease in patients undergoing interval
cytoreduction (ICR) for advanced ovarian carcinoma (AOC). The primary outcome
measured was the performance of laparoscopy-based predictive index value (PIV)
score developed by Fagotti et al. The secondary outcomes measured were
performance of individual parameters included in PIV score and optimal
cytoreduction (OCR) rates in our population. PATIENTS AND METHODS: This is a
single-arm, prospective validation trial. Patients undergoing ICR for AOC in our
institution were evaluated prospectively with laparoscopy before planned attempt
at debulking surgery. Seven laparoscopic parameters included in laparoscopic PIV
score were evaluated. Laparoscopic findings were compared with the final outcomes
of definitive surgery. OCR was defined as residual disease <1 cm. The efficiency
of the individual laparoscopy score was analyzed using receiver operating
characteristic (ROC) curves. RESULTS: A total of 73 patients planned for ICR for
AEOC were included in the study. Laparoscopic PIV score could successfully
predict inoperability in 12 (16.4% of total study population) out of 14
inoperable patients in the total population and thus could avoid 85% of
unsuccessful surgeries at a PIV score cutoff of >=8. Performance of individual
parameters included in PIV score was also evaluated. Two parameters out of seven,
that is, mesenteric retraction and stomach infiltration had poor performance on
ROC curve. Modified PIV score was calculated for each patient after excluding
these two parameters. Modified PIV score had similar performance as Fagotti's PIV
score at cutoff >=6 (P = 0.728, for difference in area under the curve). No
staging laparoscopy-related serious adverse events were noted in any of the
patients. CONCLUSIONS: Laparoscopy is a safe, effective, and accurate method for
predicting inoperability in patients undergoing ICR for AEOC.
PMID- 29798958
TI - Primary neuroendocrine carcinoma of the thymus: A retrospective analysis from a
regional cancer center in Western India.
AB - Primary neuroendocrine tumors of the thymus are unusual anterior mediastinal
tumors with a variable prognosis. A retrospective analysis of five patients with
primary neuroendocrine tumors of the thymus admitted to the Gujarat Cancer and
Research Institute, Ahmedabad, between 2012 and 2016, was done to study the
clinical profile and outcome of these patients. The role of various prognostic
factors such as surgical resection, histological grade, and Masaoka-Koga staging
was also analyzed. Majority of the patients present with signs and symptoms
related to a rapidly expanding mediastinal mass, such as breathlessness, facial
puffiness, edema over the neck and extremities, chest pain, and other features of
superior vena cava (SVC) syndrome. Collateral venous dilatation over the neck and
chest and edema over neck were the most common physical signs. All the patients
enrolled in the study presented in advanced stages with a poor differentiation on
histopathological examination. Thymic neuroendocrine tumors usually manifest as
large, lobulated, and locally invasive anterior mediastinal masses surrounding
the great vessels of the neck and thorax. None of the diagnosed patients
underwent surgical resection in view of extensive vascular encasement in the neck
and thorax, and all of them were started on platinum-based palliative
chemotherapy. The median survival of the patients was 12 months with the longest
survival of 16 months for one patient. Possibility of this potentially rare
entity should be kept in mind when a patient presents with features of SVC
syndrome and large mediastinal mass. Complete surgical resection of the tumor is
prognostic of improved treatment outcome and long-term survival. Large tumor size
could be a determinant of poor overall outcome, and tumor size should strongly be
considered as a factor in the revised (NETT) Neuroendocrine tumours of thymus
staging. Histological grade and Masaoka-Koga stage are the important prognostic
factors, but this study emphasizes the utmost need to further validate the
prognostic factors.
PMID- 29798959
TI - Quality assessment and improvement of cancer registration system in Kamrup Urban
District: A report.
AB - INTRODUCTION: The global burden of cancer incidence and mortality are rising
continuously worldwide. As per the GLOBOCAN 2012 estimates, about 14.1 million
cancer cases and 8.2 million cancer deaths occurred and 32.6 million people
living with cancer (within 5 years of diagnosis) in 2012 worldwide. Reliable data
on the magnitude and the pattern of cancer are essential for monitoring the
health of the community, assessing the performance of the health care system.
Cancer registries should pay great attention to quality of their data. The
completeness of cancer registry data- the extent to which all of the incident
cancers occurring in the population are included in the registry database- is an
extremely important attribute of a cancer registry. There are mainly four aspects
influencing the quality of data namely, comparability, completeness, validity and
timeliness. MATERIALS AND METHODS: Data regarding incidence and mortality with
methods of diagnosis for individual years were obtained from the National Cancer
Registry Program database of the Indian Council of Medical Research for 2009 to
2014 periods and recalculated for combined years (2009-2014). RESULTS: In males
in 2009-11, 77.1% were microscopically confirmed cases which are improved in the
later years and for the year 2012-2014, it is 81.4%. In females also the
percentage of microscopically confirmed cases were increased from 80.2% to 82.9%.
An improvement in mortality to incidence ratio was observed over the years. MI
ratio in males was improved to 32.9%. for the year 2012-14 as compared to 28.6%
for the year 2009-11 while in female MI ratio is also increased from 18.8% to
21.8% over the period from 2009-11 to 2012-14. Whereas DCO was decreased from 12%
to 10.7% in males and 7.3% to 6.6% in females respectively from the period 2009
11 to 2012-14. CONCLUSION: Although there is a slight improvement in data quality
till date, there is an enormous scope for population based cancer registry
Guwahati to improve the data quality.
PMID- 29798960
TI - Effect of levamisole on expression of CD138 and interleukin-6 in human multiple
myeloma cell lines.
AB - INTRODUCTION: Multiple myeloma (MM) is a B-cell malignancy accounting for 0.8% of
all cancer deaths globally. This malignancy is characterized by lytic bone
disease renal insufficiency, anemia, hypercalcemia, and immunodeficiency. The
myeloma cells have enhanced expression of CD138. CD138 is a transmembrane heparin
sulfate glycoprotein expressed on different types of adherent and nonadherent
cells.CD138 is used as a standard marker for identification of tumor cells. AIMS
AND OBJECTIVES: Despite introduction of many therapeutic agents, the management
of multiple myeloma (MM) remains a challenge and search for new therapeutic
agents is in progress. In this study, we attempted to evaluate the effect of an
alkaline phosphatase inhibitor, levamisole on expression of CD138, and level of
interleukin-6 (IL-6) in human MM cell lines RPMI 8226 and U266 B1. MATERIAL AND
METHODS: U266B1 and RPMI 8226 cell lines were obtained from the National Centre
for Cell Sciences, Pune. Alkaline phosphatase assay, Interleukin-6 assay and
CD138 expression on myeloma cells by flow cytometry were investigated when the
cells were exposed to Levamisole. RESULTS: Levamisole-mediated growth inhibition
of myeloma cells in vitro is associated with a loss of CD138 and increased IL-6
secretion. The increased secretion of IL-6 by myeloma cells could be an attempt
to protect themselves from apoptosis. CONCLUSION: Levamisole inhibited CD138
expression and affected the levels of IL-6 in a dose-dependent manner. The
results of the present study add new dimension to levamisole's mode of action as
inhibitor of CD138 and IL-6 and as an antiapoptotic agent.
PMID- 29798961
TI - Prevalence of cytogenetic abnormalities in chronic lymphocytic leukemia in the
southern part of Turkey.
AB - BACKGROUND: Chronic lymphocytic leukemia (CLL) is the most common type of
leukemia among adults in Western populations. CLL has a wide range of clinical
presentations and varied outcomes. For CLL, cytogenetic assessment is essential
for estimating prognoses and determining the treatment of choice. The
fluorescence in situ hybridization (FISH) technique is widely used for genetic
assessment due to its high sensitivity. AIM: This study aimed to evaluate the
frequencies of deletions of 13q14.3, 17p13.1, 11q22.3, and 13q34 and of trisomy
12 and to observe their effects on survival in 226 Turkish CLL patients using
FISH analysis. RESULT AND CONCLUSION: The frequencies of abnormalities were 65.4%
for del 13q14.3, 39.8% for del 17p13.1, 19% for del 11q22.3 (del ATM), and 15.9%
for trisomy 12. No patients had a 13q34.3 aberration. Our results are partially
consistent with literature findings. However, certain conflicts with prior
results were observed, particularly with respect to the high prevalence of
17p13.1 deletions and the enhanced survival of patients with such deletions.
These inconsistencies may represent population-based differences in the genetic
epidemiology of CLL.
PMID- 29798963
TI - Prognostic significance of p16INK4a alteration in soft tissue sarcomas: A meta
analysis.
AB - PURPOSE: Numerous studies have investigated the role of p16INK4a alteration in
patients with soft tissue sarcomas (STSs) yielding inconsistent and inconclusive
results. Hence, we conducted a meta-analysis to precisely assess its prognostic
value. MATERIALS AND METHODS: Electronic literature databases such as PubMed,
EMBASE, Web of Science were searched, and five studies with a total of 536
patients were eligible for this meta-analysis. Pooled hazard ratio (HR) with 95%
confidence interval (95% CI) of overall survival (OS) was used to assess the
prognostic role of p16INK4a alteration. RESULTS: Overall, the pooled HR for all
five eligible studies evaluating decreased p16INK4a expression on OS was 1.47
(95% CI: 1.14-1.90); sensitivity analysis suggested that the pooled HR was stable
and omitting a single study did not change the significance of the pooled HR.
There is no evidence of publication bias in the meta-analysis. CONCLUSIONS: In
conclusion, this meta-analysis showed that decreased p16INK4a expression is
associated with lower OS rate in patients with STS, and it is an effective
biomarker of prognosis.
PMID- 29798962
TI - Clinicopathological spectrum of teratomas: An 8-year retrospective study from a
tertiary care institute.
AB - BACKGROUND: Teratomas are tumors that contain tissues derived from three
different germ cell layers and having a wider range of differentiation with
different site and age at presentation. The aim of the present study was to know
the frequency of teratomas in various sites and to analyze their
clinicomorphological features. MATERIALS AND METHODS: The present study is a
retrospective study conducted in tertiary care hospital of Central India. All the
cases diagnosed as teratoma in the period of 8 years were included and studied
with reference to age, sex, site, size, gross, and microscopic features. RESULTS:
A total of 92 cases were retrieved. The most common teratoma was ovarian (78.26%)
followed by intracranial/intraspinal and sacrococcygeal in frequency of 7.61%
each. Out of 92 cases, 89 were mature and benign, 2 cases were immature teratoma
each in ovary and in sacrococcyx, and 1 case of teratocarcinoma in testis.
CONCLUSION: Teratomas have much diversity in their age at presentation, location,
gross features, and in degree of differentiation. The prognosis and treatment
also depends on the histological grading of teratomas. Thus, pathologists have an
important role in establishing a reliable prognostic profile.
PMID- 29798964
TI - Education differential in relation to tobacco use and its predictors across
different regions of India.
AB - BACKGROUND: Tobacco use and education of an individual are linked to each other.
Educated people are more likely to practice healthy behaviors and are aware of
the harms of tobacco use. This paper uses the Global Adult Tobacco Survey data
(GATS-India) to study the education differential associated with tobacco use and
its predictors across India. METHODOLOGY: Secondary data analysis was conducted
for GATS conducted in 2009-2010 in India. Data for "illiterate" and "literate"
study subjects were analyzed according to study subject's "tobacco consumption
pattern," their "quitting behavior," "exposure to second hand smoke (SHS)" and
"observing health warnings on tobacco products." RESULTS: Tobacco smokers and
smokeless tobacco users were more likely to be illiterate (odds ratio [OR] for
smoking tobacco = 1.2; for smokeless tobacco = 1.5) as compared to their
counterparts. Significantly, more illiterate initiated smoking tobacco (OR = 1.1;
1.02-1.26) and smokeless tobacco habit (OR = 1.3; 1.21-1.44) before 17 years of
age. Illiterate people were less likely to try quitting tobacco (smoking tobacco
= OR = 0.8; 0.79-0.94; smokeless tobacco = OR = 0.7; 0.70-0.81) and also less
likely to think of quitting tobacco in near future (smoking tobacco = OR = 0.6;
0.59-0.71; smokeless tobacco = OR = 0.6; 0.57-0.66). Illiterate people were more
likely to be exposed to SHS at home (OR = 1.8; 1.7-1.9) and less likely to notice
health warnings on cigarette packets (OR = 0.2; 0.26-0.28) and smokeless tobacco
pouches (unadjusted OR = 0.5; 0.49-0.53). CONCLUSION: The results confirm that
education differential exists for tobacco use and its determinants in India. It
is recommended that all people of our country should have access to quality
education. Policy makers should target uneducated people so as to reverse the
tobacco epidemic.
PMID- 29798965
TI - Expression and localization of aquaporins 3 and 7 in bull spermatozoa and their
relevance to sperm motility after cryopreservation.
AB - Artificial insemination with cryopreserved semen is a well-developed technique
commonly used for controlled reproduction in cattle. However, despite current
technical advances, cryopreservation continues to damage bull spermatozoa,
resulting in a loss of approximately 30 to 50% of viable spermatozoa post
thawing. To further improve the efficiency of cryopreservation of bull
spermatozoa, understanding the molecular mechanisms underlying the cryobiological
properties that affect cryoinjuries during cryopreservation process of bull
spermatozoa is required. In this study, we examined the expression and
localization of aquaporin (AQP) 3 and AQP7 in fresh, cooled, and frozen-thawed
bull spermatozoa. Furthermore, we investigated the relevance of AQP3 and AQP7 to
motility and to membrane integrity in frozen-thawed bull spermatozoa. Western
blotting against AQP3 and AQP7 in bull spermatozoa revealed bands with molecular
weights of approximately 42 kDa and 53 kDa, respectively. In immunocytochemistry
analyses, immunostaining of AQP3 was clearly observed in the principal piece of
the sperm tail. Two immunostaining patterns were observed for AQP7 -pattern 1:
diffuse staining in head and entire tail, and pattern 2: diffuse staining in head
and clear staining in mid-piece. Cooling and freeze-thawing did not affect the
localization pattern of AQP7 and the relative abundances of AQP3 and AQP7
evaluated by Western blotting. Furthermore, we demonstrated that the relative
abundances of AQP3 and AQP7 varied among ejaculates, and they were positively
related to sperm motility, particularly sperm velocity, post freeze-thawing. Our
findings suggest that AQP3 and AQP7 are possibly involved in the tolerance to
freeze-thawing in bull spermatozoa, particularly in the sperm's tail.
PMID- 29798966
TI - Effects of pre-maturational culture duration on developmental competence of
bovine small-sized oocytes.
AB - We investigated the effects of pre-maturational (pre-IVM) culture on the
developmental competence of small-sized bovine oocytes (110 and < 115 um).
Oocytes were cultured with 3-isobutyl-1-methylxanthine (IBMX) for 0, 5, or 10 h
and subjected to in vitro maturation, fertilization, and culture. The cleavage
rate (73%) of small-sized oocytes with 5 h pre-IVM was higher than those with 0
and 10 h pre-IVM (61 and 62%, respectively). The blastocyst rate (16%) of embryos
derived from small-sized oocytes with 5 h pre-IVM was higher than those with 0
and 10 h pre-IVM (9 and 8%, respectively). In addition, small-sized oocytes with
5 h pre-IVM had a higher mean cell number in blastocysts (134.1 +/- 34.8) than
those with 0 and 10 h pre-IVM (100.2 +/- 17.2 and 107.8 +/- 23.7, respectively).
In conclusion, the pre-IVM of small-sized oocytes with IBMX for 5 h improved the
developmental competence of bovine oocytes, as well as the quality of
blastocysts.
PMID- 29798967
TI - Tea polyphenols suppress growth and virulence-related factors of Haemophilus
parasuis.
AB - The bacterium Haemophilus parasuis (H. parasuis) is the primary cause of
Glasser's disease. Currently, there are no effective vaccines that can confer
protection against all H. parasuis serovars. Therefore, the present study aimed
to investigate the effect of tea polyphenols on growth, expression of virulence
related factors, and biofilm formation of H. parasuis, as well as to evaluate
their protective effects against H. parasuis challenge. Our findings demonstrated
that tea polyphenols can inhibit H. parasuis growth in a dose-dependent manner
and attenuate the biofilm formation of H. parasuis. In addition, tea polyphenols
exerted inhibitory effects on the expression of H. parasuis virulence-related
factors. Moreover, tea polyphenols could confer protection against a lethal dose
of H. parasuis and can reduce pathological tissue damage induced by H. parasuis.
In summary, our findings demonstrated the promising use of tea polyphenols as a
novel treatment for H. parasuis infection in pigs.
PMID- 29798968
TI - Estimating the life expectancy of companion dogs in Japan using pet cemetery
data.
AB - The life expectancy provides valuable information about population health. The
life expectancies were evaluated in 12,039 dogs which were buried or cremated
during January 2012 to March 2015. The data of dogs were collected at the eight
animal cemeteries in Tokyo. The overall life expectancy of dogs was 13.7 (95%
confidence interval (CI): 13.7-13.8) years. The probability of death was high in
the first year of life, lowest in the fourth year, and increased exponentially
after four years of age like Gompertz curve in semilog graph. The life expectancy
of companion dogs in Tokyo has increased 1.67 fold from 8.6 years to 13.7 years
over the past three decades. Canine crossbreed life expectancy (15.1 years, 95%
CI 14.9-15.3) was significantly greater than pure breed life expectancy (13.6
years, 95%CI 13.5-13.7, P-value <0.001). The life expectancy for male and for
female dogs were 13.6 (95% CI: 13.5-13.7) and 13.5 (95% CI: 13.4-13.6) years,
respectively, with no significant difference (P=0.097). In terms of the median
age of death and life expectancy for major breeds, Shiba had the highest median
age of death (15.7 years), life expectancy (15.5 years) and French Bulldog had
the lowest median age of death (10.2 years), life expectancy (10.2 years). When
considering life expectancy alone, these results suggest that the health of
companion dogs in Japan has significantly improved over the past 30 years.
PMID- 29798969
TI - Onset of Hemophagocytic Lymphohistiocytosis during Piperacillin-Tazobactam
Therapy in Three Children with Acute Focal Bacterial Nephritis.
AB - Hemophagoytic lymphohistiocytosis (HLH) is a rare life-threatening disorder
caused by overactivation of the immune system, associated with infections,
autoimmune disorders, and malignancies. The pathological hallmark of HLH is
phagocytosis of blood cells and platelets by activated macrophages and
histiocytes. In this report, we describe the onset of HLH in three children, aged
2, 5 and 7 years old, during the treatment of acute focal bacterial nephritis
(AFBN) with an antibiotic, piperacillin-tazobactam (PIPC-TAZ). AFBN is acute
localized bacterial infection of the kidney without abscess formation. PIPC-TAZ
was chosen for the treatment of AFBN, because it not only has indications for
complicated urinary tract infections, but also covers most of the causative
bacteria of urinary tract infections, including beta-lactamase-producing
Escherichia coli. The clinical courses of the three patients were similar, and
they were treated with PIPC-TAZ and amikacin (AMK) for AFBN. Fever went down 2 to
5 days later, and AMK was discontinued by day 6. However, fever recurred on 13 to
15 days after introduction of PIPC-TAZ therapy, even though all of the patients
had no signs of recurrence of AFBN. The clinical features and laboratory tests of
two patients fulfilled the criteria of HLH, whereas the other patient had
initiated therapy before fulfilling the criteria. Cessation of PIPC-TAZ combined
with corticosteroid therapy improved clinical symptoms. HLH of our patients was
probably induced by PIPC-TAZ, as judged by the timing of the onset of HLH and the
positivity of the drug-lymphocyte stimulation test. In conclusion, prolonged
antibiotic therapy with PIPC-TAZ could be a cause of HLH.
PMID- 29798970
TI - Circular RNA Myosin Light Chain Kinase (MYLK) Promotes Prostate Cancer
Progression through Modulating Mir-29a Expression.
AB - BACKGROUND In developed countries, prostate cancer (PCa) is a frequently
diagnosed cancer with the second highest fatality rate. Circular RNAs (circRNAs)
are a class of endogenous non-coding RNAs (ncRNAs) stably expressed in cells and
involved in a series of carcinomas. However, few research studies have reported
on the role of circRNAs in PCa. MATERIAL AND METHODS We used qRT-PCR to detect
the expression of circMYLK (circRNA ID: hsa_circ_0141940) and miR-29a in PCa
tissues and cell lines. MTT, colony formation, and TUNEL assays were performed to
analysis the cell viability of PCa cells. Transwell and wound scratch assays were
performed to investigate the cell invasion and migration of PCa cells. RESULTS In
the present study, we confirmed that circMYLK expression level was significantly
higher in PCa samples and PCa cells than in normal tissues and normal prostatic
cells. The upregulated circRNA-MYLK promoted PCa cells proliferation, invasion,
and migration; however, si-circRNA-MYLK significantly accelerated the PCa cell
apoptosis. We also observed that the aforementioned function of circRNA-MYLK on
PCa cells was affected through targeting miR-29a. CONCLUSIONS We confirmed
circRNA-MYLK was an oncogene in PCa and revealed a novel mechanism underlying
circRNA-MYLK in PC progression.
PMID- 29798971
TI - Prognostic Significance of Serum Interleukins and Soluble ST2 in Traditional
Chinese Medicine (TCM) Syndrome-Differentiated Rheumatoid Arthritis.
AB - BACKGROUND The aim of this study was to explore the possible correlations of
serum interleukins and soluble ST2 (sST2) protein with clinical features and
inflammatory cytokines in rheumatoid arthritis (RA) patients, as well as to
assess ability of TCM (Traditional Chinese Medicine) syndromes to differentiate
RA patients and evaluate prognosis. MATERIAL AND METHODS Thirty RA patients and
25 healthy individuals were enrolled. Syndrome activity was evaluated, and lab
tests were performed. Serum levels of IL-10, IL-17, IL-33, and sST2 were assessed
by ELISA. RESULTS Serum levels of sST2, IL-33, and pro-inflammation cytokine IL
17 were all up-regulated, while the immunosuppressive cytokine IL-10 was
decreased in RA patients. Serum IL-33 level was positively associated with ESR,
CRP, and RF, as well as with HAQ score, VAS score, and DAS28 scores (P<0.05).
Serum sST2 level was correlated with the morning stiffness time and ESR, as well
as scores of HAQ and DAS28 (P<0.05). In addition, IL-33 level was positively
corelated with IL-17 (r=0.83, P<0.01) and the relative ratio of IL-10/IL-17
(r=0.904, P<0.01), and was negatively related with IL-10 (r=-0.632, P<0.01). TCM
syndrome differentiation was conducted for RA patients, including the hot
syndromes and cold syndromes groups. Hot syndromes RA patients had significantly
more severe inflammation compared with cold syndromes patients. CONCLUSIONS IL-33
is a possible index for monitoring disease activity and inflammation condition in
RA. IL-33 contributes to RA pathogenesis through unbalancing IL-10 and IL-17. In
terms of TCM, hot syndromes RA presented more serious inflammation and more
active disease activity, indicating a poorer prognosis.
PMID- 29798973
TI - [Clinical presentations, diagnosis and treatment of cerebral vein and sinus
thrombosis].
AB - The problem of thrombosis of cerebral veins and venous sinuses is insufficiently
studied. The real incidence of cerebral venous thrombosis remains incompletely
defined. The article presents results of clinical research on cerebral vein and
sinus thrombosis, which served as a ground for an analysis of causes and
development of the disease. In 20-35% of patients with cerebral venous
thrombosis, this disease remains idiopathic after extensive investigation.
Particular attention is given to risk factors, clinical presentations, early
diagnosis, treatment, prognosis of cerebral vein and sinus thrombosis.
PMID- 29798972
TI - Usefulness of Pancreas Donor Risk Index and Pre-Procurement Pancreas Allocation
Suitability Score: Results of the Polish National Study.
AB - BACKGROUND Pre-procurement pancreas suitability score (P-PASS) and pancreas donor
risk (PDRI) index are scoring systems believed to predict suitability of
pancreatic grafts. Most European countries and the United States apply PDRI,
while Poltransplant keeps using P-PASS: more than 16 points raises a red flag for
graft use. Recent data discourage use of PDRI to predict pancreas graft survival.
The aim of the present study was to assess PDRI and P-PASS as predictors of
transplanted pancreas survival in a Polish population. MATERIAL AND METHODS From
February 1998 to September 2015, 407 pancreas transplantations were performed in
Poland: 370 (90.9%) simultaneous pancreas-kidney transplantation and 37 (9.1%)
pancreas transplantation alone or pancreas after kidney. The endpoint was death
uncensored 12-month graft survival with satisfactory glycemic control without
insulin. RESULTS Average P-PASS was 15.9+/-2.66 and PDRI was 0.96+/-0.37.
Recipients who survived 12 months with good graft function had an average P-PASS
score of 15.7 and PDRI of 0.95. Recipients with death-uncensored graft loss had a
mean P-PASS of 16.4 and PDRI of 0.99. Univariate analysis revealed donor age,
body mass index (BMI), and P-PASS to be significant risk factors for 1-year
pancreas graft survival. CONCLUSIONS P-PASS, but not PDRI, is a reliable tool to
predict pancreas graft survival in the Polish population.
PMID- 29798974
TI - [Intimal rupture of the displastic middle cerebral artery wall complicated by
thrombosis and fatal ischemic stroke].
AB - The authors present a clinical-morphological observation of the 47-year old man
with a severe fatal ischemic stroke due to middle cerebral artery thrombosis
which developed at the site of intimal rupture. The cause of intimal rupture was
the arterial wall dysplastic changes. There were no signs of atherosclerosis and
hypercoagulation. As the intima rupture did not lead to blood input into arterial
wall, but was accompanied by superimposed thrombosis, we suggested to denote such
cases as incomplete dissection.
PMID- 29798975
TI - [Ischemic stroke in the patients with comorbid pathology].
AB - AIM: To study an effect of comorbidity on the course and outcome of ischemic
stroke (IS). MATERIAL AND METHODS: Results of a unicenter, retrospective, cohort
study of 190 patients with ischemic stroke (IS) were analyzed. Severity of
stroke, dependence in the daily activities, comorbidity were assessed using
NIHSS, the Rankin scale,CCI, CIRS. RESULTS AND CONCLUSION: The correlation of IS
severity and outcome with the severity of comorbid pathology assessed by CCI and
CIRS was identified. Severity of comorbid pathology increased with the age of
patients. Comorbidity (CCI) and stroke severity (NIHSS) were predictors of IS
outcome (the Rankin scale) (R=0.72210324; R2=0.52143308; p=0.0026). The effect of
comorbidity on survivability of stroke was shown. The results can be useful for
the management of the patient with acute IS in a specialized inpatient setting.
PMID- 29798976
TI - [Clinical/epidemiological characteristics of ischemic stroke in Dagestan].
AB - OBJETIVE: To analyze risk factors and course of acute ischemic stroke (IS) based
on hospital register data. MATERIAL AND METHODS: Ninety-seven patients (38 women
and 59 men, mean age 65.4+/-12.8 and 61.2+/-18.8 years, respectively) with acute
IS admitted in 2016 to the Clinical hospital of Makhachkala were examined.
Medical history and severity of neurological deficit (the British Medical Council
Scale, The Glasgow Coma Scale, MMSE) were assessed. RESULTS: The severity of
neurological deficit was comparable in men and women though cognitive impairment
was more frequent in women (p<0.05). At admission, symptoms of inflammation and
hyper coagulation were more frequently identified in women (p<0.05). The high
prevalence of chronic cerebral ischemia preceding IS, insufficient efficacy of
preventive treatment and late hospitalization were noted. CONCLUSION: The results
should be confirmed in a large-scale long-term study. They can be used to improve
quality of medical care of patients with IS.
PMID- 29798977
TI - [The Russian version of Coma Recovery Scale-revised - a standardized method for
assessment of patients with disorders of consciousness].
AB - The authors officially present for the first time the Russian version of Coma
Recovery Scale-Revised (CRS-R). Today CRS-R is the only validated scale in
Russian for assessment of patients with chronic disorders of consciousness (DOC).
The study showed high consistency for different researchers, high sensitivity in
the evaluation of patients over time as well as high concurrent validity. This
article contains the text of the scale and recommendations how to use CRS-R and
interpret the data. Presented version of the CRS-R is recommended for use in DOC
patients. Russian version of the CRS-R is a standardized, comprehensive and
systematic approach to the examination and assessment of patients with chronic
DOS. It ensures the standard approach to examination and assessment that warrants
the accuracy and homogeneity of the obtained results.
PMID- 29798978
TI - [The relationship of pathological ankle-brachial index and cognitive decline in
patients after ischemic stroke].
AB - AIM: To identify associations between cognitive impairment and values of ankle
brachial index (ABI) in patients after ischemic stroke at discharge from the
hospital and over one-year follow-up. MATERIAL AND METHODS: The study included
375 patients with normal (n=192) and elevated (n=153) ABI who underwent ischemic
stroke (IS). Neurological examination was performed using NIHSS, the Rankin
scale, Barthel index, Rivermead Mobility Index at discharge from the hospital and
over one-year follow-up. RESULTS AND CONCLUSION: Patients with elevated ABI had
marked cognitive impairment and more severe neurological deficit compared to
patients with normal ABI. Evaluation of ABI can help in predicting the
development of post-stroke cognitive impairment in patients with IS.
PMID- 29798979
TI - [The state of microcirculation and platelet hemostasis in patients with ischemic
stroke after systemic thrombolytic therapy].
AB - AIM: The state of microcirculation and platelet hemostasis in patients with
ischemic stroke after systemic thrombolytic therapy. MATERIAL AND METHODS: Forty
two patients after systemic thrombolytic therapy (TLT) (mean age 64.26 [60.58;
68.06]) and 47 patients without TLT (54.52 [47.48; 60.83]) were studied. The
study of platelet hemostasis was conducted with the determination of platelet
aggregation (AT) on adrenaline, ADP, ristomycin, spontaneous aggregation on days
1, 7 and 14. Studies of the state of microcirculation of cerebral vessels were
carried out using the laser Doppler flowmetry (LDF). RESULTS AND CONCLUSION:
Characteristics of changes in induced AT and parameters of the microcirculation
system in patients after systemic TLT at 1, 7 and 14 days in comparison with the
indices of patients without TLT were revealed that allowed to expand the
understanding of pathological mechanisms of the microcirculatory bed, their
connection with violations of central hemodynamics and to obtain additional
markers of disease course and prognosis.
PMID- 29798980
TI - [A role of microRNA in the pathogenesis of ischemic stroke].
AB - Ischemic stroke (IS) is one of the leading causes of death and disability
worldwide. Among all the causes of IS, cardioembolic stroke (CEI) accounts for up
to 40%. Based on the literature data, modern ideas about the role and location of
cardiac diseases in the pathogenesis of CEI are presented. The leading cause of
CEE is non-rheumatic atrial fibrillation. Numerous attempts to study and prove
the genetic nature of the development of IS led to the discovery of new markers -
micribonucleic acids (microRNAs), the regulators of gene expression, that inhibit
mRNA translation and play a key role in the pathogenesis of IS. This review
summarizes the current knowledge of microRNAs, their ability to simultaneously
regulate several target genes, and their significance as potential diagnostic and
prognostic biomarkers in IS.
PMID- 29798981
TI - [MicroRNA in ischemic stroke].
AB - Today, stroke is the third most common pathology after cardiovascular disease and
cancer, as well as the leading cause of disability in the world. Although some
progress has been made in the field of primary and secondary stroke prevention
over the past few decades, a deeper knowledge of the pathophysiology of the
disease is needed to significantly improve diagnosis and therapy. MicroRNA
(miRNA) is an important, recently identified class of posttranscriptional
regulators of gene expression. MiRNA can be used as a tool for therapeutic
interventions. This review considers a role of miRNAs in the regulation of
experimental stroke and in the development of carotid artery stroke. A potential
role of miRNAs as promising biomarkers of stroke is discussed.
PMID- 29798982
TI - [The efficacy of systemic thrombolysis in patients with cardioembolic stroke].
AB - AIM: To compare the efficacy and safety of systemic thrombolytic therapy (STLT)
in patients with cardioembolic stroke (CE) versus other pathogenic subtypes of
ischemic stroke (IS). MATERIAL AND METHODS: The study included 147 patients, 62
women and 85 men (mean age - 62.9+/-0.8 years) including 37 patients (25.2%) with
CE subtype of IS (group 1) and 110 patients with other pathogenetic subtypes of
IS (group 2). NIHSS and Rankin scale were used to assess patient's neurological
status. RESULTS: One hundred and twenty-six patients were discharged, 21 (14.3%)
died. In 11 patients, the cause of death was the development of symptomatic
hemorrhagic transformation (SHT). There were no significant differences in the
lethality between groups 1 and 2. Tolerability to STLT in these groups did not
differ as well. As a result of treatment, the condition of patients surviving to
the end of the hospital stay improved, which was reflected in a significant
decrease in the NIHSS scores, despite the higher NIHSS scores in group 1.
CONCLUSION: The results confirm the efficacy of STLT in patients with CE IS and
indicate the increase in the frequency of favorable functional recovery in these
patients.
PMID- 29798983
TI - [The efficacy of semax in the tretament of patients at different stages of
ischemic stroke].
AB - AIM: To evaluate the efficacy of semax and timing of rehabilitation on the
dynamics of plasma BDNF levels, motor performance, and Barthel index score in
patients after ischemic stroke (IS). MATERIAL AND METHODS: One hundred and ten
patients after IS (43 men, 67 women, mean age 58.0+/-9.7, Me 63 years) were
examined. All patients were divided into early (89+/-9 days) and late (214+/-22
days) rehabilitation groups. Each group was subdivided into semax+ and semax-
subgroups. Standard regimen of semax included 2 courses (6000 mcg/day) for 10
days with 20 day interval. Plasma BDNF levels, motor performance on the British
Medical Research Council scale and Barthel index were assessed in all groups.
RESULTS: Administration of semax, regardless of the timing of rehabilitation,
increased BDNF plasma levels which remained high during the whole study period.
In semax- subgroups high BDNF plasma levels were positively correlated with early
rehabilitation. Administration of semax and high BDNF levels accelerated the
improvement and ameliorated the final outcome of Barthel score index. There was a
positive correlation between BDNF plasma levels and Barthel score, as well as a
correlation between early rehabilitation and motor performance improvement. The
correlation between BDNF plasma levels and Barthel score was modified by the
timing of rehabilitation. CONCLUSION: Early rehabilitation and administration of
semax increase BDNF plasma level, speed functional recovery, and improve motor
performance.
PMID- 29798984
TI - [Assessment of the efficacy of mexidol in the combination with hyperbaric oxygen
in acute ischemic stroke].
AB - To study the efficacy of mexidol in the combination with hyperbaric oxygenation
(HBO) in comparison with the standard HBO sessions in patients with acute
ischemic stroke. One hundred and twelve patients were examined. In the main
group, 48 patients underwent HBO and received treatment with mexidol. The control
group consisted of 64 people who underwent the standard HBO sessions only. The
use of combination therapy (HBO+mexidol) leads to the significantly more rapid
normalization of acute phase indices which are correlated with neurological
deficit reduction.
PMID- 29798985
TI - [Geometric and morphological features of the aortic root in norm and aortic
regurgitation].
AB - AIM: To assess linear and geometric parameters of the aortic valve and to
determine the changes which are associated with impaired leaflets coaptation and
aortic root enlargement. MATERIAL AND METHODS: Surgical anatomy of the aortic
root and its spatial relationships with surrounding heart structures were studied
with plastination by using of combined silicon technologies. 123 specimens of the
aortic root with preserved original geometry were created with silicone
plastination technique and perfusion embalming. Analysis included 15 heart
specimens with the signs of aortic root dilatation and 108 specimens without
evidence of dilatation. Multiple logistic regression was used for statistical
analysis. RESULTS: Anatomical and clinical analysis showed that deviation angle
of the intercusp triangle is the factor contributing impaired aortic root
geometry and aortic regurgitation (OR 1.3, 95% CI 1.114-1.350, p<0.0001).
CONCLUSION: 1) Increased deviation angle of intercusp triangles is associated
with impaired coaptation of aortic valve leaflets. 2) Restoration of intercusp
triangle deviation angle within 1.7+/-1.2 degrees degrees is geometrically
necessary to achieve normal function of the aortic valve.
PMID- 29798986
TI - [Five-year outcomes of aorto-femoral vascular reconstructions in patients with
multifocal atherosclerosis].
AB - AIM: To analyze long-term results of surgical treatment of atherosclerotic
occlusive-stenotic lesion of aorto-femoral segment within the single-center
prospective register. MATERIAL AND METHODS: The study included 275 patients who
underwent aorto-femoral reconstructive interventions for the period 2011-2014.
Inclusion criterion was indications for aorto-femoral surgery due to severe
atherosclerotic lesions of the infrarenal aorta and/or ilio-femoral arteries.
Mean follow-up was 54+/-11.6 months. RESULTS: Prognostic significance of various
clinical-instrumental, anatomical-angiographic and perioperative variables has
been comprehensively analyzed. Five-year outcomes and cardiovascular morbidity
were assessed in consecutive patients after reconstructive procedures on the
aorto-femoral segment. Multidisciplinary approach to determine optimal
revascularization strategy and certain tactical and technical algorithms are
necessary to improve the outcomes in these severe patients.
PMID- 29798987
TI - [DIC-syndrome-related mortality in acute necrotic pancreatitis].
AB - AIM: To improve the results of acute necrotic pancreatitis management via an
analysis of changes in the main causes of mortality in patients with this disease
over the last decade. MATERIAL AND METHODS: We have analyzed medical records of
patients with acute necrotic pancreatitis followed by lethal outcome for the
period 2015-2016. In all cases autopsy was performed in order to determine
morbidity and mortality. Complications of pancreatic necrosis significant for
tanatogenesis, treatment and prevention strategy were compared with those for
2005-2006. RESULTS: It was shown that not only significantly decreased mortality
in acute necrotic pancreatitis but also important changes in its structure
occurred for the last ten years. At present time DIC-syndrome and its organ
complications are the most significant causes of death. CONCLUSION: Prevention of
DIC-syndrome and its complications is necessary to improve the outcomes.
Endothelial protectors' administration in early period, timely diagnosis and
adequate treatment of DIC-syndrome are advisable.
PMID- 29798988
TI - [Diagnosis and treatment of gallstone intestinal obstruction].
AB - AIM: To analyze informative value of radiological examination in diagnosis of
acute gallstone intestinal obstruction. MATERIAL AND METHODS: Diagnosis and
treatment of 7 patients with acute gallstone intestinal obstruction have been
analyzed. Diagnostic protocol included radiography of abdominal cavity,
sonography and computed tomography. RESULTS: Computed tomography within the first
hours after hospitalization is highly informative to diagnose gallstone
intestinal obstruction if other methods are ineffective. CONCLUSION: Inclusion of
computed tomography into survey algorithm optimizes diagnostic process, clarifies
the cause of acute intestinal obstruction and promptly determines the indications
for video-assisted surgery.
PMID- 29798989
TI - [The features of opisthorchiasis papillitis diagnosis].
AB - AIM: To develop an optimal diagnostic algorithm in order to the most reliable
decrease of inflammatory changes severity in large duodenal papilla in patients
with chronic opisthorchiasis. MATERIAL AND METHODS: Medical reports of 384
patients with opisthorchiasis-induced cholangitis have been analyzed. Diagnostic
methods were abdominal ultrasound, endoscopic ultrasound of terminal common bile
duct, CT scans, MRI of abdominal organs including magnetic resonance
cholangiopancreatography and esophagogastroduodenoscopy with biopsy of the
papilla, ERCP and PTHC. Comprehensive general and individual analysis of each of
them has determined their advantages and disadvantages. CONCLUSION: Examination
should by comprehensive to evaluate visual appearance of large duodenal papilla,
lumen dimensions, state of its walls with histological research. Imaging tests
are favorable to determine the diagnosis of papillitis due to possible assessment
of lumen dimensions and state of the walls. While making a choice the priority
should be given to endoscopic ultrasound examination. It allows visual
inspection, assessment of the lumen, the walls of papilla and provides biopsy of
deep structures.
PMID- 29798990
TI - [Intussusception followed by intestinal obstruction in adults].
AB - AIM: To evaluate the effectiveness of complex preoperative diagnostics and
medication of intussusception followed by intestinal obstruction in adults with
the choice of surgical repair and analysis of the outcomes depending on the
causes of intussusception. MATERIAL AND METHODS: 15 intussusception patients aged
19 - 86 years were enrolled. Mean age was 52.7 years. Patients were hospitalized
within 12 hours - 1-2 weeks after onset of the disease. Diagnosis was established
on the basis of complaints, anamnesis, objective and additional survey. All
patients underwent abdominal X-ray and ultrasound. Intestinal obstruction at
admission was diagnosed in all patients, and only 1 (6.7%) of them had
intussusception. RESULTS: Medication and additional survey contributed to
detection of intussusception followed by intestinal obstruction in 13 (86.7%) out
of 15 patients prior to surgery. All patients underwent urgent or delayed
surgery. Small intestine resection was performed in 9 patients, 2 patients
underwent resection of small intestine with submucosal tumor (1) and Meckel's
diverticulum (1) after intussusception repair. Right-sided hemicolectomy was
performed in 3 patients, sigmoid colon resection with lymph nodes dissection - in
1 patient. The cause of intussusception followed by intestinal obstruction were
epithelial and stromal tumors (9), less often metastasis of melanoma (2),
Meckel's diverticulum (1) and functional bowel disorders (1). Postoperative
complications arose in high risk patients hospitalized after 24 hours from onset
of the disease and present concomitant diseases and malignancies. 1 (6,7%)
patient died.
PMID- 29798991
TI - [Prospects of combined anterior prosthetic hernia repair in treatment of large
and giant ventral hernias].
AB - AIM: To evaluate clinical efficacy of combined anterior prosthetic hernia repair
in treatment of large and giant ventral hernias. MATERIAL AND METHODS: Patients
with large and giant hernias have been analyzed. In the main group (n=675)
combined methods of hernia gates repair were applied, in control group (n=257) -
stretching repair including prosthesis deployment. Surgeons (n=22) were
interviewed for learning curve, safety, limitations and reliability of combined
methods. RESULTS: Combined method of hernia repair in patients with large and
giant hernias reduces overall postoperative morbidity (p<0.001), wound
complications (p<0.05) and incidence of recurrent hernia (p<0.001). Questionnaire
data showed the possibility of learning for the method by the most of surgeons to
treat these patients. As a result, some practical recommendations are presented
for successful procedures and satisfactory results of treatment.
PMID- 29798992
TI - [Compression anastomoses with nikelid-titanium rings in peritonitis].
AB - AIM: To introduce into clinical practice compression anastomosis with nikelid
titanium rings (NTR) with shape memory in advanced peritonitis patients who need
for intestinal resections. MATERIAL AND METHODS: Seventy-six procedures of
interintestinal anastomoses with NTR (Gunter-Ziganshin implant) have been
analyzed for the period 2011-2017. Interventions were carried out for widespread
peritonitis. In all cases a unified technique of anastomosis was used by applying
an implant in the form of a 'clerical clip'. There were following procedures:
gastroenterostomy resection for its failure - 5; small intestine resection
followed by interntestinal anastomosis - 36; ileotransverso- or colocolostomy -
35. RESULTS: Mean time of enteroenterostomy was 2.6+/-0.98 min. If elective re
laparomy was supposed, laparostomy was formed followed by sanation within 48
hours. In 55% of patients 3-5-fold elective sanations were performed. Adequacy of
compression anastomoses was assessed clinically according to the nature of
drainage output and visually during redo surgery. There were 11 (14.5%) deaths: 9
(12%) patients had APACHE-II score over 20. Macro- and microscopic analysis of
intestinal specimens and interintestinal anastomoses with nikelid-titanium rings
showed complete impermeability and no visual defects. Microscopically
neutrophilic leukocyte infiltration and increased number of fibroblasts were
observed as the evidence of regenerative processes activation. Implant rejection
time was 7.8+/-1.4 days. CONCLUSION: The use of compression anastomoses in case
of advanced peritonitis reduces the risk of anastomosis failure to 3% and
decreases time of surgery that reduces intraoperative aggression and improves
outcomes in patients with prognostically unfavorable outcomes.
PMID- 29798993
TI - [Diagnostic value of molecular biomarkers of infection in screening by Sepsis-3
criteria].
AB - AIM: To compare diagnostic value of molecular biomarkers of sepsis in patients
with surgical infection in screening via Sepsis-2 (Surviving Sepsis Campaign
2012, SSC 2012) and Sepsis-3 (The Third International Consensus Definitions for
Sepsis and Septic Shock) criteria. MATERIAL AND METHODS: Septic patients
according to Sepsis-2 and Sepsis-3 criteria were identified from general
population with surgical infection. Logistic regression models quality was the
criterion for assessment of diagnostic value of molecular biomarkers. Risk
factors importance was estimated via odds ratios (OR) calculation. RESULTS:
Sepsis-3 ROC-AUC for procalcitonin increased up to 0.933, cut-off value 2.35
ng/ml (Sepsis-2 AUC 0.768 (p=0.004), cut-off 1.72 ng/ml). Sepsis-3 ROC-AUC for
presepsin increased up to 0.932, cut-off value - 772 pg/ml (Sepsis-2 AUC 0.865,
cut-off 567 pg/ml). The highest risk of sepsis was observed in systemic response
to inflammation combined with organ dysfunction (OR 69.667, S 0.636; 95% CI 20.03
242.4) (Sepsis-2 - OR 9.25, S 0.548; 95% CI 3.2-27.1, p<0.001). Increased levels
of both biomarkers significantly increased the risk of sepsis (OR 22.5, S 0.794;
95% CI 4.74-106.6 and OR 20.97, S 0.58; 95% CI 6.705-65.6, respectively).
CONCLUSION: Organ dysfunction assessment by Sepsis-3 criteria improves diagnostic
possibilities in patients with suspected sepsis. Maximum predictive value is
observed for systemic inflammation response combined with organ dysfunction. In
these patients procalcitonin and presepsin are characterized by equivalent high
diagnostic potential for evidence of infectious nature of the disease. Increased
level of these markers can serve as a basis for antimicrobial therapy
administration.
PMID- 29798994
TI - [The role of CO2-laser in scarring management].
AB - AIM: To assess the role of CO2-laser in treatment of various scars and to
determine the indications for its application. PATIENTS AND METHODS: It has been
carried out a prospective analysis of treatment of 218 patients with scars of
different duration, locations and anatomic areas with the use of CO2-laser for
the period 2011-2017. POSAS scale and sonography were used for analysis. RESULTS:
1. Laser therapy is not effective for scarring-related functional defects
(contractures, ectropion, microstomia), when surgery is indicated. 2. The
outcomes of treatment (both surgical and laser) depend on the time of existence
of the scars rather their cause and anatomical region. 3. Laser therapy is not
effective enough for formed scars existing over 12 months. 4. Laser therapy is
effective for immature scars, especially indicated for cicatricial deformation
when the aesthetics cannot be repaired with traditional surgery. CONCLUSION:
Application of CO2-laser in scars treatment with regard to its real efficiency
determines the effectiveness of therapy.
PMID- 29798995
TI - [Surgical approaches in urgent and elective surgery of the neck].
AB - AIM: To define surgical approaches which provide surgical adequacy, preservation
of function and aesthetic results. MATERIAL AND METHODS: Analysis included 191
emergency interventions for various neck injuries and more than 35.000 elective
operations for thyroid diseases. RESULTS: The study showed that collar-like
incisions have the best aesthetic effect on the neck; an approach along anterior
margin of the sternocleidomastoid muscle is advisable for emergency assessment of
cervical organs; W.F. MacFee access is optimal for lateral cervical
lymphadenectomy in asthenic patients while serpentine incision - in hypersthenic
ones.
PMID- 29798996
TI - [Surgical treatment of pectus carinatum in children].
AB - AIM: To improve the results of surgical treatment of pectus carinatum. MATERIAL
AND METHODS: The work included 47 pectus carinatum patients aged 3-15 years for
the period from 2000 to 2015. All patients were divided into 2 groups depending
on surgical approach: control group - 23 (48.94%) children who underwent
thoracoplasty by M.M. Ravitsh procedure with the use of device for pectus
carinatum repair of our design; main group - 24 (51.06%) patients where our
method of thoracoplasty based on changes of deformed ribs biomechanics was used.
RESULTS: In control group good results were obtained in 15 (65.3%) patients,
satisfactory - in 5 (21.7%) patients, recurrent deformation with all consequences
was observed in 3 (13%) cases. Long-term results of surgical treatment by
original method were followed-up in all 24 patients and good outcomes were
obtained in 100% of children. CONCLUSION: 1) Intraoperative 'spring' - effect is
a provoking risk factor of recurrent chest deformation. 2) The new surgical
method based on breaking the ribs bodies in 'green branch' fashion provides good
results and does not require external devices to hold sternal-rib complex in
corrected position.
PMID- 29798998
TI - [Forced osteoarthritis therapy: expanding the scope of the possible].
AB - The article deals the modern concepts of the mechanism of pain in osteoarthritis.
It was established that synovial inflammation and immune disorders play an
important role in the pathogenesis of pain in osteoarthritis. Hyperproduction of
pro-inflammatory cytokines supports inflammation and degradation of cartilaginous
tissue in osteoarthritis. The mechanisms of pathogenetic action of chondroitin
sulfate are disclosed, and the evidence base of its preclinical and clinical
studies in the treatment of osteoarthritis is presented. The data of clinical
application of the Mucosat - pharmaceutical substance chondroitin sulfate in the
form of a ready-made dosage form for intramuscular injection are presented. The
practical advantages of including SYSADOA in complex therapy of osteoarthritis
are discussed.
PMID- 29798997
TI - [Effectiveness of biological prosthesis for femoro-femoral bypass in high risk
patients].
AB - AIM: To compare synthetic and biological prostheses for femoral bypass surgery.
MATERIAL AND METHODS: There were 40 patients with critical ischemia of lower
extremities due to atherosclerotic lesion of aorto-iliac segment and severe
comorbidities. They were divided into 2 groups by 20 patients. C-shaped femoro
femoral bypass with synthetic polytetrafluoroethylene and lavsan prostheses was
performed in the first group and S-shaped bypass procedure with biological
prosthesis from the internal thoracic arteries of the bull - in the second group.
RESULTS: Biological prosthesis is associated with reduced early postoperative
morbidity by 10%, late graft thrombosis incidence by 20% and increased physical
and psychological health by 7.1%. CONCLUSION: S-shaped femoro-femoral bypass with
a biological prosthesis from the internal thoracic arteries of the bull is
pathogenetically substantiated and effective.
PMID- 29798999
TI - [Surgical treatment of the diaphragmatic hernia and the possibility of new
endoscopic procedures].
PMID- 29799000
TI - [Transaortic mitral valve replacement in patient with combined heart valve
disease].
PMID- 29799001
TI - [Radical surgery for locally advanced recurrent sigmoid colon cancer].
PMID- 29799002
TI - [Primary esophageal melanoma].
PMID- 29799003
TI - [Partial atrioventricular septal defect repair in 72 years old patient].
PMID- 29799005
TI - ['No-touch' technique for great saphenous vein harvesting].
PMID- 29799004
TI - [Pancreaticopleural fistula is a possible cause of acute respiratory failure].
PMID- 29799006
TI - Update on glycerol-3-phosphate acyltransferases: the roles in the development of
insulin resistance.
AB - Glycerol-3-phosphate acyltransferase (GPAT) is the rate-limiting enzyme in the de
novo pathway of glycerolipid synthesis. It catalyzes the conversion of glycerol-3
phosphate and long-chain acyl-CoA to lysophosphatidic acid. In mammals, four
isoforms of GPATs have been identified based on subcellular localization,
substrate preferences, and NEM sensitivity, and they have been classified into
two groups, one including GPAT1 and GPAT2, which are localized in the
mitochondrial outer membrane, and the other including GPAT3 and GPAT4, which are
localized in the endoplasmic reticulum membrane. GPATs play a pivotal role in the
regulation of triglyceride and phospholipid synthesis. Through gain-of-function
and loss-of-function experiments, it has been confirmed that GPATs play a
critical role in the development of obesity, hepatic steatosis, and insulin
resistance. In line with this, the role of GPATs in metabolism was supported by
studies using a GPAT inhibitor, FSG67. Additionally, the functional
characteristics of GPATs and the relation between three isoforms (GPAT1, 3, and
4) and insulin resistance has been described in this review.
PMID- 29799010
TI - Altered ammonia metabolism in ccRCC.
PMID- 29799011
TI - IL-1beta switches on kidney fibrosis.
PMID- 29799007
TI - Cell surface flip-flop of phosphatidylserine is critical for PIEZO1-mediated
myotube formation.
AB - Myotube formation by fusion of myoblasts and subsequent elongation of the
syncytia is essential for skeletal muscle formation. However, molecules that
regulate myotube formation remain elusive. Here we identify PIEZO1, a
mechanosensitive Ca2+ channel, as a key regulator of myotube formation. During
myotube formation, phosphatidylserine, a phospholipid that resides in the inner
leaflet of the plasma membrane, is transiently exposed to cell surface and
promotes myoblast fusion. We show that cell surface phosphatidylserine inhibits
PIEZO1 and that the inward translocation of phosphatidylserine, which is driven
by the phospholipid flippase complex of ATP11A and CDC50A, is required for PIEZO1
activation. PIEZO1-mediated Ca2+ influx promotes RhoA/ROCK-mediated actomyosin
assemblies at the lateral cortex of myotubes, thus preventing uncontrolled fusion
of myotubes and leading to polarized elongation during myotube formation. These
results suggest that cell surface flip-flop of phosphatidylserine acts as a
molecular switch for PIEZO1 activation that governs proper morphogenesis during
myotube formation.
PMID- 29799008
TI - Author Correction: Smac mimetics and oncolytic viruses synergize in driving
anticancer T-cell responses through complementary mechanisms.
AB - The originally published version of this article contained an error in the
spelling of the author Pankaj Tailor, which was incorrectly given as Pankaj
Taylor. This has now been corrected in both the PDF and HTML versions of the
article.
PMID- 29799012
TI - T cell balancing act in TB.
PMID- 29799009
TI - Whole-genome sequencing reveals genomic signatures associated with the
inflammatory microenvironments in Chinese NSCLC patients.
AB - Chinese lung cancer patients have distinct epidemiologic and genomic features,
highlighting the presence of specific etiologic mechanisms other than smoking.
Here, we present a comprehensive genomic landscape of 149 non-small cell lung
cancer (NSCLC) cases and identify 15 potential driver genes. We reveal that
Chinese patients are specially characterized by not only highly clustered EGFR
mutations but a mutational signature (MS3, 33.7%), that is associated with
inflammatory tumor-infiltrating B lymphocytes (P = 0.001). The EGFR mutation rate
is significantly increased with the proportion of the MS3 signature (P = 9.37 *
10-5). TCGA data confirm that the infiltrating B lymphocyte abundance is
significantly higher in the EGFR-mutated patients (P = 0.007). Additionally, MS3
high patients carry a higher contribution of distant chromosomal rearrangements
>1 Mb (P = 1.35 * 10-7), some of which result in fusions involving genes with
important functions (i.e., ALK and RET). Thus, inflammatory infiltration may
contribute to the accumulation of EGFR mutations, especially in never-smokers.
PMID- 29799013
TI - Publisher Correction: The CaMKII holoenzyme structure in activation-competent
conformations.
AB - This corrects the article DOI: 10.1038/ncomms15742.
PMID- 29799014
TI - Corrigendum: A Stronger Multi-observable Uncertainty Relation.
AB - This corrects the article DOI: 10.1038/srep44764.
PMID- 29799017
TI - Publisher Correction: Examining a Thermodynamic Order Parameter of Protein
Folding.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29799016
TI - Reproductive parasitism by worker honey bees suppressed by queens through
regulation of worker mandibular secretions.
AB - Social cohesion in social insect colonies can be achieved through the use of
chemical signals whose production is caste-specific and regulated by social
contexts. In honey bees, queen mandibular gland pheromones (QMP) maintain
reproductive dominance by inhibiting ovary activation and production of queen
like mandibular gland signals in workers. We investigated whether honey bee
queens can control reproductively active workers of the intraspecific social
parasite Apis mellifera capensis, parasitising A. m. scutellata host colonies.
Our results show that the queen's QMP suppresses ovarian activation and inhibits
the production of QMP pheromone signals by the parasitic workers, achieved
through differential expression of enzymes involved in the biosynthesis of these
pheromones at two points in the biosynthetic pathway. This is the first report
showing that honey bee queens can regulate reproduction in intraspecific social
parasites and deepens our understanding of the molecular mechanisms involved in
the regulation of worker reproduction in social insects.
PMID- 29799018
TI - New insights towards strikingly improved room temperature ethanol sensing
properties of p-type Ce-doped SnO2 sensors.
AB - In this article, room temperature ethanol sensing behavior of p-type Ce doped
SnO2 nanostructures are investigated successfully. Interestingly, it is examined
that the abnormal n to p-type transition behavior is caused by Ce doping in SnO2
lattice. In p-type Ce doped SnO2, Ce ion substituting the Sn is in favor of
generating excess holes as oxygen vacancies, which is associated with the
improved sensing performance. Although, p-type SnO2 is one of the important
materials for practical applications, it is less studied as compared to n-type
SnO2. Pure and Ce doped SnO2 nanostructures were successfully synthesized by
chemical co-precipitation method. The structure, surface morphology, unpaired
electrons (such as free radicals), and chemical composition of obtained
nanoparticles were studied by various kinds of characterization techniques. The
9% Ce doped SnO2 sensors exhibit maximum sensor response of ~382 for 400 ppm of
ethanol exposure with fast response time of ~5 to 25 sec respectively. Moreover,
it is quite interesting that such enhancement of ethanol sensing is unveiled at
room temperature, which plays a key role in the quest for better ethanol sensors.
These remarkably improved sensing results are attributed to uniformly distributed
nanoparticles, lattice strain, complex defect chemistry and presence of large
number of unpaired electrons on the surface.
PMID- 29799015
TI - Codon optimization and improved delivery/immunization regimen enhance the immune
response against wild-type and drug-resistant HIV-1 reverse transcriptase,
preserving its Th2-polarity.
AB - DNA vaccines require a considerable enhancement of immunogenicity. Here, we
optimized a prototype DNA vaccine against drug-resistant HIV-1 based on a weak
Th2-immunogen, HIV-1 reverse transcriptase (RT). We designed expression-optimized
genes encoding inactivated wild-type and drug-resistant RTs (RT-DNAs) and
introduced them into mice by intradermal injections followed by electroporation.
RT-DNAs were administered as single or double primes with or without cyclic-di
GMP, or as a prime followed by boost with RT-DNA mixed with a luciferase-encoding
plasmid ("surrogate challenge"). Repeated primes improved cellular responses and
broadened epitope specificity. Addition of cyclic-di-GMP induced a transient
increase in IFN-gamma production. The strongest anti-RT immune response was
achieved in a prime-boost protocol with electroporation by short 100V pulses done
using penetrating electrodes. The RT-specific response, dominated by CD4+ T
cells, targeted epitopes at aa 199-220 and aa 528-543. Drug-resistance mutations
disrupted the epitope at aa 205-220, while the CTL epitope at aa 202-210 was not
affected. Overall, multiparametric optimization of RT strengthened its Th2-
performance. A rapid loss of RT/luciferase-expressing cells in the surrogate
challenge experiment revealed a lytic potential of anti-RT response. Such lytic
CD4+ response would be beneficial for an HIV vaccine due to its comparative
insensitivity to immune escape.
PMID- 29799019
TI - A novel technique for the measurement of eyelid contour to compare outcomes
following Muller's muscle-conjunctival resection and external levator resection
surgery.
AB - BACKGROUND: Both external levator resection (ELR) and Muller's muscle
conjunctival resection (MMCR) are procedures well known to improve marginal
reflex distance (MRD1) in patients with ptosis. This study aims to understand
differential post-operative changes in eyelid contour for MMCR and ELR surgery.
METHODS: In this cross-sectional cohort study, patients affected by involutional
ptosis were randomized into two groups: those who underwent ELR or MMCR surgery.
Pre-operative and late post-operative photographs were obtained. Digital analysis
of the lid contour was performed by measuring the vertical distance from a line
intersecting the center of the pupil to the eyelid margin at 10 positions at 2 mm
intervals. Mean distance at each position was compared pre- and post-operatively
between the groups. RESULTS: The final sample included 60 eyes from 39 patients,
30 eyes per group. At each time point there was significant variation in height
across the eyelid (p < 0.05). A significant (p < 0.05) group difference in
vertical height was noted only at the 2 and 4 mm temporal positions in the post
operative analysis, with the ELR group being slightly higher. There were no
significant differences in MRD1, pre- or post-operatively, between the ELR and
MMCR groups. CONCLUSIONS: Both ELR and MMCR are effective at elevating the eyelid
in multiple positions across the length of the eyelid. Although they do not
produce significantly different MRD1 results, ELR was associated with a greater
eyelid height at the 2 and 4 mm temporal positions.
PMID- 29799021
TI - Anticancer efficacy of noble metal nanoparticles relies on reprogramming tumor
associated macrophages through redox pathways and pro-inflammatory cytokine
cascades.
PMID- 29799022
TI - Lactase persistence may explain the paradoxical findings of high vitamin D
concentrations in Europeans living in areas of low UV-B irradiation.
AB - BACKGROUND/OBJECTIVES: Vitamin D has a critical role in skeletal health and
maintaining serum calcium levels. Calcium is needed for a variety of cellular and
metabolic processes in the body. Large amounts of vitamin D can be produced in
the skin when exposed to UV-B radiation. It is therefore a paradox that in
Europe, Caucasians living in higher latitude countries, such as Scandinavia and
Iceland, have higher serum vitamin D compared with those living in lower
latitude. In a recent study of adult-type lactase persistence (LP), it was shown
that Caucasian of European descent, who carried the C-13910T LP allele, had
higher levels of total serum 25-hydroxyvitamin D compared with those who were
lactase non-persistent. This was attributed to higher consumption of dairy. We
postulated that the distribution of the LP C-13910T allele in Caucasian
populations may explain the vitamin D concentration pattern seen in Europe.
SUBJECTS/METHODS: Baseline mean total serum 25 hydroxyvitamin D concentration
from a clinical trial of post-menopausal women with osteoporosis was correlated
to published LP frequencies in European populations. RESULTS: In multiple
regression analysis, mean total serum 25 hydroxyvitamin D concentrations in both
winter and summer were in turn correlated to LP phenotype frequency (winter: r2 =
0.51, p < 0.05; summer: r2 = 0.4, p < 0.05). CONCLUSIONS: High frequency of LP in
northern Europe may explain high mean total serum 25 hydroxyvitamin D
concentrations despite low UV-B radiation exposure.
PMID- 29799020
TI - Adjusted Troponin I for Improved Evaluation of Patients with Chest Pain.
AB - The use of cardiac troponins (cTn) is the gold standard for diagnosing myocardial
infarction. Independent of myocardial infarction (MI), however, sex, age and
kidney function affect cTn levels. Here we developed a method to adjust cTnI
levels for age, sex, and renal function, maintaining a unified cut-off value such
as the 99th percentile. A total of 4587 individuals enrolled in a prospective
longitudinal study were used to develop a model for adjustment of cTn. cTnI
levels correlated with age and estimated glomerular filtration rate (eGFR) in
males/females with rage = 0.436/0.518 and with reGFR = -0.142/-0.207. For
adjustment, these variables served as covariates in a linear regression model
with cTnI as dependent variable. This adjustment model was then applied to a real
world cohort of 1789 patients with suspected acute MI (AMI) (N = 407). Adjusting
cTnI showed no relevant loss of diagnostic information, as evidenced by
comparable areas under the receiver operator characteristic curves, to identify
AMI in males and females for adjusted and unadjusted cTnI. In specific patients
groups such as in elderly females, adjusting cTnI improved specificity for AMI
compared with unadjusted cTnI. Specificity was also improved in patients with
renal dysfunction by using the adjusted cTnI values. Thus, the adjustments
improved the diagnostic ability of cTnI to identify AMI in elderly patients and
in patients with renal dysfunction. Interpretation of cTnI values in complex
emergency cases is facilitated by our method, which maintains a single diagnostic
cut-off value in all patients.
PMID- 29799023
TI - Obesity and the future of food policies that promote healthy diets.
AB - Currently, an unhealthy diet is the largest modifiable factor in ill health and
death globally. One of the important contributors to unhealthy diets is the
pervasiveness of unhealthy food and drink in our daily food environments.
Although efforts to build nutrition skills and education across communities are
critical, they will be insufficient without substantial changes to the food
environments themselves. Here, I discuss how we can improve our food environments
by implementing a comprehensive, multilevel and multisetting approach. This
approach needs to encompass the various policy contexts for improving population
nutrition, from policy set by national governments to that introduced by local
community organizations and food retailers. Clinicians can help implement and set
healthy food policies across all our health-care settings, even in the absence of
government action. To support a comprehensive suite of effective policies, we
need to systematically develop and disseminate the evidence for the feasibility,
effectiveness and sustainability of workable policies and to understand their
role in the development of a healthier food system.
PMID- 29799024
TI - Maternal predator odour exposure programs metabolic responses in adult offspring.
AB - A cardinal feature of the reaction to stress is the promotion of energy
mobilization, enabling appropriate behavioural responses. Predator odours are
naturalistic and ecologically relevant stressors present over evolutionary
timescales. In this study, we asked whether maternal predator odour exposure
could program long-term energy mobilization in C57BL/6 mice offspring. To test
this hypothesis, we measured rates of oxygen consumption in prenatally predator
odour exposed mice in adulthood while controlling for levels of locomotor
activity at baseline and under stress. Circulating thyroid hormone levels and the
transcript abundance of key regulators of the hypothalamic-pituitary-thyroid axis
within the periventricular nucleus (PVN) of the hypothalamus and in the liver,
including carriers and receptors and thyrotropin-releasing hormone, were measured
as endocrine mediators facilitating energy availability. Prenatally predator
odour exposed mice of both sexes mobilized more energy during lower energy demand
periods of the day and under stressful conditions. Further, prenatally predator
odour exposed mice displayed modifications of their hypothalamic-pituitary
thyroid axis through increased circulating thyroxine and thyroid hormone receptor
alpha within the PVN and decreased transthyretin in the liver. Overall, these
results suggest that maternal exposure to predator odour is sufficient to
increase long-term energy mobilization in adult offspring.
PMID- 29799026
TI - Author Correction: Defective Gpsm2/Galphai3 signalling disrupts stereocilia
development and growth cone actin dynamics in Chudley-McCullough syndrome.
AB - This corrects the article DOI: 10.1038/ncomms14907.
PMID- 29799025
TI - Hepatitis transactivator protein X promotes extracellular matrix modification
through HIF/LOX pathway in liver cancer.
AB - Hepatocellular carcinoma (HCC), accounting for 90% of primary liver cancer, is a
lethal malignancy that is tightly associated with chronic hepatitis B virus (HBV)
infection. HBV encodes a viral onco-protein, transactivator protein X (HBx),
which interacts with proteins of hepatocytes to promote oncogenesis. Our current
study focused on the interaction of HBx with a transcription factor, hypoxia
inducible factor-1alpha (HIF-1alpha), which is stabilized by low O2 condition
(hypoxia) and is found to be frequently overexpressed in HCC intra-tumorally due
to poor blood perfusion. Here, we showed that overexpression of HBx by
tetracycline-inducible systems further stabilized HIF-1alpha under hypoxia in HBV
negative HCC cell lines. Reversely, knockdown of HBx reduced HIF-1alpha protein
stabilization under hypoxia in HBV-positive HCC cell lines. More intriguingly,
overexpression of HBx elevated the mRNA and protein expression of a family of HIF
1alpha target genes, the lysyl oxidase (LOX) family in HCC. The LOX family
members function to cross-link collagen in the extracellular matrix (ECM) to
promote cancer progression and metastasis. By analyzing the collagens under
scanning electron microscope, we found that collagen fibers were significantly
smaller in size when incubated with conditioned medium from HBx knockdown HCC
cells as compared to control HCC cells in vitro. Transwell invasion assay further
revealed that less cells were able to invade through the matrigel which was pre
treated with conditioned medium from HBx knockdown HCC cells as compared to
control HCC cells. Orthotopic and subcutaneous HCC models further showed that
knockdown of HBx in HCC cells reduced collagen crosslinking and stiffness in vivo
and repressed HCC growth and metastasis. Taken together, our in vitro and in vivo
studies showed the HBx remodeled the ECM through HIF-1alpha/LOX pathway to
promote HCC metastasis.
PMID- 29799028
TI - Author Correction: Asymmetric Suzuki-Miyaura coupling of heterocycles via Rhodium
catalysed allylic arylation of racemates.
AB - This corrects the article DOI: 10.1038/ncomms15762.
PMID- 29799029
TI - Tenascin-C derived signaling induces neuronal differentiation in a three
dimensional peptide nanofiber gel.
AB - The development of new biomaterials mimicking the neuronal extracellular matrix
(ECM) requires signals for the induction of neuronal differentiation and
regeneration. In addition to the biological and chemical cues, the physical
properties of the ECM should also be considered while designing regenerative
materials for nervous tissue. In this study, we investigated the influence of the
microenvironment on tenascin-C signaling using 2D surfaces and 3D scaffolds
generated by a peptide amphiphile nanofiber gel with a tenascin-C derived peptide
epitope (VFDNFVLK). While tenascin-C mimetic PA nanofibers significantly
increased the length and number of neurites produced by PC12 cells on 2D cell
culture, more extensive neurite outgrowth was observed in the 3D gel environment.
PC12 cells encapsulated within the 3D tenascin-C mimetic peptide nanofiber gel
also exhibited significantly increased expression of neural markers compared to
the cells on 2D surfaces. Our results emphasize the synergistic effects of the 3D
conformation of peptide nanofibers along with the tenascin-C signaling and growth
factors on the neuronal differentiation of PC12 cells, which may further provide
more tissue-like morphology for therapeutic applications.
PMID- 29799030
TI - Composed in the f-block: solution structure and function of kinetically inert
lanthanide(iii) complexes.
AB - It has been more than 15 years since the last authoritative report on the
solution structure of lanthanide complexes made from cylcen derived polydentate
ligands. The field has progressed and diversified, and tools have been developed
that should enable a step-change in the field in the imminent future. This will
only happen if the tools are used, and the results communicated in a form that is
consistent within the field and readily accesible to scientists outside the
field. In this perspective, the fundamental tools for designing and investigating
kinetically inert lanthanide complexes in solution will be covered. The
fundamentals of this type of complexes will be laid out. The conformations of
lanthanide complexes from cyclen derived ligands and the rate of exchange between
conformations will be linked to their 1H NMR and luminescence spectra. The
information rich ligand- and metal centred emission spectra will be discussed,
and the time-resolved luminescence decay lifetimes are shown to be directly
related to the solution structure. The aim is to provide the reader with the
information needed to become excited by lanthanide coordination chemistry.
PMID- 29799027
TI - Differential metabolic effects of oral butyrate treatment in lean versus
metabolic syndrome subjects.
AB - BACKGROUND: Gut microbiota-derived short-chain fatty acids (SCFAs) have been
associated with beneficial metabolic effects. However, the direct effect of oral
butyrate on metabolic parameters in humans has never been studied. In this first
in men pilot study, we thus treated both lean and metabolic syndrome male
subjects with oral sodium butyrate and investigated the effect on metabolism.
METHODS: Healthy lean males (n = 9) and metabolic syndrome males (n = 10) were
treated with oral 4 g of sodium butyrate daily for 4 weeks. Before and after
treatment, insulin sensitivity was determined by a two-step hyperinsulinemic
euglycemic clamp using [6,6-2H2]-glucose. Brown adipose tissue (BAT) uptake of
glucose was visualized using 18F-FDG PET-CT. Fecal SCFA and bile acid
concentrations as well as microbiota composition were determined before and after
treatment. RESULTS: Oral butyrate had no effect on plasma and fecal butyrate
levels after treatment, but did alter other SCFAs in both plasma and feces.
Moreover, only in healthy lean subjects a significant improvement was observed in
both peripheral (median Rd: from 71 to 82 umol/kg min, p < 0.05) and hepatic
insulin sensitivity (EGP suppression from 75 to 82% p < 0.05). Although BAT
activity was significantly higher at baseline in lean (SUVmax: 12.4 +/- 1.8)
compared with metabolic syndrome subjects (SUVmax: 0.3 +/- 0.8, p < 0.01), no
significant effect following butyrate treatment on BAT was observed in either
group (SUVmax lean to 13.3 +/- 2.4 versus metabolic syndrome subjects to 1.2 +/-
4.1). CONCLUSIONS: Oral butyrate treatment beneficially affects glucose
metabolism in lean but not metabolic syndrome subjects, presumably due to an
altered SCFA handling in insulin-resistant subjects. Although preliminary, these
first in men findings argue against oral butyrate supplementation as treatment
for glucose regulation in human subjects with type 2 diabetes mellitus.
PMID- 29799031
TI - Solar light harvesting with multinary metal chalcogenide nanocrystals.
AB - The paper reviews the state of the art in the synthesis of multinary (ternary,
quaternary and more complex) metal chalcogenide nanocrystals (NCs) and their
applications as a light absorbing or an auxiliary component of light-harvesting
systems. This includes solid-state and liquid-junction solar cells and
photocatalytic/photoelectrochemical systems designed for the conversion of solar
light into the electric current or the accumulation of solar energy in the form
of products of various chemical reactions. The review discusses general aspects
of the light absorption and photophysical properties of multinary metal
chalcogenide NCs, the modern state of the synthetic strategies applied to produce
the multinary metal chalcogenide NCs and related nanoheterostructures, and recent
achievements in the metal chalcogenide NC-based solar cells and the
photocatalytic/photoelectrochemical systems. The review is concluded by an
outlook with a critical discussion of the most promising ways and challenging
aspects of further progress in the metal chalcogenide NC-based solar
photovoltaics and photochemistry.
PMID- 29799032
TI - In situ generation of 3D graphene-like networks from cellulose nanofibres in
sintered ceramics.
AB - Establishing a 3D electrically percolating network in an insulating matrix is key
to numerous engineering and functional applications. To this end, using
hydrophobic carbon nanofillers is tempting, but still results in suboptimal
performance due to processing challenges. Here, we demonstrate how natural
cellulose nanofibres can be in situ transformed into graphene-like sheets
connected to a 3D network enhancing both the transport and the mechanical
properties of sintered engineering ceramics. The network architecture also
permits the decoupling of electrical and thermal conductivities, which represents
a major obstacle in attaining efficient thermoelectric materials. We foresee that
our transferable methodology can pave the way for the use of natural nanofibres
to unravel the full potential of 3D graphene-like networks to accelerate
development in fields like energy and telecommunications.
PMID- 29799033
TI - Ring-expanded N-heterocyclic carbenes as ligands in iron-catalysed cross-coupling
reactions of arylmagnesium reagents and aryl chlorides.
AB - The structure-activity relationship of expanded-ring N-heterocyclic carbenes
(NHCs) in the iron-catalysed Kumada aryl-aryl coupling reaction was explored.
This was achieved by comparing the catalytic performance of Fe-NHC catalysts
generated in situ containing NHCs that differ in steric bulk. In particular, the
influences of ring sizes (5-8) and N-aryl substituents were explored in terms of
spectroscopic and structural features, which affect their %Vbur values. The three
best performing ligands were found on a diagonal of a 5 * 4 structural matrix
revealing an optimal steric bulk and significant influences of subtle steric
variations on the catalytic activities.
PMID- 29799034
TI - Negative dendritic effect on enzymatic hydrolysis of dendrimer conjugates.
AB - Dendrimers possess intriguing "dendritic effects", which are unique
characteristics that stem from the dendrimer generation and size. Here we report
a "negative dendritic effect" observed during enzymatic hydrolysis of dendrimer
conjugates. Such negative dendritic effects, though rarely reported, may be
explored for tailored and generation-dependent drug release.
PMID- 29799035
TI - Carboxylic and O-acetyl moieties are essential for the immunostimulatory activity
of glucuronoxylomannan: a novel TLR4 specific immunostimulator from Auricularia
auricula-judae.
AB - This study established the comprehensive repeating unit structure of
immunologically active glucuronoxylomannan (AAPS) from wood ear mushroom,
Auricularia auricula-judae. We identified Toll-like receptor 4 (TLR4) as a
critical receptor involved in AAPS-induced macrophage activation to secrete pro
inflammatory cytokines. Molecular modeling data and chemical modifications of
AAPS revealed that both carboxylic and acetyl moieties of AAPS are equally
essential in TLR4 binding to exert in vitro immunostimulatory activity.
PMID- 29799036
TI - Mechano-responsive room temperature luminescence variations of boron conjugated
pyrene in air.
AB - Stimuli responsive tunable luminescence is a promising field of research. Even
though mechanofluorescence is widely studied, mechanophosphorescence remains
unexplored. Here we report the mechano-driven fluorescence and phosphorescence
variations of a pyrene tetraboronic ester derivative. The fluorochromic and room
temperature phosphorescence features are supported by theoretical studies and
single crystal analysis. The mechanically ground fluorescence active but
phosphorescence inactive pyrene tetraboronic ester exhibits room temperature
phosphorescence in air with mechanical force. The efficient intermolecular
electronic coupling in the dimer formed upon scratching enables a good
communication between singlet and triplet states, hence resulting in room
temperature mechanophosphorescence.
PMID- 29799037
TI - Towards wireless highly sensitive capacitive strain sensors based on gold
colloidal nanoparticles.
AB - We designed, produced and characterized new capacitive strain sensors based on
colloidal gold nanoparticles. The active area of these sensors, made up of a 1
mm2 close-packed assembly of gold nanoparticles between interdigitated
electrodes, was designed to achieve measurable capacitance (>~1 pF) and overcome
parasitic capacitances. Electro-mechanical experiments revealed that the
sensitivity of such capacitive sensors increases in relation to the size of the
nanoparticles. In the case of 14 nm gold NPs, such sensors present a relative
capacitance variation of -5.2% for a strain of 1.5%, which is more than 5 times
higher than that observed for conventional capacitive strain gauges. The
existence of two domains (pure capacitive domain and mixed capacitive-resistance
domain) as a function of the frequency measurement allows for the adaptation of
sensitivity of these capacitive sensors. A simple low-cost circuit based on a
microcontroller board was finally developed to detect the capacitance variations
of such NP based strain sensors. This low-cost equipment paves the way for the
development of an entirely wireless application set-up.
PMID- 29799038
TI - Organocatalytic synthesis of densely functionalized oxa-bridged 2,6
epoxybenzo[b][1,5]oxazocine heterocycles.
AB - Metal-free addition of salicylhydrazones to electron deficient internal alkynes
catalyzed by 1,4-diazabicyclo[2.2.2]octane (DABCO) to yield oxa-bridged 2,6
epoxybenzo[b][1,5]oxazocine heterocycles was achieved. The demonstrated protocol
proceeds through an o-quinone methide formation, aza-Michael addition,
stereoselective protonation, enamine promoted aromatization, O,O-acetalization
and O,N-aminalization sequence to provide privileged heterocycles in good yields
with high diastereoselectivities.
PMID- 29799039
TI - Effects of carbon-based impurities on graphene growth.
AB - In this paper, we studied the growth of graphene on an untreated Cu substrate and
further studied the effect of carbon-based impurities on the nucleation of
graphene in different growth environments. It is found that the impurities on the
surface of the Cu substrate easily lead to damage of the graphene, and the
impurities do not always promote nucleation as previously reported, but inhibit
nucleation in a high etching environment. Finally, based on experimental results,
a model of nucleation and growth of graphene around impurities is presented.
PMID- 29799040
TI - Conversion of methanol to propylene over hierarchical HZSM-5: the effect of Al
spatial distribution.
AB - Different silicon sources caused diverse Al spatial distribution in HZSM-5, and
this affected the hierarchical structures and catalytic performance of
desilicated zeolites. After being treated with 0.1 M NaOH, HZSM-5 zeolites
synthesized with silica sol exhibited relatively widely distributed mesopores and
channels, and possessed highly improved propylene selectivity and activity
stability.
PMID- 29799041
TI - Disentangling the photochemistry of benzocyclobutenedione.
AB - The ultrafast photophysics and photochemistry of benzocyclobutenedione (BCBD)
dissolved in dichloromethane is investigated by transient absorption spectroscopy
in both the IR and the UV/Vis regime. The molecule is excited at 300 nm to the S3
(pipi*) state and a time scale from roughly 100 fs to several nanoseconds is
covered. The initially excited S3 deactivates quickly to the lower-lying S1
(npi*) state. Three parallel photochemical reaction pathways starting in the S1
state that compete with deactivation to S0 are identified in the transient IR
spectra, two of them consisting of a sequence of steps. DFT/TDDFT calculations of
the normal modes of the reactant and various photoproducts support the analysis
of the transient spectra. The rapid internal conversion (IC) to the S1 state of
BCBD is followed by a sub-picosecond vibrational relaxation (VR) to S1 (nu = 0).
In parallel BCBD loses one carbonyl group and forms benzocyclopropenone, which
subsequently rearranges to cyclopentadienylidene ketene. Ring opening in the S1
(nu = 0) state produces vibrationally hot bisketene, which cools within 22 ps.
This reaction competes with the intramolecular rearrangement to singlet
oxacarbene, which subsequently converts into the triplet carbene via intersystem
crossing (ISC). The late-time product identified in the transient UV/Vis spectra
is probably due to dimerization of the carbene. Molecular dynamics (MD)
simulations of the early-time photochemistry of BCBD successfully reproduce the
formation of the three main photoproducts.
PMID- 29799042
TI - Improvements in the hydrogen storage properties of the Mg(NH2)2-LiH composite by
KOH addition.
AB - Potassium-containing compounds, such as KH, KOH, KNH2 and different potassium
halides, have shown positive effects on the dehydrogenation properties of the Li
Mg-N-H system. However, it is still discussed whether the K-compounds modify the
thermodynamics of the system or if they have only a catalytic effect. In this
work the impact of the addition of two K-containing compounds (0.08 mol% of KCl
and KOH) on the hydrogen storage performance of the Mg(NH2)2-LiH composite was
studied. The KOH incorporation reduced the dehydrogenation temperature from 197
degrees C to 154 degrees C, beginning the process at low temperature (~70
degrees C). The doped sample was able to reversibly absorb and desorb 4.6 wt% of
hydrogen with improved kinetics; dehydrogenation rates were increased four times,
whereas absorptions required 20% less time to be completed in comparison to the
pristine material. The thermodynamic destabilization of the Mg(NH2)2-2LiH
composite by the addition of a small amount of KOH was demonstrated by an
increment of 30% in the dehydrogenation equilibrium pressure. According to
detailed structural investigations, the KH formed by the KOH decomposition
through milling and thermal treatment, can replace LiH and react with Mg(NH2)2 to
produce a mixed potassium-lithium amide (Li3K(NH2)4). The KH role is not limited
to catalysis, but rather it is responsible for the thermodynamic destabilization
of the Mg(NH2)2-LiH composite and it is actively involved in the dehydrogenation
process.
PMID- 29799043
TI - Thermodynamics and defect chemistry of substitutional and interstitial cation
doping in layered alpha-V2O5.
AB - A systematic study of the location and energetics of cation dopants in alpha-V2O5
has been conducted using pair-potential methods, supplemented by first-principles
calculations. The consequences of doping on intrinsic defect equilibria have been
discussed and the effects of selected dopants on Li+ and Mg2+ diffusion energy
barriers have been investigated.
PMID- 29799044
TI - Nucleophilic addition of tertiary propargylic amines to arynes followed by a
[2,3]-sigmatropic rearrangement.
AB - In the presence of 2-(trimethylsilyl)aryl triflates as aryne precursors under
mild conditions, a range of tertiary propargylic amines bearing electron
withdrawing groups were converted to quaternary propargylic ammonium ylides
followed by a [2,3]-sigmatropic rearrangement to afford structurally diverse
amino-substituted allenes or conjugated dienes, depending on their structure, in
moderate to good yields.
PMID- 29799045
TI - Carbene-catalyzed enal gamma-carbon addition to alpha-ketophosphonates for
enantioselective access to bioactive 2-pyranylphosphonates.
AB - A carbene-catalyzed enantioselective [4+2] cycloaddition reaction between
alpha,beta-unsaturated aldehydes and alpha-ketophosphonates is developed. The
reaction affords chiral 2-pyranylphosphonates with excellent
enantioselectivities. The optically enriched phosphonate products bear multiple
functional groups, including unsaturated lactone and phosphonate moieties that
often lead to unique bio-activities. Preliminary studies show that the products
from our reactions exhibit anti-bacterial (X. oryzae pv. oryzae) and anti-viral
(Tobacco Mosaic Virus) activities for potential use in plant protection.
PMID- 29799046
TI - Nano-designed semiconductors for electro- and photoelectro-catalytic conversion
of carbon dioxide.
AB - Development of novel catalysts with high efficiency for CO2 conversion is of
great research interest, because of the climate hazards caused by the gradual
increase in CO2 concentration. Among various types of catalysts, semiconductors
have been widely used as effective candidates for both electro- and photoelectro
CO2 conversion. Very recently, with the emerging nanotechnology and advanced
characterization techniques, tremendous achievements have been made in highly
efficient and clean CO2 conversion based on semiconductor catalysts. This review
gives a systematic overview of this field, including the rational design of
semiconductor catalysts for electro- and photoelectro-chemical CO2 conversion.
Recent advances in the development of mechanism understandings on reaction
pathways of CO2 and the feasibility for industrial production are discussed.
Furthermore, the challenges and future perspectives of electro- and photoelectro
catalytic CO2 conversion are outlined.
PMID- 29799047
TI - The blocking effect of surface dislocations on oxygen tracer diffusion in SrTiO3.
AB - The existence of a polishing-induced damaged zone at the surface of standard,
nominally undoped, single-crystal SrTiO3 is exploited in diffusion studies in
order to investigate the interaction between oxygen vacancies and dislocations.
Tracer diffusion profiles for such crystals are proposed to exhibit three
features: a short feature arising from a surface space-charge layer; an
intermediate, longer feature arising from the high density of dislocations in the
damaged zone; and finally, a much longer feature corresponding to diffusion in
the homogeneous bulk crystal. Quantitative information is provided by finite
element-method calculations. First, the distribution of oxygen vacancies in a
sample in which space-charge zones depleted of oxygen vacancies form at
dislocations and at the sample surface is calculated; subsequently, oxygen tracer
diffusion profiles for such vacancy distributions are simulated. The proposed
model is experimentally validated by performing conventional oxygen isotope
exchange and depth-profiling experiments on commercial single-crystal SrTiO3. In
this way, we confirm directly that arrays of dislocations in acceptor-doped
SrTiO3, by virtue of the attendant space-charge tubes, hinder the diffusion of
oxygen. Finally, in order to aid the prediction of oxygen tracer diffusion
profiles in polished perovskite single-crystal substrates, we suggest a one
dimensional continuum approach that takes account of the complex, three
dimensional diffusion problem posed by dislocation arrays with depletion space
charge tubes.
PMID- 29799048
TI - Ecology and evolution of metabolic cross-feeding interactions in bacteria.
AB - Literature covered: early 2000s to late 2017Bacteria frequently exchange
metabolites with other micro- and macro-organisms. In these often obligate cross
feeding interactions, primary metabolites such as vitamins, amino acids,
nucleotides, or growth factors are exchanged. The widespread distribution of this
type of metabolic interactions, however, is at odds with evolutionary theory: why
should an organism invest costly resources to benefit other individuals rather
than using these metabolites to maximize its own fitness? Recent empirical work
has shown that bacterial genotypes can significantly benefit from trading
metabolites with other bacteria relative to cells not engaging in such
interactions. Here, we will provide a comprehensive overview over the ecological
factors and evolutionary mechanisms that have been identified to explain the
evolution and maintenance of metabolic mutualisms among microorganisms.
Furthermore, we will highlight general principles that underlie the adaptive
evolution of interconnected microbial metabolic networks as well as the
evolutionary consequences that result for cells living in such communities.
PMID- 29799049
TI - Quantitative description of 1H SQ and DQ coherences for the hydroxyl disorder
within hydrous ringwoodite.
AB - Proton-containing point defects in solid materials are important for a variety of
properties ranging from ionic transport over thermal conductivity up to
compressibility. Ultrafast magic-angle spinning techniques nowadays offer high
resolution solid-state NMR spectra, even for 1H, and thus open up possibilities
to study the underlying defect chemistry. Nevertheless, disorder within such
defects again leads to heavy spectral overlap of 1H resonances, which prevents
quantitative analysis of defect concentrations, if several defect types are
present. Here, we present a strategy to overcome this limitation by simulating
the 1H lineshape as well as 1H-1H double-quantum buildup curves, which we then
validate against the experimental data in a joint cost function. To mimic the
local structural disorder, we use molecular dynamics simulations at the DFT
level. It turned out to be advantageous for the joint refinement to put the
computational effort into the structural optimisation to derive accurate proton
positions and to use empirical correlations for the relation between isotropic
and anisotropic 1H chemical shifts and structural elements. The expressiveness of
this approach is demonstrated on ringwoodite's (gamma-Mg2SiO4) OH defect
chemistry containing four different defect types in octahedral and tetrahedral
voids with both pure Mg and mixed Si and Mg cation environments. Still, we
determine the ratio for each defect type with an accuracy of about 5% as a result
of the minimization of the joint cost function. We expect that our approach is
generally applicable for local proton disorder and might prove to be a valuable
alternative to the established AIRSS and Monte Carlo methods, respectively.
PMID- 29799050
TI - Intermediate length-scale chirality related to the vibrational circular dichroism
intensity enhancement upon fibril formation in a gelation process.
AB - Chiro-optical spectroscopic methods, such as vibrational circular dichroism (VCD)
spectroscopy, are regarded as useful measures that provide us information on the
structural properties of chiral species, but for correct interpretation of the
measured spectra, appropriate modeling that can be compared with the observed
spectra is essential. In the present study, the origin of the VCD intensity
enhancement observed upon fibril formation in a gelation process is examined
theoretically. Comparing with the observed spectroscopic feature and also with
the observed scanning electron microscope (SEM) image, it is derived that there
are at least three hierarchical tiers of chirality in the gel. The VCD intensity
enhancement originates from one of them on the ~50 nm length scale, which
consists of a co-axial antiparallel right-handed double helical structure that
persistently continues over ~100 molecules, indicating that the intermediate
length-scale fibril formation plays a crucial role in the VCD intensity
enhancement, in a way similar to some fibril-forming peptides examined
previously. The time course of the gelation process observed by the time
dependence of the VCD intensity is also shown and discussed.
PMID- 29799051
TI - Synthesis of distorted nanographenes containing seven- and eight-membered
carbocycles.
AB - This feature article focuses on the bottom-up approaches (solution-phase) based
on organic synthesis for the preparation of saddle-shaped distorted polycyclic
aromatic hydrocarbons (PAHs). We summarise the recent progress on the synthetic
strategies followed to obtain well-defined nanographenes containing heptagonal
and octagonal carbocycles, highlighting the novel strategy developed by our group
together with our recent contributions in the area of distorted aromatics. The
presence of seven- or eight-membered rings induces a saddle-shape curvature in
the planar network pushing the structure out of the plane, which influences the
physical properties exhibited. Some brief details on the optical and electronic
properties of these curved nanostructures are also discussed.
PMID- 29799052
TI - All-inorganic perovskite quantum dot/TiO2 inverse opal electrode platform: stable
and efficient photoelectrochemical sensing of dopamine under visible irradiation.
AB - CsPbX3 (X = Cl, Br or I) perovskite quantum dots (PQDs) have attracted tremendous
attention due to their extraordinarily excellent optical properties. However,
there is still an obstacle for their bio-application, which is limited by their
water-instability. In this work, we have designed a novel visible light triggered
photoelectrochemical (PEC) sensor for dopamine (DA) based on CsPbBr1.5I1.5 PQD
immobilized three-dimensional (3D) TiO2 inverse opal photonic crystals (IOPCs).
Supported by the TiO2 IOPCs, the water-stability of the PQDs as well as that of
the PEC sensor was considerably improved. Furthermore, employed as a photoactive
material in PEC sensor, CsPbBr1.5I1.5 PQDs can expand the photocurrent response
of the PEC sensor to the whole visible region. In addition, the modulation of the
photonic stop band effect of TiO2 IOPCs on the incident light and the emission of
PQDs could further enhance the photocurrent response. Such a PEC sensor
demonstrates sensitive detection of DA in phosphate buffer saline solution and
serum, with a good linear range from 0.1 MUM to 250 MUM and a low detection limit
of approximately 0.012 MUM. Our strategy opens an alternative horizon for PQD
based PEC sensing, which could be more sensitive, convenient and inexpensive for
clinical and biological analysis.
PMID- 29799053
TI - Active cargo transport with Janus colloidal shuttles using electric and magnetic
fields.
AB - Active colloids show non-equilibrium behavior that departs from classical
Brownian motion, thus providing a platform for novel fundamental phenomena and
for enticing possible applications ranging from water treatment to medicine and
microrobotics. Although the physics, motion mechanisms and guidance have been
extensively investigated, active colloids are rarely exploited to simultaneously
guide and transport micron-sized objects in a controllable and reversible manner.
Here, we use autonomous active Janus particles as colloidal shuttles to
controllably transport cargo at the microscale using external electric and
magnetic fields. The active motion arises from the metallodielectric
characteristics of the Janus particles, which allows them to also trap, transport
and release cargo particles through dielectrophoretic interactions induced by an
AC electric field. The ferromagnetic nature of the nickel layer that forms the
metallic hemisphere of the Janus colloids provides an additional mechanism to
direct the motion of the shuttle using an external magnetic field. With this
highly programmable colloidal system, we are able to harness active colloid
motion and use it to transport cargo particles to specific destinations through a
pre-defined route. A simple analytical model is derived to successfully describe
the motion of the shuttle-cargo assembly in response to the applied electrical
field. The high level of control on cargo pick-up, transport and release leads to
a powerful delivery tool, which could eventually be used in microactuators,
microfluidics or for controlled delivery within organ-on-a-chip devices.
PMID- 29799054
TI - Clinics in diagnostic imaging (186). Atrial septal defect with pulmonary arterial
hypertension.
AB - We report a case of a 61-year-old woman with a large atrial septal defect (ASD)
that was detected incidentally on chest radiography and computed tomography when
she presented with sepsis. Echocardiography confirmed a large secundum ASD with
left-to-right shunt flow, right heart dilatation and severe pulmonary
hypertension. The patient had a poor clinical outcome despite intensive care and
eventually passed away. Haemodynamically significant ASDs have a known
association with increased morbidity and mortality, and their early detection and
closure cannot be understated. This article aimed to highlight the imaging
features of ASD, with special emphasis on the routine chest radiograph. The
pathophysiology and clinical manifestations of ASD are also briefly discussed.
PMID- 29799055
TI - Approach to frailty in the elderly in primary care and the community.
AB - Frailty is a distinct clinical syndrome wherein the individual has low reserves
and is highly vulnerable to internal and external stressors. Although it is
associated with disability and multiple comorbidities, it can also be present in
individuals who seem healthy. Frailty is multidimensional and its pathophysiology
is complex. Early identification and intervention can potentially decrease or
reverse frailty, especially in the early stages. Primary care physicians,
community nurses and community social networks have important roles in the
identification of pre-frail and frail elderly through the use of simple frailty
screening tools and rapid geriatric assessments. Appropriate interventions that
can be initiated in a primary care setting include a targeted medical review for
reversible medical causes of frailty, medication appropriateness, nutritional
advice and exercise prescription. With ongoing training and education, the
multidisciplinary engagement and coordination of care of the elderly in the
community can help to build resilience and combat frailty in our rapidly ageing
society.
PMID- 29799056
TI - Comment on: Medication-related osteonecrosis of the jaw in osteoporotic patients:
prevention and management.
PMID- 29799057
TI - Comment on: Cytomegalovirus (CMV) hepatitis: an uncommon complication of CMV
reactivation in drug reaction with eosinophilia and systemic symptoms.
PMID- 29799058
TI - Comment on: Haematologist-reviewed peripheral blood smear in paediatric practice.
PMID- 29799059
TI - Questionnaire survey on adjuvant chemotherapy for elderly patients after
gastrectomy indicates their vulnelabilities.
AB - BACKGROUND: In Japan, S-1 adjuvant chemotherapy for 1 year is the standard of
care for the treatment of stage II and III patients under 80 years old with
gastric cancer after curative operation. However, the feasibility of S-1
chemotherapy in patients over 80 years old has not yet been elucidated. METHODS:
To clarify the current treatment situation and feasibility of S-1 treatment in
patients over 80 years old, a questionnaire survey of the patients treated from
January 2011 to December 2012 was conducted at 58 member institutions of the
Stomach Cancer Study Group of the JCOG (Japan Clinical Oncology Group). RESULTS:
Gastrectomy was performed in 15,573 patients of all ages, and 1,660 (10.7%)
patients were over 80 years of age. Of these elderly patients, 661 (4.2%) were
diagnosed as stage II and III. While S-1 adjuvant chemotherapy was recommended to
248 (37.5%) of the stageII/III patients, only 99 (15.0%) of them actually
received S-1. Interestingly, the creatinine clearance rate was between 30 and 80
mL/min in 87 (87.9%) of the patients suggesting that S-1 dose modification should
be considered. Moreover, S-1 compliance was poor in patients with more than 15%
body weight loss. CONCLUSION: In general practice, surgery alone can be regarded
as the standard of care for stage II and III gastric cancer patients over 80
years old. The feasibility and efficacy of S-1 adjuvant chemotherapy should be
elucidated in a randomized control trial considering the vulnerabilities of the
elderly.
PMID- 29799060
TI - Risk factors for lymph node metastasis in non-sentinel node basins in early
gastric cancer: sentinel node concept.
AB - BACKGROUND: Sentinel node (SN) concept is being applied to early gastric cancer.
However, when SNs are positive for metastasis, it is unclear how often LNs in
other LN basins show metastasis. We aimed to investigate LN metastasis
possibility in LN basins without SNs (non-SN basins). We determined risk factors
for metastasis in non-SN basins and identified a prediction model for non-SN
basin metastasis using classification and regression tree (CART) analysis.
METHODS: We enrolled 550 patients who were diagnosed with cT1N0M0 or cT2N0M0
gastric cancer with a single lesion and underwent SN mapping. We adopted a dual
tracer method using a radioactive colloid and blue dye to detect SNs. RESULTS: Of
all, 45 (8.2%) patients had SN metastasis; we divided them into two groups: LN
metastasis positive and LN metastasis negative in non-SN basins. Univariate
analysis showed that the groups differed significantly regarding lymphatic
invasion (p = 0.007), number of identified SNs (p = 0.032), and macrometastasis
in SN basins (p = 0.005). The CART decision tree for predicting LN metastasis in
non-SN basins had area under the curve value of 0.86. Moreover, there were
significantly differences in cancer-specific survival (CSS) between the two
groups (p = 0.028). CONCLUSIONS: Macrometastasis in SN basins, lymphatic
invasion, and number of identified SNs >= 5 are risk factors for LN metastasis in
non-SN basins among gastric cancer patients. We identified a prediction model
with CART analysis; patients with macrometastasis in SN basins and lymphatic
invasion were considered to be at the highest risk for LN metastasis.
PMID- 29799063
TI - Erupted coronary atheroma: insights from multi-modality imaging.
AB - A 58-year-old gentleman with prolonged chest pain and 20-min ST-segment elevation
in inferior lead on Holter monitoring was hospitalized. Multi-slice computed
tomography identified severe stenosis with low density area and positive
remodeling. Despite the suggestive features of vulnerable lesion, intravascular
ultrasound and near-infrared spectroscopy imaging identified ruptured plaque
without any lipidic material which were contrary to findings from multi-slice
computed tomography. Lipidic materials may travel into coronary circulation
following its spontaneous rupture, resulting in zero lipid core burden index.
Multi-modality imaging has the potential to elucidate the pathophysiology of
eruption of coronary atheroma in vivo.
PMID- 29799062
TI - Right ventricular function and mechanics in chemotherapy- and radiotherapy-naive
cancer patients.
AB - The present research evaluated right ventricular (RV) structure, function and
mechanics in the cancer patients before initiation of chemo- or radiotherapy, and
the association between cancer and decreased RV longitudinal strain. This
retrospective investigation included 101 chemo- and radiotherapy-naive patients
with solid cancer and 38 age- and gender-matched controls with similar
cardiovascular risk profile. Echocardiographic examination and strain evaluation
was performed in all participants. RV structure and RV systolic and diastolic
function estimated with conventional echocardiographic parameters were similar
between the cancer patients and controls. However, RV global longitudinal strain
(- 22.7 +/- 2.6% vs. - 21.1 +/- 2.4%, p < 0.001) was significantly decreased in
the cancer patients than in controls. The same was revealed for RV free wall
endocardial (- 33.6 +/- 4.3% vs. - 31.4 +/- 4.0%, p = 0.006) and mid-myocardial (
25.2 +/- 3.6% vs. - 23.7 +/- 3.8%, p = 0.035) longitudinal RV strains, whereas
difference was not found in RV free wall epicardial longitudinal strain. The
presence of cancer was independently of age, gender, body mass index, left
ventricular hypertrophy, diabetes, hypertension and pulmonary pressure associated
with reduced RV global longitudinal strain (OR 3.79; 95% CI 2.18-10.92, p <
0.001), as well as with decreased free wall RV longitudinal strain (OR 5.73; 95%
CI 3.17-9.85, p < 0.001). RV strain is deteriorated in the chemo- and
radiotherapy-naive cancer patients. Endocardial and mid-myocardial layers are
more affected than epicardial strain in the cancer patients. The presence of
cancer is independently of other clinical parameters associated with reduced RV
longitudinal strain.
PMID- 29799061
TI - Quantification of aortic stiffness in stroke patients using 4D flow MRI in
comparison with transesophageal echocardiography.
AB - To quantify stiffness of the descending aorta (DAo) in stroke patients using 4D
flow MRI and compare results with transesophageal echocardiography (TEE). 48
acute stroke patients undergoing 4D flow MRI and TEE were included. Intima-media
thickness (IMT) was measured in the DAo and the aorta was scrutinized for
atherosclerotic plaques using TEE. Stiffness of the DAo was determined by (a) 4D
flow MRI at 3 T by calculating pulse wave velocity (PWV) and by (b) TEE
calculating arterial strain, stiffness index, and distensibility coefficient.
Mean IMT was 1.43 +/- 1.75. 7 (14.6%) subjects had no sign of atherosclerosis, 10
(20.8%) had IMT-thickening or plaques < 4 mm, and 31 (66.7%) had at least one
large and/or complex plaque in the aorta. Increased IMT significantly correlated
(p < 0.001) with increased DAo stiffness in MRI (PWV r = 0.66) and in TEE (strain
r = 0.57, stiffness index r = 0.64, distensibility coefficient r = 0.57).
Patients with at least IMT-thickening had significantly higher stiffness values
compared to patients without atherosclerosis. However, no difference was observed
between patients with plaques < 4 mm and patients with plaques >= 4 mm. PWV and
TEE parameters of stiffness correlated significantly [strain (r = - 0.36; p =
0.011), stiffness index (r = 0.51; p = 0.002), and distensibility coefficient (r
= - 0.59; p < 0.001)]. 4D flow MRI and TEE-based parameters of aortic stiffness
were associated with markers of atherosclerosis such as IMT-thickness and
presence of plaques. We believe that 4D flow MRI is a promising tool for future
studies of aortic atherosclerosis, due to its longer coverage of the aorta and
non-invasiveness.
PMID- 29799064
TI - Investigation of elastic features of aorta and color M-mode flow propagation
velocity (APV) of descending aorta in the patients with ischemic and non-ischemic
dilated cardiomyopathy.
AB - Aortic flow propagation velocity (APV) is a novel echocardiographic parameter
used in coronary artery disease. It has also been used for the evaluation of
aortic stiffness. In the present study, APV was measured in patients with
ischemic and non-ischemic dilated cardiomyopathy (DCM) and was compared with the
parameters of aortic stiffness such as aortic distensibility (AD) and aortic
strain (AS). A total of 140 patients who had undergone coronary angiographic
imaging were included in the study. Out of these patients, 44 had ischemic DCM,
46 had non-ischemic DCM, and 50 had normal coronary angiography (control group).
AS, AD, and APV were calculated echocardiographically. One-way analysis of
variance (ANOVA) and the Kruskal-Wallis test were used to compare continuous
variables between the groups, while the categorical variables were compared using
Pearson's Chi square test. Pearson's correlation test was used to investigate the
parameters associated with APV, AS, and AD. Ischemic DCM and non-ischemic DCM
groups differed significantly. The comparison of these groups with the control
group, in terms of AS, AD, and APV values (ANOVA p < 0.001 for all) also showed a
significant difference. APV was found to be significantly correlated with AS (r =
0.645, p < 0.001) and AD (r = 0.604, p < 0.001). In ROC analysis, the area under
the curve (AUC) value for APV was 0.999 (p = 0.000) for detection of patients
ischemic DCM and non-ischemic DCM. APV may be considered to be a novel and a
simple echocardiographic marker, for both, distinguishing ischemic from non
ischemic DCM as well as for the presence of dilated cardiomyopathy with or
without critical coronary artery disease.
PMID- 29799065
TI - Correction to: No difference in mid-term survival and clinical outcome between
patient-specific and conventional instrumented total knee arthroplasty: a
randomized controlled trial.
AB - In the original article, one of the co-author's (W. van der Weegen) middle name
has been missed in the publication of the article. The correct complete name
should be W. van der Weegen.
PMID- 29799068
TI - A rare presentation of skull-base osteomyelitis with neurovascular sheath
extension following external otitis resolved by PET/MRI.
PMID- 29799066
TI - Genome characteristics dictate poly-R-(3)-hydroxyalkanoate production in
Cupriavidus necator H16.
AB - Cupriavidus necator H16 is a well-recognized enterprise with efficient
manufacturing machineries to produce diverse polymers belonging to
polyhydroxyalkanoates (PHAs) family. The genome fingerprints, including PHA
machinery proteins and fatty acid metabolism, had educated engineering strategies
to enhance PHAs production. This outstanding progress has enlightened us to
present an exhaustive examination of the ongoing research, addressing the great
potential design of genome features towards PHA production and furthermore, we
show how those acquired knowledge have been explored in other biotechnological
applications. This updated-review concludes that the combination of an optimal
strain selection, suitable metabolic engineering and a large-scale fermentation
on oil substrates is critical to endow the ability of incorporating mcl-PHAs
monomers in this organism.
PMID- 29799069
TI - The history of hexachlorobenzene accumulation in Svalbard fjords.
AB - In the present study, we investigated the spatial and historical trends of
hexachlorobenzene (HCB) contamination in dated sediments of three Svalbard fjords
(Kongsfjorden, Hornsund, Adventfjorden) differing in environmental conditions and
human impact. HCB concentrations ranging from below limit of quantification (6.86
pg/g d.w.) to 143.99 pg/g d.w. were measured. The highest concentrations were
measured in two surface sediment layers of the core collected in Hornsund near
the melting glacier. The lowest concentrations of HCB were measured in
Adventfjorden, suggesting that local source of HCB is not significant and global
transport processes are the major transport pathways. The history of HCB
deposition did not fully reflect the history of HCB emission (largest in 1950s
and 1960s). In case of several sediment cores, the HCB enrichment in surface
(recent) sediments was noticed. This can indicate importance of secondary sources
of HCB, e.g., the influx of HCB accumulated over decades on the surface of
glaciers. Detected levels of HCB were generally low and did not exceed background
concentration levels; thus, a negative effect on benthic organisms is not
expected.
PMID- 29799070
TI - Revisiting the stress paradigm for silica nanoparticles: decoupling of the anti
oxidative defense, pro-inflammatory response and cytotoxicity.
AB - Engineered amorphous silica nanoparticles (nanosilica) are widely used in
industry yet can induce adverse effects, which might be classified according to
the oxidative stress model. However, the underlying mechanisms as well as the
potential interactions of the three postulated different tiers of toxicity-i.e.
oxidative-, pro-inflammatory- and cytotoxic-stress response-are poorly
understood. As macrophages are primary targets of nanoparticles, we used several
macrophage models, primarily murine RAW264.7 macrophages, and monitored pro
inflammatory and anti-oxidative reactions as well as cytotoxicity in response to
nanosilica at max. 50 ug/mL. Special attention was given to the activation of
mitogen-activated protein kinases (MAPKs) as potential regulators of the cellular
stress response. Indeed, according to the oxidative stress model, also nanosilica
elicits an, albeit modest, anti-oxidative response as well as pronounced pro
inflammatory reactions and cytotoxicity in macrophages. Interestingly however,
these three tiers of toxicity seem to operate separately of each other for
nanosilica. Specifically, impeding the anti-oxidative response by scavenging of
reactive oxygen species does not prevent the pro-inflammatory and cytotoxic
response. Furthermore, blocking the pro-inflammatory response by inhibition of
MAPKs does not impair cell death. As hazard assessment has been guided by the
prevailing assumption of a dose-dependent coupling of sequential tiers of
toxicity, identification of critical physico-chemical parameters to assist the
safe-by-design concept should be enabled by simply monitoring one of the toxicity
read-outs. Our results indicate a more complex scenario in the case of
nanosilica, which triggers independent pleiotropic effects possibly also related
to different material properties and primary cellular targets.
PMID- 29799067
TI - Recommendations on nuclear and multimodality imaging in IE and CIED infections.
AB - In the latest update of the European Society of Cardiology (ESC) guidelines for
the management of infective endocarditis (IE), imaging is positioned at the
centre of the diagnostic work-up so that an early and accurate diagnosis can be
reached. Besides echocardiography, contrast-enhanced CT (ce-CT), radiolabelled
leucocyte (white blood cell, WBC) SPECT/CT and [18F]FDG PET/CT are included as
diagnostic tools in the diagnostic flow chart for IE. Following the clinical
guidelines that provided a straightforward message on the role of multimodality
imaging, we believe that it is highly relevant to produce specific
recommendations on nuclear multimodality imaging in IE and cardiac implantable
electronic device infections. In these procedural recommendations we therefore
describe in detail the technical and practical aspects of WBC SPECT/CT and
[18F]FDG PET/CT, including ce-CT acquisition protocols. We also discuss the
advantages and limitations of each procedure, specific pitfalls when interpreting
images, and the most important results from the literature, and also provide
recommendations on the appropriate use of multimodality imaging.
PMID- 29799071
TI - The central role of the pathologist in the management of patients with cervical
cancer: ESGO/ESTRO/ESP guidelines.
AB - The European Society of Gynaecological Oncology (ESGO), the European Society for
Radiotherapy & Oncology (ESTRO) and the European Society of Pathology (ESP)
nominated an international multidisciplinary development group consisting of
practicing clinicians who have demonstrated leadership and expertise in cervical
cancer to produce evidence-based guidelines regarding all aspects of the
management of patients with this disease. Herein, we provide a detailed analysis
of the pathological reporting of cervical carcinoma specimens, focusing on
practical aspects of specimen sampling and on the core pathological data which
are critical for patient management.
PMID- 29799072
TI - Context-induced relapse after extinction versus punishment: similarities and
differences.
AB - Results from clinical studies suggest that drug relapse and craving are often
provoked by exposure to drug-associated contexts. Since 2002, this phenomenon has
been modeled in laboratory animals using the ABA renewal model. In the classical
version of this model, rats with a history of drug self-administration in one
context (A) undergo extinction in a different context (B) and reinstate (or
relapse to) drug seeking after exposure to the original drug-associated context
(A). In a more recent version of the model introduced in 2013, the experimental
conditions in context A are identical to those used in the classical model, but
drug-reinforced responding in context B is suppressed by probabilistic
punishment. The punishment-based ABA renewal model is proposed to resemble
abstinence in humans, which is often initiated by the desire to avoid the
negative consequences of drug use. The goal of our review is to discuss
similarities and differences in mechanisms that play a role in suppression of
drug seeking in context B and context-induced relapse to drug seeking in context
A in the two models. We first describe psychological mechanisms that mediate
extinction and punishment of drug-reinforced responding in context B. We then
summarize recent findings on brain mechanisms of context-induced relapse of drug
seeking after extinction, or punishment-imposed abstinence. These findings
demonstrate both similarities and differences in brain mechanisms underlying
relapse in the two variations of the ABA renewal model. We conclude by briefly
discussing clinical implications of the preclinical studies.
PMID- 29799074
TI - Effects of water shortage in Kabul River network on the plain areas of Khyber
Pakhtunkhwa, Pakistan.
AB - Kabul River is a shared resource of Pakistan and Afghanistan and is a major
source of economy for both countries. It is used for irrigation, power
generation, fishery, hunting, and recreation. This study explored human-induced
impact on Kabul River and its associated wetlands. For an in-depth study, the
area situated between the two tributaries of Kabul River, i.e., Shalam and
Naguman Rivers, was selected. The focus of the study was to find out reduction in
the wetland areas, its causes, and associated impacts. For this purpose, a survey
was conducted in 10 villages selected randomly in 10 union councils. The study
revealed that anthropogenic activities, such as wetland farming, grazing
residential encroachment, and industrial development, have caused severe
alteration in the wetland of the study areas. The results revealed that before
the 1990s, 33.55% area of the study area was wetland. Due to regular decrease in
the flow of the Kabul River System and human encroachment, it has engulfed 68.40%
of the total wetland leaving behind only 10.60% wetland. This can be termed as a
big ecological disturbance. In the long run, this will have negative effects on
both the countries. It is therefore recommended to give proper attention to this
important wetland, positioning between Shalam and Naguman Rivers and to conserve
it. One of the solutions is to regulate the natural flow of Kabul River.
PMID- 29799075
TI - Effect of fixation devices on postoperative pain after laparoscopic ventral
hernia repair: a randomized clinical trial of permanent tacks, absorbable tacks,
and synthetic glue.
AB - PURPOSE: The method of anchoring the mesh in laparoscopic ventral hernia repair
is claimed to cause postoperative pain, affecting the quality of life of the
patients. The aim of this randomized study was to compare the effect of three
types of fixation devices on postoperative pain, patient quality of life, and
hernia recurrence. METHODS: Patients with ventral hernias between 2 and 7 cm were
randomized into one of three mesh fixation groups: permanent tacks (ProtackTM),
absorbable tacks (SecurestrapTM), and absorbable synthetic glue (GlubranTM). The
primary endpoint was pain on the second postoperative day, measured on a visual
analogue scale. Quality of life and recurrence rate were secondary endpoints and
investigated through questionnaires and clinical examination at follow-up visits
1, 6, and 12 months after surgery. RESULTS: Seventy-five non-consecutive patients
were included in the study, with 25 patients in each group. There was no
significant difference between groups for unspecified pain on the second
postoperative day (p = 0.250). The DoloTestTM values were 55.3 +/- 28.9 mm, 43.5
+/- 28.5 mm, and 55.9 +/- 26.3 mm for permanent tacks, absorbable tacks, and
synthetic glue, respectively. No differences were observed between groups with
respect to quality of life of the patients and hernia recurrence rate.
CONCLUSIONS: In patients with small- and medium-sized ventral hernias, the type
of fixation device did not affect the immediate or long-term postoperative pain,
quality of life, or recurrence rate when comparing permanent tacks, absorbable
tacks, and synthetic glue for mesh fixation. TRIAL REGISTRATION: NCT01534780.
PMID- 29799073
TI - Healthy ageing: the natural consequences of good nutrition-a conference report.
AB - Many countries are witnessing a marked increase in longevity and with this
increased lifespan and the desire for healthy ageing, many, however, suffer from
the opposite including mental and physical deterioration, lost productivity and
quality of life, and increased medical costs. While adequate nutrition is
fundamental for good health, it remains unclear what impact various dietary
interventions may have on prolonging good quality of life. Studies which span
age, geography and income all suggest that access to quality foods, host immunity
and response to inflammation/infections, impaired senses (i.e., sight, taste,
smell) or mobility are all factors which can limit intake or increase the body's
need for specific micronutrients. New clinical studies of healthy ageing are
needed and quantitative biomarkers are an essential component, particularly tools
which can measure improvements in physiological integrity throughout life,
thought to be a primary contributor to a long and productive life (a healthy
"lifespan"). A framework for progress has recently been proposed in a WHO report
which takes a broad, person-centered focus on healthy ageing, emphasizing the
need to better understand an individual's intrinsic capacity, their functional
abilities at various life stages, and the impact by mental, and physical health,
and the environments they inhabit.
PMID- 29799076
TI - T-lymphocyte profiles differ between keratoacanthomas and invasive squamous cell
carcinomas of the human skin.
AB - BACKGROUND: T-lymphocytes are involved in tumor progression and regression.
Actinic keratoses (AK) are atypical proliferations of keratinocytes of the skin.
Some AK progress into invasive cutaneous squamous cell carcinomas (cSCC).
Keratoacanthomas (KA) are either classified as a cSCC subtype or a benign tumor
with histologic resemblance to well-differentiated cSCC as it is supposed to
regress spontaneously. In contrast, cSCC represent malignant tumors that may
metastasize. OBJECTIVES: To compare the T-lymphocyte profiles of AK, KA and cSCC
in relation to PD-L1 expression. METHODS: Tissue micro-arrays of 103 cases of AK,
43 cases of KA and 106 cases of cSCC were stained by immunohistochemistry for E
cadherin, CD3, CD4, CD8, FOXp3, and the receptor-ligand pair PD-1/PD-L1.
Immunohistological scores were computationally determined to assess PD-L1
expression as well as the expression profiles of T-lymphocytes. RESULTS: AK had
lower numbers of CD3+ and PD-1+ cells compared to KA and lower numbers of CD3+,
CD8+ and PD-1+ cells in comparison with cSCC. KA showed significantly higher
numbers of CD4+ and FOXp3+ cells as well as lower numbers of CD8+ cells in
comparison with invasive cSCC. cSCC expressed significantly more PD-L1 in
comparison with AK and KA. Among cSCC PD-L1 expression was higher in moderately
and poorly-differentiated cSCC than in well-differentiated cSCC. Increased PD-L1
expression also correlated with increased numbers of CD4+, CD8+ and FOXp3+ cells
in cSCC. CONCLUSIONS: Tumor-associated T-lymphocyte infiltrates showed
significant differences between AK, KA and invasive cSCC. PD-L1 expression
correlated with invasion of T-cell infiltrates in invasive cSCC.
PMID- 29799078
TI - Treatment of infra-isthmal femoral fracture with an intramedullary nail: Is
retrograde nailing a better option than antegrade nailing?
AB - INTRODUCTION: Antegrade intramedullary (IM) nailing is ideal for femoral shaft
fractures, but fixing the fracture distal to the isthmal level may be difficult
because of medullary canal widening and the proximity of fracture location from
the distal femoral joint line. This study aimed to compare treatment results
between antegrade and retrograde nailing for infra-isthmal femoral shaft
fracture, and to identify influencing factors of nonunion and malalignment.
MATERIALS AND METHODS: Sixty patients with infra-isthmal femoral shaft fractures
treated with IM nailing and followed-up for > 1 year were enrolled in this
retrospective study, 38 in the antegrade nailing group, and 22 in the retrograde
nailing group. The two groups had no significant differences in age, sex, and
fracture location (p = 0.297, Mann-Whitney test). Radiological evaluation was
performed, and functional result was assessed using the Knee Society scoring
system. Complications were analyzed in accordance with fracture location,
fracture type, and operative method. RESULTS: According to the AO/OTA
classification, 35, 16, and 9 cases were type A (A1: 1, A2: 11, A3: 23), B (B1:
2, B2: 7, B3: 7), and C fractures (C2: 4, C3: 5), respectively. The mean follow
up duration was 29.5 months. In the antegrade and retrograde nailing groups, the
primary bony union rates were 73.7% in 20.7 weeks (range 12-41) and 86.4% in 17.4
weeks (range 12-30), respectively. The two groups showed no significant
differences in union rate (p = 0.251, Pearson's Chi-square test) and union time
(p = 0.897, Mann-Whitney test). No cases of malalignment of > 10 degrees in any
plane were found in both groups. The mean Knee Society scores were 92 (range 84
100) and 91 (range 83-95) in the antegrade and retrograde nailing groups,
respectively, showing no significant difference (p = 0.297, Pearson's Chi-square
test). Although fracture location was not significantly related to union rate (p
= 0.584, Mann-Whitney test), patients with an effective working length of the
distal segment of < 0.75 were prone to nonunion (p = 0.003, Pearson's Chi-square
test). CONCLUSIONS: Although no significant difference was found in IM nail type,
the IM nail with a shorter working length distal to the fracture showed a strong
relationship with nonunion.
PMID- 29799077
TI - Digoxin Use in Infants with Single Ventricle Physiology: Secondary Analysis of
the Pediatric Heart Network Infant Single Ventricle Trial Public Use Dataset.
AB - Digoxin has been associated with reduced interstage mortality after Norwood
procedure. We sought to determine its association with survival and change in
weight-for-age Z-score (WAZ) before the superior cavopulmonary connection (SCPC)
surgery and at 14 months in a heterogeneous group of single ventricle infants. We
performed a post-hoc analysis of the Pediatric Heart Network Infant Single
Ventricle public use dataset to determine associations between digoxin and
survival, transplant-free survival, and change in WAZ pre-SCPC and at 14 months.
Sub-analyses of survival and transplant-free survival were performed for subjects
who underwent Damus-Kaye-Stansel (DKS)/Norwood. Propensity score weighting was
used in Cox hazard-proportion models. Of 229 subjects, 82 (36%) received digoxin
and 147 (64%) received no digoxin. Pre-SCPC and 14-month survival and transplant
free survival were not significantly different between the digoxin and no digoxin
groups for the main cohort and DKS/Norwood sub-group. However, in DKS/Norwood
subjects there was a trend towards improved interstage transplant-free survival
in the digoxin group (95.7 vs. 89.6%, p = 0.08). Digoxin was associated with a
greater decrease in WAZ from birth to pre-SCPC (- 1.96 +/- 0.19 vs. - 1.31 +/-
0.18, p < 0.001) and birth to 14 months (- 0.64 +/- 0.15 vs. - 0.19 +/- 0.15, p =
0.03). Digoxin was not associated with improved survival during the interstage or
at 14 months in a mixed single ventricle cohort, but there was a trend towards
improved interstage transplant-free survival in post-Norwood infants. As digoxin
was associated with poorer weight gain, further research is needed to identify
the risks/benefits for anatomic subtypes of infants with single ventricles.
PMID- 29799079
TI - Forewarning of hypotensive events using a Bayesian artificial neural network in
neurocritical care.
AB - Traumatically brain injured (TBI) patients are at risk from secondary insults.
Arterial hypotension, critically low blood pressure, is one of the most dangerous
secondary insults and is related to poor outcome in patients. The overall aim of
this study was to get proof of the concept that advanced statistical techniques
(machine learning) are methods that are able to provide early warning of
impending hypotensive events before they occur during neuro-critical care. A
Bayesian artificial neural network (BANN) model predicting episodes of
hypotension was developed using data from 104 patients selected from the BrainIT
multi-center database. Arterial hypotension events were recorded and defined
using the Edinburgh University Secondary Insult Grades (EUSIG) physiological
adverse event scoring system. The BANN was trained on a random selection of 50%
of the available patients (n = 52) and validated on the remaining cohort. A multi
center prospective pilot study (Phase 1, n = 30) was then conducted with the
system running live in the clinical environment, followed by a second validation
pilot study (Phase 2, n = 49). From these prospectively collected data, a final
evaluation study was done on 69 of these patients with 10 patients excluded from
the Phase 2 study because of insufficient or invalid data. Each data collection
phase was a prospective non-interventional observational study conducted in a
live clinical setting to test the data collection systems and the model
performance. No prediction information was available to the clinical teams during
a patient's stay in the ICU. The final cohort (n = 69), using a decision
threshold of 0.4, and including false positive checks, gave a sensitivity of
39.3% (95% CI 32.9-46.1) and a specificity of 91.5% (95% CI 89.0-93.7). Using a
decision threshold of 0.3, and false positive correction, gave a sensitivity of
46.6% (95% CI 40.1-53.2) and specificity of 85.6% (95% CI 82.3-88.8). With a
decision threshold of 0.3, > 15 min warning of patient instability can be
achieved. We have shown, using advanced machine learning techniques running in a
live neuro-critical care environment, that it would be possible to give
neurointensive teams early warning of potential hypotensive events before they
emerge, allowing closer monitoring and earlier clinical assessment in an attempt
to prevent the onset of hypotension. The multi-centre clinical infrastructure
developed to support the clinical studies provides a solid base for further
collaborative research on data quality, false positive correction and the display
of early warning data in a clinical setting.
PMID- 29799081
TI - Casein kinase 2 alpha and beta subunits inversely modulate ABA signal output in
Arabidopsis protoplasts.
AB - MAIN CONCLUSION: Our transient gene expression analyses in Arabidopsis
protoplasts support the view that CK2alphas and CK2betas positively and
negatively modulate ABRE-dependent gene expression, respectively. The
phytohormone abscisic acid (ABA) regulates the expression of thousands of genes
via ABA-responsive elements (ABREs), and has a crucial role in abiotic stress
response. Casein kinase II (CK2), a conserved Ser/Thr protein kinase in
eukaryotes, is essential for plant viability. Although the CK2 has been known as
a tetrameric holoenzyme comprised of two catalytic alpha and two regulatory beta
subunits, each of the two types of subunits has been proposed to have independent
functions. The Arabidopsis genome encodes four alpha subunits (CK2alpha1,
CK2alpha2, CK2alpha3, CK2alpha4) and four beta subunits (CK2beta1, CK2beta2,
CK2beta3, CK2beta4). There is a growing body of evidence linking CK2 to ABA
signaling and abiotic stress responses. However, the roles of each CK2 subunit in
ABA signaling remain largely elusive. Using the transient expression system with
the core ABA signaling components in Arabidopsis leaf mesophyll protoplasts, we
show here that CK2alpha1 and CK2alpha2 (CK2alpha1/2) positively modulate ABRE
dependent gene expression as ABA signal output in ABA signaling, whereas all four
CK2betas negatively modulate the ABRE-dependent gene expression mediated by
subclass III SnRK2-AREB/ABF pathway and by CK2alpha1/2. These data indicate that
CK2alpha1/2 and CK2betas positively and negatively modulate ABA signal output,
respectively, suggesting that the quantitative balance of CK2 subunits determines
the ABA signal output in plants. Given that CK2s act as pleiotropic enzymes
involved in multiple developmental and stress-responsive processes, our findings
suggest that CK2 subunits may be involved in integration and coordination of ABA
dependent and -independent signaling.
PMID- 29799082
TI - Heterografting induced DNA methylation polymorphisms in Hevea brasiliensis.
AB - MAIN CONCLUSION: Heterografting induced intraclonal epigenetic variations were
detected among rubber plants. Interaction between genetically divergent root
stock and scion tissues might have triggered these epigenetic changes which may
eventually lead to intraclonal variability in rubber. DNA methylation in response
to stress may be associated with the alteration in gene transcription leading to
morphological changes in plants. Rubber tree is commercially propagated by bud
grafting where the scion of a high yielding variety is grafted on to a
genetically divergent root stock. Still, significant levels of intraclonal
variations exist among them. Epigenetic changes associated with heterografting
may be partly responsible for this conundrum. In the present study, an attempt
was made to identify the impact of divergent root stock on the epigenome of scion
in grafted rubber plants. Heterografts were developed by grafting eye buds from a
single polyembryony derived seedling on to genetically divergent root stocks of
unknown parentage. The plants were uniformly maintained and their DNA was
subjected to MSAP analysis. Polymorphic DNA methylation bands corresponding to CG
as well as the plant-specific CHG types of methylation were observed. Cloning of
selected polymorphic regions and bisulfite sequencing confirmed the presence of
methylation in the promoter and coding region of important genes including an LRR
receptor kinase gene. Since divergent root stock is the major factor
differentiating the grafted plants, the changes in DNA methylation patterns might
have been triggered by the interaction between the two genetically different
tissues of stock and scion. The study assumes importance in Hevea, because
accumulation and maintenance of epigenetic changes in functional genes and
promoters during subsequent cycles of vegetative propagation may contribute
towards intraclonal variability eventually leading to altered phenotypes.
PMID- 29799080
TI - The challenge of drug resistance in cancer treatment: a current overview.
AB - It is generally accepted that recent advances in anticancer agents have
contributed significantly to the improvement of both the disease-free survival
and quality of life in cancer patients. However, in many instances, a favorable
initial response to treatment changes afterwards, thereby leading to cancer
relapse and recurrence. This phenomenon of acquired resistance to therapy, it is
a major problem for totally efficient anticancer therapy. The failure to obtain
an initial response reflects a form of intrinsic resistance. Specific cell
membrane transporter proteins are implicated in intrinsic drug resistance by
altering drug transport and pumping drugs out of the tumor cells. Moreover, the
gradual acquisition of specific genetic and epigenetic abnormalities in cancer
cells could contribute greatly to acquired drug resistance. A critical issue in
the clinical setting, is that the problem of drug resistance appears to have a
negative effect on also the new molecularly-targeted anticancer drugs. Several
ongoing efforts are being made by the medical community aimed to the
identification of such resistance mechanisms and the development of novel drugs
that could overcome them. In this review, the major drug resistance mechanisms
and strategies to overcome them are critically discussed, and also possible
future directions are suggested.
PMID- 29799084
TI - Evaluation of a new slim lateral wall electrode for cochlear implantation: an
imaging study in human temporal bones.
AB - PURPOSE: To evaluate the insertion characteristics and trauma of a new slim
lateral wall electrode (SlimJ) in human temporal bones (TB). METHODS: Pre- and
postoperative assessment was performed using cone beam computed tomography (CBCT)
and image fusion in 11 human TB. The position of the array in each cochlea was
analyzed and described using a vertical scaling factor, calculated by dividing
the distance of the scala tympani floor to the centre of the electrode by the
duct height. Insertion trauma was scaled according to the presumed localization
of the basilar membrane, which was modeled from histologic sections of 20 TBs.
The insertion trauma was described by the adaptation of the Eshragi trauma
grading. RESULTS: A full electrode insertion, via the round window, was achieved
in all TBs. Surgical handling was good, with a favorable compromise between high
flexibility but sufficient stiffness to facilitate smooth insertions. The median
angular insertion depth was 368 degrees (range 330 degrees -430 degrees ). Scala
tympani placement was achieved in ten out of eleven TBs; in one TB a scala
translocation was observed, occurring at approximately 180 degrees . CONCLUSIONS:
The SlimJ showed atraumatic insertion characteristics. The CBCT fusion technique
provides an accurate and reliable assessment of the electrode position and allows
for grading insertion trauma without histology. The SlimJ true potential for
structure and hearing preservation needs to be further assessed in vivo.
PMID- 29799083
TI - Provox 2(r) and Provox Vega(r) device life-time: a case-crossover study with
multivariate analysis of possible influential factors and duration.
AB - INTRODUCTION: Tracheoesophageal speech is considered the gold standard for
rehabilitation following total laryngectomy. Current literature is limited and
contradictory about the possible causes of device failure. The aim of the study
is to compare the device life-time between the Provox 2 and Provox Vega and to
examine possible related factors that influence their duration. METHODS:
Retrospective case-crossover study in 34 laryngectomized patients who had
undergone tracheoesophageal voice rehabilitation using indwelling Provox 2 and
Provox Vega voice prostheses between 2010 and 2016 in a tertiary care centre.
RESULTS: A total of 440 prostheses were evaluated. The most frequent reason for
replacement was due to an endoprosthesis leakage (n = 221, 64.2%) in both models.
Radiotherapy increases the risk of prosthesis replacement (IRR = 1.88, p = 0.007)
as well as bilateral neck dissection (IRR = 1.56, p = 0.017) in Provox 2. Age and
unilateral neck dissection do not seem to influence the duration of the
prosthesis. Mean life-time of Provox 2 was 106.64 days and 124.19 days for Provox
Vega (p = 0.261). Complementary treatment with radiotherapy demonstrated a lower
device survival (p < 0.001). DISCUSSION: Results confirmed the non-significant
differences on device life between Provox Vega and Provox 2, as well as the
relevant role of radiotherapy treatment in the increase of replacements and
diminution of the device duration.
PMID- 29799085
TI - Venoarterial extracorporeal membrane oxygenation support for neonatal and
pediatric refractory septic shock: more than 15 years of learning.
AB - : The objective of the study was to report our institutional experience in the
management of children and newborns with refractory septic shock who required
venoarterial extracorporeal membrane oxygenation (VA ECMO) treatment, and to
identify patient-and infection-related factors associated with mortality. This is
a retrospective case series in an intensive care unit of a tertiary pediatric
center. Inclusion criteria were patients <= 18 years old who underwent a VA ECMO
due to a refractory septic shock due to circulatory collapse. Patient conditions
and support immediately before ECMO, analytical and hemodynamic parameter
evolution during ECMO, and post-canulation outcome data were collected. Twenty
one patients were included, 13 of them (65%) male. Nine were pediatric and 12
were newborns. Median septic shock duration prior to ECMO was 29.5 h (IQR, 20
46). Eleven patients (52.4%) suffered cardiac arrest (CA). Neonatal patients had
worse Sepsis Organ Failure Assessment (SOFA) score, Oxygenation Index and
PaO2/FiO2 ratio, blood gas analysis, lactate levels, and left ventricular
ejection fraction compared to pediatric patients. Survival was 33.3% among
pediatric patients (60% if we exclude pneumococcal cases) and 50% among newborns.
Hours of sepsis evolution and mean airway pressure (MAP) prior to ECMO were
significantly higher in the non-survivor group. CA was not a predictor of
mortality. Streptococcus pneumoniae infection was a mortality risk factor. There
was an improvement in survival during the second period, from 14.3 to 57.2%,
related to shorter sepsis evolution before ECMO placement, better candidate
selection, and greater ECMO support once the patient was placed. CONCLUSION:
Patients with refractory septic shock should be transferred precociously to a
referral ECMO center. However, therapy should be used with caution in patients
with vasoplegic pattern shock or S. pneumoniae sepsis. What is Known: * Children
with refractory septic shock have significant mortality rates, and although ECMO
is recommended, overall survival is low. * There are no studies regarding
characteristics of infections as predictors of pediatric survival in ECMO. What
is New: * Septic children should be transferred precociously to referral ECMO
centers during the first hours if patients do not respond to conventional
therapy. * Treatment should be used with caution in patients with vasoplegic
pattern shock or S. pneumoniae sepsis.
PMID- 29799086
TI - Risk factors for periodic fever, aphthous stomatitis, pharyngitis, and adenitis
(PFAPA) syndrome: a case-control study.
AB - : The etiology and pathogenesis of periodic fever, aphthous stomatitis,
pharyngitis, and adenitis (PFAPA) syndrome are unclear. We performed a case
control study to evaluate potential environmental or lifestyle factors associated
with PFAPA morbidity. We enrolled 119 patients with PFAPA syndrome who had
undergone tonsillectomy in Oulu University Hospital between 1987 and 2007. We
recruited 230 controls, matched for sex, birth date, and place from the database
of the Population Register Center of Finland. All the patients and controls
completed a questionnaire regarding exposure to environmental triggers during
early childhood. Maternal smoking was more common among PFAPA syndrome patients
than controls (23 vs. 14%; P = 0.005). PFAPA patients had lower breastfeeding
rates than controls (94 vs. 99%; P = 0.006). No other environmental factors were
associated with PFAPA syndrome, except having an aquarium at home (P = 0.007).
The patient group also used natural or herbal medicines more often than the
controls (P = 0.01). CONCLUSION: Maternal smoking and lack of breastfeeding,
known risk factors for common childhood infections, were more common in patients
with PFAPA syndrome than in matched controls. Environmental factors may be
important in the pathogenesis of PFAPA syndrome and should be evaluated in future
studies. What is Known: * The pathogenesis and genetics of periodic fever,
aphthous stomatitis, pharyngitis, and adenitis (PFAPA) syndrome remain unsolved.
* PFAPA syndrome has been shown to cluster in families. What is New: * Maternal
smoking and lack of breastfeeding are more common in patients with PFAPA syndrome
than in the controls. * Environmental risk factors may be important in the
pathogenesis of the syndrome.
PMID- 29799088
TI - Comparative mitogenomics reveals large-scale gene rearrangements in the
mitochondrial genome of two Pleurotus species.
AB - In the present study, we assembled the mitogenomes of Pleurotus citrinopileatus
and Pleurotus platypus. The circular mitogenome of the two Pleurotus species
comprises a set of 14 conserved protein-encoding genes (PEGs), 2 RNA genes (small
subunit ribosomal RNA and large subunit ribosomal RNA), and 24 tRNAs, with sizes
of 60,694 and 73,807 bp, respectively. They contain 4 and 10 introns with 3 and
10 intronic open reading frames (ORFs), respectively. Thirteen position classes
(Pcls) of introns were found in the cox1 gene of four Pleurotus species. The
number and class of Pcl varied among different Pleurotus species, indicating that
numerous events of loss and gain occurred during evolution of Pleurotus.
Comparative mitogenomic and collinearity analyses reveal that large-scale gene
rearrangements may have occurred during the evolution of Pleurotus
citrinopileatus and Pleurotus platypus, including gene rearrangements and
inversions, which may be related to the observed high amounts of repetitive DNA
elements (5.62 and 5.45%, respectively). Phylogenetic analysis based on
concatenated mitochondrial protein sequences reveals that concatenated
mitochondrial genes are suitable as molecular markers for phylogenetic analysis.
This serves as the first report on large-scale rearrangements in the mitochondria
of the genus Pleurotus, thereby improving our understanding of the evolution of
the Pleurotus genus and other macrofungi.
PMID- 29799089
TI - Greener L-lactic acid production through in situ extractive fermentation by an
acid-tolerant Lactobacillus strain.
AB - Lactic acid (LA) fermentation requires a neutralizer for a physiologically
acceptable range. However, a neutralizer generates a large amount of gypsum, an
environmental pollutant. Furthermore, the downstream processing is complicated
and expensive, comprising 50-70% of the total cost. We previously developed a
Lactobacillus delbrueckii FM1, which can produce undissociated LA without
neutralizer. Here, we improved FM1 by adaptive evolution at pH 4.5, which
generated Adp FM1 showing an ~ 1.80-fold increase in LA production compared to
FM1. The LA production via fed-batch fermentation yielded 36.2 g/L of LA, with a
productivity of 0.500 g/L/h. However, cell viability was reduced due to the
acidic pH and/or end-product inhibition. Therefore, an in situ LA recovery
process using an extractive solvent was employed to maintain cell viability. Adp
FM1 produced 49.2 g/L of LA via in situ LA-extractive fed-batch fermentation,
which was ~ 1.4-fold higher than that without LA extraction. Adp FM1 provided a
total LA productivity of 0.512 g/L/h in 96 h. Among the tested strains, Adp FM1
exhibited the highest H+-ATPase activity and a 415-fold increase in H+-ATPase
gene expression compared to the parent strain. These results suggest that the in
situ LA extractive fermentation process will ease downstream processing and prove
to be a more economical and environmentally friendly option compared to the
present fermentation. To our knowledge, this is the first report on the
production of undissociated L-LA by Lactobacillus using an in situ recovery
process, with high LA production levels and productivity.
PMID- 29799087
TI - Comparative study of Salmonella enterica serovar Enteritidis genes expressed
within avian and murine macrophages via selective capture of transcribed
sequences (SCOTS).
AB - Salmonella enterica serovar Enteritidis (SE) is a communicable zoonotic
bacterium. Macrophages are essential for Salmonella survival, transmission, and
infection. In this study, selective capture of transcribed sequences (SCOTS) was
used to screen genes preferentially expressed by SE during contact with
macrophages from different hosts. We found 57 predicted genes and 52 genes
expressed by SE during interaction with avian HD-11 and murine RAW264.7 cells,
respectively. These expressed genes were involved in virulence, metabolism,
stress response, transport, regulation, and other functions. Although genes
related to survival or metabolic pathways were needed during SE infection,
different gene expression profiles of SE occurred in the two macrophage cell
lines. qRT-PCR results confirmed that most screened genes were upregulated during
infection in contrast to the observation during in vitro cultivation, with
different expression levels in infected avian macrophages at 2-h and 7-h post
infection. In addition, in vitro and in vivo competition assays confirmed that
SEN3610 (a putative deoR family regulator) and rfaQ (related to LPS synthesis)
were closely related to SE virulence in both mice and chickens. Three putative
transcriptional regulators, SEN2967, SEN4299, and rtcR, were related to SE
colonization in mice, while the ycaM mutation caused decreased infection and
survival of SE in HD-11 cells without influencing virulence in mice or chicken.
Genes showing differential expression between SE-infected avian and murine
macrophages indicate specific pathogen adaptation to enable infection of various
hosts.
PMID- 29799090
TI - Carbon flux to growth or polyhydroxyalkanoate synthesis under microaerophilic
conditions is affected by fatty acid chain-length in Pseudomonas putida LS46.
AB - Economical production of medium-chain length polyhydroxyalkanoates (mcl-PHA) is
dependent on efficient cultivation processes. This work describes growth and mcl
PHA synthesis characteristics of Pseudomonas putida LS46 when grown on medium
chain length fatty acids (octanoic acid) and lower-cost long-chain fatty acids
(LCFAs, derived from hydrolyzed canola oil) in microaerophilic environments.
Growth on octanoic acid ceased when the oxygen uptake rate was limited by the
oxygen transfer rate, and mcl-PHA accumulated to 61.9% of the cell dry mass. From
LCFAs, production of non-PHA cell mass continued at a rate of 0.36 g L-1 h-1
under oxygen-limited conditions, while mcl-PHA accumulated simultaneously to 31%
of the cell dry mass. The titer of non-PHA cell mass from LCFAs at 14 h post
inoculation was double that obtained from octanoic acid in bioreactors operated
with identical feeding and aeration conditions. While the productivity for
octanoic acid was higher by 14 h, prolonged cultivation on LCFAs achieved similar
productivity but with twice the PHA titer. Simultaneous co-feeding of each
substrate demonstrated the continued cell growth under microaerophilic conditions
characteristic of LCFAs, and the resulting polymer was dominant in C8 monomers.
Furthermore, co-feeding resulted in improved PHA titer and volumetric
productivity compared to either substrate individually. These results suggest
that LCFAs improve growth of P. putida in oxygen-limited environments and could
reduce production costs since more non-PHA cell mass, the cellular factories
required to produce mcl-PHA and the most oxygen-intensive cellular process, can
be produced for a given oxygen transfer rate.
PMID- 29799092
TI - How to never be wrong.
AB - Human beliefs have remarkable robustness in the face of disconfirmation. This
robustness is often explained as the product of heuristics or motivated
reasoning. However, robustness can also arise from purely rational principles
when the reasoner has recourse to ad hoc auxiliary hypotheses. Auxiliary
hypotheses primarily function as the linking assumptions connecting different
beliefs to one another and to observational data, but they can also function as a
"protective belt" that explains away disconfirmation by absorbing some of the
blame. The present article traces the role of auxiliary hypotheses from
philosophy of science to Bayesian models of cognition and a host of behavioral
phenomena, demonstrating their wide-ranging implications.
PMID- 29799091
TI - Systemic Therapy of Lung Cancer CNS Metastases Using Molecularly Targeted Agents
and Immune Checkpoint Inhibitors.
AB - Central nervous system (CNS) metastases most commonly arise from lung cancer,
with the majority of patients affected during their disease course. The prognosis
for patients with untreated brain metastases is poor, with surgical resection
and/or radiotherapy as classic therapeutic options. However, the value of
systemic therapy in the management of CNS metastases from lung cancer is growing.
Novel targeted agents for the treatment of non-small cell lung cancer (NSCLC)
have demonstrated activity in treating patients with CNS involvement, and are
potential alternatives to radiation and surgery. These agents include anaplastic
lymphoma kinase (ALK) inhibitors such as alectinib, crizotinib, ceritinib,
lorlatinib, and others; epidermal growth factor receptor (EGFR) tyrosine kinase
inhibitors, including the recently developed third-generation inhibitor
osimertinib, and even immune checkpoint inhibitors such as nivolumab,
pembrolizumab, and atezolizumab. This review summarizes current activity of
systemic agents in the management of CNS metastases from NSCLC, as well as
potential mechanisms of action of these small and large molecules.
PMID- 29799093
TI - Self-face advantage over familiar and unfamiliar faces: A three-level meta
analytic approach.
AB - Despite the increasing number of researchers interested in self-face, so far, no
study has summarized the behavioral findings that contribute to the debate on
self-face advantage. Most studies have focused on neural correlates of the self,
although functional uniqueness can also be considered an important criterion for
determining whether a stimulus is unique. The present meta-analysis
systematically compared reaction time (RT) responses for self-face with other
face identities across 54 studies. Different moderator factors were tested:
familiarity, identity, head angle, laterality, and culture. We used a three-level
meta-analytic approach, which is the best approach to account for the dependency
of effect sizes. Results showed a significant (Hedges's gav = -0.298) effect
size, indicating faster RT for self-face than for other faces in general. Except
for culture, none of the moderators employed significantly impacted on the main
effect. Regarding culture, results showed that participants from Western cultures
tend to respond faster to their own face than to other people's faces, while for
participants from Eastern cultures, the effect was not significant. In summary,
our results indicate that the self-face benefits from an advantage in terms of
reaction time and may be considered a unique stimulus. Implications and
limitations of the results are discussed.
PMID- 29799095
TI - Grading of carotid artery stenosis with computed tomography angiography: whether
to use the narrowest diameter or the cross-sectional area.
AB - OBJECTIVES: To compare the estimation of carotid artery stenosis by computed
tomography angiography (CTA) based on cross-sectional area versus the smallest
diameter measurement, and test the accuracy of both CTA measurements using color
Doppler ultrasonography (CDUS) as a reference method. METHODS: For 113 carotid
arteries with stenosis >=50% we analysed the differences in the estimated
stenosis level between both CTA methods and CDUS using the Bland-Altman approach.
Further, we calculated sensitivity, specificity and plotted receiver operating
characteristic (ROC) curves for both CTA methods. RESULTS: The mean difference
between CDUS and CTA (area) measurements was -0.4% (p = 0.68); between CDUS and
CTA (diameter), 20.7% (p < 0.001); and between CTA (area) and CTA (diameter),
21.2% (p < 0.001). Sensitivity and specificity for the CTA (area) method were 81%
and 77%, and for CTA (diameter) were 23% and 100%. The area under the curve (AUC)
for CTA (diameter) was 0.62 (0.57, 0.66), and for CTA (area) 0.79 (0.71-0.87).
The equality test for the two AUCs was <0.0001. CONCLUSIONS: CTA (diameter)-based
measurements significantly underestimated the degree of carotid stenosis. We
recommend the CTA (area) method because of its higher predictive power for a
correct stenosis classification and a lack of significant difference in the
estimated stenosis level, compared to CDUS. MAIN MESSAGES: * Cross-sectional area
measurement considers asymmetric shape of the residual vessel lumen. * CTA
(diameter) method on average significantly underestimates the true level of
stenosis. * CTA (area) method correctly classifies vessels needing surgical
intervention.
PMID- 29799096
TI - Avelumab: A Review in Metastatic Merkel Cell Carcinoma.
AB - Avelumab (Bavencio(r)) is a fully human IgG1 monoclonal antibody that is directed
against programmed cell death ligand 1 (PD-L1). Avelumab functions as an immune
checkpoint inhibitor and has recently been approved in the USA, the EU and Japan
for the treatment of metastatic Merkel cell carcinoma (MCC). It is thus the first
therapeutic agent specifically approved for use in this indication, and is
approved for use independent of line of treatment. Approval for avelumab in
metastatic MCC was based on the two-part, single-arm, phase II trial, JAVELIN
Merkel 200. In Part A of the study, confirmed objective responses were observed
in approximately one-third of patients with chemotherapy-refractory metastatic
MCC treated with avelumab. The responses were observed early and appeared to be
durable, with an estimated 74% of responses having a duration >= 12 months.
Furthermore, interim results from a separate cohort of patients (Part B) indicate
an objective response rate for avelumab of > 60% in patients who were
chemotherapy-naive in the metastatic disease setting. Avelumab is associated with
a risk of immune-related adverse events but, overall, has an acceptable and
manageable safety and tolerability profile. In conclusion, currently available
data suggest that avelumab presents a clinically beneficial new treatment option
for metastatic MCC, a rare but aggressive cancer associated with a poor
prognosis.
PMID- 29799094
TI - Pacing Decision Making in Sport and the Effects of Interpersonal Competition: A
Critical Review.
AB - An athlete's pacing strategy is widely recognised as an essential determinant for
performance during individual events. Previous research focussed on the
importance of internal bodily state feedback, revealed optimal pacing strategies
in time-trial exercise, and explored concepts such as teleoanticipation and
template formation. Recently, human-environment interactions have additionally
been emphasized as a crucial determinant for pacing, yet how they affect pacing
is not well understood. Therefore, this literature review focussed on exploring
one of the most important human-environment interactions in sport competitions:
the interaction among competitors. The existing literature regarding the
regulation of exercise intensity and the effect of competition on pacing and
performance is critically reviewed in this paper. The PubMed, CINAHL and Web of
Science electronic databases were searched for studies about pacing in sports and
(interpersonal) competition between January 2000 to October 2017, using the
following combination of terms: (1) Sports AND (2) Pacing, resulting in 75
included papers. The behaviour of opponents was shown to be an essential
determinant in the regulation of exercise intensity, based on both observational
(N = 59) and experimental (N = 16) studies. However, adjustment in the pacing
response related to other competitors appears to depend on the competitive
situation and the current internal state of the athlete. The findings of this
review emphasize the importance of what is happening around the athlete for the
outcome of the decision-making process involved in pacing, and highlight the
necessity to incorporate human-environment interactions into models that attempt
to explain the regulation of exercise intensity in sports and exercise.
PMID- 29799098
TI - The correlation between the Aquatic Decomposition Score (ADS) and the post-mortem
submersion interval measured in Accumulated Degree Days (ADD) in bodies recovered
from fresh water.
AB - The Aquatic Decomposition Score (ADS) made by van Daalen et al., was developed to
approximate the Post-Mortem Submersion Interval (PMSI) in bodies recovered in
salt water. Since the decomposition process in salt water differs from the
process in fresh water due to salinity, the temperature, and the depth of the
water, we wanted to investigate whether there is a correlation between the ADS
and the PMSI and if the ADS can be used to make an estimation of the PMSI in
bodies recovered from fresh water. For the latter, the PMSI was measured using
Accumulated Degree Days (ADD). In our study we included seventy-six human remains
found outdoors in fresh water. Their decomposition was measured using the ADS. A
strong correlation was found between the ADS and the PMSI. Also, it was found
that the ADS can significantly estimate the ADD. Despite the more varied
circumstances under which bodies in fresh water are found when compared to those
found in salt water, the ADS can be used to measure the decomposition and
accurately estimate the ADD, and thus the PMSI. More research is needed to
validate our method and make a prediction model with smaller confidence
intervals.
PMID- 29799097
TI - Cutaneous toxicities of new treatments for melanoma.
AB - New drugs against advanced melanoma have emerged during last decade. Target
therapy and immunotherapy have changed the management of patients with metastatic
disease. Along with its generalized use, drug toxicities have appeared and the
skin is the target organ of a significant part of them. This revision summarizes
the most common side effects and consensus management to improve the compliance
of therapies and patients' quality of life. Among the BRAF inhibitors, main
cutaneous side effects are photosensitivity, plantar hyperkeratosis, and the
appearance of verrucal keratosis or squamous cell carcinoma. Special attention
must be paid to the development of new primary melanomas or changes on nevi
during BRAF inhibitor therapy. The most common cutaneous side effects of
immunotherapy are rash, pruritus, and vitiligo. It remains controversial the
possible role of these toxicities as markers of response to therapy.
PMID- 29799099
TI - Co-crystal of Tramadol-Celecoxib in Patients with Moderate to Severe Acute Post
surgical Oral Pain: A Dose-Finding, Randomised, Double-Blind, Placebo- and Active
Controlled, Multicentre, Phase II Trial.
AB - BACKGROUND: Co-crystal of tramadol-celecoxib (CTC), containing equimolar
quantities of the active pharmaceutical ingredients (APIs) tramadol and celecoxib
(100 mg CTC = 44 mg rac-tramadol hydrochloride and 56 mg celecoxib), is a novel
API-API co-crystal for the treatment of pain. We aimed to establish the effective
dose of CTC for treating acute pain following oral surgery. METHODS: A dose
finding, double-blind, randomised, placebo- and active-controlled, multicentre
(nine Spanish hospitals), phase II study (EudraCT number: 2011-002778-21) was
performed in male and female patients aged >= 18 years experiencing moderate to
severe pain following extraction of two or more impacted third molars requiring
bone removal. Eligible patients were randomised via a computer-generated list to
receive one of six single-dose treatments (CTC 50, 100, 150, 200 mg; tramadol 100
mg; and placebo). The primary efficacy endpoint was the sum of pain intensity
difference (SPID) over 8 h assessed in the per-protocol population. RESULTS:
Between 10 February 2012 and 13 February 2013, 334 patients were randomised and
received study treatment: 50 mg (n = 55), 100 mg (n = 53), 150 mg (n = 57), or
200 mg (n = 57) of CTC, 100 mg tramadol (n = 58), or placebo (n = 54). CTC 100,
150, and 200 mg showed significantly higher efficacy compared with placebo and/or
tramadol in all measures: SPID (0-8 h) (mean [standard deviation]): - 90 (234), -
139 (227), - 173 (224), 71 (213), and 22 (228), respectively. The proportion of
patients experiencing treatment-emergent adverse events was lower in the 50
(12.7% [n = 7]), 100 (11.3% [n = 6]), and 150 (15.8% [n = 9]) mg CTC groups, and
similar in the 200 mg (29.8% [n = 17]) CTC group, compared with the tramadol
group (29.3% [n = 17]), with nausea, dizziness, and vomiting the most frequent
events. CONCLUSION: Significant improvement in the benefit-risk ratio was
observed for CTC (doses >= 100 mg) over tramadol and placebo in the treatment of
acute pain following oral surgery. FUNDING: Laboratorios del Dr. Esteve, S.A.U.
PMID- 29799100
TI - No QTc Prolongation with Semaglutide: A Thorough QT Study in Healthy Subjects.
AB - INTRODUCTION: Semaglutide is a glucagon-like peptide-1 (GLP-1) analog approved
for the once-weekly treatment of type 2 diabetes. The objective of this 16-week,
double-blind, single-center thorough QT study was to confirm that semaglutide
treatment does not prolong cardiac repolarization versus placebo. Prolongation of
the QT interval is a biomarker for ventricular tachyarrhythmia. METHODS: In a
parallel design, 168 healthy subjects were randomized to the treatment or placebo
arms, of whom 166 were treated with subcutaneous semaglutide (N = 83; escalated
to a supratherapeutic dose of 1.5 mg) or placebo (N = 83). The subjects (60%
males) had a mean age of 38.2 years and body mass index of 25.1 kg/m2. To assess
QT assay sensitivity, subjects in the placebo group received a single 400 mg
moxifloxacin dose as positive control, and placebo in a crossover fashion. The
primary endpoint was the time-matched change from baseline in QT interval
corrected individually for heart rate (DeltaQTcI), calculated from 11
electrocardiogram recordings from 0 to 48 h after the last 1.5 mg dose. Similar
assessments were made for the therapeutic 0.5 and 1.0 mg semaglutide dose levels.
RESULTS: No QTcI prolongation occurred with any semaglutide dose; the upper
limits of two-sided 90% confidence intervals of the placebo-subtracted DeltaQTcI
were < 10 ms at all doses and time points. Exposure-response analysis showed no
dependence of QTcI on semaglutide concentration. QT assay sensitivity was
confirmed. The semaglutide safety profile was similar to that of other GLP-1
receptor agonists. CONCLUSION: Based on investigations of QT/QTc, no concern with
regard to ventricular arrhythmias was raised as semaglutide did not prolong the
cardiac repolarization duration in healthy subjects. TRIAL REGISTRATION:
ClinicalTrials.gov identifier: NCT 02064348. FUNDING: Novo Nordisk.
PMID- 29799102
TI - Impact of Appointment Waiting Time on Attendance Rates at a Clinical Cancer
Genetics Service.
AB - The increase in demand for clinical cancer genetics services has impacted the
ability to provide services timeously. Given limited resources, this often
results in extended appointment waiting times. Over the last 3 years, the Cancer
Genetics Service at the National Cancer Centre Singapore has continued to
experience a steady increase in demand for its service. Nevertheless, significant
no-show rates have been reported. This study sought to determine whether an
association exists between appointment waiting times and attendance rates. Data
was gathered for all participants meeting inclusion criteria. Attendance rates
and appointment waiting times were calculated. The relationship between mean
waiting times for those who did and did not attend their scheduled appointments
was evaluated using Welch's t test and linear regression model. The results
showed a significant difference in mean appointment waiting times between
patients who did and did not attend (32.66 versus 43.50 days respectively; p <
0.0001). Furthermore, patients who waited for longer than 37 days were
significantly less likely to attend. No-show rates increased as the waiting time
increased, at a rate of 19.60% per 20 days and 21.40% per 30 days. In conclusion,
appointment waiting time is a significant predictor for patient attendance.
Strategies to ensure patients receive an appointment within the necessary
timeframe at the desired setting are important to ensure that individuals at
increased cancer risk attend their appointments in order to manage their cancer
risks effectively.
PMID- 29799103
TI - c.835-5T>G Variant in SMN1 Gene Causes Transcript Exclusion of Exon 7 and Spinal
Muscular Atrophy.
AB - Spinal muscular atrophy (SMA) is an autosomal recessive genetic disorder caused
by survival motor neuron (SMN) protein deficiency leading the loss of motor
neurons in the anterior horns of the spinal cord and brainstem. More than 95% of
SMA patients are attributed to the homozygous deletion of survival motor neuron 1
(SMN1) gene, and approximately 5% are caused by compound heterozygous with a SMN1
deletion and a subtle mutation. Here, we identified a rare variant c.835-5T>G in
intron 6 of SMN1 in a patient affected with type I SMA. We analyzed the
functional consequences of this mutation on mRNA splicing in vitro. After
transfecting pCI-SMN1, pCI-SMN2, and pCI-SMN1 c.835-5T>G minigenes into HEK293,
Neuro-2a, and SHSY5Y cells, reverse transcription polymerase chain reaction (RT
PCR) was performed to compare the splicing effects of these minigenes. Finally,
we found that this mutation resulted in the skipping of exon 7 in SMN1, which
confirmed the genetic diagnosis of SMA.
PMID- 29799101
TI - Mesenchymal Stem Cells: Miraculous Healers or Dormant Killers?
AB - Mesenchymal Stem Cells (MSCs) are a heterogeneous population of fibroblast-like
cells which maintain self-renewability and pluripotency to differentiate into
mesodermal cell lineages. The use of MSCs in clinical settings began with high
enthusiasm and the number of MSC-based clinical trials has been rising ever
since. However; the very unique characteristics of MSCs that made them suitable
to for therapeutic use, might give rise to unwanted outcomes, including tumor
formation and progression. In this paper, we present a model of carcinogenesis
initiated by MSCs, which chains together the tissue organization field theory,
the stem cell theory, and the inflammation-cancer chain. We believe that some
tissue resident stem cells could be leaked cells from bone marrow MSC pool to
various injured tissue, which consequently transform and integrate in the host
tissue. If the injury persists or chronic inflammation develops, as a consequence
of recurring exposure to growth factors, cytokines, etc. the newly formed tissue
from MSCs, which still has conserved their mesenchymal and stemness features, go
through rapid population expansion, and nullify their tumor suppressor genes, and
hence give rise to neoplastic cell (carcinomas, sarcomas, and carcino-sarcomas).
Considering the probability of this hypothesis being true, the clinical and
therapeutic use of MSCs should be with caution, and the recipients' long term
follow-up seems to be insightful.
PMID- 29799105
TI - The Effect of Spiritual Self-care Training on the Quality of Life of Mothers of
Preterm Infants: A Randomized Controlled Trial.
AB - The present study was carried out with the aim of determining the effect of
spiritual self-care training on the quality of life of mothers of preterm
infants. This trial was carried out on 60 mothers with premature infants. Mothers
were randomly divided into two intervention and control groups. Training for
increasing awareness about the condition of the infants was carried out in both
groups. In the intervention group, spiritual self-care training was also done.
Data were completed in both groups before, immediately after, and two weeks after
the intervention by using the standard WHO quality of life questionnaire. Data
were analysed using statistical tests, including ANOVA and Repeated Measures. The
results showed that the average quality of life of mothers under study was
significantly different at different points of measurement in the intervention
group (first, second, and third time-points) (P = 0.016). An increase was
observed in the intervention group at the second time-point compared to the first
time-point (84.2-88.4, P < 0.001) and in the third time-point compared to the
first time-point, (87.9), which was also statistically significant (P < 0.001).
According to the results of post hoc tests, there was a decrease in quality of
life in the control group at the second time-point compared to the first time
point and the average score of mothers decreased from 82.9 to 75.3, which was
statistically significant (P < 0.001). Spiritual self-care training can increase
the quality of life and can be used as a form of holistic nursing care for
mothers with premature infants in neonatal intensive care units.
PMID- 29799104
TI - Hispidulin Protects Against Focal Cerebral Ischemia Reperfusion Injury in Rats.
AB - Focal cerebral ischemia is associated with ischemia/reperfusion (I/R) injury.
Hispidulin is a flavonoid compound with a variety of pharmacological properties.
The neuroprotective effects of hispidulin have not been fully elucidated. Herein,
we demonstrated that pretreatment of animals with hispidulin improved the
neurological outcomes and decreased the infarct size and brain edema in the
cerebral focal I/R model. Mechanistically, we showed in vivo and in vitro that
hispidulin exerted a protective effect against I/R injury by inducing the Nrf2
antioxidant pathway through modulation of AMPK/GSK3beta signaling. Taken
together, our results suggest that hispidulin may be a useful neuroprotective
agent against ischemia/reperfusion (I/R) injury.
PMID- 29799106
TI - Sleeve Gastrectomy with Ligamentum Teres Cardiopexy.
PMID- 29799107
TI - Characterization of Self-Reported Dysphagia and Impact on Weight Outcomes After
Laparoscopic Sleeve Gastrectomy.
AB - INTRODUCTION/AIMS: There is paucity of literature examining dysphagia after
laparoscopic sleeve gastrectomy (LSG). Moreover, there are few validated scoring
systems for dysphagia that adequately assess its psychosocial impact. We aim to
investigate dysphagia after LSG using a multidimensional scale that examines its
functional and emotional impact. As secondary aims, we evaluated the impact of
dysphagia on weight outcomes and its relationship with pre-operative gastro
esophageal reflux disease (GERD) and proton pump inhibitor (PPI) use. METHODS:
One hundred twenty-four patients 1 year or more post-LSG were administered the
validated Dysphagia Handicap Index (DHI) questionnaire. To further delineate
esophageal dysphagia, three additional questions were added. One hundred one
patients (81.5%) responded. Physical, Emotional, Functional, and Esophageal
scales were analyzed combined and individually using a multivariate model.
RESULTS: No patients reported dysphagia pre-operatively. The median DHI scale
scores are the following: Physical-2 (0-20); Functional-4 (0-22); Emotional-2 (0
14); and Esophageal-2 (0-8). On multivariate analysis, DHI Emotional scores
significantly correlated with reduced total weight loss (%TWL) (p = 0.001) and
excess weight loss (%EWL) (p = 0.023). The physical symptoms of dysphagia did not
affect weight outcomes. Post-operatively, PPI usage increased significantly (15.8
vs 19.8%, p = 0.01) and correlated with higher dysphagia scores. CONCLUSION: A
statistically validated dysphagia-specific questionnaire was utilized to evaluate
the impact of LSG on dysphagia including its functional and emotional influences.
The psychosocial handicap of dysphagia significantly reduced weight loss
outcomes. A correlation between PPI use and dysphagia after LSG was found. The
prevalence of dysphagia after LSG and the factors that contribute to its symptoms
need to be further studied.
PMID- 29799108
TI - The intelligent OR: design and validation of a context-aware surgical working
environment.
AB - PURPOSE: Interoperability of medical devices based on standards starts to
establish in the operating room (OR). Devices share their data and control
functionalities. Yet, the OR technology rarely implements cooperative,
intelligent behavior, especially in terms of active cooperation with the OR team.
Technical context-awareness will be an essential feature of the next generation
of medical devices to address the increasing demands to clinicians in information
seeking, decision making, and human-machine interaction in complex surgical
working environments. METHODS: The paper describes the technical validation of an
intelligent surgical working environment for endoscopic ear-nose-throat surgery.
We briefly summarize the design of our framework for context-aware system's
behavior in integrated OR and present example realizations of novel assistance
functionalities. In a study on patient phantoms, twenty-four procedures were
implemented in the proposed intelligent surgical working environment based on
recordings of real interventions. Subsequently, the whole processing pipeline for
context-awareness from workflow recognition to the final system's behavior is
analyzed. RESULTS: Rule-based behavior that considers multiple perspectives on
the procedure can partially compensate recognition errors. A considerable
robustness could be achieved with a reasonable quality of the recognition.
Overall, reliable reactive as well as proactive behavior of the surgical working
environment can be implemented in the proposed environment. CONCLUSIONS: The
obtained validation results indicate the suitability of the overall approach. The
setup is a reliable starting point for a subsequent evaluation of the proposed
context-aware assistance. The major challenge for future work will be to
implement the complex approach in a cross-vendor setting.
PMID- 29799109
TI - Correction to: Temperature and Pressure Dependences of the Elastic Properties of
Tantalum Single Crystals Under <100> Tensile Loading: A Molecular Dynamics Study.
AB - In the original publication of this article [1] the third author was typesetted
by mistake.
PMID- 29799110
TI - A case of normal pressure hydrocephalus presenting as ventriculoperitoneal shunt
responsive dementia and choreodystonia.
PMID- 29799111
TI - Decreasing Use of Percutaneous Endoscopic Gastrostomy Tube Feeding in Japan.
AB - OBJECTIVES: To identify trends in percutaneous endoscopic gastrostomy (PEG) tube
placement and intravenous hyperalimentation (IVH) in nonhospital settings (as a
potential alternative to tube feeding for nutrition) and to summarize published
reports concerning the decision-making process for PEG placement. DESIGN:
National survey and systematic review. SETTING: Japan. PARTICIPANTS: All Japanese
people. MEASUREMENTS: Data on numbers of individuals with a PEG tube and IVH were
obtained from the website of the Japanese Ministry of Health, Labour, and Welfare
and published reports concerning the decision-making process for PEG placement in
Japan were summarized. RESULTS: The number of PEG tube placements peaked in 2007
and has been decreasing since Japan experienced the Great East Japan Earthquake
in 2011. A further decline was seen in 2015 after the Japanese Ministry of
Health, Labour and Welfare revised the fee schedule in 2014. More than half of
individuals who had tubes were aged 80 and older during the years observed. In
contrast, the number of individuals receiving IVH was lowest in the same year as
PEG tube placement peaked and has been increasing ever since. Four studies
reported that the decision-making process included consideration of not only the
underlying disease, but also the individual's age and social barriers and the
physician's personal philosophy. CONCLUSION: The number of PEG tube placements
has been decreasing since its peak in 2007, and the number of individuals
receiving IVH has been increasing. Many factors influence the decision-making
process for PEG tube placement. Physicians in Japan may be realizing that there
is little evidence to support the use of tube feeding in frail elderly adults.
PMID- 29799112
TI - Efficacy and Tolerability of Direct-Acting Antivirals for Hepatitis C in Older
Adults.
AB - OBJECTIVES: To evaluate the efficacy and tolerability of direct-acting antiviral
(DAA) therapy in individuals aged 65 and older. DESIGN: Retrospective review
between June 2014 and January 2017. SETTING: Viral hepatitis outpatient clinic.
PARTICIPANTS: Individuals aged 65 and older treated with DAA therapy for
hepatitis C virus (HCV) during the study period (N=113) divided into 2 cohorts:
aged 65 to 74 (n=88) and aged 75 and older (n=25). MEASUREMENTS: Drug-drug
interactions (DDIs), adverse events (AEs), and rates of sustained virologic
response with DAA therapy were assessed. RESULTS: Sustained virologic response
rate was 97.7% in individuals aged 65 to 74 and 95.8% in those aged 75 and older.
Individuals aged 75 and older were more likely to be taking more than 2
medications per day for chronic conditions (84% vs 62%, p=.02) and more likely to
have clinically significant DDIs necessitating cessation or adjustment of
medications before commencement of DAA therapy (80% vs 36%, p=.001). Moreover,
individuals aged 75 and older were more likely to experience an AE during therapy
(50% vs 26%, p=.03) and were more susceptible to developing anemia secondary to
ribavirin (60% vs 20%, p=.02). CONCLUSION: DAA therapy is highly efficacious for
the treatment of HCV in older adults, but those aged 75 and older are more likely
to have clinically significant pretreatment DDIs and experience AEs, including
ribavirin-induced anemia, during therapy.
PMID- 29799113
TI - Changes in sleep quality and levels of psychological distress during the
adaptation to university: The role of childhood adversity.
AB - Stress-related sleep disturbances are common, and poor sleep quality can
negatively affect health. Previous work indicates that early-life adversity is
associated with compromised sleep quality later in life, but it is unknown
whether it predicts greater declines in sleep quality during stressful life
transitions. We propose and test a conceptual model whereby individuals who
reported experiencing greater levels of child maltreatment would experience
greater psychological distress during a stressful life transition, which in turn
would contribute to greater declines in sleep quality, relative to their quality
of sleep before the stressful transition. Controlling for potential confounding
variables (e.g., age, gender), structural equation modelling demonstrated that
psychological distress experienced during a stressful transition (i.e., beginning
life at university) mediated the relationship between childhood emotional neglect
and changes in sleep quality. The hypothesized model demonstrated a good overall
fit to the data, chi 2 (15) = 17.69, p = .279, CFI = .99, TLI = .97, SRMR = .04,
RMSEA = .04 (90% CI <0.001-0.09). Emotional neglect (beta = .22) was positively
associated with psychological distress which in turn was positively associated
with poor sleep quality (beta = .31) during a stressful transition. Future
research should aim to understand the specific stressors in the university
environment that are most challenging to individuals who faced early-life
emotional maltreatment. These findings will help inform interventions to
facilitate adaptation to a new environment and improve sleep quality for these
university students.
PMID- 29799114
TI - Applying the phenotype approach for rosacea to practice and research.
AB - BACKGROUND: Rosacea diagnosis and classification have evolved since the 2002
National Rosacea Society expert panel subtype approach. Several working groups
are now aligned to a more patient-centric phenotype approach, based on an
individual's presenting signs and symptoms. However, subtyping is still
commonplace across the field and an integrated strategy is required to ensure
widespread progression to the phenotype approach. OBJECTIVES: To provide
practical recommendations that facilitate adoption of a phenotype approach across
the rosacea field. METHODS: A review of the literature and consolidation of
rosacea expert experience. RESULTS: We identify challenges to implementing a
phenotype approach in rosacea and offer practical recommendations to overcome
them across clinical practice, interventional research, epidemiological research
and basic science. CONCLUSIONS: These practical recommendations are intended to
indicate the next steps in the progression from subtyping to a phenotype approach
in rosacea, with the goals of improving our understanding of the disease,
facilitating treatment developments and ultimately improving care for patients
with rosacea.
PMID- 29799115
TI - Monkey viral pathology in the Sukhum colony and modeling human viral infections.
AB - The data characterizing spontaneous infections of Old World monkeys: measles,
poliomyelitis, hepatitis A (HPA), encephalomyocarditis, coronavirus infection,
simian hemorrhagic fever (SHF), are presented. The experimental infections were
reproduced with the isolated pathogens. On these models, pathogenesis and
epidemiology of these diseases were studied. The efficiency of poliomyelitis,
measles and HPA vaccines is shown. The priority of data on the discovery of
earlier unknown disease-SHF and "Sukhumi" virus-are emphasized. Several important
pathogenic mechanisms common for various hemorrhagic fevers were studied on
experimental SHF of macaques. This model is uniquely safe and adequate for the
assessment of therapy of hemorrhagic fevers dangerous for humans.
PMID- 29799118
TI - Is genetic drift to blame for testicular dysgenesis syndrome in Semliki
chimpanzees (Pan troglodytes schweinfurthii)?
AB - BACKGROUND: We present 3 likely cases of testicular dysgenesis syndrome (TDS)
within a community of chimpanzees (Pan troglodytes schweinfurthii). We tested
whether genetic drift may be the culprit, as a genetic cause has been suspected
to account for TDS among other wildlife. METHODS: We successfully sequenced a 367
bp segment spanning the first hypervariable region within the D-loop of the
mitochondrial genome for 78 DNA samples. RESULTS: We found 24 polymorphic
sequence sites consisting of 7 singletons and 17 parsimony informative sites.
This sample contained 9 haplotypes with a diversity index of 0.78 (SD = 0.03).
All tests against the null hypothesis of neutral polymorphisms were non
significant (P > .10). The mismatch distribution of pairwise differences does not
fit a Poisson's curve (raggedness index = 0.166; SSD = 0.12; P = 1). CONCLUSIONS:
Thus, we found no significant signs of genetic isolation, population expansion,
or genetic bottleneck. Alternative causes of TDS and how they might pertain to
this population are discussed.
PMID- 29799117
TI - Effect of a Sodium Alginate Coating Infused with Tea Polyphenols on the Quality
of Fresh Japanese Sea Bass (Lateolabrax japonicas) Fillets.
AB - : Sodium alginate (SA) and tea polyphenols (TP) are natural preservatives
commonly used in the food industry, including the production of fish products.
The effect of SA coating infused with TP on the quality of fresh Japanese sea
bass (Lateolabrax japonicas) fillets was evaluated over a 20-day period at 4
degrees C. SA (1.5%, w/v) or TP (0.5%, w/v) treatment alone, and the SA coating
infused with TP (SA-TP) all reduced microbial counts, with the SA-TP providing
the greatest effect. Fish fillet samples treated with SA-TP had significantly
lower levels of total volatile basic nitrogen, lipid oxidation, and protein
decomposition during the storage period, relative to the remaining treatments.
The samples treated with SA-TP had the highest sensory quality rating as well.
Collectively, sodium alginate coating infused with tea polyphenols may represent
a promising treatment for preservation of Japanese sea bass fillets during cold
storage. PRACTICAL APPLICATION: The sodium alginate-tea polyphenols composite
coating has strong potential to be used as a new biopreservative for maintaining
fish fillet quality.
PMID- 29799119
TI - Implementing Attachment and Biobehavioral Catch-Up with birth parents: Rationale
and case example.
AB - Attachment and Biobehavioral Catch-Up (ABC) is an intervention designed for
vulnerable children and their parents. This intervention enhances parental
sensitivity and nurturance with the goal of promoting secure, organized
attachments and strong self-regulatory capabilities among children. Here, we
provide a brief rationale for the need for such interventions to be delivered to
parent-child dyads in the child welfare system. Next, we review specific
intervention targets of ABC. We include a case example of two birth parents and
their daughter who became involved in Child Protective Services due to domestic
violence.
PMID- 29799116
TI - Evaluating predisposition and training in shaping the musician's brain: the need
for a developmental perspective.
AB - The study of music training as a model for structural plasticity has evolved
significantly over the past 15 years. Neuroimaging studies have identified
characteristic structural brain alterations in musicians compared to nonmusicians
in school-age children and adults, using primarily cross-sectional designs.
Despite this emerging evidence and advances in pediatric neuroimaging techniques,
hardly any studies have examined brain development in early childhood (before age
8) in association with musical training, and longitudinal studies starting in
infancy or preschool are particularly scarce. Consequently, it remains unclear
whether the characteristic "musician brain" is solely the result of musical
training, or whether certain predispositions may have an impact on its
development. Moving toward a developmental perspective, the present review
considers various factors that may contribute to early brain structure prior to
the onset of formal musical training. This review introduces a model for
potential neurobiological pathways leading to the characteristic "musician
brain," which involves a developmental interaction between predisposition and its
temporal dynamics, environmental experience, and training-induced plasticity.
This perspective illuminates the importance of studying the brain structure
associated with musical training through a developmental lens, and the need for
longitudinal studies in early childhood to advance our understanding of music
training-induced structural plasticity.
PMID- 29799120
TI - Transition from post-capillary pulmonary hypertension to combined pre- and post
capillary pulmonary hypertension in swine: a key role for endothelin.
AB - KEY POINTS: Passive, isolated post-capillary pulmonary hypertension (PH)
secondary to left heart disease may progress to combined pre- and post-capillary
or 'active' PH This 'activation' of post-capillary PH significantly increases
morbidity and mortality, and is still incompletely understood. In this study,
pulmonary vein banding gradually produced post-capillary PH with structural and
functional microvascular remodelling in swine. Ten weeks after banding, the
pulmonary endothelin pathway was upregulated, likely contributing to pre
capillary aspects in the initially isolated post-capillary PH. Inhibition of the
endothelin pathway could potentially stop the progression of early stage post
capillary PH. ABSTRACT: Passive, isolated post-capillary pulmonary hypertension
(IpcPH) secondary to left heart disease may progress to combined pre- and post
capillary or 'active' PH (CpcPH) characterized by chronic pulmonary vascular
constriction and remodelling. The mechanisms underlying this 'activation' of
passive pulmonary hypertension (PH) remain incompletely understood. Here we
investigated the role of the vasoconstrictor endothelin-1 (ET) in the progression
from IpcPH to CpcPH in a swine model for post-capillary PH. Swine underwent
pulmonary vein banding (PVB; n = 7) or sham-surgery (Sham; n = 6) and were
chronically instrumented 4 weeks later. Haemodynamics were assessed for 8 weeks,
at rest and during exercise, before and after administration of the ET receptor
antagonist tezosentan. After sacrifice, the pulmonary vasculature was
investigated by histology, RT-qPCR and myograph experiments. Pulmonary arterial
pressure and resistance increased significantly over time. mRNA expression of
prepro-endothelin-1 and endothelin converting enzyme-1 in the lung was increased,
while ETA expression was unchanged and ETB expression was downregulated. This was
associated with increased plasma ET levels from week 10 onward and a more
pronounced vasodilatation to in vivo administration of tezosentan at rest and
during exercise. Myograph experiments showed decreased endothelium-dependent
vasodilatation to Substance P and increased vasoconstriction to KCl in PVB swine
consistent with increased muscularization observed with histology. Moreover,
maximal vasoconstriction to ET was increased whereas ET sensitivity was
decreased. In conclusion, PVB swine gradually developed PH with structural and
functional vascular remodelling. From week 10 onward, the pulmonary ET pathway
was upregulated, likely contributing to pre-capillary activation of the initially
isolated post-capillary PH. Inhibition of the ET pathway could thus potentially
provide a pharmacotherapeutic target for early stage post-capillary PH.
PMID- 29799121
TI - Failure of bacterial screening to detect Staphylococcus aureus: the English
experience of donor follow-up.
AB - BACKGROUND AND OBJECTIVES: Between February 2011 and December 2016, over 1.6
million platelet units, 36% pooled platelets, underwent bacterial screening prior
to issue. Contamination rates for apheresis and pooled platelets were 0.02% and
0.07%, respectively. Staphylococcus aureus accounted for 21 contaminations,
including four pooled platelets, one confirmed transfusion-transmitted infection
(TTI) and three 'near-miss' incidents detected on visual inspection which were
negative on screening. We describe follow-up investigations of 16 donors for skin
carriage of S. aureus and molecular characterisation of donor and pack isolates.
MATERIALS AND METHODS: Units were screened by the BacT/ALERT 3D detection system.
Contributing donors were interviewed and consent requested for skin and nasal
swabbing. S. aureus isolates were referred for spa gene type and DNA
macrorestriction profile to determine identity between carriage strains and
packs. RESULTS: Donors of 10 apheresis and two pooled packs screen positive for
S. aureus were confirmed as the source of contamination; seven had a history of
skin conditions, predominantly eczema; 11 were nasal carriers. The 'near-miss'
incidents were associated with apheresis donors, two donors harboured strains
indistinguishable from the pack strain. The TTI was due to a screen-negative
pooled unit, and a nasal isolate of one donor was indistinguishable from that in
the unit. CONCLUSION: Staphylococcus aureus contamination is rare but potentially
harmful in platelet units. Donor isolates showed almost universal correspondence
in molecular type with pack isolates, thus confirming the source of
contamination. The importance of visual inspection of packs prior to transfusion
is underlined by the 'near-miss' incidents.
PMID- 29799122
TI - Do values explain the low employment levels of Muslim women around the world? A
within- and between-country analysis.
AB - Using worldwide data from the World Values Survey (WVS) gathered in 2010-2014, we
examine two distinct ways in which Islam may be associated with women's
employment. We show that, within their countries, Muslim women are less likely to
be employed than women of other religions. We also examine between-country
differences and find that, net of education and family statuses, the employment
levels of women living in countries that are 90-100 per cent Muslim are not
significantly different than those living in countries that are only 0-20 per
cent Muslim. Then we test a prevailing view: that Islam discourages gender
egalitarian values, and that these values - held by women themselves or people
around them - explain why Muslim women are less likely to be employed than women
of other religions within their own countries. Despite the rich measures of
values in the WVS and a large sample, we find no evidence that values explain any
of the lower employment of Muslim women, mainly because values have little or no
effect on women's employment. Thus, we conclude that most of the world's gap in
employment between Muslim women and other women is within-country and is not
explained by gender ideology. Future research should examine alternative
hypotheses, including ethno-religious discrimination.
PMID- 29799124
TI - Multiple vegetating plaques on a Cesarean section scar.
PMID- 29799123
TI - Current and Future Technologies for Microbiological Decontamination of Cereal
Grains.
AB - Cereal grains are the most important staple foods for mankind worldwide. The
constantly increasing annual production and yield is matched by demand for
cereals, which is expected to increase drastically along with the global
population growth. A critical food safety and quality issue is to minimize the
microbiological contamination of grains as it affects cereals both quantitatively
and qualitatively. Microorganisms present in cereals can affect the safety,
quality, and functional properties of grains. Some molds have the potential to
produce harmful mycotoxins and pose a serious health risk for consumers.
Therefore, it is essential to reduce cereal grain contamination to the minimum to
ensure safety both for human and animal consumption. Current production of
cereals relies heavily on pesticides input, however, numerous harmful effects on
human health and on the environment highlight the need for more sustainable pest
management and agricultural methods. This review evaluates microbiological risks,
as well as currently used and potential technologies for microbiological
decontamination of cereal grains.
PMID- 29799125
TI - Positive patch test reaction to carbamazepine after a very long delay.
PMID- 29799127
TI - Assessment of skin lesions produced by focused, tunable, mid-infrared
chalcogenide laser radiation.
AB - BACKGROUND: Traditionally, fractional laser treatments are performed with focused
laser sources operating at a fixed wavelength. Using a tunable laser in the mid
infrared wavelength range, wavelength-dependent absorption properties on the
ablation process and thermal damage formation were assessed with the goal to
obtain customizable tissue ablations to provide guidance in finding optimized
laser exposure parameters for clinical applications. METHODS: Laser tissue
experiments were carried out on full thickness ex vivo human abdominal skin using
a mid-infrared tunable chromium-doped zinc selenide/sulfide chalcogenide laser.
The laser has two independent channels: a continuous wave (CW) output channel
which covers a spectrum ranging from 2.4 MUm to 3.0 MUm with up to 9.2 W output
power, and a pulsed output channel which ranges from 2.35 MUm to 2.95 MUm. The
maximum pulse energy of the pulsed channel goes up to 2.8 mJ at 100 Hz to 1,000
Hz repetition rate with wavelength-dependent pulse durations of 4-7 ns. RESULTS:
Total ablation depth, ablation efficiency, and coagulation zone thickness were
highly correlated to wavelength, pulse width, and pulse energy. Using the same
total radiant exposure at 2.85 MUm wavelength resulted in 10-times smaller
coagulation zones and 5-times deeper ablation craters for one hundred 6 ns pulses
compared to one 100 ms pulse. For a fixed pulse duration of 6 ns and a total
radiant exposure of 2.25 kJ/cm2 the ablation depth increased with longer
wavelengths. CONCLUSION: The tunable laser system provides a useful research tool
to investigate specific laser parameters such as wavelength on lesion shape,
ablation depth and thermal tissue damage. It also allows for customization of the
characteristics of laser lesions and therefore facilitates the selection of
suitable laser parameters for optimized fractional laser treatments. Lasers Surg.
Med. 50:961-972, 2018.(c) 2018 Wiley Periodicals, Inc.
PMID- 29799128
TI - When hydroquinone meets methoxy radical: Hydrogen abstraction reaction from the
viewpoint of interacting quantum atoms.
AB - Interacting Quantum Atoms methodology is used for a detailed analysis of hydrogen
abstraction reaction from hydroquinone by methoxy radical. Two pathways are
analyzed, which differ in the orientation of the reactants at the corresponding
transition states. Although the discrepancy between the two barriers amounts to
only 2 kJ/mol, which implies that the two pathways are of comparable probability,
the extent of intra-atomic and inter-atomic energy changes differs considerably.
We thus demonstrated that Interacting Quantum Atoms procedure can be applied to
unravel distinct energy transfer routes in seemingly similar mechanisms.
Identification of energy components with the greatest contribution to the
variation of the overall energy (intra-atomic and inter-atomic terms that involve
hydroquinone's oxygen and the carbon atom covalently bound to it, the
transferring hydrogen and methoxy radical's oxygen), is performed using the
Relative energy gradient method. Additionally, the Interacting Quantum Fragments
approach shed light on the nature of dominant interactions among selected
fragments: both Coulomb and exchange-correlation contributions are of comparable
importance when considering interactions of the transferring hydrogen atom with
all other atoms, whereas the exchange-correlation term dominates interaction
between methoxy radical's methyl group and hydroquinone's aromatic ring. This
study represents one of the first applications of Interacting Quantum Fragments
approach on first order saddle points. (c) 2018 Wiley Periodicals, Inc.
PMID- 29799129
TI - JPEN Journal Club 35. Selective Data Presentation.
PMID- 29799126
TI - The combined influence of cognitions in adolescent depression: Biases of
interpretation, self-evaluation, and memory.
AB - OBJECTIVES: Depression is characterized by a range of systematic negative biases
in thinking and information processing. These biases are believed to play a
causal role in the aetiology and maintenance of depression, and it has been
proposed that the combined effect of cognitive biases may have greater impact on
depression than individual biases alone. Yet little is known about how these
biases interact during adolescence when onset is most common. METHODS: In this
study, adolescents were recruited from the community (n = 212) and from a Child
And Adolescent Mental Health Service (n = 84). Participants completed measures of
depressive symptoms, interpretation bias, self-evaluation, and recall memory.
These included the Mood and Feelings Questionnaire, Ambiguous Scenarios Test for
Depression in Adolescents, Self-Description Questionnaire, and an immediate
recall task. The clinically referred sample also took part in a formal diagnostic
interview. RESULTS: Individual cognitive biases were significantly
intercorrelated and associated with depression severity. The combination of
cognitive biases was a stronger predictor of depression severity than individual
biases alone, predicting 60% of the variance in depression severity across all
participants. There were two significant predictors, interpretation bias and
negative self-evaluation; however, almost all of the variance was explained by
negative self-evaluation. CONCLUSIONS: The findings support the interrelationship
and additive effect of biases in explaining depression and suggest that
understanding the way in which cognitive biases interact could be important in
advancing methods of identification, early intervention, and treatment.
PRACTITIONER POINTS: A combination of biases was a better predictor of depression
symptom severity than individual biases. Interpretation and self-evaluation were
better predictors of depression symptom severity than recall. Negative self
evaluation was the strongest individual predictor of depression symptom severity.
Negative self-evaluation was able to classify depressed from non-depressed
adolescents. The cross-sectional design of the study precludes any conclusions
about the potential causal role of these variables. Different tasks were used to
assess different types of cognitive bias meaning that the possible linear
operation along an information processing 'pathway' could not be examined.
PMID- 29799130
TI - A preclinical model to investigate the role of surgically-induced inflammation in
tumor responses to intraoperative photodynamic therapy.
AB - OBJECTIVE: Inflammation is a well-known consequence of surgery. Although surgical
debulking of tumor is beneficial to patients, the onset of inflammation in
injured tissue may impede the success of adjuvant therapies. One marker for
postoperative inflammation is IL-6, which is released as a consequence of
surgical injuries. IL-6 is predictive of response to many cancer therapies, and
it is linked to various molecular and cellular resistance mechanisms. The purpose
of this study was to establish a murine model by which therapeutic responses to
photodynamic therapy (PDT) can be studied in the context of surgical
inflammation. MATERIALS AND METHODS: Murine models with AB12 mesothelioma tumors
were treated with either surgical resection or sham surgery with tumor incision
but no resection. The timing and extent of IL-6 release in the tumor and/or serum
was measured using enzyme-linked immunosorbent assay (ELISA) and compared to that
measured in the serum of 27 consecutive, prospectively enrolled patients with
malignant pleural mesothelioma (MPM) who underwent macroscopic complete resection
(MCR). RESULTS: MPM patients showed a significant increase in IL-6 at the time
MCR was completed. Similarly, IL-6 increased in the tumor and serum of mice
treated with surgical resections. However, investigations that combine resection
with another therapy make it necessary to grow tumors for resection to a larger
volume than those that receive secondary therapy alone. As the larger size may
alter tumor biology independent of the effects of surgical injury, we assessed
the tumor incision model. In this model, tumor levels of IL-6 significantly
increased after tumor incision. CONCLUSION: The tumor incision model induces IL-6
release as is seen in the surgical setting, yet it avoids the limitations of
surgical resection models. Potential mechanisms by which surgical induction of
inflammation and IL-6 could alter the nature and efficacy of tumor response to
PDT are reviewed. These include a wide spectrum of molecular and cellular
mechanisms through which surgically-induced IL-6 could change the effectiveness
of therapies that are combined with surgery. The tumor incision model can be
employed for novel investigations of the effects of surgically-induced, acute
inflammation on therapeutic response to PDT (or potentially other therapies).
Lasers Surg. Med. 50:440-450, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29799131
TI - Longitudinal reference ranges for serial measurements of myocardial performance
index (MPI) by conventional and pulsed-wave tissue Doppler in monochorionic
diamniotic twins at 17 to 26 weeks of gestation.
AB - OBJECTIVE: To describe the longitudinal changes of fetal myocardial performance
index (MPI) measured by conventional Doppler (MPI) and by pulsed-wave tissue
Doppler (MPI') based on a prospective cohort of uncomplicated monochorionic
diamniotic twin. METHOD: Single-center observational study. We measured MPI and
MPI' in the right and left ventricles 3 times between 17 and 26 weeks of
gestation. Second-degree fractional polynomials were built to obtain the best
fitting curves in relation to gestational age (weeks) for each parameter.
Gestational age-specific reference values were estimated by using two-level
hierarchical models. RESULTS: Eighty-three uncomplicated monochorionic
pregnancies were included in our study with a total of 249 observations. Fetal
cardiac function was measured as MPI RV and LV, MPI' RV and LV at a mean
gestational age of 18+3 (range 17+1 to 19+2 ), 22+3 (21+1 to 23+5 ), and 24+3
(22+6 to 26+2 ) weeks. The reference ranges for MPI LV, MPI RV, MPI' LV, and MPI'
RV at 17 to 26 weeks were constructed and conditional percentiles calculated.
CONCLUSION: The present study provides additional data on fetal cardiac function
in uncomplicated monochorionic diamniotic twin gestations, describing the
evolution of MPI and MPI' in both ventricles in uncomplicated monochorionic
diamniotic pregnancies.
PMID- 29799132
TI - Insights into geometries, stabilities, electronic structures, reactivity
descriptors, and magnetic properties of bimetallic Nim Cun-m (m = 1, 2; n = 3-13)
clusters: Comparison with pure copper clusters.
AB - A long-range corrected density functional theory (LC-DFT) was applied to study
the geometric structures, relative stabilities, electronic structures, reactivity
descriptors and magnetic properties of the bimetallic NiCun-1 and Ni2 Cun-2 (n =
3-13) clusters, obtained by doping one or two Ni atoms to the lowest energy
structures of Cun , followed by geometry optimizations. The optimized geometries
revealed that the lowest energy structures of the NiCun-1 and Ni2 Cun-2 clusters
favor the Ni atom(s) situated at the most highly coordinated position of the host
copper clusters. The averaged binding energy, the fragmentation energies and the
second-order energy differences signified that the Ni doped clusters can continue
to gain an energy during the growth process. The electronic structures revealed
that the highest occupied molecular orbital and the lowest unoccupied molecular
orbital energies of the LC-DFT are reliable and can be used to predict the
vertical ionization potential and the vertical electron affinity of the systems.
The reactivity descriptors such as the chemical potential, chemical hardness and
electrophilic power, and the reactivity principle such as the minimum
polarizability principle are operative for characterizing and rationalizing the
electronic structures of these clusters. Moreover, doping of Ni atoms into the
copper clusters carry most of the total spin magnetic moment. (c) 2018 Wiley
Periodicals, Inc.
PMID- 29799133
TI - Open-tubular capillary electrochromatographic determination of ten sulfonamides
in tap water and milk by a metal-organic framework-coated capillary column.
AB - In this study, a metal-organic framework (MOF), [Mn(cam)(bpy)], was synthesized
and characterized by thermogravimetric analysis, scanning electron microscopy,
and Fourier transform infrared spectrometry. An open-tubular capillary column was
fabricated from [Mn(cam)(bpy)] via the amide coupling method. Ten types of
sulfonamides were separated through the fabricated capillary column, which showed
a good limits of detection (<0.07 MUg/mL) and linear ranges (1-100 or 5-100
MUg/mL) with a high correlation coefficients (R2 > 0.9987). The intra-day, inter
day and column-to-column relative standard deviations (RSDs) in the migration
times ranged from 0.44 to 4.87%, and the peak area RSDs ranged from 0.80 to
7.28%. The developed capillary electrochromatography method can be successfully
utilized for the determination of sulfonamides in tap water and milk samples.
PMID- 29799134
TI - OCT-based angiography of human dermal microvascular reactions to local stimuli:
Implications for increasing capillary blood collection volumes.
AB - OBJECTIVES: To measure and compare microvascular responses within the skin of the
upper arm to local stimuli, such as heating or rubbing, through the use of
optical coherence tomography angiography (OCTA), and to investigate its impact on
blood volume collection. MATERIALS AND METHODS: With the use of heat packs or
rubbing, local stimulation was applied to the skin of either the left or right
upper arm. Data from the stimulated sites were obtained using OCTA comparing pre-
and post-stimulation microvascular parameters, such as vessel density, mean
vessel diameter, and mean avascular pore size. Additionally, blood was collected
using a newly designed collection device and volume was recorded to evaluate the
effect of the skin stimulation. RESULTS: Nineteen subjects were recruited for
local stimulation study (including rubbing and heating) and 21 subjects for blood
drawn study. Of these subjects, 14 agreed to participate in both studies. OCTA
was successful in monitoring and measuring minute changes in the microvasculature
of the stimulated skin. Compared to baseline, significant changes after local
heating and rubbing were respectively found in vessel density (16% [P = 0.0004]
and 33% [P < 0.0001] increase), mean vessel diameter (14% and 11% increase) and
mean avascular pore size (5% [P = 0.0068] and 8% [P = 0.0005] decrease) after
stimulations. A gradual recovery was recorded for each parameter, with no
difference being measured after 30 minutes. Blood collection volumes
significantly increased after stimulations of heating (48% increase; P = 0.049)
and rubbing (78% increase; P = 0.048). Significant correlations were found
between blood volume and microvascular parameters except mean avascular pore size
under the heating condition. CONCLUSIONS: OCTA can provide important information
regarding microvascular adaptations to local stimuli. With that, both heating and
rubbing of the skin have positive effects on blood collection capacity, with
rubbing having the most significant effect. Lasers Surg. Med. 50:908-916, 2018.
(c) 2018 Wiley Periodicals, Inc.
PMID- 29799136
TI - Nitrogen Balance During Venovenous Extracorporeal Membrane Oxygenation Support:
Preliminary Results of a Prospective, Observational Study.
AB - BACKGROUND: Current literature is insufficient to support specific guidelines for
estimating nutrition needs during extracorporeal membrane oxygenation (ECMO). The
purpose of this single-center observational study was to investigate protein
catabolism during venovenous (VV) ECMO support and assess whether current
nutrition recommendations were adequate. METHODS: All patients admitted to the
Lung Rescue Unit between November 2016 and June 2017 were screened for
eligibility. Patients with a documented nitrogen balance (NB) study were included
in the data set. NB results were excluded for a change in blood urea nitrogen
>=10 mg/dL during the urine collection or unquantified nitrogen losses.
Demographics, ECMO-specific data, NB, nutrition prescription, and infusion were
recorded in a prospective, observational manner. RESULTS: After exclusions, 25 NB
results in 16 patients were included for analysis. Nonobese (body mass index
[BMI] ? 30 kg/m2 ) and obese (BMI >= 30 kg/m2 ) patients received 85% and 84% of
their prescribed protein, respectively. Nonobese patients had a mean NB of -1.7
+/- 5.7, whereas obese patients had a mean NB of -11.5 +/- 9.6. Obese patients
displayed significantly higher urine urea nitrogen (26.7 +/- 7.7 vs 13.5 +/- 4.3;
P = .00004). CONCLUSIONS: These preliminary findings suggest that current
guidelines for estimating protein needs in critically ill patients may be
adequate for nonobese patients receiving VV ECMO. However, current protein
recommendations for critically ill obese patients may not be adequate during VV
ECMO support, possibly related to significantly higher rates of catabolism.
Future studies with a larger cohort of patients are needed to confirm these
results.
PMID- 29799137
TI - Cerebral edema induced by laser interstitial thermal therapy and radiotherapy in
close succession in patients with brain tumor.
AB - OBJECTIVE: Laser interstitial thermal therapy (LITT) is an image-guided technique
that uses high temperature to ablate pathological tissue. Brain tumor patients
undergoing LITT may also undergo radiation therapy (RT) either before or after
LITT. Both procedures have been reported to increase cerebral edema and thereby
the two treatments in close succession may worsen existing edema that can be
difficult to control. The purpose of our study was to determine the frequency of
increased and/or symptomatic cerebral edema after combined LITT and RT, the
radiographic and clinical signs of this cerebral edema, and the treatment
required. MATERIALS AND METHODS: This is a single center, retrospective study of
patients who underwent LITT and RT less than 60 days apart. Brain Magnetic
Resonance Imaging (MRI) and clinical information were reviewed at three time
points (pre-treatment, post-LITT, and post-RT). RESULTS: The study cohort
comprised eight patients: six with glioblastoma, one with anaplastic astrocytoma,
and one with metastasis. Pre-treatment MRI showed cerebral edema in seven
patients. Post-LITT MRI showed worsening cerebral edema in three patients, of
which one was symptomatic. Post-RT MRI showed worsening cerebral edema in one
patient. One patient who received RT before LITT had asymptomatic cerebral edema
post-RT that improved post-LITT. Three patients required prolonged steroid
therapy (>65 days), while two patients required bevacizumab for steroid
refractory edema. CONCLUSIONS: LITT and RT treatment in close succession can
induce cerebral edema, which can usually be managed successfully with steroids,
although the treatment period may be prolonged. A minority of patients may
require more aggressive treatment, such as bevacizumab. Lasers Surg. Med. 50:917
923, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29799135
TI - A Standardized Telephone Intervention Algorithm Improves the Survival of
Ventricular Assist Device Outpatients.
AB - Ventricular assist devices (VADs) are an established therapeutic option for
patients with chronic heart failure. Continuous monitoring of VAD parameters and
their adherence to guidelines are crucial to detect problems in an early stage to
optimize outcomes. A telephone intervention algorithm for VAD outpatients was
developed, clinically implemented and evaluated. During the phone calls, a
structured inquiry of pump parameters, alarms, blood pressure, INR, body weight
and temperature, exit-site status and heart failure symptoms was performed and
electronically categorized by an algorithm into 5 levels of severity. VAD
outpatient outcomes without (n = 71) and with bi-weekly telephone interviews in
their usual care (n = 25) were conducted using proportional hazard Cox
regression, with risk adjustment based on a propensity score model computed from
demographics and risk factors. From February 2015 through October 2017, 25
patients (n = 3 HeartMate II, n = 4 HeartMate 3 and n = 18 HeartWare HVAD)
underwent 637 telephone interventions. In 57.5% of the calls no problems were
identified, 3.9% were recalled on the next day because of alarms. In 26.5% (n =
169), the VAD Coordinator had to refer to the physician due to elevated blood
pressure (n = 125, >85 mm Hg), INR < 2.0 or > 4.0 (n = 24) or edema (n = 10),
11.9% of the calls led to a follow-up because of equipment or exit-site problems.
Propensity-adjusted 2-year survival (89% vs. 57%, P = 0.027) was significantly
higher for the telephone intervention group. Continuous, standardized
communication with VAD outpatients is important for early detection of upcoming
problems and leads to significantly improved survival.
PMID- 29799138
TI - A new multivariate zero-adjusted Poisson model with applications to biomedicine.
AB - Recently, although advances were made on modeling multivariate count data,
existing models really has several limitations: (i) The multivariate Poisson log
normal model (Aitchison and Ho, ) cannot be used to fit multivariate count data
with excess zero-vectors; (ii) The multivariate zero-inflated Poisson (ZIP)
distribution (Li et al., 1999) cannot be used to model zero-truncated/deflated
count data and it is difficult to apply to high-dimensional cases; (iii) The Type
I multivariate zero-adjusted Poisson (ZAP) distribution (Tian et al., 2017) could
only model multivariate count data with a special correlation structure for
random components that are all positive or negative. In this paper, we first
introduce a new multivariate ZAP distribution, based on a multivariate Poisson
distribution, which allows the correlations between components with a more
flexible dependency structure, that is some of the correlation coefficients could
be positive while others could be negative. We then develop its important
distributional properties, and provide efficient statistical inference methods
for multivariate ZAP model with or without covariates. Two real data examples in
biomedicine are used to illustrate the proposed methods.
PMID- 29799140
TI - Enantioselective Total Synthesis of Blennolide H and Phomopsis-H76 A and
Determination of Their Structure.
AB - This work reports on the enantioselective total synthesis of the two dimeric
natural chromanone lactones phomopsis-H76 A (5) and blennolide H (6). Both
syntheses could be achieved from chromane 11, which was obtained by an
enantioselective Wacker-type cyclization with >99 % ee. The dimerization of the
corresponding monomers was performed using a palladium-catalyzed Suzuki reaction.
Moreover, within this work it was possible to revise the absolute configuration
of phomopsis-H76 A and determine the relative as well as absolute configuration
of blennolide H.
PMID- 29799139
TI - Rhabdomyosarcoma and rhabdomyoma associated with nevoid basal cell carcinoma
syndrome: Local treatment strategy.
AB - This article presents the case of a child presenting with a rhabdomyosarcoma
associated with a fetal rhabdomyoma in the setting of nevoid basal cell carcinoma
syndrome. Oncologic strategy is discussed.
PMID- 29799141
TI - A novel mutation in the DYSF gene in a patient with a presumed inflammatory
myopathy.
AB - Dysferlinopathy, a progressive muscular dystrophy, results from mutations in the
Dysferlin gene (DYSF, MIM*603009). Traditional diagnosis relies on the reduction
or absence of dysferlin. However, altered dysferlin has been observed in other
myopathies, leading to a precise diagnosis through molecular genetics. In this
study, we report a patient who was previously misdiagnosed as inflammatory
myopathy based on routine clinicopathological examinations alone. However, muscle
biopsy specimens were analyzed further by immunohistochemistry of muscular
dystrophy-related proteins, and gene-targeted next generation sequencing (NGS)
was used to correctly identify muscular dystrophy. DNA was sequenced with NGS and
the detected mutation was verified by Sanger sequencing. Our targeted NGS found a
novel missense mutation (c.5392G > A) in the DYSF gene, allowing correct
diagnosis of LGMD2B in our patient. We discovered of a novel missense mutation in
the DYSF gene and have broadened the DYSF mutation spectrum, which may be
correlated in patients with presumed dysferlinopathy, especially when lymphocytic
infiltration is observed.
PMID- 29799142
TI - Different perspectives on the key challenges facing rural health: The challenges
of power and knowledge.
AB - OBJECTIVE: To examine the effects of dominant knowledge in rural health,
including how they shape issues central to rural health. In particular, this
article examines the roles of: (i) deficit knowledge of rural health workforce;
(ii) dominant portrayals of generalism; and (iii) perceptions of inferiority
about rural communities in maintaining health disparities between rural- and
metropolitan-based Australians. DESIGN: A Foucauldian framework is applied to
literature, evidence, case studies and key messages in rural health. Three
scenarios are used to provide practical examples of specific knowledge that is
prioritised or marginalised. RESULTS: The analysis of three areas in rural health
identifies how deficit knowledge is privileged despite it undermining the purpose
of rural health. First, deficit knowledge highlights the workforce shortage
rather than the type of work in rural practice or the oversupply of workforce in
metropolitan areas. Second, the construction of generalist practice as less
skilled and more monotonous undermines other knowledge that it is diverse and
challenging. Third, dominant negative stereotypes of rural communities discourage
rural careers and highlight undesirable aspects of rural practice. CONCLUSION:
The privileging of deficit knowledge pertaining to rural health workforce,
broader dominant discourses of generalism and the nature of rural Australian
communities reproduces many of the key challenges in rural health today,
including persisting health disparities between rural- and metropolitan-based
Australians. To disrupt the operations of power that highlight deficit knowledge
and undermine other knowledge, we need to change the way in which rural health is
currently constructed and understood.
PMID- 29799144
TI - The ability of prior urinary cultures results to predict future culture results
in neurogenic bladder patients.
AB - AIMS: To determine if previous urinary cultures can predict the organism and
susceptibility of subsequent urinary cultures in patients with neurogenic bladder
dysfunction. METHODS: We retrospectively identified a sample of neurogenic
bladder patients from a tertiary care urology clinic (July 2015-July 2016). We
reviewed the patient chart, and then used the electronic laboratory record to
identify all urine cultures done in the 2 years prior. We identified sequential
culture pairs and determined the concordance of the initial culture organism to
the subsequent one and similarly the concordance of the initial culture's
antibiotic resistance status to the subsequent culture's one. RESULTS: We
identified 146 people with neurogenic bladder (mostly due to spinal cord injury
[n = 61], multiple sclerosis [n = 26], or spina bifida [n = 25]). These
individuals used primarily intermittent catheterization (n = 69, 47%) spontaneous
voiding (n = 59, 40%), or indwelling foley catheter (n = 31, 21%). During the
previous 2-years, 81 participants had at least two positive urine cultures and a
total of 479 cultures could be examined for organism/susceptibility concordance.
There was 56% concordance of bacterial species between subsequent urine cultures,
and this decreased significantly with increasing time between cultures (P =
0.02). Antibiotic susceptibility concordance was high for ciprofloxacin (77%),
nitrofurantoin (79%), and trimethoprim-sulfamethoxazole (75%), with no
significant change with increasing time between cultures (P > 0.90). CONCLUSIONS:
Previous positive urine cultures can provide valuable information regarding
future organism and antibiotic susceptibility in individuals with neurogenic
bladder. The practise of reviewing the previous urine culture when selecting
empiric therapy is likely an effective practise in this population.
PMID- 29799143
TI - Superelastic Multimaterial Electronic and Photonic Fibers and Devices via Thermal
Drawing.
AB - Electronic and photonic fiber devices that can sustain large elastic deformation
are becoming key components in a variety of fields ranging from healthcare to
robotics and wearable devices. The fabrication of highly elastic and functional
fibers remains however challenging, which is limiting their technological
developments. Simple and scalable fiber-processing techniques to continuously
codraw different materials within a polymeric structure constitute an ideal
platform to realize functional fibers and devices. Despite decades of research
however, elastomeric materials with the proper rheological attributes for
multimaterial fiber processing cannot be identified. Here, the thermal drawing of
hundreds-of-meters long multimaterial optical and electronic fibers and devices
that can sustain up to 500% elastic deformation is demonstrated. From a
rheological and microstructure analysis, thermoplastic elastomers that can be
thermally drawn at high viscosities (above 103 Pa s), allowing the encapsulation
of a variety of microstructured, soft, and rigid materials are identified. Using
this scalable approach, fiber devices combining high performance, extreme
elasticity, and unprecedented functionalities, allowing novel applications in
smart textiles, robotics, or medical implants, are demonstrated.
PMID- 29799145
TI - Work settings of the first seven cohorts of James Cook University Bachelor of
Medicine, Bachelor of Surgery graduates: Meeting a social accountability mandate
through contribution to the public sector and Indigenous health services.
AB - OBJECTIVE: The James Cook University medical school's mission is to produce a
workforce appropriate for the health needs of northern Australia. DESIGN, SETTING
AND PARTICIPANTS: James Cook University medical graduate data were obtained via
cross-sectional survey of 180 early-career James Cook University medical
graduates from 2005-2011 (response rate of 180/298 contactable graduates = 60%).
Australian medical practitioner data for 2005-2009 graduates were obtained via
the 2015 'Medicine in Australia: Balancing Employment and Life' wave 8 dataset.
MAIN OUTCOME MEASURE: Comparison of the range of work settings and hours worked
by James Cook University medical graduates to Australian medical graduates.
RESULTS: Compared to a similar group of Australian medical graduates, James Cook
University Bachelor of Medicine, Bachelor of Surgery graduates are significantly
more likely to work in government-funded 'public' organisations (hospitals,
community health centres, Aboriginal Community Controlled Health Services,
government departments, agencies or defence forces). In particular, James Cook
University medical graduates were more likely to work in Aboriginal Community
Controlled Health Services and community health centres and other state-run
primary health care organisations than other Australian medical graduates.
CONCLUSION: James Cook University medical graduates appear to work in a higher
proportion of public settings; in particular, primary care settings, than
Australian medical graduates. This is an appropriate mix for the predominantly
rural and remote geography of Queensland and its associated medical workforce
priorities. Reporting medical graduate outcomes by their nature of practice could
be an important adjunct to other measures, such as geographic location and choice
of specialty.
PMID- 29799146
TI - Robert R. Myers: In Memoriam (April 15, 1946-April 19, 2018).
PMID- 29799147
TI - Immune modulating therapy: An effective add-on intervention for psychosis of anti
N-methyl-d-aspartate receptor encephalitis in Taiwan.
PMID- 29799148
TI - Melatonin for preventing primary headache: A systematic review.
AB - BACKGROUND: The aim of this study was to assess the effectiveness and safety of
melatonin for primary headache. METHODS: This systematic review following the
Cochrane Handbook for Systematic Reviews of Interventions recommendations and
PRISMA Statement. RESULTS: Four randomized controlled trials were included (351
participants). According to the GRADE approach the quality of evidence was very
low. The use of melatonin for migraine showed that (i) reduced the number of days
with pain and the analgesic consumption when compared with placebo, (ii) no
benefits on headache intensity, number of headache days and analgesics
consumption when compared with amitriptyline, (iii) reduced the number of
analgesic consumption, the attack frequency and the headache intensity when
associated with propranolol plus nortriptyline vs placebo plus propranolol plus
nortriptyline, and (iv) no difference for any of the interest outcomes when
associated with propranolol plus nortriptyline vs sodium valproate plus
propranolol plus nortriptyline. The use of melatonin for cluster headache when
compared with placebo showed a reduction in the daily number of analgesic
consumption and no difference in the number of daily attacks. Adverse events were
poorly reported by all of the studies. CONCLUSION: This review found that so far
there are few clinical trials, with poor methodological quality about melatonin
for primary headaches. The available evidence is not sufficient to support the
use of melatonin in clinical practice for this population. Further research is
still necessary for assess its effects (benefits and harms) for primary headaches
patients. Number of Protocol registration in PROSPERO database: CRD42017067105
(available at
https://www.crd.york.ac.uk/PROSPERO/display_record.asp?ID=CRD42017067105) .
PMID- 29799149
TI - Physical functioning and psychological morbidity among regional and rural cancer
survivors: A report from a regional cancer centre.
AB - OBJECTIVE: To identify factors associated with psychosocial, physical and
practical difficulties of daily living and distress among cancer survivors from a
regional area in Australia. DESIGN: Cross-sectional study. SETTING: Riverina
region of southern New South Wales. PARTICIPANTS: The sample included 134
patients who completed treatment for breast, colorectal, lung or cancer at the
Riverina Cancer Care Centre. MAIN OUTCOME MEASURES: Distress was assessed by the
Distress Thermometer. Psychosocial, physical and practical difficulties of daily
living were assessed by the Patient-Reported Outcomes Measurement Information
System questionnaires. RESULTS: A high proportion of cancer survivors had
abnormal scores for physical function, sleep disturbance, satisfaction with role,
fatigue and pain interference, with many also displaying abnormal scores for
anxiety, depression and distress. Survivors living in rural areas and those who
had undergone surgery had higher odds of having abnormal scores for sleep
disturbance than their counterparts. Living without a partner increased the odds
of anxiety and depression. Having advanced disease increased the odds of anxiety
and pain. Colorectal cancer and higher education were associated with depression.
CONCLUSION: Monitoring for abnormal physical and psychosocial issues after cancer
treatment is essential to maintain or improve psychosocial well-being during
survivorship. When developing survivorship care plans for patients residing in
regional centres, health professionals should consider availability of high
quality and accessible support services in regional areas of Australia.
PMID- 29799150
TI - Action of antimicrobial peptides and their prodrugs on model and biological
membranes.
AB - Antimicrobial peptides (AMPs) are promising broad-spectrum antibiotic candidates
in the wake of multi-drug resistant pathogens. Their clinical use still requires
a solution based on lead optimisation and/or formulation to overcome certain
limitations, such as unwanted cytotoxicity. A prodrug approach could overcome
this safety barrier and can be achieved through reversible reduction or
neutralisation of the AMPs' net cationic charge. By prodrug activation through
pathogen associated enzymes, this approach could increase the therapeutic index
of membrane active peptides. P18, a cecropin/magainin hybrid, and WMR, a
myxinidin analogue from hagfish, were used as templates for the design strategy.
The membrane permeabilizing activities of these AMPs and their prodrugs are
reported here for liposomes of either Escherichia coli polar lipid extract or a
human model lipid system of phosphatidylcholine and cholesterol. These results
are compared with their antibacterial and haemolytic activities. Overall,
correlation between liposome permeabilization and the corresponding bioactivity
is observed and indicate that the broad-spectrum antibacterial effect exerted by
these peptides is associated with membrane disruption. Furthermore, the prodrug
modification had a general negative influence on membrane disruption and
bioactivity, notably as much on bacterial as on human membranes. This prodrug
strategy is particularly successful when complete neutralisation of the AMP's net
charge occurs. Thus, on-target selectivity between bacterial and human membranes
can be improved, which may be used to prevent the unnecessary exposure of host
cells and commensal bacteria to active AMPs.
PMID- 29799151
TI - Experimental Evidence for Azeotrope Formation from Protic Ionic Liquids.
AB - Herein, we present experimental evidence that protic ionic liquids (PILs),
derived from 1 : 1 liquid mixtures of the organic superbases 1,5
diazabicyclo[4.3.0]non-5-ene (DBN) and 1,8-diazabicyclo[5.4.0]undec-7-ene (DBU)
with carboxylic acids, form azeotropic mixtures with acid/base molar fractions
different from 1 : 1. The ability of the carboxylic acids to form strong hydrogen
bonds with the PIL ion pair leads to an azeotropic composition richer in the acid
component. The results show that the azeotropic composition is ruled by the
extent of acid-base equilibrium and the relative volatility of the neutral
species in the PIL medium. The PILs show marked negative deviations from Raoult's
Law with the stronger superbase (DBU) leading to an azeotropic composition closer
to the equimolar 1 : 1 ratio.
PMID- 29799152
TI - LncRNA ABHD11-AS1 promotes the development of endometrial carcinoma by targeting
cyclin D1.
AB - To investigate the expression, role and mechanism of action of long non-coding
RNA (lncRNA) ABHD11-AS1 in endometrial carcinoma. The expression of lncRNA ABHD11
AS1 was quantified by qRT-PCR in human endometrial carcinoma (n = 89) and normal
endometrial tissues (n = 27). LncRNA ABHD11-AS1 was stably overexpressed or
knocked-down in endometrial carcinoma cell lines to examine the cellular
phenotype and expression of related molecules. Compared to normal endometrial
tissue, lncRNA ABHD11-AS1 was significantly overexpressed in endometrial
carcinoma. Overexpression of lncRNA ABHD11-AS1 promoted the proliferation, G1-S
progression, invasion and migration of endometrial cancer cells; inhibited
apoptosis; up-regulated cyclin D1, CDK1, CDK2, CDK4, Bcl-xl and VEGFA; and down
regulated p16, while ABHD11-AS1 down-regulation has the opposite effect. RNA pull
down demonstrated that lncRNA ABHD11-AS1 binds directly to cyclin D1. Knockdown
of cyclin D1 can reverse the effect of ABHD11-AS1. Overexpression of lncRNA
ABHD11-AS1 increased the tumorigenicity and up-regulated cyclin D1 in an in vivo
model of endometrial cancer in nude mice. LncRNA ABHD11-AS1 functions as an
oncogene to promote cell proliferation and invasion in endometrial carcinoma by
positively targeting cyclin D1.
PMID- 29799153
TI - Evaluating the implementation of a quality improvement process in General
Practice using a realist evaluation framework.
AB - RATIONALE, AIMS, AND OBJECTIVES: Underuse of anticoagulants in atrial
fibrillation is known to increase the risk of stroke and is an international
problem. The National Institute for Health Care and Excellence guidance CG180
seeks to reduce atrial fibrillation related strokes through prescriptions of Non
vitamin K antagonist Oral Anticoagulants. A quality improvement programme was
established by the West of England Academic Health Science Network (West of
England AHSN) to implement this guidance into General Practice. A realist
evaluation identified whether the quality improvement programme worked,
determining how and in what circumstances. METHODS: Six General Practices in 1
region, became the case study sites. Quality improvement team, doctor, and
pharmacist meetings within each of the General Practices were recorded at 3
stages: initial planning, review, and final. Additionally, 15 interviews
conducted with the practice leads explored experiences of the quality improvement
process. Observation and interview data were analysed and compared against the
initial programme theory. RESULTS: The quality improvement resources available
were used variably, with the training being valued by all. The initial programme
theories were refined. In particular, local workload pressures and individual
General Practitioner experiences and pre-conceived ideas were acknowledged. Where
key motivators were in place, such as prior experience, the programme achieved
optimal outcomes and secured a lasting quality improvement legacy. CONCLUSION:
The employment of a quality improvement programme can deliver practice change and
improvement legacy outcomes when particular mechanisms are employed and in
contexts where there is a commitment to improve service.
PMID- 29799154
TI - What is the guidelines challenge? The CauseHealth perspective.
AB - This paper is an introduction to the conference, The Guidelines Challenge, held
in Oxford in October 2017. My aim is to explain our motivation for organising
this conference, as part of the research project Causation, Complexity, and
Evidence in Health Sciences (CauseHealth). Depending on the professional starting
point, the guidelines challenge can be interpreted in a number of ways. Our idea
with this conference was to discuss guidelines from 3 overarching perspectives:
practice, policy, and philosophy. In particular, we wanted to discuss some of the
challenges that face anyone developing and implementing clinical guidelines in
the evidence-based era of medicine. This introduction gives a brief overview of
what CauseHealth sees as the guidelines challenge from these perspectives. More
attention is given to the philosophical issues with which the CauseHealth project
is particularly concerned, although a proper treatment or discussion of these
issues naturally falls outside the scope of this introduction.
PMID- 29799155
TI - Listeria, Then and Now: A Call to Reevaluate Patient Teaching Based on Analysis
of US Federal Databases, 1998-2016.
AB - INTRODUCTION: Listeria monocytogenes is a foodborne pathogen capable of crossing
the placental-fetal barrier; infection with the bacterium causes listeriosis. An
exposed fetus may suffer blindness, neurological damage including meningitis, or
even death. The adverse consequences of listeriosis place the infection on the
federally reportable disease list. Primary prevention relies on women avoiding 6
categories of foods most likely to be contaminated with L monocytogenes, as
indicated in guidelines developed by the Centers for Disease Control and
Prevention (CDC), adapted by the American College of Obstetricians and
Gynecologists (ACOG) in 2014, and reaffirmed without changes by ACOG in 2016.
This report contains a critical evaluation of United States listeriosis
prevention guidelines. METHODS: Between 1998 and 2016, there were 876 identified
listeriosis events documented in the illness and recall databases maintained by
the CDC, Food and Drug Administration (FDA), and United States Department of
Agriculture - Food Safety and Inspection Service (USDA-FSIS). Each contaminated
food was manually compared to the existing listeriosis avoidance guidelines,
placing each event within or outside the guidelines. Trends were analyzed over
time. RESULTS: Database analysis demonstrates that prior to the year 2000,
abiding by the current guidelines would have prevented all reported listeriosis
cases. However, in 2015 and 2016, only 5% of confirmed L monocytogenes infections
originated from the 6 food groups listed in the CDC and ACOG guidelines. Similar
trends emerged for food processing plant recalls (USDA-FSIS database) and grocery
store recalls (FDA database). The total number of listeriosis illnesses in the
United States doubled from 2007 to 2014. DISCUSSION: A gradual shift in detection
of L monocytogenes contamination in ready-to-eat meals, frozen foods, and ready
to-eat salads has occurred. Another emerging culprit is pasteurized dairy
products. Revision of listeriosis avoidance guidelines by a consensus-seeking,
multidisciplinary task force, is needed.
PMID- 29799158
TI - Osmium Tag for Post-transcriptionally Modified RNA.
AB - 5-Methylcytidine (m5 C) and 5-methyluridine (m5 U) are highly abundant post
transcriptionally modified nucleotides that are observed in various natural RNAs.
Such nucleotides were labeled through a chemical approach, as both underwent
oxidation at the C5=C6 double bond, leading to the formation of osmium-bipyridine
complexes, which could be identified by mass spectrometry. This osmium tag made
it possible to distinguished m5 C and m5 U from their isomers, 2'-O
methylcytidine and 2'-O-methyluridine, respectively. Queuosine and 2-methylthio
N6 -isopentenyladenosine in tRNA were also tagged through complex formation.
PMID- 29799156
TI - Symbiont-mediated competition: Xenorhabdus bovienii confer an advantage to their
nematode host Steinernema affine by killing competitor Steinernema feltiae.
AB - Bacterial symbionts can affect several biotic interactions of their hosts,
including their competition with other species. Nematodes in the genus
Steinernema utilize Xenorhabdus bacterial symbionts for insect host killing and
nutritional bioconversion. Here, we establish that the Xenorhabdus bovienii
bacterial symbiont (Xb-Sa-78) of Steinernema affine nematodes can impact
competition between S. affine and S. feltiae by a novel mechanism, directly
attacking its nematode competitor. Through co-injection and natural infection
assays we demonstrate the causal role of Xb-Sa-78 in the superiority of S. affine
over S. feltiae nematodes during competition. Survival assays revealed that Xb-Sa
78 bacteria kill reproductive life stages of S. feltiae. Microscopy and timed
infection assays indicate that Xb-Sa-78 bacteria colonize S. feltiae nematode
intestines, which alters morphology of the intestine. These data suggest that Xb
Sa-78 may be an intestinal pathogen of the non-native S. feltiae nematode,
although it is a nonharmful colonizer of the native nematode host, S. affine.
Screening additional X. bovienii isolates revealed that intestinal infection and
killing of S. feltiae is conserved among isolates from nematodes closely related
to S. affine, although the underlying killing mechanisms may vary. Together,
these data demonstrate that bacterial symbionts can modulate competition between
their hosts, and reinforce specificity in mutualistic interactions.
PMID- 29799159
TI - Microcystic macular oedema in optic neuropathy: case series and literature
review.
AB - Cavitations in the inner nuclear layer associated with severe optic atrophy and
loss of retinal ganglion cells have clinically been termed microcystic macular
oedema (MME). We describe a case series of MME in patients of all ages but
predominantly younger patients with a wide range of optic atrophies ranging from
acute onset optic disc drusen associated ischemic optic neuropathy to slowly
progressive disease as glaucoma. There were no physical distinctions between MME
in different causes of optic atrophy suggesting a common causative mechanism. We
reviewed the literature on MME and it appears that MME is associated with more
severe visual loss, and is more common in hereditary optic neuropathies and
neuromyelitis optica spectrum disease rather than in patients with optic atrophy
secondary to multiple sclerosis and glaucoma. Three main causative mechanisms
have been proposed, including increased vitreal traction on the macular as the
ganglion cells are lost. Others have suggested that trans-synaptic loss of cells
in the inner nuclear layer causes formation of empty spaces or cavities. Finally,
some have hypothesized a disturbance in the fluid homeostasis of the inner retina
as Muller cells are lost or their function is impaired. There are no known
treatments of MME. In conclusion, MME seems to be a marker of severe optic nerve
atrophy irrespective of the underlying cause.
PMID- 29799157
TI - Aldehyde dehydrogenase 2 activation ameliorates CCl4 -induced chronic liver
fibrosis in mice by up-regulating Nrf2/HO-1 antioxidant pathway.
AB - Mitochondrial aldehyde dehydrogenase 2 (ALDH2) is critical in the pathogenesis of
alcoholic liver cirrhosis. However, the effect of ALHD2 on liver fibrosis remains
to be further elucidated. This study aimed to demonstrate whether ALDH2 regulates
carbon tetrachloride (CCl4 )-induced liver fibrosis and to investigate the
efficacy of Alda-1, a specific activator of ALDH2, on attenuating liver fibrosis.
ALDH2 expression was increased after chronic CCl4 exposure. ALDH2 deficiency
accentuated CCl4 -induced liver fibrosis in mice, accompanied by increased
expression of collagen 1alpha1, alpha-SMA and TIMP-1. Moreover, ALDH2 knockout
triggered more ROS generation, hepatocyte apoptosis and impaired mitophagy after
CCl4 treatment. In cultured HSC-T6 cells, ALDH2 knockdown by transfecting with
lentivirus vector increased ROS generation and alpha-SMA expression in an in
vitro hepatocyte fibrosis model using TGF-beta1. ALDH2 overexpression by
lentivirus or activation by Alda-1 administration partly reversed the effect of
TGF-beta1, whereas ALDH2 knockdown totally blocked the protective effect of Alda
1. Furthermore, Alda-1 administration protected against liver fibrosis in vivo,
which might be mediated through up-regulation of Nrf2/HO-1 cascade and activation
of Parkin-related mitophagy. These findings indicate that ALDH2 deficiency
aggravated CCl4 -induced hepatic fibrosis through ROS overproduction, increased
apoptosis and mitochondrial damage, whereas ALDH2 activation through Alda-1
administration alleviated hepatic fibrosis partly through activation of the
Nrf2/HO-1 antioxidant pathway and Parkin-related mitophagy, which indicate ALDH2
as a promising anti-fibrotic target and Alda-1 as a potential therapeutic agent
in treating CCl4 -induced liver fibrosis.
PMID- 29799162
TI - MAP2K2 mutation as a cause of cardio-facio-cutaneous syndrome in an infant with a
severe and fatal course of the disease.
AB - Cardio-facio-cutaneous syndrome (CFCS), a rare congenital disorder of
RASopathies, displays high phenotypic variability. Complications during pregnancy
and in the perinatal period are commonly reported. Polyhydramnios is observed in
over half of pregnancies and might occur with fetal macrocephaly, macrosomia,
and/or heart defects. Premature birth is not uncommon and any complications like
respiratory insufficiency, edema, and feeding difficulties are present and might
delay accurate clinical diagnosis. Besides neonatal complications, CFCS newborns
and later infants have distinctive dysmorphic features usually accompanied by
neurological (hypotonia with motor delay, neurocognitive delay) findings. Also,
heart defects usually present at birth. Herein, we present the case of a female
baby born prematurely from a pregnancy complicated with polyhydramnios,
presenting at birth with craniofacial features typical for RASopathies, heart
defects, neurological abnormalities, and hyperkeratosis unusual for a neonatal
period. Due to the presence of a heart defect and other complications related to
premature birth, the course of the disease was severe with a fatal outcome at the
age of 9 months. The RASopathy, particularly CFCS, clinical diagnosis was
confirmed and de novo p.Phe57Ile mutation in MAP2K2 was identified.
PMID- 29799160
TI - Oxymatrine exerts protective effects on osteoarthritis via modulating chondrocyte
homoeostasis and suppressing osteoclastogenesis.
AB - Osteoarthritis (OA) is a common degenerative disease characterized by the
progressive destruction both articular cartilage and the subchondral bone. The
agents that can effectively suppress chondrocyte degradation and subchondral bone
loss are crucial for the prevention and treatment of OA. Oxymatrine (OMT) is a
natural compound with anti-inflammatory and antitumour properties. We found that
OMT exhibited a strong inhibitory effect on LPS-induced chondrocyte inflammation
and catabolism. To further support our results, fresh human cartilage explants
were treated with LPS to establish an ex vivo degradation model, and the results
revealed that OMT inhibited the catabolic events of LPS-stimulated human
cartilage and substantially attenuated the degradation of articular cartilage ex
vivo. As subchondral bone remodelling is involved in OA progression, and
osteoclasts are a unique cell type in bone resorption, we investigated the
effects of OMT on osteoclastogenesis, and the results demonstrated that OMT
suppresses RANKL-induced osteoclastogenesis by suppressing the RANKL-induced
NFATc1 and c-fos signalling pathway in vitro. Further, we found that the anti
inflammatory and anti-osteoclastic effects of oxymatrine are mediated via the
inhibition of the NF-kappaB and MAPK pathways. In animal studies, OMT suppressed
the ACLT-induced cartilage degradation, and TUNEL assays further confirmed the
protective effect of OMT on chondrocyte apoptosis. MicroCT analysis revealed that
OMT had an attenuating effect on ACLT-induced subchondral bone loss in vivo.
Taken together, these results show that OMT interferes with the vicious cycle
associated with OA and may be a potential therapeutic agent for abnormal
subchondral bone loss and cartilage degradation in osteoarthritis.
PMID- 29799163
TI - Fabrication of polymer-modified magnetic nanoparticle based adsorbents for the
capture and release of quinolones by manipulating the metal-coordination
interaction.
AB - Functional polymers with a metal-coordination interaction have been fabricated
for sample pretreatment. Poly(N-4-vinyl-benzyl iminodiacetic acid-co-methacrylic
acid-co-styrene)-modified magnetic nanoparticles were prepared and used as solid
phase extraction adsorbents for the analysis of quinolones by tuning the metal
coordination interaction. In the construction of the polymer-based adsorbents,
functional monomer (N-(4-vinyl)-benzyl iminodiacetic acid) and comonomers
(methacrylic acid and styrene) were fabricated onto the magnetic nanoparticles by
free radical polymerization. Factors affecting the performance of the adsorbents
were investigated, and the results revealed that Fe3+ played a vital role in the
formation of metal-coordination adsorbents. Compared with other compounds, the
resultant adsorbents displayed good selectivity to quinolones due to the metal
coordination complex (N-4-vinyl-benzyl iminodiacetic acid-Fe3+ -quinolones).
Interestingly, the captured quinolones could be rapidly released by manipulating
the metal-coordination interaction with Cu2+ . The linearity range for analysis
of the test quinolones was 0.025-2.0 MUg/mL (R2 > 0.999), and the recovery
varied from 80.0 to 100.7%. Further, the proposed adsorbents were combined with
high-performance liquid chromatography for the analysis of quinolones in real
urine samples. The results demonstrated that the prepared adsorbents have good
selectivity and sensitivity for quinolones, showing great potential for drug
analysis in real samples.
PMID- 29799164
TI - Elemental sulfur reduction in the deep-sea vent thermophile, Thermovibrio
ammonificans.
AB - The reduction of elemental sulfur is an important energy-conserving pathway in
prokaryotes inhabiting geothermal environments, where sulfur respiration
contributes to sulfur biogeochemical cycling. Despite this, the pathways through
which elemental sulfur is reduced to hydrogen sulfide remain unclear in most
microorganisms. We integrated growth experiments using Thermovibrio ammonificans,
a deep-sea vent thermophile that conserves energy from the oxidation of hydrogen
and reduction of both nitrate and elemental sulfur, with comparative
transcriptomic and proteomic approaches, coupled with scanning electron
microscopy. Our results revealed that two members of the FAD-dependent pyridine
nucleotide disulfide reductase family, similar to sulfide-quinone reductase and
to NADH-dependent sulfur reductase (NSR), respectively, are over-expressed during
sulfur respiration. Scanning electron micrographs and sulfur sequestration
experiments indicated that direct access of T. ammonificans to sulfur particles
strongly promoted growth. The sulfur metabolism of T. ammonificans appears to
require abiotic transition from bulk elemental sulfur to polysulfide to
nanoparticulate sulfur at an acidic pH, coupled to biological hydrogen oxidation.
A coupled biotic-abiotic mechanism for sulfur respiration is put forward,
mediated by an NSR-like protein as the terminal reductase.
PMID- 29799161
TI - Emerging role of exosome signalling in maintaining cancer stem cell dynamic
equilibrium.
AB - Cancer stem cells (CSCs) are a small subset of heterogeneous cells existed in
tumour tissues or cancer cell lines with self-renewal and differentiation
potentials. CSCs were considered to be responsible for the failure of
conventional therapy and tumour recurrence. However, CSCs are not a static cell
population, CSCs and non-CSCs are maintained in dynamic interconversion state by
their self-differentiation and dedifferentiation. Therefore, targeting CSCs for
cancer therapy is still not enough,exploring the mechanism of dynamic
interconversion between CSCs and non-CSCs and blocking the interconversion seems
to be imperative. Exosomes are 30-100 nm size in diameter extracellular vesicles
(EVs) secreted by multiple living cells into the extracellular space. They
contain cell-state-specific bioactive materials, including DNA, mRNA, ncRNA,
proteins, lipids, etc. with their specific surface markers, such as, CD63, CD81,
Alix, Tsg101, etc. Exosomes have been considered as information carriers in cell
communication between cancer cells and non-cancer cells, which affect gene
expressions and cellular signalling pathways of recipient cells by delivering
their contents. Now that exosomes acted as information carriers, whether they
played role in maintaining dynamic equilibrium state between CSCs and non-CSCs
and their mechanism of activity are unknown. This review summarized the current
research advance of exosomes' role in maintaining CSC dynamic interconversion
state and their possible mechanism of action, which will provide a better
understanding the contribution of exosomes to dedifferentiation and stemness
acquisition of non-CSCs, and highlight that exosomes might be taken as the
attractive target approaches for cancer therapeutics.
PMID- 29799165
TI - Cross-resistance to diflufenican and picolinafen and its inheritance in oriental
mustard (Sisymbrium orientale L.).
AB - BACKGROUND: An oriental mustard population (P40) was identified as resistant to
diflufenican by screening at the field rate. As diflufenican and picolinafen both
target phytoene desaturase (PDS), cross-resistance to picolinafen was suspected.
The mechanism of resistance and its inheritance to diflufenican and picolinafen
were investigated. RESULTS: At the lethal dose (LD50 ) level, population P40 was
237-fold more resistant to diflufenican and seven-fold more resistant to
picolinafen compared to two susceptible populations. Population P40 also had a
significantly higher resistance to diflufenican (237-fold) than a previously
described P3 population (143-fold). In addition to the Leu-498-Val mutation in
PDS identified in all individuals of the P3 and P40 populations, a Glu-425-Asp
mutation was also found in P40. Neither mutation was detected in any individuals
of the susceptible population. As the segregation of phenotype and genotype of
the F2 individuals fitted the model for a single dominant allele, resistance to
both diflufenican and picolinafen is likely encoded on the nuclear genome and is
dominant. CONCLUSION: Resistance to diflufenican and picolinafen in the P40
population is likely conferred by Leu-498-Val and Glu-425-Asp mutations in the
PDS gene. Inheritance of resistance to these herbicides is managed by a single
dominant gene. (c) 2018 Society of Chemical Industry.
PMID- 29799166
TI - Adhesion of gram-negative rod-shaped bacteria on 1D nano-ripple glass pattern in
weak magnetic fields.
AB - This research project has major applications in the healthcare and biomedical
industries. Bacteria reside in human bodies and play an integral role in the
mechanism of life. However, their excessive growth or the invasion of similar
agents can be dangerous and may cause fatal or incurable diseases. On the other
hand, increased exposure to electromagnetic radiation and its impact on health
and safety is a common concern to medical science. Some nanostructure materials
have interesting properties regarding facilitating or impeding cell growth. An
understanding of these phenomena can be utilized to establish the optimum benefit
of these structures in healthcare and medical research. We focus on the commonly
found rod-shaped, gram-negative bacteria and their orientation and community
development on the cellular level in the presence of weak magnetic fields on one
dimensional nano-ripple glass patterns to investigate the impact of
nanostructures on the growth pattern of bacteria. The change in bacterial
behavior on nanostructures and the impact of magnetic fields will open up new
venues in the utilization of nanostructures. It is noticed that bacterial
entrapment in nano-grooves leads to the growth of larger colonies on the
nanostructures, whereas magnetic fields reduce the size of colonies and suppress
their growth.
PMID- 29799167
TI - Professor Gustav Victor Rudolph Born (29 July 1921 - 16 April 2018).
PMID- 29799168
TI - Morphological Abnormalities in True Bugs (Heteroptera) near Swiss Nuclear Power
Stations.
AB - After the nuclear accidents of Chernobyl and Fukushima, several studies reported
adverse health effects on wildlife animals. Epidemiological studies in humans
found significant increases of leukemia rates in young children residing within 5
km from nuclear power plants. This study investigates morphological abnormalities
in true bugs (Heteroptera), collected in the environs of three Swiss nuclear
power stations (NPS). The objective of the study is to test whether there is an
increased frequency of abnormalities in the vicinity of NPS. We found a frequency
of abnormalities of 14.1% at distances r < 5 km and a frequency of 6.8% for
distances r > 5 km, a rate ratio of 2.1 (P < 0.0001). The corresponding odds
ratio was 2.26 (95% CI: 1.59, 3.18). We also conducted logistic regression of
abnormality rates on reciprocal distance for each NPS site. The trend was
significant for NPS Beznau (regression coefficient beta = 1.5 +/- 0.3, P <
0.0001) but not significant for NPS Gosgen und NPS Leibstadt with little samples
within 5 km. To the best of our knowledge, this study is the first to find
adverse health effects on insects near operating nuclear power plants. Due to its
ecological design, however, it cannot answer the question whether the effect is
caused by radiation from nuclear power plants.
PMID- 29799169
TI - Initial experience with robotic pancreatic surgery in Singapore: single
institution experience with 30 consecutive cases.
AB - BACKGROUND: Presently, the worldwide experience with robotic pancreatic surgery
(RPS) is increasing although widespread adoption remains limited. In this study,
we report our initial experience with RPS. METHODS: This is a retrospective
review of a single institution prospective database of 72 consecutive robotic
hepatopancreatobiliary surgeries performed between 2013 and 2017. Of these, 30
patients who underwent RPS were included in this study of which 25 were performed
by a single surgeon. RESULTS: The most common procedure was robotic distal
pancreatectomy (RDP) which was performed in 20 patients. This included eight
subtotal pancreatectomies, two extended pancreatecto-splenectomies (en bloc
gastric resection) and 10 spleen-saving-RDP. Splenic preservation was successful
in 10/11 attempted spleen-saving-RDP. Eight patients underwent
pancreaticoduodenectomies (five hybrid with open reconstruction), one patient
underwent a modified Puestow procedure and one enucleation of uncinate tumour.
Four patients had extended resections including two RDP with gastric resection
and two pancreaticoduodenectomies with vascular resection. There was one (3.3%)
open conversion and seven (23.3%) major (>Grade II) morbidities. Overall, there
were four (13.3%) clinically significant (Grade B) pancreatic fistulas of which
three required percutaneous drainage. These occurred after three RDP and one
robotic enucleation. There was one reoperation for port-site hernia and no 30
day/in-hospital mortalities. The median post-operative stay was 6.5 (range: 3-36)
days and there were six (20%) 30-day readmissions. CONCLUSION: Our initial
experience showed that RPS can be adopted safely with a low open conversion rate
for a wide variety of procedures including pancreaticoduodenectomy.
PMID- 29799170
TI - Finite element analysis of the influence of three-joint spinal complex on the
change of the intervertebral disc bulge and height.
AB - This study evaluated the changes of height and bulging occurring in individual
layers of the annulus fibrosus of the intervertebral disc for 3 load scenarios
(axial compression, flexion, and extension). The numerical model of a single
motion segment of the thoracic spine was analysed for 2 different configurations,
ie, for the model of a physiological segment and a segment with the posterior
column removed. In the physiological segment, all annulus fibrosus layers
decrease in height regardless of the applied load, bulging outside the
intervertebral disc. Removal of the posterior column increases mobility and
disrupts the load transfer system, with the lamellae bulging into the
intervertebral disc.
PMID- 29799171
TI - Nitrospina bacteria in a rocky intertidal habitat (Quintay Bay, central Chile).
AB - Nitrospina bacteria are among the most important nitrite oxidizers in coastal and
open-ocean environments, but the relevance of the genus contrasts with the
scarceness of information on their ecophysiology and habitat range. Thus far,
Nitrospina bacteria have been the only nitrite oxidizers detected at high
abundance in Chilean coastal waters. These levels are often higher than at other
latitudes. In this study, the abundance of 16S-rRNA gene transcripts of
Nitrospina (hereafter just transcripts) was measured by reverse transcription
quantitative PCR in a rocky intertidal gradient and compared with the nearshore
counterpart off central Chile (~33 degrees S). Rocky pond transcripts were also
compared with the taxonomic composition of the macrobiota and bacterioplankton
(by 16S-rRNA gene-based T-RFLP) in the intertidal gradient. Transcripts increased
from warmer, saltier, and low-nitrite ponds in the upper intertidal zone (19.5 +/
1.6 degrees C, 39.0 +/- 1.0 psu, 0.98 +/- 0.17 MUmol/L) toward cooler, less
salty, and high-nitrite ponds (17.8 +/- 2.6 degrees C, 37.7 +/- 0.82 psu, 1.23 +/
0.21 MUmol/L) from middle and low zones. These varied from ~1,000 up to 62,800
transcripts. This increasing trend in the number of transcripts toward the lower
zone was positively associated with the Shannon's diversity index for the
macrobiota (r = .81, p < .01). Moreover, an important increase in the average
number of transcripts was observed in ponds with a greater number of fish in the
upper (7,846 transcripts during 2013) and lower zones (62,800 transcripts during
2015). Altogether, intertidal and nearshore transcripts were significantly
correlated with nitrite concentrations (r = .804, p ? .01); rocky pond
transcripts outnumbered nearshore ones by almost two orders of magnitude. In
summary, rocky ponds favored both the presence and activity of Nitrospina
bacteria that are tolerant to environmental stress. This in turn was positively
influenced by the presence of ammonia- or urea-producing macrobiota.
PMID- 29799173
TI - Virulence of Melissococcus plutonius and secondary invaders associated with
European foulbrood disease of the honey bee.
AB - European foulbrood is a globally distributed brood disease affecting honey bees.
It may lead to lethal infections of larvae and, in severe cases, even to colony
collapse. Lately, a profound genetic and phenotypic diversity was documented for
the causative agent Melissococcus plutonius. However, experimental work on the
impact of diverse M. plutonius strains on hosts with different genetic background
is completely lacking and the role of secondary invaders is poorly understood.
Here, we address these issues and elucidate the impact and interaction of both
host and pathogen on one another. Moreover, we try to unravel the role of
secondary bacterial invasions in foulbrood-diseased larvae. We employed in vitro
infections with honey bee larvae from queens with different genetic background
and three different M. plutonius strains. Larvae infection experiments showed
host-dependent survival dynamics although M. plutonius strain 49.3 consistently
had the highest virulence. This pattern was also reflected in significantly
reduced weights of 49.3 strain-infected larvae compared to the other treatments.
No difference was found in groups additionally inoculated with a secondary
invader (Enterococcus faecalis or Paenibacillus alvei) neither in terms of larval
survival nor weight. These results suggest that host background contributes
markedly to the course of the disease but virulence is mainly dependent on
pathogen genotype. Secondary invaders following a M. plutonius infection do not
increase disease lethality and therefore may just be a colonization of weakened
and immunodeficient, or dead larvae.
PMID- 29799172
TI - Outbreaks of abortions by Coxiella burnetii in small ruminant flocks and a
longitudinal serological approach on archived bulk tank milk suggest Q fever
emergence in Central Portugal.
AB - Q fever is a worldwide zoonotic infectious disease caused by Coxiella burnetii
and sheep and goats are known to be the main reservoir for human infection. This
study describes the epidemiological and laboratory findings of C. burnetii
outbreaks affecting sheep and goat flocks and also provides the results of a
prospective serosurvey in bulk tank milk samples to assess C. burnetii
circulation in a population of sheep living in close contact to the human
population in Central Portugal. In the epizooties, C. burnetii was identified in
tissues of the resulting abortions by qPCR. As for the serological survey, 10.2%
(95%CI: 4.5-19.2) of the 78 bulk tank milk samples collected in 2015 presented
IgG antibodies against C. burnetii. The same farms were visited and sampled in
2016 and 25.6% (95%CI: 16.4-36.8) were positive. This steep increase in the
number of anti-C. burnetii farms between the 2015 and 2016 collections showed to
be statistically significant (p = 0.020) and is strongly suggestive of Q fever
emergence in Central Portugal. Measures on animal health and on disease spread
control to the human population should be considered.
PMID- 29799174
TI - VEGFR Recognition Interface of a Proangiogenic VEGF-Mimetic Peptide Determined In
Vitro and in the Presence of Endothelial Cells by NMR Spectroscopy.
AB - QK peptide is a vascular endothelial growth factor (VEGF)-mimetic molecule with
significant proangiogenic activity. In particular, QK is able to bind and
activate VEGF receptors (VEGFRs) to stimulate a functional response in
endothelial cells. To characterize the peptide bioactivity and its molecular
recognition properties, a detailed picture of the interaction between peptide QK
and VEGF receptors is reported. By combining NMR spectroscopy studies in solution
on the purified receptor and in the presence of intact endothelial cells, a
molecular description of the binding interaction between peptide QK and VEGFR2 in
the cellular context is obtained. These results reveal useful insights into the
peptide biological mechanism, which opens the way to further optimization of this
class of VEGF-mimicking peptides.
PMID- 29799175
TI - Are We There Yet? How and When Specific Biotechnologies Will Improve Human
Health.
AB - Patient X: A 67-year-old Caucasian man slips on a patch of ice. He has abrasions
to his hands and has sustained significant damage to his hip. At the emergency
room, he informs clinicians he takes atorvastatin, metformin, and glimepiride to
treat hypertension and Type 2 Diabetes Mellitus (T2DM). X-rays reveal a fractured
hip, which will require total hip replacement surgery.
PMID- 29799176
TI - Cervical cancer staging, pretreatment planning, and surgical treatment in the
Nordic countries-Survey from the Surgical Subcommittee of the Nordic Society of
Gynecological Oncology.
AB - INTRODUCTION: Women with cervical cancer in the Nordic countries are increasingly
undergoing pretreatment imaging by ultrasound, magnetic resonance imaging (MRI),
positron emission tomography-computed tomography (PET-CT) or computed tomography,
or sentinel lymph node procedure. The present survey reports the influence of
pretreatment imaging findings on the recorded clinical International Federation
of Gynecology and Obstetrics (FIGO) stage in Nordic countries and its impact on
treatment planning and preferred surgical approach in cervical cancer. MATERIAL
AND METHODS: The Nordic Society of Gynecological Oncology Surgical Subcommittee
developed a questionnaire-based survey that was conducted from 1 January to 31
March 2017. All the 22 Nordic Gynecological Oncology Centers (Denmark 5, Finland
5, Iceland 1, Norway 4, and Sweden 7) were invited to participate. RESULTS: The
questionnaires were returned by 19 of 22 (86.3%) centers. The median number
(range) of women with cervical cancer treated at each center annually was 32 (15
120). In 58% (11/19) of the centers, imaging findings were reported to influence
the clinical staging. MRI in combination with PET-CT was the preferred imaging
method and the results influenced treatment planning. Robotic-assisted radical
hysterectomy was the preferred surgical method in 72% (13/18) of the centers.
Sentinel lymph node procedure was not routinely implemented in the majority of
the Nordic centers. CONCLUSION: More than half of the Nordic Gynecological
Oncology Centers already report a clinical FIGO stage influenced by pretreatment
imaging findings. The trend in preferred treatment is robotic-assisted radical
hysterectomy and the sentinel lymph node procedure is gradually being introduced.
PMID- 29799177
TI - Do wild boar movements drive the spread of African Swine Fever?
AB - The spatial behaviour of hosts can seriously affect the transmission of pathogens
and spatial spread of diseases. Understanding the relationship between host
movements and disease dynamics is of prime importance for optimizing disease
control efforts. African swine fever (ASF), a devastating disease of wild and
domestic suids, has been spreading continuously through eastern Europe since
2007. The wild boar (Sus scrofa) has been implicated in the epidemiology of this
disease, but the role of wild boar movements in ASF dynamics and spread has not
been studied and remains largely speculative. Here, we examined whether monthly
parameters of wild boar movements (dispersal distance of yearlings, home range
size of adult males and females) can explain variation in the spatio-temporal
dynamics of the ASF outbreak in the wild boar population in north-eastern Poland,
2014-2015. We expected to observe a positive relationship between host mobility
and disease spread. Contrary to our expectations, we found that movements of wild
boar, despite their seasonal variation, were poor predictors of ASF dynamics in
space and time. During the 2 years of the study, ASF spread gradually at a steady
pace of 1.5 km/month without significant changes across seasons. None of the
analysed movement parameters explained variation in the measures of ASF
occurrence and spread (i.e., number of cases, prevalence, size and expansion rate
of the outbreak area). We believe that the factor limiting the influence of host
movements on ASF dynamics is the severity of the disease, which quickly hampers
extensive movements and restricts disease transmission to only the most immediate
individuals. Three natural factors constrain direct disease transmission: wild
boar social structure, the short duration of low-level virus shedding and high
virus-induced lethality, followed by indirect transmission through infected
carcasses. These most likely shape the gradual spread of ASF in space and its
persistence in already infected areas.
PMID- 29799178
TI - Using hermeneutic phenomenology and the ethnographic principle of cultural
interpretation with Malaysian nurses.
AB - BACKGROUND: The interpretive paradigm and hermeneutic phenomenological design are
the most popular methods used in international cross-cultural research in
healthcare, nurse education and nursing practice. Their inherent appeal is that
they help researchers to explore experiences. The ethnographic principle of
cultural interpretation can also be used to provide meaning, clarity and insight.
AIM: To examine the use of hermeneutic phenomenology and the ethnographic
principle of cultural interpretation in a research study conducted with Malaysian
nurses on part-time, transnational, post-registration, top-up nursing degree
programmes provided by one Australian and two UK universities. DISCUSSION: To
enable the researcher to undertake international cross-cultural research and
illuminate Malaysian nurses' views for the reader, cultural aspects need to be
considered, as they will influence the information participants provide. Useful
strategies that western researchers can adopt to co-create research texts with
interviewees are outlined. The paradigm and research designs used in the study
revealed the views and experiences of Malaysian nurses. CONCLUSION: Hermeneutic
phenomenology enabled the exploration of participants' experiences, and the
ethnographic principle of cultural interpretation enabled the researcher's
reflexivity to provide emic and etic views for the reader. IMPLICATIONS FOR
PRACTICE: This paper adds to the discussion of the paradigms and research designs
used for international, cross-cultural research in Asia. It identifies the
influence participants' cultural values have on their confidence and level of
disclosure with western researchers.
PMID- 29799179
TI - Clinical evaluation of diabetic neuropathy in adult patients with type 1 diabetes
and its possible association with insulin resistance
AB - : Background: In Mexico, there is a lack of information regarding the prevalence
and characteristics of Diabetic Neuropathy (DN) in patients with type 1 diabetes
(T1D).Although it was, considered as a country with low-incidence of T1D, recent
publications show that T1D frequency is under-represented. The aim of this paper
is to describe the frequency and severity of DN in patients with T1D using a
clinical scale and assess its possible association with insulin resistance. :
Methods: We evaluated 48 patients from T1D Clinic. We assessed clinical and
biochemical characteristics and determined insulin resistance through estimated
glucose dispose rate (eGDR). Patients underwent a neurologic evaluation using a
previously validated score. Results: Seventy-three percent of patients had DN
(54% mild and 19% moderate neuropathy). Twenty-nine percent of total population
had Metabolic Syndrome. Major predictor factors for DN were the presence of
diabetes for more than 13 years (OR 4.6, CI95%: 1.09-15.7), achieving treatment
goals during the first 5 years (OR 0.22, CI95%: 0.05-0.87) and eGDR > 7.32
mg/kg/min (OR 0.096, CI95%: 0.011-0.81). Conclusions: The clinical scale
performed in this study is a useful screening tool for DN in adults with long
standing T1D. DN is more frequent in patients with longer evolution of diabetes
and poor glucose control during the initial years after diagnosis as expected,
but insulin resistance should also be considered as an additional risk factor in
this group.
PMID- 29799180
TI - High-Throughput Fabrication of Ultradense Annular Nanogap Arrays for Plasmon
Enhanced Spectroscopy.
AB - The confinement of light into nanometer-sized metallic nanogaps can lead to an
extremely high field enhancement, resulting in dramatically enhanced absorption,
emission, and surface-enhanced Raman scattering (SERS) of molecules embedded in
nanogaps. However, low-cost, high-throughput, and reliable fabrication of ultra
high-dense nanogap arrays with precise control of the gap size still remains a
challenge. Here, by combining colloidal lithography and atomic layer deposition
technique, a reproducible method for fabricating ultra-high-dense arrays of
hexagonal close-packed annular nanogaps over large areas is demonstrated. The
annular nanogap arrays with a minimum diameter smaller than 100 nm and sub-1 nm
gap width have been produced, showing excellent SERS performance with a typical
enhancement factor up to 3.1 * 106 and a detection limit of 10-11 M. Moreover, it
can also work as a high-quality field enhancement substrate for studying two
dimensional materials, such as MoSe2. Our method provides an attractive approach
to produce controllable nanogaps for enhanced light-matter interaction at the
nanoscale.
PMID- 29799181
TI - Stretchable Transparent Electrodes with Solution-Processed Regular Metal Mesh for
an Electroluminescent Light-Emitting Film.
AB - We report stretchable metal-mesh transparent electrodes (TEs) with excellent
electrical conductivity (<2 Omega/sq) and optical transparency (>80%) under up to
55% strain. The figures of merit on these electrodes, as defined as the ratio
between electrical conductivity and optical conductivity, are among the highest
reported for stretchable TEs under moderate stretching. Moreover, we demonstrate
their application in a stretchable electroluminescent (EL) light-emitting film as
top and bottom electrodes. EL lighting devices require low-resistance electrodes
to unleash their potential for large-area low-power-consumption applications, in
which our highly conductive and transparent stretchable TEs provide an edge on
other competitor approaches. Importantly, our stretchable metal-mesh electrodes
are fabricated through a vacuum-free solution-processed approach that is scalable
for cost-effective mass production. We also investigate the fracture and fatigue
mechanisms of stretchable metal-mesh electrodes with various mesh patterns and
observe different behaviors under one-time and cyclic stretching conditions. Our
solution-processed fabrication method, failure mechanism investigation, and
device demonstration for metal-mesh stretchable TEs will facilitate the adoption
of this promising high-performance approach in stretchable and wearable
electronics applications.
PMID- 29799182
TI - Rational Design of Hierarchical Nanotubes through Encapsulating CoSe2
Nanoparticles into MoSe2/C Composite Shells with Enhanced Lithium and Sodium
Storage Performance.
AB - Transition-metal diselenides have been extensively studied as desirable anode
candidates for both lithium-ion batteries (LIBs) and sodium-ion batteries (SIBs)
because of their high theoretical capacities. However, it is of great challenge
to achieve satisfactory cycling performance, especially for larger sodium ion
storage, originated from electrode deterioration upon large volume change.
Herein, we reported the construction of hierarchical tubular hybrid
nanostructures through encapsulating CoSe2 nanoparticles into MoSe2/C composite
shells via a simple two-step strategy including a hydrothermal method followed by
vapor-phase selenization process. The unique tubular structure enables the highly
reversible Li/Na storage with high specific capacity, enhanced cycling stability,
and superior rate performance. It is indicated that the contribution of partial
pseudocapacitive behavior greatly improves the rate capability for SIBs, where a
high capacity retention of 81.5% can be obtained when the current densities range
from 0.1 to 3 A g-1 (460 mA h g-1 at 0.1 A g-1 vs 379 mA h g-1 at 3 A g-1). This
work provides an effective design rationale on transition-metal diselenide-based
tubular nanostructures as superior hosts for both Li and Na ions, which could
push forward the development of practical applications of transition-metal
diselenide-based anodes in LIBs and SIBs.
PMID- 29799183
TI - Nature-Inspired Capillary-Driven Welding Process for Boosting Metal-Oxide
Nanofiber Electronics.
AB - Recently, semiconducting nanofiber networks (NFNs) have been considered as one of
the most promising platforms for large-area and low-cost electronics
applications. However, the high contact resistance among stacking nanofibers
remained to be a major challenge, leading to poor device performance and
parasitic energy consumption. In this report, a controllable welding technique
for NFNs was successfully demonstrated via a bioinspired capillary-driven
process. The interfiber connections were well-achieved via a cooperative concept,
combining localized capillary condensation and curvature-induced surface
diffusion. With the improvements of the interfiber connections, the welded NFNs
exhibited enhanced mechanical property and high electrical performance. The field
effect transistors (FETs) based on the welded Hf-doped In2O3 (InHfO) NFNs were
demonstrated for the first time. Meanwhile, the mechanisms involved in the grain
boundary modulation for polycrystalline metal-oxide nanofibers were discussed.
When the high-k ZrO x dielectric thin films were integrated into the FETs, the
field-effect mobility and operating voltage were further improved to be 25 cm2 V
1 s-1 and 3 V, respectively. This is one of the best device performances among
the reported nanofibers-based FETs. These results demonstrated the potencies of
the capillary-driven welding process and grain-boundary modulation mechanism for
metal-oxide NFNs, which could be applicable for high-performance, large-scale,
and low-power functional electronics.
PMID- 29799184
TI - Dendritic Mesoporous Silica Nanoparticles with Abundant Ti4+ for Phosphopeptide
Enrichment from Cancer Cells with 96% Specificity.
AB - Selective enrichment and sensitive detection of phosphopeptides are of great
significance in many bioapplications. In this work, dendritic mesoporous silica
nanoparticles modified with polydopamine and chelated Ti4+ (denoted DMSNs@PDA
Ti4+) were developed to improve the enrichment selectivity of phosphopeptides.
The unique central-radial pore structures endowed DMSNs@PDA-Ti4+ with a high
surface area (362 m2 g-1), a large pore volume (1.37 cm3 g-1), and a high amount
of chelated Ti4+ (75 MUg mg-1). Compared with conventional mesoporous silica
based materials with the same functionalization (denoted mSiO2@PDA-Ti4+) and
commercial TiO2, DMSNs@PDA-Ti4+ showed better selectivity and a lower detection
limit (0.2 fmol/MUL). Moreover, 2422 unique phosphopeptides were identified from
HeLa cell extracts with a high specificity (>95%) enabled by DMSNs@PDA-Ti4+,
better than those in previous reports.
PMID- 29799185
TI - Arginine "Magic": Guanidinium Like-Charge Ion Pairing from Aqueous Salts to Cell
Penetrating Peptides.
AB - It is a textbook knowledge that charges of the same polarity repel each other.
For two monovalent ions in the gas phase at a close contact this repulsive
interaction amounts to hundreds of kilojoules per mole. In aqueous solutions,
however, this Coulomb repulsion is strongly attenuated by a factor equal to the
dielectric constant of the medium. The residual repulsion, which now amounts only
to units of kilojoules per mole, may be in principle offset by attractive
interactions. Probably the smallest cationic pair, where a combination of
dispersion and cavitation forces overwhelms the Coulomb repulsion, consists of
two guanidinium ions in water. Indeed, by a combination of molecular dynamics
with electronic structure calculations and electrophoretic, as well as
spectroscopic, experiments, we have demonstrated that aqueous guanidinium cations
form (weakly) thermodynamically stable like-charge ion pairs. The importance of
pairing of guanidinium cations in aqueous solutions goes beyond a mere physical
curiosity, since it has significant biochemical implications. Guanidinium
chloride is known to be an efficient and flexible protein denaturant. This is due
to the ability of the orientationally amphiphilic guanidinium cations to disrupt
various secondary structural motifs of proteins by pairing promiscuously with
both hydrophobic and hydrophilic groups, including guanidinium-containing side
chains of arginines. The fact that the cationic guanidinium moiety forms the
dominant part of the arginine side chain implies that the like-charge ion pairing
may also play a role for interactions between peptides and proteins. Indeed,
arginine-arginine pairing has been frequently found in structural protein
databases. In particular, when strengthened by a presence of negatively charged
glutamate, aspartate, or C-terminal carboxylic groups, this binding motif helps
to stabilize peptide or protein dimers and is also found in or near active sites
of several enzymes. The like-charge pairing of the guanidinium side-chain groups
may also hold the key to the understanding of the arginine "magic", that is, the
extraordinary ability of arginine-rich polypeptides to passively penetrate across
cellular membranes. Unlike polylysines, which are also highly cationic but lack
the ease in crossing membranes, polyarginines do not exhibit mutual repulsion.
Instead, they accumulate at the membrane, weaken it, and might eventually cross
in a concerted, "train-like" manner. This behavior of arginine-rich cell
penetrating peptides can be exploited when devising smart strategies how to
deliver in a targeted way molecular cargos into the cell.
PMID- 29799187
TI - Nontargeted Identification of Tracer Incorporation in High-Resolution Mass
Spectrometry.
AB - "Fluxomics" refers to the systematic analysis of metabolic fluxes in a biological
system and may uncover novel dynamic properties of metabolism that remain
undetected in conventional metabolomic approaches. In labeling experiments,
tracer molecules are used to track changes in the isotopologue distribution of
metabolites, which allows one to estimate fluxes in the metabolic network.
Because unidentified compounds cannot be mapped on pathways, they are often
neglected in labeling experiments. However, using recent developments in de novo
annotation may allow to harvest the information present in these compounds if
they can be identified. Here, we present a novel tool (HiResTEC) to detect tracer
incorporation in high-resolution mass spectrometry data sets. The software
automatically extracts a comprehensive, nonredundant list of all compounds
showing more than 1% tracer incorporation in a nontargeted fashion. We explain
and show in an example data set how mass precision and other filter heuristics,
calculated on the raw data, can efficiently be used to reduce redundancy and
noninformative signals by 95%. Ultimately, this allows to quickly investigate any
labeling experiment for a complete set of labeled compounds (here 149) with
acceptable false positive rates. We further re-evaluate a published data set from
liquid chromatography-electrospray ionization (LC-ESI) to demonstrate broad
applicability of our tool and emphasize importance of quality control (QC) tests.
HiResTEC is provided as a package in the open source software framework R and is
freely available on CRAN.
PMID- 29799186
TI - Brain Targeting Delivery Facilitated by Ligand-Functionalized Layered Double
Hydroxide Nanoparticles.
AB - A delivery platform with highly selective permeability through the blood-brain
barrier (BBB) is essential for brain disease treatment. In this research, we
designed and prepared a novel target nanoplatform, that is, layered double
hydroxide (LDH) nanoparticle conjugated with targeting peptide-ligand Angiopep-2
(Ang2) or rabies virus glycoprotein (RVG) via intermatrix bovine serum albumin
for brain targeting. In vitro studies show that functionalization with the target
ligand significantly increases the delivery efficiency of LDH nanoparticles to
the brain endothelial (bEnd.3) cells and the transcytosis through the simulated
BBB model, that is, bEnd.3 cell-constructed multilayer membrane. In vivo confocal
neuroimaging of the rat's blood-retina area dynamically demonstrates that LDH
nanoparticles modified with peptide ligands have shown a prolonged retention
period within the retina vessel in comparison with the pristine LDH group.
Moreover, Ang2-modified LDH nanoparticles are found to more specifically
accumulate in the mouse brain than the control and RVG-modified LDH nanoparticles
after 2 and 48 h intravenous injection. All these findings strongly suggest that
Ang2-modified LDHs can serve as an effective targeting nanoplatform for brain
disease treatment.
PMID- 29799188
TI - Nanoscale Investigation into the Cellular Response of Glioblastoma Cells Exposed
to Protons.
AB - Exposure to ionizing radiation can induce cellular defense mechanisms including
cell activation and rapid proliferation prior to metastasis and in extreme cases
can result in cell death. Herewith we apply infrared nano- and microspectroscopy
combined with multidimensional data analysis to characterize the effect of
ionizing radiation on single glioblastoma nuclei isolated from cells treated with
10 Gy of X-rays or 1 and 10 Gy of protons. We observed chromatin fragmentation
related to the formation of apoptotic bodies following X-ray exposure. Following
proton irradiation we detected evidence of a DNA conformational change (B-DNA to
A-DNA transition) related to DNA repair and accompanied by an increase in protein
content related to the synthesis of peptide enzymes involved in DNA repair. We
also show that proton exposure can increase cholesterol and sterol ester
synthesis, which are important lipids involved in the metastatic process changing
the fluidity of the cellular membrane in preparation for rapid proliferation.
PMID- 29799189
TI - Characterization of the Binding Sites for Bacterial Acyl Homoserine Lactones
(AHLs) on Human Bitter Taste Receptors (T2Rs).
AB - The 25 bitter taste receptors (T2Rs) in humans are novel players in mediating
host-pathogen responses in the airways and innate immunity. The chemosensory T2Rs
are expressed in different extraoral tissues and perform diverse
pathophysiological roles from mediating bronchodilation to detecting bacterial
infection in the airways. T2Rs were suggested to be activated by multiple
bacterial quorum sensing molecules (QSMs). However, whether bacterial QSMs bind
to T2Rs and the structural features on T2Rs has not yet been characterized. Here,
we analyzed the taste sensory profiles of QSMs including acyl homoserine lactones
(C4-AHL, C8-AHL, and 3-oxo-C12-AHL) and hydroxyquinolones (HHQ and NHQ)
predominantly secreted by Gram-negative bacteria and characterized the candidate
T2Rs interacting with different QSMs using structure-function approaches. The
potency of the above QSMs for T2Rs significantly expressed in the airways, namely
T2R4, T2R14, and T2R20, was characterized. 3-Oxo-C12-AHL activated T2R4, T2R14,
and T2R20, while C8-AHL activated T2R4 and T2R14 with strong potency. The T2R
amino acid residues involved in the interactions were characterized by molecular
model-guided site-directed mutagenesis. AHLs bind to a similar orthosteric site
present on the extracellular surface in all three T2Rs with significant
contributions from residues in extracellular loop 2. Our results reveal the mode
of binding of AHLs for different T2Rs and provide biochemical insights into their
interactions. This study will facilitate mechanistic studies aimed at
understanding the role of these T2Rs as "sensors" of bacteria and in host
pathogen interactions.
PMID- 29799190
TI - Extreme Ultraviolet Radiation: A Means of Ion Activation for Tandem Mass
Spectrometry.
AB - Tandem mass spectrometry has long been established as a corner stone of
analytical and structural chemistry. Fast radical-directed dissociation, produced
by electron-transfer and electron-capture dissociation (ETD and ECD) has been
shown to provide important complementary information to collision-induced
dissociation (CID). We report the first application of extreme-ultraviolet (XUV)
lamps to tandem mass spectrometry. These discharge lamps are versatile, robust,
and low-cost sources of energetic photons (40-80 nm). The coupling of the
discharge lamp with a Waters Synapt G2-Si Q-ToF mass spectrometer is achieved
through a specific trapping scheme in the TriWave region of the instrument,
allowing efficient irradiation of the precursor ions. Rich radical-directed
dissociation was produced for a number of model compounds, providing unique,
complementary information to existing dissociation techniques.
PMID- 29799191
TI - Camptothecin Efficacy to Poison Top1 Is Altered by Bisphenol A in Mouse Embryonic
Fibroblasts.
AB - Bisphenol A (BPA) is used heavily in the production of polycarbonate plastics,
thermal receipt paper, and epoxies. Ubiquitous exposure to BPA has been linked to
obesity, diabetes, and breast and reproductive system cancers. Resistance to
chemotherapeutic agents has also been shown in cancer cell models. Here, we
investigated BPA's ability to confer resistance to camptothecin (CPT) in mouse
embryonic fibroblasts (MEFs). MEFs are sensitive to CPT; however, co-exposure of
BPA with CPT improved cell survival. Co-exposure significantly reduced Top1-DNA
adducts, decreasing chromosomal aberrations and DNA strand break formation. This
decrease occurs despite BPA treatment increasing the protein levels of Top1. By
examining chromatin structure after BPA exposure, we determined that widespread
compaction and loss of nuclear volume occurs. Therefore, BPA reduced CPT activity
by reducing the accessibility of DNA to Top1, inhibiting DNA adduct formation,
the generation of toxic DNA strand breaks, and improving cell survival.
PMID- 29799192
TI - Correction to "Pectic Polysaccharides from Panax ginseng as the Antirotavirus
Principals in Ginseng".
PMID- 29799194
TI - Ruthenium(II)-Catalyzed Regioselective-Controlled Allenylation/Cyclization of
Benzimides with Propargyl Alcohols.
AB - A ruthenium(II)-catalyzed cyclization of benzimidates with substituted propargyl
alcohols to provide 3,4-disubstituted 1-alkoxy isoquinolines in a highly
selective manner via the C-H allenylation is described. The proposed reaction
mechanism of the ruthenium(II)-catalyzed cyclization reaction is strongly
supported by the isolation of the key ruthenacycle intermediate, deuterium
labeling studies, and detailed DFT calculations including the transition states.
PMID- 29799193
TI - Assessment of the Antigenotoxic Activity of Poly(d,l-lactic- co-glycolic acid)
Nanoparticles Loaded with Caffeic Acid Phenethyl Ester Using the Ames
Salmonella/Microsome Assay.
AB - In the present study, the antigenotoxic activity of poly(d,l-lactic- co-glycolic
acid) (PLGA) nanoparticles (NPs) loaded with caffeic acid phenethyl ester (CAPE)
was investigated in comparison to free CAPE using the Ames Salmonella/microsome
assay. Additionally, to elucidate the impacts of the type of solvent effect on
antigenotoxic activity, the following systems were tested: CAPE in water (poor
solvent), ethyl alcohol (good solvent), and PLGA NPs (unknown). The effect of the
NP system on solubility was investigated for the first time by assessing the
antigenotoxic potential. In this study, the CAPE/PLGA NPs were synthesized using
an oil-in-water (o/w) single-emulsion solvent evaporation method with an average
size of 206.2 +/- 1.2 nm, zeta potential of -19.8 +/- 2.5 mV, encapsulation
efficiency of 87.2 +/- 2.5%, and drug loading of 53.3 +/- 1.8%. According to the
results of the antigenotoxic activity, the highest antimutagenic activity in both
applied strains was found for CAPE in ethanol, and the lowest activity was
detected for CAPE in water. Our study has shown that NP systems exhibit high
antigenotoxic activity, which is similar to the results of CAPE dissolved in
ethanol. These results have shown that NP systems increase biological activity of
hydrophobic substances by increasing their solubility and that the use of PLGA
instead of organic solvents in drug production may provide an increase in their
medical utility.
PMID- 29799195
TI - Iridoids from the Roots of Patrinia scabra and Their Inhibitory Potential on LPS
Induced Nitric Oxide Production.
AB - An activity-guided fractionation procedure of the 70% aqueous EtOH extract from
the roots of Patrinia scabra led to the isolation and characterization of five
new iridoids, patriscabrins A-E (1-5), along with 13 known compounds. The
structures of 1-5 were determined by interpretation of spectroscopic data,
particularly by 1D and 2D NMR, ECD, and VCD studies. Thereafter, isolates were
evaluated for their inhibitory effects on lipopolysaccharide-induced nitric oxide
production in RAW 264.7 cells. Of these, the new iridoids 2 and 5 and the known
lignan patrineolignan B (6) exhibited IC50 values of 14.7 to 17.8 MUM.
PMID- 29799196
TI - Stereoselectivity Switch in the Trapping of Polar Organometallics with Andersen's
Reagent-Access to Highly Stereoenriched Transformable Biphenyls.
AB - The trapping of racemic polar carbometallic species with (-)-menthyl ( SS)- p
toluenesulfinate (Andersen's reagent) typically proceeds with a very low level of
resolution. In this paper, we describe a strategy that allows access to highly
atropo-enriched and functionalizable biphenyls by means of Andersen's reagent
under kinetic resolution conditions. In particular, useful enantiopure 2
iodobiphenyls could be obtained and were employed in a challenging hypervalent
iodine-catalyzed oxidation reaction.
PMID- 29799197
TI - DNA-Functionalized, Bivalent Proteins.
AB - Bivalent DNA conjugates of beta-galactosidase (betaGal), having pairs of
oligonucleotides positioned closely on opposing faces of the protein, have been
synthesized and characterized. These structures, due to their directional bonding
characteristics, allow for the programmable access of one-dimensional protein
materials. When conjugates functionalized with complementary oligonucleotides are
combined under conditions that support DNA hybridization, periodic wire-type
superstructures consisting of aligned proteins form. These structures have been
characterized by gel electrophoresis, cryo-transmission electron microscopy, and
negative-stain transmission electron microscopy. Significantly, melting
experiments of complementary building blocks display narrowed and elevated
melting transitions compared to the free duplex DNA, further supporting the
formation of the designed binding mode, and unambiguously characterizing their
association as DNA-mediated. These novel structures illustrate, for the first
time, that directional DNA bonding can be realized with only a pair of DNA
modifications, which will allow one to engineer directional interactions and
realize new classes of superstructures not possible simply through shape control
or isotropically functionalized materials.
PMID- 29799198
TI - Covalent and Ionic Capacity of MOFs To Sorb Small Gas Molecules.
AB - In this work, the aim is to characterize how an Fe-based metal-organic framework
(MOF) behaves when gases, like carbon dioxide, are inserted through their
channels and to characterize the nature and strength of those interactions.
Despite the computational nature of the project, it is based on the experimental
results obtained in 2016 by Minguez-Espallargas and co-workers ( J. Am. Chem.
Soc. 2013, 135, 15986 - 15989 ). Those MOFs were found to selectively
allocate/adsorb CO2, having as a drawback that apparently each cavity allocates
only one CO2 molecule. Despite truncating the MOF to its unitary cell, the whole
cavity of the MOF can be described in detail by precise ab initio calculations.
Another computational goal is to unravel why experimentally CO2 was preferred
with respect to N2, and for the sake of consistency, a list of common gases will
be further studied, such as H2, O2, H2O, CH4, C2H6, N2O, or NO.
PMID- 29799199
TI - Evolved Minimal Frustration in Multifunctional Biomolecules.
AB - Protein folding is often viewed in terms of a funneled potential or free energy
landscape. A variety of experiments now indicate the existence of multifunnel
landscapes, associated with multifunctional biomolecules. Here, we present
evidence that these systems have evolved to exhibit the minimal number of funnels
required to fulfill their cellular functions, suggesting an extension to the
principle of minimum frustration. We find that minimal disruptive mutations
result in additional funnels, and the associated structural ensembles become more
diverse. The same trends are observed in an atomic cluster. These observations
suggest guidelines for rational design of engineered multifunctional
biomolecules.
PMID- 29799200
TI - Gating Mechanism of Aquaporin Z in Synthetic Bilayers and Native Membranes
Revealed by Solid-State NMR Spectroscopy.
AB - Aquaporin Z (AqpZ) is an integral membrane protein that facilitates transport of
water across Escherichia coli cells with a high rate. Previously, R189, a highly
conserved residue of the selective filter of AqpZ, was proposed as a gate within
the water channel on the basis of the observation of both open and closed
conformations of its side chain in different monomers of an X-ray structure, and
the observation of rapid switches between the two conformations in molecular
dynamic simulations. However, the gating mechanism of the R189 side chain remains
controversial since it is unclear whether the different conformations observed in
the X-ray structure is due to different functional states or is a result of
perturbation of non-native detergent environments. Herein, in native-like
synthetic bilayers and native E. coli membranes, a number of solid-state NMR
techniques are employed to examine gating mechanism of the R189 side chain of
AqpZ. One R189 side-chain conformation is highly evident since only a set of
peaks corresponding to the R189 side chain is observed in 2D 15N-13C spectra. The
immobility of the R189 side chain is detected by 1H-15N dipolar lineshapes,
excluding the possibility of the rapid switches between the two side-chain
conformations. High-resolution monomeric structure of AqpZ, determined by CS
Rosetta calculations using experimentally measured distance restraints related to
the R189 side chain, reveals that this side chain is in an open conformation,
which is further verified by its water accessibility. All the solid-state NMR
experimental results, combining with water permeability essay, suggest a
permanently open conformation of the R189 side chain in the synthetic bilayer and
native membranes. This study provides new structural insights into the gating
mechanism of aquaporins and highlights the significance of lipid bilayer
environments in elucidating the molecular mechanism of membrane proteins.
PMID- 29799201
TI - Rational Design of Co(II) Dominant and Oxygen Vacancy Defective CuCo2O4@CQDs
Hollow Spheres for Enhanced Overall Water Splitting and Supercapacitor
Performance.
AB - The hierarchical CuCo2O4@carbon quantum dots (CQDs) hollow microspheres
constructed by 1D porous nanowires have been successfully prepared through a
simple CQDs-induced hydrothermal self-assembly technique. XPS analysis shows the
CuCo2O4@CQDs possesses the Co(II)-rich surface associated with the oxygen
vacancies, which can effectively boost the Faradaic reactions and oxygen
evolution reaction (OER) activity. For example, the as-synthesized 3D porous
CuCo2O4@CQDs electrode exhibits high activity toward overall electrochemical
water splitting, for example, an overpotential of 290 mV for OER and 331 mV for
hydrogen evolution reaction (HER) in alkaline media have been achieved at 10 mA
cm-2, respectively. Furthermore, an asymmetric supercapacitor (ASC)
(CuCo2O4@CQDs//CNTs) delivers a high energy density of 45.9 Wh kg-1 at 763.4 W kg
1, as well as good cycling ability. The synergy of Co(II)-rich surface, oxygen
vacancies, and well-defined 3D hollow structures facilitates the subsequent
surface electrochemical reactions. This work presents a facile method to
fabricate energetic nanocomposites with highly reactive, durable, and universal
functionalities.
PMID- 29799202
TI - Laser Tailoring the Surface Chemistry and Morphology for Wear, Scale and
Corrosion Resistant Superhydrophobic Coatings.
AB - A strategy, combining laser chemical modification with laser texturing, followed
by chemisorption of the fluorinated hydrophobic agent was used to fabricate the
series of superhydrophobic coatings on an aluminum alloy with varied chemical
compositions and parameters of texture. It was shown that high content of
aluminum oxynitride and aluminum oxide formed in the surface layer upon laser
treatment allows solving the problem of enhancement of superhydrophobic coating
resistance to abrasive loads. Besides, the multimodal structure of highly porous
surface layer leads to self-healing ability of fabricated coatings. Long-term
behavior of designed coatings in "hard" hot water with an essential content of
calcium carbonate demonstrated high antiscaling resistance with self-cleaning
potential against solid deposits onto the superhydrophobic surfaces. Study of
corrosion protection properties and the behavior of coatings at long-term contact
with 0.5 M NaCl solution indicated extremely high chemical stability and
remarkable anticorrosion properties.
PMID- 29799203
TI - Regio- and Enantioselective Rhodium-Catalyzed Allylic Alkylation of Racemic
Allylic Alcohols with 1,3-Diketones.
AB - Highly regio- and enantioselective rhodium-catalyzed allylic alkylation of 1,3
diketones with racemic secondary allylic alcohols is reported. In the presence of
a Rh-catalyst derived from the Carreira (P, olefin)-ligand and TFA as an
additive, chiral branched alpha-allylated 1,3-diketones could be obtained in good
to excellent yields, with excellent regio- and enantioselectivity ( b/ l > 19/1,
86-98% ee). The direct utilization of allyl alcohols as electrophiles represents
an improvement from the viewpoint of an atom economy. Both aryl- and aliphatic
substituted allyl alcohols are suitable substrates with excellent reaction
outcomes. This reaction features mild conditions, broad substrate scope, and
readily available substrates.
PMID- 29799204
TI - Ultrafast X-ray Absorption Near Edge Structure Reveals Ballistic Excited State
Structural Dynamics.
AB - Polarized ultrafast time-resolved X-ray absorption near edge structure (XANES)
allows characterization of excited state dynamics following excitation.
Excitation of vitamin B12, cyanocobalamin (CNCbl), in the alphabeta-band at 550
nm and the gamma-band at 365 nm was used to uniquely resolve axial and equatorial
contributions to the excited state dynamics. The structural evolution of the
excited molecule is best described by a coherent ballistic trajectory on the
excited state potential energy surface. Prompt expansion of the Co cavity by ca.
0.03 A is followed by significant elongation of the axial bonds (>0.25 A) over
the first 190 fs. Subsequent contraction of the Co cavity in both axial and
equatorial directions results in the relaxed S1 excited state structure within
500 fs of excitation.
PMID- 29799206
TI - Surface Engineering of Bromine-Based Plasma Polymer Films: A Step toward High
Thiol Density Containing Organic Coatings.
AB - Nowadays, the development of synthetic methods regarding the fabrication of -SH
containing organic coatings continues to attract a considerable attention. Among
the potential techniques, the plasma polymerization appears as one of the most
promising method but the difficulty to control the chemical composition of the
layers is highly limiting. In this context, in this work, we report on an
original method combining dry and wet chemistry approaches in view of selectively
incorporating -SH functions in organic coatings. Our strategy is based on the (i)
synthesis of a bromine-containing plasma polymer film, followed by (ii) a
selective grafting of dithiol-based molecule on C-Br bond. Investigating the
plasma polymerization process has revealed that, in our experimental window, the
load of energy in the discharge has little influence on the chemical composition
as well as on the cross-linking degree of the layers. This behavior is explained
by considering the concomitant influence of the gas-phase reactions and the
supply of energy to the growing film through ion bombardment. With regard to the
functionalization strategy, based on comparative X-ray photoelectron spectroscopy
measurements, it has been unambiguously demonstrated that a selective reaction
between propanedithiol and the C-Br bond acting as the reactive center takes
place resulting in the removing of the bromine atom and the incorporation of -SH
groups in the PPF. Depending on the grafting reaction duration, the relative
proportion of carbon bearing the -SH group is found to evolve from 4 to 6%. On
the other hand, the dissolution of unbounded bromine-based species in the liquid
medium during the grafting procedure is also evidenced. The whole set of our
results clearly demonstrates the attractiveness of our strategy paving the way
for new development in the fabrication of -SH-rich-containing organic thin films.
PMID- 29799205
TI - Lithocholic Acid-Based Peptide Delivery System for an Enhanced Pharmacological
and Pharmacokinetic Profile of Xenopus GLP-1 Analogs.
AB - GLP-1 analogs suffer from the main disadvantage of a short in vivo half-life.
Lithocholic acid (LCA), one of the four main bile acids in the human body,
possesses a high albumin binding rate. We therefore envisioned that a LCA-based
peptide delivery system could extend the half-life of GLP-1 analogs by
facilitating the noncovalent binding of peptides to human serum albumin. On the
basis of our previously identified Xenopus GLP-1 analogs (1-3), a series of LCA
modified Xenopus GLP-1 conjugates were designed (4a-4r), and the bioactivity
studies of these conjugates were performed to identify compounds with balanced in
vitro receptor activation potency and plasma stability. 4c, 4i, and 4r were
selected, and their LCA side chains were optimized to further increase their
stability, affording 5a-5c. Compound 5b showed a more increased albumin affinity
and prolonged in vitro stability than that of 4i and liraglutide. In db/ db mice,
5b exhibited comparable hypoglycemic and insulinotropic activity to liraglutide
and semaglutide. Importantly, the enhanced albumin affinity of 5b resulted in a
prolonged in vivo antidiabetic duration. Finally, chronic treatment
investigations of 5b demonstrated the therapeutic effects of 5b on HbA1c, body
weight, blood glucose, and pancreatic endocrine deficiencies on db/ db mice. Our
studies revealed 5b as a promising antidiabetic candidate. Furthermore, our study
suggests the derivatization of Xenopus GLP-1 analogs with LCA represents an
effective strategy to develop potent long-acting GLP-1 receptor agonists for the
treatment of type 2 diabetes.
PMID- 29799207
TI - Total Synthesis of Originally Proposed and Revised Structure of Hetiamacin A.
AB - The first total synthesis of the originally proposed and correct structures of
hetiamacin A has been accomplished via Wittig olefination and Sharpless
asymmetric dihydroxylation reaction. These total syntheses culminated in the
stereostructural confirmation of the reassignment of hetiamacin A.
PMID- 29799208
TI - Strategies for Preparing Graphene Liquid Cells for Transmission Electron
Microscopy.
AB - A graphene liquid cell for transmission electron microscopy (TEM) uses one or two
graphene sheets to separate the liquid from the vacuum in the microscope. In
principle, graphene is an excellent material for such an application because it
allows the highest possible spatial resolution, provides a flexible covering
foil, and effectively protects the liquid from evaporating. Examples in open
literature have demonstrated atomic-resolution TEM using small liquid pockets and
the coverage of whole biological cells with graphene sheets. A total of three
different basic types of liquid cells are discerned: (i) one graphene sheet is
used to cover a liquid sample supported by a thin membrane of another material
(for example, silicon nitride, SiN), (ii) two graphene sheets pressed together
leaving liquid pockets with graphene at both sides, and (iii) a spacer material
with liquid pockets covered at both sides by graphene. A total of four different
process flows are available for liquid cell assembly, but there is not yet a
consensus on the best routes, and a number of variations exist. The key step is
the transfer of graphene to a liquid sample, which is complicated by practical
issues that arise from imperfections in the graphene sheets, such as cracks. This
review provides an overview of these different approaches to assembling graphene
liquid cells and discusses the main obstacles and ideas to overcome them with the
prospect of developing the nanoscale technology needed for graphene liquid cells
so that they become available on a routine basis for electron microscopy in
liquid. It also provides guidance in selecting the appropriate type of graphene
liquid cell and the best assembly method for a specific experiment.
PMID- 29799209
TI - Anisotropic Rolling and Controlled Chirality of Nanocrystalline Diamond
Nanomembranes toward Biomimetic Helical Frameworks.
AB - Future advances in materials will be aided by improved dimensional control in
fabrication of 3D hierarchical structures. Self-rolling technology provides
additional degrees of freedom in 3D design by enabling an arbitrary rolling
direction with controllable curvature. Here, we demonstrate that deterministic
helical structures with variable rolling directions can be formed through
releasing a strained nanomembrane patterned in a "utility knife" shape. The
asymmetry of the membrane shape provides anisotropic driving force generated by
the disparity between the etching rates along different sides in this asymmetric
shape. A transient finite element method (FEM) model of diagonal rolling is
established to analyze the relationships among geometries, elastic properties,
and boundary conditions. On the basis of this model, a diamond-based helical
framework consisting of two or three helical segments has been fabricated to
mimic the shapes of natural plants. Further experiment has been done to extend
this approach to other materials and material combinations, such as MoSe2/Cr,
Cr/Pt, and VO2. To demonstrate the possible application accessible by our
technology to new fields, VO2-based helical microscale actuation has been
demonstrated with photocontrollable bending in a selected region, as well as
morphable and recognizable helix. This study offers a new way to construct
helical mesostructures that combine special properties of the advanced materials,
thus possess novel features and potential applications.
PMID- 29799211
TI - Hospitalizations, active component, U.S. Armed Forces, 2017.
PMID- 29799210
TI - Absolute and relative morbidity burdens attributable to various illnesses and
injuries, active component, U.S. Armed Forces, 2017.
PMID- 29799212
TI - Ambulatory visits, active component, U.S. Armed Forces, 2017.
PMID- 29799213
TI - Surveillance snapshot: Illness and injury burdens, reserve component, U.S. Armed
Forces, 2017.
PMID- 29799214
TI - Surveillance snapshot: Illness and injury burdens, recruit trainees, active
component, U.S. Armed Forces, 2017.
PMID- 29799216
TI - Absolute and relative morbidity burdens attributable to various illnesses and
injuries, non-service member beneficiaries of the Military Health System, 2017.
PMID- 29799215
TI - Morbidity burdens attributable to various illnesses and injuries, deployed active
and reserve component service members, U.S. Armed Forces, 2017.
PMID- 29799217
TI - Uncovering the Connection Between Low-Frequency Dynamics and Phase Transformation
Phenomena in Molecular Solids.
AB - The low-frequency motions of molecules in the condensed phase have been shown to
be vital to a large number of physical properties and processes. However, in the
case of disordered systems, it is often difficult to elucidate the atomic-level
details surrounding these phenomena. In this work, we have performed an extensive
experimental and computational study on the molecular solid camphor, which
exhibits a rich and complex structure-dynamics relationship, and undergoes an
order-disorder transition near ambient conditions. The combination of x-ray
diffraction, variable temperature and pressure terahertz time-domain
spectroscopy, ab initio molecular dynamics, and periodic density functional
theory calculations enables a complete picture of the phase transition to be
obtained, inclusive of mechanistic, structural, and thermodynamic phenomena.
Additionally, the low-frequency vibrations of a disordered solid are
characterized for the first time with atomic-level precision, uncovering a clear
link between such motions and the phase transformation. Overall, this combination
of methods allows for significant details to be obtained for disordered solids
and the associated transformations, providing a framework that can be directly
applied for a wide range of similar systems.
PMID- 29799218
TI - Exact Local Correlations and Full Counting Statistics for Arbitrary States of the
One-Dimensional Interacting Bose Gas.
AB - We derive exact analytic expressions for the n-body local correlations in the one
dimensional Bose gas with contact repulsive interactions (Lieb-Liniger model) in
the thermodynamic limit. Our results are valid for arbitrary states of the model,
including ground and thermal states, stationary states after a quantum quench,
and nonequilibrium steady states arising in transport settings. Calculations for
these states are explicitly presented and physical consequences are critically
discussed. We also show that the n-body local correlations are directly related
to the full counting statistics for the particle-number fluctuations in a short
interval, for which we provide an explicit analytic result.
PMID- 29799219
TI - LISA Sources in Milky Way Globular Clusters.
AB - We explore the formation of double-compact-object binaries in Milky Way (MW)
globular clusters (GCs) that may be detectable by the Laser Interferometer Space
Antenna (LISA). We use a set of 137 fully evolved GC models that, overall,
effectively match the properties of the observed GCs in the MW. We estimate that,
in total, the MW GCs contain ~21 sources that will be detectable by LISA. These
detectable sources contain all combinations of black hole (BH), neutron star, and
white dwarf components. We predict ~7 of these sources will be BH-BH binaries.
Furthermore, we show that some of these BH-BH binaries can have signal-to-noise
ratios large enough to be detectable at the distance of the Andromeda galaxy or
even the Virgo cluster.
PMID- 29799220
TI - Fracton-Elasticity Duality.
AB - Motivated by recent studies of fractons, we demonstrate that elasticity theory of
a two-dimensional quantum crystal is dual to a fracton tensor gauge theory,
providing a concrete manifestation of the fracton phenomenon in an ordinary
solid. The topological defects of elasticity theory map onto charges of the
tensor gauge theory, with disclinations and dislocations corresponding to
fractons and dipoles, respectively. The transverse and longitudinal phonons of
crystals map onto the two gapless gauge modes of the gauge theory. The restricted
dynamics of fractons matches with constraints on the mobility of lattice defects.
The duality leads to numerous predictions for phases and phase transitions of the
fracton system, such as the existence of gauge theory counterparts to the
(commensurate) crystal, supersolid, hexatic, and isotropic fluid phases of
elasticity theory. Extensions of this duality to generalized elasticity theories
provide a route to the discovery of new fracton models. As a further consequence,
the duality implies that fracton phases are relevant to the study of interacting
topological crystalline insulators.
PMID- 29799221
TI - Ionic Impurity in a Bose-Einstein Condensate at Submicrokelvin Temperatures.
AB - Rydberg atoms immersed in a Bose-Einstein condensate interact with the quantum
gas via electron-atom and ion-atom interaction. To suppress the typically
dominant electron-neutral interaction, Rydberg states with a principal quantum
number up to n=190 are excited from a dense and tightly trapped micron-sized
condensate. This allows us to explore a regime where the Rydberg orbit exceeds
the size of the atomic sample by far. In this case, a detailed line shape
analysis of the Rydberg excitation spectrum provides clear evidence for ion-atom
interaction at temperatures well below a microkelvin. Our results may open up
ways to enter the quantum regime of ion-atom scattering for the exploration of
charged quantum impurities and associated polaron physics.
PMID- 29799222
TI - Experimental Observation of One-Dimensional Superradiance Lattices in Ultracold
Atoms.
AB - We measure the superradiant emission in a one-dimensional (1D) superradiance
lattice (SL) in ultracold atoms. Resonantly excited to a superradiant state, the
atoms are further coupled to other collectively excited states, which form a 1D
SL. The directional emission of one of the superradiant excited states in the 1D
SL is measured. The emission spectra depend on the band structure, which can be
controlled by the frequency and intensity of the coupling laser fields. This work
provides a platform for investigating the collective Lamb shift of resonantly
excited superradiant states in Bose-Einstein condensates and paves the way for
realizing higher dimensional superradiance lattices.
PMID- 29799223
TI - Nonlinear Focal Modulation Microscopy.
AB - We demonstrate nonlinear focal modulation microscopy (NFOMM) to achieve
superresolution imaging. Traditional approaches to superresolution that utilize
point scanning often rely on spatially reducing the size of the emission pattern
by directly narrowing (e.g., through minimizing the detection pinhole in
Airyscan, Zeiss) or indirectly peeling its outer profiles [e.g., through
depleting the outer emission region in stimulated emission depletion (STED)
microscopy]. We show that an alternative conceptualization that focuses on
maximizing the optical system's frequency shifting ability offers advantages in
further improving resolution while reducing system complexity. In NFOMM, a
spatial light modulator and a suitably intense laser illumination are used to
implement nonlinear focal-field modulation to achieve a transverse spatial
resolution of ~60 nm (~lambda/10). We show that NFOMM is comparable with STED
microscopy and suitable for fundamental biology studies, as evidenced in imaging
nuclear pore complexes, tubulin and vimentin in Vero cells. Since NFOMM is
readily implemented as an add-on module to a laser-scanning microscope, we
anticipate wide utility of this new imaging technique.
PMID- 29799225
TI - Out-of-Bounds Hydrodynamics in Anisotropic Dirac Fluids.
AB - We study hydrodynamic transport in two-dimensional, interacting electronic
systems with merging Dirac points at charge neutrality. The dispersion along one
crystallographic direction is Dirac-like, while it is Newtonian-like in the
orthogonal direction. As a result, the electrical conductivity is metallic in one
and insulating in the other direction. The shear viscosity tensor contains six
independent components, which can be probed by measuring an anisotropic thermal
flow. One of the viscosity components vanishes at zero temperature leading to a
generalization of the previously conjectured lower bound for the shear viscosity
to entropy density ratio.
PMID- 29799224
TI - Elastohydrodynamic Lift at a Soft Wall.
AB - We study experimentally the motion of nondeformable microbeads in a linear shear
flow close to a wall bearing a thin and soft polymer layer. Combining
microfluidics and 3D optical tracking, we demonstrate that the steady-state bead
to-surface distance increases with the flow strength. Moreover, such lift is
shown to result from flow-induced deformations of the layer, in quantitative
agreement with theoretical predictions from elastohydrodynamics. This study thus
provides the first experimental evidence of "soft lubrication" at play at small
scale, in a system relevant, for example, to the physics of blood
microcirculation.
PMID- 29799227
TI - Broadband Control of Topological Nodes in Electromagnetic Fields.
AB - We study topological nodes (phase singularities) in electromagnetic wave
interactions with structures. We show that, when the nodes exist, it is possible
to bind certain nodes to a specific plane in the structure by a combination of
mirror and time-reversal symmetry. Such binding does not rely on any resonances
in the structure. As a result, the nodes persist on the plane over a wide
wavelength range. As an implication of such broadband binding, we demonstrate
that the topological nodes can be used for hiding of metallic objects over a
broad wavelength range.
PMID- 29799226
TI - First Extraction of Transversity from a Global Analysis of Electron-Proton and
Proton-Proton Data.
AB - We present the first extraction of the transversity distribution in the framework
of collinear factorization based on the global analysis of pion-pair production
in deep-inelastic scattering and in proton-proton collisions with a transversely
polarized proton. The extraction relies on the knowledge of dihadron
fragmentation functions, which are taken from the analysis of electron-positron
annihilation data. For the first time, the transversity is extracted from a
global analysis similar to what is usually done for the spin-averaged and
helicity distributions. The knowledge of transversity is important for, among
other things, detecting possible signals of new physics in high-precision low
energy experiments.
PMID- 29799228
TI - Integrable Time-Dependent Quantum Hamiltonians.
AB - We formulate a set of conditions under which the nonstationary Schrodinger
equation with a time-dependent Hamiltonian is exactly solvable analytically. The
main requirement is the existence of a non-Abelian gauge field with zero
curvature in the space of system parameters. Known solvable multistate Landau
Zener models satisfy these conditions. Our method provides a strategy to
incorporate time dependence into various quantum integrable models while
maintaining their integrability. We also validate some prior conjectures,
including the solution of the driven generalized Tavis-Cummings model.
PMID- 29799229
TI - Observable Windows for the QCD Axion Through the Number of Relativistic Species.
AB - We show that when the QCD axion is directly coupled to quarks with
c_{i}/f?_{MU}aq[over -]_{i}gamma^{MU}gamma^{5}q_{i}, such as in Dine-Fischler
Srednicki-Zhitnitsky models, the dominant production mechanism in the early
Universe at temperatures 1 GeV?T?100 GeV is obtained via q_{i}q[over -]_{i}<
>ga and q_{i}g<->q_{i}a, where g are gluons. The production of axions through
such processes is maximal around T~m_{i}, where m_{i} are the different heavy
quark masses. This leads to a relic axion background that decouples at such
temperatures, leaving a contribution to the effective number of relativistic
degrees of freedom, which can be larger than the case of decoupling happens the
electroweak phase transition, DeltaN_{eff}?0.027. Our prediction for the t quark
is 0.027<=DeltaN_{eff}<=0.036 for 10^{6} GeV?f/c_{t}?4*10^{8} GeV and for the b
quark is 0.027<=DeltaN_{eff}<=0.047 for 10^{7} GeV?f/c_{b}?3*10^{8} GeV. For
the c quark the window can only be roughly estimated as
0.027 0.05). However, COPD patients exhibited a greater increase in
gait variability than controls in DT (4.07 +/- 1.46% vs. 2.17 +/- 0.7%, p <
0.001). The pulmonary rehabilitation program had no effect on the dual-task
impairment for the subsample of patients (p = 0.87). This study provides evidence
of insufficient attentional resources to successfully deal with DT in patients
with COPD, and this was expressed through an exaggerated increase in gait
variability in DT walking. Given the high risk of falls and disability associated
with altered gait variability, dual-task training interventions should be
considered in pulmonary rehabilitation programs.
PMID- 29799282
TI - Analysis of predictors of opioid-free analgesia for management of acute post
surgical pain in the United States.
AB - OBJECTIVES: Utilization of opioid-free analgesia (OFA) for post-surgical pain is
a growing trend to counter the risks of opioid abuse and opioid-related adverse
drug events (ORADEs). However, utilization patterns of OFA have not been
examined. In this study, we investigated the utilization patterns and predictors
of OFA in a surgical population in the United States. METHODS: Analysis of the
Cerner Health Facts database (January 2011 to December 2015) was conducted to
describe hospital and patient characteristics associated with OFA. Baseline
characteristics, such as age, gender, race, discharge status, year of admission
and chronic comorbidities at index admission were collected. Hospital
characteristics and payer type at index admission were collected as reported in
the electronic health record database. Descriptive statistics and logistic
regression were used to identify statistically significant predictors of OFA on
patient and institutional levels. RESULTS: The study identified 10,219 patients,
from 187 hospitals, who received post-surgical OFA and 255,196 patients who
received post-surgical opioids. OFA rates varied considerably by hospital.
Patients more likely to receive OFA were older (OR = 1.06, 95% CI [1.03, 1.10]; p
< .001), or had neurological disorders (OR = 1.24, 95% CI [1.10, 1.39]; p <
.001), diabetes (OR = 1.20, 95% CI [1.08, 1.33]; p = .001) or psychosis (OR =
1.18, 95% CI [1.01, 1.37]; p = .030). Patients with obesity and depression were
less likely to receive OFA (OR = 0.80, 95% CI [0.67, 0.95]; p = .010 OR = 0.85,
95% CI [0.73, 0.98]; p = .030, respectively). CONCLUSIONS: Use of post-surgical
OFA was limited overall and was not favored in some patient groups prone to
ORADEs, indicating missed opportunities to reduce opioid use and ORADE incidence.
A substantial proportion of OFA patients was contributed by a few hospitals with
especially high rates of OFA, suggesting that hospital policies, institutional
structure and cross-functional departmental commitment to reducing opioid use may
play a large role in the implementation of OFA.
PMID- 29799283
TI - Effects of thymol and carvacrol on sperm quality and oxidant/antioxidant balance
in rats.
AB - In this study, we have investigated the effects of different doses of thymol (T)
and carvacrol (C) on sperm quality oxidative stress and antioxidant system. For
this purpose, 49 rats were divided into seven groups (7 rats in each group): 1st
Group (control); 2nd Group T-10 (thymol 10 mg/kg), 3rd Group T-20 (thymol 20
mg/kg), 4th Group C-10 (carvacrol 10 mg/kg), 5th Group C-20 (carvacrol 20 mg/kg),
6th Group T + C-10 (thymol 10 mg/kg + carvacrol 10 mg/kg) and 7th Group T + C-20
(thymol 20 mg/kg + carvacrol 20 mg/kg). The duration of the experiment was 10
weeks for all animals. During the study, sperm quality parameters (motility,
concentration, abnormal spermatozoa and live-dead sperm ratio), biochemical
parameters [malondialdehyde (MDA), reduced glutathione(GSH), glutathione
peroxidase (GSH-Px), catalase (CAT), AST, ALT, GGT, urea and creatinine] were
analysed, and histopathological examination was performed. The study results
showed that monotherapies of thymol and carvacrol significantly decreased MDA
levels in testicles, liver and kidney tissues compared to the control group (p <
.001). GSH levels increased only with the thymol administration and GSH-Px and
catalase activity increased only with the carvacrol administration compared to
the control group (p < .05). The combined administration of these two agents did
not cause any significant change in any parameter. Regarding the sperm quality
parameters, only the spermatozoa concentration and motility increased
significantly in the thymol and carvacrol groups compared to the control group (p
< .01). However, these parameters decreased in the 7th Group (T + C-20) compared
to the control group (p < .001). Considering the dead sperm ratio decreased
significantly in the 2nd (T-10), 3rd (T-20), 4th (C-10), 5th (C-20) and 6th Group
(T + C-10) compared to the control group (p < .001). In respect of spermatozoon
anomaly, there was a significant decrease in thymol and carvacrol monotherapy
groups. The histopathological analysis of the testicle, liver and kidney tissues
of the animals showed no difference between the groups. In conclusion, we have
determined that thymol and carvacrol administration decreased the oxidative
damage and increased the antioxidant levels and improved the sperm quality
parameters. However, the combined use of these two active ingredients had a
limited therapeutic effect on the mentioned parameters.
PMID- 29799284
TI - Poison control center experience with tianeptine: an unregulated pharmaceutical
product with potential for abuse.
AB - BACKGROUND: Interest in tianeptine as a potential drug of abuse is increasing in
the United States. We performed a retrospective study of calls to the New York
State Poison Control Centers (PCCs) designed to characterize one state's
experience with tianeptine. METHODS: Data were gathered from existing records
utilizing the poison center data collection system, Toxicall(r) entered between 1
January 2000 through 1 April 2017. Information regarding patient demographics,
reported dose and formulation of tianeptine, reported coingestants, brief
narrative description of the case, disposition, and case outcome was collected.
RESULTS: There were nine reported cases of tianeptine exposure. Seven were male
with a mean age of 27. Three reported therapeutic use of tianeptine and five
reported intentional abuse. One case was an unintentional pediatric exposure.
Doses were reported in three cases; 12.5 mg in a pediatric unintentional
exposure, and 5 and 10 g daily in the two reports of intentional abuse. Of note,
five patients complained of symptoms consistent with opioid withdrawal. In one of
two cases in which naloxone was administered, an improvement in mental status and
the respiratory drive was noted. Outcomes reported in Toxicall(r) were minor in
two cases, moderate in five cases, major in one case, and not reported in one
case. CONCLUSIONS: These cases, reported to the New York State PCCs should alert
readers to the potential for tianeptine abuse, dependence, and withdrawal.
PMID- 29799285
TI - Rehabilitation of Patients with Coexisting COPD and Heart Failure.
AB - Chronic obstructive pulmonary disease (COPD) and chronic heart failure (CHF)
frequently coexist, significantly reducing the patient's quality of life (QoL)
and increasing morbidity, disability and mortality. For both diseases, a
multidisciplinary disease-management approach offers the best outcomes and
reduces hospital readmissions. In both conditions, muscle dysfunction may
dramatically influence symptoms, exercise tolerance/performance, health status
and healthcare costs. The present review describes muscular abnormalities and
mechanisms underlying these alterations. This review also discusses studies on
training programs for patients with COPD, CHF and, where available, combined COPD
CHF diagnosis. Dyspnea, peripheral muscles and activities of daily living (ADL)
represent a potential starting point for improving patients' functioning level
and quality of life in COPD and CHF. A synergy of the combined diagnostic,
pharmacological and rehabilitation treatment interventions is also essential.
Integration between exercise training, drug therapy and nutritional care could be
a valid, synergic and tailored approach for patients presenting with both
diseases, and may have a positive impact on the exercise performance.
PMID- 29799286
TI - Canagliflozin for the treatment of type 2 diabetes: a comparison between Japanese
and non-Japanese patients.
AB - INTRODUCTION: Canagliflozin, a sodium-glucose co-transporter-2 (SGLT2) inhibitor,
improves various cardiometabolic parameters. Although canagliflozin was
originally discovered in Japan, no comprehensive summary of its effects in
Japanese patients has been reported. As differences exist in the pathologic
features of diabetes between Japanese and non-Japanese populations, it is
important to consolidate Japanese data for canagliflozin. Areas covered: The
authors summarize Japanese clinical trial and post-marketing surveillance data
for canagliflozin, and make comparisons with non-Japanese data. They also
consider the therapeutic potential of canagliflozin in Japanese patients by
presenting results from the CANagliflozin cardioVascular Assessment Study
(CANVAS) Program. Expert opinion: In Japanese patients, canagliflozin 100 mg,
administered as monotherapy or combination therapy, improved blood glucose, body
weight, and blood pressure, and was well tolerated; the efficacy and safety
profiles were comparable to previous clinical studies in other countries. In the
CANVAS Program, canagliflozin reduced major cardiovascular events, and although
Japan was not included in this program, canagliflozin may have cardiovascular
benefits in Japanese patients, in whom control of multiple risk factors is
important for preventing diabetic complications. Patients with high
cardiovascular risk often have multiple comorbidities, so it is important to
consider the risk-benefit balance of using SGLT2 inhibitors in individual
patients.
PMID- 29799287
TI - Early predictors of summative assessment performance in general practice post
graduate training: A retrospective cohort study.
AB - BACKGROUND: Success in summative general practice (GP) training assessments is
one indicator of competence for practice. Early-training factors predictive of
outcomes would facilitate targeted interventions aimed at preventing candidate
failures. METHODS: We undertook a retrospective cohort study of Australian GP
trainees in two training organizations over five years. Associations of pre
training and early-training predictors with summative examination scores in an
Applied Knowledge Test (AKT), Key Features Paper (KFP), and Objective Structured
Clinical Examination (OSCE), plus failure on any one of these, were tested via
univariate and multivariable regression. Predictors were program-entry selection
score decile, pre-training-commencement multiple choice assessment (MCQA), direct
observation of practice performance, and clinical supervisor reports. RESULTS: On
univariate analyses, selection decile and MCQA were associated with all outcomes
except AKT. There were no associations of other predictors with any outcomes. On
multivariable analysis, selection decile and MCQA performance were predictive of
OSCE performance. MCQA performance was also predictive of KFP performance. On
multivariable analysis, no predictors were associated with AKT performance or
failing any examination. CONCLUSIONS: Selection decile and pre-commencement MCQA
performance were predictive of performance in some summative assessments. These
findings could inform selection policies and targeted early interventions for
trainees at most risk for exam failure.
PMID- 29799288
TI - Testosterone and estrogen in multiple sclerosis: from pathophysiology to
therapeutics.
AB - INTRODUCTION: Neuroprotection and remyelination are two unmet needs in the
treatment of multiple sclerosis (MS). Therapeutic potential has been identified
with sexual hormones, supported in women by a decrease in MS activity during the
pregnancy, in men by a greater severity of symptoms and a faster progression than
in women. Areas covered: The therapeutic effect of testosterone and estrogens is
reviewed. Both hormones have demonstrated an anti-inflammatory effect.
Testosterone has an effect in protecting neurons in culture against glutamate
induced toxicity and oxidative stress, and stimulates myelin formation and
regeneration mediated through the neural androgen receptor. In experimental
autoimmune encephalomyelitis model, estrogens significantly decrease inflammation
in the central nervous system via ERalpha, while its action on ERbeta leads to
myelin and axon reparation. Estriol therapy in two phase 2 trials showed a
decrease in clinical disease activity and inflammatory parameters in MRI.
However, evidence of a therapeutic effect of testosterone is scarce. Expert
commentary: Phase 3 trials with estriol as an add-on supplementation are now
mandatory. Testosterone is another candidate to be tested in phase 2 trials.
These hormones should be considered as an adjunctive therapy. New validated tools
are needed to assess their effect on neuroprotection and remyelination.
PMID- 29799289
TI - Bronchodilator Responses in Respiratory Impedance, Hyperinflation and Gas
Trapping in COPD.
AB - Hyperinflation, gas trapping and their responses to long-acting bronchodilator
are clinically important in COPD. The forced oscillation technique (FOT) measures
of respiratory system resistance and reactance are sensitive markers of
bronchodilator response in COPD. The relationships between changes in resistance
and reactance, and changes in hyperinflation and gas trapping, following long
acting bronchodilator (LA-BD) have not been studied. 15 subjects with mild
moderate COPD underwent FOT, spirometry then body plethysmography, before and 2
hours after a single 150 microg dose of the LA-BD indacaterol. Hyperinflation was
quantified as the inspiratory capacity to total lung capacity ratio (IC/TLC), and
gas trapping as residual volume to TLC ratio (RV/TLC). At baseline, FOT
parameters were moderately correlated with IC/TLC (|r| 0.53-0.73, p < 0.05). At 2
hours post-LA-BD, there were moderate correlations between change in FOT and
change in RV/TLC (|r| 0.60-0.82, p < 0.05). Baseline FOT parameters also
correlated with the subsequent post-LA-BD change in both IC/TLC (|r| 0.54-0.62, p
< 0.05) and RV/TLC (|r| 0.57-0.76, p < 0.05). FOT impedance reflects
hyperinflation and gas trapping in COPD, and the potential for long-acting
bronchodilator responsiveness. These results provide us with further insight into
the physiological mechanisms of action of long-acting bronchodilator treatment,
and may be clinically useful for predicting treatment responses.
PMID- 29799290
TI - Analysis of candidate genes ZEB1 and LOXHD1 in late-onset Fuchs' endothelial
corneal dystrophy in an Indian cohort.
AB - BACKGROUND: Fuchs' endothelial corneal dystrophy (FECD) is a complex degenerative
disease of the corneal endothelium with genetic predisposition. Pathogenic rare
variants have been identified in SLC4A11, LOXHD1, ZEB1, and AGBL1. Association of
single nucleotide polymorphisms (SNPs) and CTG trinucleotide repeat expansions in
the intron of TCF4 gene to FECD has been studied across multiple ethnicities.
Recently, genome-wide association studies have also identified KANK4, LAMC1, and
ATP1B1 as novel loci for FECD. Here, we report the contribution of ZEB1 and
LOXHD1 genes in our sporadic late-onset FECD cohort. MATERIALS AND METHODS: In
the experimental study, coding regions of ZEB1 and LOXHD1 were screened by Sanger
DNA sequencing in 52 late-onset and 5 early-onset FECD cases of Indian origin,
recruited at a tertiary eye care center. Further, bioinformatics analysis was
done. RESULTS: One reported missense mutation, c.2522A>C; p.(Q841P), and one
variant of uncertain significance (VUS), c.619A>G; p.(S207G), were identified in
the ZEB1 gene. One VUS, c.6413G>Ap.(R2138Q), was observed in LOXHD1. A 3D
structural bioinformatic analysis of the missense variant in LOXHD1 predicted the
variant to affect the structure-function relationship of the protein. DISCUSSION:
While mutations in ZEB1 contributed to 2% of the late-onset FECD cases, the exact
role of the two VUS identified in ZEB1 and LOXHD1 in FECD pathogenesis needs to
be studied.
PMID- 29799291
TI - Epigenetic regulatory modifications in genetic and sporadic frontotemporal
dementia.
AB - INTRODUCTION: Epigenetic modifications have recently been linked to
neurodegenerative diseases, such as frontotemporal dementia (FTD), which
represents the second most common form of dementia in adulthood after Alzheimer's
disease (AD). Epigenetic regulation occurs at different cellular levels and serve
as a way to alter genetic information not only in aging but also following
environmental signals. Thus, epigenetics mechanisms could exert their function at
early stage of the disease, especially in sporadic cases. Areas covered: Herein,
the available evidence supporting the concept that epigenetic-driven changes
might shed the light into the pathogenic mechanisms of FTD will be summarized,
with particular regard to their influence in underlying sporadic/familiar FTD
onset and/or severity, and to the possibility to open a new scenario to
facilitate early diagnosis and the identification of novel therapeutic targets.
Bibliographic search through PubMed was used to find the studies included in this
review. Expert commentary: Although epigenetic investigation in neurodegenerative
disorders is in its infancy, recent advances in the technology of epigenetic
change determination has led to novel, challenging findings. In particular, the
knowledge and the characterization of epigenetic events could result in novel
therapeutic strategies.
PMID- 29799292
TI - Treatment patterns, adherence, and persistence among psoriasis patients treated
with biologics in a real-world setting, overall and by disease severity.
AB - PURPOSE: Describe treatment patterns by disease severity among biologic-treated
psoriasis patients. MATERIALS AND METHODS: We selected our study cohort in the
IQVIA PharMetrics Plus adjudicated claims database linked to Electronic Health
Record data from Modernizing Medicine Data Services. Patients were classified as
having mild, moderate, or severe psoriasis based on a hierarchy of available
severity measures. Patients were followed for 360 days to assess combination
therapy, therapy switching and restarting, adherence and persistence. RESULTS:
The cohort comprised 2130 biologic-treated patients (mean age: 47.6 years; 45.4%
female); 447 (21%) had available disease severity measures. Compared to patients
with mild (N = 282) psoriasis, more patients with moderate (N = 116) or severe (N
= 49) disease used combination therapy (21.3% vs. 34.5% and 32.7%, respectively),
switched therapies (12.1% vs. 19.8% and 22.4%), and discontinued biologics (18.4%
vs. 27.6% and 36.7%). Mean adherence was <75% by Medication Possession Ratio
(MPR) (73.9%) and Proportion of Days Covered (PDC) (70.2%). Overall, 52.2% had a
mean MPR >80%. Mean persistence to biologics was 297.6 days. Persistence and
adherence decreased with increasing disease severity. CONCLUSIONS: Biologic
treated psoriasis patients had inadequate adherence (i.e., MPR <80%) and modest
persistence to biologics, with moderate and severe patients demonstrating lower
adherence and persistence than mild patients.
PMID- 29799293
TI - Factors associated with decreasing serum 25(OH)D among Japanese patients with
rheumatoid arthritis: Results from the IORRA cohort study.
AB - OBJECTIVE: The aim of this study was to investigate factors that predict a
decrease in serum 25(OH)D among Japanese patients with rheumatoid arthritis (RA).
METHODS: In 2011 and 2013, serum 25(OH)D was evaluated in the same 2534 Japanese
patients with RA (2179 women and 355 men) who participated in the Institute of
Rheumatology Rheumatoid Arthritis (IORRA) cohort study. A vitamin D deficiency
was defined as serum 25(OH)D levels <20 ng/mL. Predictive factors resulting in
decreased serum 25(OH)D over a 2-year period were evaluated using multivariate
logistic regression. RESULTS: The prevalence of vitamin D deficiency was 73.3% in
2011 and 68.2% in 2013. Serum 25(OH)D levels decreased by >5 ng/mL from 2011 to
2013 in 224 (8.8%) patients. A serum 25(OH)D decrease of >5 ng/mL was
significantly associated with female gender, younger age, and disuse of
bisphosphonates among all patients, and younger age, higher Japanese health
assessment questionnaire disability index (JHAQ-DI), increased tender joint
counts, and disuse of bisphosphonates and/or active vitamin D3 among women with
RA. CONCLUSION: Female gender, younger age, JHAQ-DI, tender joint counts, and
disuse of bisphosphonates and/or active vitamin D3 appear to be associated with a
decrease in serum 25(OH)D in Japanese patients with RA.
PMID- 29799294
TI - The protean role of haptoglobin and haptoglobin genotypes on vascular
complications in diabetes mellitus.
AB - Introduction and background Haptoglobin (Hp) is considered to be an antioxidant
and protective against cardiovascular complications. Polymorphisms in the Hp gene
interact with diabetes mellitus to affect the risk of vascular complications.
Methods We review the updated literature about the protean role of Hp and Hp
genotypes spanning genomics, molecular, translational and clinical studies. We
searched Pubmed, SCOPUS and Google Scholar for all articles using the keywords:
haptoglobin and/or haptoglobin polymorphism and diabetes. We review the diverse
Hp genotypes, phenotypes and the impact on diabetes complications, including
lessons from animal models and in vitro models. We describe the clinical studies
on the associations of Hp genotypes with vascular complications in type 1 and
type 2 diabetes comprehensively. We review the studies looking at vitamin E
supplementation in a personalized manner in Hp2-2 diabetes individuals. Results
and conclusion Hp genotypes have evolved as a result of deletions in the
traditional Hp genes. The Hp genotypes have been associated with microvascular
and macrovascular complications in type 1 diabetes mellitus but the association
in type 2 diabetes is more consistent with cardiovascular complications. A
preferential benefit of vitamin E and other antioxidants in the Hp2-2 genotype
for cardiovascular complications in type 2 diabetes has been seen presumably
secondary to interaction with high-density lipoprotein function. Hence, the Hp
genotype can be used to personalize antioxidant therapeutics in diabetes
patients. These results need to be corroborated in large, global, pragmatic,
prospective, cardiovascular outcome trials in type 2 diabetes patients.
PMID- 29799295
TI - Impaired left atrial dynamics and its improvement by guided physical activity
reveal left atrial strain as a novel early indicator of reversible cardiac
dysfunction in rheumatoid arthritis.
PMID- 29799296
TI - Statins for primary prevention and rhabdomyolysis: A nationwide cohort study in
France.
AB - Aims The purpose of this study was to investigate the risk of rhabdomyolysis in
subjects initiating statin therapy for primary prevention of cardiovascular
disease, focusing on the type of statin, dose and time since initiation. Methods
and results A nationwide cohort study using French hospital discharge and claims
databases was performed, studying subjects from the general population 40-75
years in 2009, with no history of cardiovascular disease and no lipid-lowering
drugs during the preceding three-year period, followed for up to seven years. The
primary outcome was hospitalization for rhabdomyolysis. Event-free survival
analysis and case-time-control analysis were both performed, separately by
gender. The cohort included 8,236,667 subjects, 969,460 of whom initiated a lipid
lowering drug for cardiovascular disease primary prevention. During 18,407,391
person-months exposed to statins, 168 events were observed, corresponding to an
incidence of rhabdomyolysis of 1.10 per 10,000 person-years (1.54 in men vs 0.81
in women); 10/168 cases were fatal, and 18/168 and 57/168 cases occurred during
the first month and first trimester of treatment, respectively. Survival analysis
did not reveal any increased overall risk (hazard ratio = 1.02 (0.83-1.25) in men
and 0.76 (0.60-0.96) in women). However, exposure to high-potency statins was
associated with an increased risk in men (hazard ratio = 1.93 (1.27-2.94)).
Rosuvastatin 20 mg (in men and women) and simvastatin 40 mg (in men) were
associated with hazard ratios > 5. Case-time-control analyses showed similar
patterns of risk. Drug interactions did not appear to significantly contribute to
rhabdomyolysis events in this study. Conclusion Although the overall risk of
statin-associated rhabdomyolysis in the context of primary prevention was not
increased, the first months of treatment and the use of high-potency statins
represent at-risk situations, which require appropriate monitoring, especially in
men.
PMID- 29799297
TI - Physical Inactivity, Functional Status and Exercise Capacity in COPD Patients
Receiving Home-Based Oxygen Therapy.
AB - Chronic obstructive pulmonary disease (COPD) has systemic consequences that lead
to reduced physical activity in daily life (PADL). Little is known about PADL and
its associations in individuals with COPD on home-based long-term oxygen therapy
(LTOT). The objective of the study was to determine whether there is an
association between severe physical inactivity and pulmonary function, fatigue,
dyspnea, functional status and exercise capacity in individuals with COPD on home
based LTOT using electric oxygen concentrators and to investigate which of these
variables could influence inactivity in these individuals. The population sample
included 39 individuals with COPD who were on LTOT (69 +/- 8 years, FEV1: 32 +/-
14% predicted). They were assessed in terms of PADL (number of steps/day),
fatigue (Fatigue Severity Scale - FSS), dyspnea (Medical Research Council - MRC
scale), functional status (London Chest ADL scale [LCADL] and Timed Up and Go
[TUG] test) and functional exercise capacity (Six-Minute Step test [6MST] and Sit
to-Stand test [STST]). PADL was markedly low (1444 +/- 1203 steps/day) and
associated with daily duration of LTOT (r = -0.50), fatigue (r = -0.36), LCADL (r
= -0.41), 6MST (r = 0.48), and STST (r = 0.53) (p < .05 for all). Multiple linear
regression revealed that daily duration of LTOT and STST explained 39% of the
variability of PADL. Longer daily duration of LTOT, fatigue, worse functional
status and exercise capacity were all associated with physical inactivity in
individuals with COPD on LTOT, whereas daily duration of LTOT and the STST were
determinants of reduced physical activity.
PMID- 29799298
TI - Special Issue on Advances in Single-Case Research Design and Analysis.
PMID- 29799299
TI - Outcomes following a locomotor training protocol on balance, gait, exercise
capacity, and community integration in an individual with a traumatic brain
injury: a case report.
AB - BACKGROUND AND PURPOSE: The NeuroRecovery Network (NRN) established a locomotor
training protocol that has shown promising results for individuals with spinal
cord injury, yet research to date has not determined its feasibility in those
with traumatic brain injury (TBI). The purpose of this case report was to
determine the feasibility of implementing the NRN protocol in an individual with
a TBI. CASE DESCRIPTION: The participant was a 38-year-old male, 21 years post
TBI. Twenty-four sessions of the therapy portion of the NRN protocol were
provided. Outcome measures included the Berg Balance Scale (BBS), spatial
temporal parameters of gait, 6-Minute Walk Test and Community Integration
Questionnaire (CIQ). OUTCOMES: His BBS score improved from 37/56 to 43/56. Left
step length improved; although gait speed, cadence, stride length and right step
length did not. Observable changes were noted in quality of gait. Six-Minute Walk
Distance increased by 47.2 m while CIQ score changes did not exceed the minimal
detectable change (MDC) value. DISCUSSION: Use of the NRN protocol may be
feasible in individuals with TBI, though 24 sessions may not have been enough to
achieve the full potential benefit of this intervention in an individual with a
chronic TBI.
PMID- 29799300
TI - Controlled delivery of the antiprotozoal agent (tinidazole) from intravaginal
polymer matrices for treatment of the sexually transmitted infection,
trichomoniasis.
AB - Microporous polymeric matrices prepared from poly(E-caprolactone) [PCL] were
evaluated for controlled vaginal delivery of the antiprotozoal agent (tinidazole)
in the treatment of the sexually transmitted infection, trichomoniasis. The
matrices were produced by rapidly cooling co-solutions of PCL and tinidazole in
acetone to -80 degrees C to induce crystallisation and hardening of the polymer.
Tinidazole incorporation in the matrices increased from 1.4 to 3.9% (w/w), when
the drug concentration in the starting PCL solution was raised from 10 to 20%
(w/w), giving rise to drug loading efficiencies up to 20%. Rapid 'burst release'
of 30% of the tinidazole content was recorded over 24 h when the PCL matrices
were immersed in simulated vaginal fluid. Gradual drug release occurred over the
next 6 days resulting in delivery of around 50% of the tinidazole load by day 7
with the released drug retaining antiprotozoal activity at levels almost 50% that
of the 'non-formulated' drug in solution form. Basic modelling predicted that the
concentration of tinidazole released into vaginal fluid in vivo from a PCL matrix
in the form of an intravaginal ring would exceed the minimum inhibitory
concentration against Trichomonas vaginalis. These findings recommend further
investigation of PCL matrices as intravaginal devices for controlled delivery of
antiprotozoal agents in the treatment and prevention of sexually transmitted
infections.
PMID- 29799301
TI - Using flow cytometry to monitor glycoprotein IIb-IIIa activation.
AB - Platelet-to-platelet aggregation is critical to the formation of hemostatic
thrombi which limit bleeding following vascular injury and also contributes to
obstructive thrombi in acute myocardial infarction, stroke, or other thrombotic
diseases. Platelet aggregation is mediated by platelet surface glycoprotein (GP)
IIb-IIIa (integrin alphaIIbbeta3, CD41/61) on adjacent platelets. Upon platelet
activation by adenosine diphosphate (ADP), thrombin, or other platelet agonists,
GPIIb-IIIa undergoes conformational changes from a "resting" bent conformation to
an "activated" extended conformation. In GPIIb-IIIa's activated conformation, a
binding site is exposed which interacts with the arginine-glycine-aspartic acid
(RGD) residues in the fibrinogen alpha chain, permitting fibrinogen binding and
cross-bridging of adjacent activated platelets. Consequently, changes in the
state of GPIIb-IIIa activation closely correlate with fibrinogen binding and the
degree of platelet-platelet aggregation. In contrast to radiolabeled ligand
methods used for bulk receptor-binding studies, flow cytometry allows the rapid
analysis of fibrinogen receptor expression on single cells, thereby enabling
analysis of the kinetics of GPIIb-IIIa activation and differences between
platelets in their expression of activated GPIIb-IIIa. The present review will
consider the use of flow cytometry to monitor GPIIb-IIIa activation and its
application in clinical and research settings.
PMID- 29799302
TI - Platelet Rho GTPase regulation in physiology and disease.
AB - Rho GTPases are master orchestrators of cytoskeletal dynamics and serve critical
roles in platelet physiology to promote hemostasis or pathology in thrombotic,
inflammatory and other disease states. Over the past 25 years, specific platelet
cell biological outputs have been linked to the activities of Rho GTPases,
including RhoA, Rac1, Cdc42, and RhoG in shape change and secretion as well as
cytoskeletal assembly events underlying platelet aggregation and thrombus
stability. Rho GTPases have also more recently been noted to serve more
specialized roles in platelet function and to cooperate with one another in
mediating essential platelet responses. The evolving molecular mechanisms
regulating platelet Rho GTPase functions are increasingly complex, involving an
interdependent array of signal transduction molecules, including several protein
kinases as well as numerous Rho GEFs, GAPs, and GDI proteins such as LARG,
ARHGEF6 (Cool-2, alpha-Pix), ARHGEF10, GIT1, ARHGAP17 (Nadrin, Rich1), OPHN1, and
Ly-GDI. In this review, we provide an update of recent work and developing
hypotheses further establishing more specialized as well as cooperative roles for
Rho GTPases in platelet physiology and emerging regulatory and downstream
effector mechanisms whereby Rho GTPases participate in platelet activation
programs in physiology and an expanding set of platelet-associated disease
states.
PMID- 29799303
TI - The prognostic correlation between CD105 expression level in tumor tissue and
peripheral blood and sunitinib administration in advanced hepatocellular
carcinoma.
AB - OBJECTIVES: The study was designed to investigate the tumor vessel-associated
CD105 expression in monocytes from tumor tissue and peripheral blood (PB) in
patients with advanced hepatocellular carcinoma (HCC), in order to provide
support and reference for clinical pharmaceutical therapy. METHODS: A total of 50
patients with advanced HCC who were administered with sunitinib were collected.
Immunohistochemistry (IHC) was utilized to assess the CD105 expression in tumor
tissue, and real-time quantitative PCR (qPCR) was used to determine the mRNA
expression of CD105 of monocytes in tumor tissue and PB, as well as the mRNA
expression of TGFbeta1, Smad1-4 in tumor tissue. Afterwards, enzyme-linked
immunosorbent assay (ELISA) was performed to determine the expression level of
TGFbeta1 and Smad1-4 in tumor tissues. Moreover, the correlation of CD105
expression with clinicopathological characteristics, overall survival (OS) and
progression-free survival (PFS) was analyzed. RESULTS: The Cd105 expression was
detected both in tumor tissue and PB, and there was a correlation between them (r
= 0.7791, P < 0.001). The OS and PSF were significantly increased in patients
with lower expression of CD105 in tumor tissue compared to those with higher
expression (10.9 vs 4.5, P < 0.001, 8.3 vs 6.15, P < 0.001). Consistently, the OS
and PSF were significantly elevated in patients with lower expression of CD105 in
PB than those with higher expression (10.3 vs 5.0, P < 0.001, 8.5 vs 6.3, P <
0.001). The OS and PSF were significantly enhanced in patients with lower
expression of CD105 in both tumor tissue and PB compared to those with higher
expression of CD105 in both tumor tissue and PB (12.4 vs 8.5, P < 0.001, 8.5 vs
6.5, P < 0.001). Both protein and mRNA expression of TGFbeta1, Smad1, Smad2 and
Smad4 in patients with high CD105 expression in tumor tissue were significantly
higher than those with low CD105 expression (P < 0.001), while the protein and
mRNA expression of Smad3 in patients with high CD105 expression in tumor tissue
were significantly lower compared to those with low CD105 expression (P < 0.001).
In analysis of correlation with tumor stage, both protein and mRNA expression of
TGFbeta1, Smad1, Smad2 and Smad4 in patients with stage III HCC were
significantly lower than those with stage IV HCC (P < 0.001), while the protein
and mRNA expression of Smad3 in patients with IV stage HCC was significantly
higher in comparison to those with stage IV HCC (P < 0.001). Cox regression
analysis indicated that CD105 expression in tumor tissue and PB was an
independent predictive factor for the OS and PFS of advanced HCC patients who
received sunitinib. CONCLUSIONS: Advanced HCC patients with lower CD105
expression in tumor tissue and PB benefited more from sunitinib administration.
Moreover, CD105 expression was an independent prognostic indicator for sunitinib
administration in advanced HCC, which could be used as a predictive approach for
sunitinib efficacy in clinical practice.
PMID- 29799304
TI - Aggregation is impaired in starved platelets due to enhanced autophagy and
cellular energy depletion.
AB - Platelet hyperactivity is the hallmark of thrombosis and hemostasis disorders
including atherosclerosis, diabetes, stroke, arthritis, and cancer causing
significant mortality and morbidity. Therefore, regulating platelet hyperactivity
is an ever growing interest. Very recently, basal autophagic process has been
demonstrated to be essential for normal functioning of platelets. However,
autophagy can be elevated above basal level under conditions like starvation, and
how platelets respond in these settings remains to be elucidative. Therefore, in
this study we demonstrate a substantial autophagy induction (above basal level)
by starvation, which decreases platelet aggregation responses to various
agonists. The decreased aggregation in starved platelets was restored in
combination with autophagy inhibitors (3-methyladenine and NH4Cl) and acetate
supplementation. Starved platelets also showed decreased calcium mobilization,
granule release, and adhesive properties. Furthermore, ex vivo platelets obtained
from starved rats showed increased autophagy markers and decreased aggregation
responses to various agonists. Our results distinctly explain that enhanced
autophagy and cellular energy depletion are the cause for decreased platelet
activation and aggregation. The study emphasizes the cardinal role of starvation
and autophagy in the management of diseases and disorders associated with
platelet hyperactivity.
PMID- 29799305
TI - Dexamethasone loaded multi-layer poly-l-lactic acid/pluronic P123 composite
electrospun nanofiber scaffolds for bone tissue engineering and drug delivery.
AB - In tissue engineering, it is common to mix drugs that can control proliferation
and differentiation of cells into polymeric solutions as part of composite to get
bioactive scaffolds. However, direct incorporation of drugs might potentially
result in undesired burst release. To overcome this problem, here we developed
electrospun multilayer drug loaded poly-l-lactic acid/pluronic P123 (PLLA-P123)
composite scaffolds. The drug was loaded into the middle layer. The surface, the
mechanical and physiochemical properties of the scaffolds were evaluated. The
drug release profiles were monitored. Finally, the osteogenic proliferation and
differentiation potential were determined. The scaffolds fabricated here have
appropriate surface properties, but with different mechanical strength and
osteogenic proliferation and differentiation. Multi-layer scaffolds where the
drug was in the middle layer and PLLA-plasma and PLLA-P123 with cover layer
showed the best osteogenic proliferation and differentiation than the other
groups of scaffolds. The drug release profiles of the scaffolds were completely
different: single layer scaffolds showed burst release within the first day,
while multilayer scaffolds showed controlled release. Therefore, the multilayer
drug loaded scaffolds prepared have dual benefits can provide both better
osteogenesis and controlled release of drugs and bioactive molecules at the
implant site.
PMID- 29799306
TI - Preclinical evaluation of novel PI3K/mTOR dual inhibitor SN202 as potential anti
renal cancer agent.
AB - The PI3K/mTOR pathway is one of the most frequently aberrantly activated pathways
in human malignancies, such as renal cell carcinoma (RCC), and contributes to
resistance to antitumor therapies. Thus, PI3K/mTOR is an attractive target for
the development of antitumor agents. In this study, we evaluated the preclinical
effects of a novel inhibitor SN202. We examined Akt/mTOR activities in renal
cancer cells after SN202 treatment. The preclinical effects of SN202 on tumor
growth were evaluated in renal cancer cells in vitro and in murine xenografts in
vivo. SN202 inhibits PI3Kalpha, PI3Kgamma, and mTOR, the corresponding IC50
values were 3.2, 3.3, and 1.2 nM, respectively. In A498, 786-0, and ACHN renal
cancer cell lines, SN202 inhibits cell proliferation in a dose-dependent manner
and significantly inhibits 786-0 cell growth. Western blot analysis revealed that
SN202 decreases the phosphorylation of PI3K downstream signaling molecules, Akt
and S6K, in 786-0 renal cancer cells. Furthermore, oral administration of SN202
results in significant inhibition in human renal carcinoma xenografts in nude
mice and favourable pharmacokinetic properties in rats. These results suggest
that SN202 might be a promising therapeutic agent against RCC as a dual PI3K/mTOR
inhibitor.
PMID- 29799307
TI - Comparison of breathing patterns, pressure, volume, and flow characteristics of
three breathing techniques to encourage lung inflation in healthy older people.
AB - BACKGROUND: It is important to encourage lung inflation to prevent postsurgical
pulmonary complications and we compared three breathing techniques that place
different emphasis on inspiratory flow and breath-holding. METHODS: Fourteen
healthy older people (69 +/- 3.6 yrs) used diaphragmatic breathing (DB), Triflo
II (TF), and a water pressure threshold device (BreatheMAX; BM) in a randomized
and balanced crossover design. Outcome measures were inspiratory flow and
pressure, inspiratory time (Ti), tidal volume (Vt), and breathing frequency.
RESULTS: Inspiratory flow with TF was significantly faster than DB and BM (p <
0.001: 0.96 +/- 0.1; 0.43 +/- 0.20 and 0.28 +/- 0.1 L.s-1, respectively) and
pressures greater (p < 0.001: -1.3 +/- 0.6, -5.5 +/- 1.2 and -2.8 +/- 3.6 cm
H2O). However, Ti was shorter (TF, 1.16 +/- 0.21s; DB, 3.31 +/- 0.97 s, p <
0.001; BM, 5.53 +/- 1.92 s, p < 0.001), resulting in smaller Vt (TF, 1.12 +/-
0.29 L; DB, 1.28 +/- 0.29L, p = 0.003; BM, 1.37 +/- 0.43L, p = 0.016). Breathing
frequency was faster with TF compared to DB and BM (p < 0.001). CONCLUSIONS:
Substantial lung inflation could be achieved with any of the above-mentioned
methods, although Vt was smaller with TF and the high inspiratory flow with this
method may not inflate the lower lung. The high pressures and rapid breathing
with TF could increase the sense of effort. Trials are needed to determine the
clinical value of the different breathing exercises.
PMID- 29799308
TI - Genetic Influences on Patient-Oriented Outcomes in Traumatic Brain Injury: A
Living Systematic Review of Non-Apolipoprotein E Single-Nucleotide Polymorphisms.
AB - There is a growing literature on the impact of genetic variation on outcome in
traumatic brain injury (TBI). Whereas a substantial proportion of these
publications have focused on the apolipoprotein E (APOE) gene, several have
explored the influence of other polymorphisms. We undertook a systematic review
of the impact of single-nucleotide polymorphisms (SNPs) in non-apolipoprotein E
(non-APOE) genes associated with patient outcomes in adult TBI). We searched
EMBASE, MEDLINE, CINAHL, and gray literature from inception to the beginning of
August 2017 for studies of genetic variance in relation to patient outcomes in
adult TBI. Sixty-eight articles were deemed eligible for inclusion into the
systematic review. The SNPs described were in the following categories:
neurotransmitter (NT) in 23, cytokine in nine, brain-derived neurotrophic factor
(BDNF) in 12, mitochondrial genes in three, and miscellaneous SNPs in 21. All
studies were based on small patient cohorts and suffered from potential bias. A
range of SNPs associated with genes coding for monoamine NTs, BDNF, cytokines,
and mitochondrial proteins have been reported to be associated with variation in
global, neuropsychiatric, and behavioral outcomes. An analysis of the tissue,
cellular, and subcellular location of the genes that harbored the SNPs studied
showed that they could be clustered into blood-brain barrier associated,
neuroprotective/regulatory, and neuropsychiatric/degenerative groups. Several
small studies report that various NT, cytokine, and BDNF-related SNPs are
associated with variations in global outcome at 6-12 months post-TBI. The
association of these SNPs with neuropsychiatric and behavioral outcomes is less
clear. A definitive assessment of role and effect size of genetic variation in
these genes on outcome remains uncertain, but could be clarified by an adequately
powered genome-wide association study with appropriate recording of outcomes.
PMID- 29799309
TI - Antagonistic Activity and the Mechanism of Bacillus amyloliquefaciens DH-4
Against Citrus Green Mold.
AB - Citrus fruit usually suffer significant losses during the storage and
transportation stages. Green mold, a postharvest rot of citrus fruit caused by
Penicillium digitatum, is one of the most serious fungal diseases. In this study,
the antagonist strain DH-4 was identified as Bacillus amyloliquefaciens according
to morphological observation and 16S ribosomal DNA analysis. In addition, it
showed broad antifungal activity, especially the suppression of Penicillium spp.
The culture filtrate of strain DH-4 exhibited apparent activity against P.
digitatum in vitro and in vivo. In storage, the culture filtrate with DH-4 in it
showed a better antiseptic effect. The antifungal substances in the culture
filtrate, produced by strain DH-4, displayed stable activity in various extreme
conditions. In addition, the antifungal substances in the culture filtrate were
identified as macrolactin, bacillaene, iturins, fengycin, and surfactin by
ultraperformance liquid chromatography (UPLC) electrospray ionization mass
spectrometry analysis. The UPLC fractions containing these antifungal compounds
were basically heat tolerant and all responsible for the antagonistic activity
against P. digitatum. Transmission electron microscope observation indicated that
the antifungal substances might cause abnormalities in the P. digitatum cellular
ultrastructure, which could be the possible mode of action of B.
amyloliquefaciens against P. digitatum. In addition, it was confirmed via
scanning electron microscope analysis that the main way it inhibited P. digitatum
was by secreting antimicrobial compounds without direct interaction. This study
contributes to the understanding of the mechanism of B. amyloliquefaciens against
citrus green mold as well as providing a potential application for the biocontrol
of postharvest rot diseases in citrus fruit.
PMID- 29799311
TI - Homicide with post mortem dismemberment of the victim with previous amputation of
right lower limb: Case report and review of the literature.
AB - The dismemberment of a corpse is comparatively rare in forensic medicine and
usually performed with different types of sharp tools. The victim is always the
victim of a homicide. Dismemberment usually occurs where the killing took place
without prior planning by the perpetrator. We report a case of homicide with post
mortem mutilation of the victim's body with previous amputation of right lower
limb in which the perpetrator was not identified. At autopsy, several fractures
were detected on the cranial vault, and the cause of death was due to skull and
brain injuries from multiple blunt force traumas.
PMID- 29799310
TI - Clinical and imaging progression over 10 years in a patient with primary
progressive apraxia of speech and autopsy-confirmed corticobasal degeneration.
AB - Primary progressive apraxia of speech (PPAOS) is a neurodegenerative disorder in
which AOS is the sole presenting complaint. We report clinical and neuroimaging
data spanning 10 years from disease onset-to-death in a 49 year-old male PPAOS
patient, DY, who died with corticobasal degeneration. He presented with AOS with
normal neuroimaging. Abnormalities in the caudate nucleus, supplementary motor
area, cingulate, insula, and Broca's area were observed after five years, with
involvement of motor cortex and development of agrammatism, Parkinsonism, and
dysarthria three years later. Cognitive impairment and temporoparietal atrophy
were late features. This data provides important insight into disease progression
of corticobasal degeneration when presenting as PPAOS.
PMID- 29799313
TI - Fluid Dynamics Inside the Brain Barrier: Current Concept of Interstitial Flow,
Glymphatic Flow, and Cerebrospinal Fluid Circulation in the Brain.
AB - The discovery of the water specific channel, aquaporin, and abundant expression
of its isoform, aquaporin-4 (AQP-4), on astrocyte endfeet brought about
significant advancements in the understanding of brain fluid dynamics. The brain
is protected by barriers preventing free access of systemic fluid. The same
barrier system, however, also isolates brain interstitial fluid from the hydro
dynamic effect of the systemic circulation. The systolic force of the heart, an
essential factor for proper systemic interstitial fluid circulation, cannot be
propagated to the interstitial fluid compartment of the brain. Without a proper
alternative mechanism, brain interstitial fluid would stay stagnant. Water influx
into the peri-capillary Virchow-Robin space (VRS) through the astrocyte AQP-4
system compensates for this hydrodynamic shortage essential for interstitial
flow, introducing the condition virtually identical to systemic circulation,
which by virtue of its fenestrated capillaries creates appropriate interstitial
fluid motion. Interstitial flow in peri-arterial VRS constitutes an essential
part of the clearance system for beta-amyloid, whereas interstitial flow in peri
venous VRS creates bulk interstitial fluid flow, which, together with the choroid
plexus, creates the necessary ventricular cerebrospinal fluid (CSF) volume for
proper CSF circulation.
PMID- 29799312
TI - Promoting Collaborative Classrooms: The Impacts of Interdependent Cooperative
Learning on Undergraduate Interactions and Achievement.
AB - Collaboration is an important career skill and vital to student understanding of
the social aspects of science, but less is known about relationships among
collaborative-learning strategies, classroom climate, and student learning. We
sought to increase the collaborative character of introductory undergraduate
laboratory classrooms by analyzing a 9-week intervention in 10 classrooms ( n =
251) that participated in cooperative-learning modules (promoting interdependence
via a modified jigsaw technique). Students in an additional 10 classrooms ( n =
232) completed the same material in an unstructured format representative of
common educational practice. Results showed that, when between-class variance was
controlled for, intervention students did not score higher on weekly quizzes, but
science interest and prior science experience had a reduced relationship to quiz
performance in intervention classrooms. Also, intervention classrooms showed
increased collaborative engagement at both whole-class and individual levels (24
students at three time points), but the intervention was only one of several
factors found to account for late-intervention classroom collaborative engagement
(prosocial behavior and discussion practices). Taken together, findings suggest
that integrating interdependence-based tasks may foster collaborative engagement
at both small-group and whole-classroom levels, but by itself may not be enough
to promote increased student achievement.
PMID- 29799314
TI - Risk factors for anterior bone loss in cervical disc arthroplasty.
AB - OBJECTIVE The objective of this study was to identify the risk factors of
anterior bone loss (ABL) in cervical disc arthroplasty (CDA) and the subsequent
effect of this phenomenon. METHODS The authors performed a retrospective
radiological review of 185 patients with a minimum 5-year follow-up after CDA
(using Bryan, Discocerv, Mobi-C, or Baguera C). Postoperative radiographs were
examined and compared to the initial postoperative films to determine the
percentage of ABL. The relationship of ABL to potential risk factors was
analyzed. RESULTS Complete radiological assessment was available in 145 patients
with 193 CDRs and 383 endplates (average age 45 years, range 25-65 years, 54%
women). ABL was identified in 63.7% of CDRs (48.7% mild, 11.9% moderate, 3.1%
severe). Age (p = 0.770), sex (p = 0.200), postoperative alignment (p = 0.330),
midflexion point (p = 0.509), maximal flexion (p = 0.080), and extension (p =
0.717) did not relate to ABL. There was no significant difference in the rate of
severe ABL between implants. Multilevel surgery conferred an increased risk of
any and severe ABL (p = 0.013 for both). The upper endplate, defined as superior
to the CDA, was more commonly involved (p = 0.008), but there was no significant
difference whether the endplate was between or not between implants (p = 0.226).
The development of ABL did not affect the long-term range of movement (ROM) of
the CDA, but did increase the overall risk of autofusion. ABL was not associated
with pain or functional deficits. No patients required a reoperation or revision
of their implant during the course of this study, and there were no cases of
progressive ABL beyond the first year. CONCLUSIONS ABL is common in all implant
types assessed, although most is mild. Age, sex, postoperative alignment, ROM,
and midflexion point do not relate to this phenomenon. However, the greater the
number of levels operated, the higher the risk of developing ABL. The development
of ABL has no long-term effect on the mechanical functioning of the disc or
necessity for revision surgery, although it may increase the rate of autofusion.
PMID- 29799315
TI - Skeletal muscle mitochondrial oxidative phosphorylation function in idiopathic
pulmonary arterial hypertension: in vivo and in vitro study.
AB - Mitochondrial dysfunction within the pulmonary vessels has been shown to
contribute to the pathology of idiopathic pulmonary arterial hypertension (IPAH).
We investigated the hypothesis of whether impaired exercise capacity observed in
IPAH patients is in part due to primary mitochondrial oxidative phosphorylation
(OXPHOS) dysfunction in skeletal muscle. This could lead to potentially new
avenues of treatment beyond targeting the pulmonary vessels. Nine clinically
stable participants with IPAH underwent cardiopulmonary exercise testing, in vivo
and in vitro assessment of mitochondrial function by 31P-magnetic resonance
spectroscopy (31P-MRS) and laboratory muscle biopsy analysis. 31P-MRS showed
abnormal skeletal muscle bioenergetics with prolonged recovery times of
phosphocreatine and abnormal muscle pH handling. Histochemistry and quadruple
immunofluorescence performed on muscle biopsies showed normal function and
subunit protein abundance of the complexes within the OXPHOS system. Our findings
suggest that there is no primary mitochondrial OXPHOS dysfunction but raises the
possibility of impaired oxygen delivery to the mitochondria affecting skeletal
muscle bioenergetics during exercise.
PMID- 29799316
TI - Challenging Cognitive Construals: A Dynamic Alternative to Stable Misconceptions.
AB - In biology education research, it has been common to model cognition in terms of
relatively stable knowledge structures (e.g., mental models, alternative
frameworks, deeply held misconceptions). For example, John D. Coley and Kimberley
D. Tanner recently proposed that many student difficulties in biology stem from
underlying cognitive frameworks called cognitive construals ( CBE-Life Sciences
Education, 11[3], 209-215 [2012]; CBE-Life Sciences Education, 14[1], ar8
[2015]). They argued that three such frameworks-teleology, anthropocentrism, and
essentialism-cause undergraduate students to hold a range of misconceptions about
the biological world. Our purpose in this article is to present an alternative
perspective that considers student thinking to be dynamic and context sensitive.
Using the example of cognitive construals, we argue that a dynamic perspective
creates a burden of proof for claims of cognitive stability-to demonstrate that
patterns of thinking are indeed stable across contexts. To illustrate our
argument, we report on the results of a study designed to explore the stability
of students' apparent teleological, anthropocentric, and essentialist thinking.
Our results are inconsistent with framework models. We propose instead that
response patterns stem from students' context-specific interpretations of the
statements, consistent with dynamic models of cognition. Building on these
preliminary findings, we discuss the implications of a dynamic view of cognition
for biology education research and biology instruction.
PMID- 29799317
TI - Different forms of pulmonary hypertension in a family with clinical and genetic
evidence for hereditary hemorrhagic teleangectasia type 2.
AB - Hereditary hemorrhagic telangiectasia (HTT) is an autosomal dominant disease,
most frequently caused by a mutation in either ENG or ACVRL1, which can be
associated with pulmonary arterial hypertension (PAH). In this report, we
describe a new unpublished ACVRL1 mutation segregating in three members of the
same family, showing three different types of pulmonary hypertension (PH) in the
absence of BMPR2 mutations. The first patient has a form of heritable PAH (HPAH)
in the absence of hepatic arteriovenous malformations (AVMs); the second one has
a severe form of portopulmonary hypertension (PoPAH) associated with multiple
hepatic AVMs; the third one has hepatopulmonary syndrome (HPS) with numerous
hepatic arteriovenous fistulas and a form of post-capillary PH due to high
cardiac output. In summary, a single mutation in the ACVRL1 gene can be
associated, in the same family, with an extreme phenotypic variability regarding
not only the clinical presentation of HHT but also the type of PH in the absence
of BMPR2 mutations. More studies are needed to evaluate if this variability can
be explained by the presence of additional variants in other genes relevant for
the pathogenesis of HHT.
PMID- 29799318
TI - Postirradiation Necrosis after Slow Microvascular Breakdown in the Adult Rat
Spinal Cord is Delayed by Minocycline Treatment.
AB - To better understand the spatiotemporal course of radiation-induced central
nervous system (CNS) vascular necrosis and assess the therapeutic potential of
approaches for protecting against radiation-induced necrosis, adult female
Sprague Dawley rats received 40 Gy surface dose centered on the T9 thoracic
spinal cord segment. Locomotor function, blood-spinal cord barrier (BSCB)
integrity and histology were evaluated throughout the study. No functional
symptoms were observed for several months postirradiation. However, a sudden
onset of paralysis was observed at approximately 5.5 months postirradiation. The
progression rapidly led to total paralysis and death within less than 48 h of
symptom onset. Open-field locomotor scores and rotarod motor coordination testing
showed no evidence of neurological impairment prior to the onset of overt
paralysis. Histological examination revealed minimal changes to the vasculature
prior to symptom onset. However, Evans blue dye (EvB) extravasation revealed a
progressive deterioration of BSCB integrity, beginning at one week
postirradiation, affecting regions well outside of the irradiated area.
Minocycline treatment significantly delayed the onset of paralysis. The results
of this study indicate that extensive asymptomatic disruption of the blood-CNS
barrier may precede onset of vascular breakdown by several months and suggests
that minocycline treatment has a therapeutic effect by delaying radiation-induced
necrosis after CNS irradiation.
PMID- 29799321
TI - Closure of a giant anterior sacral meningocele with an omental flap in a patient
with Marfan syndrome: case report.
AB - Anterior sacral meningoceles (ASMs) are rare lesions often associated with
connective tissue disorders. These lesions are typically treated posteriorly via
closure of the dural stalk. However, given their insidious nature, ASMs can be
quite large on presentation, and this approach may not provide adequate
decompression. In this case report, the authors describe the successful treatment
of a large ASM through drainage and watertight closure of the cyst with an
omental flap. A 43-year-old woman with a history of Marfan syndrome and a large
ASM was referred for neurosurgical intervention. The ASM was filling the pelvic
cavity and causing severe compression of the bladder. The patient underwent
surgical decompression of the cyst through an anterior transabdominal approach
and closure of the fistulous tract with a pedicled omental flap. This is the
first reported case of successful closure of an ASM with an omental flap. At the
6-month follow-up, the ASM had not recurred on imaging and the patient's symptoms
had resolved. Anterior sacral meningoceles are rare lesions that often require
neurosurgical intervention. Although most can be treated posteriorly, large ASMs
compressing the abdominal or pelvic organs may require a transabdominal approach.
Moreover, ASMs with wide dural stalks may benefit from closure with an omental
flap.
PMID- 29799320
TI - Factors Contributing to the Success of NIH-Designated Underrepresented Minorities
in Academic and Nonacademic Research Positions.
AB - We report the outcomes of a survey of underrepresented minorities (URMs) in life
science academic (e.g., faculty) and nonacademic (e.g., research-related)
positions seeking to ascertain variables that contribute to their success (e.g.,
favorable or desired outcome). Given that they had positions in research careers,
all respondents were presumed to be successful, and we sought to identify shared
factors that were associated with this success. As in previous studies,
respondents reported that undergraduate research opportunities, performing
research in small- to medium-sized laboratories, and access to mentors throughout
all stages of training were important factors for success in their careers.
Surprisingly, analysis of the survey results suggests that a record of
publications in high-impact factor journals was not essential for their success.
There were fundamental differences in the experiences and needs of URMs in
academic and nonacademic careers. For example, academic URMs ranked having
mentorship as their first choice in order of importance compared with the
nonacademic respondents, who ranked this category as their fifth selection. These
findings suggest that taking diverse approaches toward these groups is critical
for ensuring that all of the most creative minds have an equal opportunity to
contribute to realizing our national research goals and diversified workforce.
PMID- 29799322
TI - A New Editor-in-Chief.
PMID- 29799319
TI - The Role of Nrf2 in the Response to Normal Tissue Radiation Injury.
AB - The transcription factor Nrf2 is an important modulator of antioxidant and drug
metabolism, carbohydrate and lipid metabolism, as well as heme and iron
metabolism. Regulation of Nrf2 expression occurs transcriptionally and post
transcriptionally. Post-transcriptional regulation entails ubiquitination
followed by proteasome-dependent degradation. Additionally, Nrf2-mediated gene
expression is subject to negative regulation by ATF3, Bach1 and cMyc. Nrf2
mediated gene expression is an important regulator of a cell's response to
radiation. Although a majority of studies have shown that Nrf2 deficient cells
are radiosensitized and Nrf2 over expression confers radioresistance, Nrf2's role
in mediating the radiation response of crypt cells is controversial. The Nrf2
activator CDDO attenuates radiation-mediated crypt injury, whereas intestinal
crypts in Nrf2 null mice are radiation resistant. Further investigation is needed
in order to define the relationship between Nrf2 and radiation sensitivity in
Lgr5+ and Bmi1+ cells that regulate regeneration of crypt stem cells. In
hematopoietic compartments Nrf2 promotes the survival of irradiated osteoblasts
that support long-term hematopoietic stem cell (LT-HSC) niches. Loss of Nrf2 in
LT-HSCs increases stem cell intrinsic radiosensitivity, with the consequence of
lowering the LD5030. An Nrf2 deficiency drives LT-HSCs from a quiescent to a
proliferative state. This results in hematopoietic exhaustion and reduced
engraftment after myoablative irradiation. The question of whether induction of
Nrf2 in LT-HSC enhances hematopoietic reconstitution after bone marrow
transplantation is not yet resolved. Irradiation of the lung induces pulmonary
pneumonitis and fibrosis. Loss of Nrf2 promotes TGF-beta/Smad signaling that
induces ATF3 suppression of Nrf2-mediated target gene expression. This, in turn,
results in elevated reactive oxygen species (ROS) and isolevuglandin adduction of
protein that impairs collagen degradation, and may contribute to radiation
induced chronic cell injury. Loss of Nrf2 impairs DeltaNp63 stem/progenitor cell
mobilization after irradiation, while promoting alveolar type 2 cell epithelial
mesenchymal transitions into myofibroblasts. These studies identify Nrf2 as an
important factor in the radiation response of normal tissue.
PMID- 29799323
TI - AMCP Partnership Forum: Driving Value and Outcomes in Oncology.
AB - : Cancer is one of the most costly medical conditions to treat in the United
States due in part to increasingly innovative, but expensive, chemotherapeutic,
immuno-oncologic, and biologic treatments. At the same time, health insurance in
the United States is increasingly shifting a larger part of the costs to patients
through higher premiums, deductibles, and coinsurance and copayment rates. These
shifts are driving the need for quality measures and value measurements in
oncology that assess the total effect on care and can be used to develop payment
models. Measures that consider the patient's experience are emerging as important
factors for evaluating value in cancer care. To address these issues, the Academy
of Managed Care Pharmacy (AMCP) convened a stakeholder forum, Driving Value and
Outcomes in Oncology, on November 14-15, 2017, in Arlington, Virginia. The goals
of the forum were to (a) understand which oncology-specific quality measures are
important for managed care decision makers; (b) prioritize the gaps related to
the use of pharmaceuticals in measuring oncology outcomes; (c) develop a list of
recommendations for how a collaboration of payers, providers, and AMCP may drive
improvements in oncology care; and (d) define solutions for addressing causes of
patient financial burdens for cancer care. More than 30 national and regional
health care leaders representing health plans, integrated delivery systems,
pharmacy benefit managers, pharmacists, employers, patient advocates, national
professional associations, and biopharmaceutical companies participated in the
forum. DISCLOSURES: This AMCP Partnership Forum and the development of this
proceedings report were supported in collaboration with Abbvie, Amgen,
AstraZeneca, Foundation Medicine, Genentech, Gilead, Eli Lilly, Merck, Sanofi,
Takeda Oncology, and Xcenda.
PMID- 29799324
TI - Out-of-Pocket Spending Not Associated with Oral Oncolytic Survival Benefit.
AB - BACKGROUND: With total and out-of-pocket spending for oral oncolytics rising,
there is increased interest in choosing oncology treatments based on their
clinical value relative to cost. OBJECTIVE: To determine if out-of-pocket
spending varied for higher versus lower benefit oral oncology drugs reimbursed by
commercial insurers. METHODS: This study was a retrospective analysis of
commercial insurer prescription drug claims filed between 2007 and 2014 for 13
oral oncolytics approved before 2009. We calculated mean monthly out-of-pocket
payment for each fill by patient. We then categorized oral oncolytics by their
overall and progression-free survival benefits for each FDA-approved indication,
using evidence from published studies. We assessed the relationship of survival
benefit with mean monthly out-of-pocket payment, adjusting for demographic and
plan characteristics. RESULTS: Our population included 44,113 patients aged 18-65
years (mean 52.5 [SD 9.4]) with a cancer diagnosis who filled 731,354
prescriptions. The most commonly represented oncolytics were imatinib (37.4% of
fills), lenalidomide (17.7% of fills), and dasatinib (10.0% of fills).
Approximately 32.3% of fills were for drug-indication pairs with an overall
survival benefit of 4+ years. In adjusted analyses, there was no clear pattern to
suggest that out-of-pocket payments differed with drug indication-specific
survival benefits. Drugs for indications providing > 0 to 1 year of overall
survival benefit were significantly more likely to have a lower out-of-pocket
payment versus those prescribed off-label, but there were no significant
differences in out-of-pocket payments between drugs and associated indications in
any other survival category versus drugs used off-label. CONCLUSIONS: Out-of
pocket payments for oral oncolytics were not clearly related to indication
specific value in commercially insured patients. This finding suggests that
despite increased attention to value- and indication-based drug pricing, cost
sharing for oral oncolytics does not currently reflect these goals. DISCLOSURES:
This project was supported by Research Scholar Grant RSGI-14-030-01-CPHPS from
the American Cancer Society; the NIH Building Interdisciplinary Research Careers
in Women's Health (BIRCWH) K12 Program; the North Carolina Translational and
Clinical Sciences Institute (UL1TR001111) Grant; and K24CA181510 from the
National Cancer Institute. The authors have no disclosures. Data from this study
were presented at the 2017 American Society for Clinical Oncology Annual Meeting
on June 5, 2017, in Chicago, Illinois.
PMID- 29799325
TI - The Relationship Between Guideline-Recommended Initiation of Therapy, Outcomes,
and Cost for Patients with Metastatic Non-Small Cell Lung Cancer.
AB - BACKGROUND: Guideline-recommended therapy for metastatic non-small cell lung
cancer (mNSCLC) encourages evidence-based treatment; however, there is a
knowledge gap regarding the influence of guideline-recommended initiation of
therapy on outcomes and cost. OBJECTIVE: To investigate if lack of guideline
recommended initiation of first-line systemic therapy was associated with worse
patient outcomes and increased costs for patients with mNSCLC. METHODS: In this
retrospective analysis, 1,344 Medicare patients with mNSCLC were identified from
Humana data. Performance status (PS) was imputed using procedure, diagnosis, and
durable medical equipment codes pre-index. Guideline-recommended initiation of
therapy was defined as >=1 cycle of National Comprehensive Cancer Network
recommended first-line therapy based on age and PS or targeted therapies
regardless of age and PS. Demographics and clinical characteristics were compared
by guideline-recommended initiation of therapy. A Cox model assessed factors
associated with 6-month mortality. End-of-life quality of care indicators
included hospital admission and oncology infusions 30 days preceding death and
were evaluated using logistic regression models. A generalized linear model
assessed the relationship between guideline-recommended initiation of therapy and
total health care costs in the 6 months post-index controlling for clinical,
demographic, and treatment characteristics. Logistic models for inpatient stays
and emergency department visits were also evaluated. RESULTS: Guideline
recommended therapy initiation was observed in 75.5% of patients. Patients not
initiating guideline-recommended therapy were older, with a mean (SD) age of 72.5
(6.7) versus 71.2 (6.2) years (P = 0.001), and more frequently identified as
having a low-income subsidy (30.0% vs. 16.4%; P < 0.001). Among the 24.6% of
patients who died <= 6 months post-index, a greater percentage had not initiated
guideline-recommended therapy (28.8% vs. 23.2%; P = 0.040). In adjusted models,
PS (not initiation of guideline-recommended therapy) was predictive of mortality
(patients with poor PS had an 84% higher probability of death [P = 0.014]). Among
decedents, 64.2% were hospitalized, and 33.9% had an oncology-related infusion
within 30 days of death, with no differences by guideline-recommended initiation
of therapy. These end-of-life quality indicators were not associated with
guideline-recommended initiation of therapy in adjusted models. Overall, 47.5% of
patients who initiated guideline-recommended therapy were hospitalized compared
with 55.0% of patients who did not (P = 0.026). Patients initiating guideline
recommended therapy had higher post-index total and oncology-related health care
costs and fewer hospitalizations. In models, these differences in costs and
hospitalizations were not associated with initiation of guideline-recommended
therapy. CONCLUSIONS: Most patients initiated guideline-recommended therapy, with
no differences in mortality and quality of care at the end of life by guideline
recommended initiation of therapy, though adherence beyond treatment initiation
was not assessed. Unadjusted hospitalization rates were lower and costs were
higher for patients who initiated guideline-recommended therapy. These
differences were no longer observed after risk adjustment, suggesting that they
may have been influenced by patient characteristics, disease progression, and
subsequent treatment decisions. DISCLOSURES: This study was sponsored by
Genentech. Khoury, Michael, Parikh, and Bunce are employed by Genentech.
Casebeer, Drzayich Antol, DeClue, Hopson, Li, and Stemkowski are employed by
Comprehensive Health Insights, Humana, which was contracted by Genentech to
conduct this study. Sehman is employed by Humana. Based on this research, 2
posters were presented at the Academy of Managed Care Pharmacy Nexus 2017 on
October 16-19, 2017, in Dallas, Texas. Another poster was also presented at the
International Society for Pharmacoeconomics and Outcomes Research (ISPOR) Annual
European Congress on October 29-November 2, 2016, in Vienna, Austria.
PMID- 29799326
TI - The Budget Impact of Including Necitumumab on the Formulary for First-Line
Treatment of Metastatic Squamous Non-Small Cell Lung Cancer: U.S. Commercial
Payer and Medicare Perspectives.
AB - BACKGROUND: Necitumumab (Neci) was the first biologic approved by the FDA for use
in combination with gemcitabine and cisplatin (Neci + GCis) in first-line
treatment of metastatic squamous non-small cell lung cancer (msqNSCLC). The
potential financial impact on a health plan of adding Neci + GCis to drug
formularies may be important to value-based decision makers in the United States,
given ever-tightening budget constraints. OBJECTIVE: To estimate the budget
impact of introducing Neci + GCis for first-line treatment of msqNSCLC from U.S.
commercial and Medicare payer perspectives. METHODS: The budget impact model
estimates the costs of msqNSCLC before and after adoption of Neci + GCis in
hypothetical U.S. commercial and Medicare health plans over a 3-year time
horizon. The eligible patient population was estimated from U.S. epidemiology
statistics. Clinical data were obtained from randomized clinical trials, U.S.
prescribing information, and clinical guidelines. Market share projections were
based on market research data. Cost data were obtained from online sources and
published literature. The incremental aggregate annual health plan, per-patient
per-year (PPPY), and per-member-per-month (PMPM) costs were estimated in 2015
U.S. dollars. One-way sensitivity analyses were conducted to assess the effect of
model parameters on results. RESULTS: In a hypothetical 1,000,000-member
commercial health plan with an estimated population of 30 msqNSCLC patients
receiving first-line chemotherapy, the introduction of Neci + GCis at an initial
market share of approximately 5% had an overall year 1 incremental budget impact
of $88,394 ($3,177 PPPY, $0.007 PMPM), representing a 2.9% cost increase and
reaching $304,079 ($10,397 PPPY, $0.025 PMPM) or a 7.4% cost increase at a market
share of 14.7% in year 3. This increase in total costs was largely attributable
to Neci drug costs and, in part, due to longer survival and treatment duration
for patients treated with Neci+GCis. Overall, treatment costs increased by
$81,812 (13.5%), and disease costs increased by $7,951 (0.4%), whereas adverse
event costs decreased by $1,368 (0.5%) in year 1. From the Medicare perspective,
the overall year 1 incremental budget impact was $438,056 ($0.037 PMPM, $3,112
PPPY), representing a 3.0% cost increase. The higher incremental budget in
Medicare, compared with commercial plans, was due to higher msqNSCLC incidence in
the older Medicare patients (154 vs. 30 patients, respectively). Results were
most sensitive to Neci drug costs. CONCLUSIONS: Based on projected market shares,
coverage for first-line therapy with Neci + GCis appeared to modestly affect
overall U.S. health care budgets for msqNSCLC-related care. Given the small
eligible patient population, the PMPM budgetary impact on a commercial health
plan of reimbursing Neci + GCis in the first year was less than $0.01, rising
with increased use of Neci + GCis to $0.025 in the third year. The real-world
effect of Neci + GCis needs to be evaluated to validate this analysis; however,
these findings may help policymakers in making coverage decisions for Neci +
GCis. DISCLOSURES: This study was funded by Eli Lilly and Company. Molife, Brown,
Tawney, and Cuyun Carter are equity holders and employees of Eli Lilly and
Company. Bly, Cinfio, and Klein are employees of Medical Decision Modeling, which
received funding from Eli Lilly and Company to conduct this research and prepare
this manuscript.
PMID- 29799327
TI - Budget Impact Analysis of Afatinib for First-Line Treatment of Patients with
Metastatic Non-Small Cell Lung Cancer with Epidermal Growth Factor Receptor Exon
19 Deletions or Exon 21 Substitution Mutations in a U.S. Health Plan.
AB - BACKGROUND: Afatinib is 1 of 3 tyrosine kinase inhibitors approved in the United
States for the first-line treatment of patients with metastatic non-small cell
lung cancer (NSCLC) whose tumors have epidermal growth factor receptor (EGFR)
exon 19 deletions (del19) or exon 21 (L858R) substitution mutations. In clinical
trials, afatinib has demonstrated improvement in progression-free survival versus
standard chemotherapy and gefitinib. OBJECTIVE: To analyze the impact of
increases in afatinib treatment share on the cost and health outcomes in a
commercial health plan in the United States. METHODS: A decision model was
developed to evaluate the budget impact of increases in afatinib share for the
first-line treatment of patients with metastatic NSCLC with EGFR del19 or L858R
substitution mutations over a 5-year time horizon. The model compared the total
annual costs for a health plan with 1 million covered lives in a scenario in
which afatinib share increased 5 percentage points annually to one in which all
treatment shares remained constant over time. The number of patients eligible for
treatment was estimated using published incidence data. Therapies included in the
model were afatinib, erlotinib, gefitinib, and the chemotherapy doublet,
pemetrexed in combination with cisplatin. The mean time spent by patients in
progression-free and progressive disease states was based on survival data from
clinical trials and a network meta-analysis. Therapy-related costs included
monthly drug acquisition and administration costs and costs of managing adverse
reactions. Disease management costs were also assessed in the model. Scenario
analyses were performed to assess alternative scenarios of afatinib treatment
share. Additionally, a one-way sensitivity analysis was performed to test the
robustness of the model, given parameter uncertainty. RESULTS: Using the base
case parameter assumptions and a 5-percentage-point annual increase in afatinib
treatment share, we estimated the total budget increases in years 1 through 5 to
be $1,606, $65,542, $140,564, $209,272, and $303,368, respectively. These budget
increases translated to per-member-per-month increases ranging from $0.00 to
$0.03 in years 1 to 5. The increase in afatinib use resulted in the proportion of
the treated population (134 patients treated over 5 years) remaining in
progression-free disease increasing from 23.7% to 26.2% at the end of year 5,
versus if afatinib treatment share had stayed constant. CONCLUSIONS: Increasing
the treatment share of afatinib in a health plan for the first-line treatment of
NSCLC with EGFR del19 or L858R mutations was estimated to increase the proportion
of treated patients remaining in progression-free disease, while having small
budget impact to the health plan. DISCLOSURES: Boehringer Ingelheim
Pharmaceuticals funded this study research and was involved in all stages of
study conduct, including the analysis of data, and also undertook all costs
associated with the development and publication of this manuscript. Graham and
Earnshaw are employees of RTI Health Solutions, an independent contract research
organization that has received research funding for this and other studies from
Boehringer Ingelheim Pharmaceuticals. Lim and Burslem are employees of Boehringer
Ingelheim Pharmaceuticals, which developed and produces afatinib, along with
other pharmaceutical products.
PMID- 29799328
TI - Real-World Economic Outcomes During Time on Treatment Among Patients Who
Initiated Sunitinib or Pazopanib as First Targeted Therapy for Advanced Renal
Cell Carcinoma: A Retrospective Analysis of Medicare Claims Data.
AB - BACKGROUND: The median age at renal cell carcinoma (RCC) diagnosis is 64 years.
However, few studies have assessed the real-world time on treatment (TOT), health
resource utilization (HRU), costs, or treatment compliance associated with
targeted therapy use among patients in this age group with RCC. OBJECTIVE: To
assess the HRU, costs, and compliance during TOT among Medicare patients aged >=
65 years with advanced RCC (aRCC) who initiated first targeted therapy with
pazopanib or sunitinib. METHODS: Patients with aRCC were identified in the 100%
Medicare + Part D databases administered by the Centers for Medicare & Medicaid
Services. Eligible patients initiated first targeted therapy with sunitinib or
pazopanib (index drug) on or after their first diagnosis of secondary neoplasm
between October 19, 2009, and January 1, 2014, and were aged >= 65 years as of 1
year before first targeted therapy initiation (index date). Included patients
were stratified into pazopanib and sunitinib cohorts based on first targeted
therapy and matched 1:1 on baseline characteristics using propensity scores. TOT
was defined as the time from the index date to treatment discontinuation
(prescription gap > 90 days) or death. Compliance was defined as the ratio of
drug supply days to TOT. Monthly all-cause costs and costs associated with RCC
diagnosis (medical and pharmacy in 2015 U.S. dollars) and HRU (inpatient
[admissions, readmissions, and days], outpatient, and emergency room visits) were
assessed in the 1-year post-index period during TOT. Matched cohorts' TOT was
compared using Kaplan-Meier analyses and univariable Cox models, and compliance,
HRU, and costs were compared using Wilcoxon signed-rank tests. RESULTS: Of 1,711
included patients, 526 initiated pazopanib and 1,185 initiated sunitinib. Before
matching, more patients in the pazopanib cohort were white, diagnosed in 2010
2014 versus 2006-2009, and had lung metastases compared with the sunitinib cohort
(all P < 0.05). The pazopanib cohort also had higher mean outpatient visits and
costs but lower mean total all-cause pharmacy costs, than the sunitinib cohort
(all P < 0.05). After matching, the pazopanib and sunitinib cohorts had similar
characteristics (mean age 75 years, 58% male, and Charlson Comorbidity Index
score of 9.2 in both cohorts) and median TOT (4.8 and 4.1 months, respectively).
Among the 522 matched pairs, pazopanib was associated with significantly lower
total all-cause health care costs ($8,527 vs. $10,924, respectively [mean
difference = $2,397]); total medical costs ($3,991 vs. $5,881, respectively,
[$1,890]); and inpatient costs ($2,040 vs. $3,731, respectively, [$1,692]; all P
< 0.01) compared with sunitinib. Patients receiving pazopanib had significantly
fewer inpatient admissions (0.179 vs. 0.289, respectively) and days (1.063 vs.
1.904, respectively; both P < 0.01) than patients receiving sunitinib. Mean
treatment compliance was lower for the pazopanib versus sunitinib cohort (0.91
vs. 0.94, respectively; P < 0.01). CONCLUSIONS: In this retrospective analysis of
Medicare patients with aRCC from a TOT perspective, first targeted therapy with
pazopanib was associated with significantly lower all-cause health care costs and
HRU, but lower compliance, compared with sunitinib. DISCLOSURES: Funding for this
research was provided by Novartis Pharmaceuticals. The sponsor was involved in
all stages of the study's conduct and reporting. Vogelzang has been a consultant
for Novartis, Amgen, Celgene, Medivation, Eisai, Exelixis, and Roche; has spoken
at Novartis, Astellas, Johnson and Johnson, Pfizer, Dendreon, Bayer/Algeta, GSK,
and Veridex/Janssen; and has received research support from Novartis, Bayer,
Exelixis, Progenics, Bavarian Nordic, and Viamet. Pal has been a consultant for
Novartis, Pfizer, Aveo, Dendreon, and Myriad and has spoken at Novartis, Pfizer
and Medivation. Agarwal has been a consultant or advisor for Novartis, Pfizer,
Exelixis, Cerulean Pharma, Medivation, Eisai, and Argos Therapeutics. Swallow,
Peeples, Zichlin, and Meiselbach are employees of Analysis Group, which received
consultancy fees from Novartis for this project. Li was an employee of Analysis
Group during the conduct of this study. Ghate is an employee of Novartis and owns
stock/stock options. Perez was an employee of Novartis during the conduct of this
study. A synopsis of the economic outcomes was presented at the Academy of
Managed Care Pharmacy Nexus 2017 in Denver, Colorado, during March 27-30, 2017. A
synopsis of the clinical outcomes was presented at the 22nd ISPOR Annual
International Meeting in Boston, Massachusetts, during May 20-24, 2017.
PMID- 29799330
TI - Greater Spending Associated with Improved Survival for Some Cancers in OCM
Defined Episodes.
AB - BACKGROUND: Previous research finds significant variation in spending and
utilization across regions, with little evidence of differences in outcomes.
While such findings have been interpreted as evidence that spending can be
reduced without compromising patient outcomes, the link between spending
variation and outcomes remains a critical question. OBJECTIVE: To use evidence
from geographic variations in spending and an individual-level survival analysis
to test whether spending within oncology care episodes is associated with
survival, where episodes are defined as in the Center for Medicare and Medicaid
Innovation's Oncology Care Model (OCM). METHODS: In this retrospective cohort
analysis, patient data from the Surveillance, Epidemiology and End Results
Medicare (SEER-Medicare) database for 2007-2013 were linked to hospital referral
regions (HRRs) using ZIP codes. Patients in the SEER program are a part of
selected population-based cancer registries throughout the United States whose
records are linked to Medicare enrollment and claims data (93% of elderly
registry patients were successfully linked to Medicare data). Episodes of cancer
care were defined as in the OCM: 6 months following a triggering chemotherapy
claim. We analyzed episodes of care for 5 tumor types: advanced breast cancer
(BC), non-small cell lung cancer (NSCLC), renal cell carcinoma (RCC), multiple
myeloma (MM), and chronic myeloid leukemia (CML). We removed the effects of
differentials in Medicare payment rates, which were mostly geographic. Regression
analysis was then used to calculate standardized spending levels for each HRR,
that is, spending adjusted for differences in patient and episode
characteristics. To examine the effect of spending during OCM-defined episodes on
individual-level survival, we used Cox regression with patient characteristics
and standardized HRR spending per episode as covariates. To address concerns that
may arise from multiple comparisons across the 5 tumor types, we used the
Benjamini-Hochberg procedure to control the false discovery rate. RESULTS: Our
analysis showed significant differences in standardized spending across HRRs.
Compared with spending at the 20th percentile episode, spending at the 80th
percentile ranged from 25% higher ($57,392 vs. $45,995 for MM) to 47% higher
($36,920 vs. $24,127 for RCC), indicating practice style variation across
regions. The hazard of dying for patients with NSCLC and MM statistically
significantly decreased by 7% (HR = 0.93, P = 0.006) and 13% (HR = 0.87, P =
0.019), respectively, for a $10,000 increase in standardized spending (in 2013
U.S. dollars). For the 3 other cancers, spending effects were not statistically
significant. After using the Benjamini-Hochberg procedure with a 5% false
discovery rate, the effects of increased spending on improved survival for NSCLC
and MM remained statistically significant. CONCLUSIONS: The association we found
between spending and survival suggests caution may be warranted for physicians,
pharmacists, other health care professionals, and policymakers involved in
efforts to reduce across-the-board spending within OCM-defined episodes for at
least 2 of the 5 cancers studied. DISCLOSURES: Funding for this research was
provided by Novartis Pharmaceuticals to Precision Health Economics in support of
research design, analysis, and technical writing services. The funder provided
input on study design and comments on the draft report. Baumgardner, Shahabi, and
Linthicum are employees of Precision Health Economics (PHE), a health care
consultancy to the insurance and life science industries, including firms that
market oncology therapies. Vine was an employee of PHE at the time of this
research. Zacker is an employee of and shareholder in Novartis Pharmaceuticals.
Lakdawalla is a consultant to PHE and holds equity in its parent company,
Precision Medicine Group.
PMID- 29799329
TI - Cost-Effectiveness of Ribociclib plus Letrozole Versus Palbociclib plus Letrozole
and Letrozole Monotherapy in the First-Line Treatment of Postmenopausal Women
with HR+/HER2- Advanced or Metastatic Breast Cancer: A U.S. Payer Perspective.
AB - BACKGROUND: U.S. regulatory approvals of the cyclin-dependent kinase 4 and 6 (CDK
4/6) inhibitors ribociclib and palbociclib as add-ons to letrozole greatly
enhance the prospects for treating postmenopausal women with hormone receptor
positive (HR+)/human epidermal receptor 2-negative (HER2-) advanced or metastatic
breast cancer. Clinical trials have established that the combination of a CDK 4/6
inhibitor with letrozole can significantly improve progression-free survival
(PFS) versus letrozole monotherapy and is safe and well tolerated. Cost
effectiveness studies are required to inform payers and clinical decision makers
on the money value of combination treatment in clinical practice. OBJECTIVE: To
evaluate the cost-effectiveness of ribociclib plus letrozole versus palbociclib
plus letrozole and versus letrozole monotherapy in the first-line treatment of
postmenopausal women with HR+/HER2- advanced or metastatic breast cancer from a
U.S. private third-party payer perspective. METHODS: A partitioned survival model
including 3 health states (progression free, with either overall response or
stable disease; progressed disease; and death) simulated lifetime costs and
outcomes over a 40-year lifetime horizon with a 1-month cycle length. Clinical
efficacy data (PFS and overall survival [OS]) were derived from a phase III trial
of ribociclib plus letrozole (MONALEESA-2; NCT01958021), a phase II trial of
palbociclib plus letrozole (PALOMA-1; NCT00721409), and a Bayesian network meta
analysis. Health care costs included drug acquisition and monitoring, disease
management, subsequent therapies, and serious drug-related adverse events.
Effectiveness was measured in life-years, derived from survival projections, and
in quality-adjusted life-years (QALYs), calculated from time spent in each state
combined with health-state utility values. A one-way deterministic sensitivity
analysis explored the impact of uncertainty in key model parameters on results,
and probabilistic uncertainty was assessed through a Monte Carlo probabilistic
sensitivity analysis. RESULTS: Ribociclib plus letrozole was dominant versus
palbociclib plus letrozole, with a cost saving of $43,037 and a gain of 0.086
QALYs. Compared with letrozole monotherapy, ribociclib plus letrozole was
associated with an incremental cost of $144,915 and an incremental QALY of 0.689,
equating to an incremental cost-effectiveness ratio of $210,369 per QALY. Key
model drivers included OS HRs for palbociclib plus letrozole versus letrozole and
for ribociclib plus letrozole versus letrozole, the PFS HR for palbociclib plus
letrozole versus letrozole, PD health-state costs, utility of response, and cost
discount rate. The probabilities that ribociclib plus letrozole was cost
effective versus letrozole at thresholds of $50,000, $100,000 and $200,000 per
QALY gained were 1.6%, 6.3%, and 50.5%, respectively. CONCLUSIONS: In the United
States, ribociclib plus letrozole is a cost-effective alternative to palbociclib
plus letrozole for the first-line treatment of postmenopausal women with HR+/HER2
advanced or metastatic breast cancer. Ribociclib plus letrozole is also cost
effective versus letrozole monotherapy at willingness-to-pay thresholds greater
than $198,000 per QALY (for probabilistic analysis). DISCLOSURES: Funding for
this study was provided by Novartis, which manufactures ribociclib and provided
input on the study design and data collection, analysis, and interpretation.
Mistry, May, Suri, and Young are employees of PAREXEL. Tang, Mishra, D.
Bhattacharyya, and Dalal are employees of Novartis. S. Bhattacharyya was an
employee of Novartis during the study period. Tang and Dalal hold stock in
Novartis. Brixner, Oderda, and Biskupiak were paid by Millcreek Outcomes Group as
consultants for work on this project. Brixner has also consulted for AstraZeneca,
UCB, Regeneron, and Abbott.
PMID- 29799331
TI - A study on Zn recovery from other metals in the spent mixed batteries through a
sequence of hydrometallurgical processes.
AB - A study on selective separation of Zn from a leaching solution by disposal
batteries including various type batteries was carried out to understand the
recovery behaviour of Zn in leaching solution. Selective recovery of Zn in
leaching solution including Mn, Cd, Cu ion was difficult due to its similar
physicochemical behaviour. Experiment results by present leaching solution with
279 um undersize indicated that the best condition for leaching is 1 M H2SO4, 250
rpm, 5 vol.% H2O2 and 353 K and the leaching efficient of Zn, Co and Mn is
approximately 97%, respectively. The exclusive extraction behaviour of Zn by
using D2EHPA is indicated that the best conditions for solvent extraction are to
be 0.6 M D2EHPA diluted with kerosene, 30% saponification, 298 K, 5-min contact
time and three-stage countercurrent extraction, and the O/A ratio 1,
respectively. Recovery of Zn was with approximately 99.7% selectively from Mn,
Co, Ni, Cd and Li. After scrubbing 5 times by pH 2 modified solution and single
stripping experiment by 1.5 M H2SO4, the solution including Zn of 9.0 g/L can be
produced.
PMID- 29799332
TI - A social neuroscience approach to conflict resolution: Dialogue intervention to
Israeli and Palestinian youth impacts oxytocin and empathy.
AB - The rapid increase in terror-related activities, shift of battlefield into
civilian locations, and participation of youth in acts of violence underscore the
need to find novel frameworks for youth interventions. Building on the Israeli
Palestinian conflict and social neuroscience models we developed an eight-week
dialogue group-intervention for youth growing up amidst intractable conflict.
Eighty-eight Israeli-Jewish and Arab-Palestinian adolescents (16-18years) were
randomly assigned to intervention or control groups. Before (T1) and after (T2)
intervention, one-on-one conflict interaction with outgroup member were
videotaped, oxytocin levels assayed, attitudes self-reported, and youth
interviewed regarding national conflict. We tested the hypothesis that dialogue
intervention would enhance empathic behavior and increase oxytocin levels
following interaction with outgroup member. Intervention increased youth
perspective-taking on national conflict. Oxytocin increased from T1 to T2 only
for adolescents undergoing intervention who improved perspective taking in the
process. Structural equation modelling charted three pathways to behavioral
empathy toward outgroup member at T2; via endogenous oxytocin, empathic
cognitions, and dialogue intervention; however, an alternative model without the
intervention arm was non-significant. Our findings highlight the important role
of empathy in programs for inter-group reconciliation and support evolutionary
models on the precarious balance between the neurobiology of affiliation and the
neurobiology of outgroup derogation.
PMID- 29799333
TI - Letter to the Editor. S-1 alar-iliac screw technique: nothing new under the sun.
PMID- 29799334
TI - Stereotactic body radiation therapy for benign spine tumors: is dose de
escalation appropriate?
AB - OBJECTIVE Akin to the nonoperative management of benign intracranial tumors,
stereotactic body radiation therapy (SBRT) has emerged as a nonoperative
treatment option for noninfiltrative primary spine tumors such as meningioma and
schwannoma. The majority of initial series used higher doses of 16-24 Gy in 1-3
fractions. The authors hypothesized that lower doses (such as 12-13 Gy in 1
fraction) might provide an efficacy similar to that found with the dose de
escalation commonly used for intracranial radiosurgery to treat acoustic neuroma
or meningioma and with a lower risk of toxicity. METHODS The authors identified
38 patients in a prospectively maintained institutional radiosurgery database who
were treated with definitive SBRT for a total of 47 benign primary spine tumors
between 2004 and 2016. SBRT consisted of 9-21 Gy in 1-3 fractions using the
CyberKnife (n = 11 [23%]), Synergy S (n = 21 [45%]), or TrueBeam (n = 15 [32%])
radiosurgery platform. For a comparison of SBRT doses, patients were dichotomized
into 1 of 2 groups (low-dose or high-dose SBRT) using a cutoff biologically
effective dose (BED10Gy) of 30 Gy. Tumor control was calculated from the date of
SBRT to the last follow-up using Kaplan-Meier survival analysis, with comparisons
between groups completed using a log-rank method. To account for potential
indication bias, a propensity score analysis was completed based on the
conditional probabilities of SBRT dose selection. Toxicity was graded using
Common Terminology Criteria for Adverse Events version 4.0 with a focus on grade
3+ toxicity and the incidence of pain flare. RESULTS For the 38 patients, the
most common histological findings were meningioma (15 patients), schwannoma (13
patients), and hemangioblastoma (7 patients). The median age at SBRT was 58 years
(range 25-91 years). The 47 treated lesions were located in the cervical (n =
18), thoracic (n = 19), or lumbosacral (n = 10) spine. Five (11%) lesions were
lost to follow-up after SBRT. The median follow-up duration for the remaining 42
lesions was 54 months (range 1.2-133 months). Six (16%) patients (with a total of
8 lesions) experienced pain flare after SBRT; no significant predictor of pain
flare was identified. No grade 3+ acute- or late-onset complication was noted.
The 5-year local control rate was 76% (95% CI 61%-91%). No significant difference
in local control according to dose, fractionation, previous radiation, surgery,
tumor histology, age, treatment platform, planning target volume, or spine level
treated was found. The 5-year local control rates for low- and high-dose
treatments were 73% (95% CI 53%-93%) and 83% (95% CI 61%-100%) (p = 0.52). In
propensity score-adjusted multivariable analysis, no difference in local control
was identified (HR 0.30, 95% CI 0.02-5.40; p = 0.41). CONCLUSIONS Long-term
follow-up of patients treated with SBRT for benign spinal lesions revealed no
significant difference between low-dose (BED10Gy <= 30) and high-dose SBRT in
local control, pain-flare rate, or long-term toxicity.
PMID- 29799335
TI - Small sagittal vertical axis accompanied with lumbar hyperlordosis as a risk
factor for developing postoperative cervical kyphosis after expansive open-door
laminoplasty.
AB - OBJECTIVE Preoperative positive cervical sagittal imbalance and global sagittal
imbalance are risk factors for postoperative cervical kyphosis after expansive
open-door cervical laminoplasty (ELAP). The purpose of this study was to
investigate the relationship between the incidence of postoperative cervical
kyphosis after ELAP and the preoperative global sagittal spinal alignment in
patients with cervical spondylotic myelopathy (CSM) without spinal sagittal
imbalance. METHODS Among 84 consecutive patients who underwent ELAP for CSM at
the authors' hospital, 43 patients without preoperative cervical kyphosis (C2-7
angle >= 0 degrees ) and spinal sagittal imbalance (C2-7 sagittal vertical axis
[SVA] <= 80 mm and C-7 SVA <= 95 mm) were included in the study. The global
spinal sagittal parameters were measured on lateral whole-spine standing
radiographs preoperatively and at 1 year postoperatively. The difference in
preoperative global sagittal spinal alignment between the postoperative cervical
lordosis group and the cervical kyphosis group was analyzed. RESULTS The
incidence of postoperative cervical kyphosis after ELAP was 25.6% (11 of 43
cases). Thirty-two patients (16 men and 16 women; mean age 67.7 +/- 12.0 years)
had lordosis, and 11 (7 men and 4 women; mean age 67.2 +/- 9.6 years) had
kyphosis. The preoperative C-7 SVA and pelvic incidence minus lumbar lordosis (PI
LL) in the kyphosis group were significantly smaller than those in the lordosis
group (p < 0.05). The smaller C-7 SVA accompanied by a small PI-LL, the "truncal
negative offset," led to postoperative cervical kyphosis due to posterior
structural weakening by ELAP. CONCLUSIONS In patients with CSM without
preoperative cervical and global spinal sagittal imbalance, a small SVA
accompanied by lumbar hyperlordosis is the characteristic alignment leading to
postoperative cervical kyphosis after ELAP.
PMID- 29799336
TI - Letter to the Editor. Use of intraoperative vancomycin powder and postoperative
infection.
PMID- 29799337
TI - Trends in isolated lumbar spinal stenosis surgery among working US adults aged 40
64 years, 2010-2014.
AB - OBJECTIVE Recommendations for the surgical treatment of isolated lumbar spinal
stenosis (LSS) (i.e., in the absence of concomitant scoliosis or
spondylolisthesis) are unclear. The aims of this study were to investigate trends
in the surgical treatment of isolated LSS in US adults and determine implications
for outcomes. METHODS The authors analyzed inpatient and outpatient claims from
the Truven Health Analytics MarketScan Commercial Claims and Encounters Database
for 20,279 patients aged 40-64 years who underwent surgery for LSS between 2010
and 2014. Only patients with continuous 12-month insurance coverage after surgery
were included. The rates of decompression with arthrodesis versus decompression
only and of simple (1- or 2-level, single-approach) versus complex (> 2-level or
combined-approach) arthrodesis were analyzed by year and geographic region. These
trends were further analyzed with respect to complications, length of hospital
stay, payments made to the hospital, and patient discharge status. Statistical
significance was set at p < 0.05. RESULTS The proportion of patients who
underwent decompression with arthrodesis compared with decompression only
increased significantly and linearly from 2010 to 2014 (OR 1.08; 95% CI 1.06
1.10). Arthrodesis was more likely to be complex rather than simple with each
subsequent year (OR 1.4; 95% CI 1.33-1.49). This trend was accompanied by an
increased likelihood of postoperative complications (OR 1.11; 95% CI 1.02-1.21),
higher costs (payments increased by a mean of US$1633 per year; 95% CI 1327
1939), and greater likelihood of being discharged to a skilled nursing facility
as opposed to home (OR 1.11; 95% CI 1.03-1.20). The South and Midwest regions of
the US had the highest proportions of patients undergoing arthrodesis (48% and
42%, respectively). The mean length of hospital stay did not change significantly
(p = 0.324). CONCLUSIONS From 2010 to 2014, the proportion of adults undergoing
decompression with arthrodesis versus decompression only for the treatment of LSS
increased, especially in the South and Midwest regions of the US. A greater
proportion of these fusions were complex and were associated with more
complications, higher costs, and a greater likelihood of being discharged to a
skilled nursing facility.
PMID- 29799338
TI - Evidence of a Flynn Effect in Children's Human Figure Drawings (1902-1968).
AB - The Flynn effect is the long-term trend for scores on tests of cognitive ability
to increase across cohorts. Several samples of children's human figure drawings,
published in 1902, 1926, 1963, and 1968, are examined for evidence of a Flynn
effect. Results show that larger percentages of children draw more complete human
figures over the course of the 20th century.
PMID- 29799339
TI - Letter to the Editor. Transcranial versus endonasal approaches in tuberculum
sellae meningioma surgery.
PMID- 29799340
TI - Safety and accuracy of incisionless transcranial MR-guided focused ultrasound
functional neurosurgery: single-center experience with 253 targets in 180
treatments.
AB - OBJECTIVE Since the first clinical application of the incisionless magnetic
resonance-guided focused ultrasound (MRgFUS) technology only small series of
patients have been reported, and thus only extrapolations of the procedure
related risks could be offered. In this study, the authors analyze side-effects
and targeting accuracy in 180 consecutive treatments with MRgFUS for chronic
therapy-resistant idiopathic Parkinson's disease (PD), essential tremor (ET),
cerebellar tremor (CT), and neuropathic pain (NP), all performed in their
dedicated center. METHODS A total of 180 treatments with MRgFUS for chronic
therapy-resistant idiopathic PD, ET, CT, and NP were prospectively assessed for
side-effects and targeting accuracy. Monitoring for later side-effects was
continued for at least 3 months after the procedure in all but 1 case (0.6%); in
that single case, the patient was lost to follow-up after an uneventful early
postoperative course. The surgical targets were the pallidothalamic tract
(pallidothalamic tractotomy, n = 105), the cerebellothalamic tract
(cerebellothalamic tractotomy, n = 50), the central lateral nucleus (central
lateral thalamotomy, n = 84), the centrum medianum (centrum medianum thalamotomy,
n = 12), and the globus pallidus (pallidotomy, n = 2). Cognitive testing was
performed before, 1-2 days after, and 1 year after the procedure. The Mini-Mental
State Examination (MMSE) was used for the first 29 cases and was then replaced by
the Montreal Cognitive Assessment (MoCA). Lesion reconstruction and measurement
of targeting accuracy were done on 2-day posttreatment MR images for each
performed target. To determine targeting accuracy measurement, 234 out of the 253
lesions depicted in the 2-day postoperative MR examination could be 3D
reconstructed. RESULTS The mean MoCA score was slightly improved 2 days
postoperatively (p = 0.002) and remained stable at 1-year follow-up (p = 0.03).
The mean MMSE score was also slightly improved 2 days postoperatively and at 1
year follow-up, but the improvement was not statistically significant (p = 0.06
and p = 0.2, respectively). The mean (+/- SD) accuracy was 0.32 +/- 0.29 mm, 0.29
+/- 0.28 mm, and 0.44 +/- 0.39 mm for the mediolateral, anteroposterior, and
dorsoventral dimensions, respectively. The mean 3D accuracy was 0.73 +/- 0.39 mm.
As to side-effects, 14 events over 180 treatments were documented. They were
classified into procedure-related (n = 4, 2.2%), effect on neighboring structures
(n = 3, 1.7%), and disease-related (n = 7, 3.9%). There was no bleeding.
CONCLUSIONS The incisionless transcranial MRgFUS technology demonstrates a higher
targeting accuracy and a lower side-effect profile than techniques requiring
cerebral penetration. In the absence of penetration brain shift, this technique
avoids the placement of a thermolesion away from the chosen target, thus
suppressing the need for reversible therapeutic energy application. With the use
of proper physiopathology-based targets, definitive therapeutic effects can be
coupled with sparing of sensory, motor, and paralimbic/multimodal thalamocortical
functions. Clinical efficacy, not analyzed in this investigation, will ultimately
rest in proper target selection and optimized thermolesional coverage of the
target.
PMID- 29799341
TI - Letter to the Editor. Endoscopic endonasal versus transcranial approach to
tuberculum sellae and planum sphenoidale meningiomas: unanswered questions.
PMID- 29799342
TI - Intracranial EEG for seizure focus localization: evolving techniques, outcomes,
complications, and utility of combining surface and depth electrodes.
AB - OBJECTIVE Intracranial electroencephalography (iEEG) provides valuable
information that guides clinical decision-making in patients undergoing epilepsy
surgery, but it carries technical challenges and risks. The technical approaches
used and reported rates of complications vary across institutions and evolve over
time with increasing experience. In this report, the authors describe the
strategy at the University of Iowa using both surface and depth electrodes and
analyze outcomes and complications. METHODS The authors performed a retrospective
review and analysis of all patients who underwent craniotomy and electrode
implantation from January 2006 through December 2015 at the University of Iowa
Hospitals and Clinics. The basic demographic and clinical information was
collected, including electrode coverage, monitoring results, outcomes, and
complications. The correlations between clinically significant complications with
various clinical variables were analyzed using multivariate analysis. The Fisher
exact test was used to evaluate a change in the rate of complications over the
study period. RESULTS Ninety-one patients (mean age 29 +/- 14 years, range 3-62
years), including 22 pediatric patients, underwent iEEG. Subdural surface (grid
and/or strip) electrodes were utilized in all patients, and depth electrodes were
also placed in 89 (97.8%) patients. The total number of electrode contacts placed
per patient averaged 151 +/- 58. The duration of invasive monitoring averaged
12.0 +/- 5.1 days. In 84 (92.3%) patients, a seizure focus was localized by ictal
onset (82 cases) or inferred based on interictal discharges (2 patients).
Localization was achieved based on data obtained from surface electrodes alone
(29 patients), depth electrodes alone (13 patients), or a combination of both
surface and depth electrodes (42 patients). Seventy-two (79.1%) patients
ultimately underwent resective surgery. Forty-seven (65.3%) and 18 (25.0%)
patients achieved modified Engel class I and II outcomes, respectively. The mean
follow-up duration was 3.9 +/- 2.9 (range 0.1-10.5) years. Clinically significant
complications occurred in 8 patients, including hematoma in 3 (3.3%) patients,
infection/osteomyelitis in 3 (3.3%) patients, and edema/compression in 2 (2.2%)
patients. One patient developed a permanent neurological deficit (1.1%), and
there were no deaths. The hemorrhagic and edema/compression complications
correlated significantly with the total number of electrode contacts (p = 0.01),
but not with age, a history of prior cranial surgery, laterality, monitoring
duration, and the number of each electrode type. The small number of infectious
complications precluded multivariate analysis. The number of complications
decreased from 5 of 36 cases (13.9%) to 3 of 55 cases (5.5%) during the first and
last 5 years, respectively, but this change was not statistically significant (p
= 0.26). CONCLUSIONS An iEEG implantation strategy that makes use of both surface
and depth electrodes is safe and effective at identifying seizure foci in
patients with medically refractory epilepsy. With experience and iterative
refinement of technical surgical details, the risk of complications has decreased
over time.
PMID- 29799343
TI - The history of therapeutic hypothermia and its use in neurosurgery.
AB - Despite an overwhelming history demonstrating the potential of hypothermia to
rescue and preserve the brain and spinal cord after injury or disease, clinical
trials from the last 50 years have failed to show a convincing benefit. This
comprehensive review provides the historical context needed to consider the
current status of clinical hypothermia research and a view toward the future
direction for this field. For millennia, accounts of hypothermic patients
surviving typically fatal circumstances have piqued the interest of physicians
and prompted many of the early investigations into hypothermic physiology. In
1650, for example, a 22-year-old woman in Oxford suffered a 30-minute execution
by hanging on a notably cold and wet day but was found breathing hours later when
her casket was opened in a medical school dissection laboratory. News of her
complete recovery inspired pioneers such as John Hunter to perform the first
complete and methodical experiments on life in a hypothermic state. Hunter's work
helped spark a scientific revolution in Europe that saw the overthrow of the
centuries-old dogma that volitional movement was created by hydraulic nerves
filling muscle bladders with cerebrospinal fluid and replaced this theory with
animal electricity. Central to this paradigm shift was Giovanni Aldini, whose
public attempts to reanimate the hypothermic bodies of executed criminals not
only inspired tremendous scientific debate but also inspired a young Mary Shelley
to write her novel Frankenstein. Dr. Temple Fay introduced hypothermia to modern
medicine with his human trials on systemic and focal cooling. His work was
derailed after Nazi physicians in Dachau used his results to justify their
infamous experiments on prisoners of war. The latter half of the 20th century saw
the introduction of hypothermic cerebrovascular arrest in neurosurgical operating
rooms. The ebb and flow of neurosurgical interest in hypothermia that has since
persisted reflect our continuing struggle to achieve the neuroprotective benefits
of cooling while minimizing the systemic side effects.
PMID- 29799345
TI - Spot sign as a predictor of rebleeding after endoscopic surgery for intracerebral
hemorrhage.
AB - OBJECTIVE In patients with spontaneous intracerebral hemorrhage (sICH),
postoperative recurrent hemorrhage (PRH) is one of the most severe complications
after endoscopic evacuation of hematoma (EEH). However, no predictors of this
complication have been identified. In the present study, the authors
retrospectively investigated whether PRH can be preoperatively predicted by the
presence of the spot sign on CT scans. METHODS In total, 143 patients with sICH
were treated by EEH between June 2009 and March 2017, and 127 patients who
underwent preoperative CT angiography were included in this study. Significant
correlations of PRH with the patients' baseline, clinical, and radiographic
characteristics, including the spot sign, were evaluated using multivariable
logistic regression models. RESULTS The incidence of and risk factors for PRH
were assessed in 127 patients with available data. PRH occurred in 9 (7.1%)
patients. Five (21.7%) cases of PRH were observed among 23 patients with the spot
sign, whereas only 4 (3.8%) cases of PRH occurred among 104 patients without the
spot sign. The spot sign was the only independent predictor of PRH (OR 5.81, 95%
CI 1.26-26.88; p = 0.02). The following factors were not independently associated
with PRH: age, hypertension, poor consciousness, antihemostatic factors
(thrombocytopenia, coagulopathy, and use of antithrombotic drugs), the location
and size of the sICH, other radiographic findings (black hole sign and blend
sign), surgical duration and procedures, and early surgery. CONCLUSIONS The spot
sign is likely to be a strong predictor of PRH after EEH among patients with
sICH. Complete and careful control of bleeding in the operative field should be
ensured when surgically treating such patients. New surgical strategies and
procedures might be needed to improve these patients' outcomes.
PMID- 29799344
TI - Spreading depolarization in acute brain injury inhibited by ketamine: a
prospective, randomized, multiple crossover trial.
AB - OBJECTIVE Retrospective clinical data and case studies support a therapeutic
effect of ketamine in suppression of spreading depolarization (SD) following
brain injury. Preclinical data strongly support efficacy in terms of frequency of
SD as well as recovery from electrocorticography (ECoG) depression. The authors
present the results of the first prospective controlled clinical trial testing
the role of ketamine used for clinical sedation on occurrence of SD. METHODS Ten
patients with severe traumatic brain injury (TBI) or aneurysmal subarachnoid
hemorrhage (SAH) were recruited for this pilot trial. A standard ECoG strip was
placed at the time of craniotomy, and the patients were then placed on an
alternating every-6-hour schedule of ketamine or other sedation agent. The order
of treatment was randomized. The ketamine dose was adjusted to clinical effect or
maintained at a subanesthetic basal dose (0.1 mg/kg/hr) if no sedation was
required. SD was scored using standard criteria, blinded to ketamine dosing.
Occurrence of SD was compared with the hourly dose of ketamine to determine the
effect of ketamine on SD occurrence. RESULTS Successful ECoG recordings were
obtained in all 10 patients: 8 with SAH and 2 with TBI. There were a total of
1642 hours of observations with adequate ECoG: 833 hours off ketamine and 809
hours on ketamine. Analysis revealed a strong dose-dependent effect such that
hours off ketamine or on doses of less than 1.15 mg/kg/hr were associated with an
increased risk of SD compared with hours on doses of 1.15 mg/kg/hr or more (OR
13.838, 95% CI 1.99-1000). This odds ratio decreased with lower doses of 1.0
mg/kg/hr (OR 4.924, 95% CI 1.337-43.516), 0.85 mg/kg/hr (OR 3.323, 95% CI 1.139
16.074), and 0.70 mg/kg/hr (OR 2.725, 95% CI 1.068-9.898) to a threshold of no
effect at 0.55 mg/kg/hr (OR 1.043, 95% CI 0.565-2.135). When all ketamine data
were pooled (i.e., on ketamine at any dose vs off ketamine), a nonsignificant
overall trend toward less SD during hours on ketamine (chi2 = 3.86, p = 0.42) was
observed. CONCLUSIONS Ketamine effectively inhibits SD over a wide range of doses
commonly used for sedation, even in nonintubated patients. These data also
provide the first prospective evidence that the occurrence of SD can be
influenced by clinical intervention and does not simply represent an unavoidable
epiphenomenon after injury. These data provide the basis for future studies
assessing clinical improvement with SD-directed therapy. Clinical trial
registration no.: NCT02501941 (clinicaltrials.gov).
PMID- 29799346
TI - Predictors of postoperative motor function in rolandic meningiomas.
AB - OBJECTIVE Resection of supratentorial meningiomas is generally considered a low
risk procedure, but tumors involving the rolandic cortex present a unique
challenge. The rate of motor function deterioration associated with resecting
such tumors is not well described in the literature. Thus, the authors sought to
report the rates and predictors of postoperative motor deficit following the
resection of rolandic meningiomas to assist with patient counseling and surgical
decision-making. METHODS An institution's pathology database was screened for
meningiomas removed between 2000 and 2017, and patients with neuroradiological
evidence of rolandic involvement were identified. Parameters screened as
potential predictors included patient age, sex, preoperative motor severity,
tumor location, tumor origin (falx vs convexity), histological grade, FLAIR
signal (T2-weighted MRI), venous involvement (T1-weighted MRI with contrast),
intratumoral hemorrhage, embolization, and degree of resection (Simpson grade).
Variables of interest included preoperative weakness and postoperative motor
decline (novel or worsened permanent deficit). The SPSS univariate and bivariate
analysis functions were used, and statistical significance was determined with
alpha < 0.05. RESULTS In 89 patients who had undergone resection of convexity
(80.9%) or parasagittal (19.1%) rolandic meningiomas, a postoperative motor
decline occurred in 24.7%. Of 53 patients (59.6%) with preoperative motor
deficits, 60.3% improved, 13.2% were unchanged, and 26.4% worsened following
surgery. Among the 36 patients without preoperative deficits, 22.2% developed new
weakness. Predictors of preoperative motor deficit included tumor size (41.6 vs
33.2 cm3, p = 0.040) and presence of FLAIR signal (69.8% vs 50.0%, p = 0.046).
Predictors of postoperative motor decline were preoperative motor deficit (47.2%
vs 22.2%, p = 0.017), minor (compared with severe) preoperative weakness (25.6%
vs 21.4%, p < 0.001), and preoperative embolization (54.5% vs 20.5%, p = 0.014).
Factors that trended toward significance included parafalcine tumor origin (41.2%
vs 20.8% convexity, p = 0.08), significant venous involvement (44.4% vs 23.5%
none, p = 0.09), and Simpson grade II+ (34.2% vs 17.6% grade I, p = 0.07).
CONCLUSIONS Resection of rolandic area meningiomas carries a high rate of
postoperative morbidity and deserves special preoperative planning. Large tumor
size, peritumoral edema, preoperative embolization, parafalcine origin, and
venous involvement may further increase the risk. Alternative surgical
strategies, such as aggressive internal debulking, may prevent motor decline in a
subset of high-risk patients.
PMID- 29799347
TI - Detection of microbleeds associated with sentinel headache using MRI quantitative
susceptibility mapping: pilot study.
AB - OBJECT Sentinel headaches (SHs) associated with cerebral aneurysms (CAs) could be
due to microbleeds, which are considered a sign that an aneurysm is unstable.
Despite the prognostic importance of these microbleeds, they remain difficult to
detect using routine imaging studies. The objective of this pilot study is to
detect microbleeds associated with SH using a magnetic resonance imaging (MRI)
quantitative susceptibility mapping (QSM) sequence and then evaluate the
morphological characteristics of unstable aneurysms with microbleeds. METHODS
Twenty CAs in 16 consecutive patients with an initial presentation of headache
(HA) leading to a diagnosis of CA were analyzed. Headaches in 4 of the patients
(two of whom had 2 aneurysms each) met the typical definition of SH, and the
other 12 patients (two of whom also had 2 aneurysms each) all had migraine HA.
All patients underwent imaging with the MRI-QSM sequence. Two independent MRI
experts who were blinded to the patients' clinical history performed 3D graphical
analysis to evaluate for potential microbleeds associated with these CAs.
Computational flow and morphometric analyses were also performed to estimate wall
shear and morphological variables. RESULTS In the 4 patients with SH, MRI-QSM
results were positive for 4 aneurysms, and hence these aneurysms were considered
positive for non-heme ferric iron (microbleeds). The other 16 aneurysms were
negative. Among aneurysm shape indices, the undulation index was significantly
higher in the QSM-positive group than in the QSM-negative group. In addition, the
spatial averaged wall shear magnitude was lower in the aneurysm wall in direct
contact with microbleeds. CONCLUSIONS MRI-QSM allows for objective detection of
microbleeds associated with SH and therefore identification of unstable CAs. CAs
with slightly greater undulation indices are associated with positive MRI-QSM
results and hence with microbleeds. Studies with larger populations are needed to
confirm these preliminary findings.
PMID- 29799348
TI - Associations among Life Events, Empathic Concern, and Adolescents' Prosocial and
Aggressive Behaviors Toward Specific Targets.
AB - The goal of the present study was to examine the links between life events and
adolescents' social behaviors (prosocial and aggressive behaviors) toward
specific targets and to examine how empathic concern may play a role in these
associations. The study examined two hypotheses: both the mediating role of
empathic concern and the moderating role of empathic concern. The sample included
311 high school students from the Midwest (M age = 16.10 years; age range = 14-19
years; 58.7% girls; 82.7% White, 13.6% Latino). The results demonstrated support
for the moderation model as well as complex links between life events and
prosocial and aggressive behaviors toward specific targets. The discussion
focuses on the role of empathic concern in understanding how life events are
ultimately associated with adolescents' social development.
PMID- 29799349
TI - Variation in payments for spine surgery episodes of care: implications for
episode-based bundled payment.
AB - OBJECTIVE Spine surgery is expensive and marked by high variation across regions
and providers. Bundled payments have potential to reduce unwarranted spending
associated with spine surgery. This study is a cross-sectional analysis of
commercial and Medicare claims data from January 2012 through March 2015 in the
state of Michigan. The objective was to quantify variation in payments for spine
surgery in adult patients, document sources of variation, and determine influence
of patient-level, surgeon-level, and hospital-level factors. METHODS Hierarchical
regression models were used to analyze contributions of patient-level covariates
and influence of individual surgeons and hospitals. The primary outcome was price
standardized 90-day episode payments. Intraclass correlation coefficients
measures of variability accounted for by each level of a hierarchical model-were
used to quantify sources of spending variation. RESULTS The authors analyzed
17,436 spine surgery episodes performed by 195 surgeons at 50 hospitals. Mean
price-standardized 90-day episode payments in the highest spending quintile
exceeded mean payments for episodes in the lowest cost quintile by $42,953 (p <
0.001). Facility payments for index admission and post-discharge payments were
the greatest contributors to overall variation: 39.4% and 32.5%, respectively.
After accounting for patient-level covariates, the remaining hospital-level and
surgeon-level effects accounted for 2.0% (95% CI 1.1%-3.8%) and 4.0% (95% CI 2.9%
5.6%) of total variation, respectively. CONCLUSIONS Significant variation exists
in total episode payments for spine surgery, driven mostly by variation in post
discharge and facility payments. Hospital and surgeon effects account for
relatively little of the observed variation.
PMID- 29799350
TI - Introduction of severe traumatic brain injury care protocol is associated with
reduction in mortality for pediatric patients: a case study of Children's
Healthcare of Atlanta's neurotrauma program.
AB - OBJECTIVE Evidence shows mixed efficacy of applying guidelines for the treatment
of traumatic brain injury (TBI) in children. A multidisciplinary team at a
children's health system standardized intensive care unit-based TBI care using
guidelines and best practices. The authors sought to investigate the impact of
guideline implementation on outcomes. METHODS A multidisciplinary group developed
a TBI care protocol based on published TBI treatment guidelines and consensus,
which was implemented in March 2011. The authors retrospectively compared
preimplementation outcomes (May 2009 to March 2011) and postimplementation
outcomes (April 2011 to March 2014) among patients < 18 years of age admitted
with severe TBI (Glasgow Coma Scale score <= 8) and potential survivability who
underwent intracranial pressure (ICP) monitoring. Measures included mortality,
hospital length of stay (LOS), ventilator LOS, critical ICP elevation time
(percentage or total time that ICP was > 40 mm Hg), and survivor functionality at
discharge (measured by the WeeFIM score). Data were analyzed using Student t
tests. RESULTS A total of 71 and 121 patients were included pre- and
postimplementation, respectively. Mortality (32% vs 19%; p < 0.001) and length of
critical ICP elevation (> 20 mm Hg; 26.3% vs 15%; p = 0.001) decreased after
protocol implementation. WeeFIM discharge scores were not statistically different
(57.6 vs 58.9; p = 0.9). Hospital LOS (median 19.6 days; p = 0.68) and ventilator
LOS (median 10 days; p = 0.24) were unchanged. CONCLUSIONS A multidisciplinary
effort to develop, disseminate, and implement an evidence-based TBI treatment
protocol at a children's hospital was associated with improved outcomes,
including survival and reduced time of ICP elevation. This type of ICP-based
protocol can serve as a guide for other institutions looking to reduce practice
disparity in the treatment of severe TBI.
PMID- 29799351
TI - Bartonella henselae infection presenting as cervical spine osteomyelitis: case
report.
AB - Bartonella henselae is a gram-negative bacillus implicated in cat-scratch
disease. Cat-scratch disease is usually self-limiting and results in local
lymphadenopathy. In rare circumstances, patients may develop endocarditis,
neuroretinitis, or osteomyelitis. Osteomyelitis of the cervical spine is
exceedingly rare, especially in the pediatric population, and to date there have
been only 4 previously reported cases of cervical spine osteomyelitis caused by
B. henselae, all of which were treated surgically. In this article, the authors
report the case of a 7-year-old boy who presented with neck swelling and was
found to have a C2-4 paravertebral B. henselae abscess with osteomyelitis of C-3
and epidural extension. To the authors' knowledge, this represents the first case
in the literature of a cervical spine B. henselae infection managed
conservatively.
PMID- 29799352
TI - Letter to the Editor. Endoscopic ultrasonic aspirator for the removal of
intraventricular tumors.
PMID- 29799353
TI - Incomplete reossification after craniosynostosis surgery-incidence and analysis
of risk factors: a clinical-radiological assessment study.
AB - OBJECTIVE One of the principles of the surgical treatment of craniosynostosis
includes the release of fused bone plates to prevent recurrence. Such bone
defects require a reossification process after surgery to prevent a cosmetic
problem or brain vulnerability to damage. The objective of this study is to
describe and analyze the radiological and clinical evolution of bone defects
after craniosynostosis. METHODS From January 2005 to May 2016, 248 infants
underwent surgical correction of craniosynostosis at HUiP La Fe Valencia; the
authors analyzed data from 216 of these cases that met the inclusion criteria for
this study. Various surgical techniques were used according to the age of the
patient and severity of the case, including endoscopic-assisted suturectomy, open
suturectomy, fronto-orbital advancement, and cranial vault remodeling. Clinical
follow-up and radiological quantitative measurements in 2 periods-12-24 months
and 2 years after surgery-were analyzed; 94 patients had a postoperative CT scan
and were included in the radiological analysis. RESULTS At the end of the follow
up period, 92 of 216 patients (42.59%) showed complete closure of the bone
defect, 112 patients (51.85%) had minor bone defects, and 12 patients (5.56%) had
significant bone defects that required surgical intervention. In the multivariate
analysis, age at first surgery was not significantly associated with incomplete
reossification (p = 0.15), nor was surgical site infection (p = 0.75).
Multivariate analysis identified area of cranial defect greater than 5 cm2 in the
first CT scan as predictive of incomplete reossification (p = 0.04). The mean
area of cranial defect in the first CT scan (12-24 months after surgery) was 3.69
cm2 in patients treated with open surgery and 7.13 cm2 in those treated with
endoscopic-assisted procedures; in the multivariate analysis, type of procedure
was not related to incomplete reossification (p = 0.46). The positive predictive
value of palpation as evaluation of bone cranial defects was 50% for significant
defects and 71% for minor defects. CONCLUSIONS The incidence of cranial defects
due to incomplete reossification requiring cranioplasty was 5.56% in our series.
Defects greater than 5 cm2 in the first postoperative CT scan showed a positive
association with incomplete reossification. Patients treated with endoscope
assisted procedures had larger defects in the initial follow-up, but the final
incidence of cranial defects was not significantly different in the endoscope
assisted surgery group from that in the open surgery group.
PMID- 29799354
TI - The role of selected chemokines and their specific receptors in pancreatic
cancer.
AB - Pancreatic carcinoma is a highly malignant disease associated with an extremely
poor prognosis, which is caused by late presentation, aggressive invasion and
metastases, as well as the detection of pancreatic carcinoma in its advanced
stages. Thus, better understanding of the tumour biology of this malignancy is
sorely needed to improve the clinical outcome. A great challenge for the medical
practice is finding a new biomarker of pancreatic carcinoma that will be helpful
in diagnosis, in prognosis and in making clinical decisions, including the
assessment of patients' response to therapy. It is suggested that selected
chemokines and their specific receptors play an important role in tumour
progression, such as tumour growth, angiogenesis, proliferation and development
of metastasis. In the present review, general characteristics of chemokines and
their specific receptors as well as the significance of these molecules in tumour
development are described. The crucial issue of this review is to summarise the
importance of various chemokines and their specific receptors in pancreatic
carcinoma. Understanding the role of chemokines in the pathogenesis of pancreatic
carcinoma is extremely important since these proteins may be used as a potential
tool in the diagnosis and prognosis of pancreatic carcinoma patients.
PMID- 29799355
TI - Deciphering the potential value of 5-fluorouracil metabolic enzymes in predicting
prognosis and treatment response of colorectal cancer patients.
AB - INTRODUCTION: 5-flourouracil (5-FU) is one of the standard chemotherapeutic drugs
used today in the treatment of colorectal cancer patients. Disruption of 5-FU
metabolic pathway may contribute to altered effectiveness towards 5-FU-based
therapy. Hence, the study of 5-FU metabolizing enzymes might have the potential
efficacy to predict survival and response to treatment in colorectal cancer
patients. MATERIALS AND METHODS: Immunohistochemical localization of 5-FU
metabolic enzymes (TS, MTHFR, DPYD, and TP) was evaluated in 143 untreated
patients with colorectal cancer; their prognostic and predictive values were also
evaluated. RESULTS: Immuno-positivity for TS, MTHFR, DPYD, and TP was observed in
77%, 75%, 88%, and 96% of colorectal cancer patients, respectively. Univariate
survival analysis in total patients showed that low DPYD expression significantly
predicted adverse overall survival ( P=0.042). Moreover, subgroup of colon cancer
patients with low TS expression was associated with unfavorable prognosis. TP
expression also emerged as a prognosticator in the subgroup of early and advanced
stage patients. Additionally, when effect of co-expression of 5-FU metabolic
enzymes was evaluated in total patients, low coexpression of all four proteins
was predictive of poor overall survival than for individuals expressing high
coexpression of these proteins ( P=0.045). In contrast, none of the 5-FU
metabolic enzymes-either singly or on coexpression-emerged as a useful biomarker
of potential therapeutic value when evaluated in the subgroup of patients treated
with 5-FU alone or 5-FU plus oxaliplatin. CONCLUSION: The above findings suggest
that coexpression of 5-FU metabolic enzymes possess significant prognostic value
and could be useful biomarkers in colorectal cancer patients.
PMID- 29799356
TI - Diagnostic value of CD56 immunohistochemistry in thyroid lesions.
AB - PURPOSE: The present study aimed to evaluate the diagnostic roles of CD56
immunohistochemistry in differentiating various thyroid lesions. METHODS: A meta
analysis was performed to evaluate the rate of loss of CD56 immunohistochemistry
expression from 13 eligible studies regarding various thyroid lesions, including
papillary thyroid carcinoma, follicular carcinoma, and follicular adenoma. To
confirm the value of CD56 immunohistochemistry in differentiating various thyroid
lesions, a diagnostic test accuracy review was conducted. RESULTS: An 87.8%,
79.1%, 11.9%, 25.5%, and 19.6% loss of CD56 immunohistochemistry expression was
identified in papillary thyroid carcinoma, follicular carcinoma, follicular
adenoma, benign follicular nodule, and Hashimoto's thyroiditis, respectively. In
the normal thyroid tissue, the rate of loss of CD56 expression was 1.6%.
Classical, follicular, diffuse sclerosing, tall cell, and encapsulated variants
of papillary thyroid carcinoma showed an 88.4%, 75.3%, 97.2%, 91.7%, and 91.7%
loss of CD56 expression, respectively. In the comparison between the follicular
variant of papillary thyroid carcinoma and follicular adenoma, the pooled
sensitivity and specificity of CD56 immunohistochemistry was 0.82 (95% confidence
interval (CI) 0.70, 0.90) and 0.94 (95% CI 0.83, 0.99), respectively. The
diagnostic odds ratio and the area under curve on summary receiver operating
characteristic curve was 51.43 (95% CI 5.83, 453.88) and 0.9387, respectively.
CONCLUSION: Collectively, these results indicate that the rate of loss of CD56
immunohistochemistry expression was significantly higher in malignant tumors,
such as papillary thyroid carcinoma and follicular carcinoma, than in follicular
adenoma, benign follicular nodule, and Hashimoto's thyroiditis. As such, CD56
immunohistochemistry can be useful in differentiating follicular variant
papillary thyroid carcinoma from follicular adenoma.
PMID- 29799358
TI - Automation in visual inspection tasks: X-ray luggage screening supported by a
system of direct, indirect or adaptable cueing with low and high system
reliability.
AB - The present study evaluated three automation modes for improving performance in
an X-ray luggage screening task. 140 participants were asked to detect the
presence of prohibited items in X-ray images of cabin luggage. Twenty
participants conducted this task without automatic support (control group),
whereas the others worked with either indirect cues (system indicated the target
presence without specifying its location), or direct cues (system pointed out the
exact target location) or adaptable automation (participants could freely choose
between no cue, direct and indirect cues). Furthermore, automatic support
reliability was manipulated (low vs. high). The results showed a clear advantage
for direct cues regarding detection performance and response time. No benefits
were observed for adaptable automation. Finally, high automation reliability led
to better performance and higher operator trust. The findings overall confirmed
that automatic support systems for luggage screening should be designed such that
they provide direct, highly reliable cues.
PMID- 29799357
TI - Long non-coding RNA SNHG6 promotes glioma tumorigenesis by sponging miR-101-3p.
AB - INTRODUCTION: Glioma is the most common primary brain tumor. The small nucleolar
RNA host gene (SNHG) SNHG6 is a potential oncogene in the development of several
types of cancers. METHODS: In this study, we investigated the functional role of
long non-coding RNA (lncRNA) SNHG6 in the malignancy of glioma in cell lines and
transplanted nude mice. RESULTS: We found that the expression of lncRNA SNHG6 was
higher in glioma tissues and cells than in normal brain tissues and cells. The
expression of lncRNA SNHG6 was positively correlated with the malignancy and poor
prognosis of glioma patients. microRNA (miR)-101-3p expression was decreased in
glioma tissues and cells and was negatively correlated with the malignancy and
poor prognosis of glioma patients. In glioma tissues, the expression of lncRNA
SNHG6 was negatively correlated with the expression of miR-101-3p. SNHG6
contained a binding site of miR-101-3p. Knockdown of SNHG6 expression resulted in
a significant increase of miR-101-3p expression. miR-101-3p mimic markedly
decreased the luciferase activity of SNHG6. Knockdown of SNHG6 inhibited glioma
cell proliferation, migration, and epithelial-mesenchymal transition (EMT), and
increased apoptosis. miR-101-3p mimic enhanced knockdown of SNHG6-induced
inhibition of cell proliferation, migration, and EMT, and an increase of
apoptosis. Anti-miR-101-3p reversed the the effects of si-SNHG6 on cell
malignancy. Knockdown of SNHG6 remarkably reduced the increase of tumor volumes
in xenograft mouse models. In tumor tissues, knockdown of SNHG6 increased the
expression of miR-101-3p and reduced EMT biomarker expression. CONCLUSIONS: Our
study provides novel insights into the functions of lncRNA SNHG6/miR-101-3p axis
in the tumorigenesis of glioma.
PMID- 29799359
TI - Thomas Norwood Taylor (1938-2016).
PMID- 29799362
TI - The Changes Group: A Follow-Up Report on a Group Intervention to Assist the
Successful Discharge of Hospital Residents.
AB - OBJECTIVE: This is a follow-up study of a pilot project first reported on in
2006. A group model was developed for a state psychiatric hospital setting to
assist residents who had displayed characteristics of "institutionalism." This
includes an aversion or ambivalence to discharge efforts and an acceptance of
prolonged life in the hospital. The pilot project, while small, was promising,
with five of seven people entering the community successfully within a year and a
half. METHOD: The current project expanded the scope to include three groups with
a total of 25 participants. Additional refinement included a standardized group
curriculum, expanding outcome measures to include participant attitudes toward
change, a protocol to inform and meaningfully involve clinical treatment teams in
the participants' progress, and enhanced training for group facilitators.
RESULTS: Of the original participants, 32% achieved discharge in the first year
of participation in the group. In addition, participants who were not discharged
within the first year developed more positive attitudes toward making changes in
their life. DISCUSSION: The guiding principles of this model-including personal
reflection, a team approach for sharing life experiences, and encouragement from
participants and staff-seem conducive for supporting the attitudinal change and
motivation necessary for successful discharge after prolonged hospital stays.
PMID- 29799360
TI - The state of aging policy and politics in the Trump era.
AB - The surprise election of President Donald J. Trump to the presidency of the
United States marks a singular turning point in the American republic-not only
because of his idiosyncratic approach to the office, but also because the
Republican Party now holds the presidency and both houses of Congress, presenting
a historic opportunity for change. The role of older Americans has been critical
in both shaping and reacting to this political moment. Their political
orientations and behaviors have shaped it through their electoral support for
Republican candidates, but they also stand as highly invested stakeholders in the
policy decisions made by the very officials they elected and as beneficiaries of
the programs that Republicans have targeted. This article draws on the content of
this issue to explore the ways in which Trump administration policies are likely
to significantly undermine the social safety net for near-elderly and older
Americans with respect to long-term care, housing, health care, and retirement.
It also draws on issue content to speculate on the ways that these policy changes
might shape politics and political behavior. We conclude that the response of
older voters in the 2018 midterm elections to efforts by the Trump administration
and its Republican allies in Congress to draw back on the federal government's
commitment to programs and policies affecting them will shape the direction of
aging policy and politics in the years to come.
PMID- 29799361
TI - The effects of protective factors and adverse childhood experiences on behavioral
health services use: Findings from a population-based sample.
AB - Adverse childhood experiences (ACEs) are linked to later life health problems
such as behavioral health (BH) service use but less is known about how to counter
this association. Using a population based sample of 807 adults, we examined the
effects of protective factors in countering this association. ACEs were
associated with increased BH service use while protective factors were associated
with decreased BH service use. However, no significant interaction effect was
found. Research is needed to develop a better measure of protective factors.
Social workers need to be aware of ACE prevalence among clients and can foster
protective factors.
PMID- 29799363
TI - Emerging Practices in Psychiatric Rehabilitation.
PMID- 29799364
TI - Treatment: Under the Rehabilitation Umbrella.
PMID- 29799365
TI - Structurating Expanded Genetic Carrier Screening: A Longitudinal Analysis of
Online News Coverage.
AB - Expanded carrier screening (ECS) is a genetic test that is designed to assess the
risk of a healthy person passing down a genetic disorder, such as spinal muscular
atrophy, to future children. ECS screens for up to several hundred disorders in
one test, expanding on traditional carrier screening tests that target one or a
few genetic disorders. However, little is known about how this health technology
is being presented to the public in media coverage. Accordingly, this study is a
qualitative content analysis of online news and information of ECS over a 6-year
period, beginning in 2010 when the technology was publicly available. Results
indicate that major coverage themes were consistent across the years included,
although content within those themes changed over time. Using structuration
theory to guide analysis, results reveal that online news and information from
2010 to 2015 structurated ECS as a health technology innovation, an opportunity
for business and investment, and a tool for family planning. Results are
discussed in terms of implications for future research, for health journalism
practice, and for clinical interactions.
PMID- 29799366
TI - Membrane bioreactors troubleshooting through the preparation of a high
antifouling PVDF ultrafiltration mixed-matrix membrane blended with O
carboxymethyl chitosan-Fe3O4 nanoparticles.
AB - Polymeric ultrafiltration (UF) membranes often used in membrane bioreactor (MBR)
prone to be fouled by fouling agents. Therefore, in this paper, the antifouling
characteristics of polyvinylidene fluoride (PVDF) UF membranes for wastewater
treatment are improved through modifying membranes by O-carboxymethyl chitosan
(OCMCS)-functionalized Fe3O4 nanoparticles (OCMCSFe3O4). The modifier agent was
manufactured by the adsorption of OCMCS on Fe3O4 nanoparticles, which were
synthesized via co-precipitating method. Antifouling performance of membranes was
assessed by permeation tests done using activated sludge suspension as a
biological foulant, then the calculation of the pure water flux recovery ratio
(FRR) and fouling resistance parameters. Also, to investigate the protein
rejection of membranes, permeation tests were conducted by the bovine serum
albumin (BSA) solution. According to the obtained results, surface hydrophilicity
of the embedded membranes was improved in the low concentrations of the modified
nanoparticles. However, the high quantity of the OCMCS-Fe3O4 nanoparticles (>0.1
wt. %) in the casting solution lessened membrane performance owing to the
agglomeration of the nanoparticles in the polymer matrix. Although, the 1 wt. %
OCMCS-Fe3O4 membrane revealed considerably higher PWF and permeation than that of
the other membranes. It was because of defects and cracks in the membranes. The
0.05 wt. % OCMCS-Fe3O4/PVDF membrane exhibited the highest FRR (95.7%) and
protein rejection value (48%) and the lowest irreversible fouling resistance
(Rir) value (4.2%). It is concluded that the blended membranes with modified
nanoparticles resulted in a high-flux ultrafiltration membrane comparable with
microfiltration membrane, while its separation properties remained similar to UF
membrane.
PMID- 29799367
TI - Neuropathic Arthropathy of the Glenohumeral Joint A Review of the Literature.
AB - Neuropathic arthropathy, also known as Charcot arthropathy, is a degenerative
disorder most commonly characterized by rapid destruction of the joint with
extensive involvement of the bone and soft tissue. The underlying pathophysiology
is thought to be due to loss of nociception (pain sensation), most frequently
caused by diabetes mellitus, syphilitic myelopathy, or syringomyelia. A
neuropathic shoulder is rare, with historic case series forming the bulk of the
literature. The purpose of this review is to better understand the pathogenesis,
clinical presentation, and management of neuropathic arthropathy of the
glenohumeral joint. It should be stressed that the identification and management
of the underlying etiology is paramount if the disease process is to be
positively impacted. Although the mainstay of orthopedic management is non
surgical, little evidence exists to support the use of any specific therapeutic
intervention. Recent literature suggests surgical reconstruction may be
considered in very select patients.
PMID- 29799369
TI - Radiographic Predictors of Patient Satisfaction Following Primary Total Knee
Arthroplasty.
AB - BACKGROUND: Predicting satisfaction following total knee arthroplasty (TKA)
continues to be a clinical challenge. We sought to quantify radiographic
variables associated with clinical improvement and satisfaction following TKA.
METHODS: We reviewed a consecutive series of primary TKAs performed by a single
surgeon with a minimum 2-year follow-up. Radiographic variables assessed included
preoperative and postoperative mechanical axis alignment, osteophyte size and
location, and the presence of tibial or patella subluxation. Measurements were
taken using a calibrated ruler and goniometer using digital radiographs. Knee
Society Scores (KSS), satisfaction, and range of motion (ROM) were prospectively
collected. RESULTS: A total 155 TKAs were followed with a minimum 2.3 year follow
up (mean: 4.2 +/- 0.85). Eleven were not satisfied, 9 were satisfied with minor
complaints, and 131 were completely satisfied after TKA. Increasing size of
patella and lateral compartment osteophytes, particularly greater than 5 mm, was
significantly associated with improvement in KSS knee scores (p < 0.05). Patient
satisfaction was also strongly associated with these variables and appeared
independent of mechanical axis alignment. A regression model demonstrated that
lateral patella osteophytes and lateral compartment osteophytes continued to have
a significant.
PMID- 29799368
TI - Posterior Dynamic Stabilization of the Lumbar Spine Review of Biomechanical and
Clinical Studies.
AB - Fusion and rigid instrumentation have been the mainstay for the surgical
treatment of degenerative diseases of the spine for many years. Dynamic
stabilization provides a theoretical advantage of decreased biomechanical stress
on adjacent spinal segments and decreased fatigue failure of implants. Artificial
discs provide an alternative treatment and have been well-studied in the
literature. Another technology that is currently used in Europe but rarely in the
USA is flexible rods attached to pedicle screws instead of rigid rods or bone
fusion. We performed a literature review of the current systems of flexible rod
stabilization, while also considering range of motion, loading characteristics,
and infection rates.
PMID- 29799370
TI - Michigan Hand Outcomes Questionnaire for the Evaluation of Patients with
Mucopolysaccharidosis.
AB - This study evaluates hand functioning in patients with mucopolysaccharidosis
(MPS) and validates the Michigan Hand Outcomes Questionnaire (MHQ) as a measure
of hand functioning evaluation. Patients with diagnosis of MPS between the ages
of 8 and 21 years were eligible for enrolment in the study irrespective of
whether they were or were not receiving treatment (enzyme replacement therapy).
Individuals with mental disorders and those who had already undergone hand
surgery were excluded. Clinical and demographic data were collected as well as
hand functioning evaluation based on the Brazilian-Portuguese version of the MHQ.
The global score and domains score of the entire group is presented. With regard
to the validation of the MHQ, internal consistency of the domains were evaluated
using Cronbach's alpha correlation coefficient. Ten individuals were women and
six were men; two were type II MPS and 14 were type VI. Mean age was 11.7 +/- 4.4
years and five patients had signs and symptoms suggestive of carpal tunnel
syndrome. The MHQ global and domains mean scores were: global, 56.68 +/- 16.17;
hand function, 64.17 +/- 21.62; activities of daily living, 46.87 +/- 27.78;
school performance, 56.01 +/- 32.69; pain, 79.33 +/- 30.87; aesthetics, 77.81 +/-
11.16; and satisfaction, 74.57 +/- 27.16. Global and domain internal consistency
were: global, 0.888 (good); hand function, 0.815 (good); activities of daily
living, 0.873 (good); school performance, 0.815 (good); pain, 0.468 (poor);
aesthetics, -0.044 (unacceptable); and satisfaction.
PMID- 29799371
TI - The Impact of Anterior Glenoid Defects on Reverse Shoulder Glenoid Fixation in a
Composite Scapula Model.
AB - BACKGROUND: Achieving glenoid fixation with anterior bone loss can be
challenging. Limited guidelines have been established for critical defect sizes
that can be treated without supplemental bone graft when performing reverse
shoulder arthroplasty. METHODS: We quantified the impact of two sizes of anterior
glenoid defects on glenoid baseplate fixation in a composite scapula using the
ASTM F 2028-14 reverse shoulder glenoid loosening test method. RESULTS: All
glenoid baseplates remained well-fixed after cyclic loading in composite scapula
without a defect and in scapula with an 8.5 mm anterior glenoid defect; however,
one of seven baseplates loosened in a scapula with a 12.5 mm defect. No
difference was observed between pre- and post-cyclic baseplate displacements in
scapula with 8.5 mm or 12.5 mm defects or in the control group scapula. However,
baseplate displacement in scapula with 12.5 mm anterior defects was significantly
greater after cyclic loading than that of baseplates in 8.5 mm defects (superior
inferior displacement, p = 0.0004; anterior-posterior displacement, p < 0.0001),
where baseplate displacement in 8.5 mm (superior- inferior displacement, p =
0.0003; anterior-posterior displacement, p = 0.0014) and 12.5 mm (superior
inferior displacement, p < 0.0001; anterior-posterior displacement, p < 0.0001)
defects after cyclic loading was significantly greater than that of baseplates in
scapula without a defect. DISCUSSION: Adequate and stable fixation can be
achieved in scapula with anterior glenoid defects of at least 8.5 mm in this
biomechanical model using an established testing methodology; however,
supplemental bone grafting should be utilized for anterior glenoid defects of
12.5 mm and larger using the reverse shoulder prosthesis tested in this study.
PMID- 29799372
TI - Approach to the Patient with Disproportionate Pain.
AB - Faced with a patient who presents with unexplained disproportionate pain, a
surgeon may be tempted to diagnose a low pain threshold, malingering, poor
coping, anxiety, or other emotional condition. However, a variety of conditions
must be ruled out before the orthopedist can prescribe watchful waiting. Computed
tomography and magnetic resonance imaging can detect occult fractures, acute
spinal conditions or vascular occlusions, but early on are inadequate to diagnose
a compartment syndrome, necrotizing fasciitis, or reflex sympathetic dystrophy
(RSD). These diagnoses underpin a pain-out-of-proportion situation whereby the
patient presents with disproportionate pain following a sometimes minor trauma
with normal imaging studies and otherwise nonspecific presentations. Though these
conditions are well described in the literature, investigations of malpractice
data reveal a non-negligible prevalence of missed diagnoses for each of these
entities. Determining that a patient exhibits otherwise unexplained pain-out-of
proportion situation is the first step in making a timely diagnosis.
PMID- 29799373
TI - Unreported Sharps Exposures in Orthopedic Surgery Residents A Silent Majority.
AB - Sharps-related injuries represent a significant occupational hazard to orthopedic
surgeons. Despite increased attention and targeted interventions, evidence
suggests that the majority of incidents continue to go unreported. The purpose of
this study was to examine the incidence, attitudes, and factors that affect the
reporting of sharps injuries among orthopedic surgery residents at a large
academic teaching hospital in an effort to increase reporting rates and design
effective interventions. This study administered an anonymous cross-sectional
survey regarding intraoperative sharps exposures to current orthopedic house
staff, with an 87% (54/62) response rate. Overall, 76% of surveyed residents
(41/54) had at least one sharps exposure during residency. The majority of these
incidents (55%) were never reported. The most common reason cited for not
reporting was a "perception of low risk." Residents whose exposures were
witnessed by others on the surgical team were more likely to report the incident
(57% vs. 23%, p = 0.043), suggesting that peer pressure acts to improve reporting
rates. While the implementation of a "needlestick hotline" and increased
education has led to improved reporting rates at our institution, further
improvements aimed at reducing unwitnessed incidents, and therefore unreported
incidents, could comprise an increased emphasis on surgical team vigilance,
positive peer pressure, the incorporation of sharps-specific surgical debriefing
statements and anonymous tip lines.
PMID- 29799374
TI - Autoimmune Tracheal Cartilage Inflammation Responsive to Anti-TNF-alpha Therapy.
AB - Tracheal inflammation, or tracheitis, is a pathologic process that can occur
secondary to a number of systemic inflammatory diseases, or it may be idiopathic
in nature. Regardless of the underlying etiology, tracheitis can, in its most
severe form, be life-threatening, thus making its treatment an area of interest.
Our case is one of a 50-year-old man with a remote history of inflammatory bowel
disease achieving clinical cure following surgical resection who presented with
progressive dyspnea due to tracheal stenosis that was presumed secondary to an
autoimmune and inflammatory etiology. His disease was initially refractory to
recurrent surgical interventions. He ultimately achieved clinical improvement
with a combination of methotrexate and the tumor necrosis factor alpha (TNF
alpha) inhibitor, adalimumab. While both clinical trials and standardized
treatment guidelines are lacking in this domain, this case illustrates a
potential role for TNF-alpha inhibitors in the treatment of inflammatory
tracheitis, irrespective of the underlying etiology.
PMID- 29799375
TI - Imitating the Great Imitator The Intersection of Sarcoidosis and Hodgkin's
Lymphoma A Report of Two Cases.
AB - Sarcoidosis and Hodgkin's lymphoma represent two distinct diseases with different
pathogenic mechanisms, therapeutic interventions, and prognoses. Nevertheless,
both diseases can have overlapping presentations, thus blurring the line between
successful identification and treatment. A propensity to develop one of these
diseases following diagnosis of the other has long been appreciated. Here we
review two cases of presumed sarcoidosis that were ultimately diagnosed as
Hodgkin's lymphoma. Both patients initially presented with non-specific symptoms
and underwent a thorough workup, including histological evaluation demonstrating
non-caseating granulomas without evidence of malignancy. Both patients started
sarcoid-directed therapies with relapse of symptoms. Repeat imaging and tissue
biopsy eventually led to the diagnosis of stage IVB Hodgkin's lymphoma. After the
initiation of Hodgkin's-directed therapies, both patients showed marked clinical
responses, and entered complete remission.
PMID- 29799376
TI - A quality improvement program to improve nutritional status of children with
Cystic Fibrosis aged 2-12 years old over a 3 year period at CF center Roscoff,
Brittany.
AB - BACKGROUND: The Cystic Fibrosis (CF) center in Roscoff (Brittany) has been
involved in therapeutic education programs (TEP) since 2006 and took part in the
pilot phase of the French quality improvement program (QIP) since 2011. The aim
was to improve the nutritional status of children with cystic fibrosis aged 2-12
years old in order to optimize their health status as they enter adolescence.
METHODS: A multidisciplinary quality team was created in order to select and
address a specific health problem among our pediatric population. Following
analysis of yearly indicators for our CF center, our team chose to improve
quality of care concerning nutritional status of children aged 2-12 years old.
Factors influencing efficacy were studied, tools were developed to implement a
new nutritional program, results were analyzed on a real-time basis. RESULTS:
Over the 3 year period, all patients from 2 years of age, were monitored with the
new follow-up program (2012: N = 34; 2014: N = 44). Each patient was followed up
at every clinic visit, their BMI z-score was calculated to decide their
nutritional risk and personalize their follow-up program consequently. Between
1/1/2012 and 31/12/2014, the mean BMI z-score of the open cohort improved from
0.49 to -0.22. CONCLUSIONS: Since 2014, focus on nutrition using the newly
adapted program has become routine practice at each follow-up visit. Patients and
parents expressed a high level of satisfaction (75% very satisfied). The follow
up program aimed at improving nutritional status for children aged 2-12 years old
was successfully implemented and integrated into routine practice; it was
therefore extended to all children with CF (1 month - 18 years) in our center.
The relationship among professional and patients and parents was strengthened.
PMID- 29799377
TI - Strategies for care quality improvement in Cystic Fibrosis.
PMID- 29799378
TI - Lessons from patient and parent involvement (P&PI) in a quality improvement
program in cystic fibrosis care in France.
AB - BACKGROUND: Quality Improvement Programs (QIP) in cystic fibrosis (CF) care have
emerged as strategies to reduce variability of care and of patient outcomes among
centres facilitating the implementation of Best Practices in all centres. The US
CF Foundation developed a Learning and Leadership Collaborative program which was
transposed in France in 2011. Patient and parent involvement (P&PI) on the local
quality teams (QTs) is one dimension of this complex intervention. The conditions
and effects of this involvement needed to be evaluated. METHODS: In all settings,
patients and parents were recruited by their centre care team. They were trained
to QI method and tools and contributed their own expertise to improve the process
of care. This involvement has been analyzed in the frame of the whole process
evaluation. Observations and interviews conducted during the course of the first
training year explored the motivations of the patients and parents to participate
and the vision of the health care teams. A research study was carried out after
three years with the patients/parents and the professionals to assess the French
QIP's effectiveness using a questionnaire to report their opinions on various
components of the program, including their experience of P&PI. Responses were
analyzed in view of identifying consensus and dissensus between the two groups.
RESULTS: At the introduction of the program, P&PI was an opportunity for
healthcare providers to reflect on their conceptions of these individuals both as
patients and as healthcare system users. Curiosity about the teams' functioning,
the various center organizations and outcomes led patients to overcome their
initial barriers to participation. Seventy-six people including 12
patients/parents from the 14 pilot centres responded to the questionnaire after 3
years. Consensus between professionals and patients/parents was high on most
items characterizing the performance of the QIP, QT effectiveness and QT
functioning. Patients, parents and professionals agreed on the main
characteristics of care such as an optimized organization, multidisciplinary care
and patient-centredness. Regarding the use of patient electronic records, the use
of care guidelines or the organization of support in the patient community,
responses were not consensual amongst patients/parents and a source of dissensus
between the two groups. All agreed that the French QIP created good conditions
for their involvement. In the end, both groups agreed that it was difficult to
attribute the paternity of some changes specifically to any member in the team.
DISCUSSION: Perspectives such as an educational framework to develop the skills
and behaviors of professionals engaged in collaborative practice with patients
and families and large patient experience surveys could be used to capture
patients' experience of care in the improvement work. CONCLUSION: Success factors
for patient/parent long-term involvement in QIPs have been identified. Answers to
questions raised by the stakeholders about the feasibility, efficiency and
usefulness of P&PI in this CF QIP could be given but new questions arose about
the sustainability of continuous quality improvement over time.
PMID- 29799379
TI - Trans-Atlantic collaboration: applying lessons learned from the US CF Foundation
quality improvement initiative.
AB - BACKGROUND: Between 2002 and 2006 France launched a national cystic fibrois (CF)
newborn screening program; organized a network of specialized CF care centers;
and issued CF diagnostic and treatment standards. To continue to build on this
success in 2007 the Cystic Fibrosis Center of Expertise for Rare Diseases (CF
CERD) of Nantes-Roscoff in partnership with the French CF Society, the French CF
Association (Vaincre la Mucoviscidose), and all CF center leaders from across the
country agreed to pursue center-level improvement in medical outcomes for people
with CF by adapting the U.S. Cystic Fibrosis Foundation's (US CFF) national
initiative, Accelerating the Rate of Improvement in CF Care. To launch the
Program to Improve Results and Expertise in CF (le Programme d'Amelioration des
Resultats et de l'Expertise en Mucoviscidose - PHARE-M), French leaders pursued
mentorship and guidance from leaders at the US CFF, the Dartmouth Institute
(TDI), and clinical care teams at CF centers across the U.S. METHODS: The
following activities enabled the Nantes-Roscoff CF CERD team members and a
parent, involved with the French CF Association board and a quality engineer by
training, to gain the leadership and quality improvement knowledge and skills
necessary to implement the PHARE-M program: 1) regularly attending national
meetings, tracking publications, and leveraging existing partnerships; 2)
completing two sabbaticals to visit U.S. CF centers and enrolling in academic and
professional training courses; and, 3) inviting US CFF and TDI leaders to France
to meet key opinion leaders and frontline teams. CONCLUSIONS: The Nantes-Roscoff
CF CERD team successfully adapted the US CFF's initiative to accelerate
improvement in CF care by establishing a partnership with U.S. leaders to
communicate and exchange strategies and lessons learned; intentionally studying
and adapting the Clinical Microsystems approach to quality improvement; and
learning directly from the experience of frontline teams in the U.S. They
continue to partner with U.S. leaders and are seeking to collaborate with
European colleagues to continue to improve care for individuals with CF and their
families across Europe.
PMID- 29799380
TI - A quality improvement program to reduce the time on the lung transplant waiting
list at the Nantes University Hospital.
AB - BACKGROUND: In 2010, the time on the lung transplant waiting list in Nantes
University Hospital (NUH) was 9.2 months, compared to a French national median of
about 4 months. The NUH transplant unit performs both heart and lung
transplantations, which can be seen as competing activities. To fix the problem,
the adult Cystic Fibrosis (CF) team decided to engage in the French CF Quality
Improvement Program (QIP PHARE-M) in 2012. The objectives were: i) To reduce the
time on the lung transplant waiting list at the Nantes Transplant Unit by
increasing the number of lung transplants per year twhile maintaining a 5-year
survival rate above the French national average. ii) To improve the organization
of the lung transplant access process and the quality of the waiting time for
patients. METHODS: A quality controller was involved as the QIP referent to coach
the CF quality team, analyze the pre-transplant process, and set up meaningful
measures. Benchmarking was performed with other transplant units, and staff
discussions were held with the Transplant Team (TT) to assess the outcomes of
rejected donor lungs. Negotiations were made with the hospital administration.
Plan, Do, Study and Act cycles were used to redesign the pre-transplant
assessment in connection with the CF centers (CFC) referring patients to the NUH
transplant unit. RESULTS: i) The flow of patients has been reorganized,
decreasing the time spent in surgical intensive care by increasing the number of
beds in the intensive care unit, and a chest physician has been recruited ii) The
number of organs rejected has been reduced iii) Lung transplant activity has
increased to 20-25 transplants per year, and the median waiting time was reduced
to 3.5 months for patients transplanted in 2014 and to 1.85 months for patients
transplanted in 2015 iv) Added-value activities including education, information,
and psychosocial support are now offered to patients during the waiting time.
CONCLUSION: The QIP PHARE-M, including coaching by a quality-engineer, has helped
our adult CF center address its specific lung transplant issues and redesign the
lung transplant process for both local patients and patients referred by other
CFC.
PMID- 29799381
TI - Quality of care in cystic fibrosis: assessment protocol of the French QIP PHARE
M.
AB - BACKGROUND: The PHARE-M care quality improvement program, modeled on the US
Cystic Fibrosis Quality Improvement Program, was introduced at 14 cystic fibrosis
centers (CFCs) in the French Cystic Fibrosis Network between 2011 and 2013. The
pilot phase assessments attested the progressive adherence of the teams and
improvements in care management. The PHARE-M Performance research project aims at
assessing in 2015 the impact of the PHARE-M program on patient health indicators
at trained versus untrained centers. It also sought to identify contextual
factors that could account for variability in the performance of the PHARE-M
among the trained centers. METHODS: A mixed methodology combining: a quantitative
experimental study: a comparison, using a mixed model for repeated data (from
2011 to 2015), of the average changes over time in forced expiratory volume in 1
s (FEV1) and body mass index (BMI) between two groups of patients included in a
closed cohort (non-transplant patients, continuous follow-up at one participating
CFC, and a CF-causing mutation), one having benefitted from the PHARE-M program
and the other not having done so, and a realistic study: a characterization of
the impact on care management and an identification of mechanisms through which
the PHARE-M intervention improved the team's effectiveness in different CFC
contexts; this required modeling the intervention, context, and impact on care
management with respect to the criteria of the chronic care model (CCM); this was
done using a self-administered questionnaire given to professionals and
patients/parents supplemented with focus groups. CONCLUSION: Although the study
population was controlled, it may be difficult to establish a causal relationship
between the differences in the changes over time in patient health indicators in
the two groups of patients and the PHARE-M intervention as it is often the case
in complex interventions rolled out in adaptive environments. The analysis of
factors associated with variations in the impact of the PHARE-M at the different
trained CFCs required the adoption of instruments validated in other contexts;
these could be useful for assessing the performance of other interventions in
healthcare practices at CFCs in France.
PMID- 29799382
TI - A quality improvement program for adolescents with cystic fibrosis: focus on
psychosocial skills.
AB - BACKGROUND: The two pediatric cystic fibrosis centers (CFCs) in Paris (Robert
Debre) and Nantes, France, have been developing therapeutic patient education
(TPE) programs since 2006 and have been engaged in the pilot phase of the quality
improvement program (QIP) named the Hospital Program to Improve Outcomes and
Expertise in Cystic Fibrosis (PHARE-M) since 2011. The objective was to improve
the FEV1 of the cohort of adolescents to prepare them for their optimal
transition to an adult CFC. METHODS: The two CFCs formed a multidisciplinary
quality team and used the analysis of causes of insufficient respiratory function
taking into account the adolescents' psychosocial factors. At the Nantes CFC, the
approach was centered on adolescents' body image and their motivation to take
care of themselves by assigning specific aspects of patient follow-up to each
professional in the team. At R. Debre, an individual cause-and-effect diagram
identified for each patient the medical and psychosocial factors that could
account for insufficient respiratory function. Personalized actions were offered
to each patient. RESULTS: In 2014, the median FEV1 (Forced Expiratory Volume in 1
Second) of the adolescent cohort exceeds 90% at the 2 CFCs (Nantes and R. Debre).
Between 2011 and 2014 both centers improved their ranking for FEV1% in
adolescents in the Registry histograms. At R. Debre, the personalized process
allowed to reinforce equality of care, offering to all the opportunity to benefit
from TPE sessions and coaching with an adapted physical activity teacher. The
psychologist developed a specific tool to support the patient-centered process.
CONCLUSION: The link between TPE and QIP was strong at our two centers enhancing
patient centered care and targeting an optimal transition to an adult program.
PMID- 29799383
TI - Lessons from the on-site quality audit of data transmitted to the French cystic
fibrosis registry.
AB - BACKGROUND: The French Cystic Fibrosis Registry takes a census of the population
of patients and records their annual data transmitted by Cystic Fibrosis Centers
(CFCs). Quality of patient data has been a focus in the past years, with the
implementation of automated controls before data integration. The objective was
to assess, at the 14 CFCs trained in the quality improvement named Hospital
Program to Improve Outcomes and Expertise in Cystic Fibrosis (PHARE-M), the
quality of the 2012 and 2013 data transmitted to the French Registry with respect
to the rules established to obtain forced expiratory volume in 1 second (FEV1%)
and anthropometric data. METHODS: The clinical researcher selected 20 patients at
each CFC from age ranges corresponding to different visit frequencies and
measurement procedures in order to reach saturation of error causes. The control
consisted in comparing source data, pulmonary function tests (PFTs), patient
records, and data in the Registry. RESULTS: The audit focused on 242 patients,
2455 consultations and 1855 PFTs. Less than 5% of data concerning weight, height,
or FEV1 (L) in the patient records files had discrepancies with source data.
Discrepancies on patient height between patient records and PFT files were found
in 11% of cases. For one hundred and ten patients (45%), anomalies were found
between the patient record and the Registry for the FEV1% and the associated
anthropometric measurements mainly related to the interpretation of the selection
rule of the venue corresponding to the "best spirometry in the year" and the
reference standard used (local standards versus Knudson reference equations). For
the 33 children in the age range of 6-17 years old (27% out of 120 children
records controlled), the FEV1% value in the Registry presented an average
deviation of +4.25% (min. = -9.3%; max. = +16.9%; median = 4%) with the value
from the Patient record. CONCLUSIONS: This first on-site quality audit of the
data transmitted to the Registry pointed out variability in the measurement
process at the CFCs. The rule for selecting the data for the Registry was applied
differently at some CFCs, and various local References for the FEV1% calculation
were used. Avenues for improvement have been identified.
PMID- 29799384
TI - Introduction of a collaborative quality improvement program in the French cystic
fibrosis network: the PHARE-M initiative.
AB - BACKGROUND: An agreement, signed in 2007 by the 49 French Cystic Fibrosis
Centers, included a commitment to participate, within the next 5 years, in a care
quality assessment and improvement program (QIP). The objective was to roll out
in the French Cystic Fibrosis (CF) care network a QIP adapted from the US program
for Accelerating Improvement in Cystic Fibrosis Care developed by The Dartmouth
Institute Microsystem Academy (TDIMA) and customized by the US CF Foundation
between 2002 and 2013. METHODS: The French national team at the Nantes-Roscoff CF
Center of Expertise was trained at TDIMA and visited US CF centers involved in US
Learning and Leadership Collaboratives (LLCs). It introduced the PHARE-M QIP in
France by transposing the Action Guide and material. A PHARE-M LLC1 including
seven centers, underwent two external assessments. Adjustments were made, then a
PHARE-M LLC2 was rolled out at seven more centers in two regions. On-site
coaching was strengthened. The teams' satisfaction was assessed and further
adjustments were made. In 2014, the program sought recognition as a continuing
education program for healthcare professionals. RESULTS: Ninety-six trainees
including 14 patients/parents from the 14 CFCs volunteered to participate, test
and adapt the program during LLC1 and LLC2 sessions. Comparison of patient
outcomes collected in the Registry report by CF center, reflection on potential
best practices, selection by each team of an improvement theme, implementation of
improvement actions, and exchanges between teams fostered the adhesion of the
teams. The program strengthened quality of care, interdisciplinary functioning
and collaboration with patients/parents at the centers. The satisfaction
expressed by the teams increased over time. A post-PHARE-M cycle maintains the
focus on continuous quality improvement (CQI). In 2015, PHARE-M was recognized as
a continuing professional development program in healthcare. CONCLUSIONS: The
PHARE-M is a complex intervention in multidisciplinary teams working in a variety
of hospital settings. A confluence of factors motivated teams to engage in the
program. Involving Patient/Parent in quality improvement (QI) work and developing
patient therapeutic education for self-management appeared to be complementary
approaches to improve care. Incorporating the program into hospital continuing
education insures its sustainability. Transparency of Patient Registry indicators
per center published in a brief lapse of time is required to effectively support
CQI. The impact of the PHARE-M on patient outcomes after 3 years is the subject
of a research program funded by the French Ministry of Health whose results will
be available in 2017.
PMID- 29799386
TI - Utilisation of 10-formyldihydrofolate as substrate by dihydrofolate reductase
(DHFR) and 5-aminoimidazole-4-carboxamide ribonucleotide (AICAR)
tranformylase/IMP cyclohydrolase (PurH) in Escherichia coli.
AB - Dihydrofolate reductase (DHFR) and 5-aminoimidazole-4-carboxamide ribonucleotide
(AICAR) transformylase/IMP cyclohydrolase (PurH) play key roles in maintaining
folate pools in cells, and are targets of antimicrobial and anticancer drugs.
While the activities of bacterial DHFR and PurH on their classical substrates
(DHF and 10-CHO-THF, respectively) are known, their activities and kinetic
properties of utilisation of 10-CHO-DHF are unknown. We have determined the
kinetic properties (kcat/Km) of conversion of 10-CHO-DHF to 10-CHO-THF by DHFR,
and to DHF by PurH. We show that DHFR utilises 10-CHO-DHF about one third as
efficiently as it utilises DHF. The 10-CHO-DHF is also utilised (as a formyl
group donor) by PurH albeit slightly less efficiently than 10-CHO-THF. The
utilisation of 10-CHO-DHF by DHFR is ~50 fold more efficient than its utilisation
by PurH. A folate deficient Escherichia coli (?pabA) grows well when supplemented
with adenine, glycine, thymine and methionine, the metabolites that arise from
the one-carbon metabolic pathway. Notably, when the ?pabA strain harboured a
folate transporter, it grew in the presence of 10-CHO-DHF alone, suggesting that
it (10-CHO-DHF) can enter one-carbon metabolic pathway to provide the required
metabolites. Thus, our studies reveal that both DHFR and PurH could utilise 10
CHO-DHF for folate homeostasis in E. coli.
PMID- 29799385
TI - Tigecycline resistance among Klebsiella pneumoniae isolated from febrile
neutropenic patients.
AB - Febrile neutropenic patients are at a high risk of life-threatening bacterial
infections. Tigecycline was developed to treat multidrug-resistant isolates,
however resistance to tigecycline in Klebsiella pneumoniae has been reported.
Here, we investigated tigecycline resistance among K. pneumoniae isolated from
febrile neutropenic patients admitted to Hematology ICU, Egypt. Out of 75
enrolled febrile neutropenic patients, 48 cases showed bacteriologically
confirmed infection. The majority of cases were infected with K. pneumoniae, of
which nine were tigecycline non-susceptible. Expression levels of the efflux pump
genes acrB and oqxB and their regulatory genes ramA and rarA were analysed. Six
isolates had overexpression of the four efflux-related genes while one showed
baseline expression. This study emphasizes the importance of growing tigecycline
resistance in K. pneumoniae infecting febrile neutropenic patients. Concerning
the mechanism of resistance, it was clear that the ramA gene plays the major
role, although alternative resistance mechanisms may also exist.
PMID- 29799387
TI - Stenotrophomonas maltophilia isolated from patients exposed to invasive devices
in a university hospital in Argentina: molecular typing, susceptibility and
detection of potential virulence factors.
AB - PURPOSE: The aim of this work was to investigate the presence of selected
potential virulence factors, susceptibility and clonal relatedness among 63
Stenotrophomonas maltophilia isolates recovered from patients exposed to invasive
devices in a university hospital in Argentina between January 2004 and August
2012. METHODOLOGY: Genetic relatedness was assessed by enterobacterial repetitive
intergenic consensus PCR (ERIC-PCR) and pulsed-field gel electrophoresis (PFGE).
Isolates were characterized by antimicrobial resistance, the presence and/or
expression of potential virulence determinants, and virulence in the Galleria
mellonella model.Results/Key findings. ERIC-PCR generated 52 fingerprints, and
PFGE added another pattern. Resistance to trimethoprim-sulfamethoxazole (6.35 %),
levofloxacin (9.52 %) and ciprofloxacin (23.80 %) was detected. All isolates were
susceptible to minocycline. All isolates were lipase, protease and siderophore
producers, while all but Sm61 formed biofilms. However, 11/63 isolates did not
amplify the major extracellular protease-coding gene (stmPr1). Sm61 is an stmPr1
negative isolate, and showed (as did Sm13 and the reference strain K279a) strong
proteolysis and siderophore production, and high resistance to hydrogen peroxide.
The three isolates were virulent in the G. mellonella model, while Sm10, a low
resistance hydrogen peroxide stmPr1-negative isolate, and weak proteolysis and
siderophore producer, was not virulent. CONCLUSION: This is the first
epidemiological study of the clonal relatedness of S. maltophilia clinical
isolates in Argentina. Great genomic diversity was observed, and only two small
clusters of related S. maltophilia types were found. Minocycline and trimethoprim
sulfamethoxazole were the most active agents. S. maltophilia virulence in the G.
mellonella model is multifactorial, and further studies are needed to elucidate
the role of each potential virulence factor.
PMID- 29799388
TI - Rheinheimera coerulea sp. nov., isolated from a freshwater creek, and emended
description of genus Rheinheimera Brettar et al. 2002.
AB - A bacterial strain designated TAPG2T was isolated from a freshwater creek in
Taiwan and characterized using the polyphasic taxonomic approach. Cells of TAPG2T
were Gram-stain negative, aerobic, motile, non-spore forming, short rods
surrounded by a thick capsules and forming cream to dark-green colonies. Growth
occurred at 15-37 degrees C (optimum, 25-30 degrees C), at pH 6.5-8 (optimum,
pH 7) and with 0-1 % NaCl (optimum, 0.5 %). The major fatty acids (>10 %) of
TAPG2T were summed feature 3 (C16 : 1omega7c and/or C16 : 1omega6c), C16 : 0 and
C18 : 1omega7c. The polar lipid profile consisted of phosphatidylethanolamine,
phosphatidylglycerol, an uncharacterized aminophospholipid, an uncharacterized
phospholipid, an uncharacterized aminolipid and an uncharacterized lipid. The
polyamine profile was composed of the major compound putrescine and moderate
amounts of spermidine. The only isoprenoid quinone was Q-8. The DNA G+C content
was 53.6 mol%. Phylogenetic analyses based on 16S rRNA gene sequences indicated
that TAPG2T represented a member of the genus Rheinheimera and was most closely
related to Rheinheimera aquatica GR5T and Rheinheimera texasensis A62-14BT with
98.6 and 98.2 % 16S rRNA gene sequence identities, respectively. However, DNA-DNA
hybridization values of TAPG2T with type strains of the species with validly
published names were lower than 30 %. Differential phenotypic properties,
together with the phylogenetic inference, demonstrate that TAPG2T should be
classified as representing a novel species of the genus Rheinheimera, for which
the name Rheinheimera coerulea sp. nov. is presented. The type strain is TAPG2T
(=BCRC 81054T=LMG 30056T=KCTC 52815T).
PMID- 29799389
TI - Occurrence and characterization of extended-spectrum cephalosporin-resistant
Enterobacteriaceae in healthy household dogs in Greece.
AB - Extended-spectrum cephalosporin- and/or carbapenem-resistant (ESCR and/or CarbR)
Enterobacteriaceae constitute a public health hazard because of limited treatment
options and are endemic among humans in Greece. Recently, ESCR and
CarbREnterobacteriaceae have been increasingly isolated from companion animals,
stressing their potential role as a reservoir for humans. However, the presence
of ESCR bacteria in companion animals within Greek households has not been
determined yet. Genes conferring the ESCR and CarbR phenotype were detected among
canine isolates and their chromosomal or plasmid location was determined.
Standard methods were applied for plasmid characterization. The clonal
relatedness of the recovered isolates was examined by multilocus sequence typing
(MLST). Here, we report the first findings on the presence of
ESCREnterobacteriaceae in healthy Greek dogs. ESCREscherichia coli isolates were
associated with different sequence types (STs), including the human pandemic
ST131 clone. The occurrence of human-related ESBL/pAmpC genes, plasmid types
and/or strain STS in this animal reservoir suggests possible bilateral
transmission.
PMID- 29799390
TI - Subclinical aortitis after starting nivolumab in a patient with metastatic
melanoma. A case of drug-associated aortitis?
PMID- 29799391
TI - Manifestation of granulomatosis with polyangiitis in head and neck.
AB - OBJECTIVES: Granulomatosis with polyangiitis is a rare autoimmune disease of the
group of antineutrophil cytoplasmic antibody (ANCA)-associated vasculitides.
Involvement of the ear, nose and throat (ENT)-region is only described in a few
case series and case reports. The objective of this study is to systematically
characterise the ENT-involvement in a large series of GPA patients. METHODS: GPA
patients examined in the Department of Otorhinolayngology of the Christian
Albrechts-University of Kiel between 1990 and 2012 were included. Diagnosis was
based on histological, serological and clinical parameters. GPA patients were
examined in a standardised way based on the Ear Nose and Throat Activity Score
(ENTAS) or its precursor. Medical history, ENT examination, diagnostic findings
(ear, nose) and cranial radiology were documented cumulatively. RESULTS: A total
of 230 GPA patients were included in this study. Over 95% of them showed ENT
involvement. 59% of the patients showed nasal obstructions, 57% a loss of smell.
A hearing loss was diagnosed in 23% of the patients, 50% involvement in MR or CT
scans and 15% showed laryngeal involvement. CONCLUSIONS: The data of the largest
monocentric study presented here demonstrate a frequent ENT-involvement in GPA
patients. Rhinological and ontological manifestations are most common.
PMID- 29799392
TI - Highlights of the 2nd EUVAS Vasculitis Course.
PMID- 29799393
TI - Distribution patterns of 18F-fluorodeoxyglucose in large vessels of Takayasu's
and giant cell arteritis using positron emission tomography.
AB - OBJECTIVES: To compare patterns of vascular involvement using 18F
fluorodeoxyglucose-positron emission tomography computed tomography (FDG PET/CT)
in patients with giant cell arteritis (GCA) and Takayasu's arteritis (TAK).
METHODS: A total of 130 consecutive 18F-FDG PET/CT scans performed during the
disease course for evaluating disease activity in 15 GCA and 13 TAK patients were
retrospectively examined by two nuclear physicians blinded to clinical data.
Standardised uptake values (SUVmax) in 14 vascular districts including all the
aortic segments and the main tributaries were measured. The average SUVmax value
for each vascular district was also calculated. Principal component analysis
(PCA) and agglomerative hierarchical cluster analysis (CA) were used to explore
distribution patterns of vascular FDG uptake. RESULTS: The aortic segments showed
the highest SUV max values among the different districts in both GCA and TAK. SUV
max values measured in the different districts were significantly higher in GCA
compared to TAK, except for the axillary arteries. Regarding thoracic and
abdominal aorta, ascending aorta and aortic arch had the highest correlation in
both vasculitis (p<0.0001). CA confirmed that carotid, axillary, subclavian,
iliac and femoral arteries clustered with their contralateral counterpart in both
vasculitis. The 3 components of thoracic aorta clustered with abdominal aorta in
TAK, while aortic arch clustered only with ascending aorta, and descending and
abdominal aorta grouped together with iliac and femoral arteries in GCA. PCA
analysis identified 3 different components for TAK and GCA explaining 72% and 71%
of the total variance respectively in these two vasculitis. Confirming CA, a
component including the entire aortic district was identified in TAK, but not in
GCA. Similar results in PCA using averaged data were observed. CONCLUSIONS:
Strong similarities, but also a subtle skewing in terms of distribution patterns
of arterial involvement assessed by SUVmax values were observed between GCA and
TAK.
PMID- 29799394
TI - Rate of infections in severe necrotising vasculitis patients treated with
cyclophosphamide induction therapy: a meta-analysis.
AB - OBJECTIVES: Infections are common complications of necrotising vasculitis. We
aimed to determine the rate of infections in patients with severe necrotising
vasculitis treated with cyclophosphamide (CYC) combined with high dose
glucocorticoids (GC). METHODS: Searches of MEDLINE, Embase and Cochrane Library
databases (1990 to May 2016) were performed. Inclusion criteria were randomised
controlled trials of intravenous (IV) or oral (PO) CYC induction therapy for
granulomatosis and polyangiitis (GPA), microscopic poyangiitis (MPA),
eosinophilic granulomatosis with polyangiitis (EGPA), and systemic polyarteritis
nodosa (PAN). Pooled rates of infectious complications were determined by random
effects meta-analyses. Meta-regression was performed to identify variables
associated with severe infection. RESULTS: Search results yielded 2636
references; 14 studies with a total of 888 subjects met inclusion criteria. The
mean age of participants ranged from 39 to 75 years. Mean cumulative doses of CYC
were 2.7 to 50.4 g and of GC were 6 to 13 g. The pooled rate per year per gram of
CYC of severe infection was 2.2% (95% CI: 0.9, 5.3%, I2 = 58.7%), any infection
was 5.6% (95% CI: 1.8, 16.7%, I2 = 79.1%) and infection-related deaths was 1.7%
(95% CI: 0.8, 3.9%, I2 = 0%). By meta-regression, age, creatinine and cumulative
GC dose were not significantly associated with the rate of severe infections.
CONCLUSIONS: The rate of severe infections and infection related mortality in
patients with severe necrotising vasculitis treated with CYC + GC induction
therapy is high.
PMID- 29799395
TI - One year in review 2018: systemic vasculitis.
AB - Systemic vasculitis are heterogeneous, complex and disabling disorders. Following
the previous annual reviews of this series, this paper gives a brief overview on
current knowledge about recent literature on small- and large-vessel systemic
vasculitis, with a specific focus on pathogenetic and clinical aspects, novel
possible disease-related biomarkers and current and future therapies that are in
the pipeline.
PMID- 29799396
TI - Circulating CD4+CD8+ double-positive T-cells display features of innate and
adaptive immune function in granulomatosis with polyangiitis.
AB - OBJECTIVES: To examine functional features of CD4+CD8+ double-positive T-cells in
patients with granulomatosis with polyangiitis (GPA) using phenotypic and
transcriptomic analysis. METHODS: Staining of cellular surface marker was
performed using freshly collected whole blood. For intracellular cytokine
staining freshly collected whole blood was stimulated with phorbol myristate
acetate and ionomycin. Multicolor flow cytometric analysis was performed on a
FACSCanto II cytometer using FACSDiva software. Lymphocytes were gated on CD3,
CD4, and CD8 staining. FACS-sorted CD4+CD8+ double-positive T-cells of GPA
patients and HC (n=3 each) were subjected to transcriptional profiling using an
Affymetrix Human Genome 2.0 microarray. Differently expressed genes were analysed
using biological databases. RESULTS: Frequency of CD4+CD8+ double-positive T
cells was increased within the total CD3+ T-cell population in GPA, but no
difference was detected between patients with active disease and remission.
Percentages of interferon gamma (Th1-type), interleukin 17 and interleukin 22
(Th17-type) producing CD4+CD8+ double-positive T-cells exceeded the percentage of
interleukin 4 (Th2-type) producing cells. There were no significant differences
in the percentages of the respective cytokine-positive CD4+CD8+ double-positive T
cells between GPA and HC. Up-regulated genes of CD4+CD8+ double-positive T-cells
in GPA were enriched within Kyoto Encyclopedia of Genes and Genomes (KEGG)
pathways related to nuclear factor kappa-lightchain-enhancer of activated B cells
signalling, toll-like receptor signalling, nucleotide-binding oligomerisation
domain-like receptor signalling as well as major histocompatibility complex class
II antigen presentation. CONCLUSIONS: Employing a combined phenotypic and
transcriptomic approach we disclosed a Th1/Th17 phenotype as well as innate and
adaptive functions of CD4+CD8+ double-positive T-cells in GPA.
PMID- 29799397
TI - Bladder-related quality of life in people with neurological disorders:
reliability and validity of the Turkish version of the King's Health
Questionnaire in people with spinal cord injury.
AB - OBJECTIVE: To assess the validity and reliability of the Turkish version of the
King's Health Questionnaire (KHQ) in patients with spinal cord injury (SCI) and
to compare the bladder- related quality of life between patients with SCI and
multiple sclerosis (MS). MATERIAL AND METHODS: Thirty-five patients with SCI and
57 patients with multiple sclerosis (MS) were included in the study. For analysis
of test-retest reliability, the Turkish version of the KHQ scale was developed
using the back translation method, and it was administered on the day of
admission and again one week later. The Qualiveen and SF-36 questionnaires were
administered to the patients for validity analysis. Moreover, the results of KHQ
that had been administered to 35 patients with SCI were compared with those of 57
patients with MS. RESULTS: Both the internal consistency (Cronbach's alpha
coefficient: 0.68-0.93) and the test-retest reliability (intraclass correlation
coefficient: 0.69-0.94) of the KHQ in patients with SCI were found to be high.
Although a weak correlation between the subscales of the KHQ and SF-36, a
moderate correlation between the subscales of KHQ, and the Qualiveen
questionnaire (0.340.05). CONCLUSION: In our study, no statistical significant
difference was observed on the prognostic factors concerning the GCNIS entity,
which is reported frequently in testicular tumor pathologies. For presently these
findings show us that GCNIS cannot be used as a prognostic factor.
PMID- 29799400
TI - Does the prostate volume always effect cancer detection rate in prostate biopsy?
Additional role of prostate-specific antigen levels: A retrospective analysis of
2079 patients.
AB - OBJECTIVE: We aimed to determine whether the effect of prostate volume on cancer
detection rates is influenced by serum prostate-specific antigen (PSA). MATERIAL
AND METHODS: A total of 2465 men who underwent transrectal ultrasound-guided
biopsy were retrospectively evaluated. Standard 10-core prostate biopsy was
performed in all cases. Patients were divided into three groups according to the
serum PSA levels: <=10 ng/mL (Group 1), 10-20 ng/mL (Group 2) and >20 ng/mL
(Group 3). In each group age, serum PSA levels and prostate volumes were compared
in patients with and without prostate cancer. RESULTS: A total of 2079 patients
were included in the study group. Cancer detection rates were 16%, 25%, 53% in
Groups 1, 2 and 3, respectively (p=0.001). In Group 1, there was a significant
difference in mean prostate volume of patients with and without prostate cancer
(p=0.01). However, this difference was not seen in Group 2 or 3 (p=0.06 and
p=0.08, respectively). The mean age and PSA level which are the other
determinants of prostate cancer diagnosis were similar between patients with and
without cancer in the Group 1, thus prostate volume was the only determinant of
the diagnosis. CONCLUSION: According to our findings, prostate volume is an
important factor for prostate cancer diagnosed with prostate biopsy only in
patients with a PSA level of <=10 ng/mL.
PMID- 29799402
TI - Validation of the Turkish version of the Wisconsin stone-quality of life
questionnaire.
AB - OBJECTIVE: We validated the Turkish version of the Wisconsin Stone-Quality of
Life (WISQoL) questionnaire for male and female patients with kidney stones.
MATERIAL AND METHODS: The Turkish version of the WISQoL questionnaire was
developed following a well-established multistep process. A total of 84 patients
with kidney stones completed the Turkish WISQoL questionnaire, including the
other validated questionnaires as The Short Form Health Survey (SF-36 v2) and
Beck's Depression Inventory. Patients completed questionnaires before
percutaneous nephrolithotomy (PNL) surgery as well as 2-4 weeks after surgery.
Reliability was evaluated by internal consistency (tested by Cronbach's alfa) and
test-retest reliability between week 2 and 4 (tested by Spearman correlation).
Domain structures were examined by interdomain correlations using Spearman
correlation coefficient. Convergent validity was assessed by correlating the
scores of general health domain to the SF-36 v2, and the scores of emotional
impact domain to the Beck's Depression Inventory questionnaire. Sensitivity to
change was assessed by comparing scores before and after PNL surgery with the
Wilcoxon signed rank test. Discriminant validity was evaluated by comparing the
results of patients 2 and 4 weeks after surgery with Wilcoxon-rank sum tests.
RESULTS: The Turkish version of the WISQoL demonstrates good internal consistency
(Cronbach's alpha=0.72-0.78) and test-retest reliability (p>0.05). Inter-domain
associations within the WISQOL showed a substantial correlation between various
WISQoL domains, indicating a high conceptual relationship between the domains.
Also, the Turkish version of the WISQoL showed convergent validity with the
corresponding validated questionnaires (Spearman's rho correlation
coefficient=0.44-0.78). All domains of the WISQoL showed significant sensitivity
to change (p<=0.001). CONCLUSION: The Turkish version of the WISQoL is a
generally reliable instrument that can be self-administered by Turkish patients
with kidney stones in clinical and research settings. Further clinical studies in
Turkish settings would be useful to provide powerful data on sensitivity to
change.
PMID- 29799401
TI - A modified cutaneous ureterostomy provides satisfactory short and midterm
outcomes in select cases.
AB - OBJECTIVE: We present the outcomes of modification of cutaneous ureterostomy by
extreme lateralization of the stoma and use of skin flap for formation of
ureterostomy. MATERIAL AND METHODS: Between June 2012 and June 2016, 36 patients
had modified cutaneous ureterostomy for ureteral obstruction due to pelvic
malignancy or genitourinary tuberculosis. Transureteroureterostomy was made with
cutaneous stoma at anterior axillary line between iliac crest and lower rib cage,
instead of spinoumbilical line. To prevent stenosis a 'V' shaped skin was fed
into the stoma. Double J stents were used in all patients for 6 weeks.
Perioperative morbidity and mortality were evaluated. All patients were followed
up at 3 month intervals. RESULTS: Of 36 patients, 22 had radical
cystoprostatectomy (including nephroureterectomy in 2 patients) and 7 had
palliative cystectomy. Others had locally advanced prostate cancer (n=1), locally
advanced cervical cancer (n=3), ovarian cancer (n=1) and genitourinary
tuberculosis with small capacity bladder along with a large vesicovaginal fistula
(n=1). One patient developed ureteral necrosis requiring conversion to ileal
conduit. Three patients developed stomal stenosis: two were managed by self
dilatation while one required revision of stoma. Thirteen patients died of the
disease at a median follow up of 6 months with functioning stoma. Remaining 19
patients survived without any complications at a median follow-up of 20.5 months
(5.5-43.5 months). None of the patients had any problem related to ureterostomy
bag application. CONCLUSION: Modified lateral cutaneous ureterostomy provides
relatively straighter and shorter retroperitoneal course of ureter with
acceptable morbidity and avoids use of bowel in selected patients.
PMID- 29799403
TI - Publication rates of dissertations written in medical faculties of Turkey in the
field of urology between the years 2008, and 2011, and citation analysis: A cross
sectional study.
AB - OBJECTIVE: In our country, preparing dissertation is essential for the research
assistants in order to complete their expertise in medicine. It is aimed to
produce hypothesis for researchers via writing their dissertations, to collect
data for the hypothesis established, to make the analysis and interpretation of
these data, and to gain the ability for the comparison of the findings obtained
with the literature. In this study, we want to investigate the publication rates
and citations of urology dissertations that are written at the university
hospitals in our country between 2008 and 2011. MATERIAL AND METHODS: Urology
dissertations that are written at the university hospitals between 2008 and 2011
were reviewed by entering the website of Board of Higher Education Dissertation
between 23-27 March 2017 and 229 dissertations were reported. The publication
rates of these dissertations were analysed. RESULTS: Hundred and fourteen of 229
dissertations (49.7%) analysed were published. Of these publications, 75 (32.7%)
in Science Citation Index Expanded, 24 (10.4%) in international, 15 (6.5%) are
published in national indexed peer-reviewed journals. While the publication rate
of 81 dissertations written in universities located in 3 metropolitan cities
(Istanbul, Ankara, and Izmir) of Turkey was 50.6%, the publication rate of 148
dissertations written in remaining universities was 49.3%; and no statistically
significant difference was found between the two groups (p=0.96). CONCLUSION:
Preparing dissertation is a challenging process that requires considerable effort
and time. At the end of this process, it is necessary to publish the dissertation
in order to have it reach more people and to contribute to the literature. Even
though publication rate of dissertations written in urology at university
hospitals in Turkey was at acceptable level, there have still been problems
required to solve.
PMID- 29799404
TI - Assessment of the quality of life and sexual functions of patients followed-up
for non-muscle invasive bladder cancer: preliminary results of the prospective
descriptive study.
AB - OBJECTIVE: The aim of this study is to evaluate sexual functions and quality life
of patients who are followed-up for non-muscle invasive bladder cancer (NMIBC).
MATERIAL AND METHODS: Between March 2015-June 2016, 50 patients underwent
cystoscopy for NMIBC. At the end of the 1st year follow-up patients were assessed
for sexual functions using 5-item version of the International Sexual Function
Index (IIEF-5) for male and the Female Sexual Function Index(FSFI) for female;
for quality of life (QoL) by the European Organisation for Research and Treatment
of Cancer-Non-Muscle Invasiv Bladder Cancer Quality of Life Questionnaire (EORTC
QLQ-NMIBC24) and for emotional status by Beck depression inventory. RESULTS:
There were 44 male and 6 female patients with the mean age of 57.6+/-11.5 years.
Twenty patients received intravesical treatment after transurethral resection of
bladder tumour. The mean Beck (10.7+/-9.5) IIEF-5 (15.6+/-5.9), FSFI (19.2+/
10.9), and the EORTC-QLQ NMBIC 24 (38.2+/-7.7) scores of the patients were
determined as indicated. Among the patients, 42 (84%) of them were not feeling
bad about their bladder tumors and 37 (74%) were not worrying about their daily
lives. Moreover, 12 (24%) patients were not interested with sexuality, while 27
(54%) of them did not feel comfortable about sexual sincerity. Interestingly, 27
patients receiving intravesical treatment were concerned that the treatment they
received for prevention of recurrence and progression of bladder tumor infect
their partners during sexual intercourse. CONCLUSION: NMIBC affects patients'
sexual functions and QoL negatively. Therefore during the follow-up of these
patients, it is important to inform these patients accurately about their
treatments to be applied and predicted complications in the follow up period.
PMID- 29799405
TI - Efficacy of tadalafil treatment on erectile dysfunction in patients under
dutasteride treatment: A prospective non-randomized comparative study.
AB - OBJECTIVE: In this study, we aimed to evaluate the efficacy of tadalafil in the
management of erectile dysfunction in patients under dutasteride medication for
bladder outlet obstruction. MATERIAL AND METHODS: In this study the data of
consecutive patients that admitted to our outpatient clinics treated with
dutasteride for bladder outlet obstruction and tadalafil (10 or 20 mg on demand)
for concomitant complaint of erectile dysfunction (ED) between October 2013 and
March 2016 were evaluated. An age-matched control group of patients treated with
tadalafil for ED but did not receive any other medication for either lower
urinary tract symptoms (LUTS) or ED was also included. Groups were compared for
tadalafil efficacy with International Index of Erectile Function (IIEF) scores.
RESULTS: Each one of the dutasteride and control groups included 104 patients.
The groups were similar for pretreatment IIEF score, serum cholesterol, low
density lipoprotein (LDL), triglyceride and testosterone measurements. The number
of hypertensive patients was comparable between two groups but the number of
diabetic patients were significantly higher in the control group. IIEF score
improved significantly in both groups following tadalafil treatment but the
difference between the two groups was not statistically significant. CONCLUSION:
Tadalafil treatment provides significant improvement in erectile functions of
patients under dutasteride treatment for bladder outlet obstruction. However, the
current study does not focus on a population of dutasteride induced ED and
therefore a further prospective study on this issue would be helpful.
PMID- 29799407
TI - Giant renal metastasis from prostate cancer mimicking renal cell carcinoma.
AB - The most common sites for prostate cancer metastasis include bone, distant lymph
nodes, liver and lungs. Renal metastasis of prostate cancer is a rarely seen
pattern of invasion. In the current study, we described an 83-year-old male with
a history of prostate cancer. He was admitted because of edema, hyperemia, warm
and pain at left leg and inguinal region. In the further evaluation, a mass
lesion at prostate region and conglomerate lymphadenopathy at left iliac vascular
trajectory and a mass lesion at left kidney with heterogeneous contrast
enhancement were observed on contrast-enhanced magnetic resonance imaging and
computerized tomography scan. Fine-needle aspiration biopsy was performed in the
lesion with radiologically suspect renal cell carcinoma. By evaluating
histopathological features and immunohistochemical staining of the tumor, we
decided that the lesion was metastasis from prostate cancer.
PMID- 29799406
TI - Testis-sparing surgery of unilateral testicular large-cell calcifying Sertoli
cell tumor: a sporadic case.
AB - Testicular sex cord-stromal tumors constitute a small portion of all types of
testicular tumors. Only 1% of these tumors are pure Sertoli cell tumors. Sertoli
cell tumors have three identified subgroups: large-cell calcifying (LCCSCT),
sclerosing Sertoli cell and general type. Up to 40% of LCCSCT are associated with
the genetic syndromes like Carney complex, Peutz-Jeghers syndrome. These lesions
are usually benign, only 17% of the cases show malignant behaviour. Herein, we
report a case of unilateral LCCSCT in a 37 year-old man without any hormonal
symptoms or inherited diseases which was succesfully treated with testis-sparing
surgery.
PMID- 29799408
TI - The results of ultrasound-guided percutaneous nephrostomy tube placement for
obstructive uropathy: A single-centre 10-year experience.
AB - OBJECTIVE: The aim of this study was to determine the results of ultrasound
guided percutaneous nephrostomy tube placement performed solely by urologists. We
present our relevant one decade experience in a tertiary care hospital. MATERIAL
AND METHODS: We evaluated technical success and complication rates of ultrasound
guided percutaneous nephrostomy tube placement for obstructive uropathy in our
clinic, between December 2004 and January 2015. Data were retrieved
retrospectively from patients' files. This procedure was performed by urologists
and two different methods for renal access were employed: Seldinger technique and
direct puncture technique. Percutaneous nephrostomy tube placement was considered
successful if the tube was placed in the renal pelvis and drained urine
spontaneously and adequately. Complications were classified according to the
Guideline of Society of Interventional Radiology Guidelines for Percutaneous
Nephrostomy. RESULTS: Four hundred and fifteen percutaneous nephrostomy tube
placements were performed in 354 patients (165 men and 159 women) suffering from
obstructive uropathy due to several benign (57.3%) or malign (42.7%) diseases.
The mean age in this study group was 43.2 years (range 27 to 81). We were found
that 228 procedures were performed by using the Seldinger technique and 187 using
direct puncture technique. The overall technical success, major and minor
complications rates were 96.1%, 11.1%, and 7.7%, respectively. The Seldinger
technique and direct puncture technique were compared: technical success rate was
97.8% vs. 94.1% (p=0.052). There was no difference between the two techniques in
terms of major and minor complication rates. CONCLUSION: Ultrasound-guided
percutaneous nephrostomy tube placement is a safe, easy and effective technique
for providing temporary or permanent drainage of an obstructed renal pelvi
calyceal system. This procedure can be performed effectively and safely by an
urologist.
PMID- 29799409
TI - Pedicled preputial island flap for double functions in hypospadias surgery.
AB - OBJECTIVE: To use the prepuce for double functions one as a second layer for the
neourethra to decrease the incidence of urethrocutaneous fistula and the second
as a skin covering for the ventral aspect of the penis. MATERIAL AND METHODS:
From January 2013 to December 2016, 37 cases of hypospadias with mild to moderate
chordee were included in this study. The cases with ventral penile skin defect
were managed by tubularized incised plate urethroplasty (TIPU) using pedicled
preputial flap. Recurrent cases, cases with severe chordee which necessitated
division of the urethral plate, and cases with penoscrotal hypospadias were
excluded from this study. RESULTS: Thirty-seven cases of hypospadias aged 9-60
months with a mean age of 24.8 months were included in this study. Coronal (n= 9
cases; 24.3%), distal penile (n=11; 29.9%), and midpenile hypospadias (n= 17;
45.9%) were detected in respective number of patients. Postoperative
complications consisted of external meatal stenosis (n=2; 8.1%), and one case
with urethrocutaneous fistula. Skin necrosis was not reported in this study.
Follow-up visits were performed at 1, 3 and 6 months which showed good cosmetic
appearance that was accepted by their parents. CONCLUSION: This technique was
beneficial in decreasing the rate of skin necrosis, as it keeps the blood supply
to the preputial skin intact, and decreases the incidence of urethrocutaneous
fistula.
PMID- 29799410
TI - The frequencies of Y chromosome microdeletions in infertile males.
AB - OBJECTIVE: To determine the frequencies and the characteristics of Y chromosome
microdeletions in infertile males. MATERIAL AND METHODS: The records of 1616
infertile males were included in the study. The cases were divided into groups
according to the infertility etiology and semen analysis. The frequencies and the
characteristics of Y chromosome microdeletions were investigated in groups.
RESULTS: Y chromosome microdeletion was detected in 54 (3.3%) of 1616 cases.
Microdeletions in the azoospermia factor (AZF) region were the most common
(48.1%). When the cases were grouped according to causes of infertility that
could be detected, no Y chromosome microdeletions were detected in some groups
(cases with Klinefelter Syndrome, hypogonadotropic hypogonadism, congenital
absence of vas deferens, and 47, XYY karyotype). CONCLUSION: Y chromosome
microdeletions were detected quite frequently in certain infertility subgroups.
Therefore, detailed evaluation of an infertile man by physical examination, semen
analysis, hormonal evaluations and when required, karyotype analysis may predict
the patients for whom Y chromosome microdeletion analysis is necessary and also
prevent cost increases.
PMID- 29799411
TI - Results of intracytoplasmic sperm injection performed with sperm retrieved by
microscopic testicular sperm extraction in azoospermic patients.
AB - OBJECTIVE: The absence of any sperm in the ejaculate is called azoospermia and it
is detected in 1% of males and 10-15% of those with infertility complaints.
Azoospermia may be due to obstructive (OA) and non-obstructive (NOA) causes.
Today, healthy pregnancies can be achieved in azoospermic patients by
intracytoplasmic sperm injection (ICSI) performed using sperm retrieved from
microscopic testicular sperm extraction (m-TESE). In this study, we examined the
sperm retrieval rates with m-TESE in azoospermic patients, the results of ICSI in
OA and NOA patients with sperm and the underlying testicular pathologies in
patients without sperm. MATERIAL AND METHODS: Patients who underwent m-TESE at
IVF unit of our hospital between January 2005 and April 2017 were retrospectively
reviewed. A total of 342 azoospermic patients (117 OA and 225 NOA cases) with
regular follow-up were included in the study. In these cases, sperm retrieval and
clinical pregnancy rates after ICSI were compared. RESULTS: In the m-TESE
procedure, motile sperm was found in all of the OA patients and in 52.4%
(118/225) of the NOA patients. Clinical pregnancy rate in the OA group was 29.9%
(35/117) and live birth rate was 25.6% (30/117). In the NOA group, the clinical
pregnancy rate was 27.1% (32/118) and the live birth rate was 23.7% (27/118).
Histopathologic evaluation was made in 107 cases in the NOA group with no
testicular sperm, revealing that 59 cases with germ-cell aplasia (sertoli-cell
only syndrome), 42 cases with maturation arrest, and 6 cases with
hypospermatogenesis. Postoperative hematoma developed in 3 of m-TESE cases and
subsided with conservative treatment. CONCLUSION: If motile sperm is retrieved
with m-TESE application in azoospermic patients, pregnancy resulting in one live
birth in about 4 couples who undergo ICSI application can be achieved. In the
presence of motile sperm, live birth rates are similar between OA and NOA case
with very low complication rates.
PMID- 29799412
TI - Cystic nephroma: A case report in adult patients.
AB - Cystic nephroma is an unusual, cystic neoplasm of the kidney and is usually
benign. There are two peaks in the incidence of the tumor, with a bimodal
distribution presenting in children younger than two years old and in adults.
These benign lesions are usually seen in childhood, whose clinical presentation
is nonspecific with symptoms such as flank pain, hematuria and urinary tract
infection. We aim to report treatment, and follow-up of a 48-year-old female
patient with cystic nephroma for whom we performed open partial nephrectomy. As a
surgical treatment radical or partial nephrectomy is applied according to the
size and position of the masses. Long-term follow-up is recommended to rule out
local recurrence or metastasis.
PMID- 29799414
TI - Psoriasis: a novel risk factor for type 2 diabetes.
PMID- 29799415
TI - Induction or escalation therapy for patients with multiple sclerosis?
AB - The concept of induction followed by a long-term maintenance treatment has
attracted much attention for the treatment of multiple sclerosis over the 30 past
years. It was first demonstrated by the combination of induction therapy with
mitoxantrone (six-monthly courses) followed by maintenance therapy with an
immunomodulatory treatment such as an interferon-beta or glatiramer acetate. Long
term observational studies confirmed that this therapeutic regimen provides a
rapid reduction in disease activity and sustained disease control up to at least
five years in 60% of patients. A better treatment response was observed in
patients with early signs of aggressive disease, as shown in randomised studies
(using six-monthly 12mg/m2 of mitoxantrone intravenously at a cumulative dose of
72mg/m2, followed by an interferon-beta) as well as in long-term observational
studies. But the safety profile of mitoxantrone make it more particularly
suitable for young patients with frequent early relapses with incomplete recovery
and multiple gadolinium-enhancing T1 lesions or spinal cord lesions on magnetic
resonance imaging. More recently approved, the second candidate for an induction
strategy is alemtuzumab: phases II and III randomised studies showed the
superiority of alemtuzumab 12mg per day given intravenously for only five days
and repeated for 3 days one year later, compared with interferon-beta three times
a week. Like with mitoxantrone, results supported the concept of long-term
benefit after a short induction rather than escalation, in a subset of patients
with early very active MS, with a sustained control of the disease for up to 7
years in 60% of patients in the phase III extension studies and in a long-term
observational study. On the contrary, when alemtuzumab was first studied later in
the disease course, results were disappointing. However, the risk of developing
manageable but potentially severe systemic autoimmune diseases within the years
following the last course of alemtuzumab make it, like mitoxantrone, more
suitable for patients with early aggressive MS. More recently, cladribine an oral
immunosuppressant, showed interesting results in a phase III study extension
suggesting its potential induction effect, since after two cycles of treatment (5
days repeated 1 month later) at one year of interval, the remained low up to 4
years of follow-up, in the absence of any new treatment. However, today other
immunosuppressive drugs have proved to be strongly and rapidly efficacious in
treating highly active MS patients but through a mechanism of continuous
immunosuppression (i.e., natalizumab and ocrelizumab). Indeed, disease activity
can reappear rapidly after stopping these drugs, sometimes associated with a
rebound of the inflammatory process, which is the contrary of a mechanism of
induction that is associated with a remnant effect. Taking into account
advantages and disadvantages of the different DMDs, which enriched the today
therapeutic arsenal for MS, we propose in this paper some algorithms summarizing
our reflexion about using an escalation strategy or an induction strategy
according to disease course and activity.
PMID- 29799416
TI - An update on physical health and economic consequences of overweight and obesity.
AB - Overweight and obesity (OW and OB) have been on the increase globally and posed
health risks to the world's population of all ages, including pre-born babies,
children, adolescents, adults and elderly people, via their comorbid conditions.
Excellent examples of comorbidities associated with obesity include cancer,
cardiovascular diseases (CVD) and type 2 diabetes mellitus (T2DM). In this
article, we aimed to review and update scientific evidence regarding the
relationships between obesity and its common physical health consequences,
including CVD, T2DM, hypertension, ischemic stroke, cancer, dyslipidemia and
reproductive disorders. In addition, the economic burden of OW and OB will be
discussed. Abundant evidence is found to support the associations between obesity
and other diseases. In general, the odd ratios, risk ratios or hazard ratios are
often higher in OW and OB people than in the normal-weight ones. However, the
molecular mechanism of how OW and OB induce the development of other diseases has
not been fully understood. Figures also showed that obesity and its-related
disorders exert enormous pressure on the economy which is projected to increase.
This review highlights the fact that obesity can lead to numerous lethal health
problems; therefore, it requires a lot of economic resources to fight against
this epidemic.
PMID- 29799413
TI - Modulation of formin processivity by profilin and mechanical tension.
AB - Formins are major regulators of actin networks. They enhance actin filament
dynamics by remaining processively bound to filament barbed ends. How biochemical
and mechanical factors affect formin processivity are open questions. Monitoring
individual actin filaments in a microfluidic flow, we report that formins mDia1
and mDia2 dissociate faster under higher ionic strength and when actin
concentration is increased. Profilin, known to increase the elongation rate of
formin-associated filaments, surprisingly decreases the formin dissociation rate,
by bringing formin FH1 domains in transient contact with the barbed end. In
contrast, piconewton tensile forces applied to actin filaments accelerate formin
dissociation by orders of magnitude, largely overcoming profilin-mediated
stabilization. We developed a model of formin conformations showing that our data
indicates the existence of two different dissociation pathways, with force
favoring one over the other. How cells limit formin dissociation under tension is
now a key question for future studies.
PMID- 29799417
TI - Obesity and cardio-metabolic risk factors in Ecuadorian university students.
First report, 2014-2015.
AB - OBJECTIVE: Overweight and obesity are risk factors for developing cardiovascular
disease. The objective of this study was to determine the prevalence of obesity
and risk factors associated with metabolic syndrome and cardiovascular disease in
university students. METHODS: 883 students from the Faculty of Medical Sciences
of the Central University of Ecuador were included, who were surveyed with
demographic data, smoking habits and physical activity. Body mass index,
abdominal circumference and blood pressure were determined. Blood chemistry and
lipid profile were performed. Central tendency and dispersion measures, average
comparisons (Student's T) and Pearson's correlation were calculated to study
quantitative variables and chi2 distributed statistic for the comparison of
qualitative variables. RESULTS: The prevalence of overweight and obesity was
25.5%. The body mass index was similar in both sexes (23.15 women / 23.57 men),
waist circumference was higher in women. Men had higher than normal levels in
blood pressure and elevated triglycerides while women had high cholesterol.
CONCLUSIONS: One of four students presents some degree of overweight or obesity
and an important percentage of altered levels of plasma lipids and blood
pressure. Blood glucose levels were found in normal ranges.
PMID- 29799419
TI - Corrigendum to "Hemiparetic gait and changes in functional performance due to
OnabotulinumtoxinA injection to lower limb muscles" [Toxicon 107PA (2015) 109
13].
PMID- 29799418
TI - Osteoglycin, a novel coordinator of bone and glucose homeostasis.
AB - OBJECTIVE: The skeleton, which is strongly controlled by endocrine factors, has
recently been shown to also play an active endocrine role itself, specifically
influencing energy metabolism. However, much less is known about this role.
Therefore, we sought to identify novel endocrine factors involved in the
regulation of both bone mass and whole-body glucose homeostasis. METHODS: We used
transcriptomic and proteomic analysis of Y1 receptor deficient osteoblasts
combined with the generation of a novel osteoglycin deficient mouse model and
performed comprehensive in vivo phenotype profiling, combined with osteoglycin
administration in wildtype mice and human studies. RESULTS: Here we identify a
novel role for osteoglycin, a secreted proteoglycan, in coordinating bone
accretion with changes in energy balance. Using an osteoglycin knockout mouse
model, we show that at a whole body level, osteoglycin acts to suppress bone
formation and modulate whole body energy supplies by altering glucose uptake
through changes in insulin secretion and sensitivity, as well as by altering food
intake through central signaling. Examining humans following gastric surgery as a
model of negative energy balance, we show that osteoglycin is associated with BMI
and lean mass as well as changes in weight, BMI, and glucose levels. CONCLUSIONS:
Thus, we identify osteoglycin as a novel factor involved in the regulation of
energy homeostasis and identify a role for it in facilitating the matching of
bone acquisition to alterations in energy status.
PMID- 29799420
TI - Ameloblastoma with adenoid features: A series of eight cases.
AB - BACKGROUND: Ameloblastoma with adenoid features are characterized by the presence
of duct-like structures formed from the parenchyma of the tumor. This study was
conducted to report a series of eight ameloblastomas with adenoid features,
highlighting their clinicopathological and immunohistochemical aspects. MATERIAL
AND METHODS: Out of 71 cases of ameloblastomas, this study classified 8 cases as
ameloblastomas with adenoid features. Clinicopathological data and
immunohistochemistry for CK7, CK14, CK19, IMP3, p53 and Ki-67 were evaluated.
RESULTS: From those cases of ameloblastoma exhibiting adenoid features, there
were 4 women and 4 men, with mean age of 39 years. Most cases affected the
mandible and all presented radiographically as a radiolucency. The predominant
histopathological features were pseudoducts, squamous metaplasia, nuclear
hyperchromatism, clear cells, whorled aspect of epithelial structures, cribriform
growth pattern, proliferation of spindle cells and extracellular eosinophilic
material. Immunohistochemical analysis showed high expression for CK14 (n = 6)
and CK19 (n = 3) and all cases (n = 8) were negative for p53, IMP3 and CK7. In
addition, all samples (n = 8) showed low expression for Ki-67. CONCLUSIONS: The
similarities between the histopathological and immunohistochemical features of
eight cases described in the present study and those described in previous
studies support the possibility that these lesions are adenoid ameloblastomas. In
addition, the immunohistochemical results of CK14, CK19, p53 and Ki-67 did not
differ from those of conventional ameloblastomas.
PMID- 29799422
TI - Adenovirus pseudo-outbreak in a large UK neonatal intensive care unit.
AB - An unusually high number of positive adenovirus stool antigen tests were observed
in neonatal samples from a large tertiary referral unit over a 10-week period,
prompting the declaration of an outbreak and escalation of infection control
precautions. Subsequent testing of original samples by alternative methods
revealed a series of false-positive results. This is the first adenovirus pseudo
outbreak to be reported in the United Kingdom and the first to involve the
Proflow Rotavirus-Adenovirus Combi test.
PMID- 29799421
TI - Use of adenosine triphosphate to audit reprocessing of flexible endoscopes with
an elevator mechanism.
AB - BACKGROUND: There have been reported outbreaks of carbapenem-resistant
Enterobacteriaceae infections linked to endoscopes with elevator mechanisms.
Adenosine triphosphate (ATP) testing has been used as a marker for bioburden and
monitoring manual cleaning for flexible endoscopes with and without an elevator
mechanism. The objective of this study was to determine whether routine ATP
testing could identify areas of improvement in cleaning of endoscopes with an
elevator mechanism. METHODS: ATP testing after manual cleaning of TJF-Q180V
duodenoscopes and GF-UCT180 linear echoendoscopes (Olympus America Inc, Center
Valley, PA) was implemented. Samples were tested from the distal end, the
elevator mechanism, and water flushed through the lumen of the biopsy channel.
Data were recorded and compared by time point, test point, and reprocessing
technician. RESULTS: Overall failure rate was 6.99% (295 out of 4,219). The
highest percentage of failed ATP tests (17.05%) was reported in the first quarter
of routine testing, with an overall decrease in rates over time. The elevator
mechanism and working channel lumen had higher failure rates than the distal end.
Quality of manual cleaning between reprocessing technicians showed variation.
CONCLUSION: ATP testing is effective in identifying residual organic material and
improving quality of manual cleaning of endoscopes with an elevator mechanism.
Cleaning efficacy is influenced by reprocessing technicians and location tested
on the endoscope. Close attention to the working channel and elevator mechanism
during manual cleaning is warranted.
PMID- 29799423
TI - Cutaneous parasitosis in dermatology in Lome: series of 1217 cases.
AB - The aim of this study was to determine the epidemiological and clinical profile
of cutaneous parasitosis in Lome, Togo. Of the 71422 patients seen in 3
dermatology departments during the study period, 1217 (1.7%) had cutaneous
parasitosis, 98% of them ectoparasitosis.
PMID- 29799424
TI - Management of scorpion envenomation at the Faya-Largeau medical post, February
June, 2014.
AB - Scorpion envenomation is common in northern Chad and associated with a high
lethality rate. We report the management of 16 cases of scorpion envenomation in
2014 at our Faya-Largeau medical post. Our clinical experience revealed
dissociated muscarinic symptoms in patients treated early in contrast to those
treated later, who presented cardiogenic shock. In the absence of antivenom,
patients with an isolated muscarinic syndrome received small doses of atropine,
and their signs and symptoms improved afterwards. Although the use of atropine is
controversial, the question here is about using it to treat muscarinic symptoms
of scorpion envenomation in the absence of severe hypertension and with no signs
of heart failure.
PMID- 29799426
TI - One-Year Outcomes of Orbital Atherectomy of Long, Diffusely Calcified Coronary
Artery Lesions.
AB - OBJECTIVES: The aim of this study was to determine the clinical outcomes of
patients with long, diffusely calcified coronary artery lesions who underwent
orbital atherectomy. BACKGROUND: The presence of severe coronary artery
calcification increases the complexity of percutaneous coronary intervention.
Orbital atherectomy of long, diffusely calcified lesions may increase the risk of
periprocedural angiographic complications. Furthermore, the rate of ischemic
complications, including target-vessel revascularization (TVR), in these long,
calcified lesions is historically high. METHODS: In this retrospective
multicenter registry, which included 458 real-world patients who underwent
orbital atherectomy, a total of 154 patients (33.6%) required a total stent
length of >=50 mm (long-stent group). The primary endpoint was the 1-year major
adverse cardiac and cerebrovascular event (MACCE) rate, defined as the composite
of death, myocardial infarction, TVR, and stroke. RESULTS: The long stent group
had a higher rate of perforation (1.9% vs 0.0%; P=.01) and dissection (2.6% vs
0.0%; P<.01). The primary endpoint was similar in the long and short groups
(14.2% vs 11.5%, respectively; P=.40), as were the 1-year rates of death (2.6% vs
4.6%, respectively; P=.30), myocardial infarction (1.9% vs 1.6%, respectively;
P=.80), TVR (9.7% vs 6.3%, respectively; P=.18), and stroke (1.3% vs 1.3%,
respectively; P>.90). The stent thrombosis rate was similar in both groups (1.3%
vs 1.3%; P>.90). CONCLUSIONS: Despite the higher angiographic complication rates,
orbital atherectomy of long, diffusely calcified lesions was associated with
acceptable rates of ischemic complications in this challenging lesion subset at 1
year follow-up.
PMID- 29799425
TI - Transcatheter Aortic Valve Replacement Improves Health Status in Elderly
Veterans.
AB - BACKGROUND: United States veterans have substantially worse baseline health
status than the general population, which may limit the health benefits of
transcatheter aortic valve replacement (TAVR). The aim of this study is to
quantify the health benefits of TAVR in veterans undergoing the procedure within
the United States Department of Veterans Affairs (VA) health-care system.
METHODS: We prospectively evaluated heath status in 131 elderly veterans
undergoing TAVR in the VA healthcare system between 2015 and 2017. Health status
was assessed at baseline and 30 days post procedure using the Kansas City
Cardiomyopathy Questionnaire 12 (KCCQ-12). Totals and domain-specific (physical
limitation, symptoms, quality of life, and social limitation) health statuses
were measured and analyzed with a paired t-test. We also conducted stratified
analysis by baseline New York Heart Association functional class and N-terminal
pro-b type natriuretic peptide levels. RESULTS: Mean patient age was 77 +/- 8
years and average Society of Thoracic Surgeons (STS) score was 4.4 (interquartile
range, 3-7). Transfemoral access and balloon-expandable valves were used in 118
cases (92%) and 108 cases (83%), respectively. At baseline, overall health status
was poor (overall score, 43 +/- 19). After TAVR, significant improvements in
overall health status (30 +/- 18) and domain-specific health status were seen
(improvements in physical limitation, 12 +/- 20; symptoms, 23 +/- 23; quality of
life, 20 +/- 17; social limitation, 22 +/- 21; all P<.001). The majority of
patients (88%) had moderate to large improvements in health status. A favorable
outcome (alive with KCCQ-12 overall score >60 at 30 days) was seen in 78% of
patients. CONCLUSION: Among elderly veterans with severe aortic stenosis, TAVR is
associated with significant improvements in short-term health status.
PMID- 29799427
TI - Coronary Vasospasm Abutting the Stent: A Rare Though Important Cause of Recurrent
Angina.
AB - Angiogram of the right coronary artery was used to reveal a subtotal occlusion
abutting the proximal end of the stent with TIMI I flow that responded quickly to
intracoronary nitrates. The patient was diagnosed with vasospastic angina.
PMID- 29799428
TI - Bilateral Slender Transradial Aortic Balloon Valvuloplasty.
AB - Percutaneous aortic balloon valvuloplasty as a bridge-to-decision strategy was
performed in an 89-year-old woman with multiple comorbidities and cachexia. The
patient did well; after 2 weeks, she was discharged home and referred for
possible TAVR.
PMID- 29799429
TI - Veno-arterial Loop Aiding Mitral Valve Crossing for Balloon Mitral Valvuloplasty
in a Patient With a Huge Left Atrium.
AB - Veno-arterial loop is a feasible and safe technique to facilitate mitral valve
crossing for balloon mitral valvuloplasty in mitral stenosis patients.
PMID- 29799430
TI - Diastolic Compression of the Left Anterior Descending Artery.
AB - The patient underwent mitral valve replacement with a bioprosthetic porcine
valve, tricuspid valve annuloplasty, and left atrial appendage ligation with good
result and an uncomplicated postoperative course.
PMID- 29799431
TI - Double Trouble: Transapical Transcatheter Replacement of the Aortic and Mitral
Valves in a Single Operation.
AB - This case represents the first reported simultaneous TAVR and TMVR for native
aortic and mitral stenosis performed via the transapical approach.
PMID- 29799432
TI - High resolution AFM studies of irradiated mica-following the traces of swift
heavy ions under grazing incidence.
AB - High resolution AFM imaging of swift heavy ion irradiated muscovite mica under
grazing incidence provides detailed insight into the created nanostructure
features. Swift heavy ions under grazing incidence form a complex track structure
along the surface, which consists of a double track of nanohillocks at the impact
site accompanied by a single, several 100 nm long protrusion. Detailed track
studies by varying the irradiation parameters, i.e. the angle of incidence (0.2
degrees -2 degrees ) and the kinetic energy of the impinging ions (23, 55, 75, 95
MeV) are presented. Moreover, the track formation in dependence of the sample
temperature (between room temperature and 600 degrees C) and of the chemical
composition (muscovite mica and fluorphlogopite mica) is studied.
PMID- 29799433
TI - Proximity exchange induced gap opening and topological feature in graphene/1T'
MX2 (M = Mo,W; X = S,Se,Te) Dirac heterostructures.
AB - By using first-principles calculations, we demonstrate the influence of proximity
effect on the band structures of heterostructures formed by graphene stacking on
a two dimensional (2D) topological insulator (TI) 1T'-MX2. The interlayer
distance d between graphene and TI decreases with the enhancement of the
intrinsic lattice anisotropy of 1T'-MX2, which determines different strength of
the interlayer proximity interaction. The bandgap can be opened by the proximity
exchange. The weak anisotropic symmetry of heterostructure (large d) only results
in a small band gap (~50 meV) in graphene/MoTe2. However, a large energy gap (up
to ~200 meV) can be obtained in graphene/MoS2, which is attributed to the inter
intralayer charge transfer due to the strong proximity interaction of the hetero
interface (small d). In addition, the 1T'-MX2 of heterostructure still possesses
the topological feature of Z 2 = 1, since the graphene has a negligible effect
on the band structure of the system.
PMID- 29799434
TI - An introduction to color-changing systems from the cephalopod protein reflectin.
AB - Cephalopods possess unrivaled camouflage and signaling abilities that are enabled
by their sophisticated skin, wherein multiple layers contain chromatophore
pigment cells (as part of larger chromatophore organs) and different types of
reflective cells called iridocytes and leucophores. The optical functionality of
these cells (and thus cephalopod skin) critically relies upon subcellular
structures partially composed of unusual structural proteins known as reflectins.
Herein, we highlight studies that have investigated reflectins as materials
within the context of color-changing coatings. We in turn discuss these proteins'
multi-faceted properties, associated challenges, and future potential. Through
our presentation of selected case studies, we hope to stimulate additional
dialogue and spur further research on photonic technologies based on and inspired
by reflectins.
PMID- 29799435
TI - Surface morphology of amorphous SiO2 substrates bombarded with 1.0 MeV Si+ ions.
AB - Surface pattern formation on amorphous SiO2 substrates by implantation of 1.0 MeV
Si+ ions at a current of 1.3 uA at 70 degrees angle is reported. Surface
micrometer sized ripples perpendicular to the ion beam direction are formed,
observed by scanning electron microscopy and atomic force microscopy. The
morphological features are more or less similar for different fluences. The
formation of surface ripples at this energy is discussed in terms of ion stopping
mechanisms and patterns obtained within the low- and medium-energy ranges.
PMID- 29799436
TI - An efficient mechanism for enhancing the thermoelectricity of nanoribbons by
blocking phonon transport in 2D materials.
AB - Inspired by the novel mechanism of reducing thermal conductivity by local phonon
resonance instead of by inducing structural defects, we investigate the effect of
side branching on the thermoelectric properties of [Formula: see text]
nanoribbons, and prove that side branching is a highly efficient mechanism for
enhancing the thermoelectricity of different kinds of nanoribbons. For both
armchair and zigzag [Formula: see text] nanoribbons, the side branches result in
not only significant blocking of phonon transport but also notable increase of
the Seebeck coefficient. Consequently, the thermoelectric figure of merit of the
armchair [Formula: see text] nanoribbon is boosted from 0.72 to as high as 1.93,
and the originally non-thermoelectric metallic zigzag [Formula: see text]
nanoribbon is turned into a thermoelectric material due to the appearance of the
band gap induced by the side branches. These results mean that the mechanism of
branching is not only very efficient, but also takes effect regardless of the
original properties of the nanoribbons, and thus will hold great promise for its
application in the thermoelectric field.
PMID- 29799437
TI - Wireless opto-electro neural interface for experiments with small freely behaving
animals.
AB - OBJECTIVE: We have developed a wireless opto-electro interface (WOENI) device,
which combines electrocorticogram (ECoG) recording and optical stimulation for bi
directional neuromodulation on small, freely behaving animals, such as rodents.
APPROACH: The device is comprised of two components, a detachable headstage and
an implantable polyimide-based substrate. The headstage establishes a bluetooth
low energy (BLE) bi-directional data communication with an external custom
designed USB dongle for receiving user commands and optogenetic stimulation
patterns, and sending digitalized ECoG data. MAIN RESULTS: The functionality and
stability of the device were evaluated in vivo on freely behaving rats. When the
animal received optical stimulation on the primary visual cortex (V1) and visual
stimulation via eyes, spontaneous changes in ECoG signals were recorded from both
left and right V1 during four consecutive experiments with 7 d intervals over a
time span of 21 d following device implantation. Immunostained tissue analyses
showed results consistent with ECoG analyses, validating the efficacy of optical
stimulation to upregulate the activity of cortical neurons expressing ChR2.
SIGNIFICANCE: The proposed WOENI device is potentially a versatile tool in the
studies that involve long-term optogenetic neuromodulation.
PMID- 29799439
TI - Large Area Synthesis of Vertical Aligned Metal Oxide Nanosheets by Thermal
Oxidation of Stainless Steel Mesh and Foil.
AB - We report here the synthesis of metal oxide nanosheets (MONs) directly grown on
stainless steel substrates by thermal oxidation in the presence of trace amounts
of water. The morphology and microstructure of MONs were characterized by
scanning electron microscopy (SEM), transmission electron microscopy (TEM),
selected area electron diffraction (SAED), and atomic force microscopy (AFM). The
composition of MONs was determined by the energy dispersive system and X-ray
diffraction patterns. The results showed that the as-synthesized MONs were
ultrathin, vertically aligned, and mostly transparent. They were polycrystalline
and were composed primarily of Cr2O3 and (Fe, Mn)3O4. The optimal condition to
synthesize the MONs with an optimal ultra-high surface atom ratio were determined
by varying the temperature and time required for the growth of the MONs. It was
found that the lateral size of MONs gradually increases as the temperature rises
from 1000 to 1100 degrees C. An optimal temperature of 1100 degrees C is
obtained in terms of the growth density, size and transparency degree growth
morphology, and quality. The structure of MONs changes from two-dimensional to
three-dimensional networks when the synthesis time is prolonged to more than 1 h.
PMID- 29799438
TI - Implementation of Low Glycemic Index Diet Together with Cornstarch in Post
Gastric Bypass Hypoglycemia: Two Case Reports.
AB - Post-bariatric hypoglycemia (PBH) is an increasingly recognized long-term
complication of bariatric surgery. The nutritional treatment of PBH includes a
high-fiber diet and the restriction of soluble and high-glycemic index
carbohydrates; however, these measures are not always enough to prevent
hypoglycemia. We evaluated the efficacy of uncooked cornstarch, a low-glycemic
index carbohydrate characterized by slow intestinal degradation and absorption,
in addition to a high-fiber diet, for the treatment of PBH. We report the cases
of two young women suffering from severe postprandial and fasting hypoglycemia
following Roux-en-Y gastric bypass (RYGB). The patients underwent Continuous
Glucose Monitoring (CGM) before and 12-16 weeks after the administration of
uncooked cornstarch (respectively 1.25 g/kg b.w. and 1.8 g/kg b.w.) in addition
to a high-fiber diet. In both patients, CGM showed more stable glucose levels
throughout monitoring, a remarkable reduction of the time spent in hypoglycemia
(.
PMID- 29799440
TI - High Piezoelectric Conversion Properties of Axial InGaN/GaN Nanowires.
AB - We demonstrate for the first time the efficient mechanical-electrical conversion
properties of InGaN/GaN nanowires (NWs). Using an atomic force microscope
equipped with a modified Resiscope module, we analyse the piezoelectric energy
generation of GaN NWs and demonstrate an important enhancement when integrating
in their volume a thick In-rich InGaN insertion. The piezoelectric response of
InGaN/GaN NWs can be tuned as a function of the InGaN insertion thickness and
position in the NW volume. The energy harvesting is favoured by the presence of a
PtSi/GaN Schottky diode which allows to efficiently collect the piezo-charges
generated by InGaN/GaN NWs. Average output voltages up to 330 +/- 70 mV and a
maximum value of 470 mV per NW has been measured for nanostructures integrating
70 nm-thick InGaN insertion capped with a thin GaN top layer. This latter value
establishes an increase of about 35% of the piezo-conversion capacity in
comparison with binary p-doped GaN NWs. Based on the measured output signals, we
estimate that one layer of dense InGaN/GaN-based NW can generate a maximum output
power density of about 3.3 W/cm2. These results settle the new state-of-the-art
for piezo-generation from GaN-based NWs and offer a promising perspective for
extending the performances of the piezoelectric sources.
PMID- 29799441
TI - Marker-Based Multi-Sensor Fusion Indoor Localization System for Micro Air
Vehicles.
AB - A novel multi-sensor fusion indoor localization algorithm based on ArUco marker
is designed in this paper. The proposed ArUco mapping algorithm can build and
correct the map of markers online with Grubbs criterion and K-mean clustering,
which avoids the map distortion due to lack of correction. Based on the
conception of multi-sensor information fusion, the federated Kalman filter is
utilized to synthesize the multi-source information from markers, optical flow,
ultrasonic and the inertial sensor, which can obtain a continuous localization
result and effectively reduce the position drift due to the long-term loss of
markers in pure marker localization. The proposed algorithm can be easily
implemented in a hardware of one Raspberry Pi Zero and two STM32 micro
controllers produced by STMicroelectronics (Geneva, Switzerland). Thus, a small
size and low-cost marker-based localization system is presented. The experimental
results show that the speed estimation result of the proposed system is better
than Px4flow, and it has the centimeter accuracy of mapping and positioning. The
presented system not only gives satisfying localization precision, but also has
the potential to expand other sensors (such as visual odometry, ultra wideband
(UWB) beacon and lidar) to further improve the localization performance. The
proposed system can be reliably employed in Micro Aerial Vehicle (MAV) visual
localization and robotics control.
PMID- 29799443
TI - Surface Functionalization of Polyethylene Granules by Treatment with Low-Pressure
Air Plasma.
AB - Polyethylene granules of diameter 2 mm were treated with a low-pressure weakly
ionized air plasma created in a metallic chamber by a pulsed microwave discharge
of pulse duration 180 MUs and duty cycle 70%. Optical emission spectroscopy
showed rich bands of neutral nitrogen molecules and weak O-atom transitions, but
the emission from N atoms was below the detection limit. The density of O atoms
in the plasma above the samples was measured with a cobalt catalytic probe and
exhibited a broad peak at the pressure of 80 Pa, where it was about 2.3 * 1021 m
3. The samples were characterized by X-ray photoelectron spectroscopy. Survey
spectra showed oxygen on the surface, while the nitrogen concentration remained
below the detection limit for all conditions. The high-resolution C1s peaks
revealed formation of various functional groups rather independently from
treatment parameters. The results were explained by extensive dissociation of
oxygen molecules in the gaseous plasma and negligible flux of N atoms on the
polymer surface.
PMID- 29799442
TI - Establishment and Phytochemical Analysis of a Callus Culture from Ageratina
pichinchensis (Asteraceae) and Its Anti-Inflammatory Activity.
AB - A protocol was established to produce bioactive compounds in a callus culture of
Ageratina pichinchensis by using 1 mg L-1 NAA with 0.1 mg L-1 KIN. The
phytochemical study of the EtOAc extract obtained from the callus biomass,
allowed the isolation and characterization of eleven secondary metabolites, of
which dihydrobenzofuran (5) and 3-epilupeol (7), showed important anti
inflammatory activity. Compound 5 inhibits in vitro the secretion of NO (IC50 =
36.96 +/- 1.06 MUM), IL-6 (IC50 = 73.71 +/- 3.21 MUM), and TNF-alpha (IC50 =
73.20 +/- 5.99 MUM) in RAW (Murine macrophage cells) 264.7 macrophages, as well
as the activation of NF-kappaB (40% at 150 MUM) in RAW-blue macrophages, while
compound 7 has been described that inhibit the in vivo TPA-induced ear edema, and
the in vitro production of NO, and the PLA2 enzyme activity. In addition,
quantitative GC-MS analysis showed that the anti-inflammatory metabolites 5 and 7
were not detected in the wild plant. Overall, our results indicated that A.
pichinchensis can be used as an alternative biotechnological resource for
obtaining anti-inflammatory compounds. This is the first report of the anti
inflammatory activity of compound 5 and its production in a callus culture of A.
pichinchensis.
PMID- 29799447
TI - Amorphization Optimization of Ge2Sb2Te5 Media for Electrical Probe Memory
Applications.
AB - Electrical probe memory using Ge2Sb2Te5 media has been considered a promising
candidate in the future archival storage market due to its potential for ultra
high density and long data retention time. However, most current research efforts
have been devoted to the writing of crystalline bits using electrical probe
memory while ignoring the viability of writing amorphous bits. Therefore, this
paper proposes a physical, realistic, full three-dimensional model to optimize
the practicable media stack by spatially and temporally calculating temperature
distributions inside the active media during the writing of amorphous bits. It
demonstrates the feasibility of using an optimized device that follows a
Silicon/Titanium Nitride/Ge2Sb2Te5/Diamond-Like Carbon design with appropriate
electro-thermal properties and thickness to achieve ultra-high density, low
energy consumption, and a high data rate without inducing excessive temperature.
The ability to realize multi-bit recording and rewritability using the designed
device is also proven, making it attractive and suitable for practicable
applications.
PMID- 29799446
TI - Proteomic Analysis of Aphid-Resistant and -Sensitive Rose (Rosa Hybrida)
Cultivars at Two Developmental Stages.
AB - The rose is one the most commercially grown and costly ornamental plants because
of its aesthetic beauty and aroma. A large number of pests attack its buds,
flowers, leaves, and stem at every growing stage due to its high sugar content.
The most common pest on roses are aphids which are considered to be the major
cause for product loss. Aphid infestations lead to major changes in rose plants,
such as large and irregular holes in petals, intact leaves and devouring tissues.
It is hypothesized that different cut rose cultivars would have different levels
of sensitivity or resistance to aphids, since different levels of infestation are
observed in commercially cut rose production greenhouses. The present work
compared four cut rose cultivars which were bred in Korea and were either
resistant or sensitive to aphid infestation at different flower developmental
stages. An integrative study was conducted using comprehensive proteome analyses.
Proteins related to ubiquitin metabolism and the stress response were
differentially expressed due to aphid infestation. The regulations and possible
functions of identified proteins are presented in detail. The differential
expressions of the identified proteins were validated by immunoblotting and blue
native page. In addition, total sugar and carbohydrate content were also
observed.
PMID- 29799444
TI - Sirt1 Protects against Oxidative Stress-Induced Apoptosis in Fibroblasts from
Psoriatic Patients: A New Insight into the Pathogenetic Mechanisms of Psoriasis.
AB - Psoriasis, a multisystem chronic disease characterized by abnormal keratinocyte
proliferation, has an unclear pathogenesis where systemic inflammation and
oxidative stress play mutual roles. Dermal fibroblasts, which are known to
provide a crucial microenvironment for epidermal keratinocyte function,
represented the selected experimental model in our study which aimed to clarify
the potential role of SIRT1 in the pathogenetic mechanisms of the disease. We
firstly detected the presence of oxidative stress (lipid peroxidation and total
antioxidant capacity), significantly reduced SIRT1 expression level and activity,
mitochondrial damage and apoptosis (caspase-3, -8 and -9 activities) in psoriatic
fibroblasts. Upon SIRT1 activation, redox balance was re-established,
mitochondrial function was restored and apoptosis was no longer evident.
Furthermore, we examined p38, ERK and JNK activation, which was strongly altered
in psoriatic fibroblasts, in response to SIRT1 activation and we measured caspase
3 activity in the presence of specific MAPK inhibitors demonstrating the key role
of the SIRT1 pathway against apoptotic cell death via MAPK modulation. Our
results clearly demonstrate the involvement of SIRT1 in the protective mechanisms
related to fibroblast injury in psoriasis. SIRT1 activation exerts an active role
in restoring both mitochondrial function and redox balance via modulation of MAPK
signaling. Hence, SIRT1 can be proposed as a specific tool for the treatment of
psoriasis.
PMID- 29799449
TI - High Conversion of Styrene, Ethylene, and Hydrogen to Linear Monoalkylbenzenes.
AB - 1-Alkylbenzenes as a precursor of surfactants, can be produced from ethylene,
styrene, and hydrogen. These intermediates, lacking tertiary carbons, are
environmentally more benign than commercial ones that bear the aromatic ring
linked to an internal carbon of the aliphatic chain. The one-pot synthesis of
highly linear 1-alkylbenzenes (LABs) through the homogeneous catalysis of olefin
poly-insertion from cheap and largely available reagents can be carried out with
a high turnover and selectivity. A purposely designed reactor that allows for the
fine control of the three components feed, along with temperature, plays a key
role in this achievement. A turnover of 194 g of LABs per mmol of catalyst per
hour can be obtained with the simultaneous removal of polyethylene as a by
product.
PMID- 29799445
TI - Characterization of Hemagglutinin Antigens on Influenza Virus and within Vaccines
Using Electron Microscopy.
AB - Influenza viruses affect millions of people worldwide on an annual basis.
Although vaccines are available, influenza still causes significant human
mortality and morbidity. Vaccines target the major influenza surface glycoprotein
hemagglutinin (HA). However, circulating HA subtypes undergo continual variation
in their dominant epitopes, requiring vaccines to be updated annually. A goal of
next-generation influenza vaccine research is to produce broader protective
immunity against the different types, subtypes, and strains of influenza viruses.
One emerging strategy is to focus the immune response away from variable
epitopes, and instead target the conserved stem region of HA. To increase the
display and immunogenicity of the HA stem, nanoparticles are being developed to
display epitopes in a controlled spatial arrangement to improve immunogenicity
and elicit protective immune responses. Engineering of these nanoparticles
requires structure-guided design to optimize the fidelity and valency of antigen
presentation. Here, we review electron microscopy applied to study the 3D
structures of influenza viruses and different vaccine antigens. Structure-guided
information from electron microscopy should be integrated into pipelines for the
development of both more efficacious seasonal and universal influenza vaccine
antigens. The lessons learned from influenza vaccine electron microscopic
research could aid in the development of novel vaccines for other pathogens.
PMID- 29799448
TI - Hydrophobic Amino Acid Content in Onions as Potential Fingerprints of
Geographical Origin: The Case of Rossa da Inverno sel. Rojo Duro.
AB - In this study, we were interested in comparing the amino acid profile in a
specific variety of onion, Rossa da inverno sel. Rojo Duro, produced in two
different Italian sites: the Cannara (Umbria region) and Imola (Emilia Romagna
region) sites. Onions were cultivated in a comparable manner, mostly in terms of
the mineral fertilization, seeding, and harvesting stages, as well as good weed
control. Furthermore, in both regions, the plants were irrigated by the water
sprinkler method and subjected to similar temperature and weather conditions. A
further group of Cannara onions that were grown by micro-irrigation was also
evaluated. After the extraction of the free amino acid mixture, an ion-pairing
reversed-phase (IP-RP) HPLC method allowed for the separation and the evaporative
light scattering detection of almost all the standard proteinogenic amino acids.
However, only the peaks corresponding to leucine (Leu), phenylalanine (Phe), and
tryptophan (Trp), were present in all the investigated samples and they were
unaffected from the matrix interfering peaks. The use of the beeswarm/box plots
revealed that the content of Leu and Phe were markedly influenced by the
geographical origin of the onions (with *** p.
PMID- 29799452
TI - Research Project Evaluation-Learnings from the PATHWAYS Project Experience.
AB - BACKGROUND: Every research project faces challenges regarding how to achieve its
goals in a timely and effective manner. The purpose of this paper is to present a
project evaluation methodology gathered during the implementation of the
Participation to Healthy Workplaces and Inclusive Strategies in the Work Sector
(the EU PATHWAYS Project). The PATHWAYS project involved multiple countries and
multi-cultural aspects of re/integrating chronically ill patients into labor
markets in different countries. This paper describes key project's evaluation
issues including: (1) purposes, (2) advisability, (3) tools, (4) implementation,
and (5) possible benefits and presents the advantages of a continuous monitoring.
METHODS: Project evaluation tool to assess structure and resources, process,
management and communication, achievements, and outcomes. The project used a
mixed evaluation approach and included Strengths (S), Weaknesses (W),
Opportunities (O), and Threats (SWOT) analysis. RESULTS: A methodology for
longitudinal EU projects' evaluation is described. The evaluation process allowed
to highlight strengths and weaknesses and highlighted good coordination and
communication between project partners as well as some key issues such as: the
need for a shared glossary covering areas investigated by the project,
problematic issues related to the involvement of stakeholders from outside the
project, and issues with timing. Numerical SWOT analysis showed improvement in
project performance over time. The proportion of participating project partners
in the evaluation varied from 100% to 83.3%. CONCLUSIONS: There is a need for the
implementation of a structured evaluation process in multidisciplinary projects
involving different stakeholders in diverse socio-environmental and political
conditions. Based on the PATHWAYS experience, a clear monitoring methodology is
suggested as essential in every multidisciplinary research projects.
PMID- 29799450
TI - Repeated Exposition to Mercury (II) Chloride Enhances Susceptibility to S.
schenckii sensu stricto Infection in Mice.
AB - Sporotrichosis is a subcutaneous mycosis that has re-emerged in several tropical
and subtropical regions over the last decades. Growing findings suggest that the
interplay of host, pathogen, and environment has a determinant effect on the
diversity, local distribution, and virulence of Sporothrix schenckii sensu lato,
the etiologic agent. Among the environmental factors, we have studied the
potential role of repeated exposures to mercury (Hg), a known immunotoxic
xenobiotic that is widely used in gold mining regions where sporotrichosis
outbreaks are frequently reported. In this study, male Swiss mice received
subcutaneous injections of either 300 or 1200 ug/kg of mercury (II) chloride
(HgCl2) for 14 days, three times a week. A control group was injected with the
vehicle Phosphate Buffered Saline (PBS). Treatment with HgCl2 impaired several
immunologic parameters that are involved in host response to Sporothrix
infection, such as the production of TNFalpha, IL-1, and nitric oxide by
macrophages, and Th1/Th2/Th17 populations and their respective cytokines. The
consequences of these effects on the host resistance to S. schenckii infection
were subsequently evaluated. Hg-exposed mice exhibited a higher fungal load in
the fungal inoculation site associated to systemic dissemination to spleen and
liver on 14 days post-infection and a higher production of specific IgG1 and mild
reduction of IgG2a. These findings suggest that repeated exposition to Hg
enhances susceptibility to S. schenckii infection in mice and can be a factor
associated to sporotrichosis outbreaks in endemic and highly Hg-polluted areas.
PMID- 29799451
TI - Children and Nature: Linking Accessibility of Natural Environments and Children's
Health-Related Quality of Life.
AB - A growing body of research suggests that increasing children's nature
interactions can have positive benefits for their health-related quality of life
(HRQOL); however, researchers have yet to examine how geographical context
influences this relationship. The purpose of this study was to examine individual
level and environmental factors that are associated with HRQOL of children from
different geographical contexts. Data were collected for 851 children from 34
elementary schools in Ontario, Canada. The natural environments around each
child's home were computed using geospatial analyses in a geographic information
system. Natural environment measures were combined with HRQOL and the
demographics from child surveys to be used in a series of step-wise linear
regression models. These models explored the relationship between children's
HRQOL and the natural environment in urban/suburban and rural populations. In
addition to important individual-level determinants, the findings revealed that
characteristics of the natural environment, including the amount of greenness,
park, and water, show significant relationships in the urban/suburban population.
Interpersonal variables were the key predictors of HRQOL in the rural population.
Where children live influences relationships between nature and HRQOL. These
findings have implications for policymakers, health practitioners, educators, and
parents in the design and the promotion of nature for children's HRQOL.
PMID- 29799453
TI - Numerical Simulation of a Novel Sensing Approach Based on Abnormal Blocking by
Periodic Grating Strips near the Silicon Wire Waveguide.
AB - This paper discusses the physical nature and the numerical modeling of a novel
approach of periodic structures for applications as photonic sensors. The sensing
is based on the high sensitivity to the cover index change of the notch
wavelength. This sensitivity is due to the effect of abnormal blocking of the
guided wave propagating along the silicon wire with periodic strips overhead it
through the silica buffer. The structure sensing is numerically modeled by 2D and
3D finite difference time domain (FDTD) method, taking into account the waveguide
dispersion. The modeling of the long structures (more than 1000 strips) is
accomplished by the 2D method of lines (MoL) with a maximal implementation of the
analytical feature of the method. It is proved that the effect of abnormal
blocking could be used for the construction of novel types of optical sensors.
PMID- 29799454
TI - Influence of the Regime of Electropulsing-Assisted Machining on the Plastic
Deformation of the Layer Being Cut.
AB - In this article, the influence of electropulsing on the machinability of steel
S235 and aluminium 6060 has been studied during conventional and electropulsing
assisted turning processes. The machinability indices such as chip compression
ratio xi , shear plane angle phi and specific cutting energy (SCE) are
investigated by using different cutting parameters such as cutting speed, cutting
feed and depth of cut during electrically-assisted turning process. The results
and analysis of this work indicated that the electrically-assisted turning
process improves the machinability of steel S235, whereas the machinability of
aluminium 6060 gets worse. Finally, due to electropluses (EPs), the chip
compression ratio xi increases with the increase in cutting speed during turning
of aluminium 6060 and the SCE decreases during turning of steel S235.
PMID- 29799455
TI - Effect of Biliary Drainage on the Toxicity and Toxicokinetics of Amanita
exitialis in Beagles.
AB - Amatoxin poisoning induces delayed-onset acute liver failure, which are
responsible for more than 90% of deaths in mushroom poisoning. It has been
postulated from animal and human studies that biliary drainage interrupting
enterohepatic amatoxin circulation may affect amatoxin poisoning. Dogs were
randomly divided into four groups of six animals each. In 20 mg/kg and 60 mg/kg
with biliary drainage groups, after accepting bile drainage operation, beagles
were fed Amanita exitialis powder (20 or 60 mg/kg) in starch capsules. In control
and bile drainage groups, the beagle dogs were fed with empty capsules. They were
assessed for toxicity signs, biochemical and pathological changes, and peptide
toxins in plasma, urine and bile. The data were directly compared with those from
our published studies on Amanita exitialis-exposed beagles without biliary
drainage. Amatoxins were rapidly absorbed and eliminated from plasma after
Amanita exitialis ingestion. Amatoxins in 0-1-day urine accounted for more than
90% of the total urine excretion, and amatoxins in bile accounted for less than
20% of the total urine and bile excretion. The dogs with biliary drainage showed
less severe toxicity signs and biochemical and pathological changes and much
lower internal exposure than dogs without biliary drainage. Biliary drainage
caused a more than 70% reduction in intestinal amatoxin absorption and could
reduce amatoxin absorption from the gastrointestinal tract.
PMID- 29799456
TI - Towards Farm Animal Welfare and Sustainability.
AB - As farm animal welfare becomes an increasingly important component of
contemporary global livestock production, animal welfare science and animal
welfare policy-making need to find new ways of entering global debates over food
security and sustainability. In this paper, we explore the means by which both
animal welfare science and policy should articulate with these emerging global
debates. Having first established the important gains in animal welfare policy
and the maturity of animal welfare science, we identify and explore the potential
impact of these current debates and argue that they have the potential for
profound change in our understanding of, and our response to, the welfare of
animals. We conclude the paper with a number of possible recommendations for how
a scientifically informed, sustainable animal welfare policy might flourish.
PMID- 29799457
TI - Monitoring the Chemical Profile in Agarwood Formation within One Year and
Speculating on the Biosynthesis of 2-(2-Phenylethyl)Chromones.
AB - Agarwood is highly valued for its uses as incense, perfume, and medicine.
However, systematic analyses of dynamic changes of secondary metabolites during
the process of agarwood formation have not yet been reported. In this study,
agarwood was produced by transfusing the agarwood inducer into the trunk of
Aquilaria sinensis, and changing patterns of chemical constituents, especially 2
(2-phenylethyl)chromones (PECs), in wood samples collected from the 1st to 12th
month, were analyzed by GC-EI-MS and UPLC-ESI-MS/MS methods. Aromatic compounds,
steroids, fatty acids/esters, sesquiterpenoids, and PECs were detected by GC-MS,
in which PECs were the major constituents. Following this, UPLC-MS was used for
further comprehensive analysis of PECs, from which we found that 2-(2
phenylethyl)chromones of flindersia type (FTPECs) were the most abundant, while
PECs with epoxidated chromone moiety were detected with limited numbers and
relatively low content. Speculation on the formation of major FTPECs was fully
elucidated in our context. The key step of FTPECs biosynthesis is possibly
catalyzed by type III polyketide synthases (PKSs) which condensate dihydro
cinnamoyl-CoA analogues and malonyl-CoA with 2-hydroxy-benzoyl-CoA to produce 2
(2-phenyethyl)chromone scaffold, or with 2,5-dihydroxybenzoyl-CoA to form FTPECS
with 6-hydroxy group, which may serve as precursors for further reactions
catalyzed by hydroxylase or O-methyltransferase (OMT) to produce FTPECs with
diverse substitution patterns. It is the first report that systematically
analyzed dynamic changes of secondary metabolites during the process of agarwood
formation and fully discussed the biosynthetic pathway of PECs.
PMID- 29799458
TI - Functionalization Effect on Polymer Nanocomposite Coatings Based on TiO2-SiO2
Nanoparticles with Superhydrophilic Properties.
AB - In this study, a novel binary nanocomposite system based on TiO2-SiO2 was
functionalized with trimethylolpropane triacrylate (TMPTA) and characterized by
XPS and XRD. Results revealed that TiO2-SiO2 nanoparticles were covalently
functionalized. Functionalized nanoparticles at low concentrations (0.1 wt % and
0.5 wt %) were dispersed in acrylic acid acting as a polymer matrix.
Nanocomposite coatings analysis demonstrate to achieve superhydrophilic
properties as well as very good optical characteristics. Water contact angle
characterization showed the functionalization effect by achieving a
superhydrophilic behavior with a contact angle less than 5 degrees . UV-Vis
measurements demonstrated high optical transmittance above 95% for the coatings.
Based on the obtained results a mechanism describing the chemical interactions of
the constituents responsible for the synergy in the nanocomposite as well as the
morphological play role in the behavior are presented.
PMID- 29799459
TI - CO2 Capture with Mesoporous Silicas Modified with Amines by Double
Functionalization: Assessment of Adsorption/Desorption Cycles.
AB - CO2 adsorption on mesoporous silica modified with amine by double
functionalization was studied. Adsorption microcalorimetry was used in order to
investigate the influence of increasing the nitrogen surface density on double
functionalized materials with respect to the only grafted materials. The
distribution of sites and the rate-controlling mechanism of adsorption were
evaluated. A Tian Calvet microcalorimeter coupled to a manometric setup was used
to evaluate the energy distribution of adsorption sites and to calculate the
thermokinetic parameters from the differential enthalpy curves. CO2 and N2
adsorption equilibrium isotherms at 50 and 75 degrees C were measured with a
magnetic suspension balance, allowing for the computation of working capacity and
selectivity at two temperatures. With these data, an Adsorbent Performance
Indicator (API) was calculated and contrasted with other studied materials under
the same conditions. The high values of API and selectivity confirmed that double
functionalized mesoporous silica is a promising adsorbent for the post combustion
process. The adsorption microcalorimetric study suggests a change in active sites
distribution as the amine density increases. Maximum thermokinetic parameter
suggests that physisorption on pores is the rate-controlling binding mechanism
for the double-functionalized material.
PMID- 29799461
TI - Differentiation of Apple Varieties and Investigation of Organic Status Using
Portable Visible Range Reflectance Spectroscopy.
AB - Food fraud, the sale of goods that have in some way been mislabelled or tampered
with, is an increasing concern, with a number of high profile documented
incidents in recent years. These recent incidents and their scope show that there
are gaps in the food chain where food authentication methods are not applied or
otherwise not sufficient and more accessible detection methods would be
beneficial. This paper investigates the utility of affordable and portable
visible range spectroscopy hardware with partial least squares discriminant
analysis (PLS-DA) when applied to the differentiation of apple types and organic
status. This method has the advantage that it is accessible throughout the supply
chain, including at the consumer level. Scans were acquired of 132 apples of
three types, half of which are organic and the remaining non-organic. The scans
were preprocessed with zero correction, normalisation and smoothing. Two tests
were used to determine accuracy, the first using 10-fold cross-validation and the
second using a test set collected in different ambient conditions. Overall, the
system achieved an accuracy of 94% when predicting the type of apple and 66% when
predicting the organic status. Additionally, the resulting models were analysed
to find the regions of the spectrum that had the most significance. Then, the
accuracy when using three-channel information (RGB) is presented and shows the
improvement provided by spectroscopic data.
PMID- 29799460
TI - Bioactivities of Phenolics by Focusing on Suppression of Chronic Diseases: A
Review.
AB - Phenolics, which are secondary metabolites of plants, exhibit remarkable
bioactivities. In this contribution, we have focused on their protective effect
against chronic diseases rather than their antioxidant activities, which have
been widely discussed in the literature. A large body of epidemiological studies
has proven the bioactivities of phenolics in both standard compounds and natural
extracts: namely, anticancer, anti-inflammatory, and antibacterial activities as
well as reducing diabetes, cardiovascular disease, and neurodegenerative disease.
Phenolics also display anti-analgesic, anti-allergic, and anti-Alzheimer's
properties. Thus, this review provides crucial information for better
understanding the bioactivities of phenolics in foods and fills a gap in the
existing collective and overall knowledge in the field.
PMID- 29799462
TI - The Extracts and Major Compounds Derived from Astragali Radix Alter Mitochondrial
Bioenergetics in Cultured Cardiomyocytes: Comparison of Various Polar Solvents
and Compounds.
AB - Astragali Radix (AR) is a widely used "Qi-invigorating" herb in China for its
tonic effects in strengthening biological tissues. The extract of AR contains
abundant antioxidants, including astragalosides and isoflavonoids. However, very
few reports have systematically measured the effects of the major components of
AR on cell mitochondrial bioenergetics. Here, a systemic approach employing an
extracellular flux analyzer was developed to evaluate mitochondrial respiration
in cultured cardiomyocyte cells H9C2. The effects of different polar extractives,
as well as of the major compounds of AR, were compared. The contents of
astragaloside IV, calycosin, formononetin, and genistein in the AR extracts
obtained by using water, 50% ethanol, and 90% ethanol were measured by liquid
chromatograph-mass spectrometer (LC-MS). The antioxidant activities of the AR
extracts, as well as of their major compounds, were determined by measuring the
free radical scavenging activity and protective effects in tert-butyl
hydroperoxide (tBHP)-treated H9C2 cells. By monitoring the real-time oxygen
consumption rate (OCR) in tBHP-treated cardiomyocytes with a Seahorse
extracellular flux analyzer, the tonic effects of the AR extracts and of their
main compounds on mitochondrial bioenergetics were evaluated. AR water extracts
possessed the strongest antioxidant activity and protective effects in
cardiomyocytes exposed to oxidative stress. The protection was proposed to be
mediated via increasing the spare respiratory capacity and mitochondrial ATP
production in the stressed cells. The major compounds of AR, astragaloside IV and
genistein, showed opposite effects in regulating mitochondrial bioenergetics.
These results demonstrate that highly polar extracts of AR, especially
astragaloside-enriched extracts, possess better tonic effects on mitochondrial
bioenergetics of cultured cardiomyocytes than extracts with a lower polarity.
PMID- 29799463
TI - Profile of Polyphenolic and Essential Oil Composition of Polish Propolis, Black
Poplar and Aspens Buds.
AB - In this work, we studied similarities and differences between 70% ethanol in
water extract (70EE) and essential oils (EOs) obtained from propolis, black
poplars (Populus nigra L.) and aspens (P. tremula L.) to ascertain which of these
is a better indicator of the plant species used by bees to collect propolis
precursors. Composition of 70EE was analyzed by UPLC-PDA-MS, while GC-MS was used
to research the EOs. Principal component analyses (PCA) and calculations of
Spearman's coefficient rank were used for statistical analysis. Statistical
analysis exhibited correlation between chemical compositions of propolis and
Populus buds' 70EE. In the case of EOs, results were less clear. Compositions of
black poplars, aspens EOs and propolises have shown more variability than 70EE.
Different factors such as higher instability of EOs compared to 70EE, different
degradation pattern of benzyl esters to benzoic acid, differences in plant
metabolism and bees' preferences may be responsible for these phenomena. Our
research has therefore shown that 70EE of propolis reflected the composition of
P. nigra or complex aspen-black poplar origin.
PMID- 29799464
TI - Performance Evaluation of Energy-Autonomous Sensors Using Power-Harvesting
Beacons for Environmental Monitoring in Internet of Things (IoT).
AB - Environmental conditions and air quality monitoring have become crucial today due
to the undeniable changes of the climate and accelerated urbanization. To
efficiently monitor environmental parameters such as temperature, humidity, and
the levels of pollutants, such as fine particulate matter (PM2.5) and volatile
organic compounds (VOCs) in the air, and to collect data covering vast
geographical areas, the development of cheap energy-autonomous sensors for large
scale deployment and fine-grained data acquisition is required. Rapid advances in
electronics and communication technologies along with the emergence of paradigms
such as Cyber-Physical Systems (CPSs) and the Internet of Things (IoT) have led
to the development of low-cost sensor devices that can operate unattended for
long periods of time and communicate using wired or wireless connections through
the Internet. We investigate the energy efficiency of an environmental monitoring
system based on Bluetooth Low Energy (BLE) beacons that operate in the IoT
environment. The beacons developed measure the temperature, the relative
humidity, the light intensity, and the CO2 and VOC levels in the air. Based on
our analysis we have developed efficient sleep scheduling algorithms that allow
the sensor nodes developed to operate autonomously without requiring the
replacement of the power supply. The experimental results show that low-power
sensors communicating using BLE technology can operate autonomously (from the
energy perspective) in applications that monitor the environment or the air
quality in indoor or outdoor settings.
PMID- 29799465
TI - Emotional Intelligence and Its Relationship with Levels of Social Anxiety and
Stress in Adolescents.
AB - The aim of this work is to extend the research on the relationships between
emotional intelligence and various indicators of subjective well-being in
adolescents, such as stress and social anxiety. The existence of differences in
stress and social anxiety as a function of an emotional intelligence score is
also analyzed. A total of 505 Spanish adolescents between the ages of 12 and 18
participated in the study. The results obtained support the existence of a
positive relationship between trait emotional intelligence and subjective well
being. Likewise, the existence of a negative association between emotional
intelligence and stress, and emotional intelligence and social anxiety, is
confirmed. These results are consistent with other similar works based on
adolescent samples.
PMID- 29799466
TI - Sporulosol, a New Ketal from the Fungus Paraconiothyrium sporulosum.
AB - Sporulosol (1), a new ketal, together with four known compounds, has been
isolated from the liquid fermentation cultures of a wetland-soil-derived fungus,
Paraconiothyrium sporulosum. Its structure was elucidated primarily by NMR
experiments, and was further confirmed by X-ray crystallography. Sporulosol was
obtained as a racemic mixture and the resolved two enantiomers racemized
immediately after chiral separation. Sporulosol appears to be the first ketal
derived from a 6H-benzo[c]chromen-6-one and a benzofuranone unit. The compound
showed modest cytotoxicity toward the human tumor cell line T24, with an IC50
value of 18.2 uM.
PMID- 29799468
TI - DE-Sync: A Doppler-Enhanced Time Synchronization for Mobile Underwater Sensor
Networks.
AB - Time synchronization is the foundation of cooperative work among nodes of
underwater sensor networks; it takes a critical role in the research and
application of underwater sensor networks. Although numerous time synchronization
protocols have been proposed for terrestrial wireless sensor networks, they
cannot be directly applied to underwater sensor networks. This is because most of
them typically assume that the propagation delay among sensor nodes is
negligible, which is not the case in underwater sensor networks. Time
synchronization is mainly affected by a long propagation delay among sensor nodes
due to the low propagation speed of acoustic signals. Furthermore, sensor nodes
in underwater tend to experience some degree of mobility due to wind or ocean
current, or some other nodes are on self-propelled vehicles, such as autonomous
underwater vehicles (AUVs). In this paper, we propose a Doppler-enhanced time
synchronization scheme for mobile underwater sensor networks, called DE-Sync. Our
new scheme considers the effect of the clock skew during the process of
estimating the Doppler scale factor and directly substitutes the Doppler scale
factor into linear regression to achieve the estimation of the clock skew and
offset. Simulation results show that DE-Sync outperforms existing time
synchronization protocols in both accuracy and energy efficiency.
PMID- 29799469
TI - Novel Safranin-Tinted Candida rugosa Lipase Nanoconjugates Reagent for
Visualizing Latent Fingerprints on Stainless Steel Knives Immersed in a Natural
Outdoor Pond.
AB - Waterways are popular locations for the disposition of criminal evidence because
the recovery of latent fingerprints from such evidence is difficult. Currently,
small particle reagent is a method often used to visualize latent fingerprints
containing carcinogenic and hazardous compounds. This study proposes an eco
friendly, safranin-tinted Candida rugosa lipase (triacylglycerol ester hydrolysis
EC 3.1.1.3) with functionalized carbon nanotubes (CRL-MWCNTS/GA/SAF) as an
alternative reagent to the small particle reagent. The CRL-MWCNTS/GA/SAF reagent
was compared with the small particle reagent to visualize groomed, full
fingerprints deposited on stainless steel knives which were immersed in a natural
outdoor pond for 30 days. The quality of visualized fingerprints using the new
reagent was similar (modified-Centre for Applied Science and Technology grade: 4;
p > 0.05) to small particle reagent, even after 15 days of immersion. Despite the
slight decrease in quality of visualized fingerprints using the CRL-MWCNTS/GA/SAF
on the last three immersion periods, the fingerprints remained forensically
identifiable (modified-Centre for Applied Science and Technology grade: 3). The
possible chemical interactions that enabled successful visualization is also
discussed. Thus, this novel reagent may provide a relatively greener alternative
for the visualization of latent fingerprints on immersed non-porous objects.
PMID- 29799467
TI - Regulation of Immune Cell Function by PPARs and the Connection with Metabolic and
Neurodegenerative Diseases.
AB - Increasing evidence points towards the existence of a bidirectional
interconnection between metabolic disease and neurodegenerative disorders, in
which inflammation is linking both together. Activation of members of the
peroxisome proliferator-activated receptor (PPAR) family has been shown to have
beneficial effects in these interlinked pathologies, and these improvements are
often attributed to anti-inflammatory effects of PPAR activation. In this review,
we summarize the role of PPARs in immune cell function, with a focus on
macrophages and T cells, and how this was shown to contribute to obesity
associated inflammation and insulin resistance, atherosclerosis, and
neurodegenerative disorders. We address gender differences as a potential
explanation in observed contradictory results, and we highlight PPAR-induced
metabolic changes as a potential mechanism of regulation of immune cell function
through these nuclear receptors. Together, immune cell-specific activation of
PPARs present a promising therapeutic approach to treat both metabolic and
neurodegenerative diseases.
PMID- 29799471
TI - Effect of Graphene Flakes Modified by Dispersion in Surfactant Solutions on the
Fluorescence Behaviour of Pyridoxine.
AB - The influence of graphene (G) dispersions in different types of surfactants
(anionic, non-ionic, and cationic) on the fluorescence of vitamin B6 (pyridoxine)
was studied. Scanning electron microscopy (SEM) was used to evaluate the quality
of the G dispersions via measuring their flake thickness. The effect of
surfactant type and concentration on the fluorescence intensity was analyzed, and
fluorescence quenching effects were found for all of the systems. These turn out
to be more intense with increasing both surfactant and G concentrations, albeit
they do not depend on the G/surfactant weight ratio. For the same G
concentration, the magnitude of the quenching follows the order: cationic > non
ionic >= anionic. The cationic surfactants, which strongly adsorb onto G via
electrostatic attraction, are the most effective dispersing agents and they
enable a stronger interaction with the zwitterionic form of the vitamin; the
dispersing power improves with increasing the surfactant chain length. The fit of
the experimental data to the Stern-Volmer equation suggests either a static or
dynamic quenching mechanism for the dispersions in non-ionic surfactants, while
those in ionic surfactants show a combined mechanism. The results that were
obtained herein have been compared to those that were reported earlier for the
quenching of another vitamin, riboflavin, to elucidate how the change in the
vitamin structure influences the interactions with G in the surfactant
dispersions.
PMID- 29799470
TI - Structural Basis for Mutations of Human Aquaporins Associated to Genetic
Diseases.
AB - Aquaporins (AQPs) are among the best structural-characterized membrane proteins,
fulfilling the role of allowing water flux across cellular membranes. Thus far,
34 single amino acid polymorphisms have been reported in HUMSAVAR for human
aquaporins as disease-related. They affect AQP2, AQP5 and AQP8, where they are
associated with nephrogenic diabetes insipidus, keratoderma and colorectal
cancer, respectively. For half of these mutations, although they are mostly
experimentally characterized in their dysfunctional phenotypes, a structural
characterization at a molecular level is still missing. In this work, we focus on
such mutations and discuss what the structural defects are that they appear to
cause. To achieve this aim, we built a 3D molecular model for each mutant and
explored the effect of the mutation on all of their structural features. Based on
these analyses, we could collect the structural defects of all the pathogenic
mutations (here or previously analysed) under few main categories, that we found
to nicely correlate with the experimental phenotypes reported for several of the
analysed mutants. Some of the structural analyses we present here provide a
rationale for previously experimentally observed phenotypes. Furthermore, our
comprehensive overview can be used as a reference frame for the interpretation,
on a structural basis, of defective phenotypes of other aquaporin pathogenic
mutants.
PMID- 29799473
TI - Antibacterial, Hydrophilic Effect and Mechanical Properties of Orthodontic Resin
Coated with UV-Responsive Photocatalyst.
AB - Photocatalysts have multiple applications in air purifiers, paints, and self
cleaning coatings for medical devices such as catheters, as well as in the
elimination of xenobiotics. In this study, a coating of a UV-responsive
photocatalyst, titanium dioxide (TiO2), was applied to an orthodontic resin. The
antibacterial activity on oral bacteria as well as hydrophilic properties and
mechanical properties of the TiO2-coated resin were investigated. ultraviolet A
(UVA) (352 nm) light was used as the light source. Antibacterial activity was
examined with or without irradiation. Measurements of early colonizers and
cariogenic bacterial count, i.e., colony forming units (CFU), were performed
after irradiation for different time durations. Hydrophilic properties were
evaluated by water contact angle measurements. While, for the assessment of
mechanical properties, flexural strength was measured by the three-point bending
test. In the coat(+)light(+) samples the CFU were markedly decreased compared to
the control samples. Water contact angle of the coat(+)light(+) samples was
decreased after irradiation. The flexural strength of the specimen irradiated for
long time showed a higher value than the required standard value, indicating that
the effect of irradiation was weak. We suggest that coating with the ultraviolet
responsive photocatalyst TiO2 is useful for the development of orthodontic resin
with antimicrobial properties.
PMID- 29799472
TI - Determination of Chlortetracycline Residues, Antimicrobial Activity and Presence
of Resistance Genes in Droppings of Experimentally Treated Broiler Chickens.
AB - Tetracyclines are important antimicrobial drugs for poultry farming that are
actively excreted via feces and urine. Droppings are one of the main components
in broiler bedding, which is commonly used as an organic fertilizer. Therefore,
bedding becomes an unintended carrier of antimicrobial residues into the
environment and may pose a highly significant threat to public health. For this
depletion study, 60 broiler chickens were treated with 20% chlortetracycline
(CTC) under therapeutic conditions. Concentrations of CTC and 4-epi-CTC were then
determined in their droppings. Additionally, this work also aimed to detect the
antimicrobial activity of these droppings and the phenotypic susceptibility to
tetracycline in E. coli isolates, as well as the presence of tet(A), tet(B), and
tet(G) resistance genes. CTC and 4-epi-CTC concentrations that were found ranged
from 179.5 to 665.8 ug/kg. Based on these data, the depletion time for chicken
droppings was calculated and set at 69 days. All samples presented antimicrobial
activity, and a resistance to tetracyclines was found in bacterial strains that
were isolated from these samples. Resistance genes tet(A) and tet(B) were also
found in these samples.
PMID- 29799474
TI - Effect of Processing on the In Vitro and In Vivo Protein Quality of Beans
(Phaseolus vulgaris and Vicia Faba).
AB - In this work, the protein quality of different bean types after undergoing the
preparatory methods of baking, cooking and extrusion was assayed. Protein quality
was assessed using a rodent bioassay to evaluate growth and protein digestibility
while amino acid composition was determined via HPLC. In vivo protein
digestibility was compared to an in vitro assessment method. The average protein
digestibility corrected amino acid score (PDCAAS) for processed beans was higher
than the digestible indispensable amino acid score (DIAAS) (61% vs. 45%).
Extrusion/cooking of Phaseolus varieties resulted in higher PDCAAS (66% on
average) and DIAAS values (61% on average) than baked (52% and 48%) while baked
faba beans had higher PDCAAS (66%) and DIAAS (61%) values. A significant
correlation was found between PDCAAS and in vitro PDCAAS (R2 = 0.7497). This
demonstrates which bean processing method will generate the optimal protein
quality, which has benefits for both industrial production and individual
domestic preparation.
PMID- 29799475
TI - Risk Perceptions of Cellphone Use While Driving: Results from a Delphi Survey.
AB - Cellphone use while driving has been recognized as a growing and important public
health issue by the World Health Organization and U.S. Center for Disease Control
and Prevention. Surveys typically collect data on overall texting while driving,
but do not differentiate between various forms of cellphone use. This study
sought to improve the survey indicators when monitoring cellphone use among young
drivers. Experts and young drivers were recruited to propose behavioral
indicators (cellphone use while driving behaviors) and consequential indicators
(safety consequences of cellphone use while driving) in 2016. Subsequently,
experts and young drivers selected the top indicators using the Delphi survey
method. We enrolled 22 experts with published articles on cellphone use while
driving nationally, and seven young drivers who were freshmen at a state
university. Sending a text or e-mail on a handheld phone was picked as the top
behavioral indicator by both groups. However, young drivers chose playing music
on a handheld phone as the second most important behavioral indicator, which was
overlooked by experts. Injury/death and collision were the top two consequential
indicators. Experts and young drivers identified the important survey indicators
to monitor cellphone use while driving.
PMID- 29799476
TI - Alanine Aminotransferase as a Monitoring Biomarker in Children with Nonalcoholic
Fatty Liver Disease: A Secondary Analysis Using TONIC Trial Data.
AB - BACKGROUND: Validated noninvasive biomarkers to assess treatment response in
pediatric nonalcoholic fatty liver disease (NAFLD) are lacking. We aimed to
validate alanine aminotransferase (ALT), a monitoring biomarker for change in
liver histology. METHODS: A retrospective analysis using data from the TONIC
trial. NAFLD histologic assessments were defined by: Fibrosis score, NAFLD
activity score (NAS), nonalcoholic steatohepatitis (NASH), and a combination of
NASH resolution and fibrosis (NASH + fibrosis). Analysis was performed using
classification and regression trees (CART) as well as logistic regression.
RESULTS: Mean ALT for the child over 96 weeks and percent change of ALT from
baseline to 96 weeks were significant predictors of progression of NAFLD for each
histologic assessment (p < 0.001 for fibrosis score, NASH, and NASH + fibrosis
and p < 0.05 for NAS). Mean ALT adjusted for age, sex and ethnicity was a better
predictor for change in NASH (81.8 (11.0) ROC (receiver operating characteristic
curve) mean (SD (Standard derivation))) and NASH + fibrosis (77.8 (11.2)),
compared to change in NAS (63 (17.7)) and fibrosis (58.6 (11.1)). CONCLUSION:
Mean ALT over 96 weeks is a reasonable proxy of histologic improvement of NASH
and NASH + fibrosis. These findings support ALT as a valid monitoring biomarker
of histologic change over time in children with NASH and fibrosis.
PMID- 29799478
TI - Real-Time Analysis of a Sensor's Data for Automated Decision Making in an IoT
Based Smart Home.
AB - IoT devices frequently generate large volumes of streaming data and in order to
take advantage of this data, their temporal patterns must be learned and
identified. Streaming data analysis has become popular after being successfully
used in many applications including forecasting electricity load, stock market
prices, weather conditions, etc. Artificial Neural Networks (ANNs) have been
successfully utilized in understanding the embedded interesting
patterns/behaviors in the data and forecasting the future values based on it. One
such pattern is modelled and learned in the present study to identify the
occurrence of a specific pattern in a Water Management System (WMS). This
prediction aids in making an automatic decision support system, to switch OFF a
hydraulic suction pump at the appropriate time. Three types of ANN, namely Multi
Input Multi-Output (MIMO), Multi-Input Single-Output (MISO), and Recurrent Neural
Network (RNN) have been compared, for multi-step-ahead forecasting, on a sensor's
streaming data. Experiments have shown that RNN has the best performance among
three models and based on its prediction, a system can be implemented to make the
best decision with 86% accuracy.
PMID- 29799477
TI - Deregulation of Negative Controls on TGF-beta1 Signaling in Tumor Progression.
AB - The multi-functional cytokine transforming growth factor-beta1 (TGF-beta1) has
growth inhibitory and anti-inflammatory roles during homeostasis and the early
stages of cancer. Aberrant TGF-beta activation in the late-stages of
tumorigenesis, however, promotes development of aggressive growth characteristics
and metastatic spread. Given the critical importance of this growth factor in
fibrotic and neoplastic disorders, the TGF-beta1 network is subject to extensive,
multi-level negative controls that impact receptor function, mothers against
decapentaplegic homolog 2/3 (SMAD2/3) activation, intracellular signal
bifurcation into canonical and non-canonical pathways and target gene promotor
engagement. Such negative regulators include phosphatase and tensin homologue
(PTEN), protein phosphatase magnesium 1A (PPM1A), Klotho, bone morphogenic
protein 7 (BMP7), SMAD7, Sloan-Kettering Institute proto-oncogene/ Ski related
novel gene (Ski/SnoN), and bone morphogenetic protein and activin membrane-bound
Inhibitor (BAMBI). The progression of certain cancers is accompanied by loss of
expression, overexpression, mislocalization, mutation or deletion of several
endogenous repressors of the TGF-beta1 cascade, further modulating signal
duration/intensity and phenotypic reprogramming. This review addresses how their
aberrant regulation contributes to cellular plasticity, tumor
progression/metastasis and reversal of cell cycle arrest and discusses the
unexplored therapeutic value of restoring the expression and/or function of these
factors as a novel approach to cancer treatment.
PMID- 29799479
TI - A Phase II Study of Pelareorep (REOLYSIN(r)) in Combination with Gemcitabine for
Patients with Advanced Pancreatic Adenocarcinoma.
AB - Pancreatic ductal adenocarcinoma (PDAC) has a poor prognosis, with 1 and 5-year
survival rates of ~18% and 7% respectively. FOLFIRINOX or gemcitabine in
combination with nab-paclitaxel are standard treatment options for metastatic
disease. However, both regimens are more toxic than gemcitabine alone. Pelareorep
(REOLYSIN(r)), a proprietary isolate of reovirus Type 3 Dearing, has shown
antitumor activity in clinical and preclinical models. In addition to direct
cytotoxic effects, pelareorep can trigger antitumor immune responses. Due to the
high frequency of RAS mutations in PDAC, we hypothesized that pelareorep would
promote selective reovirus replication in pancreatic tumors and enhance the
anticancer activity of gemcitabine. Chemotherapy-naive patients with advanced
PDAC were eligible for the study. The primary objective was Clinical Benefit Rate
(complete response (CR) + partial response (PR) + stable disease (SD) >= 12
weeks) and secondary objectives include overall survival (OS), toxicity, and
pharmacodynamics (PD) analysis. The study enrolled 34 patients; results included
one partial response, 23 stable disease, and 5 progressive disease. The median OS
was 10.2 months, with a 1- and 2-year survival rate of 45% and 24%, respectively.
The treatment was well tolerated with manageable nonhematological toxicities. PD
analysis revealed reovirus replication within pancreatic tumor and associated
apoptosis. Upregulation of immune checkpoint marker PD-L1 suggests future
consideration of combining oncolytic virus therapy with anti-PD-L1 inhibitors. We
conclude that pelareorep complements single agent gemcitabine in PDAC.
PMID- 29799481
TI - alpha-Chaconine and alpha-Solanine Inhibit RL95-2 Endometrium Cancer Cell
Proliferation by Reducing Expression of Akt (Ser473) and ERalpha (Ser167).
AB - The aim of this study is to investigate the potential inhibitory effect of alpha
chaconine and alpha-solanine on RL95-2 estrogen receptor (ER) positive human
endometrial cancer cell line and to identify the effect of these glycoalkaloids
on the Akt signaling and ERalpha. The cell proliferation profiles and the
cytotoxicity studies were performed by Real-Time Cell Analyzer (xCELLigence) and
compared with Sulphorhodamine B (SRB) assay. The effects of alpha-chaconine (2.5,
5, 10 uM), alpha-solanine (20, 30, 50 uM), API-1 (25 uM) and MPP (20 uM) effects
on Akt (Ser473) and ERalpha (Ser167) expressions evaluated by Western blot and
qPCR method. Their IC50 values were as alpha-chaconine (4.72 uM) < MPP (20.01 uM)
< alpha-solanine (26.27 uM) < API-1 (56.67 uM). 10 MUM alpha-chaconine and 20, 30
and 50 MUM alpha-solanine were effective in decreasing p-Akt(Ser473)/Akt ratio
compared to positive control API-1. When the p-ERalpha/ERalpha ratios were
evaluated, it was observed that alpha-chaconine (2.5, 5, 10 MUM) and alpha
solanine (50 MUM) were as effective as the specific ERalpha inhibitor MPP in
reducing the ratio of p-ERalpha/ERalpha compared to the control group. In
conclusion, it has been shown that the proliferation of alpha-chaconine and alpha
solanine in human endometrial carcinoma cells reduces the expression and activity
of the Akt and ERalpha signaling pathway.
PMID- 29799482
TI - The Auxiliary Role of the Amidase Domain in Cell Wall Binding and Exolytic
Activity of Staphylococcal Phage Endolysins.
AB - In response to increasing concern over antibiotic-resistant Staphylococcus
aureus, the development of novel antimicrobials has been called for, with
bacteriophage endolysins having received considerable attention as alternatives
to antibiotics. Most staphylococcal phage endolysins have a modular structure
consisting of an N-terminal cysteine, histidine-dependent
amidohydrolases/peptidase domain (CHAP), a central amidase domain, and a C
terminal cell wall binding domain (CBD). Despite extensive studies using
truncated staphylococcal endolysins, the precise function of the amidase domain
has not been determined. Here, a functional analysis of each domain of two S.
aureus phage endolysins (LysSA12 and LysSA97) revealed that the CHAP domain
conferred the main catalytic activity, while the central amidase domain showed no
enzymatic activity in degrading the intact S. aureus cell wall. However, the
amidase-lacking endolysins had reduced hydrolytic activity compared to the full
length endolysins. Comparison of the binding affinities of fusion proteins
consisting of the green fluorescent protein (GFP) with CBD and GFP with the
amidase domain and CBD revealed that the major function of the amidase domain was
to enhance the binding affinity of CBD, resulting in higher lytic activity of
endolysin. These results suggest an auxiliary binding role of the amidase domain
of staphylococcal endolysins, which can be useful information for designing
effective antimicrobial and diagnostic agents against S. aureus.
PMID- 29799483
TI - Whole Cells as Biocatalysts in Organic Transformations.
AB - Currently, the power and usefulness of biocatalysis in organic synthesis is
undeniable, mainly due to the very high enantiomeric excess reached using
enzymes, in an attempt to emulate natural processes. However, the use of isolated
enzymes has some significant drawbacks, the most important of which is cost. The
use of whole cells has emerged as a useful strategy with several advantages over
isolated enzymes; for this reason, modern research in this field is increasing,
and various reports have been published recently. This review surveys the most
recent developments in the enantioselective reduction of carbon-carbon double
bonds and prochiral ketones and the oxidation of prochiral sulfides using whole
cells as biocatalytic systems.
PMID- 29799480
TI - Human-Induced Pluripotent Stem Cell Technology and Cardiomyocyte Generation:
Progress and Clinical Applications.
AB - Human-induced pluripotent stem cells (hiPSCs) are reprogrammed cells that have
hallmarks similar to embryonic stem cells including the capacity of self-renewal
and differentiation into cardiac myocytes. The improvements in reprogramming and
differentiating methods achieved in the past 10 years widened the use of hiPSCs,
especially in cardiac research. hiPSC-derived cardiac myocytes (CMs) recapitulate
phenotypic differences caused by genetic variations, making them attractive human
disease models and useful tools for drug discovery and toxicology testing. In
addition, hiPSCs can be used as sources of cells for cardiac regeneration in
animal models. Here, we review the advances in the genetic and epigenetic control
of cardiomyogenesis that underlies the significant improvement of the induced
reprogramming of somatic cells to CMs; the methods used to improve scalability of
throughput assays for functional screening and drug testing in vitro; the
phenotypic characteristics of hiPSCs-derived CMs and their ability to rescue
injured CMs through paracrine effects; we also cover the novel approaches in
tissue engineering for hiPSC-derived cardiac tissue generation, and finally,
their immunological features and the potential use in biomedical applications.
PMID- 29799484
TI - Reduced Recovery of Depression in Female T Allele Carriers of TNF-RII rs1061622
at Earlier Stage after Wenchuan Earthquake.
AB - OBJECTIVE: The aim of current study was to explore longitudinally the prevalence,
severity, potential factors, and predictors of depression among Chinese Han
adolescent survivors with different genotypes of tumor necrosis factor receptor
II (TNF-RII) rs1061622 after the 2008 Wenchuan earthquake. METHOD: TNF-RII
rs1061622 variants were examined by polymerase chain reaction-restriction
fragment length polymorphism and verified by DNA sequencing. Depression symptoms
were assessed by Beck Depression Inventory (BDI) among 439 high school students
at 6, 12, and 18 months after the earthquake. RESULTS: No significant differences
were observed in depression prevalence and BDI scores between the TT homozygotes
and the G allele carriers in both the male and female subjects. However, the
female TT homozygotes had a higher depression prevalence than the male TT
homozygotes at 6, 12, and 18 months, whereas the female G allele carriers had a
higher depression prevalence than the male G allele carriers only at 6 and 12
months after the earthquake. Moreover, BDI scores declined in the male subjects
with both genotypes and only in the female G allele carriers at 12 months when
compared with those at 6 months. Furthermore, the predictors of depression
severity or potential factors of depression prevalence were different between the
G allele carriers and the TT homozygotes at different times after the earthquake.
CONCLUSION: It is concluded that the association of TNF-RII rs1061622 with
depression is longitudinally different in Chinese Han adolescents after the 2008
Wenchuan earthquake. The T allele may be associated with reduced recovery of
depression in female adolescents in the earlier stage of depression
rehabilitation.
PMID- 29799488
TI - Monolithic Solid Based on Single-Walled Carbon Nanohorns: Preparation,
Characterization, and Practical Evaluation as a Sorbent.
AB - A monolithic solid based solely on single walled carbon nanohorns (SWNHs) was
prepared without the need of radical initiators or gelators. The procedure
involves the preparation of a wet jelly-like system of pristine SWNHs followed by
slow drying (48 h) at 25 degrees C. As a result, a robust and stable porous
network was formed due to the interaction between SWNHs not only via pi-pi and
van der Waals interactions, but also via the formation of carbon bonds similar to
those observed within dahlia aggregates. Pristine SWNHs and the SWNH monolith
were characterized by several techniques, including scanning electron microscopy
(SEM), transmission electron microscopy (TEM), confocal laser scanning
microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), and
nitrogen intrusion porosimetry. Taking into account the efficiency of carbon
nanoparticles in sorption processes, the potential applicability of the SWNH
monolith in this research field was explored using toluene; m-, p-, and o-xylene;
ethylbenzene; and styrene, as target analytes. Detection limits were 0.01 ug.L-1
in all cases and the inter-day precision was in the interval 7.4-15.7%. The
sorbent performance of the nanostructured monolithic solid was evaluated by
extracting the selected compounds from different water samples with recovery
values between 81.5% and 116.4%.
PMID- 29799487
TI - Inpactor, Integrated and Parallel Analyzer and Classifier of LTR Retrotransposons
and Its Application for Pineapple LTR Retrotransposons Diversity and Dynamics.
AB - One particular class of Transposable Elements (TEs), called Long Terminal Repeats
(LTRs), retrotransposons, comprises the most abundant mobile elements in plant
genomes. Their copy number can vary from several hundreds to up to a few million
copies per genome, deeply affecting genome organization and function. The
detailed classification of LTR retrotransposons is an essential step to precisely
understand their effect at the genome level, but remains challenging in large
sized genomes, requiring the use of optimized bioinformatics tools that can take
advantage of supercomputers. Here, we propose a new tool: Inpactor, a parallel
and scalable pipeline designed to classify LTR retrotransposons, to identify
autonomous and non-autonomous elements, to perform RT-based phylogenetic trees
and to analyze their insertion times using High Performance Computing (HPC)
techniques. Inpactor was tested on the classification and annotation of LTR
retrotransposons in pineapple, a recently-sequenced genome. The pineapple genome
assembly comprises 44% of transposable elements, of which 23% were classified as
LTR retrotransposons. Exceptionally, 16.4% of the pineapple genome assembly
corresponded to only one lineage of the Gypsy superfamily: Del, suggesting that
this particular lineage has undergone a significant increase in its copy numbers.
As demonstrated for the pineapple genome, Inpactor provides comprehensive data of
LTR retrotransposons' classification and dynamics, allowing a fine understanding
of their contribution to genome structure and evolution. Inpactor is available at
https://github.com/simonorozcoarias/Inpactor.
PMID- 29799490
TI - HiMeter: Telling You the Height Rather than the Altitude.
AB - The altitude of a moving user is important context information for mobile
technologies and applications. However, with the increasing pervasiveness of
smartphones and abundant mobile applications, developers and users have gradually
discovered that the height is more useful than altitude in many situations. The
height is often a relative value, which is the vertical distance to the ground
rather than the vertical distance to sea level, and we believe that it is useful
in many applications, such as localization/navigation, sport/health and
tourism/travel. In this paper, we first carried out a nation-wide online survey
to confirm the desirability for the height information in mobile applications,
and the result is positive. Then, we proposed HiMeter, an effective and accurate
approach to calculating the height of the smartphone. HiMeter makes use of a low
power barometer on the smartphone and does not require GPS or back-server
support. We concentrate on the vertical moving pattern of the user and designed
several novel techniques, resulting in HiMeter not needing any reference points,
and the complex process of calculating the absolute altitude can be avoided. The
field studies show that HiMeter can achieve an accuracy of within 5 m in 90% of
cases indoors and an accuracy of 10 m in 83% of cases outdoors. Compared to the
existing works, HiMeter is more accurate and practical and is more suitable for
usage in many mobile applications.
PMID- 29799485
TI - Hepatitis E in High-Income Countries: What Do We Know? And What Are the Knowledge
Gaps?
AB - Hepatitis E virus (HEV) is a positive-strand RNA virus transmitted by the fecal
oral route. HEV genotypes 1 and 2 infect only humans and cause mainly waterborne
outbreaks. HEV genotypes 3 and 4 are widely represented in the animal kingdom,
and are mainly transmitted as a zoonosis. For the past 20 years, HEV infection
has been considered an imported disease in developed countries, but now there is
evidence that HEV is an underrecognized pathogen in high-income countries, and
that the incidence of confirmed cases has been steadily increasing over the last
decade. In this review, we describe current knowledge about the molecular biology
of HEV, its clinical features, its main routes of transmission, and possible
therapeutic strategies in developed countries.
PMID- 29799489
TI - Nanomotors for Nucleic Acid, Proteins, Pollutants and Cells Detection.
AB - The development of nanomachines able to operate at the nanoscale, performing
complex tasks such as drug delivery, precision surgery, or cell detection,
constitutes one of the most important challenges in nanotechnology. The
principles that rule the nanoscale are completely different from the ones which
govern the macroscopic world and, therefore, the collaboration of scientists with
expertise in different fields is required for the effective fabrication of these
tiny machines. In this review, the most recent advances carried out in the
synthesis and application of nanomachines for diagnosis applications will be
presented in order to provide a picture of their potential in the detection of
important biomolecules or pathogens in a selective and controlled manner.
PMID- 29799491
TI - Sex-Specific Transcriptome Differences in Substantia Nigra Tissue: A Meta
Analysis of Parkinson's Disease Data.
AB - Parkinson's disease (PD) is one of the most common progressive neurodegenerative
diseases. Clinical and epidemiological studies indicate that sex differences, as
well as genetic components and ageing, can influence the prevalence, age at onset
and symptomatology of PD. This study undertook a systematic meta-analysis of
substantia nigra microarray data using the Transcriptome Mapper (TRAM) software
to integrate and normalize a total of 10 suitable datasets from multiple sources.
Four different analyses were performed according to default parameters, to better
define the segments differentially expressed between PD patients and healthy
controls, when comparing men and women data sets. The results suggest a possible
regulation of specific sex-biased systems in PD susceptibility. TRAM software
allowed us to highlight the different activation of some genomic regions and loci
involved in molecular pathways related to neurodegeneration and neuroinflammatory
mechanisms.
PMID- 29799492
TI - Depsidomycins B and C: New Cyclic Peptides from a Ginseng Farm Soil-Derived
Actinomycete.
AB - LC/MS-based chemical profiling of a ginseng farm soil-derived actinomycete
strain, Streptomyces sp. BYK1371, enabled the discovery of two new cyclic
heptapeptides, depsidomycins B and C (1 and 2), each containing two piperazic
acid units and a formyl group at their N-terminus. The structures of 1 and 2 were
elucidated by a combination of spectroscopic and chemical analyses. These new
compounds were determined to possess d-leucine, d-threonine, d-valine, and S
piperazic acid based on the advanced Marfey's method and a GITC (2,3,4,6-tetra-O
acetyl-beta-d-glucopyranosyl isothiocyanate) derivatization of their
hydrolysates, followed by LC/MS analysis. Depsidomycins B and C displayed
significant antimetastatic activities against metastatic breast cancer cells (MDA
MB-231).
PMID- 29799493
TI - Nutrients Composition in Fit Snacks Made from Ostrich, Beef and Chicken Dried
Meat.
AB - The aim of the study was to compare three types of meat snacks made from ostrich,
beef, and chicken meat in relation to their nutrients content including fat,
fatty acids, heme iron, and peptides, like anserine and carnosine, from which
human health may potentially benefit. Dry meat samples were produced, from one
type of muscle, obtained from ostrich (m.ambiens), beef (m. semimembranosus), and
broiler chicken meat (m. pectoralis major). The composition of dried ostrich,
beef, and chicken meat, with and without spices was compared. We show that meat
snacks made from ostrich, beef, and chicken meat were characterized by high
concentration of nutrients including proteins, minerals (heme iron especially in
ostrich, than in beef), biologically active peptides (carnosine-in beef, anserine
in ostrich then in chicken meat). The, beneficial to human health, n-3 fatty
acids levels differed significantly between species. Moreover, ostrich jerky
contained four times less fat as compared to beef and half of that in chicken. In
conclusion we can say that dried ostrich, beef, and chicken meat could be a good
source of nutritional components.
PMID- 29799495
TI - Large Scale Triboelectric Nanogenerator and Self-Powered Flexible Sensor for
Human Sleep Monitoring.
AB - The triboelectric nanogenerator (TENG) and its application as a sensor is a
popular research subject. There is demand for self-powered, flexible sensors with
high sensitivity and high power-output for the next generation of consumer
electronics. In this study, a 300 mm * 300 mm carbon nanotube (CNT)-doped porous
PDMS film was successfully fabricated wherein the CNT influenced the micropore
structure. A self-powered TENG tactile sensor was established according to
triboelectric theory. The CNT-doped porous TENG showed a voltage output seven
times higher than undoped porous TENG and 16 times higher than TENG with pure
PDMS, respectively. The TENG successfully acquired human motion signals, breath
signals, and heartbeat signals during a sleep monitoring experiment. The results
presented here may provide an effective approach for fabricating large-scale and
low-cost flexible TENG sensors.
PMID- 29799494
TI - Side Effects and Interactions of the Xanthine Oxidase Inhibitor Febuxostat.
AB - The paper addresses the safety of febuxostat and summarizes reports on side
effects and interactions of febuxostat published by the cut-off date (last day of
literature search) of 20 March 2018. Publications on side effects and the
interactions of febuxostat were considered. Information concerning the occurrence
of side effects and interactions in association with the treatment with
febuxostat was collected and summarized in the review. The incidence of severe
side effects was much less frequent than mild side effects (1.2-3.8% to 20.1
38.7%). The rate and range of febuxostat side effects are low at doses of up to
120 mg and only increase with a daily dose of over 120 mg. The publications
reveal no age-dependent increase in side effects for febuxostat. In patients with
impaired renal function, no increase in adverse events is described with a dose
of up to 120 mg of febuxostat per day. Patients with impaired liver function had
no elevated risk for severe side effects. A known allopurinol intolerance
increases the risk of skin reactions during treatment with febuxostat by a factor
of 3.6. No correlation between treatment with febuxostat and agranulocytosis has
been confirmed. Possible interactions with very few medications (principally
azathioprine) are known for febuxostat. Febuxostat is well tolerated and a modern
and safe alternative to allopurinol therapy.
PMID- 29799496
TI - Dicyanamide Bridged Cu(II)36-Metallacrown-6 Complex with 1,4,7-Triisopropyl-1,4,7
Triazacyclononane and Binding Properties with DNA.
AB - A novel 36-metallacrown-6 complex [CuL(N(CN)2)(PF6)]6?0.5H2O 1 was achieved using
a tridendate ligand, 1,4,7-triisopropyl-1,4,7-triazacyclononane (L), and a
flexible ligand, dicyanamide in MeOH. The MU1,5 bridging models of the
dicyanamide ligand linked the macrocycle to form in a specific size with the
chair conformation. The anion was important to form this 36-metallacrown-6
complex, as change was obtained with the larger anion BPh4-, binuclear copper
compound 2. The magnetic property indicates that slightly ferromagnetic
interactions resulted from a superexchange mechanism. DNA binding properties were
also studied. UV and fluorescence spectra showed that complex 1 could bind with
DNA.
PMID- 29799497
TI - Theoretical Investigations on Mechanisms and Pathways of C2H5O2 with BrO Reaction
in the Atmosphere.
AB - In this work, feasible mechanisms and pathways of the C2H5O2 + BrO reaction in
the atmosphere were investigated using quantum chemistry methods, i.e.,
QCISD(T)/6-311++G(2df,2p)//B3LYP/6-311++G(2df,2p) levels of theory. Our result
indicates that the title reaction occurs on both the singlet and triplet
potential energy surfaces (PESs). Kinetically, singlet C2H5O3Br and C2H5O2BrO
were dominant products under the atmospheric conditions below 300 K. CH3CHO2 +
HOBr, CH3CHO + HOBrO, and CH3CHO + HBrO2 are feasible to a certain extent
thermodynamically. Because of high energy barriers, all products formed on the
triplet PES are negligible. Moreover, time-dependent density functional theory
(TDDFT) calculation implies that C2H5O3Br and C2H5O2BrO will photolyze under the
sunlight.
PMID- 29799498
TI - Au and AuCu Nanoparticles Supported on SBA-15 Ordered Mesoporous Titania-Silica
as Catalysts for Methylene Blue Photodegradation.
AB - The photocatalytic degradation of methylene blue (MB) dye has been performed
under UV irradiation in aqueous suspension, employing photocatalysts based on Au
(1.5 wt %) and AuCu (Au/Cu = 1, 2.0 wt %), and supported on SBA-15-ordered
mesoporous silica, with and without titania (Si/Ti = 3), in order to evaluate the
versatility of this mesoporous support in this type of reaction of great impact
from the environmental point of view. Samples were characterized by X-ray
diffraction (XRD), transmission electron microscopy (TEM), N2 adsorption
desorption at -196 degrees C, and X-ray photoelectron spectroscopy (XPS), so as
to study their structural, optical, and chemical properties. All the prepared
catalysts were found to be active in the test reaction. The bimetallic AuCu-based
catalysts attained very high MB degradation values, in particular AuCu/SBA-15
titania-silica sample reached 100% of dye oxidation after the monitored reaction
period (120 min).
PMID- 29799486
TI - Natural Products for Drug Discovery in the 21st Century: Innovations for Novel
Drug Discovery.
AB - The therapeutic properties of plants have been recognised since time immemorial.
Many pathological conditions have been treated using plant-derived medicines.
These medicines are used as concoctions or concentrated plant extracts without
isolation of active compounds. Modern medicine however, requires the isolation
and purification of one or two active compounds. There are however a lot of
global health challenges with diseases such as cancer, degenerative diseases,
HIV/AIDS and diabetes, of which modern medicine is struggling to provide cures.
Many times the isolation of "active compound" has made the compound ineffective.
Drug discovery is a multidimensional problem requiring several parameters of both
natural and synthetic compounds such as safety, pharmacokinetics and efficacy to
be evaluated during drug candidate selection. The advent of latest technologies
that enhance drug design hypotheses such as Artificial Intelligence, the use of
'organ-on chip' and microfluidics technologies, means that automation has become
part of drug discovery. This has resulted in increased speed in drug discovery
and evaluation of the safety, pharmacokinetics and efficacy of candidate
compounds whilst allowing novel ways of drug design and synthesis based on
natural compounds. Recent advances in analytical and computational techniques
have opened new avenues to process complex natural products and to use their
structures to derive new and innovative drugs. Indeed, we are in the era of
computational molecular design, as applied to natural products. Predictive
computational softwares have contributed to the discovery of molecular targets of
natural products and their derivatives. In future the use of quantum computing,
computational softwares and databases in modelling molecular interactions and
predicting features and parameters needed for drug development, such as
pharmacokinetic and pharmacodynamics, will result in few false positive leads in
drug development. This review discusses plant-based natural product drug
discovery and how innovative technologies play a role in next-generation drug
discovery.
PMID- 29799500
TI - Feasibility of Antimicrobial Stewardship (AMS) in Critical Care Settings: A
Multidisciplinary Approach Strategy.
AB - Antimicrobial resistance is escalating and triggers clinical decision-making
challenges when treating infections in patients admitted to intensive care units
(ICU). Antimicrobial stewardship (AMS) may help combat this problem, but it can
be difficult to implement in critical care settings. The implementation of
multidisciplinary AMS in ICUs could be more challenging than what is currently
suggested in the literature. Our main goal was to analyze the reduction in
duration of treatment (DOT) for the most commonly used antibacterial and
antifungal agents during the first six months of 2014, and during the same period
two years later (2016). A total of 426 and 424 patient encounters, respectively,
were documented and collected from the intensive care unit's electronic patient
record system. Daily multidisciplinary ward rounds were conducted for
approximately 30-40 min, with the goal of optimizing antimicrobial therapy in
order to analyze the feasibility of implementing AMS. The only antimicrobial
agent which showed a significant reduction in the number of prescriptions and in
the duration of treatment during the second audit was vancomycin, while linezolid
showed an increase in the number of prescriptions with no significant
prolongation of the duration of treatment. A trend of reduction was also seen in
the DOT for co-amoxiclavulanate and in the number of prescriptions of
anidulafungin without any corresponding increases being observed for other broad
spectrum anti-infective agents (p-values of 0.07 and 0.05, respectively).
PMID- 29799499
TI - KLF10 as a Tumor Suppressor Gene and Its TGF-beta Signaling.
AB - Kruppel-like factor 10 (KLF10), originally named TGF-beta (Transforming growth
factor beta) inducible early gene 1 (TIEG1), is a DNA-binding transcriptional
regulator containing a triple C2H2 zinc finger domain. By binding to Sp1
(specificity protein 1) sites on the DNA and interactions with other regulatory
transcription factors, KLF10 encourages and suppresses the expression of multiple
genes in many cell types. Many studies have investigated its signaling cascade,
but other than the TGF-beta/Smad signaling pathway, these are still not clear.
KLF10 plays a role in proliferation, differentiation as well as apoptosis, just
like other members of the SP (specificity proteins)/KLF (Kruppel-like Factors).
Recently, several studies reported that KLF10 KO (Knock out) is associated with
defects in cell and organs such as osteopenia, abnormal tendon or cardiac
hypertrophy. Since KLF10 was first discovered, several studies have defined its
role in cancer as a tumor suppressor. KLF10 demonstrate anti-proliferative
effects and induce apoptosis in various carcinoma cells including pancreatic
cancer, leukemia, and osteoporosis. Collectively, these data indicate that KLF10
plays a significant role in various biological processes and diseases, but its
role in cancer is still unclear. Therefore, this review was conducted to describe
and discuss the role and function of KLF10 in diseases, including cancer, with a
special emphasis on its signaling with TGF-beta.
PMID- 29799501
TI - Residential Ambient Traffic in Relation to Childhood Pneumonia among Urban
Children in Shandong, China: A Cross-Sectional Study.
AB - Pneumonia is a leading cause of childhood death. Few studies have investigated
associations between residential ambient environmental exposures and pneumonia.
In January-April 2015, we conducted a cross-sectional study in Shandong Province
(China) and collected 9597 (response rate: 78.7%) parent-reported questionnaires
for 3-6-year-old children from 69 urban kindergartens. We then selected 5640
children who had never changed residence since birth and examined associations
between residential ambient traffic-related facilities and childhood pneumonia
considering residential characteristics. Prevalence of doctor-diagnosed pneumonia
during lifetime-ever was 25.9%. In the multivariate logistic regression analyses,
residence close to a main traffic road (adjusted odds ratio, 95% confidence
interval: 1.23, 1.08-1.40) and automobile 4S shop (1.76, 1.16-2.67) within 200 m,
residence close to a filling station within 100 m (1.71, 1.10-2.65; reference:
>200 m), as well as having a ground car park in the residential community (1.24,
1.08-1.42) were significantly associated with childhood pneumonia. The cumulative
numbers of these traffic-related facilities had a positive dose-response
relationship with the increased odds of childhood pneumonia. These associations
and dose-response relationships were stronger among boys and among children with
worse bedroom ventilation status during the night. Associations of residence
close to the main traffic road and ground car parks in the residential community
with childhood pneumonia were stronger among children living in the 1st-3rd
floors than those living on higher floors. Similar results were found in the two
level (kindergarten-child) logistic regression analyses. Our findings indicate
that living near traffic-related facilities is likely a risk factor for childhood
pneumonia among urban children. The child's sex, bedroom floor level, and bedroom
ventilation could modify associations of ambient traffic-related facilities with
childhood pneumonia.
PMID- 29799502
TI - An 8-Week Ketogenic Low Carbohydrate, High Fat Diet Enhanced Exhaustive Exercise
Capacity in Mice.
AB - Current fueling tactics for endurance exercise encourage athletes to ingest a
high carbohydrate diet. However, athletes are not generally encouraged to use
fat, the largest energy reserve in the human body. A low carbohydrate, high fat
ketogenic diet (KD) is a nutritional approach ensuring that the body utilizes
lipids. Although KD has been associated with weight-loss, enhanced fat
utilization in muscle and other beneficial effects, there is currently no clear
proof whether it could lead to performance advantage. To evaluate the effects of
KD on endurance exercise capacity, we studied the performance of mice subjected
to a running model after consuming KD for eight weeks. Weight dropped
dramatically in KD-feeding mice, even though they ate more calories. KD-feeding
mice showed enhanced running time without aggravated muscle injury. Blood
biochemistry and correlation analysis indicated the potential mechanism is likely
to be a keto-adaptation enhanced capacity to transport and metabolize fat. KD
also showed a potential preventive effect on organ injury caused by acute
exercise, although KD failed to exert protection from muscle injury. Ultimately,
KD may contribute to prolonged exercise capacity.
PMID- 29799504
TI - Sensors and Functionalities of Non-Invasive Wrist-Wearable Devices: A Review.
AB - Wearable devices have recently received considerable interest due to their great
promise for a plethora of applications. Increased research efforts are oriented
towards a non-invasive monitoring of human health as well as activity parameters.
A wide range of wearable sensors are being developed for real-time non-invasive
monitoring. This paper provides a comprehensive review of sensors used in wrist
wearable devices, methods used for the visualization of parameters measured as
well as methods used for intelligent analysis of data obtained from wrist
wearable devices. In line with this, the main features of commercial wrist
wearable devices are presented. As a result of this review, a taxonomy of
sensors, functionalities, and methods used in non-invasive wrist-wearable devices
was assembled.
PMID- 29799505
TI - Simulation of Graphene Field-Effect Transistor Biosensors for Bacterial
Detection.
AB - Foodborne illness is correlated with the existence of infectious pathogens such
as bacteria in food and drinking water. Probe-modified graphene field effect
transistors (G-FETs) have been shown to be suitable for Escherichia coli (E.
coli) detection. Here, the G-FETs for bacterial detection are modeled and
simulated with COMSOL Multiphysics to understand the operation of the biosensors.
The motion of E. coli cells in electrolyte and the surface charge of graphene
induced by E. coli are systematically investigated. The comparison between the
simulation and experimental data proves the sensing probe size to be a key
parameter affecting the surface charge of graphene induced by bacteria. Finally,
the relationship among the change in source-drain current (?Ids), graphene
bacteria distance and bacterial concentration is established. The shorter
graphene-bacteria distance and higher bacterial concentration give rise to better
sensing performance (larger ?Ids) of the G-FETs biosensors. The simulation here
could serve as a guideline for the design and optimization of G-FET biosensors
for various applications.
PMID- 29799503
TI - Vertical Transmission of Listeria monocytogenes: Probing the Balance between
Protection from Pathogens and Fetal Tolerance.
AB - Protection of the developing fetus from pathogens is one of the many critical
roles of the placenta. Listeria monocytogenes is one of a select number of
pathogens that can cross the placental barrier and cause significant harm to the
fetus, leading to spontaneous abortion, stillbirth, preterm labor, and
disseminated neonate infection despite antibiotic treatment. Such severe outcomes
serve to highlight the importance of understanding how L. monocytogenes mediates
infiltration of the placental barrier. Here, we review what is currently known
regarding vertical transmission of L. monocytogenes as a result of cell culture
and animal models of infection. In vitro cell culture and organ models have been
useful for the identification of L. monocytogenes virulence factors that
contribute to placental invasion. Examples include members of the Internalin
family of bacterial surface proteins such as Interalin (Inl)A, InlB, and InlP
that promote invasion of cells at the maternal-fetal interface. A number of
animal models have been used to interrogate L. monocytogenes vertical
transmission, including mice, guinea pigs, gerbils, and non-human primates; each
of these models has advantages while still not providing a comprehensive
understanding of L. monocytogenes invasion of the human placenta and/or fetus.
These models do, however, allow for the molecular investigation of the balance
between fetal tolerance and immune protection from L. monocytogenes during
pregnancy.
PMID- 29799506
TI - An Electron-Transporting Thiazole-Based Polymer Synthesized Through Direct
(Hetero)Arylation Polymerization.
AB - In this work, a new n-type polymer based on a thiazole-diketopyrrolopyrrole unit
has been synthesized through direct (hetero)arylation polycondensation. The molar
mass has been optimized by systematic variation of the the monomer concentration.
Optical and electrochemical properties have been studied. They clearly suggested
that this polymer possess a high electron affinity together with a very
interesting absorption band, making it a good non-fullerene acceptor candidate.
As a consequence, its charge transport and photovoltaic properties in a blend
with the usual P3HT electron-donating polymer have been investigated.
PMID- 29799507
TI - Removal of Zearalenone and Zearalenols from Aqueous Solutions Using Insoluble
Beta-Cyclodextrin Bead Polymer.
AB - Zearalenone (ZEN) is a Fusarium-derived mycotoxin, exerting xenoestrogenic
effects in animals and humans. ZEN and its derivatives commonly occur in cereals
and cereal-based products. During the biotransformation of ZEN, its reduced
metabolites, alpha-zearalenol (alpha-ZEL) and beta-zearalenol (beta-ZEL), are
formed; alpha-ZEL is even more toxic than the parent compound ZEN. Since previous
studies demonstrated that ZEN and ZELs form stable complexes with beta
cyclodextrins, it is reasonable to hypothesize that cyclodextrin polymers may be
suitable for mycotoxin removal from aqueous solutions. In this study, the
extraction of ZEN and ZELs from water, buffers, and corn beer was investigated,
employing insoluble beta-cyclodextrin bead polymer (BBP) as a mycotoxin-binder.
Our results demonstrate that even relatively small amounts of BBP can strongly
decrease the mycotoxin content of aqueous solutions (including beer). After the
first application of BBP for mycotoxin binding, BBP could be completely
reactivated through the elimination of ZEN from the cyclodextrin cavities by
washing with a 50 v/v% ethanol-water mixture. Therefore, our study suggests that
insoluble cyclodextrin polymers may be suitable tools in the future to deplete
mycotoxins from contaminated drinks.
PMID- 29799508
TI - Myc, Oncogenic Protein Translation, and the Role of Polyamines.
AB - Deregulated protein synthesis is a common feature of cancer cells, with many
oncogenic signaling pathways directly augmenting protein translation to support
the biomass needs of proliferating tissues. MYC's ability to drive oncogenesis is
a consequence of its essential role as a governor linking cell cycle entry with
the requisite increase in protein synthetic capacity, among other biomass needs.
To date, direct pharmacologic inhibition of MYC has proven difficult, but
targeting oncogenic signaling modules downstream of MYC, such as the protein
synthetic machinery, may provide a viable therapeutic strategy. Polyamines are
essential cations found in nearly all living organisms that have both direct and
indirect roles in the control of protein synthesis. Polyamine metabolism is
coordinately regulated by MYC to increase polyamines in proliferative tissues,
and this is further augmented in the many cancer cells harboring hyperactivated
MYC. In this review, we discuss MYC-driven regulation of polyamines and protein
synthetic capacity as a key function of its oncogenic output, and how this
dependency may be perturbed through direct pharmacologic targeting of components
of the protein synthetic machinery, such as the polyamines themselves, the
eukaryotic translation initiation factor 4F (eIF4F) complex, and the eukaryotic
translation initiation factor 5A (eIF5A).
PMID- 29799509
TI - Enzymatic Synthesis of a Novel Pterostilbene alpha-Glucoside by the Combination
of Cyclodextrin Glucanotransferase and Amyloglucosidase.
AB - The synthesis of a novel alpha-glucosylated derivative of pterostilbene was
performed by a transglycosylation reaction using starch as glucosyl donor,
catalyzed by cyclodextrin glucanotransferase (CGTase) from Thermoanaerobacter sp.
The reaction was carried out in a buffer containing 20% (v/v) DMSO to enhance the
solubility of pterostilbene. Due to the formation of several polyglucosylated
products with CGTase, the yield of monoglucoside was increased by the treatment
with a recombinant amyloglucosidase (STA1) from Saccharomyces cerevisiae (var.
diastaticus). This enzyme was not able to hydrolyze the linkage between the
glucose and pterostilbene. The monoglucoside was isolated and characterized by
combining ESI-MS and 2D-NMR methods. Pterostilbene alpha-d-glucopyranoside is a
novel compound. The alpha-glucosylation of pterostilbene enhanced its solubility
in water to approximately 0.1 g/L. The alpha-glucosylation caused a slight loss
of antioxidant activity towards ABTS+ radicals. Pterostilbene alpha-d
glucopyranoside was less toxic than pterostilbene for human SH-S5Y5 neurons, MRC5
fibroblasts and HT-29 colon cancer cells, and similar for RAW 264.7 macrophages.
PMID- 29799512
TI - In-Liquid Plasma Process for Size- and Shape-Controlled Synthesis of Silver
Nanoparticles by Controlling Gas Bubbles in Water.
AB - Most methods controlling size and shape of metal nanoparticles are chemical
methods, and little work has been done using only plasma methods. Size- and shape
controlled synthesis of silver nanoparticles (Ag NPs) is proposed based on
adjusting the gas bubble formation produced between two silver electrodes. The
application of a voltage waveform with three different pulse widths during a
plasma process in water can generate different gas bubble formations.
Transmission electron microscopy (TEM) and scanning electron microscopy (SEM)
images of Ag NPs synthesized using three different bubble formations reveal that
spherical Ag NPs are synthesized when very tiny bubbles are generated between two
electrodes or when only the grounded electrode is enveloped with large gas
bubbles, but Ag nanoplates are synthesized when both electrodes are completely
enveloped with large gas bubbles.
PMID- 29799511
TI - p53-Dependent and -Independent Epithelial Integrity: Beyond miRNAs and Metabolic
Fluctuations.
AB - In addition to its classical roles as a tumor suppressor, p53 has also been shown
to act as a guardian of epithelial integrity by inducing the microRNAs that
target transcriptional factors driving epithelial-mesenchymal transition. On the
other hand, the ENCODE project demonstrated an enrichment of putative motifs for
the binding of p53 in epithelial-specific enhancers, such as CDH1 (encoding E
cadherin) enhancers although its biological significance remained unknown.
Recently, we identified two novel modes of epithelial integrity (i.e.,
maintenance of CDH1 expression): one involves the binding of p53 to a CDH1
enhancer region and the other does not. In the former, the binding of p53 is
necessary to maintain permissive histone modifications around the CDH1
transcription start site, whereas in the latter, p53 does not bind to this region
nor affect histone modifications. Furthermore, these mechanisms likely coexisted
within the same tissue. Thus, the mechanisms involved in epithelial integrity
appear to be much more complex than previously thought. In this review, we
describe our findings, which may instigate further experimental scrutiny towards
understanding the whole picture of epithelial integrity as well as the related
complex asymmetrical functions of p53. Such understanding will be important not
only for cancer biology but also for the safety of regenerative medicine.
PMID- 29799513
TI - Contention-Aware Adaptive Data Rate for Throughput Optimization in LoRaWAN.
AB - In Long Range Wide Area Network (LoRaWAN), the data rate of the devices can be
adjusted to optimize the throughput by changing the spreading factor. However,
the adaptive data rate has to be carefully utilized because the collision
probability, which directly affects the throughput, is changed according to the
use of spreading factors. Namely, the greater the number of devices using the
same spreading factor, the greater the probability of collision, resulting in a
decrease of total throughput. Nevertheless, in the current system, the only
criteria to determine the data rate to be adjusted is a link quality. Therefore,
contention-aware adaptive data rate should be designed for the throughput
optimization. Here, the number of devices which can use a specific data rate is
restricted, and accordingly the optimization problem can be regarded as
constrained optimization. To find an optimal solution, we adopt the gradient
projection method. By adjusting the data rate based on the retrieved set of
optimal data rate, the system performance can be significantly improved. The
numerical results demonstrate that the proposed method outperforms the
comparisons regardless of the number of devices and is close to the theoretical
upper bound of throughput.
PMID- 29799510
TI - Protein Solvent-Accessibility Prediction by a Stacked Deep Bidirectional
Recurrent Neural Network.
AB - Residue solvent accessibility is closely related to the spatial arrangement and
packing of residues. Predicting the solvent accessibility of a protein is an
important step to understand its structure and function. In this work, we present
a deep learning method to predict residue solvent accessibility, which is based
on a stacked deep bidirectional recurrent neural network applied to sequence
profiles. To capture more long-range sequence information, a merging operator was
proposed when bidirectional information from hidden nodes was merged for outputs.
Three types of merging operators were used in our improved model, with a long
short-term memory network performing as a hidden computing node. The trained
database was constructed from 7361 proteins extracted from the PISCES server
using a cut-off of 25% sequence identity. Sequence-derived features including
position-specific scoring matrix, physical properties, physicochemical
characteristics, conservation score and protein coding were used to represent a
residue. Using this method, predictive values of continuous relative solvent
accessible area were obtained, and then, these values were transformed into
binary states with predefined thresholds. Our experimental results showed that
our deep learning method improved prediction quality relative to current methods,
with mean absolute error and Pearson's correlation coefficient values of 8.8% and
74.8%, respectively, on the CB502 dataset and 8.2% and 78%, respectively, on the
Manesh215 dataset.
PMID- 29799514
TI - Increased Phenacetin Oxidation upon the L382V Substitution in Cytochrome P450 1A2
is Associated with Altered Substrate Binding Orientation.
AB - Leucine382 of cytochrome P450 1A2 (CYP1A2) plays an important role in binding and
O-dealkylation of phenacetin, with the L382V mutation increasing substrate
oxidation (Huang and Szklarz, 2010, Drug Metab. Dispos. 38:1039-1045). This was
attributed to altered substrate binding orientation, but no direct experimental
evidence had been available. Therefore, in the current studies, we employed
nuclear magnetic resonance (NMR) longitudinal (T1) relaxation measurements to
investigate phenacetin binding orientations within the active site of CYP1A2 wild
type (WT) and mutants. Paramagnetic relaxation time (T1P) for each proton of
phenacetin was calculated from the T1 value obtained from the enzymes in ferric
and ferrous-CO state in the presence of phenacetin, and used to model the
orientation of phenacetin in the active site. All aromatic protons of phenacetin
were nearly equidistant from the heme iron (6.34-8.03 A). In contrast, the
distance between the proton of the -OCH2- group, which is abstracted during
phenacetin oxidation, and the heme iron, was much shorter in the L382V (5.93 A)
and L382V/N312L (5.96 A) mutants compared to the N312L mutant (7.84 A) and the
wild type enzyme (6.55 A), consistent with modeling results. These studies
provide direct evidence for the molecular mechanism underlying increased
oxidation of phenacetin upon the L382V mutation.
PMID- 29799516
TI - Epstein Barr Virus-Associated Hodgkin Lymphoma.
AB - Abstract: Classical Hodgkin lymphoma (cHL) is a distinct clinical and
pathological entity with heterogeneous genetic and virological features, with
regards to Epstein-Barr virus (EBV) infection. The variable association of cHL
with EBV infection is probably related to the different levels of patient
immunosuppression, both locally in the tumour tissue and at the systemic level.
This review paper focuses on EBV-related cHL highlighting pathogenetic and
pathological features that may impact pathobiology-driven treatment for the
affected patients.
PMID- 29799515
TI - Fecal Distribution Changes Using Colorectal Ultrasonography in Older People with
Physical and Cognitive Impairment Living in Long-Term Care Facilities: A
Longitudinal Observational Study.
AB - Nurses encounter difficulties evaluating constipation in elderly people with
physical and cognitive impairment. Transabdominal ultrasonography (US) has been
used to evaluate fecal impaction or fecal quality. However, it is unclear whether
colorectal US can evaluate constipation symptoms in older people. Using
colorectal US, we continuously observed the elderly and clarified the
relationship between patterns of fecal distribution changes and constipation
symptoms in older people with physical and cognitive impairment at long-term care
facilities. This study included patients aged >=65 years with oral intake. US was
performed once a day until the next defecation, and fecal hardness was assessed.
US images were extracted and categorized. Then, patterns of fecal distribution
changes in the colorectum were classified. Multiple logistic regression analysis
was performed to examine related factors associated with a constipation pattern.
Among 101 patients, US images of 95 patients were analyzed. In 74.4% of the
patients, US showed continuation of reflection with acoustic shadow in the
rectum, which was significantly associated with defecation on the bed. Of the
patients with a continuous crescent-shaped reflection pattern (R3), 92.9% had
hard stool. R3 was found to be significantly associated with a Mini-Mental State
Examination score of <=10. In most of the patients, US detected a continuation of
reflection with acoustic shadow in rectal patterns, indicating fecal retention in
the rectum. Point-of-care US can be used by nurses to visualize rectal fecal
retention as constipation patterns in the older people with physical and
cognitive impairment at long-term care facilities.
PMID- 29799518
TI - A Dual-Channel Acquisition Method Based on Extended Replica Folding Algorithm for
Long Pseudo-Noise Code in Inter-Satellite Links.
AB - Inter-satellite links are an important component of the new generation of
satellite navigation systems, characterized by low signal-to-noise ratio (SNR),
complex electromagnetic interference and the short time slot of each satellite,
which brings difficulties to the acquisition stage. The inter-satellite link in
both Global Positioning System (GPS) and BeiDou Navigation Satellite System (BDS)
adopt the long code spread spectrum system. However, long code acquisition is a
difficult and time-consuming task due to the long code period. Traditional
folding methods such as extended replica folding acquisition search technique
(XFAST) and direct average are largely restricted because of code Doppler and
additional SNR loss caused by replica folding. The dual folding method (DF-XFAST)
and dual-channel method have been proposed to achieve long code acquisition in
low SNR and high dynamic situations, respectively, but the former is easily
affected by code Doppler and the latter is not fast enough. Considering the
environment of inter-satellite links and the problems of existing algorithms,
this paper proposes a new long code acquisition algorithm named dual-channel
acquisition method based on the extended replica folding algorithm (DC-XFAST).
This method employs dual channels for verification. Each channel contains an
incoming signal block. Local code samples are folded and zero-padded to the
length of the incoming signal block. After a circular FFT operation, the
correlation results contain two peaks of the same magnitude and specified
relative position. The detection process is eased through finding the two largest
values. The verification takes all the full and partial peaks into account.
Numerical results reveal that the DC-XFAST method can improve acquisition
performance while acquisition speed is guaranteed. The method has a significantly
higher acquisition probability than folding methods XFAST and DF-XFAST. Moreover,
with the advantage of higher detection probability and lower false alarm
probability, it has a lower mean acquisition time than traditional XFAST, DF
XFAST and zero-padding.
PMID- 29799517
TI - The ABP Dendrimer, a Drug-Candidate against Inflammatory Diseases That Triggers
the Activation of Interleukin-10 Producing Immune Cells.
AB - The ABP dendrimer, which is built on a phosphorus-based scaffold and bears twelve
azabisphosphonate groups at its surface, is one of the dendrimers that has been
shown to display immuno-modulatory and anti-inflammatory effects towards the
human immune system. Its anti-inflammatory properties have been successfully
challenged in animal models of inflammatory disorders. In this review, we trace
the discovery and the evaluation of the therapeutic effects of the ABP dendrimer
in three different animal models of both acute and chronic inflammatory diseases.
We emphasize that its therapeutic effects rely on the enhancement of the
production of Interleukin-10, the paradigm of anti-inflammatory cytokines, by
different subsets of immune cells, such as monocytes/macrophages and CD4+ T
lymphocytes.
PMID- 29799520
TI - Tumor-derived exosomes induce PD1+ macrophage population in human gastric cancer
that promotes disease progression.
AB - Macrophages constitute a major component of tumor-infiltrating immune cells. M2
macrophages have been reported to promote tumor progression through promoting
tumor angiogenesis and metastasis and regulating T-cell function. Here, we
identified a protumorigenic subset of macrophages that constitutively expressed
programmed cell death 1 (PD1) and accumulated in advanced-stage gastric cancer
(GC). These PD1+ tumor-associated macrophages (TAMs) exhibited an M2-like surface
profile, with a significant increase in the expression of CD206, IL-10, and CCL1,
and a clear decrease in the expression of MHC class II, CD64, and IL-12 and the
ability to phagocytose ovalbumin. Moreover, PD1+ TAMs can suppress CD8+ T-cell
function and this immunosuppressive activity can effectively be enhanced upon
triggering PD1 signal. GC-derived exosomes effectively educated monocytes to
differentiate into PD1+ TAMs with M2 phenotypic and functional characteristics.
Together, our results are the first to show that GC-derived exosomes can
effectively induce PD1+ TAM generation, and these cells can produce a large
number of IL-10, impair CD8+ T-cell function, and thereby create conditions that
promote GC progression. Thus, methods in which immunotherapy is combined with
targeting PD1+ TAMs and tumor-derived exosomes should be used to restore immune
function in GC patients.
PMID- 29799521
TI - Heat shock factor 1 confers resistance to lapatinib in ERBB2-positive breast
cancer cells.
AB - Despite success of ERBB2-targeted therapies such as lapatinib, resistance remains
a major clinical concern. Multiple compensatory receptor tyrosine kinase (RTK)
pathways are known to contribute to lapatinib resistance. The heterogeneity of
these adaptive responses is a significant hurdle for finding most effective
combinatorial treatments. The goal of this study was to identify a unifying
molecular mechanism whose targeting could help prevent and/or overcome lapatinib
resistance. Using the MMTV-ERBB2;mutant p53 (R175H) in vivo mouse model of ERBB2
positive breast cancer, together with mouse and human cell lines, we compared
lapatinib-resistant vs. lapatinib-sensitive tumor cells biochemically and by
kinome arrays and evaluated their viability in response to a variety of compounds
affecting heat shock response. We found that multiple adaptive RTKs are activated
in lapatinib-resistant cells in vivo, some of which have been previously
described (Axl, MET) and some were novel (PDGFRalpha, PDGFRbeta, VEGFR1, MUSK,
NFGR). Strikingly, all lapatinib-resistant cells show chronically activated HSF1
and its transcriptional targets, heat shock proteins (HSPs), and, as a result,
superior tolerance to proteotoxic stress. Importantly, lapatinib-resistant tumors
and cells retained sensitivity to Hsp90 and HSF1 inhibitors, both in vitro and in
vivo, thus providing a unifying and actionable therapeutic node. Indeed, HSF1
inhibition simultaneously downregulated ERBB2, adaptive RTKs and mutant p53, and
its combination with lapatinib prevented development of lapatinib resistance in
vitro. Thus, the kinome adaptation in lapatinib-resistant ERBB2-positive breast
cancer cells is governed, at least in part, by HSF1-mediated heat shock pathway,
providing a novel potential intervention strategy to combat resistance.
PMID- 29799519
TI - ATG5 overexpression is neuroprotective and attenuates cytoskeletal and vesicle
trafficking alterations in axotomized motoneurons.
AB - Injured neurons should engage endogenous mechanisms of self-protection to limit
neurodegeneration. Enhancing efficacy of these mechanisms or correcting
dysfunctional pathways may be a successful strategy for inducing neuroprotection.
Spinal motoneurons retrogradely degenerate after proximal axotomy due to
mechanical detachment (avulsion) of the nerve roots, and this limits recovery of
nervous system function in patients after this type of trauma. In a previously
reported proteomic analysis, we demonstrated that autophagy is a key endogenous
mechanism that may allow motoneuron survival and regeneration after distal
axotomy and suture of the nerve. Herein, we show that autophagy flux is
dysfunctional or blocked in degenerated motoneurons after root avulsion. We also
found that there were abnormalities in anterograde/retrograde motor proteins, key
secretory pathway factors, and lysosome function. Further, LAMP1 protein was
missorted and underglycosylated as well as the proton pump v-ATPase. In vitro
modeling revealed how sequential disruptions in these systems likely lead to
neurodegeneration. In vivo, we observed that cytoskeletal alterations, induced by
a single injection of nocodazole, were sufficient to promote neurodegeneration of
avulsed motoneurons. Besides, only pre-treatment with rapamycin, but not post
treatment, neuroprotected after nerve root avulsion. In agreement, overexpressing
ATG5 in injured motoneurons led to neuroprotection and attenuation of
cytoskeletal and trafficking-related abnormalities. These discoveries serve as
proof of concept for autophagy-target therapy to halting the progression of
neurodegenerative processes.
PMID- 29799523
TI - Publisher Correction: PTEN regulates glioblastoma oncogenesis through chromatin
associated complexes of DAXX and histone H3.3.
AB - This corrects the article DOI: 10.1038/ncomms15223.
PMID- 29799522
TI - Convergent roles of de novo mutations and common variants in schizophrenia in
tissue-specific and spatiotemporal co-expression network.
AB - Genetic components susceptible to complex disease such as schizophrenia include a
wide spectrum of variants, including common variants (CVs) and de novo mutations
(DNMs). Although CVs and DNMs differ by origin, it remains elusive whether and
how they interact at the gene, pathway, and network levels that leads to the
disease. In this work, we characterized the genes harboring schizophrenia
associated CVs (CVgenes) and the genes harboring DNMs (DNMgenes) using measures
from network, tissue-specific expression profile, and spatiotemporal brain
expression profile. We developed an algorithm to link the DNMgenes and CVgenes in
spatiotemporal brain co-expression networks. DNMgenes tended to have central
roles in the human protein-protein interaction (PPI) network, evidenced in their
high degree and high betweenness values. DNMgenes and CVgenes connected with each
other significantly more often than with other genes in the networks. However,
only CVgenes remained significantly connected after adjusting for their degree.
In our gene co-expression PPI network, we found DNMgenes and CVgenes connected in
a tissue-specific fashion, and such a pattern was similar to that in GTEx brain
but not in other GTEx tissues. Importantly, DNMgene-CVgene subnetworks were
enriched with pathways of chromatin remodeling, MHC protein complex binding, and
neurotransmitter activities. In summary, our results unveiled that both DNMgenes
and CVgenes contributed to a core set of biologically important pathways and
networks, and their interactions may attribute to the risk for schizophrenia. Our
results also suggested a stronger biological effect of DNMgenes than CVgenes in
schizophrenia.
PMID- 29799524
TI - Author Correction: Deep sleep maintains learning efficiency of the human brain.
AB - This corrects the article DOI: 10.1038/ncomms15405.
PMID- 29799526
TI - Publisher Correction: Wave kinetics of random fibre lasers.
AB - This corrects the article DOI: 10.1038/ncomms7214.
PMID- 29799527
TI - Author Correction: Genetic and regulatory mechanism of susceptibility to high
hyperdiploid acute lymphoblastic leukaemia at 10q21.2.
AB - This corrects the article DOI: 10.1038/ncomms14616.
PMID- 29799525
TI - Rhodopsin-cyclases for photocontrol of cGMP/cAMP and 2.3 A structure of the
adenylyl cyclase domain.
AB - The cyclic nucleotides cAMP and cGMP are important second messengers that
orchestrate fundamental cellular responses. Here, we present the characterization
of the rhodopsin-guanylyl cyclase from Catenaria anguillulae (CaRhGC), which
produces cGMP in response to green light with a light to dark activity ratio
>1000. After light excitation the putative signaling state forms with tau = 31 ms
and decays with tau = 570 ms. Mutations (up to 6) within the nucleotide binding
site generate rhodopsin-adenylyl cyclases (CaRhACs) of which the double mutated
YFP-CaRhAC (E497K/C566D) is the most suitable for rapid cAMP production in
neurons. Furthermore, the crystal structure of the ligand-bound AC domain (2.25
A) reveals detailed information about the nucleotide binding mode within this
recently discovered class of enzyme rhodopsin. Both YFP-CaRhGC and YFP-CaRhAC are
favorable optogenetic tools for non-invasive, cell-selective, and spatio
temporally precise modulation of cAMP/cGMP with light.
PMID- 29799528
TI - Publisher Correction: Natural gold particles in Eucalyptus leaves and their
relevance to exploration for buried gold deposits.
AB - This corrects the article DOI: 10.1038/ncomms3614.
PMID- 29799529
TI - [Social representations of Mexican pregnant teenagers about the puerperal care,
lactation, and newborn care].
AB - Background: Puerperal care and feeding of the newborn are guided by entrenched
cultural meanings between women, so it is important to know and identify how they
are acquired and perpetuated. Regarding this knowledge, the social
representations that Mexican pregnant teenagers have about puerperium, lactation
and newborn care were studied. Methods: An interpretative study was made based on
principles of the theory of social representations. Interviews were conducted to
obtain information from 30 Mexican adolescents who attended prenatal care at the
gynecological obstetrics area in a second-level hospital during 2015. Classical
content analysis strategies were applied to analyze the information; this process
consisted of coding and categorizing information. A conceptual map was also
developed to describe the social representations found. Results: In this study,
190 codes and three social representations were identified: "breastfeeding is a
practice based on myths", "newborns are fragile" and "mother and child must be
synchronized". Conclusions: Three social representations were identified that
explain the practices of adolescents towards breastfeeding and the care of them
and their children, which were acquired through family communication and
strengthened by the need for support due to the temporary or permanent absence of
the couple, personal crises motivated by bodily changes, fear of new
modifications due to breastfeeding and ignorance about how carry out
breastfeeding and care during the puerperium.
PMID- 29799531
TI - [Focal dermal hypoplasia (Goltz syndrome)].
AB - Background: Focal dermal hypoplasia or Goltz syndrome is a rare X-linked dominant
inherited genodermatosis, affecting both the ectodermal and mesodermal tissue.
Clinical manifestations include skin abnormalities, defects in eyes, teeth,
nails, mouth, soft tissues and skeleton. The diagnosis is based on clinical
findings and is suspected in individuals with ectodermal abnormalities and
characteristic malformations in the extremities. The management is
multidisciplinary and, like the prognosis, depends on the specific alterations
that each patient presents. Case report: We report the case of a 15-day-old
female newborn with alopecic areas on the scalp, herniation of subcutaneous
cellular tissue at the lumbar level, nasal wing notch, severe left superior limb
defect with rhizomelia (proximal segment shortening) and radio aplasia, as well
as right leg ectrodactyly, areas of atrophy compatible with focal dermal
hypoplasia according to diagnostic criteria. Conclusions: We present a case of
female newborn patient with Goltz syndrome.
PMID- 29799530
TI - [Colorimetric card use for early detection visual biliary atresia].
AB - Background: Bile duct atresia (BVA) is a condition that causes obstruction to
biliary flow, not corrected surgically, causes cirrhosis and death before 2 years
of age. In Mexico from 2013 the visual colorimetric card (VVC) was incorporated
for the timely detection of BVA to the National Health Card (NHC). The aim of
this study was to evaluate the impact of VCT for the detection of BVA before and
after the use of NHC incorporation. Methods: Ambispective, analytical
observational study. We included patients with AVB treated in two pediatric
hospitals of third level care. We compared the age of reference, diagnosis and
surgery before and after incorporation of the TCV. In addition, a questionnaire
was made to the parents to know their perception about the TCV. Results: In 59
children, there were no differences in age at diagnosis (75 vs 70 days) and age
at surgery (84 vs 90 days) between the pre and post-implementation period of the
VVC. The questionnaire showed that 10 (30%) of the parents received information
about the use of the VVC and 13 (38%) identified the abnormal evacuations.
Conclusions: This study did not show changes in time for the timely detection of
BVA by using VVC. Therefore, it is necessary to reinforce the program in the
three levels of care in our country.
PMID- 29799532
TI - [The horizon of medical attention in pediatrics: what to do in the case of
children who are in abandonment, conflict, harm or danger situations in
combination with a severe disease?]
AB - Background: Laws refer that minors do not have the capability to give informed
consent for their own medical attention. However, there are special conditions in
which they are allowed to decide about their health. The greater the judgement
and experience limitations in minors, the less weight is given to the values and
objectives they express. Also, the more adverse consequences might be, the higher
the level of authority that is demanded to decide on behalf of the minor, thus
granting the State the capability to guarantee the well-being of the minor. Case
report: 12-year-old female patient with a diagnosis of acute lymphoblastic
leukemia, with precarious social and family background; evolution of the disease
obstructed by the disregard of the treatment due to her unsanitary and extreme
poverty conditions. Both of her parents died soon after the start of the
treatment and she was kept under the care of her half-sister of legal age. The
work and the ethical dilemma of the pediatrician and the staff of Hospital
Infantil de Mexico Federico Gomez are exposed within the building of support
networks with the objective of prioritizing the minor's well-being, without
allowing family break-up or disintegration, thus succeeding in her recovery.
Conclusions: The case was submitted to the Hospital Bioethics Committee. Inter
institutional support networks were built in order to improve dynamics of the
family, thus solving the needs of the minor. Despite the misfortune of the
situation, the disease was successfully overcome.
PMID- 29799533
TI - [Medical arbitration and claims for malpractice related to health service users
under 15 years of age during 2011-2015].
AB - Background: Claims by health services users are a negative indicator of the care
quality. To know the situations that originate them, and their consequences are
key issues to consider in order to design strategies to improve the quality of
health services. This study analyses the cases submitted to the National Medical
Arbitration Commission with a final arbitration decision during the period 2011
2015, related to health service users younger than 15 years old. Methods: Cross
sectional descriptive study. We analyzed information extracted from the reports
with a final arbitration decision in the last 5 years, selecting those where the
affected users were younger than 15 years old. Results: A total of 40 cases
arbitral award were found, most of them involving pediatric services, with a
similar number of male and female users and a similar proportion in the extreme
age groups, <1 year (32%) and 10-14 years (30%). The main cause of the complaint
was related to diagnostic procedures (45%). An average of 8.7 adverse events per
case were identified, most of them associated with procedures. Among the damages,
there were 12 deaths and 9 permanent disabilities. There was evidence of
malpractice in 65% of the cases and in 60% of the cases, the sentence was
condemnatory. Conclusions: This manuscript shows information in four main
sections: sociodemographic characteristics, description of medical care, adverse
events and their repercussions on health damages, evaluation of the medical act
and conclusions of the arbitration process.
PMID- 29799534
TI - [Risk factors related to cardiovascular complications in patients diagnosed with
Kawasaki disease in northwestern Mexico].
AB - Background: Kawasaki disease (KD) is an acute systemic vasculitis of small and
medium vessels, which occurs primarily in children; it manifests itself as a
febrile syndrome coupled with vasculitis data and can cause coronary artery
abnormalities in 25% of untreated patients. The objective of this study was to
describe the clinical behavior and to identify risk factors for cardiovascular
complications in pediatric patients with KD, in a second level hospital in
Northwestern Mexico. Methods: Under a case series design, we studied pediatric
patients with diagnosis of KD. We measured clinical variables, laboratory values
and the presence of cardiac complications; the probability of risk was determined
with odds ratio (OR) and the association with chi squared test. Results: 12
patients were included, and the female gender predominated; the mean age of
presentation of KD was 2 years. The clinical presentation was complete in 100 %
of the cases and patients also presented atypical manifestations. 50% of the
patients studied had cardiovascular complications, the most common of which was
coronary disease (33%). The variables male gender, age under 2 years and anemia
reported OR of 5.5 and 10 to present cardiovascular complications. Conclusions:
Cardiovascular complications of KD are frequent (more than 30%). Male gender, age
under 2 years and anemia increase the probability of risk for the presence of
cardiovascular complications.
PMID- 29799535
TI - Histomorphometric reference data of transiliac bone biopsy in children from 8 to
17 years old.
AB - Background: Histomorphometric analysis of bone samples is a key tool for studying
bone metabolism; however, only a few pediatric reference data exist. The aim of
the present study is to report more reference data and to investigate if
histomorphometric differences exist between age and gender. Methods: We obtained
19 transiliac bone samples previously marked with tetracycline, from children
between 8 and 17 years (13 were male), with normal blood test results and urine
biochemical bone markers. We evaluated bone histomorphometric parameters using a
digitalizing table with osteomeasure to obtain normative data of means and
standard deviations, as well as median and range. Due to the small sample, a
Monte Carlo simulation was applied. Structural, static, dynamic, and resorptic
histomorphometric parameters were evaluated by age and gender following the
American Society for Bone and Mineral Research recommendations. Results: Bone
volume (in the older children) and mineral apposition rate (in the younger
children), the eroded surface (in boys), and the new bone wall thickness (in
girls) were significantly increased. On the trabecular area of mineralization
front, the modeling and the remodeling bone formation were similar (16 and 18%).
The rest of the histomorphometric bone parameters by age and gender showed no
significant difference. Conclusion: In healthy children, these bone
histomorphometric findings, with these techniques and for this ages could be used
as reference values.
PMID- 29799536
TI - Correction to: The prevalence and risk factors of peripheral neuropathy among
patients with type 2 diabetes mellitus; the case of Jordan.
AB - [This corrects the article DOI: 10.1186/s13098-018-0309-6.].
PMID- 29799538
TI - Aggressiveness, violence, homicidality, homicide, and Lyme disease.
PMID- 29799539
TI - Retraction notice.
AB - [This retracts the article DOI: 10.1177/2049463717728039.].
PMID- 29799537
TI - Erratum: Author Correction: Newcastle disease virus-based H5 influenza vaccine
protects chickens from lethal challenge with a highly pathogenic H5N2 avian
influenza virus.
AB - [This corrects the article DOI: 10.1038/s41541-017-0034-4.].
PMID- 29799540
TI - Retraction Note: Fabrication of a microfluidic device for studying the in situ
drug-loading/release behavior of graphene oxide-encapsulated hydrogel beads.
AB - [This retracts the article DOI: 10.1186/s40824-018-0119-9.].
PMID- 29799541
TI - Use of dermal regeneration templates in a low resource environment.
AB - Modern burn care in a sophisticated well-resourced centre in a rich country
utilises an increasing number of expensive adjuncts to optimise outcomes such as
dermal templates, cultured keratinocytes, biological and silver impregnated
dressings. Translating the use of these into a low resource environment is not a
simple matter of providing the materials free of charge and there needs to be
careful consideration of both the positive and negative consequences and the
impact on both an individual and a population level.
PMID- 29799542
TI - Measuring coagulation in burns: an evidence-based systematic review.
AB - Introduction: Dynamic monitoring of coagulation is important to predict both
haemorrhagic and thrombotic complications and to guide blood product
administration. Reducing blood loss and tailoring blood product administration
may improve patient outcome and reduce mortality associated with transfusion. The
current literature lacks a systematic, critical appraisal of current best
evidence on which clinical decisions may be based. Objectives: Establishing the
role of different coagulation markers in burn patients, diagnosing coagulopathy,
tailoring blood product administration and indicating prognosis. Methods:
Literature during 2004-2017 from the Cochrane Library, PubMed, Scopus, Medline
and Embase was reviewed. Eligibility criteria included randomised controlled
trials, systematic reviews, multi-/single-centre study and meta-analyses.
Keywords searched were 'burns', 'blood coagulation disorders', 'rotem', 'blood
coagulation' and 'thromboelastography'. The PRISMA flow system was used for
stratification and the CASP framework for appraisal of the studies retrieved.
Results: In total, 13 articles were included after inclusion/exclusion criteria
had been applied to the initial 79 studies retrieved. Hypercoagulation increases
in proportion to the severity of thermal injury. Whole blood testing, using
thrombelastography (TEG) and rotation thromboelastometry (ROTEM), was superior to
standard plasma based tests, including prothrombin time (PT) and activated
partial thromboplastin time (APTT) at detecting burn-related coagulopathies.
Conclusions: Routine laboratory markers such as PT/APTT are poor indicators of
coagulation status in burns patients. Viscoelastic tests, such as TEG and ROTEM,
are efficient, fast and have a potential use in the management of burn patients;
however, strong evidence is lacking. This review highlights the need for more
randomised controlled trials, to guide future practice.
PMID- 29799543
TI - Increased burn healing time is associated with higher Vancouver Scar Scale score.
AB - Increased burn wound healing time has been shown to influence abnormal scarring.
This study hypothesised that scar severity increases commensurate to the increase
in time to healing (TTH) of the wound. Wound healing and scar data from burn
patients treated by the Burn Service of Western Australia at Royal Perth Hospital
were examined. The relationship between TTH and scar severity, as assessed by the
modified Vancouver Scar Scale (mVSS), was modelled using regression analysis.
Interaction terms evaluated the effect of surgery and total body surface area -
burn (TBSA) on the main relationship. Maximum likelihood estimation was used to
account for potential bias from missing independent variable data. The sample had
a median age of 34 years, TTH of 24 days, TBSA of 3% and length of stay of five
days, 70% were men and 71% had burn surgery. For each additional day of TTH, the
mVSS score increased by 0.11 points (P <= 0.001) per day in the first 21 days and
0.02 points per day thereafter (P = 0.004). The relationship remained stable in
spite of TBSA or surgical intervention. Investigation of the effect of missing
data revealed the primary model underestimated the strength of the association.
An increase in TTH within 21 days of injury is associated with an increase in
mVSS or reduced scar quality. The results confirm that efforts should be directed
toward healing burn wounds as early as possible.
PMID- 29799544
TI - Autologous fat grafting for scars, healing and pain: a review.
AB - Introduction: Current guidelines suggest a multimodal approach to treating scars
but there is no gold standard for treatment; however, there is exciting
therapeutic potential for the use of autologous fat grafting (AFG). Functional
and aesthetic improvements have been reported, including pain relief and scar
quality improvement. Aims: To explore the current evidence regarding the use of
AFG in hypertrophic and painful scars. Methods: A systematic review of the
literature was conducted using 11 MeSH terms in PubMed, Medline and EMBASE.
English studies that used AFG to treat scars in human participants were included.
Results: A total of 746 studies were found and 23 studies (from 2008 to 2016)
were included: five studies were evidence level V; nine studies were evidence
level IV; eight were evidence level III; and one study was evidence level II. A
total of 1158 patients were assessed for improvement in scar characteristics
including colour, thickness, volume, pain and restoration of function at affected
sites, following treatment. Positive outcomes were noted for all parameters and a
significant improvement in AFG's analgesic effect was recorded in 567 out of 966
patients, P < 0.05. Discussion: AFG is a minimally invasive and safe approach to
treating scars, a promising alternative to surgical excision. The technique of
blunt cannula insertion optimises the release of scar retraction, which
contributes to the analgesic effect of this treatment method. The evidence
supports current theories of mesenchymal stem cell's regenerative and anti
inflammatory properties responsible for scar healing. There are limited high
quality studies to support its use and future randomised controlled trials should
be conducted.
PMID- 29799546
TI - Erratum.
AB - [This corrects the article DOI: 10.4155/fsoa-2017-0101.].
PMID- 29799547
TI - Corrigendum.
AB - [This corrects the article DOI: 10.4155/fsoa-2017-0077.].
PMID- 29799545
TI - A RandomizEd trial of ENtERal Glutamine to minimIZE thermal injury (The RE
ENERGIZE Trial): a clinical trial protocol.
AB - Background: Burn injury represents a significant public health problem worldwide.
More than in any other injury, the inflammation and catabolism associated with
severe burns can exacerbate nutrient deficiencies resulting in impaired immune
function and increased risk of developing infection, organ dysfunction and death.
Consequently, over the last few decades numerous trials have evaluated the impact
of different nutritional strategies in severe burn injury. Glutamine is of
particular interest, as it appears vital for a number of key stress-response
pathways in serious illness. The purpose of the current manuscript is to provide
the rationale and protocol for a large clinical trial of supplemental enteral
glutamine in 2700 severe burn-injured patients. Methods: We propose a
multicentre, double-blind, pragmatic, randomized, clinical trial involving 80
tertiary intensive care unit (ICU) burn centres worldwide. We aim to enrol
patients with deep second- and/or third-degree burns at moderate or high risk for
death. We will exclude patients admitted > 72 h before screening and patients
with advanced liver and kidney disease. The study intervention consists of
enteral glutamine 0.5 g/kg/day vs. isocaloric maltodextran control delivered
enterally. Primary outcome will be six-month mortality. Key secondary outcomes
include time to discharge alive from hospital, ICU and hospital mortality, length
of stay and health-related quality of life at six months. Significance: This
study will be the first large international multicentre trial examining the
effects of glutamine in burn patients. Negative or positive, the results of this
trial will inform the clinical practice of burns care
worldwide.Clinicaltrials.gov ID #NCT00985205.
PMID- 29799548
TI - Metabolic disharmony and sibling conflict mediated by T6SS.
AB - Bacteria in nature live in taxonomically complex communities where multitude of
species and strains inhabit the same niches and compete for limited resources and
space. Surviving in these competitive environments requires mechanisms to
recognize and associate with kin and to discriminate against non-kin to increase
reproductive success among close relatives. Some of the mechanisms bacteria use
to address genetic differences are surface receptors, diffusible signals (e.g.
quorum sensing) and toxin-immunity systems (e.g. type VI secretion system
(T6SS)). Another way individuals vary within bacterial populations is their
physiological states. This means that among clonal cells there is cell-to-cell
variability in cells' proteome, growth rates, age and cell damage loads caused by
stochastic differences in gene expression/metabolism and variations in
microenvironmental stimuli. While physiological heterogeneity benefits some
bacteria by allowing populations to bet-hedge their survival odds in changing
environments by expressing different phenotypes, it can also be harmful in cases
where fitness depends on coordinated behaviors and synchronized actions by many
cells; a function of particular importance to social bacteria. Myxococcus xanthus
is a non-pathogenic soil bacterium known for its complex social and coordinated
behaviors such as swarming, predation and formation of spore-filled fruiting
bodies. These behaviors depend on M. xanthus ability to synchronize the actions
of many cells within a population. Considering the collective nature of M.
xanthus, we asked how do physiological differences affect cell-cell interactions
in this species. To address this question, we investigated the interactions
between two genetically related but physiologically distinct populations. We
found that M. xanthus uses T6SS to eliminate less fit cells from their population
and identified toxic effector and cognate immunity protein (TsxEI) that mediates
this sibling antagonism.
PMID- 29799549
TI - A novel mechanism for regulation of the type I IFN response by herpesvirus
deconjugases.
AB - Upon infection, viral nucleic acids are recognized by germline-encoded pattern
recognition receptors (PRRs), and cytosolic retinoic acid-inducible gene I (RIG
I)-like helicases (RLHs) that initiate signaling pathways resulting in the
production of type I IFN and pro-inflammatory cytokines. Binding of RIG-I to
viral nucleic acids triggers the formation of the RIG-I signalosome where RIG-I
is ubiquitinated by the TRIM25 ligase and, with the help of 14-3-3 scaffolds,
further translocated to mitochondrial anti-viral signalling proteins (MAVS).
Subsequent ubiquitination-mediated events trigger transcriptional activation of
the effectors of innate immunity. We have found a new mechanism by which
herpesviruses interfere with this signalling pathway to favour the establishment
of latency and promote virus replication. The cysteine protease encoded in the
conserved N-terminal domain of the herpesvirus large tegument protein binds to 14
3-3 proteins and forms a tri-molecular complex with TRIM25, promoting the
activation and autoubiquitination of the ligase. RIG-I is recruited to the
complex but its ubiquitination is drastically reduced, which effectively
inactivates downstream signalling and blocks the type I IFN response.
PMID- 29799550
TI - Medical and biological factors affecting mortality in elderly residential fire
victims: a narrative review of the literature.
AB - For older people (aged over 65 years), the risk of dying in a residential fire is
doubled compared to the general population. Obvious causes of death mainly
include smoke inhalation and burn injuries. That older people are more fragile
and have more concurrent diseases is inherent, but what is it that makes them
more vulnerable? It is known that the number of elderly people is increasing
globally and that the increased risk of death in fires can be explained, at least
in part, by physical and/or cognitive disabilities as well as socioeconomic and
behavioural factors. The possibility that medical illnesses and an aging
organism/tissues might explain this increased risk has not been shown to the same
extent. Therefore, this narrative literature review focuses on medical and
biological explanations. An initial search using the terms 'elderly', 'fatal',
'residential' and 'fire' yielded some interesting articles. Using a broader
snowball search also accepting grey literature, several additional risk factors
could be identified. Cardiovascular diseases, in particular atherosclerotic heart
disease, greatly increases the vulnerability to, for example, carbon monoxide and
probably also other asphyxiating gases. Cardiovascular diseases and lack of
physical fitness may also increase vulnerability to heat. Burned elderly patients
are also at a higher risk of death than younger patients, but it is controversial
whether it is age itself or the pre-existing illnesses that come with age that
increase the risk. Immunosenescence, malnutrition and female gender are other
risk factors for poorer outcome after burns, all of which are common among older
people.
PMID- 29799551
TI - Erratum: Author Correction: LepVax, a defined subunit vaccine that provides
effective pre-exposure and post-exposure prophylaxis of M. leprae infection.
AB - [This corrects the article DOI: 10.1038/s41541-018-0050-z.].
PMID- 29799553
TI - Albumin resuscitation in burns: a hybrid regime to mitigate fluid creep.
AB - : Introduction: Globally, many burns units moved away from colloid resuscitation
in response to the Cochrane review (1998). Recent literature has introduced the
concept of fluid creep: patients receiving volumes far in excess of the upper
limit of the Parkland formula. The Cochrane review has been widely criticised,
however, and we continued to use 4.5% human albumin solution after 8 h of
crystalloid as a hybrid of Parkland and Muir & Barclay's regime. Methods: Adult
patients ?15% TBSA were identified from data prospectively entered into our
database over a 5-year period (2003-2008). Medical notes and intensive care
charts were reviewed comparing volumes of fluids received with requirement
estimates. Adverse events were also documented. Results: A total of 72 cases with
34 sets of intensive care charts were analysed. Mean TBSA was 35.2% (range, 15
95%). A total of 75% survived; 3% were haemofiltered. Forty-one percent of
patients were resuscitated using the Parkland formula alone, while 59% switched
at 8 h post burn to the Muir and Barclay formula (Hybrid group). There was a
significantly greater TBSA in the Hybrid group, but they received significantly
less fluid volumes than the Parkland group (P = 0.0363; the Hybrid group received
1.36 times calculated need vs. 1.62 in the Parkland group). Conclusion: Our
patients still demonstrate fluid creep, but to a lesser extent than previously
reported. Fluid creep has been mitigated but not eliminated through this
strategy.
PMID- 29799554
TI - Perspectives on the management of eyelid burns.
PMID- 29799552
TI - The effects of advanced glycation end products (AGEs) on dermal wound healing and
scar formation: a systematic review.
AB - Introduction: With ageing, the skin gradually loses its youthful appearance and
functions like wound healing and scar formation. The pathophysiological theory of
Advanced Glycation End products (AGEs) has gained traction during the last
decade. This review aims to document the influence of AGEs on the mechanical and
physiologic properties of the skin, how they affect dermal wound healing and scar
formation in high-AGE populations like elderly patients and diabetics, and
potential therapeutic strategies. Methods: This systematic literature study
involved a structured search in Pubmed and Web of Science with qualitative
analysis of 14 articles after a three-staged selection process with the use of in
and exclusion criteria. Results: Overall, AGEs cause shortened, thinned, and
disorganized collagen fibrils, consequently reducing elasticity and skin/scar
thickness with increased contraction and delayed wound closure. Documented
therapeutic strategies include dietary AGE restriction, sRAGE decoy receptors,
aminoguanidine, RAGE-blocking antibodies, targeted therapy, thymosin beta4, anti
oxidant agents and gold nanoparticles, ethyl pyruvate, Gal-3 manipulation and
metformin. Discussion: With lack of evidence concerning scars, no definitive
conclusions can yet be made about the role of AGEs on possible appearance or
function of scar tissue. However, all results suggest that scars tend to be more
rigid and contractile with persistent redness and reduced tendency towards
hypertrophy as AGEs accumulate. Conclusion: Abundant evidence supports the
pathologic role of AGEs in ageing and dermal wound healing and the effectiveness
of possible therapeutic agents. More research is required to conclude its role in
scar formation and scar therapy.
PMID- 29799556
TI - A survivor's perspective of acid attacks in the UK: an open letter from Katie
Piper.
PMID- 29799555
TI - Autologous fat grafting in keloids and hypertrophic scars: a review.
AB - Keloid and hypertrophic scars are unique human dermal fibroproliferative
disorders of the injured skin and are associated with pain, itch and can cause
functional limitations. A number of genetic, systemic and local factors have been
identified in the formation of keloids and hypertrophic scars. Studies have shown
that adipose-derived stem cells have angiogenic and antiapoptotic properties
which has effects on wound healing, soft-tissue restoration and scar remodelling,
and thus may have a role in managing keloid scaring. However, this role is not
well described in the literature. A systemic review of available literature was
thus undertaken, regarding the use of fat grafting in treatment of keloids and
hypertrophic scarring. In total, 858 articles were identified, with ten studies
ultimately fulfilling inclusion criteria. There were no studies specifically
isolating the keloids and hypertrophic group of patients, and thus quantitative
data were completely lacking from the literature. There were, however, individual
cases described, and qualitatively encouraging clinical results were reported for
the use of fat grafting on keloids and hypertrophic scars. Combined with the
current theoretical and immunohistochemical understanding through other
laboratory and animal studies, fat grafting may play a role in the treatment of
keloids and hypertrophic scaring; however, specific evidence is currently
lacking. The role for further research is clear.
PMID- 29799557
TI - An analysis of surgical and anaesthetic factors affecting skin graft viability in
patients admitted to a Burns Intensive Care Unit.
AB - : Objectives: Skin graft failure is a recognised complication in the treatment
of major burns. Little research to date has analysed the impact of the complex
physiological management of burns patients on the success of skin grafting. We
analysed surgical and anaesthetic variables to identify factors contributing to
graft failure. Methods: Inclusion criteria were admission to our Burns Intensive
Care Unit (BICU) between January 2009 and October 2013 with a major burn. After
exclusion for death before hospital discharge or prior skin graft at a different
hospital, 35 patients remained and were divided into those with successful
autografts (n=16) and those with a failed autograft (n=19). For the purposes of
this study, we defined poor autograft viability as requiring at least one
additional skin graft to the same site. Logistic regression of variables was
performed using SPSS (Version 22.0 IBMTM). Results: Age, Sex, %Total Burn Surface
Area or Belgian Outcome Burns Injury score did not significantly differ between
groups. No differences were found in any surgical factor at logistic regression
(graft site, harvest site, infection etc.). When all operations were analysed,
the use of colloids was found to be significantly associated with graft failure
(p=0.035, CI 95%) and this remained significant when only split thickness skin
grafts (STSGs) and debridement operations were included (p=0.034, CI 95%). No
differences were found in crystalloid use, intraoperative temperature, pre
operative haemoglobin and blood products or vasopressor use. Conclusions: This
analysis highlights an independent association between colloids and graft failure
which has not been previously documented.
PMID- 29799558
TI - A lateral tarsorrhaphy with forehead hitch to pre-empt and treat burns ectropion
with a contextual review of burns ectropion management.
AB - : Introduction: Facial burns around the eyes and eyelid ectropion can lead to
corneal exposure, irritation, dryness, epiphora, infection or visual loss. We
undertook a review of the published articles describing management of eyelid
burns as well as methods to treat or prevent ectropion. We describe early
experience of a surgical technique that we have found to mitigate ectropion in
facial burns with peri-ocular involvement. Materials and methods: Two
illustrative cases with our surgical technique is described from our experience
of three cases. We reviewed the literature using the PubMed and EMBASE databases
using the search terms 'burn' and 'ectropion'. Results: The literature review
produced a total of 17 relevant papers. Treatment options for eyelid burns were
varied and were invariably level 4 or 5 evidence. Various techniques were used to
treat eyelid burns including the use of a full thickness skin graft with or
without concurrent scar contracture release but also use of a local flap
reconstruction with or without a tissue expander or release of the underlying
muscle. Other techniques included canthoplasty, Z-plasty, forehead flaps, fat
transfer, and tarsorrhaphy with full thickness skin grafting. In general, the
focus of articles was therapeutic and reconstructive rather than pre
emptive/preventative management. Procedure: We describe our early experience of a
novel technique for temporary lateral tarsorrhaphy with forehead hitch which
protexts the globe and counters the scar- and gravity-related ectropic effects on
the lower eyelids. Discussion: Facial burns pose a difficult problem to the burn
surgeon, especially when the eyelids are affected, both directly or indirectly.
The optimal surgical management of eyelid burns remains unclear and the
literature base lies mainly in the domain of case series. We review the
literature on this subject and tabulate our findings and also describe our
contribution to this area with a method of lateral and lower lid elevator that we
have found valuable.
PMID- 29799560
TI - Scars, Burns and Healing.
PMID- 29799561
TI - Perspectives on acid attacks in the UK.
PMID- 29799559
TI - The development and impact of heterotopic ossification in burns: a review of four
decades of research.
AB - : Introduction: Heterotopic ossification (HO) is the formation of lamellar bone
within connective and other tissue where bone should not form and is a rare
complication after burn injury. However, it leads to severe pain and distress,
marked reduction in joint range of motion (ROM), impaired function and increased
hospital length of stay. The pathophysiology, incidence and risk factors of HO
remain poorly understood in burns and other traumas and the management,
controversial. The aim of this comprehensive review, therefore, was to synthesise
the available evidence on the development and treatment of HO after acute burn
injury. Methods: The review was based on a systematic search of five electronic
databases PubMed, EMBASE, CINAHL, LILACS and Scopus. Results: Synthesis and
analysis of the data highlighted that, despite the passage of time, little
translatable evidence is available to guide any prevention, screening, diagnostic
or pharmacological or physical management protocols. Discussion: Causes of HO
remain confounded, therefore prevention is difficult. Although spontaneous
resolution is possible, surgical resection remains the recommended treatment when
ROM and activities of daily living are severely affected. Conclusion: The
findings from this review indicate that multicentre data pooling is needed to
understand the optimum pathway to prevention, identification and treatment of HO
in acute burn patients.
PMID- 29799562
TI - How T cells go rogue in the absence of Roquins.
AB - Roquin-1 and Roquin-2 are RNA-binding proteins essential for modulating T cell
activity. Indeed, Roquin dysfunction has been linked to autoimmunity in mice.
Essig and colleagues (2017) determine their functions in Foxp3+ T regulatory
cells and uncover novel mechanisms of Roquin-mediated regulation of its target
mRNAs (1).
PMID- 29799563
TI - Burns units: A breeding ground for all three 'critical priority' bacteria in need
of new antibiotics recently identified by the World Health Organization.
PMID- 29799564
TI - SBH Reviewer list 2017.
PMID- 29799565
TI - Steroid tape: A promising adjunct to scar management.
AB - Introduction: Intralesional steroid administration is a popular adjunct to scar
management with numerous reports in the literature appraising this modality in
hypertrophic and keloid scars. The percutaneous delivery of steroids using
adhesive tape is an alternative modality, which was first described in the
dermatological literature in the 1960s. It is infrequently used in most countries
apart from the Orient, where it represents one of the mainstays of specialist
scar management protocols. Methods: An English and Japanese literature review was
performed and reports were stratified using the Joanna Briggs Institute Levels of
Evidence. Data were extracted relating to the maximum dose of steroid that can be
delivered safely, the reported therapeutic efficacy, as well as the side effects
associated with the percutaneous delivery of steroids. Discussion: Steroid tape
has the potential to be a safe and patient-friendly adjunct to scar management
for carefully selected cases of keloid and hypertrophic scars. The main
limitation for its widespread adoption is the lack of data to enable the
determination of safe exposure thresholds in adult and paediatric patients.
Conclusion: Despite the existing encouraging reports regarding the potential to
be a useful adjunct in scar management, steroid tape is not widely used apart
from a limited number of scar services worldwide. Further research is warranted
to delineate the role of this modality in specialist scar management protocols.
PMID- 29799566
TI - A review of the world's published face transplant cases: ethical perspectives.
AB - The highly publicised case of the first ever partial facial transplant in 2005
sparked fierce ethical debates, moral arguments and strong opinions, both within
the medical community as well as the general public and mass media. As more
patients have undergone facial transplantation over the last decade, some of this
initial scepticism has given way to a wider acceptance of this significant
reconstructive development. However, despite an improved understanding of the
perioperative technicalities and postoperative perils, the risks remain
significant and the long-term outcomes are still largely unknown. This article
examines the major ethical challenges that have accompanied facial allo
transplantation since its inception. We discuss these ethical dilemmas in the
context of the patients, donor families, healthcare professionals and society as
a whole, while evaluating some of the emerging evidence and outcomes associated
with the physical and psycho-emotional risks linked to this procedure.
PMID- 29799569
TI - Making scars worse to make patients better? The role of surgery in changing the
appearance of archetypal stigmatising injuries and the concept of mechanistic
stigma in scar management.
PMID- 29799567
TI - A systematic review of treatments for acne scarring. Part 1: Non-energy-based
techniques.
AB - : Introduction: Acne is a common condition that can result in permanent
scarring. Acne scars can be broken down into three categories: atrophic;
hypertrophic; and keloidal. Atrophic scars can be further sub-classified into:
ice pick; rolling; and boxcar. Objectives and Methods: We have performed a
comprehensive literature search of the last ten years in order to determine the
efficacy and adverse reactions of commonly used treatments against post-acne
scarring. Results: A total of 36 relevant articles were identified on the
following interventions: subcision (n = 10), dermabrasion (n = 1), microneedling
(n = 8), dermal fillers (n = 5), and chemical peeling (n = 12). Discussion:
Improvement in the appearance of post-acne scarring following subcision is in the
range of 10-100%. Microdermabrasion achieved the least significant results. A
total of 27.3% patients did not achieve any benefit despite eight treatment
sessions, and only 9.1% achieved good results. All patients treated with
microneedling achieved some improvement in scar appearance in the range of 31
62%. Dermal fillers also led to favourable outcomes. In particular, treatment
with PPMA led to improvement in 84% of patients. Utilising chemical peels,
trichloroacetic acid (TCA) CROSS achieved >70% improvement in 73.3% of patients,
whereas 20% glycolic acid did not lead to any improvement in 25%. Conclusion:
Post-acne scarring is a common and challenging condition with no easy and
definitive solution. The above interventions have been used with varying degrees
of efficacy, each having both pros and cons. All have been deemed to be safe with
few and transient adverse reactions. However, further trials with a larger number
of patients are necessary in order to reach more concrete conclusions regarding
their efficacy.
PMID- 29799568
TI - TGF-beta1 -509C/T polymorphism and susceptibility to keloid disease: a systematic
review and meta-analysis.
AB - Background: Keloid disease (KD) is common and often refractory to treatment.
Definition of the genetic mechanisms of KD can lead to a better understanding of
the disease and suggest more effective treatment strategies. Objectives: To
quantitatively estimate the association between KD susceptibility and the -509C/T
polymorphism in the TGF-beta1 gene. Methods: PubMed, Embase and CNKI databases
were searched using a combination of the Medical Subject Headings (MeSH) and
relevant words in titles. Analyses were performed with STATA 12.0. Results: Five
case-control studies encompassing a total of 564 keloid cases and 620 healthy
controls were pooled in the final meta-analysis. Among the five studies, no
significant association was detected between the TGF-beta1 -509C/T polymorphism
and KD under all of the five genetic models (allele comparison, heterozygote
comparison, homozygote comparison, dominant model and recessive model) for the
overall analyses and for the subgroup analyses based on DNA extraction method,
participant ethnicity and group size. When stratified by study quality, three
high-quality studies showed significant association under allele comparison and
homozygote model (C versus T: OR = 0.80, 95% confidence interval [CI] = 0.65
0.98, P = 0.03; I2 = 0%, P = 0.64; CC versus TT: OR = 0.62, 95% CI = 0.41-0.94, P
= 0.02; I2 = 0%, P = 0.79); while two moderate-quality studies showed significant
association under allele comparison, homozygote model and recessive model (C
versus T: OR = 1.52, 95% CI = 1.15-2.01, P = 0.004; I2 = 39%, P = 0.20; CC versus
TT: OR = 2.14, 95% CI = 1.24-3.70, P = 0.02; I2 = 19%, P = 0.27; CC versus CT+TT:
OR = 2.04, 95% CI = 1.29-3.24, P = 0.002; I2 = 0%, P = 0.35). Conclusions: The
current meta-analysis suggests that the TGF-beta1 -509C/T polymorphism is not
associated with KD susceptibility. High-quality and large-scale studies are
needed to validate our findings.
PMID- 29799571
TI - Open access software tool for CUSUM analysis in burns - a freely available
download for prospective outcome monitoring.
PMID- 29799570
TI - An educational board game for learning and teaching burn care: A preliminary
evaluation.
AB - Timely and effective assessment, resuscitation and transfer of patients with
severe burns has been demonstrated to improve outcome. A dedicated one-day course
exists to equip all frontline emergency healthcare workers with the necessary
knowledge and skills to manage severe burn injuries. More recently, a board game
has been developed which aims to act as a learning and practice development tool
for those managing burn injuries. We present the findings of our preliminary
evaluation of this game. We played this game with a multidisciplinary group of
staff including doctors, nurses and therapists. A proportion of these
participants had previously completed the Emergency Management of Severe Burns
(EMSB) course. We obtained subjective results from a questionnaire, using both
Likert-type ratings and open-ended questions. The styling of the game and ease of
instructions was rated from 'average' to 'excellent'. The relevance of questions
was rated from 'good' to 'excellent'. The usefulness of the game to increase
knowledge and stimulate discussion was rated between 'good' and 'excellent'. All
participants stated that they would recommend the game to other healthcare
professionals. This is the only burns and plastic surgery-related educational
game in the literature. Educational games adhere to principles of adult learning
but there is insufficient evidence in the literature to either confirm or refute
their utility. Our preliminary evaluation of this game has shown that it achieves
its main aims, namely to increase knowledge in burn care and to stimulate
discussion. Further work is required to assess the board game.
PMID- 29799573
TI - Hair transplantation in burn scar alopecia.
AB - Treating patients with burn alopecia or hair loss can often be a challenge to
both the surgeon and the patient. As with other reconstructive procedures that
are required in the post-burn phase, this is usually a multiple stage process
often requiring surgery over several years. This is because graft take is not as
reliable as in healthy non-scarred skin and may need repeating to achieve
adequate density. Also, different areas of hair loss may need to be addressed in
separate procedures. There are several limiting factors that will determine
whether or not a patient is a candidate for hair restoration which includes but
is not limited to the amount of hair loss and the availability of suitable donor
hair. Here we discuss how the current surgical technique of hair transplant
surgery by follicular unit extraction (FUE) or strip follicular unit transplant
(FUT) has become the treatment of choice for alopecic areas that require a more
refined aesthetic result. Eyebrow, eyelash, beard and scalp hair loss can all
have a negative impact on a burn survivor's self-esteem and even if surgery is
not a possibility, there are non-surgical options available for hair restoration
and these are also discussed.
PMID- 29799572
TI - Toxic epidermal necrolysis and concurrent granulomatosis with polyangiitis
(Wegener's granulomatosis). Management of a rare case and review of the
literature.
AB - Toxic epidermal necrolysis (TEN) is a rare, acute life-threatening mucocutaneous
disorder that is characterised by epidermal loss/exfoliation exceeding 30% total
body surface area (TBSA) and is on a spectrum that includes erythema multiforme
and Stevens-Johnson syndrome (SJS). It is estimated that 80% of TEN cases are
related to medication reactions; the association based on the recognition that
TEN usually develops 1-3 weeks following administration of the suspect drug. It
is agreed that primary treatment consists of prompt withdrawal of causative drugs
and transfer to a regional burn unit. Transfer to a burn unit, no more than 7
days after onset of symptoms, has been acknowledged as reducing the risk of
infections, hospital length of stay and infection-related mortality. Due to the
uncertainty surrounding TEN pathogenesis, several different modalities have been
proposed for the treatment of TEN, including high-dose intravenous
immunoglobulins, plasmapheresis, cyclophosphamide, cyclosporine and systemic
steroids; however, these therapies are relatively ineffective. The use of
systemic corticosteroids for treatment of TEN has in particular been deemed
controversial due to associations with increased infections leading to greater
length of hospital stay and increased mortality. Granulomatosis with polyangiitis
(GPA), formerly known as Wegener's granulomatosis, is a rare relapsing-remitting
disorder of unknown aetiology, characterised by granulomatosis inflammation and
necrotising vasculitis predominantly affecting small- to medium-sized vessels.
While a 5-year survival rate of 75-83% is now realised, relapse and associated
morbidity is of concern. The established treatment for GPA follows the
recommendations of the French National Authority for Health (HAS) for systematic
necrotising vasculitis. With induction treatment, it is recommended that GPA be
treated with a combination of systemic corticosteroids and immunosuppressants. A
review of the literature failed to identify any previous case where both of these
conditions coincide. Our search was conducted through databases which included
MEDLINE, PubMed, Scopus, AMED, CINAHL and EMBASE, using keywords: toxic epidermal
necrolysis, Wegener's granulomatosis, granulomatosis with polyangiitis. We submit
the rare case of a 22-year-old woman who presented to our regional burn unit with
both GPA and TEN, and we discuss the presentation, investigation and
multidisciplinary management of the patient, as well as reviewing the literature
regarding these two conditions.
PMID- 29799574
TI - Burns from ignited household aerosols in the kitchen: a case series.
AB - Aerosol sprays are commonly used products in daily living. Aerosols in kitchen
products have prompted for use of 'food grade' or chemically inert propellants;
however, they commonly contain gases or gaseous mixtures such as butane, propane
and dimethyl ether that are flammable. When such sprays are used near heat
sources, such as kitchen appliances, combustibles in these products can result in
ignition and burn injury. Given the ubiquity of such sprays, surprisingly burns
sustained from household aerosols are not characterised in the literature. We
conducted a retrospective search of all burn patients treated at our hospital
which contains a burn unit. Three patients were identified with burn wounds due
to household aerosol sprays. All three occurred in the kitchen. and were
characterized as first-degree and second-degree burns over the head and neck or
upper extremities with one requiring inpatient admission. Where it may be
perceived a cause of minor injury, household aerosol burns may result in
significant burn injury and hospital admission. Household aerosols and burn
injury are reviewed.
PMID- 29799576
TI - Paraffin-based ointments and fire hazard: understanding the problem, navigating
the media and currently available downloadable patient information.
PMID- 29799575
TI - Assaults from corrosive substances and medico legal considerations in a large
regional burn centre in the United Kingdom: calls for increased vigilance and
enforced legislation.
AB - Burn injuries from corrosive substances have been recognised as a common method
of assault in low and middle income countries (LMICs) motivated by various
factors. Such injuries often leave survivors with severely debilitating physical
and psychological injuries and scars. The number of reported cases of acid
assaults within the United Kingdom (UK) appears to be on the rise. As one of the
largest regional burn centres in the UK, we have reviewed our experience of
chemical burns from assault. This study aims to: (1) review the demographics,
incidence and patient outcomes; (2) evaluate the long-term psychosocial support
provided; and (3) review current criminal litigation proceedings and preventative
legislations in the UK specific to assault by corrosive substances. A 15-year
retrospective review of 21 burn injuries from assault with corrosive substances
presenting to a regional burn unit was conducted. Victims were mostly young men;
male perpetrators were more common. The most common motive cited was assault. The
most common anatomical region affected was the face and neck. The number of
victims who pursue litigation is disproportionately lower than the number of
total cases at presentation. In an effort to better understand the legal
considerations surrounding such assaults, we also collaborated with lawyers
experienced in this particular field. We hope that our work will help educate
healthcare professionals regarding the legal assistance and existing laws
available to protect these patients.
PMID- 29799577
TI - Lasers and ancillary treatments for scar management: personal experience over two
decades and contextual review of the literature. Part I: Burn scars.
AB - The formation of a wide range of excessive scars following various skin injuries
is a natural consequence of healing. Scars resulting from surgery or trauma
affect approximately 100 million people per annum in the developed world and can
have profound physical, aesthetic, psychological and social consequences. Thus,
scar treatment is a priority for the plastic surgeon. We aim to explore new
approaches to the management of such scarring. The senior authors current use of
laser technology, chemotherapeutic agents, pharmacotherapy and cryosurgery will
be reviewed. This is placed in the context of the current literature and evidence
base and is illustrated with case studies, starting with burns scars in part I,
and focusing on keloid and hypertrophic scars in part II, acne scars in part III
and finally pigmented scars in part IV. In Part I we focus on burns scar
treatment with fractional ablative 10,600 nm wavelength carbon dioxide (CO2)
laser therapy.
PMID- 29799578
TI - Brachytherapy in the adjuvant management of keloid scars: literature review.
AB - : Introduction: Radiation therapy is a well-recognised modality for the adjuvant
treatment of keloid scars. It can be conventionally delivered as external beam
using a large apparatus at a distance from the lesion or as brachytherapy with
specialised equipment to enable the delivery of treatment in the immediate
vicinity of the keloidal tissue. Methods: An English literature review was
performed with keywords 'brachytherapy' and 'keloid' using the databases PubMed,
Embase and Web of Science from their individual dates of inception until June
2017. Studies pertinent to the field are presented in a chronological manner to
depict the evolution of different brachytherapy strategies over the last decades.
We also discuss considerations relating to the risk of secondary carcinogenesis,
which are relevant to shared decision-making in the clinical setting. Discussion:
Low dose rate interstitial brachytherapy was first introduced in the English
literature in 1976 and currently appears to have been superseded by more modern
approaches, including high dose rate interstitial brachytherapy. This modality
compares favourably to more traditional modes of radiotherapy in terms of
recurrence as well as rates of symptomatic relief from keloidal symptoms.
Superficial brachytherapy was introduced more recently in the relevant literature
and appears to be associated with favourable therapeutic outcomes compared to
external beam radiation therapy. Conclusion: Brachytherapy is a valid modality of
radiotherapy for the adjuvant treatment of keloid scars, with high dose rate
interstitial and surface regimens gaining in popularity over recent years.
Further research needs to focus on randomised controlled trials to further
establish the role of different radiotherapy modalities in keloid scar
management.
PMID- 29799580
TI - Perspectives on face transplantation.
PMID- 29799581
TI - Intralesional cryotherapy for hypertrophic scars and keloids: a review.
AB - Introduction: Hypertrophic and keloid scarring remain notoriously troublesome for
patients to tolerate and frustratingly difficult for clinicians to treat. Many
different treatment modalities exist, signifying the failure of any method to
achieve consistently excellent results. Intralesional cryotherapy is a relatively
recent development that uses a double lumen needle, placed through the core of a
keloid or hypertrophic scar, to deliver nitrogen vapour, which freezes the scar
from its core, outwards. Methods: This article provides a comprehensive review of
the literature on intralesional cryotherapy for hypertrophic scars and keloids. A
systematic review or meta-analysis was not possible, since the existing articles
did not permit this. Results: A search of English language, peer-reviewed
literature was carried out. The evidence base was found to be low (level 4). In
addition, much of the published evidence comes from a very few groups. Despite
this, consistent findings from case series suggest that the technique is safe and
achieves good scar reduction with very few treatments. Adverse effects include
depigmentation, recurrence and pain. Pain and recurrence appear to be uncommon
and depigmentation may be temporary. Discussion: Well-constructed, prospectively
recruited comparative trials are absent from the literature. These are strongly
encouraged, in order to strengthen general confidence in this technique and in
the repeatability of outcomes reported thus far.
PMID- 29799582
TI - Can intralesional cryotherapy reshape the management of difficult keloid scars?
AB - Keloid scars are notoriously difficult to treat with very high recurrence rates
despite a range of treatment options. We present a case report of a 43-year-old
man with a resistant keloid scar on his left ear from a piercing. After 15 years
of multimodal treatments including surgery, steroid and 5-fluorourcil injections,
the keloid persisted. It has responded very well to a single treatment of
intralesional cryotherapy (trademark: CryoShape, Etgar Group International Ltd).
The authors would now consider intralesional cryotherapy as a useful tool in
their armamentarium for prominent, resistant or recurrent keloids. Future
experience will guide its clinical applications.
PMID- 29799584
TI - Colloid resuscitation in burns: controversies and perspectives.
PMID- 29799583
TI - Treatment of burn scars in Fitzpatrick phototype III patients with a combination
of pulsed dye laser and non-ablative fractional resurfacing 1550 nm
erbium:glass/1927 nm thulium laser devices.
AB - Introduction: Burn scars cause cosmetic disfigurement and psychosocial distress.
We present two Fitzpatrick phototype (FP) III patients with burn scars
successfully treated with combination pulsed dye laser (PDL) and non-ablative
fractional lasers (NAFL). Case 1: A 30-year-old, FP III woman with a history of a
second-degree burn injury to the bilateral arms and legs affecting 30% body
surface area (BSA) presented for cosmetic treatment. The patient received three
treatments with 595 nm PDL (7 mm, 8 J, 6 ms), six with the 1550 nm erbium:glass
laser (30 mJ, 14% density, 4-8 passes) and five with the 1927 nm thulium laser
(10 mJ, 30% density, 4-8 passes). Treated burn scars improved significantly in
thickness, texture and colour. Case 2: A 33-year-old, FP III man with a history
of a second-degree burn injury of the left neck and arm affecting 7% BSA
presented for cosmetic treatment. The patient received two treatments with 595 nm
PDL (5 mm, 7.5 J, 6 ms), four with the 1550 nm erbium:glass laser (30 mJ, 14%
density, 4-8 passes) and two with the 1927 nm thulium laser (10 mJ, 30% density,
4-8 passes). The burn scars became thinner, smoother and more normal in
pigmentation and appearance. Discussion: Our patients' burn scars were treated
with a combination of PDL and NAFL (two wavelengths). The PDL targets scar
hypervascularity, the 1550 nm erbium:glass stimulates collagen remodelling and
the 1927 nm thulium targets epidermal processes, particularly hyperpigmentation.
This combination addresses scar thickness, texture and colour with a low side
effect profile and is particularly advantageous in patients at higher risk of
post-procedure hyperpigmentation. Conclusion: Our cases suggest the combination
of 595nm PDL plus NAFL 1550 nm erbium:glass/1927 nm thulium device is effective
and well-tolerated for burn scar treatment in skin of colour.
PMID- 29799585
TI - The application of Integra in a challenging context.
AB - Integra dermal regeneration template is commonly used in the developed world.
This case history documents the application of Integra for the first time in
Gaza, Palestine. This single case history illustrates how a tried and tested
technique can be imported to a more challenging environment. The emphasis in this
case history is not to recount a well-known technique of Integra application but
to outline how the environment has to be prepared and the surgeon adapt to
minimise risk and maximise the chances of success. This first application of
Integra in Gaza illustrates the importance of starting with simple cases when a
technique is being piloted in an austere environment. Patient selection, local
theatre set up, staff and surgical technique, as well as patient follow-up
facilities, all need consideration before doing the first trial of even this most
established of products in a new environment.
PMID- 29799579
TI - Lasers and ancillary treatments for scar management Part 2: Keloid, hypertrophic,
pigmented and acne scars.
AB - The formation of a wide range of excessive scars following various skin injuries
is a natural consequence of healing. Scars resulting from surgery or trauma
affect approximately 100 million people per annum in the developed world and can
have profound physical, aesthetic, psychological and social consequences. Thus,
scar treatment is a priority for patient and physician alike. Laser treatment
plays an important role in scar management with additional support from ancillary
modalities. Subsequent to part 1: Burns scars, part 2 focuses on our strategies
and literature review of treatment of keloid, hypertrophic, pigmented and acne
scars where lasers are used in conjunction with other measures, and illustrated
with case studies.
PMID- 29799587
TI - Tailoring the hexagonal boron nitride nanomesh on Rh(111) with gold.
AB - It is known that the hexagonal boron nitride (h-BN) monolayer has a periodically
corrugated structure on Rh(111), termed "nanomesh", while the h-BN layer is
planar on the close packed surfaces of coinage metals (Cu, Ag, Au) due the weak
interactions. Our studies are aimed at understanding the metal-h-BN interaction,
when both Rh and Au are present. On the one hand, the growth and thermal
properties of gold deposited on h-BN nanomesh prepared on Rh(111) were studied.
On the other hand, the formation of h-BN was examined on Au/Rh surface alloys
prepared by the deposition of Au on Rh(111) and subsequent annealing at 1000 K.
In each case, the h-BN was prepared by the decomposition of borazine at about
1000 K. Low energy ion scattering (LEIS), X-ray photoelectron spectroscopy (XPS)
and scanning tunneling microscopy (STM) measurements revealed that the growth of
Au on h-BN/Rh(111) at room temperature leads to the formation of mainly three
dimensional (3D) gold nanoparticles, although at low coverages (<0.2 ML) 2D
particles formed as well. Stepwise annealing to higher temperatures induces the
intercalation of Au below the nanomesh, which was complete at around 1050 K. Some
agglomeration and desorption of Au also took place. Interestingly, the nanomesh
structure was observable after intercalation up to relatively large Au coverages.
Measurements performed in the reverse order, namely exposing a Au/Rh(111) surface
alloy to borazine, revealed that Rh atoms get covered by h-BN (or by its
precursors) at significantly smaller borazine exposures than Au atoms. The
nanomesh structure was essentially present up to a gold coverage of 0.9 ML, but
with a smaller pore diameter, while it gradually disappeared at higher gold
amounts. In this way the application of surface alloy supports provides a key for
gradual tuning of the mesh morphology. Density functional theory calculations
confirmed the decreased pore diameter of the BN layer upon the formation of a
surface Rh-Au alloy layer.
PMID- 29799588
TI - A kinetics study of the heterogeneous reaction of n-butylamine with succinic acid
using an ATR-FTIR flow reactor.
AB - Despite increased awareness of the roles played by atmospheric amines and
carboxylic acids in an atmospheric environment, current knowledge of
heterogeneous reactions between atmospheric amines and carboxylic acids,
especially how the reaction rates vary with different ambient conditions
including temperature, relative humidity (RH), and reactant concentration,
remains very scarce. Here, the kinetics of the heterogeneous reaction of n
butylamine (BA) with succinic acid (SA) were studied via a flow system combined
with attenuated total reflection Fourier-transformed infrared spectroscopy (ATR
FTIR) over a wide range of temperatures (263-295 K), BA concentrations (0.145
32.5 ppm), thin film thicknesses (0.05-0.15 MUm), and RHs (0-75%) under
atmospheric pressure conditions for the first time. Pseudo-first-order rate
constant (kapp) and overall reactive uptake coefficient (gamma) values were
derived according to the changes in absorbance from the peak located near 1634 cm
1, which can be assigned to the -COO- antisymmetric stretch (nuas(-COO-)). The
results show that both the kapp and gamma values display very strong temperature
dependence, and low temperatures promote the reaction. According to the kapp
values as a function of temperature, the activation energy for the heterogeneous
reaction is estimated to be -71.9 kJ mol-1. Such a phenomenon indicates that
physisorption of BA at the surface of the SA thin film is probably the rate
limiting step for the overall reaction. Meanwhile, the heterogeneous reaction of
SA with BA follows the Langmuir-Hinshelwood mechanism, which confirms that kapp
is largely dominated by the surface reaction over the bulk phase reaction. In
addition, with increasing RH, both the kapp and gamma values increase
considerably, indicating that the presence of water vapor has a synergistic
effect on the reaction. Water uptake results also show that the hygroscopic
behavior of the thin film is greatly enhanced after BA exposure.
PMID- 29799596
TI - Coke-resistant defect-confined Ni-based nanosheet-like catalysts derived from
halloysites for CO2 reforming of methane.
AB - In this study, halloysites, one of the most abundant clays, with hollow nanotube
features were reconstructed by selectively etching silica from the outermost
layer of the halloysites associated with unzipping the nanotubes to nanosheets
via ball milling, and then, nickel nanoparticles were confined by the resulting
defects in the nanosheets to boost charge transfer by a wet impregnation method.
The obtained materials were developed as coke-resistant defect-confined Ni-based
nanosheet-like catalysts for CO2 reforming of methane (CRM) for the first time.
The as-prepared catalyst exhibited good coke and sintering resistance performance
in CRM, and especially, there was almost no loss of activity even after a 20 h
stability test due to the strong interaction between the Ni nanoparticles and the
support. The present investigations may provide a new pathway for the design and
application of highly coke-resistant CRM catalysts.
PMID- 29799597
TI - Polymorphism of stable collagen fibrils.
AB - Collagen fibrils are versatile self-assembled structures that provide mechanical
integrity within mammalian tissues. The radius of collagen fibrils vary widely
depending on experimental conditions in vitro or anatomical location in vivo.
Here we explore the variety of thermodynamically stable fibril configurations
that are available. We use a liquid crystal model of radial collagen fibril
structure with a double-twist director field. Using a numerical relaxation method
we show that two dimensionless parameters, the ratio of saddle-splay to twist
elastic constants k24/K22 and the ratio of surface tension to chiral strength
[small gamma, Greek, tilde] = gamma/(K22q), largely specify both the scaled
fibril radius and the associated surface twist of equilibrium fibrils. We find
that collagen fibrils are the stable phase with respect to the cholesteric phase
only when the reduced surface tension is small, [small gamma, Greek, tilde] ?
0.2. Within this stable regime, collagen fibrils can access a wide range of radii
and associated surface twists. Remarkably, we find a maximal equilibrium surface
twist of 0.33 rad (19 degrees ). Our results are compatible with corneal collagen
fibrils, and we show how the large surface twist can explain the narrow
distribution of corneal fibril radii. Conversely, we show how small surface twist
is required for the thermodynamic stability of tendon fibrils in the face of
considerable polydispersity of radius.
PMID- 29799598
TI - A theranostic nanoplatform: magneto-gold@fluorescence polymer nanoparticles for
tumor targeting T1&T2-MRI/CT/NIR fluorescence imaging and induction of genuine
autophagy mediated chemotherapy.
AB - Multifunctional nanoparticles, bearing low toxicity and tumor-targeting
properties, coupled with multifunctional diagnostic imaging and enhanced
treatment efficacy, have drawn tremendous attention due to their enormous
potential for medical applications. Herein, we report a new kind of biocompatible
and tumor-targeting magneto-gold@fluorescent polymer nanoparticle (MGFs-LyP-1),
which is based on ultra-small magneto-gold (Fe3O4-Au) nanoparticles and NIR
emissive fluorescent polymers by a solvent-mediated method. This kind of
nanoparticle could be taken up efficiently and simultaneously serve for in vivo
tumor targeting T1&T2-MRI/CT/near infrared (NIR) fluorescence bioimaging.
Furthermore, the nanoparticles exhibit small size, higher tumor targeting
accumulation, excellent cytocompatibility for long-term tracking, and no
disturbing cell proliferation and differentiation. Moreover, clear and convincing
evidence proves that as-synthesized MGFs-LyP-1 could elicit genuine autophagy via
inducing autophagosome formation, which offers a definite synergistic effect to
enhance cancer therapy with doxorubicin (DOX) at a nontoxic concentration through
enhancement of the autophagy flux. Meanwhile, the as-prepared nanoparticles could
be rapidly cleared from mice without any obvious organ impairment. The results
indeed reveal a promising prospect of an MGFs-LyP-1 contrast agent with low
toxicity and high efficiency for promising application in biomedicine.
PMID- 29799599
TI - iRGD-decorated reduction-responsive nanoclusters for targeted drug delivery.
AB - Herein, reduction-responsive disintegratable nanoclusters (NCs) were prepared as
a novel nanovehicle for targeted drug delivery. The NCs, with a diameter of ~170
nm, were self-assembled from hydrophobically modified and iRGD decorated
hydroxyethyl starch (iRGD-HES-SS-C18). DOX was loaded into the NCs as a model
drug. DOX@iRGD-HES-SS-C18 NCs can disintegrate into smaller ones and release DOX
under reduction stimuli. Due to the ligand-receptor binding interactions between
iRGD and integrin alphaV, DOX@iRGD-HES-SS-C18 NCs can specifically bind to the
cell membranes of HepG-2 and 4T1 cells (integrin alphaV positive), resulting in
enhanced cellular uptake as compared to DOX@HES-SS-C18 NCs. After cellular
internalization, the NCs were transported to endosomes/lysosomes in which the
reductive environment triggered the disintegration and DOX release. As a
consequence, DOX@iRGD-HES-SS-C18 NCs exhibited an enhanced antitumor effect as
compared to DOX@HES-SS-C18 NCs and free DOX, in an in vitro antitumor activity
study. The reduction-responsive disintegratable NCs reported here were proved to
be a safe and efficient nanoplatform, holding significant translation potential
for tumor-targeted drug delivery.
PMID- 29799600
TI - Probing the self-assembly dynamics and internal structure of amphiphilic
hyaluronic acid conjugates by fluorescence spectroscopy and molecular dynamics
simulations.
AB - Polymeric nanoparticles are increasingly used as biocompatible carriers for drugs
and imaging agents. Understanding their self-assembly dynamics and morphology is
of ultimate importance to develop nanoformulations with optimal characteristics.
To achieve better performance, it is vital to account for cargo-carrier
interactions at the molecular level. The self-assembly dynamics were studied and
the internal structure of nanoparticles derived from a series of hydrophobically
modified hyaluronic acid was revealed. Environment-sensitive ratiometric
fluorescent probes provide valuable information about the nanoparticle's interior
morphology, and molecular dynamics simulations complement the overall picture
with insights into intramolecular and intermolecular interactions of the polymer,
as well as its interactions with the small-molecule load. van der Waals and pi-pi
interactions of the hydrophobic side fragments play a leading role in self
assembly and loading of hydrophobic small molecules. Aliphatic substituents form
more extensive hydrophobic domains, while aromatic moieties allow more
interaction of the loaded small molecules with the surrounding solvent.
PMID- 29799601
TI - Remote excitation and detection of surface-enhanced Raman scattering from
graphene.
AB - We demonstrate the remote excitation and detection of surface-enhanced Raman
scattering (SERS) from graphene using a silver nanowire as a plasmonic waveguide.
By investigating a nanowire touching a graphene sheet at only one terminal, we
first show the remote excitation of SERS from graphene by propagating surface
plasmon polaritons (SPPs) launched by a focused laser over distances on the order
of 10 MUm. Remote detection of SERS is then demonstrated for the same nanowire by
detecting light emission at the distal end of the nanowire that was launched by
graphene Raman scattering and carried to the end of the nanowire by SPPs. We then
show that the transfer of the excitation and Raman scattered light along the
nanowire can also be visualized through spectrally selective back focal plane
imaging. Back focal plane images detected upon focused laser excitation at one of
the nanowire's tips reveal propagating surface plasmon polaritons at the laser
energy and at the energies of the most prominent Raman bands of graphene. With
this approach the identification of remote excitation and detection of SERS for
nanowires completely covering the Raman scatterer is achieved, which is typically
not possible by direct imaging.
PMID- 29799602
TI - Modulated photochemical reactivities of O-acetylated (3',5'
dimethoxyphenyl)heteroaryl acyloin derivatives under direct irradiation and photo
induced electron transfer conditions.
AB - 3',5'-Dimethoxybenzoin esters are important photoremovable protecting groups
which form 2-phenylbenzofuran derivatives upon photo-release. We utilized a
similar concept to test a photochemical method of installing a benzofuran moiety
to the conjugated backbone by subjecting O-acetylated (3',5'
dimethylphenyl)heteroaryl acyloin derivatives through direct photo irradiation
and a photo-induced electron transfer reaction. These photochemical methods were
explored for a variety of heteroaromatic substrates appended on the ketone part
of the O-acetylated cross-acyloin derivatives. The furan, thiophene and
bithiophene derivatives led to the expected cyclized (benzofuran capped) products
but the derivatives with extended conjugation decomposed under direct
irradiation. However, under irradiation in the presence of an electron donor such
as triethylamine, the extended acyloin derivatives afforded both cyclized and
deacetoxylated products. The semiconducting nature of the extended cyclized
products was also explored and tested for solution-processed organic field effect
transistors, providing a maximum hole mobility of 1.3 * 10-6 cm2 V-1 s-1.
PMID- 29799603
TI - Association Between Premature Hair Greying and Metabolic Risk Factors: A Cross
sectional Study.
AB - The association of hair greying with metabolic syndrome is not well known, while
association with obesity and coronary artery disease has been suggested. A cross
sectional study was conducted to identify an association between premature hair
greying and metabolic risk factors. Of the 1,929 young healthy subjects (1,067
men and 862 women), 704 (36.4%) were categorized in the premature hair greying
group. Waist circumference (means of non-premature hair greying vs. premature
hair greying, 74.3 vs. 76.3 cm; p < 0.001), systolic (109.2 vs. 111.7 mmHg;
p<0.001) and diastolic (65.0 vs. 66.2 mmHg; p = 0.003) blood pressures, and
fasting blood sugar (90.8 vs. 91.6 mg/dl; p = 0.013) were higher and serum high
density lipoprotein cholesterol (68.1 vs 65.4 mg/dl; p < 0.001) was lower in
premature hair greying group. Multivariate logistic regression analysis showed
that metabolic risk factors >= 2 was independently associated with premature hair
greying after controlling for confounding factors (odds ratio 1.725; p = 0.036).
The present study revealed an association between premature hair greying and
metabolic risk factors.
PMID- 29799604
TI - Frequency of return visits to the emergency department in patients discharged
following hypoglycemia episodes.
AB - BACKGROUND: In-hospital observation is typically recommended for patients who
present to the emergency department with symptomatic hypoglycemia who are taking
oral diabetes medications or long acting insulin. Individuals considered to be at
low risk of further hypoglycemic episodes by treating providers are however on
occasion discharged to home when a low suspicion of recurrence and close
observation is available. We describe the frequency of hypoglycemia recurrence
requiring further emergency department evaluation who have been recently
discharged from the emergency department and are taking oral diabetes medications
or long-acting insulin. METHODS: A retrospective chart review was performed over
a 2-year period of time at a large community-based academic emergency department
for patients with an ICD-9 diagnosis of hypoglycemia who were taking oral or
injectable diabetes medications. Patients were included with symptomatic blood
sugar readings less than 55 mg/dL measured by prehospital or hospital providers.
For those discharged from the emergency department, medical records from the
study hospital and nearby health care facilities, Emergency Medical Service
reports, and county death records were reviewed to determine recurrence of
symptoms requiring care. RESULTS: There were 196 patients discharged over the
study period with 10 (5.1%) patients returning to the emergency department within
48 h with recurrent hypoglycemia. Return visits occurred in 4 of 144 taking
insulin alone; 2.8% (CI 1.1-6.9%), in 3 of 19 patients taking oral agents alone;
15.8% (CI 5.5-37.5%), and in 3 of 33 patients taking both insulin and oral
medications; 9.1% (CI 3.1-23.6%). Frequency of hypoglycemia recurrence requiring
repeat ED visits was more common in those taking oral agents compared to
individuals taking insulin alone (p = 0.04). All 7 individuals with recurrent
hypoglycemia who were taking insulin were taking long-acting insulin
preparations. No discharged patients were identified on Emergency Medical Service
refusal of care reports or county death records. CONCLUSION: Individuals
discharged from the emergency department following hypoglycemic episodes who were
taking oral diabetes medications are at a greater risk than individuals taking
insulin alone of a return emergency department visit within 48 h for recurrent
hypoglycemia.
PMID- 29799606
TI - Clinical relevance of ANCA in small-vessel vasculitis: positioning of antigen
specific immunoassays.
PMID- 29799605
TI - Vitamin D level and risk of systemic lupus erythematosus and rheumatoid
arthritis: a Mendelian randomization.
AB - The aim of this study was to examine whether the vitamin D level is causally
associated with risk of systemic lupus erythematosus (SLE) or rheumatoid
arthritis (RA). We performed two-sample Mendelian randomization (MR) analyses
using the inverse-variance weighted (IVW), weighted median, and MR-Egger
regression methods on publicly available summary statistics datasets using two
vitamin D level genome-wide association studies (GWASs) as exposure and SLE and
RA GWASs on people of European descent as outcomes. We selected three independent
single-nucleotide polymorphisms located at SSTR4 (rs2207173), GC (rs2282679), and
NADSYN1 (3829251) with genome-wide significance from two GWASs on vitamin D
levels as instrumental variables. The IVW, weighted median, and MR-Egger
regression methods yielded no evidence of a causal association between vitamin D
level and risk of SLE (beta = 0.032, SE = 0.119, p = 0.789; beta = 0.233, SE =
0.274, p = 0.552; beta = 0.054, SE = 0.125, p = 0.665; respectively) or RA (beta
= 0.026, SE = 0.061, p = 0.664; beta = 0.025, SE = 0.065, p = 0.695; beta =
0.025, SE = 0.065, p = 0.695; respectively). In addition, MR-Egger regression
revealed directional pleiotropy was unlikely to be biasing the result for SLE
(intercept = - 0.058, p = 0.545) or RA (intercept = - 0.027, p = 0.558). The MR
estimates from IVW, weighted median, and MR-Egger regression analyses were
consistent. MR analysis did not support a causal association between the vitamin
D level and SLE or RA.
PMID- 29799607
TI - Inoculation with the endophyte Piriformospora indica significantly affects
mechanisms involved in osmotic stress in rice.
AB - BACKGROUND: Rice is a drought susceptible crop. A symbiotic association between
rice and mycorrhizal fungi could effectively protect the plant against sudden or
frequent episodes of drought. Due to its extensive network of hyphae, the
endophyte is able to deeply explore the soil and transfer water and minerals to
the plant, some of them playing an important role in mitigating the effects of
drought stress. Moreover, the endophyte could modify the expression of drought
responsive genes and regulate antioxidants. RESULTS: Three rice genotypes, WC-297
(drought tolerant), Caawa (moderately drought tolerant) and IR-64 (drought
susceptible) were inoculated with Piriformospora indica (P. indica), a dynamic
endophyte. After 20 days of co-cultivation with the fungus, rice seedlings were
subjected to 15% polyethylene glycol-6000 induced osmotic stress. P. indica
improved the growth of rice seedlings. It alleviated the destructive effects of
the applied osmotic stress. This symbiotic association increased seedling
biomass, the uptake of phosphorus and zinc, which are functional elements for
rice growth under drought stress. It boosted the chlorophyll fluorescence,
increased the production of proline and improved the total antioxidant capacity
in leaves. The association with the endophyte also up regulated the activity of
the Pyrroline-5-carboxylate synthase (P5CS), which is critical for the synthesis
of proline. CONCLUSION: A mycorrhizal association between P. indica and rice
seedlings provided a multifaceted protection to rice plants under osmotic stress
(- 0.295 MPa).
PMID- 29799608
TI - Performance of a novel, manually operated intraosseous device in adult human
cadavers.
AB - AIM: Intraosseous (IO) access in adults is preferred using semi-automatic devices
as it is difficult to penetrate the thick cortical layer of long bones using
manual needles. The authors have developed an IO device which generates both
rotational and axial thrust using a manual driver. This drilling mechanism
addresses certain pain-points of current IO devices. The objective of this study
was to evaluate the performance of this device in human cadavers. METHODS: The
authors tested the ability of this device for IO access at proximal and distal
tibia in 10 adult cadavers. Needle position was confirmed by fluoroscopy after
contrast injection. Needle penetration time-defined as the time required for
manual drilling of bone-and the total procedure time were calculated from video
analysis. A successful IO procedure was defined as an appropriate needle position
without any contrast extravasation, device, or procedure-related complication.
After each procedure, the authors recorded damage to the device or fracture of
the bone. RESULTS: A single physician performed 40 IO procedures. The IO access
was successful in 35 (87.5 percent) and was accomplished in first attempt in 33
(82.5 percent) insertions. Reasons for failure were undershooting of needle
(2/40, 5 percent), trocar damage (1/40, 2.5 percent), and detachment of plastic
hub of the needle during removal in (2/40, 5 percent) procedures. There were no
bone fractures. In all but one instance, needle penetration time was <3 seconds.
The mean total procedure time was 40 +/- 13 seconds. CONCLUSION: In this pilot
study, the authors have demonstrated the efficacy of a novel, manually introduced
IO device in adult cadavers.
PMID- 29799609
TI - Validation of a novel irritant gas syndrome triage algorithm.
AB - OBJECTIVE: Our objective was to validate a novel irritant gas syndrome agent
(IGSA) triage algorithm for use in an emergency department (ED). We assessed
efficiency, accuracy, and precision of our IGSA triage algorithm based on
signs/symptoms of actual patients. DESIGN: After characterizing the
signs/symptoms of an actual IGSA exposure event, we developed and validated the
IGSA triage algorithm using a simulated computer exercise to compare the IGSA
triage algorithm to the preferred hospital triage algorithm, the Emergency
Severity Index (ESI). SETTING: This study was a simulated computer exercise using
surveys developed in Research Electronic Data Capture software. Nurse volunteers
simulated triaging 298 patients. PARTICIPANTS: Patient data included 146 patients
treated during the disaster as well as 152 unexposed patients. Twenty-six nurse
volunteers were assigned to triage the patients using one of the algorithms in
the simulated computer exercise. MAIN OUTCOME MEASURE(S): The precision of the
IGSA triage algorithm was 0.82 (confidence interval [CI] 0.78-0.85) and ESI 0.73
(CI 0.69-0.77). Weighted kappa for ESI and IGSA accuracy for exposed patients was
0.32 (95% CI 0.26-0.37) and 0.81 (95% CI 0.77-0.85), respectively. RESULTS: The
IGSA triage algorithm was more accurate and precise than the ESI algorithm for
triaging patients exposed to an irritant gas. CONCLUSIONS: This study validates
the IGSA triage algorithm as the basis for the development of a prototype
software application to quickly identify victims of a chemical disaster and
triage patients efficiently and accurately with the potential to dramatically
improve the processing of patients in EDs.
PMID- 29799610
TI - Post-traumatic stress symptoms following sniper attacks: Effects of television
viewing and identification with victims.
AB - OBJECTIVE: A series of sniper attacks in the Washington, DC, area left 10 people
dead and three wounded. The authors examined the relationship of sniper-related
television (TV) viewing, identification with victims, and peritraumatic
dissociation to post-traumatic stress symptoms. METHODS: Participants were 1,238
DC residents (ages 18-90, M = 41.7; 51 percent female; 68 percent White) who
completed an online survey including items assessing identification, amount of
TV, peritraumatic dissociation, and post-traumatic stress symptoms.
Identification was measured by assessing to what extent participants identified
victims as similar to themselves, a friend, or a family member. Peritraumatic
dissociation and post-traumatic stress symptoms were assessed with the
Peritraumatic Dissociative Experiences Questionnaire and Impact of Event Scale
Revised. Relationships of TV viewing, identification, and peritraumatic
dissociation to post-traumatic stress symptoms were examined by univariable and
multivariable regressions and variable interactions. RESULTS: Female gender and
higher levels of TV viewing, identification, and peritraumatic dissociation were
each related to greater post-traumatic stress symptoms. After adjusting for
gender and the predictor variables, higher TV viewing was associated with post
traumatic stress symptoms (B = 0.72, p < 0.01, DeltaR2 =0.05). Participants with
greater identification (B = 0.85, p < 0.001, DeltaR2 = 0.08) and peritraumatic
dissociation (B = 1.58, p < 0.001, DeltaR2 = 0.39) reported more post-traumatic
stress symptoms. Among those with both high (B = 1.19, p < 0.001) and low TV
viewing, identification was associated with post-traumatic stress symptoms. Among
those reporting low TV viewing, this association was greater for those who
experienced more peritraumatic dissociation (B = -0.09, p < 0.001). CONCLUSIONS:
Trauma-related TV viewing, which may stimulate identification and peritraumatic
dissociation, is an important consideration in understanding development of post
traumatic stress symptoms.
PMID- 29799611
TI - Tourniquet use in the prehospital setting: Are they being used appropriately?
AB - OBJECTIVE: The objective of this study was to evaluate tourniquet use in the
Hartford prehospital setting during a 34-month period after the Hartford
Consensus was published, which encouraged increasing tourniquet use in light of
military research. DESIGN: This was a retrospective review of patients with
bleeding from a serious extremity injury to determine appropriateness of
tourniquet use or omission. SETTING: Level II trauma center between April 2014
and January 2017. PARTICIPANTS: Eighty-four patients met inclusion criteria and
were stratified based on tourniquet use during prehospital care. MAIN OUTCOME
MEASURES: Five of the 84 patients received a tourniquet. All five of those
tourniquets (100 percent of the group, 6.0 percent of the population) were not
indicated and deemed inappropriate. Three of the 84 patients did not receive a
tourniquet when one was indicated (3.8 percent of the group, 3.6 percent of the
population) and these omissions were also deemed inappropriate. Total error rate
was 9.5 percent (8/84). RESULTS: There was a significant association between
Mangled Extremity Severity Score (MESS) and likelihood of requiring a tourniquet
(p = 0.0013) but not between MESS and likelihood of receiving a tourniquet (p =
0.1055). There was also a significant association between wrongly placed
tourniquets and the type of providers who placed them [first responders, p =
0.0029; Emergency Medicine Technicians (EMTs), p = 0.0001]. CONCLUSIONS:
Tourniquets are being used inappropriately in the Hartford prehospital setting.
Misuse is associated with both EMTs and first responders, highlighting the need
for better training and more consistent protocols.
PMID- 29799612
TI - Responding to a medical crisis: Lessons from the Halifax disaster 100 years ago.
AB - One hundred years ago, a massive explosion occurred in the harbor of Halifax,
Nova Scotia, destroying the city and killing more than 2,000 and injuring more
than 9,000. It was the worst manmade explosion the world had ever seen, not
exceeded until the atomic bomb blast over Hiroshima in 1945. An urgent appeal for
assistance came from the survivors, and many volunteers responded. This report
describes the prompt and remarkable medical relief effort of the citizens of
Massachusetts to help their Canadian neighbors.
PMID- 29799613
TI - The Canadian Paediatric Triage and Acuity Scale algorithm for interfacility
transport.
AB - OBJECTIVE: Determining pediatric severity of illness in referring centers may be
useful for establishing appropriate patient disposition and interfacility
transport. For this retrospective review, the authors evaluated the Canadian
Paediatric Triage and Acuity Scale (PaedCTAS) tool in regards to individual
patient disposition and outcomes. METHODS: A disposition score using the PaedCTAS
algorithm was retrospectively calculated from referring center data at the time
our transport team was consulted. Data included children < 17 years transported
to our tertiary pediatric center between April 2013 and March 2014. Patients were
excluded if transported because of elective or planned interventions,
investigations, and/or treatment. RESULTS: A total of 194 pediatric patients were
identified, with 49 requiring a pediatric intensive care unit (PICU) admission. A
PaedCTAS assessment of 1 was the only transport characteristic evaluated that was
significantly associated (odds ratio [OR] 6.15; p < 0.0001) with PICU admissions,
with an area under the receiver-operating characteristic curve of 0.72 (95% CI
0.64, 0.77). On multivariate analysis, a PaedCTAS assessment of 1 was also
associated with a length of hospital stay greater than 3 days (OR 1.81; 95% CI
0.99, 3.31; p = 0.05). CONCLUSIONS: A PaedCTAS assessment of 1 may be a
reasonable predictor for PICU admissions and longer hospitalizations when
calculated in referral centers at time of pediatric transport consultation.
PaedCTAS assessments may provide useful adjuvant information for specialized
pediatric transport programs.
PMID- 29799614
TI - Impact of intolerance of uncertainty on psychological well-being in pregnant
women with or without miscarriage risk.
AB - PURPOSE: This study seeks to examine the impact of intolerance of uncertainty on
the psychological well-being of pregnant women who have or do not have a risk of
miscarriage. DESIGN AND METHODS: The study was done as a case-control study. The
Intolerance of Uncertainty Scale, Psychological Well-being Scale, and a
participant description form were used for data collection purposes. FINDINGS:
Psychological well-being decreased when uncertainty intolerance increased in
pregnant women with or without a risk of miscarriage. PRACTICE IMPLICATIONS: The
professional support provided to pregnant women at risk of miscarriage
contributes to the psychological well-being of the women.
PMID- 29799616
TI - Associations between periodic social events and status epilepticus-An 11-year
cohort study.
AB - OBJECTIVES: Periodic social events may influence the incidence and course of
status epilepticus (SE), likely explained by patients' behavioral changes
regarding alcohol intake, sleep, and compliance with antiseizure medication.
However, data regarding the association between such events and SE are lacking.
The aim of this study was to identify and quantify associations between periodic
social events and the incidence, etiology, and outcome of SE. METHODS: Adult
patients who were admitted to a tertiary academic medical care center with SE
from 2005 to 2015 were included. Associations between periodic social events
(including birthday, Christmas, New Year's Eve, carnival, national holiday) and
the number and etiologies of SE over time were calculated using linear and
Poisson regression. Logistic regression was applied to identify associations
between time from social events and outcome. RESULTS: Four hundred nine patients
with a median age of 66 years (interquartile range 52-76) were analyzed. The
number of total SE events and SE in patients with known epilepsy peaked within 2
weeks following social events and then decreased with each additional day
(incidence rate ratio [IRR]per day 0.99, 95% confidence interval [CI] 0.98-0.99;
P < .001 and IRRper day 0.99, 95% CI 0.98-0.99; P < .001, respectively) and week
(IRRper week 0.94, 95% CI 0.93-0.95; P < .001 and IRRper week 0.94, 95% CI 0.92
0.96; P < .001, respectively). The highest proportion of epilepsy patients not
taking antiseizure medication was seen closest to social events and decreased
thereafter (IRRper day 0.99, 95% CI 0.98-0.99; P = .003). There was no
association between time from social events and outcome. SIGNIFICANCE: Our
findings support the hypothesis that periodic social events in adults may be
associated with an increase in SE and should heighten awareness for SE in this
context. Clinicians are urged to inform epilepsy patients regarding this
association and to instruct them on preventive measures around such events.
PMID- 29799615
TI - Preoperative radiation therapy in the surgical management of gastric and
junctional adenocarcinoma: Should lymph node retrieval guidelines be altered?
AB - BACKGROUND: Although surgery remains the cornerstone of gastric cancer therapy,
the use of radiation therapy (RT) is increasingly being employed to optimize
outcomes. We sought to assess outcomes following use of RT for the treatment of
gastric adenocarcinoma. METHODS: Using the National Cancer Data Base (NCDB) from
1998 to 2012, all patients with resected gastric adenocarcinoma were identified.
Patients were stratified into four groups based on preoperative therapy: RT
alone, chemotherapy only, chemoradiotherapy (CRT), and no preoperative therapy.
Overall survival was estimated using multivariate Cox proportional hazards model.
Adjusted secondary outcomes include margin positivity, lymph node harvest, LOS,
30-day readmission and mortality. RESULTS: A total of 10 019 patients met study
criteria. In the unadjusted analysis, patients undergoing CRT compared to
chemotherapy alone had fewer positive margins (7.9% vs 15.9%; P < 0.001),
increased negative LNs (54.6% vs 37.7%; P < 0.001) with reduced LN retrieval
(mean: 13.5 vs 19.6; P < 0.01). After multivariate adjustment, there was no
survival benefit to any preoperative therapy; however, preoperative RT/CRT
remained associated with decreased LN retrieval. CONCLUSIONS: The results support
previous reports on preoperative RT resulting in decreased margin positivity.
This study highlights the need to reconsider practice guidelines regarding
appropriate lymphadenectomy in the setting of preoperative RT given reduced LN
retrieval.
PMID- 29799617
TI - Preimplantation genetic testing of Robertsonian translocation by SNP array-based
preimplantation genetic haplotyping.
AB - OBJECTIVES: The present study attempted to confirm a method that distinguishes a
balanced Robertsonian translocation carrier embryo from a truly normal embryo in
parallel with comprehensive chromosome screening (CCS). METHODS: Comprehensive
chromosome screening was performed in 107 embryos from 11 couples carrying
Robertsonian translocations. Among them, embryos from 2 families had been
transferred before the diagnosis of translocation, which resulted in successful
pregnancies; embryos from the remaining families were transferred after the
identification of translocations. The single nucleotide polymorphism (SNP)
genotypes were acquired on a genome-wide basis, and breakpoint regions and
flanking were assessed by establishing haplotypes. The predicted karyotypes from
the transferred embryos were confirmed by prenatal diagnosis. RESULTS: Among the
9 families finally undergoing translocation diagnosis, the amniotic cell
karyotypes of 3 families were concordant with the results predicted by
preimplantation genetic haplotyping, revealing a good consistency rate. After
CCS, the euploid embryos from 2 other families could not be further detected
because of the absence of abnormal embryos as probands. CONCLUSIONS: Molecular
karyotypes and haplotypes could be established with SNP microarray simultaneously
in each embryo. SNP array-based PGT can simultaneously complete the CCS and
identify Robertsonian translocation carriers, thus making it possible to prevent
Robertsonian translocations from being passed to subsequent generations.
PMID- 29799618
TI - Outcomes of cardiac resynchronization therapy using left ventricular quadripolar
leads.
AB - BACKGROUND: Quadripolar left ventricular (LV) leads provide a more optimal pacing
configuration for cardiac resynchronization therapy (CRT) than conventional
bipolar leads. The objective of this study is to determine the clinical outcomes
of CRT using quadripolar leads. METHODS: This study included 516 CRT patients who
received bipolar LV leads (n = 278) or quadripolar LV leads (n = 238) from
January 2013 to June 2016. Data were retrospectively collected from a prospective
CRT database and electronic health records. CRT response to CRT was defined as
>5% improvement in the LV ejection fraction (LVEF) from baseline. Baseline
characteristics and outcomes were compared between groups. RESULTS: New York
Heart Association Functional Classification and LVEF significantly improved in
the quadripolar and bipolar groups after CRT. There was no difference in the all
cause mortality rate. The implant success rate was significantly higher in the
quadripolar group (100% vs 97.8%; P = 0.02). Quadripolar lead placement was an
independent predictor of CRT response at 12 months (hazard ratio, 0.76; 95%
confidence interval, 0.58-0.98; P = 0.04). The rate of LV lead-related
complications requiring invasive lead revision or abandonment was significantly
higher in the bipolar group (11.2% vs 4.6%; P = 0.007). CONCLUSIONS: Quadripolar
leads achieve similar CRT outcomes as bipolar LV leads but with a higher implant
success rate and fewer procedure-related complications.
PMID- 29799619
TI - How attention gates social interactions.
AB - Social interactions are at the core of social life. However, humans selectively
choose their exchange partners and do not engage in all available opportunities
for social encounters. In this review, we argue that attentional systems play an
important role in guiding the selection of social interactions. Supported by both
classic and emerging literature, we identify and characterize the three core
processes-perception, interpretation, and evaluation-that interact with
attentional systems to modulate selective responses to social environments.
Perceptual processes facilitate attentional prioritization of social cues.
Interpretative processes link attention with understanding of cues' social
meanings and agents' mental states. Evaluative processes determine the perceived
value of the source of social information. The interplay between attention and
these three routes of processing places attention in a powerful role to manage
the selection of the vast amount of social information that individuals encounter
on a daily basis and, in turn, gate the selection of social interactions.
PMID- 29799621
TI - Simulation of phase I metabolism reactions of clozapine by HLM and photocatalytic
methods with the use of UHPLC-ESI-MS/MS.
AB - In this study the comparison of human liver microsomes in in vitro incubation as
well as ZnO- and TiO2 -assisted photocatalytic degradation of clozapine as a
mimicking method of phase I metabolism transformation was performed. Based on
reversed-phase UHPLC separation and high-resolution MS/MS data, eight
transformation products were identified and seven of them were found to be
hepatic metabolites of the parent compound. The multivariate chemometric
comparison of the obtained results shows ZnO-assisted photocatalysis to be a more
suitable approach to phase I metabolism simulation. The photocatalytic
experiments demonstrated that the disappearance of clozapine followed pseudo-zero
order kinetics.
PMID- 29799620
TI - A Novel method to generate on-board 4D MRI using prior 4D MRI and on-board kV
projections from a conventional LINAC for target localization in liver SBRT.
AB - PURPOSE: On-board MRI can provide superb soft tissue contrast for improving liver
SBRT localization. However, the availability of on-board MRI in clinics is
extremely limited. On the contrary, on-board kV imaging systems are widely
available on radiotherapy machines, but its capability to localize tumors in soft
tissue is limited due to its poor soft tissue contrast. This study aims to
explore the feasibility of using an on-board kV imaging system and patient prior
knowledge to generate on-board four-dimensional (4D)-MRI for target localization
in liver SBRT. METHODS: Prior 4D MRI volumes were separated into end of
expiration (EOE) phase (MRIprior ) and all other phases. MRIprior was used to
generate a synthetic CT at EOE phase (sCTprior ). On-board 4D MRI at each
respiratory phase was considered a deformation of MRIprior . The deformation
field map (DFM) was estimated by matching DRRs of the deformed sCTprior to on
board kV projections using a motion modeling and free-form deformation
optimization algorithm. The on-board 4D MRI method was evaluated using both XCAT
simulation and real patient data. The accuracy of the estimated on-board 4D MRI
was quantitatively evaluated using Volume Percent Difference (VPD), Volume Dice
Coefficient (VDC), and Center of Mass Shift (COMS). Effects of scan angle and
number of projections were also evaluated. RESULTS: In the XCAT study,
VPD/VDC/COMS among all XCAT scenarios were 10.16 +/- 1.31%/0.95 +/- 0.01/0.88 +/-
0.15 mm using orthogonal-view 30 degrees scan angles with 102 projections. The
on-board 4D MRI method was robust against the various scan angles and projection
numbers evaluated. In the patient study, estimated on-board 4D MRI was generated
successfully when compared to the "reference on-board 4D MRI" for the liver
patient case. CONCLUSIONS: A method was developed to generate on-board 4D MRI
using prior 4D MRI and on-board limited kV projections. Preliminary results
demonstrated the potential for MRI-based image guidance for liver SBRT using only
a kV imaging system on a conventional LINAC.
PMID- 29799622
TI - An Evaluation of Efficacy and Safety of Commonly Prescribed Drugs and Effect of
These Drugs on Quality of Sleep in Patients Suffering From Zoster-Associated
Pain.
AB - This observational, prospective, single-center study was conducted to evaluate
the efficacy and safety of commonly prescribed drugs for zoster-associated pain
and their impact on quality of sleep at a tertiary care hospital in western
India. Patients >=18 years of age, newly diagnosed with zoster-associated pain
were evaluated on days 0, 7, 14, 30, 60, 90, 120, 150, and 180 or until
resolution of pain, whichever was earlier, using the Wong Baker FACES Pain Rating
Scale, Neuropathic Pain Scale, and Insomnia Severity Index for intensity of pain,
quality of pain, and quality of sleep, respectively. A total of 78 patients (46.0
[16.3] years) completed the study. They received nonsteroidal anti-inflammatory
drugs (65), gabapentin (30), amitriptyline (27), and amitriptyline + gabapentin
(21) for mean durations of 7.7 (3.0), 89.2 (7.2), 107.6 (46.3), and 104.5 (46)
days, respectively. Improvement in the Wong Baker FACES Pain Rating Scale and
Neuropathic Pain Scale score was similar among treatment groups except for a
greater fall in Wong Baker FACES Pain Rating Scale score at days 7 and 120 and
that in deep pain score at day 7 in combination treatment group vs the
amitriptyline group. Clinically significant insomnia was detected in 35 patients
at baseline and demonstrated progressive and similar improvement among groups.
Treatment modification was required in 20 patients. Zoster-associated pain
resolved in 69 patients. Nine adverse drug reactions, mostly mild, nonserious,
and nonpreventable, were reported. To conclude, drugs commonly used for zoster
associated pain are effective and well tolerated. These have a similar effect on
pain and quality of sleep, except for a possible greater effect of combination
treatment in the early phase of intense and deep pain.
PMID- 29799623
TI - Antiepileptic drug polytherapy in pregnant women with epilepsy.
AB - OBJECTIVE: To study seizure control and rates of foetal malformation in
pregnancies of women with epilepsy treated with antiepileptic drug polytherapy.
METHODS: The use of conventional statistical methods to analyse the Australian
Pregnancy Register records of 1810 pregnancies in women with epilepsy, 508
treated with antiepileptic drug polytherapy. RESULTS: Polytherapy-treated
pregnancies were less often seizure free than monotherapy-treated ones, for both
focal (36.0% vs 51.9%: P < .05) and primary generalized epilepsies (41.1% vs
69.3%; P < .05). Drug combinations with dissimilar and similar mechanisms of
action achieved similar rates of seizure freedom during pregnancy (36.3% vs
38.3%). The increased rate of malformed foetuses in polytherapy pregnancies
depended on valproate or topiramate being in the drug combinations. The
combinations of lamotrigine and levetiracetam offered the chance of seizure
control and foetal safety. CONCLUSIONS: In pregnancy, the use of antiepileptic
drug combinations is not necessarily disadvantageous to mother and foetus if
valproate and topiramate are avoided.
PMID- 29799624
TI - Effects of heterospecific pollen from a wind-pollinated and pesticide-treated
plant on reproductive success of an insect-pollinated species.
AB - PREMISE OF THE STUDY: Studies on the effects of heterospecific pollen (HP)
transfer have been focused mainly on insect-pollinated species, despite evidence
of insect visitation to wind-pollinated species and transfer of their pollen onto
stigmas of insect-pollinated plants. Thus, the potential consequences of HP
transfer from wind-pollinated species remain largely unknown. Furthermore,
accumulation of pesticide residues in pollen of wind-pollinated crops has been
documented, but its potential effects on wild plant species via HP transfer have
not been tested. METHODS: We evaluated the effect of wind-dispersed Zea mays
pollen on pollen tube growth of the insect-pollinated Mimulus nudatus via hand
pollinations. We further evaluated whether pesticide-contaminated Z. mays pollen
has larger effects on M. nudatus pollen success than non-contaminated Z. mays
pollen. KEY RESULTS: We found a significant negative effect of Z. mays pollen on
M. nudatus pollen tube growth even when deposited in small amounts. However, we
did not observe any difference in the magnitude of this effect between pesticide
laden Z. mays pollen and non-contaminated Z. mays pollen. CONCLUSIONS: Our
results suggest that wind-pollinated species can have negative effects as HP
donors on insect-pollinated recipients. Thus, their role in shaping co-flowering
interactions for wind- and insect-pollinated species deserves more attention.
Although we did not find evidence that pesticide contamination increased HP
effects, we cannot fully rule out the existence of such an effect, because pollen
load and thus the pesticide dose applied to stigmas was low. This result should
be confirmed using other HP donors and across a range of HP loads, pesticide
types, and concentrations.
PMID- 29799626
TI - A quick glance at noteworthy articles in this month's issue.
PMID- 29799625
TI - Calcineurin Inhibitor and Nonsteroidal Anti-inflammatory Drug Interaction:
Implications of Changes in Renal Function Associated With Concurrent Use.
AB - Evidence for a drug interaction between calcineurin inhibitors (CNIs) and
nonsteroidal anti-inflammatory drugs (NSAIDs) is meager, and the magnitude of
risk for adverse renal effects associated with this interaction is unclear. To
explicate these uncertainties, sequential measures of kidney function were
evaluated in hospitalized adult solid organ or allogeneic hematopoietic stem cell
transplant recipients who received maintenance CNI therapy and concurrent
treatment with an oral or parenteral NSAID. A comparator group of closely matched
transplant recipients on CNI therapy who did not receive NSAID treatment during
hospitalization was similarly evaluated. Among inpatients on CNIs, treatment
emergent acute kidney injury occurred in 5 of 41 (12.2%) patients exposed to
concurrent NSAIDs and in 7 of 126 (5.6%) of matched patients who were not exposed
to NSAIDs (relative risk ratio 2.20, 95% confidence interval 0.74 to 6.54).
During hospitalization, an increase in serum creatinine above baseline occurred
in 80.5% of patients on CNI therapy who were exposed to NSAIDs as compared with
56.3% of patients on CNIs who were not exposed (P = .001). NSAID administration
was an independent predictor for a rapid increase in serum creatinine (P = .026).
The event rate for worsened renal function was highest among patients exposed to
parenteral ketorolac. Because the likelihood of developing treatment-related
worsening of renal function is increased with combined use of CNIs and NSAIDs,
concurrent use of these medications is inadvisable. Patients and clinicians
should be counseled accordingly.
PMID- 29799627
TI - Histological effects and pharmacokinetics of lipopolysaccharide derived from
Porphyromonas gingivalis on rat maxilla and liver concerning with progression
into non-alcoholic steatohepatitis.
AB - BACKGROUND: Non-alcoholic steatohepatitis (NASH) is one of the chronic liver
diseases that can develop into hepatocirrhosis. The purpose of the present study
was to investigate the impact of lipopolysaccharide (LPS) from Porphyromonas
gingivalis (P. gingivalis) on NASH onset, and to determine the biodistribution of
double-radiolabeled LPS (R-LPS) biosynthesized in P. gingivalis. METHODS: Rats
fed a basal diet (BD) or a high-fat diet (HD) were injected with P. gingivalis
LPS or R-LPS into the palatine gingiva around the right maxillary first molar,
and were classified into the following 4 groups: BD/LPS (-), BD/LPS (+), HD/LPS (
), and HD/LPS (+) or 2 groups: BD/R-LPS and HD/R-LPS. RESULTS: Inflammation in
the gingiva of the LPS (+) groups progressed significantly more than the LPS (-)
groups. Furthermore, in the HD/LPS (+) liver, histologic analysis confirmed the
presence of NASH, characterized by large fat droplets, ballooning degeneration,
and infiltration of inflammatory cells. When 3 H, 14 C-R-LPS was injected into
the palatine gingiva, radioactivity in the right palatal mucosa of HD/R-LPS rats
was the highest in comparison with other regions and was significantly elevated
after 24 hours compared to BD/R-LPS rats. Autoradiographic analysis of the
maxilla showed distributions from the palatal mucosa to the hard palate and the
interdental region. Radioactivity in organs of both BD/R-LPS and HD/R-LPS rats
were mostly localized to the liver even after 24 hours. CONCLUSION: The present
study suggests that the transfer of P. gingivalis-LPS from the oral cavity to the
liver plays an important role in disease exacerbation of NASH.
PMID- 29799628
TI - Semaphorin 4D promotes inhibitory synapse formation and suppresses seizures in
vivo.
AB - OBJECTIVE: We previously discovered a role for the extracellular domain of the
transmembrane protein semaphorin 4D (Sema4D) as a fast-acting, selective, and
positive regulator of functional gamma-aminobutyric acid (GABA)ergic synapse
formation in hippocampal neuronal culture. We also demonstrated that Sema4D
treatment increases inhibitory tone and suppresses hyperexcitability in an
organotypic hippocampal slice culture model of epilepsy. Here, we investigate the
ability of Sema4D to promote GABAergic synapse formation and suppress seizure
activity in vivo in adult mice. METHODS: We performed a 3-hour, intrahippocampal
infusion of Sema4D or control protein into the CA1 region of adult mice. To
quantify GABAergic presynaptic bouton density, we performed immunohistochemistry
on hippocampal tissue sections isolated from these animals using an antibody that
specifically recognizes the glutamic acid decarboxylase isoform 65 protein
(GAD65), which is localized to presynaptic GABAergic boutons. To assess seizure
activity, we employed 2 in vivo mouse models of epilepsy, intravenous (iv)
pentylenetetrazol (PTZ) and hippocampal electrical kindling, in the presence or
absence of Sema4D treatment. We monitored seizure activity by behavioral
observation or electroencephalography (EEG). To assay the persistence of the
Sema4D effect, we monitored seizure activity and measured the density of GAD65
positive presynaptic boutons 3 or 48 hours after Sema4D infusion. RESULTS: Sema4D
treated mice displayed an elevated density of GABAergic presynaptic boutons
juxtaposed to hippocampal pyramidal neuron cell bodies, consistent with the
hypothesis that Sema4D promotes the formation of new inhibitory synapses in vivo.
In addition, Sema4D acutely suppressed seizures in both the PTZ and electrical
kindling models. When we introduced a 48-hour gap between Sema4D treatment and
the seizure stimulus, seizure activity was indistinguishable from controls.
Moreover, immunohistochemistry on brain sections or hippocampal slices isolated 3
hours, but not 48 hours, after Sema4D treatment displayed an increase in
GABAergic bouton density, demonstrating temporal correlation between the effects
of Sema4D on seizures and GABAergic synaptic components. SIGNIFICANCE: Our
findings suggest a novel approach to treating acute seizures: harnessing
synaptogenic molecules to enhance connectivity in the inhibitory network.
PMID- 29799629
TI - Simulation study of a high-performance brain PET system with dodecahedral
geometry.
AB - PURPOSE: In brain imaging, the spherical PET system achieves the highest
sensitivity when the solid angle is concerned. However, it is not practical. In
this work, we designed an alternative sphere-like scanner, the dodecahedral
scanner, which has a high sensitivity in imaging and a high feasibility to
manufacture. We simulated this system and compared the performance with a few
other dedicated brain PET systems. METHODS: Monte Carlo simulations were
conducted to generate data of the dedicated brain PET system with the
dodecahedral geometry (11 regular pentagon detectors). The data were then
reconstructed using the in-house developed software with the fully three
dimensional maximum-likelihood expectation maximization (3D-MLEM) algorithm.
RESULTS: Results show that the proposed system has a high-sensitivity
distribution for the whole field of view (FOV). With a depth-of-interaction (DOI)
resolution around 6.67 mm, the proposed system achieves the spatial resolution of
1.98 mm. Our simulation study also shows that the proposed system improves the
image contrast and reduces noise compared with a few other dedicated brain PET
systems. Finally, simulations with the Hoffman phantom show the potential
application of the proposed system in clinical applications. CONCLUSIONS: In
conclusion, the proposed dodecahedral PET system is potential for widespread
applications in high-sensitivity, high-resolution PET imaging, to lower the
injected dose.
PMID- 29799630
TI - Fetal heart rate short term variation during labor in relation to scalp blood
lactate concentration.
AB - INTRODUCTION: Fetal heart rate short term variation (STV) decreases with severe
chronic hypoxia in the antenatal period. However, only limited research has been
done on STV during labor. We have tested a novel algorithm for a valid baseline
estimation and calculated STV. To explore the value of STV during labor, we
compared STV with fetal scalp blood (FBS) lactate concentration, an early marker
in the hypoxic process. MATERIAL AND METHODS: Software was developed which
estimates baseline frequency using a novel algorithm and thereby calculates STV
according to Dawes and Redman in up to four 30-minute blocks prior to each FBS.
Cardiotocography traces from 1070 women in labor who had had FBS performed on
2134 occasions were analyzed. RESULTS: In acidemic cases (lactate >4.8 mmol/L;
Lactate ProTM), median STV 30 minutes prior to FBS was 7.10 milliseconds compared
with 6.09 milliseconds in the preacidemic (4.2-4.8 mmol/L) and 5.23 milliseconds
in the normal (<4.2 mmol/L) groups (P < .05). There was a positive correlation
between lactate and STV (rho = 0.16-0.24; P < .05). Median lactate concentration
in cases with STV <3.0 milliseconds (n = 160) was 2.3 mmol/L. When 2 FBS were
performed within 60 minutes the change rate of lactate correlated to STV (rho =
0.33; P < .001). Cases with increasing lactate concentration had a median STV of
5.29 milliseconds vs 4.41 milliseconds in those with decreasing lactate (P <
.001). CONCLUSIONS: In the early stages of intrapartum hypoxia, STV increases,
contrary to findings regarding chronic hypoxia in the antenatal period. The
increase in the adrenergic surge is a likely explanation.
PMID- 29799632
TI - Developing a robust LC-MS/MS method to quantify Zn-DTPA, a zinc chelate in human
plasma and urine.
AB - Quantitation of Zn-DTPA (zinc diethylenetriamene pentaacetate, a metal chelate)
in complex biological matrix is extremely challenging on account of its special
physiochemical properties. This study aimed to develop a robust and specific
liquid chromatography-tandem mass spectrometry (LC-MS/MS) method for
determination of Zn-DTPA in human plasma and urine. The purified samples were
separated on Proteonavi (250 * 4.6 mm, 5 MUm; Shiseido, Ginza, Tokyo, Japan) and
a C18 guard column. The mobile phase consisted of methanol-2 mm ammonium formate
(pH 6.3)-ammonia solution (50:50:0.015, v/v/v), flow rate 0.45 mL/min. The linear
concentration ranges of the calibration curves for Zn-DTPA were 1-100 MUg/mL in
plasma and 10-2000 MUg/mL in urine. The intra- and inter-day precisions for
quality control (QC) samples were from 1.8 to 14.6% for Zn-DTPA and the
accuracies for QC samples were from -4.8 to 8.2%. This method was fully validated
and successfully applied to the quantitation of Zn-DTPA in plasma and urine
samples of a healthy male volunteer after intravenous infusion administration of
Zn-DTPA. The result showed that the concentration of Zn-DTPA in urine was about
20 times that in plasma, and Zn-DTPA was completely (94.7%) excreted through
urine in human.
PMID- 29799631
TI - Metabolic alterations in triptolide-induced acute hepatotoxicity.
AB - Triptolide, a major active constitute of Tripterygium wilfordii Hook. F, is
prescribed for the treatment of autoimmune diseases in China. One of its most
severe adverse effects observed in the clinical use is hepatotoxicity, but the
mechanism is still unknown. Therefore, the present study applied an LC/MS-based
metabolomic analysis to characterize the metabolomic changes in serum and liver
induced by triptolide in mice. Mice were administered triptolide by gavage to
establish the acute liver injury model, and serum biochemical and liver
histological analyses were applied to assess the degree of toxicity. Multivariate
data analyses were performed to investigate the metabolic alterations. Potential
metabolites were identified using variable importance in the projection values
and Student's t-test. A total of 30 metabolites were observed that were
significantly changed by triptolide treatment and the abundance of 29 metabolites
was correlated with the severity of toxicity. Pathway analysis indicated that the
mechanism of triptolide-induced hepatotoxicity was related to alterations in
multiple metabolic pathways, including glutathione metabolism, tricarboxylic acid
cycle, purine metabolism, glycerophospholipid metabolism, taurine and hypotaurine
metabolism, pantothenate and CoA biosynthesis, pyrimidine metabolism and amino
acid metabolism. The current study provides new mechanistic insights into the
metabolic alterations that lead to triptolide-induced hepatotoxicity.
PMID- 29799633
TI - Simultaneous determination of tapentadol and its carbamate prodrug in rat plasma
by UPLC-MS/MS and its application to a pharmacokinetic study.
AB - A prodrug of tapentadol, namely tapentadol carbamate (WWJ01), was synthesized to
improve the bioavailability of tapentadol owing to its extensive first-pass
metabolism. In this study, a highly rapid and sensitive UPLC-MS/MS method was
developed and validated for the simultaneous determination of tapentadol and
WWJ01 in rat plasma with fluconazole as an internal standard. The analytes and
internal standard were treated by methanol and then separated on a Phenomenex
Kinetex(r) XB-C18 (2.1 * 50 mm * 2.6 MUm) column at a flow rate of 0.3 mL/min.
The mobile phase comprised methanol and water with a gradient elution. The mass
transition ion-pairs were m/z 222.2 -> 107.0, m/z 293.2 -> 71.9 and m/z 307.1 ->
220.0 for tapentadol, WWJ01 and IS, respectively. Excellent linearity was
observed over the concentration range of 2-1250 ng/mL (r = 0.995) with a lower
limit of quantification of 2 ng/mL for both tapentadol and WWJ01. The intra- and
inter-day accuracy and precision for all quality control samples were within +/
15%. The validated method was accurate, rapid and reproducible, and was
successfully applied to a pharmacokinetic study of tapentadol and WWJ01.
PMID- 29799634
TI - Technical Note: Harmonic analysis applied to MR image distortion fields specific
to arbitrarily shaped volumes.
AB - PURPOSE: Magnetic resonance imaging is expected to play a more important role in
radiation therapy given the recent developments in MR-guided technologies. MR
images need to consistently show high spatial accuracy to facilitate RT-specific
tasks such as treatment planning and in-room guidance. The present study
investigates a new harmonic analysis method for the characterization of complex
three-dimensional (3D) fields derived from MR images affected by system-related
distortions. METHODS: An interior Dirichlet problem based on solving the Laplace
equation with boundary conditions (BCs) was formulated for the case of a 3D
distortion field. The second-order boundary value problem (BVP) was solved using
a finite elements method (FEM) for several quadratic geometries - that is,
sphere, cylinder, cuboid, D-shaped, and ellipsoid. To stress-test the method and
generalize it, the BVP was also solved for more complex surfaces such as a
Reuleaux 9-gon and the MR imaging volume of a scanner featuring a high degree of
surface irregularities. The BCs were formatted from reference experimental data
collected with a linearity phantom featuring a volumetric grid structure. The
method was validated by comparing the harmonic analysis results with the
corresponding experimental reference fields. RESULTS: The harmonic fields were
found to be in good agreement with the baseline experimental data for all
geometries investigated. In the case of quadratic domains, the percentage of
sampling points with residual values larger than 1 mm was 0.5% and 0.2% for the
axial components and vector magnitude, respectively. For the general case of a
domain defined by the available MR imaging field of view, the reference data
showed a peak distortion of about 1 mm and 79% of the sampling points carried a
distortion magnitude larger than 1 mm (tolerance intrinsic to the experimental
data). The upper limits of the residual values after comparison with the harmonic
fields showed max and mean of 1.4 and 0.25 mm, respectively, with only 1.5% of
sampling points exceeding 1 mm. CONCLUSIONS: A novel harmonic analysis approach
relying on finite element methods was introduced and validated for multiple
volumes with surface shape functions ranging from simple to highly complex. Since
a boundary value problem is solved the method requires input data from only the
surface of the desired domain of interest. It is believed that the harmonic
method will facilitate (a) the design of new phantoms dedicated for the
quantitation of MR image distortions in large volumes and (b) an integrative
approach of combining multiple imaging tests specific to radiotherapy into a
single test object for routine imaging quality control.
PMID- 29799636
TI - Th1 immune response to Plasmodium falciparum recombinant thrombospondin-related
adhesive protein (TRAP) antigen is enhanced by TLR3-specific adjuvant, poly(I:C)
in BALB/c mice.
AB - Sporozoite-based malaria vaccines have provided a gold standard for malaria
vaccine development, and thrombospondin-related adhesive protein (TRAP) serves as
the main vaccine candidate antigen on sporozoites. As recombinant malaria vaccine
candidate antigens are poorly immunogenic, additional appropriate
immunostimulants, such as an efficient adjuvant, are highly essential to modulate
Th1-cell predominance and also to induce a protective and long-lived immune
response. In this study, polyinosinic:polycytidylic acid [poly(I:C)], the ligand
of TLR3, was considered as the potential adjuvant for vaccines targeting stronger
Th1-based immune responses. For this purpose, BALB/c mice were immunized with
rPfTRAP delivered in putative poly(I:C) adjuvant, and humoural and cellular
immune responses were determined in different immunized mouse groups. Delivery of
rPfTRAP with poly(I:C) induced high levels and titres of persisted and also high
avidity anti-rPfTRAP IgG antibodies comparable to complete Freund's adjuvant
(CFA)/incomplete Freund's adjuvant (IFA) adjuvant after the second boost. In
addition, rPfTRAP formulated with poly(I:C) elicited a higher ratio of IFN
gamma/IL-5, IgG2a/IgG1, and IgG2b/IgG1 than with CFA/IFA, indicating that
poly(I:C) supports the induction of a stronger Th1-based immune response. This is
a first time study which reveals the potential of rPfTRAP delivery in poly(I:C)
to increase the level, avidity and durability of both anti-PfTRAP cytophilic
antibodies and Th1 cytokines.
PMID- 29799637
TI - Alcohol Consumption and Trait Anger Strengthen the Association Between Perceived
Quarrelsomeness and Quarrelsome Behavior via Feeling Angry.
AB - BACKGROUND: Alcohol intoxication facilitates interpersonal aggression, but this
effect depends on person and situation characteristics. Using the Alcohol Myopia
Model, we examined the joint influence of alcohol, trait anger, and state anger
on the association between perceived quarrelsomeness in an interaction partner
and quarrelsome behavior in naturally occurring interpersonal interactions.
METHODS: Using an event-contingent recording method over a 20-day period,
community adults reported their perception of an interaction partner's
quarrelsome behavior, their own anger and quarrelsome behavior, and the number of
alcohol drinks consumed up to 3 hours prior to an interpersonal interaction.
RESULTS: Results revealed that alcohol consumption and trait anger jointly
moderated the association between perceived quarrelsomeness and quarrelsome
behavior indirectly via state anger. Heightened anger experience accounted for
increased quarrelsome behavior in response to perceived quarrelsomeness among
higher trait anger individuals who reported increased alcohol consumption. When
no alcohol was consumed, no such difference in quarrelsome behavioral response
was found between low and high trait anger individuals. CONCLUSIONS: Findings
suggest that alcohol consumption may strengthen the influence of perceived
quarrelsomeness on a person's own quarrelsome behavior among individuals with a
readiness to experience anger. Intense anger experience may undermine these
individuals' ability to inhibit aggressive behaviors when under the influence of
alcohol.
PMID- 29799635
TI - Comparison of functional localization accuracy with different co-registration
strategies in presurgical fMRI for brain tumor patients.
AB - PURPOSE: Presurgical fMRI is an important tool for surgery navigation in
achieving maximum resection of a brain tumor. However, the functional
localization accuracy may be compromised by spatial transformation from echo
planar images to high-resolution structural images. We evaluated functional
localization errors associated with the spatial transformation process using
three algorithms commonly applied to the presurgical fMRI in the clinic. METHODS:
MR images of 20 brain tumor patients for presurgical evaluation of eloquent areas
near motor cortices were analyzed. All fMRI data were spatially transferred to 3D
T1-weighted images using three algorithms: (a) coordinate matching (CM), (b)
automated registration (AR), and (c) AR plus manual adjustment (ARadj ).
Activation clusters overlaid on original echo-planar images were manually
delineated on slice-matched 2D T1- weighted images and then transferred to the 3D
T1-weighted image volume, and served as the reference localization. Functional
localization errors were estimated by measuring the distance between the
reference localization and the activation cluster after spatial transformation
and then compared for the three algorithms. RESULTS: The 3D Euclidean distance
for AR (10.2 +/- 4.9 mm) was found to be significantly larger (P < 0.05) than
those for CM (5.6 +/- 2.6 mm) and ARadj (5.8 +/- 3.0 mm) algorithms. The
difference between the localization errors in CM and ARadj was not statistically
significant. CONCLUSIONS: A procedure was proposed to evaluate functional
localization errors associated with spatial transformation in presurgical fMRI.
Our results highlighted the necessity of routine quality control for the AR
processing in the clinic.
PMID- 29799638
TI - Factors that distinguish aggression toward animals from other antisocial
behaviors: Evidence from a community sample.
AB - Animal cruelty is a form of passive and active aggression that is largely
undocumented and unreported. Given that animals are voiceless victims, we have to
rely on witnesses and frontline staff (e.g., veterinarians) to report incidents
of abuse, which suggests the number of convicted animal abusers is an under
representation of actual perpetrators. The primary aim of the current study was
to identify the static and dynamic factors that distinguish animal abusers from
non-abuse offenders (i.e., individuals who self-reported antisocial behavior, but
not animal abuse), and non-offenders (i.e., individuals who have not engaged in
any antisocial behavior) in a community sample. The secondary aim was to identify
the potential pathways that distinguish animal abuse perpetration from other
types of antisocial behavior. Three hundred and eighty-four participants took
part in this retrospective, correlational study. We found that animal abusers
share similar socio-demographic characteristics to other offenders but are
distinct in their exposure to animal harm/killing during childhood. Low animal
oriented empathy and low self-esteem distinguished animal abusers from non-abuse
offenders when controlling for confound variables and other psychological
characteristics. We also found that low animal-oriented empathy mediated the
relationship between childhood exposure to animal killing and animal abuse
perpetration, and that this relationship was stronger among participants with
anger regulation issues. This is the first study to examine similarities and
differences between animal abusers, non-abuse offenders, and non-offenders on
socio-demographic and psychological characteristics. The findings highlight
potential treatment targets that are unique to animal abusers with implications
for prevention and intervention strategies.
PMID- 29799639
TI - The effects of music on hospitalised preterm neonates.
PMID- 29799640
TI - Thiamine Levels in Muscle and Eggs of Adult Pacific Salmon from the Fraser River,
British Columbia.
AB - Multiple species and stocks of Pacific salmon Oncorhynchus spp. have experienced
large declines in the number of returning adults over a wide region of the
Pacific Northwest due to poor marine survival (low smolt-to-adult survival
rates). One possible explanation for reduced survival is thiamine deficiency.
Thiamine (vitamin B1 ) is an essential vitamin with an integral role in many
metabolic processes, and thiamine deficiency is an important cause of salmonid
mortality in the Baltic Sea and in the Laurentian Great Lakes. To assess this
possibility, we (1) compared muscle thiamine content over time in a holding
experiment using Fraser River (British Columbia) Sockeye Salmon O. nerka to
establish whether adults that died during the holding period had lower thiamine
levels than survivors, (2) measured infectious loads of multiple pathogens in
held fish, and (3) measured egg thiamine content from four species of Pacific
salmon collected on Fraser River spawning grounds. Chinook Salmon O. tshawytscha
had the lowest egg thiamine, followed by Sockeye Salmon; however, egg thiamine
concentrations were above levels known to cause overt fry mortality. Thiamine
vitamers in the muscle of Fraser River adult Sockeye Salmon shifted over a 13-d
holding period, with a precipitous decline in thiamine pyrophosphate (the active
form of thiamine used in enzyme reactions) in surviving fish. Survivors also
carried lower loads of Flavobacterium psychrophilum than fish that died during in
the holding period. Although there is no evidence of thiamine deficiency in the
adults studied, questions remain about possible thiamine metabolism-fish pathogen
relationships that influence survival.
PMID- 29799641
TI - Identification of Two Pathogenic Aeromonas Species Isolated from Juvenile Burbot
during Production-Related Epizootics.
AB - In response to population declines of North American Burbot Lota lota maculosa
(hereafter, Burbot), conservation aquaculture methods have been developed for
this species. In general, Burbot are relatively resistant to many salmonid
pathogens; however, cultured juvenile Burbot have experienced periodic epizootic
disease outbreaks during production. A series of trials was conducted to
determine the virulence of select bacteria isolated from juvenile Burbot after
outbreaks that occurred in 2012 and 2013 at the University of Idaho's Aquaculture
Research Institute. Initial clinical diagnostics and sampling resulted in the
isolation of numerous putative bacterial pathogens. To determine which bacteria
were the most likely causative agents contributing to these epizootics, juvenile
Burbot received intraperitoneal (IP) injections of select bacteria in log-phase
growth. Mortality associated with specific isolates was recorded, and more
comprehensive challenges followed this initial screening. These challenges used
side-by-side IP and immersion methods to expose Burbot to potential pathogens.
The challenges resulted in significantly higher mortalities in fish after IP
injection with two Aeromonas sp. isolates compared to controls, but no
significant difference in mortality for immersion-challenged groups was observed.
Results demonstrate that two Aeromonas sp. isolates cultured from the epizootics
are virulent to Burbot.
PMID- 29799643
TI - Why and where do children die?
PMID- 29799642
TI - High-dose Bendamustine-EAM followed by autologous stem cell rescue results in
long-term remission rates in lymphoma patients, without renal toxicity.
AB - BACKGROUND: Autologous stem cell transplantation (ASCT) following BEAM (BCNU,
etoposide, cytarabine, melphalan) conditioning is standard of care in relapsed
low- and high-grade B-cell lymphoma (DLBCL) and other lymphoproliferative
disorders, but BCNU is associated with interstitial pneumonia and an increased
mortality. A less toxic regimen might improve the outcome of patients with
lymphoma after transplantation. OBJECTIVES: We investigated the role of
bendamustine replacing BCNU in the BEAM regimen in patients with lymphoma
undergoing ASCT. PATIENTS/METHODS: The conditioning regimen BendaEAM consisted of
bendamustine, cytarabine, etoposide, and melphalan and was used in patients with
Hodgkin's disease (HD) and Non-Hodgkin lymphoma (NHL). RESULTS: Forty-one
patients with HD (n = 9) or NHL (n = 32) were consecutively treated with Benda
BEAM replacing BCNU. No pulmonary or renal toxicities occurred, and no patient
died related to transplant. After a median follow-up of 55 months, CR rate was
56%, 18 patients (44%) showed progression after a median time of 7 months after
transplantation (range: 2-29 months), and 11 patients (24%) have died, all due to
lymphoma progression. The 1-, 2-, and 4-year PFS are 73.2%, 58.6%, and 55.6% and
the 1-, 2-, and 4-year OS 85.4%, 78.0%, and 72.6%, respectively. CONCLUSION:
BendaEAM seems to be feasible with a promising response rate and acceptable
toxicity.
PMID- 29799644
TI - Reply to mucosal melanoma: clinical and genetic profile.
PMID- 29799645
TI - Expanding the chemical space of anti-HCV NS5A inhibitors by stereochemical
exchange and peptidomimetic approaches.
AB - Here we report a series of potent anti-HCV agents bearing a symmetrical benzidine
l-prolinamide backbone with different capping groups including alkyl/aryl
carbamates of natural and unnatural valine and leucine amino acids. All compounds
were investigated for their inhibitory activity in an HCV replicon assay on
genotype 1b. The novel compounds share some chemical and clinical attributes of
commercially available NS5A inhibitors. Compounds 5 and 6 with unnatural capping
residue and ethyl and isobutyl carbamates showed EC50 values in the picomolar
range with a low toxicity profile and selectivity indices of several orders of
magnitude. These findings enlarge the chemical space from which NS5A inhibitors
may be discovered by adopting unnatural amino acids, amino acids other than
valine and carbamates other than methyl as the capping groups.
PMID- 29799646
TI - Primary role of barrier dysfunction in the pathogenesis of atopic dermatitis.
AB - Based upon the efficacy of recently developed biologics, the pathogenesis of
atopic dermatitis (AD) is being attributed once again to the prominent
inflammation that occurs in this disorder. Yet, molecular genetics has clearly
shown that the aetiology of AD can be attributed to mutations in stratum corneum
structural proteins that impact epidermal barrier function, while inflammation
instead emerges as a downstream consequence of a sustained, barrier-driven
cytokine cascade. Although several different mutations that compromise barrier
function are associated with AD, all of these mutations compromise either the
contents or secretion of epidermal lamellar bodies. Therapies directed at
specific immune participants, though effective temporarily, inevitably are
followed by "rebound flares," just as occur following glucocorticoid therapy.
While occlusive moisturizers dampen inflammation, they do not address the
underlying lipid biochemical abnormality in AD, which can be corrected more
specifically with topical, physiologic lipid-based forms of barrier repair
therapy (BRT). Accordingly, BRT has been shown to be as effective as topical, mid
potency steroids for the treatment of moderate-to-severe paediatric AD.
PMID- 29799647
TI - Biochemically Controlled Release of Dexamethasone Covalently Bound to PEDOT.
AB - PEDOT (Poly(3,4-ethylenedioxythiophene)) is one of the most promising electrode
materials for biomedical applications like neural recording and stimulation,
thanks to its enhanced biocompatibility and electronic properties. Drug delivery
by PEDOT is typically achieved by incorporating drugs as dopants during the
electrodeposition procedure and a subsequent release can be promoted by applying
a cathodic trigger that reduces PEDOT while enabling the drug to diffuse. This
approach has several disadvantages including, for instance, the release of
contaminants mainly due to PEDOT decomposition during electrochemical release.
Herein we describe a new strategy based on the formation of a chemical linkage
between the drug and the conductive polymer. In particular, dexamethasone was
successfully integrated into a new electropolymerized PEDOT-Dex composite,
leading to a self-adjusting drug release system based on a biochemically
hydrolysable bond between dexamethasone and PEDOT.
PMID- 29799648
TI - The PERIOPTER syndrome (periorificial and ptychotropic erythrokeratoderma): a new
Mendelian disorder of cornification.
PMID- 29799649
TI - Implementation of an Opioid Detoxification Management Pathway Reduces Emergency
Department Length of Stay.
AB - OBJECTIVES: With the rise of opioid use in the United States, the increasing
demand for treatment for opioid use disorders presents both a challenge and an
opportunity to develop new care pathways for emergency department (ED) patients
seeking opioid detoxification. We set out to improve the care of patients
presenting to our ED seeking opioid detoxification by implementing a standardized
management pathway and to measure the effects of this intervention. METHODS: We
conducted a before-after study of the effects of an opioid detoxification
management pathway on ED length of stay (EDLOS), use of resources (social worker
consultation, laboratory tests obtained), and return visits to the same ED within
30 days of discharge. All data were collected retrospectively by review of the
electronic health record. RESULTS: Ultimately, 107 patients presented to the ED
that met criteria, 52 in the intervention period and 55 in the preintervention
period. Median EDLOS in the intervention period was 152 (interquartile range
[IQR] = 93-237) minutes compared to 312 (IQR = 187-468) minutes in the
preintervention period (p < 0.001). Patients in the intervention period less
frequently had a social work consultation (32.7% vs. 83.6%, p < 0.001) or had
laboratory tests obtained (32.7% vs 74.5%, p < 0.001) and more frequently were
prescribed a medication for withdrawal symptoms (57.7% vs. 29.1%, p = 0.003).
CONCLUSIONS: Implementation of an opioid detoxification management pathway
reduced EDLOS, reduced utilization of resources, and increased the proportion of
patients prescribed medications for symptom relief.
PMID- 29799650
TI - Why is Benzene Unique? Screening Magnetic Properties of C6 H6 Isomers.
AB - Magnetic properties are commonly used to identify new aromatic molecules because
it is generally believed that magnetization and energetic stability are
correlated. To verify the potential correlation between the energy and magnetic
response properties, we examined a set of 198 isomers of C6 H6 . The energy and
magnetic properties of these molecules can be directly compared with no need to
invoke any arbitrary reference state because the studied systems are all isomers.
Benzene is the global minimum on the potential energy surface of C6 H6 , 35 kcal
mol-1 lower in energy than the second most stable isomer, fulvene. Unlike its
electronic energy, isotropic magnetizability of benzene is slightly lower than
the average magnetizability of its isomers. Altogether, 44 isomers of C6 H6 were
identified to have more negative magnetic susceptibility than benzene but were
between 67.0 to 168.6 kcal mol-1 higher in energy than benzene. However, benzene
is unique in two ways. Analyzing the paramagnetic contribution to the magnetic
susceptibility as originally suggested by Bilde and Hansen (Mol. Phys., 1997, 92,
237) revealed that 53 molecules have lower paramagnetic susceptibility than
benzene but among monocyclic systems benzene has the least paramagnetic
susceptibility. Furthermore, benzene has the largest out-of-plane magnetic
susceptibility that originates from the strongest ring current among all studied
species.
PMID- 29799651
TI - Auroramycin: A Potent Antibiotic from Streptomyces roseosporus by CRISPR-Cas9
Activation.
AB - Silent biosynthetic gene clusters represent a potentially rich source of new
bioactive compounds. We report the discovery, characterization, and biosynthesis
of a novel doubly glycosylated 24-membered polyene macrolactam from a silent
biosynthetic gene cluster in Streptomyces roseosporus by using the CRISPR-Cas9
gene cluster activation strategy. Structural characterization of this polyketide,
named auroramycin, revealed a rare isobutyrylmalonyl extender unit and a unique
pair of amino sugars. Relative and absolute stereochemistry were determined by
using a combination of spectroscopic analyses, chemical derivatization, and
computational analysis. The activated gene cluster for auroramycin production was
also verified by transcriptional analyses and gene deletions. Finally,
auroramycin exhibited potent anti-methicillin-resistant Staphylococcus aureus
(anti-MRSA) activity towards clinical drug-resistant isolates.
PMID- 29799652
TI - The effect of fishmeal inclusion and prebiotic supplementation on the hindgut
faecal microbiota of farmed Tasmanian Atlantic salmon (Salmo salar L.).
AB - AIMS: Factors such as seasonal temperature and diet components, for example,
fishmeal (FM) inclusion, can influence the composition of the gut microbiota of
fish. In this study, we examined changes in the gut bacterial populations, in
particular lactic acid bacteria (LAB), of farmed Tasmanian Atlantic salmon in
response to different diets, during periods of higher water temperature. METHODS
AND RESULTS: Between December 2011 and March 2012 hindgut faecal samples were
collected from Atlantic salmon from a commercial fish farm in south of Hobart,
Tasmania, fed with one of four trial diets containing either high or low FM
inclusion levels with or without prebiotics. Overall there was little difference
in the cultivatable bacterial populations in response to varying levels of FM and
prebiotic supplementation, with LAB counts decreasing in response to increased
water temperatures. However, it was observed that the high FM diet supported the
presence of LAB in January, when these were not detected in the low FM diets.
CONCLUSIONS: Our study indicates that the inclusion of higher amounts of FM
rather than the addition of prebiotics has a greater effect on LAB colonization
of the gut in Atlantic salmon. SIGNIFICANCE AND IMPACT OF THE STUDY: This study
highlights the importance of the new fish feeds for promoting salmon health in
aquaculture industry.
PMID- 29799653
TI - Bringing research to the bedside: Knowledge translation in the mental health care
of burns patients.
AB - Advances in surgical techniques and wound management have improved outcomes for
burn patients; however, the psychological impacts on burn survivors have had less
attention. There is a higher rate of mental health disorders amongst burns
victims, with those with pre-existing mental health conditions likely to have
worse outcomes. To implement effective burns care and rehabilitation, knowledge
and understanding of mental health issues is required. This position paper
discusses the extent to which clinicians currently translate knowledge around
mental health and burns into practice to identify enables and inhibitors.
Successful knowledge translation requires dissemination and accessibility of
information with the capacity and readiness for change. Clinicians and
researchers need to identify how translating research to practice can meet the
needs of burn survivors. There is a gap in the utilization of evidence concerning
mental health and the needs of burns survivors, and we need to understand what we
know as compared to what we do. Clinicians are well placed to determine how and
why knowledge does not necessarily translate to practice and how they can better
accommodate the needs of burn survivors.
PMID- 29799654
TI - The negative ecological impacts of a globally introduced species decrease with
time since introduction.
AB - While there is a long-history of biological invasions and their ecological
impacts have been widely demonstrated across taxa and ecosystems, our knowledge
on the temporal dynamic of these impacts remains extremely limited. Using a meta
analytic approach, we investigated how the ecological impacts of non-native brown
trout (Salmo trutta), a model species with a 170-year-long and well-documented
history of intentional introductions across the globe, vary with time since
introduction. We first observed significant negative ecological impacts
immediately after the species introduction. Second, we found that the negative
ecological impacts decrease with time since introduction and that the average
ecological impacts become nonsignificant more than one century after
introduction. This pattern was consistent across other ecological contexts (i.e.,
geographical location, levels of biological organization, and methodological
approach). However, overall negative ecological impacts were more pronounced at
the individual and population levels and in experimental studies. While the
mechanisms leading to this decrease remain to be determined, our results indicate
that rapid response of native organisms (e.g. adaptation, but also local
extinction) may play an important role in this dynamic. Changes in native species
traits and local extinction can have important conservation implications.
Therefore, we argue that the decline of the negative ecological impacts over time
should not be used as an argument to neglect the negative impacts of biological
invasions.
PMID- 29799655
TI - Adherence to Canada's Food Guide recommendations among Alberta's multi-ethnic
youths is a major concern: findings from the WHY ACT NOW project.
AB - BACKGROUND: Dietary habits formed during youth may result in the development of
obesity and chronic diseases in adulthood. We aimed to determine the frequency of
the consumption of foods and beverages and the degree of adherence to Canada's
Food Guide recommendations among multi-ethnic youths. METHODS: Participants were
recruited from 12 schools in the Edmonton, Alberta area by use of posters, school
newsletters and advertisements. A 30-item food frequency questionnaire was
administered by a trained interviewer to assess dietary intake in a convenience
sample of 557 (328 females and 229 males) youths aged 11-23 years; for the
purpose of the present study, only the 14-18 years age group was considered in
the analysis. Participants were divided by sex and self-identified ethnicity into
four groups [Indigenous, African & Middle Eastern (AME), Asian, and European].
Statistical analysis of the data was undertaken using t-tests, Welch's analysis
of variance and Games-Howell tests. P < 0.05 was considered statistically
significant. RESULTS: Vegetables and Fruit recommendations were the least likely
to be followed, with 90.7-96.8% of participants in all groups not consuming the
recommended number of servings day-1 . The mean frequency of fruit consumption
was lower among Indigenous youths compared to Asian youths (0.90 versus 1.37
times day-1 ). A greater proportion of males than females (55.9% versus 44.3%)
did not meet the minimum recommendations for Meat and Alternatives (P = 0.016).
The percentage of youths not adhering to recommendations for Milk and
Alternatives was 81.7% for Indigenous, 73.3% for AME, 78.6% for Asian and 63.5%
for European youths. Indigenous youths more frequently consumed potato chips and
soft drinks compared to other ethnic youths. The most frequently consumed
beverage was milk (1.25 times day-1 ). CONCLUSIONS: The majority of youths did
not consume minimum daily recommended servings of Vegetables and Fruit, Milk and
Alternatives, and/or Meat and Alternatives food groups. Evidence-based dietary
interventions and public health strategies are needed.
PMID- 29799656
TI - Modulatory effects of some natural products on hepatotoxicity induced by
combination of sodium valproate and paracetamol in rats.
AB - Possible hepatoprotective effect of Curcuma longa and/or Nigella sativa against
hepatotoxicity induced by coadministration of sodium valproate (SV) and
paracetamol was studied. Rats were divided into 10 groups, control groups 1, 2,
3, and 4 received vehicles, C. longa (200 mg/kg, p.o.), N. sativa (250 mg/kg,
p.o.), or both herbs for 21 days, respectively. Toxicity groups 5, 6, and 7
received SV (300 mg/kg, i.p.), paracetamol (1000 mg/kg, p.o.) for the last 4 days
or both for 21 days, respectively. Protection groups 8, 9, and 10 received C.
longa, N. sativa, or both, respectively, 1 h before the administration of both
the drugs for 21 days. SV and/or paracetamol significantly increased aspartate
aminotransferase (AST), alanine aminotransferase (ALT), alkaline phosphatase
(ALP), total bilirubin, relative liver/body weight ratio, malondialdehyde (MDA),
tumor necrosis factor alpha (TNF-alpha), and caspase-3 (Casp-3) while
significantly decreased albumin, total protein, glutathione (GSH) reduced, GSH
peroxidase, and superoxide dismutase (SOD). Preadministration of C. longa and/or
N. sativa caused protective effect against the hepatotoxicity induced by both
drugs.
PMID- 29799657
TI - Access to Functionalized Imidazolidin-2-one Derivatives by Iron-Catalyzed
Oxyamination of Alkenes.
AB - Functionalized imidazolidin-2-one were prepared by using an iron-catalyzed alkene
oxyamination reaction. Hydroxylamine derivatives were used in this atom
economical process, and the addition of an external oxidant was not required. The
conditions developed were shown to be efficient for mono-, di-, and
trisubstituted double bonds, and a large scope of diamino alcohol precursors were
delivered in good yields with good diastereoselectivities. The mechanistic
pathway was studied and appears to involve both a fused aziridine and a
carbocationic species.
PMID- 29799658
TI - Effect of hygiene interventions on acute respiratory infections in childcare,
school and domestic settings in low- and middle-income countries: a systematic
review.
AB - OBJECTIVES: Acute respiratory infections (ARIs) disproportionately affect those
living in low- and middle-income countries (LMICs). We aimed to determine whether
hygiene interventions delivered in childcare, school or domestic settings in
LMICs effectively prevent or reduce ARIs. METHODS: We registered our systematic
review with PROSPERO (CRD42017058239) and searched MEDLINE, EMBASE, CENTRAL, and
Scopus from inception to 17 October 2017 for randomised controlled trials (RCTs)
examining the impact of hygiene interventions on ARI morbidity in adults and
children in community-based settings in LMICs. We stratified data into childcare,
school and domestic settings and used the Grading of Recommendations Assessment,
Development and Evaluation approach to assess evidence quality. RESULTS: We
identified 14 cluster RCTs evaluating hand-hygiene interventions in LMICs with
considerable heterogeneity in setting, size, intervention delivery and duration.
We found reduced ARI-related absenteeism and illness in childcare settings (low-
to moderate-quality evidence). In school settings, we found reduced ARI-related
absenteeism and laboratory-confirmed influenza (moderate- to high-quality
evidence), but no reduction in ARI illness (low-quality evidence). In domestic
settings, we found reduced ARI illness and pneumonia amongst children in urban
settlements (high-quality evidence) but not in rural settlements (low-quality
evidence), and no effect on secondary transmission of influenza in households
(moderate-quality evidence). CONCLUSIONS: Evidence suggests that hand-hygiene
interventions delivered in childcare, school and domestic settings can reduce ARI
morbidity, but effectiveness varies according to setting, intervention target and
intervention compliance. Further studies are needed to develop, deliver and
evaluate targeted and sustainable hygiene interventions in LMICs.
PMID- 29799659
TI - Acyl Radicals from Terminal Alkynes: Photoredox-Catalyzed Acylation of
Heteroarenes.
AB - A photoredox-mediated acylation reaction of electron deficient heteroarenes with
terminal alkynes is reported. The method relies on oxidative cleavage of
phenylacetylenes for generation of acyl radicals as a key enabling feature. The
reaction is regioselective with broad substrate scope. Quantum yield
investigations support a radical chain mechanism.
PMID- 29799660
TI - Geographical CO2 sensitivity of phytoplankton correlates with ocean buffer
capacity.
AB - Accumulation of anthropogenic CO2 is significantly altering ocean chemistry. A
range of biological impacts resulting from this oceanic CO2 accumulation are
emerging, however, the mechanisms responsible for observed differential
susceptibility between organisms and across environmental settings remain
obscure. A primary consequence of increased oceanic CO2 uptake is a decrease in
the carbonate system buffer capacity, which characterizes the system's chemical
resilience to changes in CO2 , generating the potential for enhanced variability
in pCO2 and the concentration of carbonate [CO32-], bicarbonate [HCO3-], and
protons [H+ ] in the future ocean. We conducted a meta-analysis of 17 shipboard
manipulation experiments performed across three distinct geographical regions
that encompassed a wide range of environmental conditions from European temperate
seas to Arctic and Southern oceans. These data demonstrated a correlation between
the magnitude of natural phytoplankton community biological responses to short
term CO2 changes and variability in the local buffer capacity across ocean basin
scales. Specifically, short-term suppression of small phytoplankton (<10 MUm) net
growth rates were consistently observed under enhanced pCO2 within experiments
performed in regions with higher ambient buffer capacity. The results further
highlight the relevance of phytoplankton cell size for the impacts of enhanced
pCO2 in both the modern and future ocean. Specifically, cell size-related
acclimation and adaptation to regional environmental variability, as
characterized by buffer capacity, likely influences interactions between primary
producers and carbonate chemistry over a range of spatio-temporal scales.
PMID- 29799662
TI - Financial burden associated with time to return to work after living kidney
donation.
AB - Many living kidney donors undertake a significant financial burden in order to
donate. We studied the association between time to return to work and reported
financial burden. Kidney donors who donated from 2/2005 through 12/2015 (n =
1012) were surveyed 6 months after donation and asked about occupation, time to
return to work, and financial burden (on a 10-point Likert scale). Of 856 donors
working for pay, 629 (73%) responded. After adjusting for donor characteristics,
increased length of time to return to work was a significant predictor of
financial burden (P < .001). It is notable that those in manual/skilled trade
occupations, compared with all other occupations, experienced greater financial
burden for each week away from work (P = .003). Older age at donation and
nondirected (vs directed) donation were associated with significantly decreased
financial burden. These observations provide additional information to better
inform donor candidates, and further emphasize the need to develop policies so
that living kidney donation can be financially neutral.
PMID- 29799661
TI - Synthesis of ZIF-93/11 Hybrid Nanoparticles via Post-Synthetic Modification of
ZIF-93 and Their Use for H2 /CO2 Separation.
AB - The present work shows the synthesis of nano-sized hybrid zeolitic imidazolate
frameworks (ZIFs) with the rho topology based on a mixture of the linkers
benzimidazole (bIm) and 4-methyl-5-imidazolecarboxaldehyde (4-m-5-ica). The
hybrid ZIF was obtained by post-synthetic modification of ZIF-93 in a bIm
solution. The use of different solvents, MeOH and N,N-dimethylacetamide (DMAc),
and reaction times led to differences in the quantity of bIm incorporated to the
framework, from 7.4 to 23 % according to solution-state NMR spectroscopy. XPS
analysis showed that the mixture of linkers was also present at the surface of
the particles. The inclusion of bIm to the ZIF-93 nanoparticles improved the
thermal stability of the framework and also increased the hydrophobicity
according to water adsorption results. N2 and CO2 adsorption experiments revealed
that the hybrid material has an intermediate adsorption capacity, between those
of ZIF-93 and ZIF-11. Finally, ZIF-93/11 hybrid materials were applied as fillers
in polybenzimidazole (PBI) mixed matrix membranes (MMMs). These MMMs were used
for H2 /CO2 separation (at 180 degrees C) reaching values of 207 Barrer of H2
and a H2 /CO2 selectivity of 7.7 that clearly surpassed the Robeson upper bound
(corrected for this temperature).
PMID- 29799663
TI - On the Stability of DNA Origami Nanostructures in Low-Magnesium Buffers.
AB - DNA origami structures have great potential as functional platforms in various
biomedical applications. Many applications, however, are incompatible with the
high Mg2+ concentrations commonly believed to be a prerequisite for maintaining
DNA origami integrity. Herein, we investigate DNA origami stability in low-Mg2+
buffers. DNA origami stability is found to crucially depend on the availability
of residual Mg2+ ions for screening electrostatic repulsion. The presence of EDTA
and phosphate ions may thus facilitate DNA origami denaturation by displacing
Mg2+ ions from the DNA backbone and reducing the strength of the Mg2+ -DNA
interaction, respectively. Most remarkably, these buffer dependencies are
affected by DNA origami superstructure. However, by rationally selecting buffer
components and considering superstructure-dependent effects, the structural
integrity of a given DNA origami nanostructure can be maintained in conventional
buffers even at Mg2+ concentrations in the low-micromolar range.
PMID- 29799664
TI - New Insights into the Glycosylation Steps in the Biosynthesis of Sch47554 and
Sch47555.
AB - Sch47554 and Sch47555 are antifungal compounds from Streptomyces sp. SCC-2136.
The availability of the biosynthetic gene cluster made it possible to track genes
that encode biosynthetic enzymes responsible for the structural features of these
two angucyclines. Sugar moieties play important roles in the biological
activities of many natural products. An investigation into glycosyltransferases
(GTs) might potentially help to diversify pharmaceutically significant drugs
through combinatorial biosynthesis. Sequence analysis indicates that SchS7 is a
putative C-GT, whereas SchS9 and SchS10 are proposed to be O-GTs. In this study,
the roles of these three GTs in the biosynthesis of Sch47554 and Sch47555 are
characterized. Coexpression of the aglycone and sugar biosynthetic genes with
schS7 in Streptomyces lividans K4 resulted in the production of C-glycosylated
rabelomycin, which revealed that SchS7 attached a d-amicetose moiety to the
aglycone core structure at the C-9 position. Gene inactivation studies revealed
that subsequent glycosylation steps took place in a sequential manner, in which
SchS9 first attached either an l-aculose or l-amicetose moiety to 4'-OH of the C
glycosylated aglycone, then SchS10 transferred an l-aculose moiety to 3-OH of the
angucycline core.
PMID- 29799665
TI - Predicted airway obstruction distribution based on dynamical lung ventilation
data: A coupled modeling-machine learning methodology.
AB - In asthma and chronic obstructive pulmonary disease, some airways of the
tracheobronchial tree can be constricted, from moderate narrowing up to closure.
Those pathological patterns of obstructions affect the lung ventilation
distribution. While some imaging techniques enable visualization and
quantification of constrictions in proximal generations, no noninvasive technique
exists to provide the airway morphology and obstruction distribution in distal
areas. In this work, we propose a method that exploits lung ventilation measures
to access positions of airway obstructions (restrictions and closures) in the
tree. This identification approach combines a lung ventilation model, in which a
0D tree is strongly coupled to a 3D parenchyma description, along with a machine
learning approach. On the basis of synthetic data generated with typical temporal
and spatial resolutions as well as reconstruction errors, we obtain very
encouraging results of the obstruction distribution, with a detection rate higher
than 85%.
PMID- 29799666
TI - Emergence of pathogenic and multiple-antibiotic-resistant Macrococcus
caseolyticus in commercial broiler chickens.
AB - Macrococcus caseolyticus is generally considered to be a non-pathogenic bacterium
that does not cause human or animal diseases. However, recently, a strain of M.
caseolyticus (SDLY strain) that causes high mortality rates was isolated from
commercial broiler chickens in China. The main pathological changes caused by
SDLY included caseous exudation in cranial cavities, inflammatory infiltration,
haemorrhages and multifocal necrosis in various organs. The whole genome of the
SDLY strain was sequenced and was compared with that of the non-pathogenic
JCSC5402 strain of M. caseolyticus. The results showed that the SDLY strain
harboured a large quantity of mutations, antibiotic resistance genes and numerous
insertions and deletions of virulence genes. In particular, among the inserted
genes, there is a cluster of eight connected genes associated with the synthesis
of capsular polysaccharide. This cluster encodes a transferase and capsular
polysaccharide synthase, promotes the formation of capsules and causes changes in
pathogenicity. Electron microscopy revealed a distinct capsule surrounding the
SDLY strain. The pathogenicity test showed that the SDLY strain could cause
significant clinical symptoms and pathological changes in both SPF chickens and
mice. In addition, these clinical symptoms and pathological changes were the same
as those observed in field cases. Furthermore, the anti-microbial susceptibility
test demonstrated that the SDLY strain exhibits multiple-antibiotic resistance.
The emergence of pathogenic M. caseolyticus indicates that more attention should
be paid to the effects of this micro-organism on both poultry and public health.
PMID- 29799667
TI - Subsequent Cardiovascular Events Among Patients with Rheumatoid Arthritis,
Psoriatic Arthritis, or Psoriasis: Patterns of Disease-Modifying Antirheumatic
Drug Treatment.
AB - OBJECTIVES: To examine disease-modifying antirheumatic drug (DMARD) treatments
and estimate risk of a subsequent cardiovascular (CV) event following an initial
CV event in patients with rheumatoid arthritis (RA), psoriatic arthritis (PsA),
or psoriasis (PsO). METHODS: We analyzed data from MarketScan(r) claims databases
(1/1/2006-6/30/2015) for adults with RA, PsA, or PsO and initial/index CV event
(acute myocardial infarction, stroke, or coronary revascularization) while
receiving DMARDs (tumor necrosis factor inhibitor [TNFi] biologic DMARDs
[bDMARDs], conventional synthetic DMARDs [csDMARDs], or non-TNFi bDMARDs). We
studied DMARD treatment patterns following index event and rates of subsequent CV
events. We used Cox regression to investigate predictors of DMARD discontinuation
and risk factors for subsequent CV events. RESULTS: Among 10,254 patients, 15.3%
discontinued and 15.5% switched DMARD therapy after index CV event. Independent
predictors of DMARD discontinuation included PsO diagnosis, renal disease,
hypertension, heart failure, diabetes mellitus, older age, and baseline csDMARD
or non-TNFi bDMARD use (vs TNFi bDMARDs). Rates per 1,000 patient-years (95%
confidence interval) of subsequent events were 75.2 (54.4-96.0) for patients on
TNFi bDMARDs, 83.6 (53.3-113.9) for csDMARDs, and 122.4 (60.6-184.3) for non-TNFi
bDMARDs. RA diagnosis (vs PsO) and heart failure at baseline, but not DMARD
pattern after index event, were independently associated with increased risk of
subsequent CV event. CONCLUSION: In this large nationwide study, nearly one-third
of patients with RA, PsA, or PsO switched or discontinued DMARD therapy following
a CV event. There was no association between DMARD class and risk of subsequent
CV event. This article is protected by copyright. All rights reserved.
PMID- 29799668
TI - The Impact of Information Presentation Format on Preferencefor Total Knee
Replacement Surgery.
AB - BACKGROUND: Patients have a poor understanding of outcomes related to total knee
replacement (TKR) surgery, with most underestimating the potential benefits and
overestimating the risk of complications. In this study, we sought to compare the
impacts of descriptive information alone or in combination with an icon array
(IA), experience condition (images), or spinner on participants' preference for
TKR. METHODS: 648 members of an online arthritis network were randomized to one
of four outcome presentation formats: numeric only, numeric with an IA, numeric
with a set of 50 images, and numeric with a functional spinner. Preferences for
TKR were measured before and immediately after viewing the outcome information
using an 11-point numeric rating scale. Knowledge was assessed by asking
participants to report the frequency of each outcome. RESULTS: Participants
randomized to the IA, images and spinner had stronger preferences for TKR (after
controlling for baseline preferences) compared to those viewing the numbers only
format (mean differences all p < 0.05). Knowledge scores were highest in
participants randomized to the IA; however, knowledge did not mediate the
association between format and change in preference for TKR. CONCLUSIONS:
Decision support at the point-of-care is being increasingly recognized as a vital
component of care. Our findings suggest that adding graphical information to
descriptive statistics strengthens preferences for TKR. Although experience
formats using images may be too complex to use in clinical practice, IAs and
spinners may be a viable and easily adaptable decision aid to support
communication of probabilistic information. This article is protected by
copyright. All rights reserved.
PMID- 29799669
TI - Participation in regular physical activity after total knee or hip arthroplasty
for osteoarthritis: prevalence, associated factors, and type.
AB - OBJECTIVE: This study aimed to describe the: rates of participation in regular
physical activity (PA) pre- and 3-years following knee or hip arthroplasty;
factors associated with participation post-surgery; and types of activity
undertaken. METHODS: A previously acquired, multicentre, prospective cohort of
knee or hip arthroplasty recipients was followed-up 3-years post-surgery. Regular
participation in PA was defined as participation in PA >=1 times/week, excluding
incidental activities. Participants were interviewed about current participation
as well as participation in the year pre-surgery. Joint-specific and health
related quality of life scores and experience of major complications were
obtained. Co-morbid information and body weight were updated. Factors associated
with 3-year PA participation were determined using multivariable logistic
regression modelling. RESULTS: 74% (1289/1773) of the eligible cohort were
followed-up (718 knee, 571 hip). Participation profiles were similar regardless
of joint replaced. Participation in PA increased post-surgery [combined cohort,
45.2 to 63.5%, P<0.001]. Participation at 3-years was associated with
participation pre-surgery (P<0.0001), better 3-year quality of life (P<0.001),
younger age (P=0.002), better 3-year joint scores (P= 0.01), > 1 lifetime
arthroplasty (P=0.02), and higher education (P=0.04). Low-impact and non
ambulatory activities significantly increased post-surgery with no change in high
impact activities. CONCLUSION: Participation rates increase post-surgery when
recovery is stable, but approximately one-third of arthroplasty recipients do not
engage in PA at least once per week. As participation is associated with habitual
activity pre-surgery, a potential role for behaviour change interventions is
suggested. That non-ambulatory activities increase indicates current devices
measuring ambulatory activity alone are inadequate for capturing PA. This article
is protected by copyright. All rights reserved.
PMID- 29799671
TI - Revisiting the genetic diversity of classical swine fever virus: A proposal for
new genotyping and subgenotyping schemes of classification.
AB - Classical swine fever (CSF) is a highly contagious febrile viral disease caused
by CSF virus (CSFV), and it is considered one of the most important infectious
diseases that affect domestic pigs and wild boar. Previous molecular epidemiology
studies have revealed that the diversity of CSFV comprises three main genotypes
and different subgenotypes defined using a reliable cut-off to accurately
classify CSFV at genotype and subgenotype levels. However, a growing number of
CSFV both complete genome and full E2 gene sequences have been submitted to
GenBank (more than 500 sequences are currently available, revised on December 1,
2017). Therefore, the aim of this study was to revisit the taxonomy of CSFV at
genotype and subgenotype levels, to unify nomenclature and to provide an update
to the classification of CSFV. We propose here a new genotyping scheme with five
well-defined CSFV genotypes (CSFV Genotypes 1-5) and 14 subgenotypes (seven for
each of the CSFV Genotype 1 and CSFV Genotype 2). The findings showed in this
study are relevant for molecular epidemiology approaches and will help to better
understand the genetic diversity and spreading of CSFV at a global scale. The
update in the classification of CSFV will allow the scientific community to
establish more accurately the links among different outbreaks of the disease.
PMID- 29799670
TI - Surface enhanced Raman spectroscopy-detection of the uptake of mannose-modified
nanoparticles by macrophages in vitro: A model for detection of vulnerable
atherosclerotic plaques.
AB - Atherosclerosis is a process of thickening and stiffening of the arterial walls
through the accumulation of lipids and fibrotic material, as a consequence of
aging and unhealthy life style. However, not all arterial plaques lead to
complications, which can lead to life-threatening events such as stroke and
myocardial infarction. Diagnosis of the disease in early stages and
identification of unstable atherosclerotic plaques are still challenging. It has
been shown that the development of atherosclerotic plaques is an inflammatory
process, where the accumulation of macrophages in the arterial walls is immanent
in the early as well as late stages of the disease. We present a novel surface
enhanced Raman spectroscopy (SERS)-based strategy for the detection of early
stage atherosclerosis, based on the uptake of tagged gold nanoparticles by
macrophages and subsequent detection by means of SERS. The results presented here
provide a basis for future in vivo studies in animal models.The workflow of
tracing the SERS-active nanoparticle uptake by macrophages employing confocal
Raman imaging.
PMID- 29799673
TI - Trends in population-based incidence and prevalence of juvenile idiopathic
arthritis in Manitoba, Canada.
AB - OBJECTIVE: To estimate juvenile idiopathic arthritis (JIA) incidence and
prevalence for children <16 years of age in the province of Manitoba, Canada, and
test for changes in estimates between 2000 and 2012. METHODS: JIA cases were
ascertained from Manitoba's administrative health data using a validated case
finding algorithm. Annual incidence and prevalence rates were estimated using a
generalized linear model with generalized estimating equations (GEEs), adjusting
for socio-demographic characteristics. Changes in estimates were tested using
piecewise regression models. RESULTS: A total of 455 prevalence cases met the
inclusion criteria. Sex and age-adjusted incidence estimates were 14.01 (95% CI
13.52, 14.53) in 2000/01 and 9.18 (95% CI 8.56, 9.85) in 2010/11; prevalence
estimates were 65.33 (95% CI 63.87, 66.83) in 2000/01 and 59.61 (95% CI 58.17,
61.08) in 2010/11. A linear piecewise model provided the best fit to the data.
There was a significant decrease in prevalence over the study period (-0.18; 95%
CI -0.35, -0.02; p=0.0292) but no statistically significant change in incidence (
0.46; 95% CI -0.94, 0.01; p=0.0571). Sex-stratified models revealed a decrease
for males in both prevalence (estimate -0.54; 95% CI -0.84, -0.25; p=0.0003) and
incidence (estimate -1.02; 95% CI -2.02, -0.04; p=0.0439); there were no changes
for females. CONCLUSION: Few population-based longitudinal epidemiologic studies
of JIA have been conducted. Our findings suggest a decrease in overall JIA
prevalence and in incidence and prevalence for males. Further research to
validate these findings in other cohorts and explore factors contributing to this
change will benefit healthcare planning for JIA. This article is protected by
copyright. All rights reserved.
PMID- 29799672
TI - Lanthanide(III) (Eu, Gd, Tb, Dy) Complexes Derived from 4-(Pyridin-2
yl)methyleneamino-1,2,4-triazole: Crystal Structure, Magnetic Properties, and
Photoluminescence.
AB - The reaction of lanthanide(III) nitrates with 4-(pyridin-2-yl)methyleneamino
1,2,4-triazole (L) was studied. The compounds [Ln(NO3 )3 (H2 O)3 ]?2 L, in which
Ln=Eu (1), Gd (2), Tb (3), or Dy (4), obtained in a mixture of MeCN/EtOH have the
same structure, as shown by XRD. In the crystals of these compounds, the
mononuclear complex units [Ln(NO3 )3 (H2 O)3 ] are linked to L molecules through
intermolecular hydrogen-bonding interactions to form a 2D polymeric
supramolecular architecture. An investigation into the optical characteristics of
the Eu3+ -, Tb3+ -, and Dy3+ -containing compounds (1, 3, and 4) showed that
these complexes displayed metal-centered luminescence. According to magnetic
measurements, compound 4 exhibits single-ion magnet behavior, with DeltaEeff /kB
=86 K in a field of 1500 Oe.
PMID- 29799674
TI - Utility of next-generation sequencing methods to identify the novel HLA alleles
in potential stem cell donors from Chinese Marrow Donor Program.
AB - The human leucocyte antigen (HLA) is the most polymorphic region of the human
genome. Compared with Sanger-sequencing-based typing (SBT) methods, next
generation sequencing (NGS) has significantly higher throughput and depth
sequencing characteristics, having dramatic impacts on HLA typing in clinical
settings. Here, we performed NGS technology with Ion Torrent S5 platform to
evaluate the potential four novel HLA alleles detected in five donors from
Chinese Marrow Donor Program (CMDP, Shaanxi Province) during routine Sanger SBT
testing. We also predicted the highest estimated relative frequency novel allele
bearing haplotypes according to their phenotypes and HaploStats database. NGS
assays, as it provided the phase-defined and complete sequencing information,
undoubtedly increase novel allele identification which will greatly enrich HLA
database and provide more information for donor selection.
PMID- 29799675
TI - The changes of cerebral hemodynamics during ketamine induced anesthesia in a rat
model.
AB - Current electroencephalogram (EEG) based-consciousness monitoring technique is
vulnerable to specific clinical conditions (eg, epilepsy and dementia). However,
hemodynamics is the most fundamental and well-preserved parameter to evaluate,
even under severe clinical situations. In this study, we applied near-infrared
spectroscopy (NIRS) system to monitor hemodynamic change during ketamine-induced
anesthesia to find its correlation with the level of consciousness. Oxy
hemoglobin (OHb) and deoxy-hemoglobin concentration levels were continuously
acquired throughout the experiment, and the reflectance ratio between 730 and 850
nm was calculated to quantify the hemodynamic changes. The results showed double
peaks of OHb concentration change during ketamine anesthesia, which seems to be
closely related to the consciousness state of the rat. This finding suggests the
possibility of NIRS based-hemodynamic monitoring as a supplementary parameter for
consciousness monitoring, compensating drawbacks of EEG signal based monitoring.
PMID- 29799676
TI - Pharmacokinetic Study of Rucaparib in Patients With Advanced Solid Tumors.
AB - The phase 1-2 study CO-338-010 (Study 10; NCT01482715) is evaluating single-agent
rucaparib, a poly(ADP-ribose) polymerase inhibitor, administered orally to
patients with an advanced solid tumor. In the dose escalation phase (Part 1), we
characterized the single-dose and steady-state pharmacokinetic profiles of
rucaparib administered once daily (QD; dose range, 40-500 mg; n = 16) or twice
daily (BID; dose range, 240-840 mg; n = 30). Across all dosing schedules
examined, the plasma exposure of rucaparib was approximately dose proportional;
half-life was approximately 17 hours, and median time to maximum concentration
(tmax ) ranged from 1.5 to 6.0 hours after a single dose and 1.5 to 4.0 hours
following repeated dosing. The steady-state accumulation ratio ranged from 1.60
to 2.33 following QD dosing and 1.47 to 5.44 following BID dosing. No effect of
food on rucaparib pharmacokinetics was observed with a single dose of 40 mg (n =
3) or 300 mg (n = 6). In a phase 2 portion of the study (Part 3), the
pharmacokinetic profile of rucaparib was further evaluated at the recommended
phase 2 dose of 600 mg BID (n = 26). The mean (coefficient of variation) steady
state maximum concentration (Cmax ) and area under the concentration-time curve
from time zero to 12 hours (AUC0-12h ) were 1940 ng/mL (54%) and 16 900 ng ? h/mL
(54%), respectively. A high-fat meal moderately increased rucaparib exposure. The
fed-to-fasted geometric mean ratios (90% confidence interval [CI]) for AUC0-24h
and Cmax were 138% (117%-162%) and 120% (99.1%-146%); the median (90%CI) tmax
delay was 2.5 (0.5-4.4) hours.
PMID- 29799678
TI - URGENT CARE EMBRACES TELEHEALTH More centers see advantages of virtual services.
PMID- 29799679
TI - BOOSTING SECURITY FOR DEVICES Organizations try to close 'back doors' to their
networks.
PMID- 29799680
TI - MORE INTELLIGENCE FOR RADIOLOGY Machine learning offers promise, but much work
lies ahead.
PMID- 29799681
TI - TAKING COMMAND OF HEALTHCARE New control centers help providers better manage
care.
PMID- 29799682
TI - MACRA SUCCESS STARTS WITH IT Groups need solid data to support care, quality
improvement.
PMID- 29799677
TI - Gout, Hyperuricemia and Crystal-Associated Disease Network (G-CAN) consensus
statement regarding labels and definitions for disease elements in gout.
AB - OBJECTIVE: The language currently used to describe gout lacks standardisation.
The aim of this project was to develop a consensus statement on the labels and
definitions used to describe the basic disease elements of gout. METHODS: Experts
in gout (n=130) were invited to participate in a Delphi exercise and face-to-face
consensus meeting to reach consensus on the labelling and definitions for the
basic disease elements of gout. Disease elements and labels in current use were
derived from a content analysis of the contemporary medical literature, and the
results of this analysis were used for item selection in the Delphi exercise and
face-to-face consensus meeting. RESULTS: There were 51 respondents to the Delphi
exercise and 30 attendees at the face-to-face meeting. Consensus agreement
(>=80%) was achieved for the labels of eight disease elements through the Delphi
exercise; the remaining three labels reached consensus agreement through the face
to-face consensus meeting. The agreed labels were: monosodium urate crystals,
urate, hyperuric(a)emia, tophus, subcutaneous tophus, gout flare, intercritical
gout, chronic gouty arthritis, imaging evidence of monosodium urate crystal
deposition, gouty bone erosion and podagra. The face-to-face meeting achieved
consensus agreement for the definitions of all 11 elements and a recommendation
that the label 'chronic gout' should not be used. CONCLUSION: Consensus agreement
was achieved for the labels and definitions of 11 elements representing the
fundamental components of gout aetiology, pathophysiology and clinical
presentation. The Gout, Hyperuricemia and Crystal-Associated Disease Network (G
CAN) recommends the use of these labels when describing the basic disease
elements of gout. This article is protected by copyright. All rights reserved.
PMID- 29799683
TI - BOOSTING CARE WITH POP HEALTH Provider initiatives delivering solid results.
PMID- 29799684
TI - EHR DO-OVER? Providers begin replacing electronic patient record systems.
PMID- 29799685
TI - GETTING TO THE BOTTOMLINE Telling consumers the cost of care is harder than it
sounds.
PMID- 29799686
TI - PROVIDERS FIGHT BACK Healthcare organizations get proactive to defend their data.
PMID- 29799687
TI - MEDICAL DEVICES DANGERS. Little progress in plugging security gaps, even as
hackers threaten.
PMID- 29799688
TI - EHRs TAKE AIM AT ZIKA Documenting infections emerges as one of the top
challenges.
PMID- 29799689
TI - THE ClO-CMIO PARTNERSHIP Execs now work together to get more value out of IT.
PMID- 29799691
TI - KEEP PATIENTS OUT WITH ANALYTICS Sophisticated formulas are helping to reduce
readmissions.
PMID- 29799690
TI - THE QUEST FOR COORDINATION Value-based care boosts pressure to better track
treatments.
PMID- 29799692
TI - NEW GOALS FOR IMAGING Value-based care offers a new view for incentives.
PMID- 29799693
TI - Providers Fear Immigration Proposals May Cause Some Patients to Nix Care.
AB - With high-profile travel bans and well-publicized Immigration and Customs
Enforcement (ICE) activities, frontline providers have noticed changes in the
behavior of immigrant patients. Some emergency providers report there is more
fear and a reluctance to share key information. Also, providers are concerned
that worries about deportation will cause immigrant patients to refrain from
accessing needed care. Investigators note that such concerns are valid,
considering how heightened ICE activities previously have affected immigrant
health. *Emergency providers who see a high number of immigrant patients report
patients are very guarded about providing identifying information and recent
travel history. They are concerned that medical staff will report them to ICE
authorities. *Some emergency providers are wearing "safety pin" symbols to try to
let patients know that they are safe in their care. Others are thinking about
posting signs in multiple languages to inform immigrant patients that information
about travel history only will be used for medical purposes. *Experts worry that
restrictions on foreign-born physicians and medical students only will exacerbate
anticipated shortages, particularly in rural areas. *In a previous period of
increased immigration enforcement, researchers found that more than 40% of
frontline providers reported that ICE activities produced negative health effects
on their immigrant patients, including stress, anxiety, and avoidance of the
healthcare system.
PMID- 29799694
TI - Process Improvement Tools, Commitment to Change Lead to Serious Turnaround.
AB - The ED at the University of Colorado Hospital (UCH) has undergone a dramatic
transformation in recent years, doubling in size while also using process
improvement methods to dramatically reduce wait times, eliminate ambulance
diversion, and boost patient satisfaction. Throughout this period, volume has
continued to increase while the cost per patient and avoidable hospital
admissions have experienced steady declines. Guiding the effort has been a series
of core principles, with a particular focus on making sure that all processes are
patient-centered. . To begin the improvement effort, ED leaders established a
leadership team, and hired a process improvement chief with no previous
experience in healthcare to provide fresh, outside perspective on processes. . In
addition to mandating that all processes be patient-centered, the other guiding
principles included a commitment to use and track data, to speak with one voice,
to value everyone's perspective, to deliver high-quality care to all patients,
and to set a standard for other academic medical centers. . To get points on the
board early and win approval from staff, one of the first changes administrators
implemented was to hire scribes for every physician so they wouldn't be bogged
down with data input. The approach has essentially paid for itself. . Among the
biggest changes was the elimination of triage, a process that improvement teams
found no longer added value or quality to the patient experience. . Leadership
also has moved to equilibrate the size and staff of the various zones in the ED
so that they are more generic and less specialized. The move has facilitated
patient flow, enabling patients in zones with resuscitation bays to connect with
providers quickly.
PMID- 29799695
TI - Study: Older Patients Vulnerable to Functional Decline Following ED Visit.
AB - A new study suggests older patients are particularly vulnerable to functional
decline in the six months following a visit to the ED. Investigators noted the
findings suggest there are opportunities to short-circuit this trajectory with
added assessment and arrangements for follow-up interventions at the time of the
ED visit. . Investigators compared 754 older patients who visited the ED with a
matched group of 813 patients who did not visit an ED. They also studied an
unmatched group of older patients who were hospitalized following an ED visit. .
At the beginning of the study, both the ED-visit and control groups exhibited
similar functional abilities, with levels of disability ranging between 3 and 4
on a disability scale, where lower scores represented lower levels of disability.
. The ED-visit group scored an average of 14% higher than the control group
participants on the disability scale during the six months following the ED
visit. The group with participants who had ED visits followed by hospitalization
demonstrated disability scores that were 17% higher than the ED-visit only group.
. Investigators pointed to studies showing that ED-based screening and follow-up
of older patients can prevent the risk of functional decline, decrease
readmissions, and potentially prevent admissions to the ICU.
PMID- 29799696
TI - Management of Tooth Size Arch Size Discrepancy (TSASD) in Patients with Sleep
Disorder Breathing.
AB - This case report describes the interdisciplinary management of an adult patient
with sleep disorder breathing i.e. snoring. Treatment involved combined ortho
surgical management. Marked improvement in general health, good esthetic results,
and dental relationships were achieved by the treatment.
PMID- 29799697
TI - Spring-Loaded Transpatatal Arch with Turbo-V Springs for Correction of Severe
Torsiversion.
AB - OBJECTIVES: The aim of this case report is to introduce an appliance that can be
used for correcting severe bilateral symmetric rotation (more than 900)
ofsecondpremolars in adult patient. Appliance design and testing: This is a case
report ofa 27-year-old female patient with permanent dentition having Class I
malocclusion with bi-alveolar dentoalveolar protrusion defined by a severe
bilateral rotation (more than 90-) of upper second premolars. Since it was a case
of maximum anchorage, we decided to extract upper and lower arch first premolars,
and the upper and lower arch were strapped with fixed orthodontic appliance with
MBT 0.022 slot PEA brackets and then a spring-loaded transpalatal arch with turbo
Vsprings appliance used to correct severely rotated premolars. After 4 months,
both the premolars were orthodontically brought into proper alignment.
Circumferential supracrestalfibrotomy was planned to prevent relapse. CONCLUSION:
Spring-loaded transpalatal arch with turbo- Vsprings appliance can be very
effective for correcting severe bilateral rotation (more than 900) of premolar
teeth.
PMID- 29799698
TI - An Effective Technique for Minor Rotation Correction.
AB - INTRODUCTION: Although ratated teeth are easier to correct and difficult to
retain, sometimes they pose a great challenge to the clinician. Play between the
arch wire and the bracket slot, and the method of ligation affect full correction
of rotation. Various techniques have been described for correction of rotation,
but this paper describes a simpler approach with resilient arch wire, elastic
module, and metallic ligature wire. TECHNIQUE: The effective correction of
rotation is achieved with figure-8 tie in one of the wings under the resilient
archwire which is further ligated to the bracket in the other wing giving wedging
effect correcting minor rotations easily with over-correction. DISCUSSION: This
technique is easy to apply in day-to-day clinicalpractice without any extra
inventories.
PMID- 29799699
TI - Treatment Decisions in Class II subdivision Malocclusions: Three Case Reports
with Contrasting Strategies.
AB - Class II Subdivision refers to the asymmetric molar relationship that can be
commonly accounted to dental and occasionally to the skeletal disharmony.
Furthermore, the discrepancy may be present in the maxilla or the mandible. To
put it differently, Class II subdivisio) is a heterogeneous group of
malocclusions and cannot be considered as a discrete entity to be treated with
predefined specific strategies. Treatment goals encompass the usual cook-book
approach and entail the orthodontists to think-before-act strategy considering
all the parameters that may be affected favorably or deleteriously while
executing a specifie plan. Treatment options may vary from non-extraction to
4premolar extractions depending upon the site and severity of the malocclusion.
Another consideration would be the indispensable side-effects of the biomechanics
involved that may be symmetric or asymmetric, again depending upon the needs of
the patient. These not only require thorough diagnosis but clarity in the
treatment goals. This article will briefly outline the important considerations
while treating Class II subdivision cases with the help of examples of3 patients
bearing different etiologies treated with contrasting strategies.
PMID- 29799700
TI - A Modified Clear Aligner.
AB - In this article we describe a modified clear aligner with z spring for correction
ofsingle tooth crossbite. It serves as an esthetic alternative to conventional
removable appliance and at the same time, it is an inexpensive alternative to
clear aligner technology.
PMID- 29799701
TI - Possibilities of Orthopedic Management of Pattern Ill Malocclusions During
Growth.
AB - Consistently performed by most orthodontists, the orthopedic treatment involves
rapid maxillary expansion (RME) combined with maxillary protraction (MP). When
this treatment protocol is performed up to the intertransitional period of the
mixed dentition (around 9 years of age), it allows a positive influence on the
maxilla and zygomatic projection, even though there are also effects on the
dentition. The ideal orthopedic treatment should influence the growth and spatial
arrangement of bone bases (maxilla and mandible), so as to achieve balance and
maintain it until growth completion. This goal may seem utopic, especially when
the problem involves the mandible; and, assuming some genetic influence for such
disorder, it is difficult to predict its future magnification during the growth
period. Notwithstanding, despite the uncertain prognosis, the search for function
and better facial esthetics, though temporary, should be considered This paper
discusses this topic, based on the treatment progress of a youngpatient with
Class III, with monitoring ofgrowth from the deciduous dentition up to adulthood,
and treatment performed in two periods, using the RME and MPprotocol. Positive
outcomes were achieved.
PMID- 29799703
TI - Understanding and Treating Macroglossia.
AB - The tongue is a vital human organ that needs to be checked before beginning a
dental treatment, especially one in orthodontics or sleep apnea. The size of the
tongue is an issue because there is not yet a clear definition of what a normal
sized tongue is. This leads to further problems in defining macroglossia. The
current article aims to define macroglossia and bringforth more information about
the two different types: pseudo macroglossia and true macroglossia. It further
discusses treatment solutions available, such as partial glossectomies, coblation
technique, and radiofrequency waves treatment.
PMID- 29799702
TI - Simultaneous Intrusion and Retraction of Maxillary Incisors with a Three Piece
Intrusion Arch and Mini-screw Implants: A Case Report.
AB - Orthodontic treatment for a case with hyperdivergent growth pattern, moderate
mandibular arch crowding, and maxillary incisor proclination was undertaken.
Segmented arch mechanics with a three piece intrusion arch were used for
simultaneous intrusion and retraction of maxillary incisors. Miniscrew implants
were used to reinforce anchorage.
PMID- 29799704
TI - Mouth Breathing and Some of Its Consequences.
AB - This is part one ofa two-part partial literature review on the impact of mouth
breathing. Mouth breathing is defined as breathing through the mouth instead of
the nose. In this review, we will shed some light on the causes, symptoms, and
diseases correlated with mouth breathing. The second article, defined as part
two, will introduce forms of therapy to encourage nasal breathing, one of which
will focus on the Butevko(r) breathing method.
PMID- 29799705
TI - Not Just Another Cephalometric Analysis.
AB - This paper describes a combination of cephalometric analyses that the author uses
to identify cranial, skeletal, and dental concerns for an individual. The method
of tracing and analyzing the lateral cephalogram is outlined and discussed. This
analysis combination is easy for patients to follow and helps in understanding a
treatment plan.
PMID- 29799706
TI - Compromised Airway and Atypical Facial Growth in The Adult Patient: A Case Study
in the Redirection of Facial Growth.
AB - This case history is an adult patient with a compromised upper airway, soft
tissue dysfunction, and atypicalfacial growth. The nasal deviation and enlarged
turbinates resulted in mouth-breathing and soft tissue dysfunction. Atypical
growth occurs when the biological balance between bone remodeling and positional
displacement is disrupted Following septoplasty and turbinate reduction to
reestablish nasal respiration and myofunctional therapy to retrain the muscles,
the atypical growth was redirected to normal. The final result was an overall
improvement in general health, esthetics, and well-being.
PMID- 29799707
TI - 10 Tips and Tricks to Finish Your Invisalign- Cases with Success.
AB - Since its arrival in the orthodontic world, Invisalign has changed the way
orthodontic is practiced With more than 3 million patients treated worldwide the
evidence is ''nvisalign is here to stay''. Align Technology did such a good
marketing job that they developed a branding with the name "Invisalign''. People
now ask/or it by its name. Still some practitioner think that the final results
with this technique are not as good as those with braces. This article explains
that there are ways to use this tool to produce results that are comparable to
those achieved with braces. It will help understand what Invisalign can bring to
the practice of dentist who already have knowledge in orthodontics and
biomechanics and are used to treat patient with braces achieving predictable
results. These 10 tips and tricks willgive the practitioner confidence in taking
control of their cases while using this method to treat their patients.
PMID- 29799708
TI - Exactive Tie-Back - An Accurate Active Tieback.
AB - Active tiebacks using elastomeric modules are preferred for space closure in most
cases. Elastomeric ring should be stretched by equal amount on both sides for
equalforce delivery and to avoid unwanted complication like shift in mid-line,
asymmetric space closure. Elastic tiebacks were originally described using an
elastomeric module, stretched to twice its normal size but in clinical
situations, stretch of elastomeric rings are diffcult to measure intraorally.
This paper introduces a manually fabricated guiding system that will allow the
clinician to accurately activate active tie-back bilaterally without fear of
over/under stretching the module to twice its original size. With the help of
straight length 0.021" 0.025" SS wire and cold cure acrylic a guiding stent is
fabricated. Guiding loops were made with 0.009" ligature wire around this stent,
which can be placed along with active tieback. This "Exactive tieback" with the
help ofguiding loop template is easy to fabricate and use. It is an accurate,
effective, and predictable method for placement of symmetrical active tie-backs."
PMID- 29799709
TI - Blood group incompatibility as risk of corneal rejection
AB - Background: There is a high risk of rejection of a corneal transplant known as a
"rejection episode" in our Hospital conditioned by multiple variables. The aim of
this article is to analyze the different risk factors of "corneal rejection
episode" in post-transplant patients at one year of follow-up and in particular
to consider the presence of donor blood incompatibility during the first year of
post-surgical follow-up. Methods: A nested case-control study was designed in a
cohort: observational, longitudinal, analytical and retrospective from year 2012
to 2013. We performed OR and logistic regression of the variables that influenced
rejection. Results: We included 101 consecutive transplants from multiorgan
donation. The variables that influenced the rejection episode were: preoperative
high risk group, graft size, blood incompatibility, female gender and age over 60
years old. Other variables such as the presence of comorbidities, combined
surgery, surgical time greater than one hour and postoperative complications did
not show significant differences. Conclusions: It is advisable to perform routine
blood compatibility to reduce the risk of rejection.
PMID- 29799710
TI - Elastography in the evaluation of thyroid nodules
AB - Background: Thyroid nodules are a very common pathology in clinical practice and
in imaging studies. Autopsy results indicate a 50% prevalence of thyroid nodules
greater than 1 cm in patients with no clinical symptomatology. The goal is to
evaluate the accuracy of elastography in the evaluation of thyroid nodules with
suspicion of malignancy by comparing the results obtained in qualitative and semi
quantitative elastography with the cytopathological study obtained by BAAF of
thyroid nodulesand The TI-RADS system. Methods: We included male or female
patients over 18 years old, entitled to IMSS, with diagnosis of one or more
thyroid nodules, sent to the ultrasound service for FNA and histopathological
report at the end of the study. In the statistical analysis, values of
sensitivity, specificity, negative predictive value (NPV), positive predictive
value (PPV) were obtained. Results: The results showed that the qualitative
elastography by the Asteria Score presents a sensitivity of 86% and a specificity
of 53%, PPV of 36% and NPV of 92% , for the semiquantitative elastography a
sensitivity of 40%, specificity of 87%, PPV of 50% and NPV of 82%. Conclusions:
The elastography in its qualitative and semicuantitative mode are useful to help
determine the possibility of malignancy of thyroid nodules as an additional
element to ultrasound, but it does not replace the citopathologic result or other
diagnostic tests, so it should not be taken as a single test. Additionally the
high result of NPV is useful to dismiss the possibility of malignancy.
PMID- 29799711
TI - Helicobacter pylori in esplenectomized patients with immune primary
trombocytopenia
AB - Background: Primary Immune Thrombocytopenia (TIP) is an autoimmunedisease that
accelerates the peripheral destruction of platelets andalters
megakaryocytopoiesis. Helicobacter pylori infection and eradication has been
associated with an increase in the platelet count in patients with IPT. The aim
of this article is to evaluate the platelet response after H. pylori eradication
in patients with chronic splenectomized IPT Methods: Between 2008 and 2009, adult
patients with a diagnosis of chronic IPT, splenectomized; They were given breath
test with carbon 13-labeled urea (PAU13C). Patients who tested positive received
eradication treatment with amoxicillin, omeprazole and clarithromycin for 14
days. After 6 weeks of treatment, a second PAU13C was performed. Baseline
platelet counts were performed and every six months until the completion of two
years. Results: 40 patients, 34 women and 6 men were included, PAU13C was
positive in 17 patients (42.5%). H. pylori eradication was obtained in 16
patients (94%) confirmed by post-treatment PAU13C. In the follow-up of the
patients it was observed that there was increase of platelets in 7 of the
patients with eradication of H. pylori, while of the patients not infected in 9
also an increase of platelets was observed. Conclusions: There were no
differences in the increase in platelet count among patients positive or negative
to the H. pylori breath test at followupat 24 months.
PMID- 29799712
TI - Clinical features of Guillain-Barre Syndrome in a tertiary-level hospital in
Mexico
AB - Background: Guillain-Barre Syndrome (GBS) is an acute polyneuropathy
characterized by symmetrical weakness of the limbs with hyporeflexia or areflexia
with a maximum progression within four weeks and can impair respiratory function
and implies disability at a long. The aim of this paper was to describe the
clinical, epidemiological and neurophysiological features of patients with GBS at
the Hospital de Especialidades del Centro Medico Nacional Siglo XXI (HECMNSXXI)
Methods: An observational, retrospective cross-sectional study, data were
collected form clinical records of adults with GBS hospitalized in HECMNSXXI from
March 2012 to March 2016. The recorded variables were demographics, previous
infection, clinical presentation, disability scores, prognosis scores and
neurophysiological subtypes. Results: Clinical records of 94 patients were
analysed with a mean age of 53 years, 61% male, with previous infection in 80%.
Albumin cytologic dissociation was present in 50%. Medical Research Council (MRC)
sum scores mean was 32, the SGB disability score at admittance with a mean of
3.63. The axonal subtype was in 68%, and demyelinating in 29%, not conclusive in
3%. Conclusions: In this study the demographic and clinical features are similar
to other previous reports, we documented a greater proportion of axonal subtype,
which are related with important disability and worse prognosis.
PMID- 29799713
TI - Type and location of bronchiectasis in a cohort of adults with common variable
immunodeficiency
AB - Background: Bronchiectasis are permanent dilatations of the bronchi. Its
prevalence in patients with variable common immunodeficiency (CVID) is high,
however there is little information regarding the type and location of the same;
therefore the objective of this study is to know the type and location of
bronchiectasis in a cohort of adult patients with CVID. Methods: It has been made
a transversal, observational and descriptive study that included 32 adult
patients with diagnosis of CVID according to the criteria of the European Society
of Immunodeficiencies (ESID). All patients underwent high resolution pulmonary
computed tomography (HRCT), which were interpreted by an expert radiologist. The
frequency, type and location of bronchiectasis were reported using descriptive
statistics. Results: Thirty-two adult patients, ten men (31.25%) and 22 women
(68.7%), were included. 40.6% had bronchiectasis. 23% had a lobe involvement,
15.3% two lobes, 46.1% 3 lobes and 15.3% complete involvement of the parenchyma.
The types of bronchiectasis were distributed as follows: tubular 38.4%, varicose
23% and cystic and tubular combinations 15.3%, cystic and varicose 15.3% and
cystic, tubular and varicose 7.6%. Conclusions: Our results show that 40% of
adult patients with CVID have BQs, usually affecting three pulmonary lobes,
located mainly in the right and middle lower lobe; The tubular type, is the most
common. Their timely diagnosis and treatment can improve survival and reduce
costs for patients and health care.
PMID- 29799715
TI - Mussel-Inspired Electro-Cross-Linking of Enzymes for the Development of
Biosensors.
AB - In medical diagnosis and environmental monitoring, enzymatic biosensors are
widely applied because of their high sensitivity, potential selectivity, and
their possibility of miniaturization/automation. Enzyme immobilization is a
critical process in the development of this type of biosensors with the necessity
to avoid the denaturation of the enzymes and ensuring their accessibility toward
the analyte. Electrodeposition of macromolecules is increasingly considered to be
the most suitable method for the design of biosensors. Being simple and
attractive, it finely controls the immobilization of enzymes on electrode
surfaces, usually by entrapment or adsorption, using an electrical stimulus.
Performed manually, enzyme immobilization by cross-linking prevents enzyme
leaching and was never done using an electrochemical stimulus. In this work, we
present a mussel-inspired electro-cross-linking process using glucose oxidase
(GOX) and a homobifunctionalized catechol ethylene oxide spacer as a cross-linker
in the presence of ferrocene methanol (FC) acting as a mediator of the buildup.
Performed in one pot, the process takes place in three steps: (i) electro
oxidation of FC, by the application of cyclic voltammetry, creating a gradient of
ferrocenium (FC+); (ii) oxidation of bis-catechol into a bis-quinone molecule by
reaction with the electrogenerated FC+; and (iii) a chemical reaction of bis
quinone with free amino moieties of GOX through Michael addition and a Schiff's
base condensation reaction. Employed for the design of a second-generation
glucose biosensor using ferrocene methanol (FC) as a mediator, this new enzyme
immobilization process presents several advantages. The cross-linked enzymatic
film (i) is obtained in a one-pot process with nonmodified GOX, (ii) is strongly
linked to the metallic electrode surface thanks to catechol moieties, and (iii)
presents no leakage issues. The developed GOX/bis-catechol film shows a good
response to glucose with a quite wide linear range from 1.0 to 12.5 mM as well as
a good sensitivity (0.66 MUA/mM cm2) and a high selectivity to glucose. These
films would distinguish between healthy (3.8 and 6.5 mM) and hyperglycemic
subjects (>7 mM). Finally, we show that this electro-cross-linking process allows
the development of miniaturized biosensors through the functionalization of a
single electrode out of a microelectrode array. Elegant and versatile, this
electro-cross-linking process can also be used for the development of enzymatic
biofuel cells.
PMID- 29799714
TI - Constrained Combinatorial Libraries of Gp2 Proteins Enhance Discovery of PD-L1
Binders.
AB - Engineered protein ligands are used for molecular therapy, diagnostics, and
industrial biotechnology. The Gp2 domain is a 45-amino acid scaffold that has
been evolved for specific, high-affinity binding to multiple targets by
diversification of two solvent-exposed loops. Inspired by sitewise enrichment of
select amino acids, including cysteine pairs, in earlier Gp2 discovery campaigns,
we hypothesized that the breadth and efficiency of de novo Gp2 discovery will be
aided by sitewise amino acid constraint within combinatorial library design. We
systematically constructed eight libraries and comparatively evaluated their
efficacy for binder discovery via yeast display against a panel of targets.
Conservation of a cysteine pair at the termini of the first diversified paratope
loop increased binder discovery 16-fold ( p < 0.001). Yet two other libraries
with conserved cysteine pairs, within the second loop or an interloop pair, did
not aid discovery thereby indicating site-specific impact. Via a yeast display
protease resistance assay, Gp2 variants from the loop one cysteine pair library
were 3.3 +/- 2.1-fold ( p = 0.005) more stable than nonconstrained variants.
Sitewise constraint of noncysteine residues-guided by previously evolved binders,
natural Gp2 homology, computed stability, and structural analysis-did not aid
discovery. A panel of binders to programmed death ligand 1 (PD-L1), a key target
in cancer immunotherapy, were discovered from the loop 1 cysteine constraint
library. Affinity maturation via loop walking resulted in strong, specific
cellular PD-L1 affinity ( Kd = 6-9 nM).
PMID- 29799716
TI - Characterization of the Lysine Acylomes and the Substrates Regulated by Protein
Acyltransferase in Mycobacterium smegmatis.
AB - Protein acylation plays important roles in bacterial pathogenesis through
regulation of enzymatic activity, protein stability, nucleic acid binding
ability, and protein-protein interactions. Mycobacteria, a genus including
invasive pathogens known to cause serious diseases, shapes its pathogenicity
through adaptation of its energy metabolism to microenvironments encountered
within mammalian hosts. In this process, acetyl-CoA and propionyl-CoA function as
important intermediates. However, the function of acetyl-CoA/propionyl-CoA driven
protein acylation remains to be elucidated. Herein, we systematically
investigated protein acetylome/propionylome in the nonpathogenic Mycobacterium
smegmatis through antibody-enrichment-based proteomic analysis in which 146
acetylated sites on 121 proteins and 26 propionylated sites on 25 proteins were
identified. After that, characteristic differences of the two acylomes were
elucidated through such bioinformatic methods as motif analysis, protein-protein
analysis, Gene Ontology analysis, and KEGG analysis. In addition, quantitative
mass spectrometric method was used to evaluate the site-specific and motif-biased
catalytic mechanism mediated by the cAMP-dependent acetyltransferase MsKat in M.
smegmatis. Furthermore, we raised the possibility that both O-serine and Nepsilon
lysine acetylation might coregulate the propionyl-CoA synthetase. This study
described the landscape of acetylome and propionylome in the M. smegmatis,
showing an unexpected role of protein acylation regulation in mycobacteria.
PMID- 29799717
TI - Three-Dimensional Graphene-Carbon Nanotube-Ni Hierarchical Architecture as a
Polysulfide Trap for Lithium-Sulfur Batteries.
AB - Despite their high energy density and affordable cost compared to lithium-ion (Li
ion) batteries, lithium-sulfur (Li-S) batteries still endure from slow reaction
kinetics and capacity loss induced by the insulating sulfur and severe
polysulfide diffusion. To address these issues, we report here nickel
nanoparticles filled in vertically grown carbon nanotubes (CNTs) on graphene
sheets (graphene-CNT-nickel composite (Gr-CNT-Ni)) that are coated onto a
polypropylene separator as a polysulfide trap for the construction of high
loading sulfur cathodes. The hierarchical porous framework of Gr-CNT physically
entraps and immobilizes the active material sulfur, while the strong chemical
interaction with Ni nanoparticles in Gr-CNT-Ni inhibits polysulfide diffusion.
The covalently interconnected electron conduction channels and carbon shell
confined metal active sites provide feasible paths for the continual regeneration
of active material during the charge-discharge process. Benefitting from these
novel morphological and structural features, the Li-S cell with the Gr-CNT-Ni as
a polysulfide trap demonstrates high specific capacity and good cycle life. This
work provides new avenues for synergistically combining the advantages of
hierarchical porous carbon architectures and metal active sites for the
development of high-performance cathodes for Li-S batteries.
PMID- 29799718
TI - Multicomponent Reactions in Ligation and Bioconjugation Chemistry.
AB - Multicomponent reactions (MCRs) encompass an exciting class of chemical
transformations that have proven success in almost all fields of synthetic
organic chemistry. These convergent procedures incorporate three or more
reactants into a final product in one pot, thus combining high levels of
complexity and diversity generation with low synthetic cost. Striking
applications of these processes are found in heterocycle, peptidomimetic, and
natural product syntheses. However, their potential in the preparation of large
macro- and biomolecular constructs has been realized just recently. This Account
describes the most relevant results of our group in the utilization of MCRs for
ligation/conjugation of biomolecules along with significant contributions from
other laboratories that validate the utility of this special class of
bioconjugation process. Thus, MCRs have proven to be efficient in the ligation of
lipids to peptides and oligosaccharides as well as the ligation of steroids,
carbohydrates, and fluorescent and affinity tags to peptides and proteins. In the
field of glycolipids, we highlight the power of isocyanide-based MCRs with the
one-pot double lipidation of glycan fragments functionalized as either the
carboxylic acid or amine. In peptide chemistry, the versatility of the
multicomponent ligation strategy is demonstrated in both solution-phase
lipidation protocols and solid-phase procedures enabling the simultaneous
lipidation and biotinylation of peptides. In addition, we show that MCRs are
powerful methods for synchronized lipidation/labeling and macrocyclization of
peptides, thus accomplishing in one step what usually requires long sequences. In
the realm of protein bioconjugation, MCRs have also proven to be effective in
labeling, site-selective modification, immobilization, and glycoconjugation
processes. For example, we illustrate a successful application of multicomponent
polysaccharide-protein conjugation with the preparation of multivalent
glycoconjugate vaccine candidates by the ligation of two antigenic capsular
polysaccharides of a pathogenic bacterium to carrier proteins. By highlighting
the ability to join several biomolecules in only one synthetic operation, we hope
to encourage the biomolecular chemistry community to apply this powerful
chemistry to novel biomedicinal challenges.
PMID- 29799719
TI - Correction to "Enhanced Microwave Absorption Performance of Coated Carbon
Nanotubes by Optimizing the Fe3O4 Nanocoating Structure".
PMID- 29799721
TI - Spectacular Selectivity in the Capture of Methyl Orange by Composite Anion
Exchangers with the Organic Part Hosted by DAISOGEL Microspheres.
AB - There is a paramount need in finding sorbents endowed with selectivity in
sorption of certain dyes from their mixture with other dyes from the same family.
In this context, novel composite anion exchangers (CANEXs) were fabricated here
by an innovative approach using silica DAISOGEL as the host for an anion
exchanger (ANEX) bearing vinylbenzyl N, N-diethyl 2-hydroxyethyl ammonium
moieties. Information about the outer surface versus in-pore generation of ANEX
as a function of silica morphology was acquired by scanning electron microscopy.
It was demonstrated that the CANEX microspheres were able to selectively capture
methyl orange (MO) in binary mixtures with either methylene blue (MB) as the
cationic dye or Chicago Sky Blue 6B (CSB) as the competing azo dye. The
adsorption kinetics of MO and CSB were well-fitted by a pseudo-second-order
model, indicating that chemisorption controlled the sorption process. Isotherms
of "H" type characterized the sorption of MO, whereas "L" type isotherms
described the sorption of CSB. Langmuir and Sips isotherms were the most suitable
models to describe the sorption process at equilibrium. Even if only about 10 wt
% of the CANEX sorbents was involved in the sorption process, the maximum
sorption capacity was 180.25 mg MO/g composite and 153.86 mg CSB/g sorbent.
Moreover, the CANEX sorbents exhibited a spectacular preference for MO molecules
in competition with CSB at pH 5.5. Selectivity coefficient for MO in the mixture
with either MB or CSB was 370 and 38.4, respectively. Removal efficiency of MO
remained up to 100% after 10 consecutive sorption/desorption cycles.
PMID- 29799720
TI - Optothermal Manipulations of Colloidal Particles and Living Cells.
AB - Optical manipulation techniques are important in many fields. For instance, they
enable bottom-up assembly of nanomaterials and high-resolution and in situ
analysis of biological cells and molecules, providing opportunities for discovery
of new materials, medical diagnostics, and nanomedicines. Traditional optical
tweezers have their applications limited due to the use of rigorous optics and
high optical power. New strategies have been established for low-power optical
manipulation techniques. Optothermal manipulation, which exploits photon-phonon
conversion and matter migration under a light-controlled temperature gradient, is
one such emerging technique. Elucidation of the underlying physics of optothermo
matter interaction and rational engineering of optical environments are required
to realize diverse optothermal manipulation functionalities. This Account covers
the working principles, design concepts, and applications of a series of newly
developed optothermal manipulation techniques, including bubble-pen lithography,
opto-thermophoretic tweezers, opto-thermoelectric tweezers, optothermal assembly,
and opto-thermoelectric printing. In bubble-pen lithography, optical heating of a
plasmonic substrate generates microbubbles at the solid-liquid interface to print
diverse colloidal particles on the substrates. Programmable bubble printing of
semiconductor quantum dots on different substrates and haptic control of printing
have also been achieved. The key to optothermal tweezers is the ability to
deliver colloidal particles from cold to hot regions of a temperature gradient or
a negative Soret effect. We explore different driving forces for the two types of
optothermal tweezers. Opto-thermophoretic tweezers rely on an abnormal
permittivity gradient built by structured solvent molecules in the electric
double layer of colloidal particles and living cells in response to heat-induced
entropy, and opto-thermoelectric tweezers exploit a thermophoresis-induced
thermoelectric field for the low-power manipulation of small nanoparticles with
minimum diameter around 20 nm. Furthermore, by incorporating depletion attraction
into the optothermal tweezers system as particle-particle or particle-substrate
binding force, we have achieved bottom-up assembly and reconfigurable optical
printing of artificial colloidal matter. Beyond optothermal manipulation
techniques in liquid environments, we also review recent progress of gas-phase
optothermal manipulation based on photophoresis. Photophoretic trapping and
transport of light-absorbing materials have been achieved through optical
engineering to tune particle-molecule interactions during optical heating, and a
novel optical trap display has been demonstrated. An improved understanding of
the colloidal response to temperature gradients will surely facilitate further
innovations in optothermal manipulation. With their low-power operation, simple
optics, and diverse functionalities, optothermal manipulation techniques will
find a wide range of applications in life sciences, colloidal science, materials
science, and nanoscience, as well as in the developments of colloidal functional
devices and nanomedicine.
PMID- 29799722
TI - Shape Stability of Octahedral PtNi Nanocatalysts for Electrochemical Oxygen
Reduction Reaction Studied by in situ Transmission Electron Microscopy.
AB - Octahedral faceted nanoparticles are highly attractive fuel cell catalysts as a
result of their activity for the oxygen reduction reaction (ORR). However, their
surface compositional and morphological stability currently limits their long
term performance in real membrane electrode assemblies. Here, we perform in situ
heating of compositionally segregated PtNi1.5 octahedral nanoparticles inside a
transmission electron microscope, in order to study their compositional and
morphological changes. The starting PtNi1.5 octahedra have Pt-rich edges and
concave Ni-rich {111} facets. We reveal a morphological evolution sequence, which
involves transformation from concave octahedra to particles with atomically flat
{100} and {111} facets, ideally representing truncated octahedra or cuboctahedra.
The flat {100} and {111} facets are thought to comprise a thin Pt layer with a Ni
rich subsurface, which may boost catalytic activity. However, the transformation
to truncated octahedra/cuboctahedra also decreases the area of the highly active
{111} facets. The morphological and surface compositional evolution, therefore,
results in a compromise between catalytic activity and morphological stability.
Our findings are important for the design of more stable faceted PtNi
nanoparticles with high activities for the ORR.
PMID- 29799723
TI - Multiparameter Affinity Microchip for Early Sepsis Diagnosis Based on CD64 and
CD69 Expression and Cell Capture.
AB - Sepsis is a leading cause of death worldwide. In this work, a multiparameter
affinity microchip was developed for faster sepsis diagnosis, which can reduce
the mortality caused by late validation. The separation device captured cells
expressing CD25, CD64, and CD69 into discrete antibody regions. The performance
of multiparameter cell separation microchips was compared with flow cytometry
analysis and validated with samples of septic patients ( n = 15) and healthy
volunteers ( n = 10). The total analysis time was 2 h. Results showed that total
on-chip cell counts for both CD64 and CD69 regions were linear with antigen
expression levels. The difference between cell capture for septic and healthy
samples was statistically significant (CD64: p = 0.0033; CD69: p = 0.0221, 95%
confidence interval), indicating that sepsis is distinguishable based on
microfluidic cell capture. For on-chip detection of CD64+ and CD69+ leukocytes,
the AUC was 0.95 and 0.78, respectively. The combination of CD64 and CD69 for
sepsis diagnosis had the AUC of 0.98, indicating the improved and excellent
diagnostic performance of multiple parameters.
PMID- 29799724
TI - Surface-Chemistry-Mediated Control of Individual Magnetic Helical Microswimmers
in a Swarm.
AB - Magnetic helical microswimmers, also known as artificial bacterial flagella
(ABFs), perform 3D navigation in various liquids under low-strength rotating
magnetic fields by converting rotational motion to translational motion. ABFs
have been widely studied as carriers for targeted delivery and release of drugs
and cells. For in vivo/ in vitro therapeutic applications, control over
individual groups of swimmers within a swarm is necessary for several biomedical
applications such as drug delivery or small-scale surgery. In this work, we
present the selective control of individual swimmers in a swarm of geometrically
and magnetically identical ABFs by modifying their surface chemistry. We confirm
experimentally and analytically that the forward/rotational velocity ratio of
ABFs is independent of their surface coatings when the swimmers are operated
below their step-out frequency (the frequency requiring the entire available
magnetic torque to maintain synchronous rotation). We also show that ABFs with
hydrophobic surfaces exhibit larger step-out frequencies and higher maximum
forward velocities compared to their hydrophilic counterparts. Thus, selective
control of a group of swimmers within a swarm of ABFs can be achieved by
operating the selected ABFs at a frequency that is below their step-out
frequencies but higher than the step-out frequencies of unselected ABFs. The
feasibility of this method is investigated in water and in biologically relevant
solutions. Selective control is also demonstrated inside a Y-shaped microfluidic
channel. Our results present a systematic approach for realizing selective
control within a swarm of magnetic helical microswimmers.
PMID- 29799725
TI - Composition-Tunable Synthesis of Large-Scale Mo1- xW xS2 Alloys with Enhanced
Photoluminescence.
AB - Alloying two-dimensional transition metal dichalcogenides (2D TMDs) is a
promising avenue for band gap engineering. In addition, developing a scalable
synthesis process is essential for the practical application of these alloys with
tunable band gaps in optoelectronic devices. Here, we report the synthesis of
optically uniform and scalable single-layer Mo1- xW xS2 alloys by a two-step
chemical vapor deposition (CVD) method followed by a laser thinning process. The
amount of W content ( x) in the Mo1- xW xS2 alloy is systemically controlled by
the co-sputtering technique. The post-laser process allows layer-by-layer
thinning of the Mo1- xW xS2 alloys down to a single-layer; such a layer exhibits
tunable properties with the optical band gap ranging from 1.871 to 1.971 eV with
variation in the W content, x = 0 to 1. Moreover, the predominant exciton
complexes, trions, are transitioned to neutral excitons with increasing W
concentration; this is attributed to the decrease in excessive charge carriers
with an increase in the W content of the alloy. Photoluminescence (PL) and Raman
mapping analyses suggest that the laser-thinning of the Mo1- xW xS2 alloys is a
self-limiting process caused by heat dissipation to the substrate, resulting in
spatially uniform single-layer Mo1- xW xS2 alloy films. Our findings present a
promising path for the fabrication of large-scale single-layer 2D TMD alloys and
the design of versatile optoelectronic devices.
PMID- 29799726
TI - Bimetallic Platinum-Rhodium Alloy Nanodendrites as Highly Active Electrocatalyst
for the Ethanol Oxidation Reaction.
AB - Rationally designing and manipulating composition and morphology of precious
metal-based bimetallic nanostructures can markedly enhance their electrocatalytic
performance, including selectivity, activity, and durability. We herein report
the synthesis of bimetallic PtRh alloy nanodendrites (ANDs) with tunable
composition by a facile complex-reduction synthetic method under hydrothermal
conditions. The structural/morphologic features, formation mechanism, and
electrocatalytic performance of PtRh ANDs are investigated thoroughly by various
physical characterization and electrochemical methods. The preformed Rh crystal
nuclei effectively catalyze the reduction of Pt2+ precursor, resulting in PtRh
alloy generation due to the catalytic growth and atoms interdiffusion process.
The Pt atoms deposition distinctly interferes in Rh atoms deposition on Rh
crystal nuclei, resulting in dendritic morphology of PtRh ANDs. For the ethanol
oxidation reaction (EOR), PtRh ANDs display the chemical composition and solution
pH co-dependent electrocatalytic activity. Because of the alloy effect and
particular morphologic feature, Pt1Rh1 ANDs with optimized composition exhibit
better reactivity and stability for the EOR than commercial Pt nanocrystals
electrocatalyst.
PMID- 29799727
TI - Electroactive Mg2+-Hydroxyapatite Nanostructured Networks against Drug-Resistant
Bone Infection Strains.
AB - Surface colonization competition between bacteria and host cells is one of the
critical factors involved in tissue/implant integration. Current biomaterials are
evaluated for their ability both of withstanding favorable responses of host
tissue cells and of resisting bacterial contamination. In this work, the
antibacterial ability of biocompatible Mg2+-substituted nanostructured
hydroxyapatite (HA) was investigated. The densities of Staphylococcus aureus,
Pseudomonas aeruginosa, and Escherichia coli strains were significantly decreased
after culture in the presence of Mg-substituted HA materials in direct
correlation with Mg2+-Ca2+ switch in the HA lattice. It was noticed that this
decrease was accompanied by a minimal alteration of bacterial environments;
therefore, the Mg2+-HA antibacterial effect was associated with the material
surface topography and it electroactive behavior. It was observed that 2.23 wt %
Mg2+-HA samples exhibited the best antibacterial performance; it decreased 2-fold
the initial population of E. coli, P. aeruginosa, and S. aureus at the
intermediate concentration (50 mg mL-1 of broth). Our results reinforce the
potential of Mg-HA nanostructured materials to be used in antibacterial coatings
for implantable devices and/or medicinal materials to prevent bone infection and
to promote wound healing.
PMID- 29799728
TI - Structural Mimics of the [Fe]-Hydrogenase: A Complete Set for Group VIII Metals.
AB - A set of structural mimics of the [Fe]-hydrogenase active site comprising all the
group VIII metals, viz., [M(2-NHC(O)C5H4N)(CO)2(2-S-C5H4N)], has been
synthesized. They exist as a mixture of isomers in solution, and the relative
stability of the isomers depends on the nature of the metal and the substituent
at the 6-position of the pyridine ligand.
PMID- 29799729
TI - Absence of Nanostructuring in NaPb mSbTe m+2: Solid Solutions with High
Thermoelectric Performance in the Intermediate Temperature Regime.
AB - Thermoelectric devices directly convert heat into electrical energy and are
highly desired for emerging applications in waste heat recovery. Currently, PbTe
based compounds are the leading thermoelectric materials in the intermediate
temperature regime (~800 K); however, integration into commercial devices has
been limited. This is largely because the performance of PbTe, which is maximized
~900 K, is too low over the temperatures of interest for most potential
commercial applications (generally under 600 K). Improving the low temperature
performance of PbTe based materials is therefore critical to achieve usage
outside of existing niche applications. Here, we provide an in-depth study of the
cubic NaPb mSbTe m+2 system of compounds ( m = 1-20) and report that it is an
excellent class of low- to medium-temperature thermoelectrics when m = 10-20. We
show that the as-cast polycrystalline ingots exhibit degenerate p-type conduction
and high maximum ZTs of 1.2-1.4 at 650 K when m = 6-20. Because the ingots are
found to be extremely brittle, we utilize spark plasma sintering (SPS) to prepare
more mechanically robust samples, and surprisingly, find that SPS results in an
undesired change in charge transport toward n-type behavior. We show this
unanticipated transition from p-type behavior as ingots to n-type after SPS is
due to dissolution of secondary phases that are present in the ingots into the
primary matrix during the SPS process, resulting in a transformation from an
inhomogeneous state to a solid solution without any observable evidence of
nanoscale precipitation. This is in sharp contrast to the seemingly similar
AgPbmSbTe m+2 (LAST) system, which is heavily nanostructured. The SPSed NaPb
mSbTe m+2 is doped p-type by tuning the cation stoichiometry, i.e., Na1+ xPb m-
xSb1- yTe m+2. The optimized compounds have very low lattice thermal
conductivities of 1.1-0.55 W.m-1.K-1 over 300-650 K, which enhances the low
intermediate temperature performance and gives rise to maximum ZT values up to
1.6 at 673 K as well as an excellent ZTavg of 1.1 over 323-673 K for m = 10, 20,
making Na1+ xPb m- xSb1- yTe m+2 among the highest performing PbTe-based
thermoelectrics under 650 K.
PMID- 29799731
TI - Self-Assembled Nano-FeO(OH)/Reduced Graphene Oxide Aerogel as a Reusable Catalyst
for Photo-Fenton Degradation of Phenolic Organics.
AB - Fabrication of visible-light-responsive, macroscopic photo-Fenton catalysts is
crucial for wastewater treatment. Here, we report a facile fabrication method for
nano-FeO(OH)/reduced graphene oxide aerogels (FeO(OH)-rGA) equipped with a stable
macrostructure and a high efficiency for catalytic degradation of phenolic
organics. The structure of FeO(OH)/rGA was characterized by SEM, TEM, XPS, Raman
analysis. The FeO(OH) is the main constituent of ferrihydrite, which dispersed in
the graphene aerogel with a particle size of ~3 nm can efficiently activate H2O2
to generate abundant *OH. The excellent performance of the FeO(OH)/rGO aerogel
was specifically exhibited by the outstanding catalyst activity, sustained
mineralization and eminent reaction rate for phenolic organics. A synergy effect
between FeO(OH) and graphene aerogel was observed, which came from the extensive
electron transfer channels and active sites of the 3D graphene aerogel and the
visible-light-activated FeO(OH) and H2O2 consistently producing *OH. The
FeO(OH)/rGA could be reused for 10 cycles without a reduction in the catalytic
activity and had less iron leaching, which guarantees that the active ingredient
remains in the gel. Moreover, the FeO(OH)/rGA induced photo-Fenton degradation of
4-chlorophenol under near neutral pH conditions because the tight connection of
FeO(OH) with the rGO aerogel results in less iron leaching and prevents the
generation of Fe(OH)3. The 4-chlorophenol was completely removed in 80 min with a
0.074 min-1 rate constant in the FeO(OH)-rGA/H2O2 photo-Fenton system under
visible-light irradiation, and mineralization rate was up to 80% after 6 h.
Oxidative *OH can continuously attack 4-chlorophenol, 2,4,6-trichlorophenol and
bisphenol A without selectivity. These results lay a foundation for highly
effective and durable photo-Fenton degradation of phenolic organics at near
neutral pH and sufficient activation of H2O2 for future applications.
PMID- 29799730
TI - Fabrication of a Flexible and Stretchable Nanostructured Gold Electrode Using a
Facile Ultraviolet-Irradiation Approach for the Detection of Nitric Oxide
Released from Cells.
AB - We developed a simple and environmentally friendly ultraviolet (UV)-irradiation
assisted technique to fabricate a stretchable, nanostructured gold film as a
flexible electrode for the detection of NO release. The flexible gold film endows
the electrode with desirable electrochemical stability against mechanical
deformation, including bending to different curvatures and bearing repeated
bending circumstances (200 times). The flexible nanostructured gold electrodes
can catalyze NO oxidation at 0.85 V (as opposed to Ag/AgCl) and detect NO within
a wide linearity in the range of 10 nM to 1.295 MUM. Its excellent NO-sensing
ability and its stretchability together with its biocompatibility allows the
electrode to electrochemically monitor NO release from mechanically sensitive
HUVECs in both their unstretched and stretched states. This result paves the way
for an effective and easily accessible platform for designing stretchable and
flexible electrodes and opens more opportunities for sensing chemical-signal
molecules released from cells or other biological samples during mechanical
stimulation.
PMID- 29799732
TI - Miniaturization and Combinatorial Approach in Organic Electrochemistry.
AB - Recent advances in electro-organic chemistry involving miniaturization,
integration, and combinatorial chemistry were reviewed. Microelectrode array
technology for site-selective electro-organic reactions and addressable libraries
provides a direct and unlabeled method for measuring small-molecule-protein
interactions. Electrochemical systems using solid-supported bases and acids
("site separation") can realize electrolysis without the addition of supporting
electrolytes. Well-designed "bipolar electrodes" have enabled the production of
patterned gradient polymer brushes and microfibers. For the display of
combinatorial organic electrochemistry, batch and flow electrolysis systems for
the optimization and screening of electro-organic reactions as well as the
building of chemical libraries for organic compounds are described.
PMID- 29799733
TI - Single-Molecule, Real-Time Dissecting of Peptide Nucleic Acid-DNA Duplexes with a
Protein Nanopore Tweezer.
AB - Peptide nucleic acids (PNAs) are artificial, oligonucleotides analogues, where
the sugar-phosphate backbone has been substituted with a peptide-like N-(2
aminoethyl)glycine backbone. Because of their inherent benefits, such as
increased stability and enhanced binding affinity toward DNA or RNA substrates,
PNAs are intensively studied and considered beneficial for the fields of
materials and nanotechnology science. Herein, we designed cationic polypeptide
functionalized, 10-mer PNAs, and demonstrated the feasible detection of
hybridization with short, complementary DNA substrates, following analytes
interaction with the vestibule entry of an alpha-hemolysin (alpha-HL) nanopore.
The opposite charged state at the polypeptide-functionalized PNA-DNA duplex
extremities, facilitated unzipping of a captured duplex at the lumen entry of a
voltage-biased nanopore, followed by monomers threading. These processes were
resolvable and identifiable in real-time, from the temporal profile of the ionic
current through a nanopore accompanying conformational changes of a single PNA
DNA duplex inside the alpha-HL nanopore. By employing a kinetic description
within the discrete Markov chains theory, we proposed a minimalist kinetic model
to successfully describe the electric force-induced strand separation in the
duplex. The distinct interactions of the duplex at either end of the nanopore
present powerful opportunities for introducing new generations of force
spectroscopy nanopore-based platforms, enabling from the same experiment duplex
detection and assessment of interstrand base pairing energy.
PMID- 29799734
TI - Efficient Rare-Earth-Based Coordination Polymers as Green Photocatalysts for the
Synthesis of Imines at Room Temperature.
AB - Five new rare-earth coordination polymers (CPs) were designed in order to offer a
remarkable platform that contains light-harvesting antennas and catalytic active
centers to achieve solar-energy conversion as green alternatives in the synthesis
of imines. These five new spirobifluorene-containing Ln-CPs, named
[Er3(Hsfdc)3(sfdc)3(H2O)]. xH2O (RPF-30-Er), [Ln(Hsfdc)(sfdc)(EtOH)].S (RPF-31
Ln, where Ln = La, Nd, and Sm and S = H2O or EtOH), and [Ho(Hsfdc)(sfdc)(H2O)]
(RPF-32-Ho) (RPF = rare-earth polymeric framework and H2sfdc = 9,9'-spirobi[9 H
fluorene]-2,2'-dicarboxylic acid), have been solvothermally synthesized, and
their structural features can be described as follows: (i) RPF-30-Er shows a 3D
framework in which the inorganic trimers (secondary building units) are cross
linked by Hsfdc- and sfdc2- linkers displaying a pcu topology. (ii) The
isostructural RPF-31-Ln series of materials, together with RPF-32-Ho, exhibit a
1D network of chains growing along the a axis with a ribbon-of-rings topology
type. The photocatalytic activity of the RPF- n materials was tested in the
oxidative coupling of amines using molecular oxygen and air as oxidizing agents
under warm light. Among the materials investigated, RPF-31-Nd was chosen to
further investigate the approach in the selectivity of different amine derivates.
PMID- 29799736
TI - Probing Intercell Variability Using Bulk Measurements.
AB - The measurement of noise is critical when assessing the design and function of
synthetic biological systems. Cell-to-cell variability can be quantified
experimentally using single-cell measurement techniques such as flow cytometry
and fluorescent microscopy. However, these approaches are costly and impractical
for high-throughput parallelized experiments, which are frequently conducted
using plate-reader devices. In this paper we describe reporter systems that allow
estimation of the cell-to-cell variability in a biological system's output using
only measurements of a cell culture's bulk properties. We analyze one potential
implementation of such a system that is based upon a fluorescent protein FRET
reporter pair, finding that with typical parameters from the literature it is
able to reliably estimate variability. We also briefly describe an alternate
implementation based upon an activating sRNA circuit. The feasible region of
parameter values for which the reporter system can function is assessed, and the
dependence of its performance on both extrinsic and intrinsic noise is
investigated. Experimental realization of these constructs can yield novel
reporter systems that allow measurement of a synthetic gene circuit's output, as
well as the intrapopulation variability of this output, at little added cost.
PMID- 29799735
TI - Brown Carbon Aerosol in Urban Xi'an, Northwest China: The Composition and Light
Absorption Properties.
AB - Light-absorbing organic carbon (i.e., brown carbon or BrC) in the atmospheric
aerosol has significant contribution to light absorption and radiative forcing.
However, the link between BrC optical properties and chemical composition remains
poorly constrained. In this study, we combine spectrophotometric measurements and
chemical analyses of BrC samples collected from July 2008 to June 2009 in urban
Xi'an, Northwest China. Elevated BrC was observed in winter (5 times higher than
in summer), largely due to increased emissions from wintertime domestic biomass
burning. The light absorption coefficient of methanol-soluble BrC at 365 nm (on
average approximately twice that of water-soluble BrC) was found to correlate
strongly with both parent polycyclic aromatic hydrocarbons (parent-PAHs, 27
species) and their carbonyl oxygenated derivatives (carbonyl-OPAHs, 15 species)
in all seasons ( r2 > 0.61). These measured parent-PAHs and carbonyl-OPAHs
account for on average ~1.7% of the overall absorption of methanol-soluble BrC,
about 5 times higher than their mass fraction in total organic carbon (OC,
~0.35%). The fractional solar absorption by BrC relative to element carbon (EC)
in the ultraviolet range (300-400 nm) is significant during winter (42 +/- 18%
for water-soluble BrC and 76 +/- 29% for methanol-soluble BrC), which may greatly
affect the radiative balance and tropospheric photochemistry and therefore the
climate and air quality.
PMID- 29799737
TI - Biodegradable, Hydrogen Peroxide, and Glutathione Dual Responsive Nanoparticles
for Potential Programmable Paclitaxel Release.
AB - Reactive oxygen species (ROS) and glutathione (GSH) dual responsive
nanoparticulate drug delivery systems (nano-DDSs) hold great promise to improve
the therapeutic efficacy and alleviate the side effects of chemo drugs in cancer
theranosis. Herein, hydrogen peroxide (H2O2) and GSH dual responsive thioketal
nanoparticle (TKN) was rationally designed for paclitaxel (PTX) delivery.
Compared to other stimuli-sensitive nano-DDSs, this dual responsive DDS is not
only sensitive to biologically relevant H2O2 and GSH for on-demand drug release
but also biodegradable into biocompatible byproducts after fulfilling its
delivering task. Considering the heterogeneous redox potential gradient, the PTX
loaded TKNs (PTX-TKNs) might first respond to the extracellular ROS and then to
the intracellular GSH, achieving a programmable release of PTX at the tumor site.
The selective toxicity of PTX-TKNs to tumor cells with high levels of ROS and GSH
was verified both in vitro and in vivo.
PMID- 29799738
TI - Three-Dimensional Graphene Nanostructures.
AB - This Communication details the implementation of a new concept for the design of
high-performance optoelectronic materials: three-dimensional (3D) graphene
nanostructures. This general strategy is showcased through the synthesis of a
three-bladed propeller nanostructure resulting from the coupling and fusion of a
central triptycene hub and helical graphene nanoribbons. Importantly, these 3D
graphene nanostructures show remarkable new properties that are distinct from the
substituent parts. For example, the larger nanostructures show an enhancement in
absorption and decreased contact resistance in optoelectronic devices. To show
these enhanced properties in a device setting, the nanostructures were utilized
as the electron-extracting layers in perovskite solar cells. The largest of these
nanostructures achieved a PCE of 18.0%, which is one of the highest values
reported for non-fullerene electron-extracting layers.
PMID- 29799740
TI - Total Internal Reflection Two-Dimensional Fluorescence Lifetime Correlation
Spectroscopy.
AB - Fluorescence lifetime correlation analysis is becoming a powerful tool to
understand the conformational heterogeneity of biomolecules and their dynamics
with an unprecedented detection sensitivity and time resolution. However, its
application to the study of biomembranes is very limited. Here, we report on two
dimensional fluorescence lifetime correlation spectroscopy (2D FLCS) in
combination with total internal reflection (TIR) microscopy (TIR 2D-FLCS). High
depth resolution in TIR microscopy and species-specific correlation analysis in
2D FLCS give us the opportunity to selectively analyze molecules in or on a
supported lipid bilayer, a model biomembrane formed on the glass surface.
Feasibility experiments performed in this study clearly demonstrated that TIR 2D
FLCS has a potential to selectively analyze the diffusion and the conformational
dynamics of proteins peripherally bound on the membrane in the presence of
substantial amounts of unbound molecules in the bulk phase.
PMID- 29799742
TI - Synthesis of 2-Methyl-1-azulenyl Tetracyanobutadienes and Dicyanoquinodimethanes:
Substituent Effect of 2-Methyl Moiety on the Azulene Ring toward the Optical and
Electrochemical Properties.
AB - We describe the comparative study of optical and electrochemical properties of
tetracyanobutadienes (TCBDs) and dicyanoquinodimethanes (DCNQs) with a 2-methyl-1
azulenyl group and their derivatives with a 1-azulenyl substituent examined under
the same conditions. TCBDs and DCNQs with a 2-methyl-1-azulenyl substituent have
been prepared by the Sonogashira-Hagihara alkynylation of the 2-methyl-1
iodoazulene with arylalkyne derivatives, followed by the formal [2+2]
cycloaddition-retroelectrocyclization (CA-RE) reaction with tetracyanoethylene
and 7,7,8,8-tetracyanoquinodimethane. The optical properties of the TCBDs and
DCNQs with a 2-methyl-1-azulenyl group were investigated through the comparison
with those of TCBDs and DCNQs with a 1-azulenyl substituent by employing the
UV/vis spectroscopy and theoretical calculations. The electrochemical properties
of the TCBD and DCNQ derivatives were also examined by cyclic voltammetry and
differential pulse voltammetry experiments, which elucidated their multistep
redox properties. Furthermore, noticeable spectral changes of these chromophores
were identified by the spectroelectrochemical measurements.
PMID- 29799741
TI - Combination of Selenomethionine and N-Acetylcysteine Alleviates the Joint
Toxicities of Aflatoxin B1 and Ochratoxin A by ERK MAPK Signal Pathway in Porcine
Alveolar Macrophages.
AB - Our previous studies showed that aflatoxin B1 (AFB1) and ochratoxin A (OTA) could
trigger joint immune toxicity. Little is known about the combined effects of
selenomethionine (SeMet) and N-acetylcysteine (NAC) on the joint toxicities of
the two toxins. In this study, results showed that SeMet or NAC alone or in
combination significantly alleviated the downswing of cell viability, glutathione
production, and phagorytosis induced by AFB1 and OTA in porcine alveolar
macrophages. The uptrend of lactate dehydrogenase activities, apoptosis, reactive
oxygen species levels, and the relative mRNA of inflammatory cytokines triggered
by the two toxins was decreased. Combination of them was more effective than
single application. Knockdown of p38, c-JUN N-terminal kinase (JNK), or
extracellular signal-regulated kinase (ERK) via use of the corresponding specific
siRNA could alleviate the joint toxicities of AFB1 and OTA. However, the ERK but
not p38 or JNK pathway was involved in the protection of SeMet and NAC against
the immunotoxicity. In conclusion, combination of SeMet and NAC might be a new
therapeutic orientation for preventing the joint toxicities induced by AFB1 and
OTA.
PMID- 29799743
TI - Highly Chemo- and Regioselective Vinylation of N-Heteroarenes with Vinylsulfonium
Salts.
AB - An efficient chemo- and regioselective N-vinylation of N-heteroarenes has been
developed using vinylsulfonium salts. The reaction proceeded under mild and
transition-metal-free conditions and consistently provided moderate to high
yields of vinylation products with 100% E-stereoselectivity. This reaction is
also highly chemoselective, and compatible with a variety of functional groups,
such as -NHR, -NH2, -OH, -COOH, ester, etc.
PMID- 29799739
TI - Exploring Applications of Covalent Organic Frameworks: Homogeneous Reticulation
of Radicals for Dynamic Nuclear Polarization.
AB - Rapid progress has been witnessed in the past decade in the fields of covalent
organic frameworks (COFs) and dynamic nuclear polarization (DNP). In this
contribution, we bridge these two fields by constructing radical-embedded COFs as
promising DNP agents. Via polarization transfer from unpaired electrons to
nuclei, DNP realizes significant enhancement of NMR signal intensities. One of
the crucial issues in DNP is to screen for suitable radicals to act as efficient
polarizing agents, the basic criteria for which are homogeneous distribution and
fixed orientation of unpaired electrons. We therefore envisioned that the
crystalline and porous structures of COFs, if evenly embedded with radicals, may
work as a new "crystalline sponge" for DNP experiments. As a proof of concept, we
constructed a series of proxyl-radical-embedded COFs (denoted as PR( x)-COFs) and
successfully applied them to achieve substantial DNP enhancement. Benefiting from
the bottom-up and multivariate synthetic strategies, proxyl radicals have been
covalently reticulated, homogeneously distributed, and rigidly embedded into the
crystalline and mesoporous frameworks with adjustable concentration ( x%).
Excellent performance of PR( x)-COFs has been observed for DNP 1H, 13C, and 15N
solid-state NMR enhancements. This contribution not only realizes the direct
construction of radical COFs from radical monomers, but also explores the new
application of COFs as DNP polarizing agents. Given that many radical COFs can
therefore be rationally designed and facilely constructed with well-defined
composition, distribution, and pore size, we expect that our effort will pave the
way for utilizing radical COFs as standard polarizing agents in DNP NMR
experiments.
PMID- 29799744
TI - Characterization and Quantification of Oligosaccharides in Human Milk and Infant
Formula.
AB - Oligosaccharides are known to affect the health of infants. The analysis of these
complex molecules in (human) milk samples requires state-of-the-art techniques.
This study analyzed the composition and concentration of oligosaccharides in
early (day 3) and mature (day 42) human milk as well as in five different infant
formula brands. The oligosaccharide content decreased in human milk from 9.15 +/-
0.25 g/L at day 3 to 6.38 +/- 0.29 g/L at day 42 of lactation. All formulas
resulted to be fortified with galacto-oligosaccharides, with one also fortified
with polydextrose and another with long-chain fructo-oligosaccharides. About 130
unique oligosaccharide structures were identified in the human milk samples,
whereas infant formula contained less diversity of structures. The comparisons
indicated that composition and abundance of oligosaccharides unique to human milk
are not yet reproduced in infant formulas. The analytical workflow developed is
suitable for the determination of prebiotic oligosaccharides in foods that
contain diverse carbohydrate structures.
PMID- 29799745
TI - Bean Seedling Growth Enhancement Using Magnetite Nanoparticles.
AB - Advanced fertilizers are one of the top requirements to address rising global
food demand. This study investigates the effect of bare and polyethylene glycol
coated Fe3O4 nanoparticles on the germination and seedling development of
Phaseolus vulgaris L. Although the germination rate was not affected by the
treatments (1 to 1 000 mg Fe L-1), seed soaking in Fe3O4-PEG at 1 000 mg Fe L-1
increased radicle elongation (8.1 +/- 1.1 cm vs 5.9 +/- 1.0 cm for the control).
Conversely, Fe2+/Fe3+(aq) and bare Fe3O4 at 1 000 mg Fe L-1 prevented the growth.
X-ray spectroscopy and tomography showed that Fe penetrated in the seed.
Enzymatic assays showed that Fe3O4-PEG was the least harmful treatment to alpha
amylase. The growth promoted by the Fe3O4-PEG might be related to water uptake
enhancement induced by the PEG coating. These results show the potential of using
coated iron nanoparticles to enhance the growth of common food crops.
PMID- 29799746
TI - Discovery of Highly Potent Pinanamine-Based Inhibitors against Amantadine- and
Oseltamivir-Resistant Influenza A Viruses.
AB - Influenza pandemic is a constant major threat to public health caused by
influenza A viruses (IAVs). IAVs are subcategorized by the surface proteins
hemagglutinin (HA) and neuraminidase (NA), in which they are both essential
targets for drug discovery. While it is of great concern that NA inhibitor
oseltamivir resistant strains are frequently identified from human or avian
influenza virus, structural and functional characterization of influenza HA has
raised hopes for new antiviral therapies. In this study, we explored a structure
activity relationship (SAR) of pinanamine-based antivirals and discovered a
potent inhibitor M090 against amantadine-resistant viruses, including the 2009
H1N1 pandemic strains, and oseltamivir-resistant viruses. Mechanism of action
studies, particularly hemolysis inhibition, indicated that M090 targets influenza
HA and it occupied a highly conserved pocket of the HA2 domain and inhibited
virus-mediated membrane fusion by "locking" the bending state of HA2 during the
conformational rearrangement process. This work provides new binding sites within
the HA protein and indicates that this pocket may be a promising target for broad
spectrum anti-influenza A drug design and development.
PMID- 29799747
TI - Access to Multisubstituted Furan-3-carbothioates via Cascade Annulation of alpha
Oxo Ketene Dithioacetals with Isoindoline-1,3-dione-Derived Propargyl Alcohols.
AB - A Bronsted acid-promoted, unprecedented formal (3 + 2) annulation strategy for
the synthesis of multisubstituted furan-3-carbothioates is reported. This
transformation represents the first regioselective annulation of alpha-oxo ketene
dithio-acetals as 1,3-bis-nucleophiles in a cascade manner. The choice of
isoindoline-1,3-dione-derived propargyl alcohols is crucial to the uncommon
annulation mode between an alkyne-type bis-electrophile and a 1,3-bis-nucleophile
under metal-free conditions. The scale-up of the synthesis and several
interesting transformations of an as-synthesized product were further
investigated. A Nazarov-like cyclization is proposed for the ring-closure process
according to the experimental observations.
PMID- 29799748
TI - Micelle-Enabled Suzuki-Miyaura Cross-Coupling of Heteroaryl Boronate Esters.
AB - We report a micellar protocol for Suzuki-Miyaura cross-coupling of heteroaryl
boronic esters with aryl or heteroaryl halides. The micellar catalysis enables
this coupling reaction to run under mild conditions, which avoids the
decomposition of heteroaryl boronate esters and allows for high chemoselectivity
for cross-coupling reaction with 6-chloropridine-2-boronic ester. The micellar
protocol expands the scope of the cross-coupling reaction with challenging
heteroaryl boronic esters and complements the existing cross-coupling methods for
construction of heterobiaryl building blocks.
PMID- 29799749
TI - Design, Synthesis, and Evaluation of Novel p-(Methylthio)styryl Substituted
Quindoline Derivatives as Neuroblastoma RAS (NRAS) Repressors via Specific
Stabilizing the RNA G-Quadruplex.
AB - The human proto-oncogene neuroblastoma RAS ( NRAS) contains a guanine-rich
sequence in the 5'-untranslated regions (5'-UTR) of the mRNA that could form an
RNA G-quadruplex structure. This structure acts as a repressor for NRAS
translation and could be a potential target for anticancer drugs. Our previous
studies found an effective scaffold, the quindoline scaffold, for binding and
stabilizing the DNA G-quadruplex structures. Here, on the basis of the previous
studies and reported RNA-specific probes, a series of novel p-(methylthio)styryl
substituted quindoline (MSQ) derivatives were designed, synthesized, and
evaluated as NRAS RNA G-quadruplex ligands. Panels of experiments turned out that
the introduction of p-(methylthio)styryl side chain could enhance the specific
binding to the NRAS RNA G-quadruplex. One of the hits, 4a-10, showed strong
stabilizing activity on the G-quadruplex and subsequently repressed NRAS's
translation and inhibited tumor cells proliferation. Our finding provided a novel
strategy to discover novel NRAS repressors by specifically binding to the RNA G
quadruplex in the 5'-UTR of mRNA.
PMID- 29799750
TI - Dinuclear Tricyclic Transition State Model for Carbonyl Addition of
Organotitanium Reagents: DFT Study on the Activity and Enantioselectivity of
BINOLate Titanium Catalysts.
AB - In the presence of a catalytic amount of chiral BINOL derivatives (or BINOLs), a
mixture of various organometallic compounds with Ti(O iPr)4 undergoes
enantioselective addition to aldehydes and ketones. Although the catalyst and
reacting nucleophile of the reaction have been elucidated to be ( BINOLate)Ti2(O
iPr)6 and RTi(O iPr)3, respectively, little is known about the properties of
short-lived intermediates and transition structures. In this work, the mechanism
of this reaction is investigated with the aid of DFT (M06) calculations. The
study provides support for the following mechanistic understandings: (i) The
direct racemic reaction proceeds through a pathway involving initial aggregation
of RTi(O iPr)3 with Ti(O iPr)4 followed by carbonyl addition of the resulting
dinuclear aggregate. (ii) The enantioselective reaction takes place through a
pathway involving initial ligand exchange of RTi(O iPr)3 with ( BINOLate)Ti2(O
iPr)6 followed by the addition of the resulting chiral dinuclear titanium species
via a chiral BINOLate-chelated, tricyclic transition structure. (iii) The
enantioselective pathway is favorable not because BINOLate ligands accelerate the
carbonyl addition but because the ligands stabilize the chiral dinuclear species
against deaggregation through a chelating bridge. (iv) The chiral transition
structure serves as a model accounting for the re-face addition generally
observed in the reaction of aldehydes with ( R)- BINOLs.
PMID- 29799751
TI - Self-Consistent Framework Connecting Experimental Proxies of Protein Dynamics
with Configurational Entropy.
AB - The recently developed NMR techniques enable estimation of protein
configurational entropy change from the change in the average methyl order
parameters. This experimental observable, however, does not directly measure the
contribution of intramolecular couplings, protein main-chain motions, or angular
dynamics. Here, we carry out a self-consistent computational analysis of the
impact of these missing contributions on an extensive set of molecular dynamics
simulations of different proteins undergoing binding. Specifically, we compare
the configurational entropy change in protein complex formation as obtained by
the maximum information spanning tree approximation (MIST), which treats the
above entropy contributions directly, and the change in the average NMR methyl
and NH order parameters. Our parallel implementation of MIST allows us to treat
hard angular degrees of freedom as well as couplings up to full pairwise order
explicitly, while still involving a high degree of sampling and tackling
molecules of biologically relevant sizes. First, we demonstrate a remarkably
strong linear relationship between the total configurational entropy change and
the average change in both methyl and backbone-NH order parameters. Second, in
contrast to canonical assumptions, we show that the main-chain and angular terms
contribute significantly to the overall configurational entropy change and also
scale linearly with it. Consequently, linear models starting from the average
methyl order parameters are able to capture the contribution of main-chain and
angular terms well. After applying the quantum-mechanical harmonic oscillator
entropy formalism, we establish a similarly strong linear relationship for X-ray
crystallographic B-factors. Finally, we demonstrate that the observed linear
relationships remain robust against drastic undersampling and argue that they
reflect an intrinsic property of compact proteins. Despite their remarkable
strength, however, the above linear relationships yield estimates of
configurational entropy change whose accuracy appears to be sufficient for
qualitative applications only.
PMID- 29799752
TI - Photochemical Co-Oxidation of Sulfides and Phosphines with Tris( p
bromophenyl)amine. A Mechanistic Study.
AB - The photochemistry of tris( p-bromophenyl)amine was investigated in a nitrogen-
and oxygen-flushed solution under laser flash photolysis conditions. The detected
intermediates were the corresponding amine radical cation ("Magic Blue") and the
N-phenyl-4a,4b-dihydrocarbazole radical cation that, under an oxygen atmosphere,
is converted to the corresponding hydroperoxyl radical. The role of the last
species was supported by the smooth co-oxidation of sulfides to sulfoxides. On
the other hand, co-oxidation of nucleophilic triarylphosphines to
triarylphosphine oxides arose from an electron transfer between the
photogenerated "Magic Blue" and phosphine that prevented the amine cyclization.
In this case, intermediate Ar3POO*+ was found to play a key role in phosphine
oxide formation.
PMID- 29799753
TI - Effects of gamma-Valerolactone/H2O Solvent on the Degradation of pubescens for
Its Fullest Utilization.
AB - Solvent-thermal conversion of biomass was promising for obtaining value-added
chemicals. However, little was known about the interactions between solvents and
biomass in the process, which hindered the effective utilization of biomass. The
effects of gamma-valerolactone (GVL) and H2O on enhancing pubescens degradation
via the cleavage of inter- and intramolecular linkages were studied. At 160
degrees C, H2O selectively promoted the cleavage of the intermolecular linkages
by forming hydrogen bonds, making mainly contributions to hemicellulose
dissolution, while GVL and H2O promoted lignin dissolution by forming hydrogen
bonds with -OCH3 group of lignin. H2O promoted the cleavage of beta-(1,4)
glycosidic bonds in hemicellulose derived oligomers to xylose, while the oxygen
in the ring of GVL might interact with hydroxyl groups of xylose unit to enhance
the dehydration of xylose to furfural, whereas GVL with H2O promoted the
depolymerization of lignin to oligomers mainly including beta-O-4' and beta-beta'
linkages connecting to G and S units.
PMID- 29799754
TI - Nickel-Catalyzed Molybdenum-Promoted Carbonylative Synthesis of Benzophenones.
AB - A nickel-catalyzed molybdenum-promoted carbonylative coupling reaction for the
synthesis of benzophenones from aryl iodides has been developed. Various
substituted diaryl ketones were synthesized in moderate to excellent yields under
CO-gas-free conditions. A synergetic effect of both nickel and molybdenum has
been observed, which is also responsible for the success of this transformation.
PMID- 29799755
TI - A Microscopic Interpretation of Pump-Probe Vibrational Spectroscopy Using Ab
Initio Molecular Dynamics.
AB - What happens when extra vibrational energy is added to water? Using
nonequilibrium molecular dynamics simulations, also including the full electronic
structure, and novel descriptors, based on projected vibrational density of
states, we are able to follow the flow of excess vibrational energy from the
excited stretching and bending modes. We find that the energy relaxation, mostly
mediated by a stretching-stretching coupling in the first solvation shell, is
highly heterogeneous and strongly depends on the local environment, where a
strong hydrogen bond network can transport energy with a time scale of 200 fs,
whereas a weaker network can slow down the transport by a factor 2-3.
PMID- 29799756
TI - Transmembrane Helix Induces Membrane Fusion through Lipid Binding and Splay.
AB - The fusion of biological membranes may require splayed lipids whose tails
transiently visit the headgroup region of the bilayer, a scenario suggested by
molecular dynamics simulations. Here, we examined the lipid splay hypothesis
experimentally by relating liposome fusion and lipid splay induced by model
transmembrane domains (TMDs). Our results reveal that a conformationally flexible
transmembrane helix promotes outer leaflet mixing and lipid splay more strongly
than a conformationally rigid one. The lipid dependence of basal as well as of
TMD-driven lipid mixing and splay suggests that the cone-shaped
phosphatidylethanolamine stimulates basal fusion via enhancing lipid splay and
that the negatively charged phosphatidylserine inhibits fusion via electrostatic
repulsion. Phosphatidylserine also strongly differentiates basal and helix-driven
fusion, which is related to its preferred interaction with the conformationally
more flexible transmembrane helix. Thus, the contribution of a transmembrane
helix to membrane fusion appears to depend on lipid binding, which results in
lipid splay.
PMID- 29799758
TI - Preclinical Bioavailability Strategy for Decisions on Clinical Drug Formulation
Development: An In Depth Analysis.
AB - The aim of the presented retrospective analysis was to verify whether a
previously proposed Janssen Biopharmaceutical Classification System (BCS)-like
decision tree, based on preclinical bioavailability data of a solution and
suspension formulation, would facilitate informed decision making on the clinical
formulation development strategy. In addition, the predictive value of (in vitro)
selection criteria, such as solubility, human permeability, and/or a clinical
dose number (Do), were evaluated, potentially reducing additional supporting
formulation bioavailability studies in animals. The absolute ( Fabs,sol) and
relative ( Frel, susp/sol) bioavailability of an oral solution and suspension,
respectively, in rat or dog and the anticipated BCS classification were analyzed
for 89 Janssen compounds with 28 of these having Frel,susp/sol and Fabs,sol in
both rat and dog at doses around 10 and 5 mg/kg, respectively. The
bioavailability outcomes in the dog aligned well with a BCS-like classification
based upon the solubility of the active pharmaceutical ingredient (API) in
biorelevant media, while the alignment was less clear for the bioavailability
data in the rat. A retrospective analysis on the clinically tested formulations
for a set of 12 Janssen compounds confirmed that the previously proposed animal
bioavailability-based decision tree facilitated decisions on the oral formulation
type, with the dog as the most discriminative species. Furthermore, the analysis
showed that based on a Do for a standard human dose of 100 mg in aqueous and/or
biorelevant media, a similar formulation type would have been selected compared
to the one suggested by the animal data. However, the concept of a Do did not
distinguish between solubility enhancing or enabling formulations and does not
consider the API permeability, and hence, it produces the risk of slow and
potentially incomplete oral absorption of an API with poor intestinal
permeability. In cases where clinical dose estimations are available early in
development, the preclinical bioavailability studies and dose number
calculations, used to guide formulation selection, may be performed at more
relevant doses instead of the proposed standard human dose. It should be noted,
however, that unlike in late development, there is uncertainty on the clinical
dose estimated in the early clinical phases because that dose is usually only
based on in vitro and/or in vivo animal pharmacology models, or early clinical
biomarker information. Therefore, formulation strategies may be adjusted based on
emerging data supporting clinical doses. In summary, combined early information
on in vitro-assessed API solubility and permeability, preclinical
suspension/solution bioavailability data in relation to the intravenous
clearance, and metabolic pathways of the API can strengthen formulation
decisions. However, these data may not always fully distinguish between
conventional (e.g., to be taken with food), enhancing, and enabling formulations.
Therefore, to avoid overinvestment in complex and expensive enabling
technologies, it is useful to evaluate a conventional and solubility (and/or
permeability) enhancing formulation under fasted and fed conditions, as part of a
first-in-human study or in a subsequent early human bioavailability study, for
compounds with high Do, a low animal Frel,susp/sol, or low Fabs,sol caused by
precipitation of the solubilized API.
PMID- 29799757
TI - Capturing Structural Snapshots during Photochemical Reactions with Ultrafast
Raman Spectroscopy: From Materials Transformation to Biosensor Responses.
AB - Chemistry studies the composition, structure, properties, and transformation of
matter. A mechanistic understanding of the pertinent processes is required to
translate fundamental knowledge into practical applications. The current
development of ultrafast Raman as a powerful time-resolved vibrational technique,
particularly femtosecond stimulated Raman spectroscopy (FSRS), has shed light on
the structure-energy-function relationships of various photosensitive systems.
This Perspective reviews recent work incorporating optical innovations, including
the broad-band up-converted multicolor array (BUMA) into a tunable FSRS setup,
and demonstrates its resolving power to watch metal speciation and photolysis,
leading to high-quality thin films, and fluorescence modulation of chimeric
protein biosensors for calcium ion imaging. We discuss advantages of performing
FSRS in the mixed time-frequency domain and present strategies to delineate
mechanisms by tracking low-frequency modes and systematically modifying chemical
structures with specific functional groups. These unique insights at the chemical
bond level have started to enable the rational design and precise control of
functional molecular machines in optical, materials, energy, and life sciences.
PMID- 29799759
TI - Role of Disorder in the Extent of Interchain Delocalization and Polaron
Generation in Polythiophene Crystalline Domains.
AB - To understand how disorder within conjugated polymer aggregates influences the
polaron generation process, we investigated poly(3-hexylthiophene) (P3HT) and a
congeneric random copolymer incorporating 33 mol % substituent-free thiophene
units (RP33). Steady-state absorption and fluorescence spectra showed that
increasing the intrachain torsional disorder in aggregates increases the energy
and breadth of the density of states (DOS). By extracting polaron dynamics in the
transient absorption spectra, we found that an activation energy barrier of 0.05
eV is imposed on the charge separation process in P3HT, whereas that in RP33 is
essentially barrierless. We also found that a significant amount of excitons in
P3HT are deactivated by traps, while no trapped excitons are generated in RP33.
This efficient polaron generation in RP33 was attributed to the excess energy and
enhanced interchain delocalization of precursor states provided by the intrachain
torsional disorder and the close-packing structure in the absence of hexyl
substituents.
PMID- 29799760
TI - Single Charge and Exciton Dynamics Probed by Molecular-Scale-Induced
Electroluminescence.
AB - Excitons and their constituent charge carriers play the central role in
electroluminescence mechanisms determining the ultimate performance of organic
optoelectronic devices. The involved processes and their dynamics are often
studied with time-resolved techniques limited by spatial averaging that obscures
the properties of individual electron-hole pairs. Here, we overcome this limit
and characterize single charge and exciton dynamics at the nanoscale by using
time-resolved scanning tunneling microscopy-induced luminescence (TR-STML)
stimulated with nanosecond voltage pulses. We use isolated defects in C60 thin
films as a model system into which we inject single charges and investigate the
formation dynamics of a single exciton. Tunable hole and electron injection rates
are obtained from a kinetic model that reproduces the measured electroluminescent
transients. These findings demonstrate that TR-STML can track dynamics at the
quantum limit of single charge injection and can be extended to other systems and
materials important for nanophotonic devices.
PMID- 29799761
TI - Health, self-care and the offshore workforce - opportunities for behaviour change
interventions, an epidemiological survey.
AB - INTRODUCTION: The high risk nature of offshore work and inherent occupational
hazards necessitate that offshore workers engage in behaviours that promote
health and wellbeing. The survey aimed to assess offshore workers' health, self
care, quality of life and mental wellbeing, and to identify associated areas
requiring behaviour change. METHODS: Offshore workers attending a course at a
training facility in Scotland were invited to complete a questionnaire comprising
11 validated measures of health, self-care, quality of life and mental wellbeing.
RESULTS: A total of 352 offshore workers responded (completion rate 45.4%).
Almost three-quarters were identified as overweight/obese (n=236, 74.4%). Median
scores for SF-8 quality of life (physical=56.1, interquartile range (IQR)=4.8;
mental=54.7, IQR=8.1) and Warwick-Edinburgh Mental Wellbeing scales were positive
(52.0, IQR=9.0). The largest proportion of participants' scores across alcohol
use (n=187, 53.4%) and sleep quality (n=229, 67.0%) domains were categorised as
negative. The median number of self-care domains for which offshore workers
scored negatively was 3 (IQR=2.0). CONCLUSIONS: There are key areas relating to
the health, quality of life, mental wellbeing and self-care of the offshore
workforce that warrant addressing.
PMID- 29799762
TI - Should tobacco control intervention be implemented into tuberculosis control
program?
PMID- 29799764
TI - A ubiquitin-dependent mitophagy complex maintains mitochondrial function and
insulin secretion in beta cells.
AB - Mitochondrial autophagy or mitophagy is a key component of mitochondrial quality
control, which is necessary to maintain cellular bioenergetics. Pancreatic islet
beta-cells, which release insulin in response to circulating blood glucose
levels, are particularly susceptible to mitochondrial dysfunction due to their
high metabolic activity and energy requirements for insulin processing,
maturation, and secretion. Therefore, dysregulated mitophagy has drawn interest
in the etiology of beta-cell failure in diabetes. We demonstrate that the pivotal
beta-cell mitophagy regulator, CLEC16A, is an E3 ligase that forms a ubiquitin
dependent tripartite complex with RNF41/NRDP1 and USP8. Maintenance of the
CLEC16A-RNF41-USP8 mitophagy complex is necessary for maximal cellular
respiration and insulin secretion. Further, we observe that diabetogenic
metabolic stressors, including elevated glucose and fatty acids, destabilize the
CLEC16A-RNF41-USP8 complex and lead to beta-cell apoptosis. Thus, the beta-cell
mitophagy pathway requires ubiquitin signals to stabilize the CLEC16A-RNF41-USP8
complex and maintain mitochondrial quality control.
PMID- 29799763
TI - An atypical BAR domain protein in autophagy.
AB - The sorting nexin Atg20 interacts with the selective macroautophagy/autophagy
scaffolding protein Atg11, suggesting an important role for Atg20 in the
initiation of selective autophagy. To explore this possibility, we recently
investigated the structure and function of Atg20 using a variety of biophysical
and yeast genetic approaches. Our data demonstrate that the BAR domain of Atg20
interacts with Snx4/Atg24 to form an asymmetric heterodimeric BAR domain complex.
Atg20 also contains a long intrinsically disordered N terminus that facilitates
binding to Atg11 and a large 89-amino acid insertion in its BAR domain, which we
have termed the BAR-GAP. This BAR-GAP region is a unique feature of Atg20 and has
not been observed in other BAR domains. Furthermore, the BAR-GAP of Atg20
contains an amphipathic helix which is required for membrane binding, tubulation
and autophagy. Our findings demonstrate the important role of this novel region
in autophagy.
PMID- 29799767
TI - Nutritional Regulation of Intestinal Stem Cells.
AB - Dietary composition and calorie intake are major determinants of health and
disease. Calorie restriction promotes metabolic changes that favor tissue
regeneration and is arguably the most successful and best-conserved antiaging
intervention. Obesity, in contrast, impairs tissue homeostasis and is a major
risk factor for the development of diseases including cancer. Stem cells, the
central mediators of tissue regeneration, integrate dietary and energy cues via
nutrient-sensing pathways to maintain growth or respond to stress. We discuss
emerging data on the effects of diet and nutrient-sensing pathways on intestinal
stem cells, as well as their potential application in the development of
regenerative and therapeutic interventions.
PMID- 29799766
TI - Nutritional Influences on One-Carbon Metabolism: Effects on Arsenic Methylation
and Toxicity.
AB - Exposure to inorganic arsenic (InAs) via drinking water and/or food is a
considerable worldwide problem. Methylation of InAs generates monomethyl
(MMAsIII+V)- and dimethyl (DMAsIII+V)-arsenical species in a process that
facilitates urinary As elimination; however, MMAs is considerably more toxic than
either InAs or DMAs. Emerging evidence suggests that incomplete methylation of As
to DMAs, resulting in increased MMAs, is associated with increased risk for a
host of As-related health outcomes. The biochemical pathway that provides methyl
groups for As methylation, one-carbon metabolism (OCM), is influenced by folate
and other micronutrients, including choline and betaine. Individuals and species
differ widely in their ability to methylate As. A growing body of research,
including cell-culture, animal-model, and epidemiological studies, has
demonstrated the role of OCM-related micronutrients in As methylation. This
review examines the evidence that nutritional status and nutritional
interventions can influence the metabolism and toxicity of As, with a primary
focus on folate.
PMID- 29799765
TI - Revisiting the Marshmallow Test: A Conceptual Replication Investigating Links
Between Early Delay of Gratification and Later Outcomes.
AB - We replicated and extended Shoda, Mischel, and Peake's (1990) famous marshmallow
study, which showed strong bivariate correlations between a child's ability to
delay gratification just before entering school and both adolescent achievement
and socioemotional behaviors. Concentrating on children whose mothers had not
completed college, we found that an additional minute waited at age 4 predicted a
gain of approximately one tenth of a standard deviation in achievement at age 15.
But this bivariate correlation was only half the size of those reported in the
original studies and was reduced by two thirds in the presence of controls for
family background, early cognitive ability, and the home environment. Most of the
variation in adolescent achievement came from being able to wait at least 20 s.
Associations between delay time and measures of behavioral outcomes at age 15
were much smaller and rarely statistically significant.
PMID- 29799768
TI - Improved Adherence Rates and Clinical Outcomes of an Integrated, Closed-Loop,
Pharmacist-Led Oral Chemotherapy Management Program.
AB - PURPOSE: To address the growing use of oral anticancer therapy, an integrated,
closed-loop, pharmacist-led oral chemotherapy management program was created
within an academic medical center. METHODS: An integrated, closed-loop, pharmacy
led oral chemotherapy management program was established. From September 2014
until June 2015, demographic information, rates of adherence, patient
understanding of treatment, pharmacist interventions, patient and provider
satisfaction, and molecular response rates in patients with chronic myeloid
leukemia (CML) were collected. RESULTS: After full implementation, 107 patients
were enrolled in our oral chemotherapy management program from September 2014
until June 2015. All patients were educated before starting oral chemotherapy,
and using pre- and postassessment tests, comprehension of oral chemotherapy
treatment increased from 43% to 95%. Patient-reported adherence was 86% and 94.7%
for the GI/breast and malignant hematology patient populations, respectively, and
these were validated with medication possession ratio, revealing adherence rates
of 85% and 93.9% for the GI/breast and malignant hematology patient populations,
respectively. A total of 350 encounters with a clinical pharmacist and 318
adverse effects were reported, which led to 235 interventions. This program led
to a higher major molecular response rate (83%) in our CML population compared
with published clinical trials (average major molecular response rates, 40% and
60% with 1- and 2-year follow-up, respectively). CONCLUSION: An innovative model
was developed and resulted in improved patient knowledge regarding oral
chemotherapy, improved adherence rates that exceeded nationally established
thresholds, and superior major molecular response outcomes for patients with CML
compared with published literature. As a result, this model has produced the gold
standard in managing patients receiving oral chemotherapy.
PMID- 29799770
TI - The Rag GTPase-Ragulator complex attenuates TOR complex 1 signaling in fission
yeast.
AB - Target of rapamycin complex 1 (TORC1) is an evolutionarily conserved protein
kinase complex, whose activation in response to nutrients suppresses autophagy.
In mammalian cells, amino-acid stimuli induce lysosomal translocation and
activation of MTORC1 through the RRAG GTPase heterodimer, which is tethered to
the surface of lysosomes by the Ragulator complex. Our recent study demonstrated
that the fission yeast Schizosaccharomyces pombe also has a Ragulator complex
that anchors the Gtr1-Gtr2 Rag GTPase heterodimer to the vacuole, a lysosome-like
organelle. Unexpectedly, however, neither vacuolar localization nor activation of
TORC1 is dependent on the Rag-Ragulator complex, which instead plays a critical
role in attenuating TORC1 signaling. Our findings suggest dual functionality of
the Rag GTPase in both activation and inactivation of TORC1.
PMID- 29799771
TI - Is the Dexamethasone-Sparing Strategy Ready For Cisplatin? Too Early For an
Answer.
PMID- 29799769
TI - Sonographic assessment of optic nerve and ophthalmic vessels in patients with
idiopathic intracranial hypertension.
AB - BACKGROUND: Early diagnosis and proper monitoring of intracranial pressure (ICP)
in idiopathic intracranial hypertension (IIH) could reduce morbidity. OBJECTIVES:
The objective was to explore and monitor reflection of raised ICP in IIH on optic
nerve sheath diameter (ONSD), papillary height and ophthalmic vessels
hemodynamics, using transorbital sonography (TOS). METHODS: The study included 24
IIH patients and 30 controls. Patients were compared to controls (phase I) then
reassessed twice; 1 week and 4 weeks later (phase II). Both groups underwent
clinical evaluation and TOS to measure ONSD, papillary elevation, and color
Doppler indices of the ophthalmic vessels. Patients underwent lumbar puncture
(LP) to measure cerebrospinal fluid (CSF) pressure. RESULTS: ONSD was
significantly higher in patients compared to controls (p < 0.001). The cut-off
value was 6.2 mm. Papillary elevation (p = 0.006) and ONSD (p = 0.006) were
significantly reduced 4 weeks following LP. Baseline color Doppler indices of the
ophthalmic vessels were comparable between both groups and the changes observed
during the follow-up visits in the patients were insignificant. CONCLUSION:
Reflected ICP changes on ONSD and papilla, measured by TOS, could be a valuable
noninvasive additional tool to diagnose and monitor IIH patients. IIH
insignificantly influences ophthalmic vessels hemodynamics. Abbreviation BMI:
Body mass index. CSF: Cerebrospinal fluid. EDV: End diastolic velocity. ICP:
Intracranial pressure. IH:intracranial hypertension. IIH: Idiopathic intracranial
hypertension. LP: Lumbar puncture. MI: Mechanical index. MRI: Magnetic resonance
imaging. MRV: Magnetic resonance venography. OA: Ophthalmic artery OND: Optic
nerve diameter. ONSD: Optic nerve sheath diameter. OV: Ophthalmic vein. PIs:
Pulsatility indices. PSV: Peak systolic velocity. ROC: Receiver operator
characteristic. TOS: Trans-orbital sonography.
PMID- 29799772
TI - Reply to L. Celio et al.
PMID- 29799773
TI - Cognition as a Window into Neuronal Population Space.
AB - Understanding how cognitive processes affect the responses of sensory neurons may
clarify the relationship between neuronal population activity and behavior.
However, tools for analyzing neuronal activity have not kept up with
technological advances in recording from large neuronal populations. Here, we
describe prevalent hypotheses of how cognitive processes affect sensory neurons,
driven largely by a model based on the activity of single neurons or pools of
neurons as the units of computation. We then use simple simulations to expand
this model to a new conceptual framework that focuses on subspaces of population
activity as the relevant units of computation, uses comparisons between brain
areas or to behavior to guide analyses of these subspaces, and suggests that
population activity is optimized to decode the large variety of stimuli and tasks
that animals encounter in natural behavior. This framework provides new ways of
understanding the ever-growing quantity of recorded population activity data.
PMID- 29799774
TI - Epithelial autophagy controls chronic colitis by reducing TNF-induced apoptosis.
AB - Genome-wide association studies (GWAS) linking polymorphisms in ATG16L1 with
susceptibility to inflammatory bowel disease (IBD) have prompted mucosal
immunologists to investigate the functional roles of macroautophagy/autophagy in
different cell types in the gut. Here we present a recent study that addressed 2
key questions: in which cell type is autophagy deficiency most detrimental during
chronic colitis and what is the functional role of autophagy in those cells? We
report that autophagy in intestinal epithelial cells (IECs) acts to limit
intestinal inflammation by protecting them from TNF-induced apoptosis and we
discuss the potential implications for IBD treatment.
PMID- 29799775
TI - Maximising influenza vaccination awareness and uptake among older adults in
Singapore.
AB - Adults >=65 are more susceptible to influenza infection and its associated
complications. This paper critically reviews the literature, identifying the need
for and priorities of a public health strategy to improve vaccination awareness
and uptake among older adults. Four electronic databases were searched for peer
reviewed articles in English published between 2001 and 2016. Twelve studies were
included. Three themes were identified on analysis: impact on healthcare
services; barriers and motivators influencing influenza vaccination uptake; and
health promotion interventions. Studies suggest vaccination may reduce the health
care costs of influenza-associated infection. Socioeconomic factors, information
about vaccination and cultural beliefs may influence an individual's decision.
Multicomponent interventions, such as home visits combined with reminders,
support increased uptake. Interventions are identified that could be adopted at
the community level in Singapore and other countries to improve influenza
vaccination uptake among older adults.
PMID- 29799776
TI - Cultural competence in palliative care and a world of multiculturalism.
PMID- 29799777
TI - The development of a district nursing caseload review tool.
AB - District Nursing (DN) caseloads are increasingly unwieldy. ( Queen's Nursing
Institute, 2016 ). They can also be difficult to manage due to the
unpredictability and increasing complexity of the patient's needs. It is an
essential component of DN teams that caseloads are reviewed on a regular basis to
support the delivery of efficient, effective and safe patient care. This article
illustrates how a caseload review tool was developed, which would standardise the
process in all teams, analyse and monitor the outcomes, identify any trends and
themes and give assurance that DN caseloads were productive and safe. The
testing, piloting and evaluation of the DN caseload review tool was over a period
of 12 months and included 35 DN teams across the Trust. The method used was
standardised and systematic, in order to ensure that the results were consistent
across the pilot site. It also allowed for standardised challenges to be made by
the reviewers, ensuring that the process was efficient and meaningful, the
outcomes measured and documented and the clinical systems updated appropriately.
Results from the initial reviews have been positive. They have produced both
qualitative and quantitative data, which has supported further development of the
tool. In addition, actions and outcomes identified for individual patients have
been documented and addressed, where possible, at local level. A governance
process is in place which supports unaddressed challenges, themes and trends. The
conclusion of the pilot has confirmed that this process is valid and will
continue to be used within the organisation.
PMID- 29799778
TI - Do not attempt resuscitation orders in primary care settings.
AB - A Do Not Attempt Resuscitation notice (DNACPR) is an important mechanism for
avoiding inappropriate CRP attempts, and protects district nurses and others from
allegations of ill treatment or wilful neglect. The DNACPR notice must be
discussed with the patient or their relatives, before placed on file ( Tracey v
Cambridge Uni Hospital NHS Foundation Trust and others [2014] ; Winspear v City
Hospitals Sunderland NHSFT [2015] ). In this article Richard Griffith set out the
steps district nurses must take to ensure that a DNACPR notice is lawful.
PMID- 29799779
TI - Medications at home.
AB - This article looks at practical ways to support patients with oral medication
compliance in their own homes. It addresses the importance of assessing why the
patient needs support, the importance of a medication review and strategies and
tools to aid patient compliance, alongside instances where a nurse can aid
patient's relatives and carers can provide medication instead of a nurse.
PMID- 29799780
TI - Is there an inequity of UK lymphoedema service provision?
PMID- 29799781
TI - The impact of community pharmacy-led medicines management support for people with
COPD.
AB - Chronic obstructive pulmonary disease (COPD) is a common long-term condition
involving restricted airflow, which reduces quality of life. Treatments include
lifestyle changes (smoking cessation), pulmonary rehabilitation and medication
with inhaled therapies. However, medication adherence is often suboptimal,
resulting in poor health outcomes. A pilot project assessed the impact of
medicines management support from a community pharmacy team for people with COPD,
delivered in their own homes. Individuals were given a medication review and an
assessment of their inhaler technique and were followed up at 3 and 6 months. The
COPD Assessment Test (CAT) score was administered before and after the
intervention. A change in score of 2 or more suggests a significant difference;
the average score was 19.2 at the first assessment and 16.7 at the six month
follow-up. Seventeen patients had improved CAT scores, 10 patients had a reduced
score and three remained unchanged. Most patients evaluated the project
positively as it helped them to improve their inhaler technique. Medicines
optimisation was also achieved as a person-centred approach was taken; suboptimal
practice had not been picked up by health professionals previously. Community
pharmacists working in integrated care teams provide invaluable support to
patients with COPD. This project will be rolled out across the community team,
and training on medicines management and inhaler technique provided to other
health professionals involved in the care of these patients.
PMID- 29799782
TI - Using digital health effectively.
PMID- 29799783
TI - What a great opportunity.
PMID- 29799784
TI - Eczema conditions in the older person.
PMID- 29799785
TI - ESCT: Personal trauma and the community nurse.
PMID- 29799786
TI - Food deprivation increases hepatic hepcidin expression and can overcome the
effect of Hfe deletion in male mice.
AB - Iron-loading disorders, such as hereditary hemochromatosis, are associated with
inappropriately low expression of the iron regulatory hormone, hepcidin. A recent
study has demonstrated that food deprivation can increase hepcidin production in
mice. We have examined this effect in more detail to determine whether the
pathway(s) that are responsible might provide novel targets for pharmaceutical
intervention in disorders of iron homeostasis. C57BL/6 mice were deprived of food
for 5, 10, 16, or 24 h before euthanasia, then blood and tissue samples were
collected for analysis. The effect of food deprivation was also examined in Hfe-/
mice, a model of hereditary hemochromatosis, as well as mice that were
maintained on an iron-deficient diet or injected with erythropoietin. Food
deprivation increased the hepatic expression of the gene that encodes hepcidin,
hepcidin antimicrobial peptide 1 ( Hamp1), with maximal expression observed after
16 h, and was able to overcome the reduction in Hamp1 expression associated with
Hfe deficiency. Food deprivation also increased Hamp1 expression in response to
stimuli that more strongly suppress the gene, such as iron deficiency and
erythropoietin treatment, but the effects were not significant. These results
indicate that Hamp1 induction by food deprivation is independent of HFE and
suggest that targeting the pathway regulated by food deprivation could have
clinical benefit in iron-loading conditions.-Mirciov, C. S. G., Wilkins, S. J.,
Anderson, G. J., Frazer, D. M. Food deprivation increases hepatic hepcidin
expression and can overcome the effect of Hfe deletion in male mice.
PMID- 29799787
TI - FAM19A1 is a new ligand for GPR1 that modulates neural stem-cell proliferation
and differentiation.
AB - FAM19A1 is a member of the family with sequence similarity 19 with unknown
function. FAM19A1 mRNA expression is restricted to the CNS. Here, we report that
FAM19A1 is a classic secretory protein, and expression levels correlate with
brain development, increasing from embryonic d 12.5, peaking between postnatal d
(P)1 and P7 and decreasing at wk 8. The adult hippocampus is a region of FAM19A1
high expression. Recombinant FAM19A1 suppressed the proliferation and self
renewal of neural stem cells (NSCs) and altered the lineage progression of NSCs
with promoted neuron differentiation and suppressed astrocyte differentiation.
Although GPCR 1 (GPR1) has been reported to be expressed in the CNS, its
functions in the brain remain unclear. We identified GPR1 to be a functional
receptor for FAM19A1. FAM19A1 interacted with GPR1 via the N-terminal domain
(GPR1-ND), and its NSC modulatory functions required the Rho-associated protein
kinase (ROCK) /ERK1/2 and ROCK/signal transducer and activator of transcription 3
signaling pathways. GPR1-ND that selectively bound to FAM19A1 neutralized the
effects of FAM19A1 on NSC functions. Taken together, our results show, for the
first time to our knowledge, that FAM19A1 is a novel regulatory factor of the
proliferation and differentiation of NSCs, and identified a novel mechanism by
which GPCR mediates the effects of FAM19A1 on NSC functions that may be important
for brain development and neurogenesis. Additional exploration of the functions
of FAM19A1 and GPR1 in the CNS may broaden the range of therapeutic options
available for major brain disorders.-Zheng, C., Chen, D., Zhang, Y., Bai, Y.,
Huang, S., Zheng, D., Liang, W., She, S., Peng, X., Wang, P., Mo, X., Song, Q.,
Lv, P., Huang, J., Ye, R. D., Wang, Y. FAM19A1 is a new ligand for GPR1 that
modulates neural stem-cell proliferation and differentiation.
PMID- 29799789
TI - MiR-205 suppresses tumor growth, invasion, and epithelial-mesenchymal transition
by targeting SEMA4C in hepatocellular carcinoma.
AB - Growing evidence indicates that microRNAs are involved in tumorigenesis and
progression of hepatocellular carcinoma (HCC). However, the functional mechanisms
of miR-205 in HCC remain largely unknown. Here, we demonstrate that miR-205
expression was significantly down-regulated in HCC tissues and cell lines and was
correlated with metastatic pathologic features and shorter disease-free and
overall survival. Overexpression of miR-205 dramatically inhibited HCC cell
proliferation, apoptosis, migration, invasion, epithelial-mesenchymal transition
(EMT) in vitro, and tumor growth in vivo. We subsequently identified semaphorin
4C (SEMA4C) as a novel target of miR-205. Furthermore, high expression levels of
SEMA4C were frequently found in HCC tissues and were associated with poor
prognosis. Ectopic expression of SEMA4C restored the suppressive effect of
overexpressed miR-205 on migration, invasion, and EMT. Taken together, our
findings provide new insight into the critical role of miR-205 in regulating
tumor growth, invasion, and EMT of HCC, suggesting miR-205 may serve as a
promising therapeutic target and novel prognostic indicator for patients with
HCC.-Lu, J., Lin, Y., Li, F., Ye, H., Zhou, R., Jin, Y., Li, B., Xiong, X.,
Cheng, N. MiR-205 suppresses tumor growth, invasion and epithelial-mesenchymal
transition by targeting SEMA4C in hepatocellular carcinoma.
PMID- 29799788
TI - Ursolic acid facilitates apoptosis in rheumatoid arthritis synovial fibroblasts
by inducing SP1-mediated Noxa expression and proteasomal degradation of Mcl-1.
AB - Rheumatoid arthritis (RA) is characterized by hyperplastic pannus formation
mediated by activated synovial fibroblasts (RASFs) that cause joint destruction.
We have shown earlier that RASFs exhibit resistance to apoptosis, primarily as a
result of enhanced expression of myeloid cell leukemia-1 (Mcl-1). In this study,
we discovered that ursolic acid (UA), a plant-derived pentacyclic triterpenoid,
selectively induces B-cell lymphoma 2 homology 3-only protein Noxa in human
RASFs. We observed that UA-induced Noxa expression was followed by a consequent
decrease in Mcl-1 expression in a dose-dependent manner. Subsequent evaluation of
the signaling pathways showed that UA-induced Noxa is primarily mediated by the
JNK pathway in human RASFs. Chromatin immunoprecipitation (IP) studies into the
promoter region of Noxa indicated the role of transcription factor specificity
protein 1 in JNK-mediated Noxa expression. Furthermore, the results from IP
studies and proximity ligation assays indicated that UA-induced Noxa colocalizes
and associates with Mcl-1 to prime it for proteasomal degradation through K48
linked ubiquitination by the selective recruitment of Mcl-1 ubiquitin ligase E3,
a homologous to E6-associated protein C terminus domain-containing E3 ubiquitin
ligase. These findings unveil a novel mechanism of inducing apoptosis in RASFs
and a potential adjunct therapeutic strategy of regulating synovial hyperplasia
in RA.-Kim, E. Y., Sudini, K., Singh, A. K., Haque, M., Leaman, D., Khuder, S.,
Ahmed, S. Ursolic acid facilitates apoptosis in rheumatoid arthritis synovial
fibroblasts by inducing SP1-mediated Noxa expression and proteasomal degradation
of Mcl-1.
PMID- 29799791
TI - Control of Specialized Metabolism by Signaling and Transcriptional Regulation:
Opportunities for New Platforms for Drug Discovery?
AB - Specialized metabolites are bacterially produced small molecules that have an
extraordinary diversity of important biological activities. They are useful as
biochemical probes of living systems, and they have been adapted for use as drugs
for human afflictions ranging from infectious diseases to cancer. The
biosynthetic genes for these molecules are controlled by a dense network of
regulatory mechanisms: Cell-cell signaling and nutrient sensing are conspicuous
features of this network. While many components of these mechanisms have been
identified, important questions about their biological roles remain shrouded in
mystery. In addition to identifying new molecules and solving their mechanisms of
action (a central preoccupation in this field), we suggest that addressing
questions of quorum sensing versus diffusion sensing and identifying the dominant
nutritional and environmental cues for specialized metabolism are important
directions for research.
PMID- 29799792
TI - Woundcare4heroes steps in to help veterans with lymphoedema.
PMID- 29799790
TI - Partial thyrocyte-specific Galphas deficiency leads to rapid-onset
hypothyroidism, hyperplasia, and papillary thyroid carcinoma-like lesions in
mice.
AB - Thyroid function is controlled by thyroid-stimulating hormone (TSH), which binds
to its G protein-coupled receptor [thyroid-stimulating hormone receptor (TSHR)]
on thyrocytes. TSHR can potentially couple to all G protein families, but it
mainly activates the Gs- and Gq/11-mediated signaling cascades. To date, there is
a knowledge gap concerning the role of the individual G protein cascades in
thyroid pathophysiology. Here, we demonstrate that the thyrocyte-specific
deletion of Gs-protein alpha subunit (Galphas) in adult mice [tamoxifen-inducible
Gs protein alpha subunit deficient (iTGalphasKO) mice] rapidly impairs thyrocyte
function and leads to hypothyroidism. Consequently, iTGalphasKO mice show reduced
food intake and activity. However, body weight and the amount of white adipose
tissue were decreased only in male iTGalphasKO mice. Unexpectedly, hyperplastic
follicles and papillary thyroid cancer-like tumor lesions with increased
proliferation and slightly increased phospho-ERK1/2 staining were found in
iTGalphasKO mice at an older age. These tumors developed from nonrecombined
thyrocytes still expressing Galphas in the presence of highly elevated serum TSH.
In summary, we report that partial thyrocyte-specific Galphas deletion leads to
hypothyroidism but also to tumor development in thyrocytes with remaining Galphas
expression. Thus, these mice are a novel model to elucidate the
pathophysiological consequences of hypothyroidism and TSHR/Gs/cAMP-mediated
tumorigenesis.-Patyra, K., Jaeschke, H., Lof, C., Jannari, M., Ruohonen, S. T.,
Undeutsch, H., Khalil, M., Kero, A., Poutanen, M., Toppari, J., Chen, M.,
Weinstein, L. S., Paschke, R., Kero, J. Partial thyrocyte-specific Galphas
deficiency leads to rapid-onset hypothyroidism, hyperplasia, and papillary
thyroid carcinoma-like lesions in mice.
PMID- 29799793
TI - A community based approach to reduce the incidence of preventable pressure
ulcers.
AB - The prevention of pressure ulcers in the community is a major challenge for
healthcare organisations. Pressure ulcers cost the NHS millions of pounds in
treatment and prevention strategies. Everyone involved in the care of individuals
at risk of developing pressure ulcers has a role to play in their prevention.
Community specialist practitioners are in an ideal position to provide education
and skills to healthcare workers in community settings. They are experts in their
field so are valuable within the community setting.
PMID- 29799795
TI - Leg Club culture and the postive impact it has on members.
PMID- 29799794
TI - Chronic venous leg ulcer care: Putting the patient at the heart of leg ulcer care
Part 2: Development and evaluation of the consultation template.
AB - Part 2 in this article series summarises the final two phases of a study which
explored the experiences of patients with leg ulcers and the impact of this
condition on their quality of life. Early phases of the study revealed a mismatch
between issues that affected a patient's quality of life and what they discussed
during subsequent health care consultations. In light of this, a nominal group
technique was employed to facilitate the development of a new leg ulcer
consultation template with patient partners. The aim of this was to include many
of the issues raised in phases 1. The new template was evaluated in terms of its
utility, significance and clinical potential. The application of this template
during routine consultations appears to encourage the patient to disclose issues
that are important to them and may have otherwise been overlooked.
PMID- 29799796
TI - Lomatuell Pro contact layer and its role in the wound-healing process.
AB - There is a plethora of wound contact layer dressings on the market each with its
own properties to promote healing, which makes dressing selection complicated. An
effective and efficient choice of dressing depends on holistic patient
assessment, along with an understanding of the wound-healing process, moist wound
healing and wound bed preparation. This paper, supported by clinical case
studies, demonstrates the effectiveness of the Lomatuell(r) Pro dressing (Lohmann
& Rauscher) in the management of graft wounds, although it is known to be
effective in the management of dermal and deep dermal wounds as well. Lomatuell
Pro offers benefits of conformability, open mesh gel-forming wound contact
properties and a low risk of adhering to the wound bed. It enables moist wound
healing by allowing exudate to be absorbed by a secondary dressing. Lomatuell(r)
Pro demonstrates excellence in maintaining a moist wound environment, allows
atraumatic dressing removal and encourages a healthy periwound area.
PMID- 29799797
TI - Importance of attending conferences: being aware of what is happening around you.
PMID- 29799798
TI - What does the future hold?
PMID- 29799799
TI - A service evaluation to examine the experience of patients attending wound
healing outpatient clinics in South Wales.
AB - Chronic wounds are becoming increasingly prevalent in the UK, with a significant
proportion of patients being treated in the community. Given the pressures on out
patient services for individuals with wounds, the provision of nurse-led
community clinics is increasing. Evaluation of wound services is essential to
develop an awareness of where improvements can be made - particularly, although
not exclusively, related to nurse-led clinics compared to doctor-led services.
This article describes the development of a patient satisfaction questionnaire to
compare the patient experience in two wound clinics located within in South East
Wales using a cross sectional survey approach. 117 patients attended these
clinics over a 4-week period, and 58 completed the questionnaire. The data showed
that most patients in these clinics were over 65 (n=34, 58.6%), with the majority
being treated for leg ulcers (n=41, 70.7%). Overall, the feedback was very
positive for both clinics, with the majority of patients reporting a high level
of satisfaction for both a doctor-led clinic (n=21) and a nurse-led clinic
(n=22). However, while the numbers involved in the study were too small to
determine any statistical significance and the results only present a snapshot of
the situation, it is still clear that patient satisfaction rates between a nurse
led and a doctor-led service are comparable.
PMID- 29799800
TI - Small-Molecule Screening for Genetic Diseases.
AB - The genetic determinants of many diseases, including monogenic diseases and
cancers, have been identified; nevertheless, targeted therapy remains elusive for
most. High-throughput screening (HTS) of small molecules, including high-content
analysis (HCA), has been an important technology for the discovery of molecular
tools and new therapeutics. HTS can be based on modulation of a known disease
target (called reverse chemical genetics) or modulation of a disease-associated
mechanism or phenotype (forward chemical genetics). Prominent target-based
successes include modulators of transthyretin, used to treat transthyretin
amyloidoses, and the BCR-ABL kinase inhibitor Gleevec, used to treat chronic
myelogenous leukemia. Phenotypic screening successes include modulators of cystic
fibrosis transmembrane conductance regulator, splicing correctors for spinal
muscular atrophy, and histone deacetylase inhibitors for cancer. Synthetic lethal
screening, in which chemotherapeutics are screened for efficacy against specific
genetic backgrounds, is a promising approach that merges phenotype and target. In
this article, we introduce HTS technology and highlight its contributions to the
discovery of drugs and probes for monogenic diseases and cancer.
PMID- 29799801
TI - The Genetics of Primary Microcephaly.
AB - Primary microcephaly (MCPH, for "microcephaly primary hereditary") is a disorder
of brain development that results in a head circumference more than 3 standard
deviations below the mean for age and gender. It has a wide variety of causes,
including toxic exposures, in utero infections, and metabolic conditions. While
the genetic microcephaly syndromes are relatively rare, studying these syndromes
can reveal molecular mechanisms that are critical in the regulation of neural
progenitor cells, brain size, and human brain evolution. Many of the causative
genes for MCPH encode centrosomal proteins involved in centriole biogenesis.
However, other MCPH genes fall under different mechanistic categories, notably
DNA replication and repair. Recent gene discoveries and functional studies have
implicated novel cellular processes, such as cytokinesis, centromere and
kinetochore function, transmembrane or intracellular transport, Wnt signaling,
and autophagy, as well as the apical polarity complex. Thus, MCPH genes implicate
a wide variety of molecular and cellular mechanisms in the regulation of cerebral
cortical size during development.
PMID- 29799802
TI - Genotype Imputation from Large Reference Panels.
AB - Genotype imputation has become a standard tool in genome-wide association studies
because it enables researchers to inexpensively approximate whole-genome sequence
data from genome-wide single-nucleotide polymorphism array data. Genotype
imputation increases statistical power, facilitates fine mapping of causal
variants, and plays a key role in meta-analyses of genome-wide association
studies. Only variants that were previously observed in a reference panel of
sequenced individuals can be imputed. However, the rapid increase in the number
of deeply sequenced individuals will soon make it possible to assemble enormous
reference panels that greatly increase the number of imputable variants. In this
review, we present an overview of genotype imputation and describe the
computational techniques that make it possible to impute genotypes from reference
panels with millions of individuals.
PMID- 29799803
TI - Sponsorship Bias in Base-Case Values and Uncertainty Bounds of Health Economic
Evaluations? A Systematic Review of Herpes Zoster Vaccination.
AB - BACKGROUND: New health technologies are more likely adopted when they have lower
incremental cost-effectiveness ratios (ICERs) and/or when their ICER is presented
with more certainty. Industry-funded (IF) health economic evaluations use often
more favorable base-case values, leading to more favorable conclusions. PURPOSE:
To study whether IF health economic evaluations of varicella-zoster virus
vaccination in the elderly use more favorable base-case values and account for
less uncertainty than non-industry-funded (NIF) evaluations. METHODS: DATA
SOURCE: PubMed. Data extracted: funding source; incremental cost per quality
adjusted life year (QALY) gained; vaccine price; study quality score; base-case
values, uncertainty ranges, and data sources for influential parameters: duration
of vaccine protection, utility loss due to herpes zoster (HZ) disease, percentage
of HZ patients developing postherpetic neuralgia (PHN), and duration of PHN. DATA
SYNTHESIS: qualitative comparisons; Fisher exact test for differences in study
quality score and 1-sided Mann-Whitney U tests for differences in base-case
values and uncertainty ranges. RESULTS: Despite using the same data sources, IF
studies ( n = 10) assume a longer duration of vaccine protection ( U = 56, P =
0.03), have a higher percentage of HZ patients developing PHN ( U = 22/33, P =
0.02/0.03 for ages 60-64/65-69), and tend to use higher HZ utility loss than NIF
studies ( n = 11) for their baseline. IF studies show lower ICERs given similar
or even higher vaccine prices than NIF studies, consider less uncertainty around
the duration of vaccine protection ( U = 8, P < 0.001), and tend to use less
uncertainty around the duration of PHN. Yet their quality has been rated equally
well, using current standard quality rating tools. CONCLUSION: Researchers and
decision makers should be aware of potential sponsorship bias in health economic
evaluations, especially in the way source data are used to specify base-case
values and uncertainty ranges.
PMID- 29799804
TI - Comparative transcriptome analysis of the swimbladder reveals expression
signatures in response to low oxygen stress in channel catfish, Ictalurus
punctatus.
AB - Channel catfish is the leading aquaculture species in the US, and one of the
reasons for its application in aquaculture is its relatively high tolerance
against hypoxia. However, hypoxia can still cause huge economic losses to the
catfish industry. Studies on hypoxia tolerance, therefore, are important for
aquaculture. Fish swimbladder has been considered as an accessory respiration
organ surrounded by a dense capillary countercurrent exchange system. In this
regard, we conducted RNA-Seq analysis with swimbladder samples of catfish under
hypoxic and normal conditions to determine if swimbladder was responsive to low
oxygen treatment and to reveal genes, their expression patterns, and pathways
involved in hypoxia responses in catfish. A total of 155 differentially expressed
genes (DEGs) were identified from swimbladder of adult catfish, whereas a total
of 2,127 DEGs were identified from swimbladder of fingerling catfish under
hypoxic condition as compared with untreated controls. Subsequent pathway
analysis revealed that many DEGs under hypoxia were involved in HIF signaling
pathway ( nos2, eno2, camk2d2, prkcb, cdkn1a, eno1, and tfrc), MAPK signaling
pathway (voltage-dependent calcium channel subunit genes), PI3K/Akt/mTOR
signaling pathway ( itga6, g6pc, and cdkn1a), Ras signaling pathway ( efna3 and
ksr2), and signaling by VEGF ( fn1, wasf3, and hspb1) in catfish swimbladder.
This study provided insights into regulation of gene expression and their
involved gene pathways in catfish swimbladder in response to low oxygen stresses.
PMID- 29799805
TI - Genetic variants predicting aerobic capacity response to training are also
associated with skeletal muscle oxidative capacity in moderate-to-severe COPD.
AB - Muscle oxidative capacity is a major determinant of maximum oxygen uptake
(VO2max). VO2max predicts survival in humans. Muscle oxidative capacity is low in
chronic obstructive pulmonary disease (COPD) and can be assessed from the muscle
oxygen consumption recovery rate constant ( k) by near-infrared spectroscopy. We
hypothesized that 11 SNPs, previously associated with the increase in VO2max
following exercise training, would correlate with k in 152 non-Hispanic White and
African American smokers with and without COPD. Associations were adjusted for
age, weight, FEV1% predicted, steps/day, and principal components of genetic
ancestry. No SNPs were significantly associated with k. rs2792022 within BTAF1
(beta = 0.130, P = 0.053) and rs24575771 within SLC22A3 (beta = 0.106, P = 0.058)
approached nominal significance. Case-control stratification identified three
SNPs nominally associated with k in moderate-to-severe COPD ( rs6481619 within
SVIL beta = 0.152, P = 0.013; BTAF1 beta = 0.196, P = 0.046; rs7386139 within
DEPTOR beta = 0.159, P = 0.047). These data support further study of the genomic
contributions to skeletal muscle dysfunction in COPD.
PMID- 29799806
TI - Variations of collagen-encoding genes are associated with exercise-induced muscle
damage.
AB - We investigated whether single nucleotide polymorphisms (SNPs) within genes
encoding the alpha-1 chain of type I ( COL1A1, rs2249492 ; rs1800012 ), type II (
COL2A1, rs2070739 ), and type V (COL5A1, rs12722 ) collagen were associated with
the variable response to exercise-induced muscle damage (EIMD). Knee extensor
muscle strength and soreness were assessed pre-, post-, and 48 h post-EIMD (120
maximal eccentric knee extensor contractions) in 65 young healthy participants,
who were genotyped for the aforementioned SNPs. We found that COL1A1 (minor) T
allele carriers ( rs1800012 ) and (major) T-allele homozygotes ( rs2249492 ) were
generally weaker ( P <= 0.019); and (minor) A-allele carriers of COL2A1 ( P =
0.002) and (major) T-allele carriers of COL5A1 ( P = 0.004) SNPs reported greater
muscle soreness, all compared with their respective major ( rs1800012 ; rs2070739
) and minor ( rs2249492 ; rs12722 ) allele homozygote counterparts. To conclude,
the risk alleles of these four SNPs appear to negatively influence muscle
strength and post-EIMD recovery, possibly via a dysregulated collagen network
affecting the muscle's mechanical properties.
PMID- 29799807
TI - Application of Check-All-That-Apply (CATA) Questions for Sensory Characterization
of Cosmetic Emulsions by Untrained Consumers.
AB - The sales potential of cosmetic products is greatly determined by skin feel and
skin sensory performance. To please the target audience, it is important to
gather information about consumers' perception of products' sensory
characteristics. In this study, six different emulsions were formulated. Samples
represented three different types of emulsions, including steric-stabilized oil
in-water (O/W), liquid crystal-stabilized O/W, and water-in-oil emulsions,
providing different skin feel and aesthetics. Emulsions within the same group
differed in the emollients, providing similar sensory attributes. The aim was to
have 50 consumers evaluate the emulsions' sensory characteristics. Using a check
all-that-apply (CATA) survey, consumers provided information about their
perception of appearance, rub-out, pick-up, and afterfeel. Consumers effectively
discriminated between the emulsions. Statistical analysis showed significant
differences for 15 sensory attributes in the before, during, and after phases.
Our findings suggest that emulsifiers, and not emollients, have the dominant role
in determining the aesthetics of a skin care emulsion, similar to previous
findings. The fact that untrained consumers provided similar results as trained
panelists suggests the validity of the CATA survey and its reliability as a
screening tool in the product development process. CATA questions may serve as a
viable complimentary to descriptive sensory analysis performed by trained
panelists.
PMID- 29799808
TI - Health Knowledge, Cosmetic Interests, Attitude, and the Need for Health Education
Regarding the Use of Topical Bleaching Agents Among Women in West Saudi Arabia: A
Cross-Sectional Study.
AB - We aimed at investigating the cosmetic interests, public confidence in cosmetic
industry, health knowledge, practice, and need for health education regarding
using topical bleaching agents (TPAs) among a relatively big sample size in Al
Madinah (west Saudi Arabia, a conservative eastern society that acquires its
social customs from Islam). Islamic values increased women respect and esteem in
this society. This is reflected on cosmetic practices and attitude, e.g. women
use face cover outdoors. This issue is vital for both women health and beauty,
and is rarely discussed. TPAs use is affected by culture, social customs, and
health awareness regarding TPAs chemical constituents, e.g. hydroquinone,
mercury, steroids that may harm skin and general health. Ethical committee
approval was done for our study that included 531 women (attending the outpatient
clinics in March-April 2016) of targeted 571 (response rate was 89.8%). 43.3%
(230 women) are current TPAs users. Three hundred and eight-nine women (73.3%)
regularly used TPAs to heal pigmented areas like freckles (75.8%) and just to
lighten skin color (58.7%). Side effects of discontinuation were restoration of
normal skin color (44.3%) or even darker skin (27%), skin dryness (20%) and rash
(9.6%). Mercury is recognized as harmful to human health by 30.2%, whereas
cortisone was chosen by others (53.2%). Unexpectedly, minority of investigated
women (10%) considered using TPAs safe and recognized harms of some ingredients
as mercury whereas the majority (70.2%) does not encourage others for TPAs use
although they themselves kept using TPAs for different reasons. Cosmetic interest
is high among women using TPAs, highest among the middle age (26-40 years), and
lowest among women more than 40 years (50% versus 17.9%) (p < 0.001). Using skin
TPAs in west Saudi Arabia is comparable with international standards, higher
among educated women, house wives and employed women. This denotes care of
married employed women to use TPAs to express beauty to husbands. This is not
reduced by work duties and is controlled by conservative Islamic modesty. Health
education is mandatory regarding TPAs components and use during pregnancy and
lactation. Cosmetic science and industry needs more research to improve TPAs use
through providing better safe alternatives for many TPAs components, e.g. mercury
and hydroquinone.
PMID- 29799809
TI - Structural Analysis of Macrofibrils in a Human Permanent Waved Hair by Scanning
Microbeam Small-Angle X-ray Scattering Measurements.
AB - It has been experimentally shown that hair subjected to permanent wave treatment
quickly changes into uncurled hair during daily hair-care activities. However,
the mechanism of curl fallout has not been clarified. In previous studies, the
relationship between permanent wave treatment and disulfide bonds in hair has
been studied. Because permed hair falls out its waves without any chemical
treatment, we focused on the hair microstructure rather than the disulfide bonds.
To examine the relationship between the hair curl shape and the intermediate
filament (IF) organization in hairs, scanning microbeam small-angle X-ray
scattering measurements were performed. It was found that in permed hairs, the IF
orientation on the convex side of the curvature was different from that on the
concave side. By contrast, for permed hairs with curl fallout, the IF orientation
on the convex curvature side was not significantly different from that on the
concave side. Our findings suggest that the curl shape of permed hairs is related
to its anisotropic IF orientation between the convex and concave side of the
curl, and control of this IF orientation will allow for effective reduction of
curl fallout.
PMID- 29799810
TI - Reducing Facial Wrinkle Size and Increasing Skin Firmness Using Skin Care
Polymers.
AB - Many cosmetic polymers shrink on drying, producing a tensile force if coated on a
substrate. This tensile force can be used to smoothen wrinkles and pores in
facial skin. In this study, we evaluated two polymers, a polyvinylpyrrolidone
(PVP) and a polyacrylate, for skin tightening properties. We conducted a double
blinded, placebo-controlled and randomized clinical study with 32 female
volunteers aged 35-65 years who perceived themselves to have a loss of skin
elasticity. Both polymers were formulated in a model cosmetic emulsion with
hydrogenated polyisobutene as the oil phase. We measured skin firmness and
tightening parameters at baseline and after each product application. Also,
facial images were recorded with a fringe projection instrument. The firming
measurements indicated that both polymers instantly tightened facial skin,
whereas the placebo product offered no significant tightening benefit. However,
in clinical evaluation, only the polyacrylate polymer produced statistically
significant improvements in wrinkle size and skin firmness on the face without
significant consumer use complaints such as tackiness. We concluded that skin
care products using PVP and polyacrylates have the potential to offer immediate
and visible benefits to consumers with aged skin.
PMID- 29799813
TI - Measurement of neurovascular coupling in human motor cortex using simultaneous
transcranial Doppler and electroencephalography.
AB - OBJECTIVE: Event-related desynchronization (ERD) is a relative power decrease of
electroencephalogram (EEG) signals in a specific frequency band during physical
motor execution, while transcranial Doppler (TCD) measures cerebral blood flow
velocity. The objective of this study was to investigate the neurovascular
coupling in the motor cortex by using an integrated EEG and TCD system, and to
find any difference in hemodynamic responses in healthy young male and female
adults. APPROACH: Thirty healthy volunteers, aged 20-30 years, were recruited for
this study. The subjects were asked to perform a motor task for the duration of a
provided visual cue. Simultaneous EEG and TCD recording was carried out using a
new integrated system to detect the ERD arising from the EEG signals, and to
measure the mean blood flow velocity of the left and right middle cerebral
arteries from bilateral TCD signals. MAIN RESULTS: The results showed a
significant decrease in EEG power in the mu band (7.5-12.5 Hz) during the motor
task compared to the resting phase. It showed significant increase in
desynchronization on the contralateral side of the motor task compared to the
ipsilateral side. Mean blood flow velocity during the task phase was
significantly higher in comparison with the resting phase at the contralateral
side. The results also showed a significantly higher increase in the percentage
of mean blood flow velocity in the contralateral side of motor task compared to
the ipsilateral side. However, no significant difference in desynchronization or
change of mean blood flow velocity was found between males and females.
SIGNIFICANCE: A combined TCD-EEG system successfully detects ERD and blood flow
velocity in cerebral arteries, and can be used as a useful tool to study
neurovascular coupling in the brain. There is no significant difference in the
hemodynamic responses in healthy young males and females.
PMID- 29799812
TI - Radiobiological parameters in a tumour control probability model for prostate
cancer LDR brachytherapy.
AB - To provide recommendations for the selection of radiobiological parameters for
prostate cancer treatment planning. Recommendations were based on validation of
the previously published values, parameter estimation and a consideration of
their sensitivity within a tumour control probability (TCP) model using clinical
outcomes data from low-dose-rate (LDR) brachytherapy. The proposed TCP model
incorporated radiosensitivity (alpha) heterogeneity and a non-uniform
distribution of clonogens. The clinical outcomes data included 849 prostate
cancer patients treated with LDR brachytherapy at four Australian centres between
1995 and 2012. Phoenix definition of biochemical failure was used. Validation of
the published values from four selected literature and parameter estimation was
performed with a maximum likelihood estimation method. Each parameter was varied
to evaluate the change in calculated TCP to quantify the sensitivity of the model
to its radiobiological parameters. Using a previously published parameter set and
a total clonogen number of 196 000 provided TCP estimates that best described the
patient cohort. Fitting of all parameters with a maximum likelihood estimation
was not possible. Variations in prostate TCP ranged from 0.004% to 0.67% per 1%
change in each parameter. The largest variation was caused by the log-normal
distribution parameters for alpha (mean, [Formula: see text], and standard
deviation, sigma alpha ). Based on the results using the clinical cohort data, we
recommend a previously published dataset is used for future application of the
TCP model with inclusion of a patient-specific, non-uniform clonogen density
distribution which could be derived from multiparametric imaging. The reduction
in uncertainties in these parameters will improve the confidence in using
biological models for clinical radiotherapy planning.
PMID- 29799811
TI - Rapid Method for The Gas Chromatographic Quantitative Analysis to Determinate
Safrole in Commercial Essential Oils.
AB - Safrole is a well-known carcinogenic agent that is present in camphor trees. In
this study, a gas chromatographic method was established to quantitate the levels
of safrole in essential oils using n-decyl alcohol as an internal standard. The
method used a nonpolar column and was able to detect concentrations of safrole as
low as 5 ug/ml in the samples. Following addition of 2-10 mg of safrole into 1 g
of essential oil extracted from Stout Camphor wood (Cinnamomum kanehirai Hayata)
or 1-10 mg of safrole into 1 g of essential oil extracted from Small-flower
Camphor wood (Cinnamomum micranthum Hayat), the recovery rates of safrole were
determined. With direct injection of samples into the gas chromatograph, the
results showed that the recovery was more than 96.1%, with a coefficient of
variation below 5.6%. We then analyzed 23 commercially available Stout Camphor
and other essential oil samples and found that 21 of them contained safrole in
the range of 37.65-355.07 mg/g. In addition, in the heavier essential oil
distilled from Small-flower Camphor wood, the safrole level was up to 642.98
mg/g. Our results demonstrated that most camphor essential oils on the market
have a carcinogenic potential due to their high safrole levels.
PMID- 29799814
TI - Theoretical study of the benefit of long axial field-of-view PET on region of
interest quantification.
AB - The aim of this study is to evaluate the benefit of long axial field-of-view
(AFOV) PET scanners on region of interest (ROI) quantification. We simulated a
series of PET scanners with an AFOV ranging from 22 cm to 220 cm. A theoretical
framework was used to predict the contrast recovery coefficient (CRC) and the
variance of ROI quantification in penalized maximum likelihood (ML) image
reconstruction, in which the resolution and noise tradeoff was controlled by a
regularization parameter with a quadratic penalty function. The characterization
was based on the converged penalized ML reconstruction with an accurate system
model. We examined quantification of a 2 mm ROI and 10 mm ROI in a clinically
relevant scan range of 110 cm. Multiple bed positions with 50% overlap were used
for scanners with shorter AFOV to provide a relatively uniform sensitivity across
the 110 cm axial range. A uniform water cylinder of 20 cm in diameter and 230 cm
in length was chosen to model the attenuation and background activity. We
computed the variance reduction factor at fixed resolution. Effects of different
detector capabilities, including TOF (time-of-flight) resolution (320 ps, 500 ps,
and non-TOF) and DOI (depth-of-interaction) resolution (4 mm, 10 mm, and no DOI),
were evaluated. The results show that at a normal activity level (370 MBq), the
220 cm AFOV scanner offers a ~17-fold variance reduction for the 2 mm ROI and
~26-fold variance reduction for the 10 mm ROI (both measured at CRC = 0.5) over
the 22 cm AFOV scanner when both using detectors with 500 ps TOF resolution no
DOI capability. The variance reduction factors of trues-only are higher than
those of including scatters and randoms. Combining 320 ps TOF and 4 mm DOI, the
220 cm long scanner offers a ~45-fold variance reduction over the 22 cm long
reference scanner (500 ps TOF, no DOI) for imaging 2 mm and 10 mm ROIs. The
variance reduction factors are higher at a lower activity level due to lower
random fraction. In conclusion, our study demonstrates that a long AFOV scanner
can greatly improve the quantitative accuracy of PET imaging compared to current
state-of-the-art clinical PET scanners.
PMID- 29799815
TI - Imaging performance of a dedicated radiation transparent RF coil on a 1.0 Tesla
inline MRI-linac.
AB - This work describes the first imaging studies on a 1.0 Tesla inline MRI-Linac
using a dedicated transmit/receive RF body coil that has been designed to be
completely radio transparent and provide optimum imaging performance over a large
patient opening. A series of experiments was performed on the MRI-Linac to
investigate the performance and imaging characteristics of a new dedicated
volumetric RF coil: (1) numerical electromagnetic simulations were used to
measure transmit efficiency in two patient positions; (2) image quality metrics
of signal-to-noise ratio (SNR), ghosting and uniformity were assessed in a large
diameter phantom with no radiation beam; (3) radiation induced effects were
investigated in both the raw data (k-space) and image sequences acquired with
simultaneous irradiation; (4) radiation dose was measured with and without image
acquisition; (5) RF heating was studied using an MR-compatible fluoroptic
thermometer and; (6) the in vivo image quality and versatility of the coil was
demonstrated in normal healthy subjects for both supine and standing positions.
Daily phantom measurements demonstrated excellent imaging performance with stable
SNR over a period of 3 months (42.6 +/- 0.9). Simultaneous irradiation produced
no statistical change in image quality (p > 0.74) and no interference in raw
data for a 20 * 20 cm radiation field. The coil was found to be efficient over
large volumes and negligible RF heating was observed. Volunteer scans acquired in
both supine and standing positions provided artefact free images with good
anatomical visualisation. The first completely radio transparent RF coil for use
on a 1.0 Tesla MRI-Linac has been described. There is no impact on either the
imaging or dosimetry performance with a simultaneous radiation beam. The open
design enables imaging and radiotherapy guidance in a variety of positons.
PMID- 29799816
TI - The Association Between Nurse Shift Patterns and Nurse-Nurse and Nurse-Physician
Collaboration in Acute Care Hospital Units.
AB - OBJECTIVE: The aim of this study was to examine the impact of nurse shift
patterns on nurses' collaboration with nurses and physicians in US acute care
hospital units. BACKGROUND: Collaboration between nurses and other healthcare
providers is critical for ensuring quality patient care. Nurses perform
collaboration during their shift work; thus, nurse shift patterns may influence
collaboration. However, there is a dearth of empirical evidence of the
relationship between nurse shift patterns and collaboration of nurses with other
healthcare providers. METHODS: This is a cross-sectional study using data from
957 units in 168 acute care hospitals. Measures of collaboration include nurse
nurse collaboration and nurse-physician collaboration. Measures of shift patterns
included shift length and overtime. Multilevel linear regressions were conducted
at the unit level, controlling unit and hospital characteristics. RESULTS:
Overtime (more nurses working overtime or longer overtime hours) was associated
with lower collaboration at the unit level; however, shift length was not.
CONCLUSIONS: Working overtime may negatively influence nurses' collaboration with
other healthcare providers.
PMID- 29799817
TI - Another mass shooting: Time to ban the assault rifle.
PMID- 29799818
TI - Dietary strategies for weight loss in midlife women.
AB - As women go through menopause, their risk of gaining weight increases. Clinicians
will generally recommend dietary change as the first step toward losing weight,
but which diets work best? This Practice Pearl reviews whether certain dietary
therapies are more effective than others in facilitating weight loss in
postmenopausal women.
PMID- 29799819
TI - To the Editor.
PMID- 29799820
TI - Feeding Intervals in Premature Infants <=1750 g: An Integrative Review.
AB - BACKGROUND: The timely establishment of enteral feeds and a reduction in the
number of feeding interruptions are key to achieving optimal nutrition in
premature infants. Nutritional guidelines vary widely regarding feeding regimens
and there is not a widely accepted consensus on the optimal feeding interval.
PURPOSE: To critically examine the evidence to determine whether there is a
relationship to feeding intervals and feeding outcomes in premature infants.
METHODS: A systematic review of the literature in the following databases:
PubMed, CINAHL, Embase and the Cochrane Library. The search strategy used the
terms infant premature, low birth weight, enteral feeding, feed tolerance and
feed intervals. RESULTS: Search results yielded 10 studies involving 1269 infants
(birth weight <=1750 g). No significant differences in feed intolerance, growth,
or incidence of necrotizing enterocolitis were observed. Evidence suggests that
infants fed at 2 hourly intervals reached full feeds faster than at 3 hourly
intervals, had fewer days on parenteral nutrition, and fewer days in which
feedings were withheld. Decrease in the volume of gastric residuals and feeding
interruptions were observed in the infants fed at 3 hourly intervals than those
who were continuously fed. IMPLICATIONS FOR PRACTICE: Reducing the feed interval
from 3 to 2 hourly increases nurse workload, yet may improve feeding outcomes by
reducing the time to achieve full enteral feeding. IMPLICATIONS FOR RESEARCH:
Studies varied greatly in the definition and management of feeding intolerance
and in how outcomes were measured, analyzed, and reported. The term
"intermittent" is used widely but can refer to a 2 or 3 hourly interval.
PMID- 29799821
TI - Supports and Barriers to the Provision of Human Milk by Mothers of African
American Preterm Infants.
AB - BACKGROUND: Mother's own milk (MOM) provides significant health benefits to very
low birth-weight infants (VLBW, <=1500 g). However, 60% of African American (AA)
women initiate lactation, and less than 35% provide MOM 6 months following the
birth of their infant. Previous research focuses on term infants and is not
specific to AA mothers of VLBW infants. PURPOSE: To qualitatively describe
supports and barriers experienced by AA mothers while providing MOM for their
VLBW infants. METHODS: In this qualitative, descriptive study, we conducted
semistructured interviews with AA mothers who provided MOM for their VLBW infant.
Interviews were transcribed and manually coded concurrently with enrollment until
theoretical saturation was achieved. Infant charts were reviewed for
demographics, and triangulation with notes from the medical record by bedside
nurse lactation consultants and other providers was performed to increase
validity. Member checks were completed to ensure true meaning of responses.
RESULTS: Theoretical saturation was achieved after 9 interviews. Themes perceived
to support provision of MOM included (1) Being a Mother; (2) Neonatal intensive
care unit environment; (3) Community support; and (4) Useful resources. Themes
that emerged as barriers to the provision of MOM were: (1) Maternal illness; (2)
Milk expression; (3) Challenging home environment; and (4) Emotional distress.
IMPLICATIONS FOR PRACTICE: African American mothers report supports similar to
published data but faced challenges not previously reported. These factors
warrant attention by professionals who support lactation in this population.
IMPLICATIONS FOR RESEARCH: Future research will address perceived barriers to
improve provision of MOM and the quality of the lactation journey of AA mothers
of preterm infants.
PMID- 29799822
TI - Editors' preface to the THOR 2018 supplement.
PMID- 29799823
TI - Trauma Hemostasis and Oxygenation Research Network position paper on the role of
hypotensive resuscitation as part of remote damage control resuscitation.
AB - The Trauma Hemostasis and Oxygenation Research (THOR) Network has developed a
consensus statement on the role of permissive hypotension in remote damage
control resuscitation (RDCR). A summary of the evidence on permissive hypotension
follows the THOR Network position on the topic. In RDCR, the burden of time in
the care of the patients suffering from noncompressible hemorrhage affects
outcomes. Despite the lack of published evidence, and based on clinical
experience and expertise, it is the THOR Network's opinion that the increase in
prehospital time leads to an increased burden of shock, which poses a greater
risk to the patient than the risk of rebleeding due to slightly increased blood
pressure, especially when blood products are available as part of prehospital
resuscitation.The THOR Network's consensus statement is, "In a casualty with life
threatening hemorrhage, shock should be reversed as soon as possible using a
blood-based HR fluid. Whole blood is preferred to blood components. As a part of
this HR, the initial systolic blood pressure target should be 100 mm Hg. In RDCR,
it is vital for higher echelon care providers to receive a casualty with
sufficient physiologic reserve to survive definitive surgical hemostasis and
aggressive resuscitation. The combined use of blood-based resuscitation and
limiting systolic blood pressure is believed to be effective in promoting
hemostasis and reversing shock".
PMID- 29799824
TI - Topical Adjuncts to Pulsed Dye Laser for Treatment of Port Wine Stains: Review of
the Literature.
AB - BACKGROUND: Port wine stains (PWS) pose a therapeutic challenge. Pulsed dye laser
(PDL) is the treatment of choice; however, treatment is often ineffective and
recurrences are common. OBJECTIVE: This article provides a review of topical
therapies that have been investigated to improve efficacy of PDL for the
treatment of PWS. MATERIALS AND METHODS: A literature search was performed
through PubMed, EMBASE, Web of Science, and CINAHL, using the search terms "port
wine stain," "pulsed dye laser," and "topical." RESULTS: Clinical trials have
investigated the topical agents, timolol, imiquimod, and rapamycin (RPM) in
combination with PDL for the treatment of PWS. Topical timolol with PDL failed to
show improved efficacy compared with PDL alone. Two clinical trials using
imiquimod and PDL showed enhanced blanching of PWS compared with controls.
Rapamycin and PDL were more effective than controls for facial PWS, but not for
nonfacial PWS. CONCLUSION: Topical imiquimod and RPM have shown some efficacy in
treating PWS with PDL, but to date there is no topical adjuvant to PDL that
reliably improves results for PWS.
PMID- 29799825
TI - Treatment of Previously Treated Facial Capillary Malformations: Results of Single
Center Retrospective Objective 3-Dimensional Analysis of the Efficacy of Large
Spot 532 nm Lasers.
AB - BACKGROUND: Current treatment of facial capillary malformations (CM) has limited
efficacy. OBJECTIVE: To assess the efficacy of large spot 532 nm lasers for the
treatment of previously treated facial CM with the use of 3-dimensional (3D)
image analysis. PATIENTS AND METHODS: Forty-three white patients aged 6 to 59
were included in this study. Patients had 3D photography performed before and
after treatment with a 532 nm Nd:YAG laser with large spot and contact cooling.
Objective analysis of percentage improvement based on 3D digital assessment of
combined color and area improvement (global clearance effect [GCE]) were
performed. RESULTS: The median maximal improvement achieved during the treatment
(GCE) was 59.1%. The mean number of laser procedures required to achieve this
improvement was 6.2 (range 1-16). Improvement of minimum 25% (GCE25) was achieved
by 88.4% of patients, a minimum of 50% (GCE50) by 61.1%, a minimum of 75% (GCE75)
by 25.6%, and a minimum of 90% (GCE90) by 4.6%. Patients previously treated with
pulsed dye lasers had a significantly less response than those treated with other
modalities (GCE 37.3% vs 61.8%, respectively). CONCLUSION: A large spot 532 nm
laser is effective in previously treated patients with facial CM.
PMID- 29799826
TI - Evaluating Perceived Naturalness of Facial Expression After Fillers to the
Nasolabial Folds and Lower Face With Standardized Video and Photography.
AB - BACKGROUND: Hyaluronic acid (HA) fillers are commonly used in treating facial
wrinkles and folds but have not been studied with standardized methodology to
include assessment of standard facial expressions. OBJECTIVE: To assess perceived
naturalness of facial expression after treatment with 2 HA fillers manufactured
with XpresHAn Technology (also known as Optimal Balance Technology). MATERIALS
AND METHODS: Treatment was directed to the nasolabial folds (NLFs) and at least 1
additional lower face wrinkle or fold. Maintenance of naturalness,
attractiveness, and age at 1 month after optimal treatment were assessed using
video recordings and photographs capturing different facial animations. Global
aesthetic improvement, subjects' satisfaction, and safety were also evaluated.
RESULTS: The treatment was well tolerated. Naturalness of facial expression in
motion was determined to be at least maintained in 95% of subjects.
Attractiveness was enhanced in 89% of subjects and 79% of subjects were
considered to look younger. Most subjects assessed their aesthetic appearance as
improved and were satisfied with their treatment. CONCLUSION: Naturalness and
attractiveness can be assessed using video recordings and photographs capturing
different facial animations. XpresHAn Technology HA filler treatments create
natural-looking results with high subject satisfaction.
PMID- 29799827
TI - Comparison of Hyaluronic Acid Gel With (HARDL) and Without Lidocaine (HAJUP) in
the Treatment of Moderate-To-Severe Nasolabial Folds: A Randomized, Evaluator
Blinded Study.
AB - BACKGROUND: Injectable fillers, such as those containing hyaluronic acid (HA),
are increasingly used to smooth age-related facial creases and wrinkles.
OBJECTIVE: To compare efficacy and safety of a HA gel with lidocaine formulated
by XpresHAn Technology (HARDL) with a HA gel without lidocaine produced by
Hylacross technology (HAJUP) in the treatment of moderate-to-severe nasolabial
folds. MATERIALS AND METHODS: Subjects (n = 162) received initial and touch-up
injections with HARDL and HAJUP on either side of the face. Investigator- and
subject-assessed wrinkle severity was measured up to 48 weeks after final
injection. RESULTS: Mean (SD) Wrinkle Severity Rating Scale was improved by 1.1
(0.75) and 1.1 (0.68) with HARDL and HAJUP, respectively, at 24 weeks;
improvements were sustained up to Week 48 with both treatments. Noninferiority of
HARDL was demonstrated (mean difference -0.09 [95% confidence interval: -0.18 to
0.01], p = .032) at 24 weeks. Adverse events were reported in 43% subjects, 12%
were treatment related, with a similar distribution for both treatments.
CONCLUSION: Effectiveness and safety profiles of HARDL and HAJUP were comparable.
PMID- 29799828
TI - Novel Stereoscopic Optical System for Objectively Measuring Above-Surface Scar
Volume-First-Time Quantification of Responses to Various Treatment Modalities.
AB - BACKGROUND: Current approaches use subjective semiquantitative or cumbersome
objective methodologies to assess physical characteristics of hypertrophic and
keloid scars. OBJECTIVE: This pilot study aimed to evaluate the accuracy and
feasibility of a new stereoscopic optical and high-resolution 3-dimensional
imaging system, for objectively measuring changes in above-surface scar volume
after various interventions. METHODS: Feasibility and accuracy were assessed by
monitoring the above-surface scar volume of 5 scars in 2 patients for 5
successive months. Above-surface scar volume and Vancouver Scar Scale scores and
the investigator and patient volume improvement assessment scores were assessed
before and 12 weeks after last intervention. RESULTS: Scar volume measured by the
imaging system correlated significantly with the gold standard (actual weight).
The greatest volume reduction followed a combination of cryotherapy and
intralesional triamcinolone acetonide and 5-fluorouracil injections in Patient 1
and a combination of pulse dye laser and intralesional triamcinolone acetonide
injections in Patient 2. CONCLUSION: The new stereoscopic optical system is a
valid, accurate, and practical objective method for assessing scar volume and for
monitoring treatment response. It is more sensitive and accurate than
semiquantitative objective scales. Further studies with a higher number of
patients and scars are required to increase the measurement validity of the
system.
PMID- 29799829
TI - Utility of a High-Resolution Superficial Diagnostic Ultrasound System for
Assessing Skin Thickness: A Cross-Sectional Study.
AB - BACKGROUND: Compared with other imaging modalities, ultrasound is relatively
deeply penetrating and can be used to evaluate deep dermal and subcutaneous
structures. OBJECTIVE: Image skin thickness of the face and neck using high
frequency diagnostic ultrasound devices. MATERIALS AND METHODS: Skin overlying 20
different predesignated face and neck anatomic sites in 32 individuals was imaged
using 2 commercially available high-frequency diagnostic ultrasound devices, a
dedicated imaging device and a diagnostic device bundled with a therapeutic
device. At each site, the subcutaneous and combined epidermal and dermal layer
thicknesses were assessed by blinded expert raters. RESULTS: Similar skin
thickness measurements were obtained. Notably, subcutaneous fat depth was
measured to be 0.2 cm at the forehead; 0.5 cm at the mental eminence; and 0.6 cm
at the submental, supraglenoid, and temporal regions. The combined epidermal and
dermal thickness was approximately 0.1 cm at the zygomatic process, suborbital
area, inferior malar region, gonion, supraglenoid area, and nasolabial-buccal,
and nasolabial fold regions. CONCLUSION: This is the first study using high
resolution superficial diagnostic ultrasound to map skin thickness of the face
and neck at standard anatomic locations. Ultrasound is an inexpensive,
noninvasive, and convenient means to monitor dermatologic conditions and guide
their treatment.
PMID- 29799830
TI - Clinical Utility of Bedside Multibeam Optical Coherence Tomography Imaging in a
Patient With Multiple Basal Cell Carcinomas.
PMID- 29799831
TI - A Historical Report of a 9th Century AD Surgical Fat Removal.
PMID- 29799832
TI - ALG3 Is Activated by Heat Shock Factor 2 and Promotes Breast Cancer Growth.
AB - BACKGROUND Previous research found that ALG3 is associated with cervical cancer,
but the role of ALG3 in breast cancer was still unknown. MATERIAL AND METHODS The
expression of ALG3 in breast carcinoma tissues was determined by immunochemistry.
The ability of cellular proliferation, migration, and invasion was determined by
CCK-8 assay, wound healing migration assay, and cell invasion assays,
respectively. The binding between HSF2 and promoter of ALG3 was determined by
ChIP assay. RESULTS There was an increased expression of ALG3 in breast cancer
tissues compared to normal breast tissues (p<0.05). High expression of ALG3 was
significantly correlated with poor OS (p<0.05). ALG3 expression was significantly
increased in cancer samples with advanced stages (stage III/IV) compared with
those in the early stages of disease (stage I/II) (p<0.05). The staining
intensity of ALG3 was significantly correlated to the tumor grade (grades 2-3
versus 1, p<0.05). Silencing ALG3 or HSF2 inhibited the proliferation, migration,
and invasion abilities of MCF-7 cells. Silencing ALG3 retarded the growth of MCF
7 cells in vivo. CONCLUSIONS Silencing ALG3 inhibited MCF-7 cells growth in vitro
and in vivo. HSF2 activated ALG3 and promoted the growth of breast carcinoma.
PMID- 29799834
TI - Paradox response of cornea to different color intensities of visible light: An
experimental study.
AB - The technological development is associated with human daily life and had an
impact on its social life. Due to the difficulty of estimating the daily exposure
to light; research is needed to determine how much natural and man-made lights
could affect the cornea. Visible light radiation could have damaging effect on
the human eye; the type and degree of damage are related to the duration and the
cumulative exposure as well as to the intensity of the rays. There are noticeable
increases in using electronic devices and colored lamps in decoration and toys as
well, without any specific regulation. We studied the effect of such human
activity on the corneal structure and the vibrational characteristics of corneal
tissue by Fourier transform infrared spectroscopy. To achieve these goals,
Chinchilla rabbits were exposed to two different lux of blue, green or red color
lamps. The results indicate that the corneal tissue responds non-specifically to
each lux and accordingly the color. The detected changes are including corneal
protein secondary structure as well as lipids, in particular phospholipids. This
was concomitant with more ordered membrane bilayer and changes in the corneal
membrane phase organization. No lux/color-response relationship was established.
PMID- 29799833
TI - Digestive microbiota is different in pigs receiving antimicrobials or a feed
additive during the nursery period.
AB - Antimicrobials have been used in a prophylactic way to decrease the incidence of
digestive disorders during the piglet post-weaning period. Nowadays, it is urgent
to reduce their consumption in livestock to address the problem of antimicrobial
resistance. In this study, the effect of a product on piglet microbiota has been
investigated as an alternative to antimicrobials. Three groups of ten post
weaning pigs were sampled at 0, 15 and 30 days one week post-weaning; the
control, antibiotic and feed additive group received a standard post-weaning diet
without antibiotics or additives, the same diet as the control group but with
amoxicillin and colistin sulphate and the same diet as the control group but with
a feed additive (Sanacore-EN, Nutriad International N.V.), respectively. The
total DNA extracted from faeces was used to amplify the 16S RNA gene for massive
sequencing under manufacturer's conditions. Sequencing data was quality filtered
and analyzed using QIIME software and suitable statistical methods. In general
terms, age modifies significantly the microbiota of the piglets. Thus, the oldest
the animal, the highest bacterial diversity observed for the control and the feed
additive groups. However, this diversity was very similar in the antibiotic group
throughout the trial. Interestingly, a clear increase in abundance of Bacillus
and Lactobacillus spp was detected within the feed additive group versus the
antibiotic and control groups. In conclusion, the feed additive group had a
positive effect in the endogenous microbiota of post-weaning pigs increasing
both, the diversity of bacterial families and the abundance of lactic acid
bacteria during the post-weaning period.
PMID- 29799836
TI - Linalyl acetate prevents hypertension-related ischemic injury.
AB - Ischemic stroke remains an important cause of disability and mortality.
Hypertension is a critical risk factor for the development of ischemic stroke.
Control of risk factors, including hypertension, is therefore important for the
prevention of ischemic stroke. Linalyl acetate (LA) has been reported to have
therapeutic effects in ischemic stroke by modulating intracellular Ca2+
concentration and having anti-oxidative properties. The preventive efficacy of LA
has not yet been determined. This study therefore investigated the preventive
efficacy of LA in rat aortas exposed to hypertension related-ischemic injury, and
the mechanism of action of LA.Hypertension was induced in vivo following ischemic
injury to the aorta induced by oxygen-glucose deprivation and reoxygenation in
vitro. Effects of LA were assayed by western blotting, by determining
concentrations of lactate dehydrogenase (LDH) and reactive oxygen species (ROS)
and by vascular contractility assays. LA significantly reduced systolic blood
pressure in vivo. In vitro, LA suppressed ischemic injury-induced expression of
the nicotinamide adenine dinucleotide phosphate (NADPH) oxidase subunit p47phox,
as well as ROS production, LDH release, and ROS-induced endothelial nitric oxide
synthase suppression. These findings indicate that LA has anti-hypertensive
properties that can prevent hypertension-related ischemic injury and can prevent
NADPH oxidase-induced production of ROS.
PMID- 29799835
TI - Diagnostic yield and therapeutic impact of open lung biopsy in the critically ill
patient.
AB - BACKGROUND: Open lung biopsy (OLB) is a rare procedure in intensive care units
(ICUs) for therapeutic management of acute respiratory failure (ARF). The purpose
of this study was to analyze the diagnostic yield, therapeutic contribution and
complications of OLB in ICU patients with ARF of unclear etiology, including
acute respiratory distress syndrome (ARDS) and ARDS mimics. METHODS:
Retrospective study conducted in a 10-bed ICU over a 13-year period. Patients
undergoing OLB for ARF with undiagnosed infiltrates on CT scan were included.
ARDS was defined according to Berlin criteria, and ARDS mimics as a condition
looking like ARDS except for the presence of a known cause. OLB was contributive
when the OLB findings yielded a specific diagnosis resulting in a change in the
patients' treatment or management. RESULTS: Forty six patients were included (sex
ratio = 2.5, median and [interquartile range] age = 69 [59-77] years, and
admission SAPS II = 42 [33-50]. ARF corresponded to ARDS in 22 patients and to
ARDS mimics in 16. OLB yielded 61 diagnoses in 45 patients including diffuse
alveolar damage (N = 21), lung fibrosis (N = 18), and organizing pneumonia (N =
11). OLB was contributive in 37 patients (80%), including 13/16 ARDS mimickers.
The main contributions of OLB were the introduction or maintenance of steroids (N
= 32) and discontinuation of antibiotics (N = 9). In 4 patients OLB resulted
directly in the decision to forgo life-sustaining treatment. OLB complications
occurred in 16 patients (35%), in one case associated with fatal outcome.
CONCLUSION: OLB can play a useful role in the management of ICU patients with ARF
of undetermined origin, including ARDS mimickers. Further studies should be done
to identify the groups of ICU patients likely to benefit from the procedure with
minimum risk.
PMID- 29799837
TI - Elevated Nrf-2 responses are insufficient to mitigate protein carbonylation in
hepatospecific PTEN deletion mice.
AB - OBJECTIVE: In the liver, a contributing factor in the pathogenesis of non
alcoholic fatty liver disease (NASH) is oxidative stress, which leads to the
accumulation of highly reactive electrophilic alpha/beta unsaturated aldehydes.
The objective of this study was to determine the impact of NASH on protein
carbonylation and antioxidant responses in a murine model. METHODS: Liver
specific phosphatase and tensin homolog (PTEN)-deletion mice (PTENLKO) or control
littermates were fed a standard chow diet for 45-55 weeks followed by analysis
for liver injury, oxidative stress and inflammation. RESULTS: Histology and
Picrosirius red-staining of collagen deposition within the extracellular matrix
revealed extensive steatosis and fibrosis in the PTENLKO mice but no steatosis or
fibrosis in controls. Increased steatosis and fibrosis corresponded with
significant increases in inflammation. PTEN-deficient livers showed significantly
increased cell-specific oxidative damage, as detected by 4-hydroxy-2-nonenal (4
HNE) and acrolein staining. Elevated staining correlated with an increase in
nuclear DNA repair foci (gammaH2A.X) and cellular proliferation index (Ki67)
within zones 1 and 3, indicating oxidative damage was zonally restricted and was
associated with increased DNA damage and cell proliferation. Immunoblots showed
that total levels of antioxidant response proteins induced by nuclear factor
erythroid-2-like-2 (Nrf2), including GSTMU, GSTpi and CBR1/3, but not HO-1, were
elevated in PTENLKO as compared to controls, and IHC showed this response also
occurred only in zones 1 and 3. Furthermore, an analysis of autophagy markers
revealed significant elevation of p62 and LC3II expression. Mass spectrometric
(MS) analysis identified significantly more carbonylated proteins in whole cell
extracts prepared from PTENLKO mice (966) as compared to controls (809). Pathway
analyses of identified proteins did not uncover specific pathways that were
preferentially carbonylated in PTENLKO livers but, did reveal specific strongly
increased carbonylation of thioredoxin reductase and of glutathione-S
transferases (GST) M6, O1, and O2. CONCLUSIONS: Results show that disruption of
PTEN resulted in steatohepatitis, fibrosis and caused hepatic induction of the
Nrf2-dependent antioxidant system at least in part due to elevation of p62. This
response was both cell-type and zone specific. However, these responses were
insufficient to mitigate the accumulation of products of lipid peroxidation.
PMID- 29799839
TI - Pneumococcal carriage in children and their household contacts six years after
introduction of the 13-valent pneumococcal conjugate vaccine in England.
AB - BACKGROUND: In April 2010, 13-valent pneumococcal conjugate vaccine (PCV13)
replaced PCV7 in the infant immunisation schedule in England and Wales. Despite
limited serotype replacement in invasive pneumococcal disease (IPD) during the
first four post-PCV13 years, non-vaccine type (NVT) IPD increased substantially
in 2014/15. We undertook a carriage study in 2015/16 to help understand the
reasons for this increase. METHODS AND FINDINGS: Families with a child aged <5
years attending a participating general practice in Gloucestershire or
Hertfordshire were invited to provide nasopharyngeal swabs from all consenting
members. Swabs from 650 individuals (293 under five, 73 five to twenty and 284
>twenty years) were cultured and serotyped for Streptococcus pneumoniae. Results
were compared with those from three previous household studies conducted in the
same populations between 2001 to 2013, and with the serotypes causing IPD to
estimate case-carrier ratios (CCRs). Overall carriage prevalence did not differ
between the four carriage studies with reductions in vaccine-type carriage offset
by increases in NVT carriage. While no individual NVT serotype showed an increase
in CCR from 2012/13, the composition of the serotypes comprising the NVT group
differed such that the overall CCR of the NVT group had significantly increased
since 2012/13. Carriage of two PCV13 serotypes, 3 and 19A, was found in 2015/16
(3/650 = 0.5% and 2/650 = 0.3% respectively) with no overall reduction in
carriage prevalence of PCV13-7 serotypes since 2012/13, though 6C prevalence, a
vaccine-related serotype, had reduced from 1.8% in 2012/13 to 2/648 (0.3%) in
2015/16, p = 0.013. CONCLUSIONS: There was continuing evolution in carried NVTs
six years after PCV13 introduction which, in addition to being vaccine-driven,
could also reflect natural secular changes in certain NVTs. This poses challenges
in predicting future trends in IPD. Elimination of carriage and disease due to
serotypes 3 and 19A may not be achieved by PCV13.
PMID- 29799838
TI - Nuclear m6A reader YTHDC1 regulates alternative polyadenylation and splicing
during mouse oocyte development.
AB - The N6-methyladenosine (m6A) modification is the most prevalent internal RNA
modification in eukaryotes. The majority of m6A sites are found in the last exon
and 3' UTRs. Here we show that the nuclear m6A reader YTHDC1 is essential for
embryo viability and germline development in mouse. Specifically, YTHDC1 is
required for spermatogonial development in males and for oocyte growth and
maturation in females; Ythdc1-deficient oocytes are blocked at the primary
follicle stage. Strikingly, loss of YTHDC1 leads to extensive alternative
polyadenylation in oocytes, altering 3' UTR length. Furthermore, YTHDC1
deficiency causes massive alternative splicing defects in oocytes. The majority
of splicing defects in mutant oocytes are rescued by introducing wild-type, but
not m6A-binding-deficient, YTHDC1. YTHDC1 is associated with the pre-mRNA 3' end
processing factors CPSF6, SRSF3, and SRSF7. Thus, YTHDC1 plays a critical role in
processing of pre-mRNA transcripts in the oocyte nucleus and may have similar non
redundant roles throughout fetal development.
PMID- 29799840
TI - Adaptive genome duplication affects patterns of molecular evolution in
Saccharomyces cerevisiae.
AB - Genome duplications are important evolutionary events that impact the rate and
spectrum of beneficial mutations and thus the rate of adaptation. Laboratory
evolution experiments initiated with haploid Saccharomyces cerevisiae cultures
repeatedly experience whole-genome duplication (WGD). We report recurrent genome
duplication in 46 haploid yeast populations evolved for 4,000 generations. We
find that WGD confers a fitness advantage, and this immediate fitness gain is
accompanied by a shift in genomic and phenotypic evolution. The presence of
ploidy-enriched targets of selection and structural variants reveals that
autodiploids utilize adaptive paths inaccessible to haploids. We find that
autodiploids accumulate recessive deleterious mutations, indicating an increased
susceptibility for nonadaptive evolution. Finally, we report that WGD results in
a reduced adaptation rate, indicating a trade-off between immediate fitness gains
and long-term adaptability.
PMID- 29799841
TI - Embryonic toxico-pathological effects of meglumine antimoniate using a chick
embryo model.
AB - Leishmaniasis is one of the diverse and neglected tropical diseases. Embryo
toxicity of drugs has always been a major concern. Chick embryo is a preclinical
model relevant in the assessment of adverse effects of drugs. The current study
aimed to assess embryonic histopathological disorders and amniotic fluid
biochemical changes following meglumine antimoniate treatment. The alteration of
vascular branching pattern in the chick's extra-embryonic membrane and
exploration of molecular cues to early embryonic vasculogenesis and angiogenesis
were also quantified. Embryonated chicken eggs were treated with 75 or 150 mg/kg
of meglumine antimoniate. Embryo malformations, growth retardation and
haemorrhages on the external body surfaces were accompanied by histopathological
lesions in the brain, kidney, liver and heart in a dose-dependent manner.
Significant rise occurred in the biochemical indices of alkaline phosphatase,
aspartate aminotransferase, alanine aminotransferase and amylase in the amniotic
fluid. Quantification of the extra-embryonic membrane vasculature showed that the
anti-angiogenic and anti-vasculogenic effects of the drug were revealed by a
significant decrease in fractal dimension value and mean capillary area. The
relative expression levels of vascular endothelial growth factor A and vascular
endothelial growth factor receptor 2 mRNA also significantly reduced. Concerns of
a probable teratogenicity of meglumine antimoniate were established by data
presented in this study. It is concluded that tissue lesions, amniotic fluid
disturbance, altered early extra-embryonic vascular development and gene
expression as well as the consecutive cascade of events, might eventually lead to
developmental defects in embryo following meglumine antimoniate treatment.
Therefore, the use of meglumine antimoniate during pregnancy should be considered
as potentially embryo-toxic. Hence, physicians should be aware of such
teratogenic effects and limit the use of this drug during the growing period of
the fetus, particularly in rural communities. Further pharmaceutical
investigations are crucial for planning future strategies.
PMID- 29799842
TI - The impact of maternal anxiety disorder on mother-infant interaction in the
postpartum period.
AB - BACKGROUND: This study investigated whether postpartum anxiety disorder is
associated to altered patterns of infant as well as maternal engagement in a Face
to-Face-Still-Face interaction (FFSF). SAMPLING AND METHODS: n = 39 women with
postpartum DSM-IV anxiety disorder and n = 48 healthy mothers were videotaped
during a FFSF with their infant (M = 4.1 months). RESULTS: Infants of the
clinical group showed significantly less positive engagement during the play
episode than infants of controls. This result depended on infant sex: male
controls demonstrated more positive interaction than males of anxious mothers.
There was no such effect for female infants who engaged significantly less
positively during the play episode than males and did not change their positive
engagement during the FFSF. These findings imply pronounced interactive
positivity and early vulnerability to maternal anxiety symptoms in male infants.
Only the infants of the controls showed the still-face effect. They also
protested significantly more during the still-face, while the clinical infants'
protest increased significantly during the reunion. Women of both groups did not
differ in their interaction. Maternal intrusiveness was associated to infant
protest in the course of the FFSF. CONCLUSIONS: Results suggest that mother
infant intervention should consider affect regulation and infant sex-specific
characteristics in anxious mother-infant dyads.
PMID- 29799844
TI - Minimum requirements and optimal testing strategies of a diagnostic test for
leprosy as a tool towards zero transmission: A modeling study.
AB - BACKGROUND: The availability of a diagnostic test to detect subclinical leprosy
cases is crucial to interrupt the transmission of M. leprae. In this study we
assessed the minimum sensitivity level of such a (hypothetical) diagnostic test
and the optimal testing strategy in order to effectively reduce the new case
detection rate (NCDR) of leprosy. METHODS AND FINDINGS: We used the individual
based model SIMCOLEP, and based it on previous quantification using COLEP data, a
cohort study of leprosy cases in Bangladesh. The baseline consisted of treatment
with Multidrug therapy of clinically diagnosed leprosy cases, passive case
detection and household contact tracing. We examined the use of a leprosy
diagnostic test for subclinical leprosy in four strategies: testing in 1)
household contacts, 2) household contacts with a 3-year follow-up, 3) a
population survey with coverage 50%, and 4) a population survey (100%). For each
strategy, we varied the test sensitivity between 50% and 100%. All analyses were
conducted for a high, medium, and low (i.e. 25, 5 and 1 per 100,000) endemic
setting over a period of 50 years. In all strategies, the use of a diagnostic
test further reduces the NCDR of leprosy compared to the no test strategy. A
substantial reduction could already be achieved at a test sensitivity as low as
50%. In a high endemic setting, a NCDR of 10 per 100,000 could be reached within
8-10 years in household contact testing, and 2-6 years in a population testing.
Testing in a population survey could also yield the highest number of prevented
new cases, but requires a large number needed to test and treat. In contrast,
household contact testing has a smaller impact on the NCDR but requires a
substantially lower number needed to test and treat. CONCLUSIONS: A diagnostic
test for subclinical leprosy with a sensitivity of at least 50% could
substantially reduce M. leprae transmission. To effectively reduce NCDR in the
short run, a population survey is preferred over household contact tracing.
However, this is only favorable in high endemic settings.
PMID- 29799843
TI - Systematic detection of positive selection in the human-pathogen interactome and
lasting effects on infectious disease susceptibility.
AB - Infectious disease has shaped the natural genetic diversity of humans throughout
the world. A new approach to capture positive selection driven by pathogens would
provide information regarding pathogen exposure in distinct human populations and
the constantly evolving arms race between host and disease-causing agents. We
created a human pathogen interaction database and used the integrated haplotype
score (iHS) to detect recent positive selection in genes that interact with
proteins from 26 different pathogens. We used the Human Genome Diversity Panel to
identify specific populations harboring pathogen-interacting genes that have
undergone positive selection. We found that human genes that interact with 9
pathogen species show evidence of recent positive selection. These pathogens are
Yersenia pestis, human immunodeficiency virus (HIV) 1, Zaire ebolavirus,
Francisella tularensis, dengue virus, human respiratory syncytial virus, measles
virus, Rubella virus, and Bacillus anthracis. For HIV-1, GWAS demonstrate that
some naturally selected variants in the host-pathogen protein interaction
networks continue to have functional consequences for susceptibility to these
pathogens. We show that selected human genes were enriched for HIV susceptibility
variants (identified through GWAS), providing further support for the hypothesis
that ancient humans were exposed to lentivirus pandemics. Human genes in the
Italian, Miao, and Biaka Pygmy populations that interact with Y. pestis show
significant signs of selection. These results reveal some of the genetic
footprints created by pathogens in the human genome that may have left lasting
marks on susceptibility to infectious disease.
PMID- 29799845
TI - The first Saudi Arabian national inventory study revealed the upcoming challenges
of highly diverse non-tuberculous mycobacterial diseases.
AB - BACKGROUND: Incidences of nontuberculous mycobacteria (NTM) causing pulmonary and
extrapulmonary diseases are reportedly increasing globally and the current
epidemiologic situation in Saudi Arabia remains unclear. To study such trend, we
carried out a nationwide systematic epidemiological study focusing on NTM
diseases for the first time in the country. METHODS/PRINCIPLE FINDINGS: A
nationwide collection of NTM isolates with clinical and demographical data was
conducted for a period of 24 months. Primary species identification was carried
out by line probe assays followed by sequencing of 16S rRNA, 16S-23S ITS region,
rpoB and hsp65 genes. The laboratory findings were comprehensively analysed
against demographical and clinical data. A total of 527 isolates were enrolled
with a higher proportion of Saudi citizens (76.5%), elderly (>60 years) patients
(34.2%), and male gender (65.3%) respectively. Overall, 75.1% isolates were
pulmonary origin with a proven clinical significance of 44.7%. In total, 34 NTM
species including 17 rare species were identified, in addition to 8 'undefined'
isolates. M.simiae (22.6%), M.fortuitum (18.1%) and M.abscessus (17.8%) were
predominant species. Interestingly, 27 new cases of clinically relevant
M.riyadhense were also noticed (Primary data on emergence of rare NTM species and
M.riyadhense has been recently reported). Results showed, rare clinical events
such as mycobacteremia, cecum abscess, peritonitis and ascites caused by
M.wolinskyi, M.holsaticum, M.duvalii and M.monacence respectively. Diabetes
mellitus (P value-0.04) and previous history of tuberculosis (P value- 0.001)
were identified as independent risk factors associated with NTM diseases.
CONCLUSIONS/SIGNIFICANCE: NTM disease spectrum and pathogen diversity is an
emerging challenge to any nation, including Saudi Arabia. Therefore, more
priorities will be given to NTM's with an immediate initiative to develop
diagnostic infrastructures and disease management plans.
PMID- 29799848
TI - Correction: Multimorbidity in patients with heart failure from 11 Asian regions:
A prospective cohort study using the ASIAN-HF registry.
AB - [This corrects the article DOI: 10.1371/journal.pmed.1002541.].
PMID- 29799847
TI - A G-protein-coupled chemoattractant receptor recognizes lipopolysaccharide for
bacterial phagocytosis.
AB - Phagocytes locate microorganisms via chemotaxis and then consume them using
phagocytosis. Dictyostelium amoebas are stereotypical phagocytes that prey on
diverse bacteria using both processes. However, as typical phagocytic receptors,
such as complement receptors or Fcgamma receptors, have not been found in
Dictyostelium, it remains mysterious how these cells recognize bacteria. Here, we
show that a single G-protein-coupled receptor (GPCR), folic acid receptor 1
(fAR1), simultaneously recognizes the chemoattractant folate and the phagocytic
cue lipopolysaccharide (LPS), a major component of bacterial surfaces. Cells
lacking fAR1 or its cognate G-proteins are defective in chemotaxis toward folate
and phagocytosis of Klebsiella aerogenes. Computational simulations combined with
experiments show that responses associated with chemotaxis can also promote
engulfment of particles coated with chemoattractants. Finally, the extracellular
Venus-Flytrap (VFT) domain of fAR1 acts as the binding site for both folate and
LPS. Thus, fAR1 represents a new member of the pattern recognition receptors
(PRRs) and mediates signaling from both bacterial surfaces and diffusible
chemoattractants to reorganize actin for chemotaxis and phagocytosis.
PMID- 29799846
TI - Comprehensive analysis of T cell leukemia signals reveals heterogeneity in the
PI3 kinase-Akt pathway and limitations of PI3 kinase inhibitors as monotherapy.
AB - T cell acute lymphoblastic leukemia (T-ALL) is an aggressive hematologic cancer.
Poly-chemotherapy with cytotoxic and genotoxic drugs causes substantial toxicity
and more specific therapies targeting the underlying molecular lesions are highly
desired. Perturbed Ras signaling is prevalent in T-ALL and occurs via oncogenic
RAS mutations or through overexpression of the Ras activator RasGRP1 in ~65% of T
ALL patients. Effective small molecule inhibitors for either target do not
currently exist. Genetic and biochemical evidence link phosphoinositide 3-kinase
(PI3K) signals to T-ALL, PI3Ks are activated by Ras-dependent and Ras-independent
mechanisms, and potent PI3K inhibitors exist. Here we performed comprehensive
analyses of PI3K-Akt signaling in T-ALL with a focus on class I PI3K. We
developed a multiplex, multiparameter flow cytometry platform with pan- and
isoform-specific PI3K inhibitors. We find that pan-PI3K and PI3K gamma-specific
inhibitors effectively block basal and cytokine-induced PI3K-Akt signals. Despite
such inhibition, GDC0941 (pan-PI3K) or AS-605240 (PI3Kgamma-specific) as single
agents did not efficiently induce death in T-ALL cell lines. Combination of
GDC0941 with AS-605240, maximally targeting all p110 isoforms, exhibited potent
synergistic activity for clonal T-ALL lines in vitro, which motivated us to
perform preclinical trials in mice. In contrast to clonal T-ALL lines, we used a
T-ALL cancer model that recapitulates the multi-step pathogenesis and inter- and
intra-tumoral genetic heterogeneity, a hallmark of advanced human cancers. We
found that the combination of GDC0941 with AS-605240 fails in such trials. Our
results reveal that PI3K inhibitors are a promising avenue for molecular therapy
in T-ALL, but predict the requirement for methods that can resolve biochemical
signals in heterogeneous cell populations so that combination therapy can be
designed in a rational manner.
PMID- 29799849
TI - ICG fluorescence imaging as a new tool for optimization of pathological
evaluation in breast cancer tumors after neoadjuvant chemotherapy.
AB - BACKGROUND: Response to neoadjuvant chemotherapy (NACT), particularly pathologic
complete response (pCR), is an independent predictor of favorable clinical
outcome in breast cancer (BC). The accuracy of residual disease measurement and
reporting is of critical importance in treatment planning and prognosis for these
patients. Currently, gross pathological evaluation of the residual tumor bed is
the greatest determinant for accurate reporting of NACT response. Fluorescence
imaging (FI) is a new technology that is being evaluated for use in the detection
of tumors in different oncological conditions. OBJECTIVE: The aim of this study
was to evaluate whether indocyanine green fluorescence imaging (ICG-FI) is able
to detect residual breast tumor tissue after NACT in breast surgical operative
specimens. METHODS: Patients who underwent NACT for BC and were admitted for
breast surgery were selected for participation in this study. Free ICG (0.25
mg/kg) was injected intraoperatively. Tumor-to-background fluorescence ratio
(TBFR) was calculated on ex vivo samples from the surgical specimen. RESULTS: One
hundred and seventy-two samples from nine breast surgical specimens were
evaluated for their fluorescence intensity. Among them, 52 were malignant (30.2%)
and 120 were benign (69.8%). The mean TBFR was 3.3 (SD 1.68) in malignant samples
and 1.9 (SD 0.97) in benign samples (p = 0.0002). With a TBFR cut-off value of
1.3, the sensitivity, specificity, negative predictive value, false negative
rate, and false positive rate of ICG-FI to predict residual tumoral disease in
breast surgical samples post-NACT were 94.2%, 31.7%, 92.7%, 5.8%, and 68.3%,
respectively. If we restricted our analysis to only patients who achieved pCR,
the negative predictive value for ICG-FI was 100%. CONCLUSIONS: These first
observations indicate that ex vivo ICG-FI is sensitive but not sufficiently
specific to discriminate between benign breast tissue and malignant residual
tissue. Nevertheless, its negative predictive value seems sufficiently accurate
to exclude the presence of residual breast tumor tissue on the operative specimen
of patients treated by NACT, representing a potential tool to assist pathologists
in the assessment of breast surgical specimens.
PMID- 29799850
TI - The impact of alternative pricing methods for drugs in California Workers'
Compensation System: Fee-schedule pricing.
AB - INTRODUCTION: California's Workers' Compensation System (CAWCS) Department of
Industrial Relations questioned the adequacy of the current Medi-Cal fee-schedule
pricing and requested analysis of alternatives that maximize price availability
and maintain budget neutrality. OBJECTIVES: To compare CAWCS pharmacy-dispensed
(PD) drug prices under alternative fee schedules, and identify combinations of
alternative benchmarks that have prices available for the largest percentage of
PD drugs and that best reach budget neutrality. METHODS: Claims transaction-level
data (2011-2013) from CAWCS were used to estimate total annual PD pharmaceutical
payments. Medi-Cal pricing data was from the Workman's Compensation Insurance
System (WCIS). Average Wholesale Prices (AWP), Wholesale Acquisition Costs (WAC),
Direct Prices (DP), Federal Upper Limit (FUL) prices, and National Average Drug
Acquisition Costs (NADAC) were from Medi-Span. We matched National Drug Codes
(NDCs), pricing dates, and drug quantity for comparisons. We report pharmacy
dispensed (PD) claims frequency, reimbursement matching rate, and paid costs by
CAWCS as the reference price against all alternative price benchmarks. RESULTS:
Of 12,529,977 CAWCS claims for pharmaceutical products 11.6% (1,462,814) were for
PD drugs. Prescription drug cost for CAWCS was over $152M; $63.9M, $47.9M, and
$40.6M in 2011-2013. Ninety seven percent of these CAWCS PD claims had a Medi-Cal
price. Alternative mechanisms provided a price for fewer claims; NADAC 94.23%,
AWP 90.94%, FUL 73.11%, WAC 66.98%, and DP 14.33%. Among CAWCS drugs with no Medi
Cal price in PD claims, AWP, WAC, NADAC, DP, and FUL provided prices for 96.7%,
63.14%, 24.82%, 20.83%, and 15.08% of claims. Overall CAWCS paid 100.52% of Medi
Cal, 60% of AWP, 97% of WAC, 309.53% of FUL, 103.83% of DP, and 136.27% of NADAC.
CONCLUSIONS: CAWCS current Medi-Cal fee-schedule price list for PD drugs is more
complete than all alternative fee-schedules. However, all reimbursement
approaches would require combinations of pricing benchmarks. We suggest keeping
primary reimbursement at 100% of Medi-Cal and for drugs without a primary Medi
Cal price calculating the maximum fee as 60% of AWP and then 97% of WAC.
Alternatively, we suggest using NADAC as a primary fee-schedule followed by
either 60% AWP and 97% WAC or AWP-40% for drugs with no NADAC price. Fee
schedules may not offer the best price and a formulary approach may provide more
flexibility.
PMID- 29799851
TI - Prevalence and outcomes of proton pump inhibitor associated hypomagnesemia in
chronic kidney disease.
AB - BACKGROUND: Proton pump inhibitors (PPIs) are one of the most widely prescribed
medications across the world. PPIs have been associated with significant
electrolyte abnormalities including hypomagnesaemia. We explored the prevalence
of PPI associated hypomagnesaemia (PPIH) in different Chronic Kidney Disease
(CKD) stages, in different PPI agents, and the impact of PPIH on survival in CKD.
METHODS: This was a subgroup analysis of the Salford Kidney Study, a prospective,
observational, longitudinal study of non-dialysis CKD patients. Patients with
outpatient magnesium samples obtained between 2002 and 2013 were included in the
analysis. The prevalence hypomagnesaemia based on mean values over 12 months as
well as 'ever' hypomagnesaemia were investigated. RESULTS: 1,230 patients were
included in this analysis, mean age 64.3+/- 32.3 years and mean eGFR 29.2+/-15.8
ml/min/1.73m2. Mean serum magnesium in those on PPI was significantly lower than
those not on PPI overall (0.85+/-0.10 mmolL-1 versus 0.79+/-0.12 mmolL-1
respectively, p<0.001). This finding was maintained at all CKD stages. The
adjusted odds ratio (OR) for mean hypomagnesaemia in PPI use was 1.12 (95% CI
1.06-1.18) p = <0. 'Ever hypomagnesaemia' had an OR of 1.12 (95% CI 1.07-1.16) p
= <0.001. The expected rise in serum magnesium with declining eGFR was not
observed in those on a PPI but was seen in those not on PPI. There was no
difference in serum magnesium between PPI drugs. Thiazide diuretics were also
associated with hypomagnesaemia independent of PPI use. Cox regression analysis
demonstrated no reduction in survival in patients with PPI associated
hypomagnesaemia. CONCLUSION: No specific PPI drugs show a favourable profile in
regards of risk for hypomagnesaemia in CKD. Avoiding concurrent use of PPI and
thiazide may be of value in patients with hypomagnesaemia.
PMID- 29799852
TI - What does the fox say? Monitoring antimicrobial resistance in the environment
using wild red foxes as an indicator.
AB - The objective of this study was to estimate and compare the occurrence of AMR in
wild red foxes in relation to human population densities. Samples from wild red
foxes (n = 528) included in the Norwegian monitoring programme on antimicrobial
resistance in bacteria from food, feed and animals were included. All samples
were divided into three different groups based on population density in the
municipality where the foxes were hunted. Of the 528 samples included, 108
(20.5%), 328 (62.1%) and 92 (17.4%) originated from areas with low, medium and
high population density, respectively. A single faecal swab was collected from
each fox. All samples were plated out on a selective medium for
Enterobacteriaceae for culturing followed by inclusion and susceptibility testing
of one randomly selected Escherichia coli to assess the overall occurrence of AMR
in the Gram-negative bacterial population. Furthermore, the samples were
subjected to selective screening for detection of E. coli displaying resistance
towards extended-spectrum cephalosporins and fluoroquinolones. In addition, a
subset of samples (n = 387) were subjected to selective culturing to detect E.
coli resistant to carbapenems and colistin, and enterococci resistant to
vancomycin. Of these, 98 (25.3%), 200 (51.7%) and 89 (23.0%) originated from
areas with low, medium and high population density, respectively. Overall, the
occurrence of AMR in indicator E. coli from wild red foxes originating from areas
with different human population densities in Norway was low to moderate (8.8%).
The total occurrence of AMR was significantly higher; chi2 (1,N = 336) = 6.53, p
= 0.01 in areas with high population density compared to areas with medium
population density. Similarly, the occurrence of fluoroquinolone resistant E.
coli isolated using selective detection methods was low in areas with low
population density and more common in areas with medium or high population
density. In conclusion, we found indications that occurrence of AMR in wild red
foxes in Norway is associated with human population density. Foxes living in
urban areas are more likely to be exposed to AMR bacteria and resistance drivers
from food waste, garbage, sewage, waste water and consumption of contaminated
prey compared to foxes living in remote areas. The homerange of red fox has been
shown to be limited thereby the red fox constitutes a good sentinel for
monitoring antimicrobial resistance in the environment. Continuous monitoring on
the occurrence of AMR in different wild species, ecological niches and
geographical areas can facilitate an increased understanding of the environmental
burden of AMR in the environment. Such information is needed to further assess
the impact for humans, and enables implementation of possible control measures
for AMR in humans, animals and the environment in a true "One Health" approach.
PMID- 29799853
TI - Discovery and translation of a target engagement marker for AMP-activated protein
kinase (AMPK).
AB - BACKGROUND: Activation of the AMP-activated protein kinase (AMPK) is an
attractive approach for the treatment of type 2 diabetes. AMPK activation reduces
glucose levels in animal models of type 2 diabetes by increasing glucose uptake
in skeletal muscles and reducing hepatic glucose production. Furthermore, AMPK
activation ameliorates hepatic steatosis in animal models. For the clinical
development of AMPK activators it is essential to have a reliable target
engagement marker for appropriate dose finding and to support proof of clinical
principle. While the activation of AMPK by quantification of the phosphorylation
of AMPK at Thr172 in target tissues can be assessed pre-clinically, this is not
feasible in clinical studies. Therefore, we attempted to identify and translate a
peripheral target engagement biomarker downstream of AMPK activation for clinical
use in blood samples. METHODS: For pharmacological activation of AMPK, two AMPK
activators were synthesized (compound 1 and 2). A compound with structural
similarities but no pharmacological effect on AMPK phosphorylation was
synthesized as negative control (compound 3). Whole blood from healthy volunteers
was incubated with an AMPK activator for up to 6 hours and mRNA sequencing was
performed. Additionally, human PBMCs were isolated to evaluate Thr172
phosphorylation of AMPK in Western blots. In order to enable identification of
translatable biomarker candidates, blood samples from HanWistar rats treated for
two weeks with an AMPK activator were also subjected to mRNA sequencing.
Furthermore, concentration-response curves for four biomarker candidates were
recorded in human blood samples using Nanostring nCounter technology. Finally,
ZDF rats were treated with increasing doses of compound 2 for five weeks to
investigate the glucose-lowering efficacy. To investigate changes of mRNA
expression of two selected biomarker candidates in this ZDF rat study, qRT-PCR
was performed. RESULTS: Pharmacological activation of AMPK in human PBMCs
revealed an increase in Thr172-phosphorylation of AMPK, confirming target
engagement in these blood cells. RNA sequencing of human blood samples identified
608 deregulated genes after AMPK activation. Additionally, AMPK activation led to
deregulation of 367 genes in whole blood from HanWistar rats which mapped to the
respective human genes. 22 genes out of the intersection of genes deregulated in
both species are proposed as potential translatable target engagement biomarker
candidates. The most prominent genes were transmembrane glycoprotein NMB (GPNMB,
osteoactivin), calcium-binding protein A9 (S100A9), peptidoglycan recognition
protein (PGLYRP1) and Ras homolog gene family, member B (RHOB). Specificity for
AMPK was shown by testing inactive compound 3 in HanWistar rats. The exposure
effect relationship for GPNMB was investigated in a subchronic study in diabetic
ZDF rats. GPNMB showed a dose-dependent up-regulation both acutely and after
subchronic dosing. GPNMB up-regulation correlated with an increased Thr172
phosphorylation of AMPK in liver and quadriceps muscle in rats. CONCLUSION: GPNMB
has been identified as a translatable target engagement biomarker for use in
clinical studies.
PMID- 29799854
TI - Phenotypic characterization of aberrant stem and progenitor cell populations in
myelodysplastic syndromes.
AB - Recent reports have revealed myelodysplastic syndromes (MDS) to arise from cancer
stem cells phenotypically similar to physiological hematopoietic stem cells.
Myelodysplastic hematopoiesis maintains a hierarchical organization, but the
proportion of several hematopoietic compartments is skewed and multiple surface
markers are aberrantly expressed. These aberrant antigen expression patterns hold
diagnostic and therapeutic promise. However, eradication of MDS requires
targeting of early myelodysplasia propagating stem cells. This warrants an exact
assessment of the differentiation stage at which aberrant expression occurs in
transformed hematopoiesis. Here, we report results on the prospective and
extensive dissection of the hematopoietic hierarchy in 20 patients with either
low-risk MDS or MDS with excess blasts and compare it to hematopoiesis in
patients with non-malignancy-associated cytopenia or B cell lymphoma without bone
marrow infiltration. We found patients with MDS with excess blasts to exhibit
characteristic expansions of specific immature progenitor compartments. We also
identified the aberrant expression of several markers including ALDH, CLL-1,
CD44, and CD47 to be specific features of hematopoiesis in MDS with excess
blasts. We show that amongst these, aberrant CLL-1 expression manifested at the
early uncommitted hematopoietic stem cell level, suggesting a potential role as a
therapeutic target.
PMID- 29799855
TI - Structural basis of L-glucose oxidation by scyllo-inositol dehydrogenase:
Implications for a novel enzyme subfamily classification.
AB - For about 70 years, L-glucose had been considered non-metabolizable by either
mammalian or bacterial cells. Recently, however, an L-glucose catabolic pathway
has been discovered in Paracoccus laeviglucosivorans, and the genes responsible
cloned. Scyllo-inositol dehydrogenase is involved in the first step in the
pathway that oxidizes L-glucose to produce L-glucono-1,5-lactone with concomitant
reduction of NAD+ dependent manner. Here, we report the crystal structure of the
ternary complex of scyllo-inositol dehydrogenase with NAD+ and L-glucono-1,5
lactone at 1.8 A resolution. The enzyme adopts a homo-tetrameric structure,
similar to those of the inositol dehydrogenase family, and the electron densities
of the bound sugar was clearly observed, allowing identification of the residues
responsible for interaction with the substrate in the catalytic site. In addition
to the conserved catalytic residues (Lys106, Asp191, and His195), another
residue, His318, located in the loop region of the adjacent subunit, is involved
in substrate recognition. Site-directed mutagenesis confirmed the role of these
residues in catalytic activity. We also report the complex structures of the
enzyme with myo-inositol and scyllo-inosose. The Arg178 residue located in the
flexible loop at the entrance of the catalytic site is also involved in substrate
recognition, and plays an important role in accepting both L-glucose and
inositols as substrates. On the basis of these structural features, which have
not been identified in the known inositol dehydrogenases, and a phylogenetic
analysis of IDH family enzymes, we suggest a novel subfamily of the GFO/IDH/MocA
family. Since many enzymes in this family have not biochemically characterized,
our results could promote to find their activities with various substrates.
PMID- 29799856
TI - Enhanced transfer of organic matter to higher trophic levels caused by ocean
acidification and its implications for export production: A mass balance
approach.
AB - Ongoing acidification of the ocean through uptake of anthropogenic CO2 is known
to affect marine biota and ecosystems with largely unknown consequences for
marine food webs. Changes in food web structure have the potential to alter
trophic transfer, partitioning, and biogeochemical cycling of elements in the
ocean. Here we investigated the impact of realistic end-of-the-century CO2
concentrations on the development and partitioning of the carbon, nitrogen,
phosphorus, and silica pools in a coastal pelagic ecosystem (Gullmar Fjord,
Sweden). We covered the entire winter-to-summer plankton succession (100 days) in
two sets of five pelagic mesocosms, with one set being CO2 enriched (~760 MUatm
pCO2) and the other one left at ambient CO2 concentrations. Elemental mass
balances were calculated and we highlight important challenges and uncertainties
we have faced in the closed mesocosm system. Our key observations under high CO2
were: (1) A significantly amplified transfer of carbon, nitrogen, and phosphorus
from primary producers to higher trophic levels, during times of regenerated
primary production. (2) A prolonged retention of all three elements in the
pelagic food web that significantly reduced nitrogen and phosphorus sedimentation
by about 11 and 9%, respectively. (3) A positive trend in carbon fixation
(relative to nitrogen) that appeared in the particulate matter pool as well as
the downward particle flux. This excess carbon counteracted a potential reduction
in carbon sedimentation that could have been expected from patterns of nitrogen
and phosphorus fluxes. Our findings highlight the potential for ocean
acidification to alter partitioning and cycling of carbon and nutrients in the
surface ocean but also show that impacts are temporarily variable and likely
depending upon the structure of the plankton food web.
PMID- 29799858
TI - Early changes in transient adenosine during cerebral ischemia and reperfusion
injury.
AB - Adenosine is an important neuromodulator in the central nervous system, and
tissue adenosine levels increase during ischemic events, attenuating excitotoxic
neuronal injury. Recently, our lab developed an electrochemical fast-scan cyclic
voltammetry (FSCV) method that identified rapid, spontaneous changes in adenosine
concentrations that last only about 3 seconds. Here, we investigated the effects
of cerebral ischemia and reperfusion on the concentration and frequency of
transient adenosine release in the caudate-putamen. In anesthetized rats, data
were collected for four hours: two hours of normoxia, 30 min of cerebral ischemia
induced by bilateral common carotid artery occlusion, and 90 min of reperfusion.
Transient adenosine release was increased during the cerebral ischemia period and
remained elevated during reperfusion. The total number of adenosine transients
increased by 52% during cerebral ischemia and reperfusion compared to normoxia.
The concentration of adenosine per event did not increase but the cumulative
adenosine concentration during cerebral ischemia and reperfusion increased by 53%
because of the higher frequency of events. Further, we evaluated the role of A2A
antagonist, SCH442416, a putative neuroprotective agent to affect adenosine
transients. SCH442416 significantly decreased the transient frequency during
cerebral ischemia-reperfusion by 27% and the cumulative concentration by 31%. Our
results demonstrate that this mode of rapid adenosine release increases during
early cerebral ischemia-reperfusion injury. Rapid adenosine release could provide
fast, local neuromodulation and neuroprotection during cerebral ischemia.
PMID- 29799859
TI - Transient viscous response of the human cornea probed with the Surface Force
Apparatus.
AB - Knowledge of the biomechanical properties of the human cornea is crucial for
understanding the development of corneal diseases and impact of surgical
treatments (e.g., corneal laser surgery, corneal cross-linking). Using a Surface
Force Apparatus we investigated the transient viscous response of the anterior
cornea from donor human eyes compressed between macroscopic crossed cylinders.
Corneal biomechanics was analyzed using linear viscoelastic theory and
interpreted in the framework of a biphasic model of soft hydrated porous tissues,
including a significant contribution from the pressurization and viscous flow of
fluid within the corneal tissue. Time-resolved measurements of tissue deformation
and careful determination of the relaxation time provided an elastic modulus in
the range between 0.17 and 1.43 MPa, and fluid permeability of the order of 10-13
m4/(N?s). The permeability decreased as the deformation was increased above a
strain level of about 10%, indicating that the interstitial space between fibrils
of the corneal stromal matrix was reduced under the effect of strong compression.
This effect may play a major role in determining the observed rate-dependent non
linear stress-strain response of the anterior cornea, which underlies the shape
and optical properties of the tissue.
PMID- 29799857
TI - The effect of vitamin D and zoledronic acid in bone marrow adiposity in kidney
transplant patients: A post hoc analysis.
AB - PURPOSE: Osteoblasts and adipocytes are derived from mesenchymal stem cells. An
imbalance in the differentiation of these lineages could affect the preservation
of bone integrity. Several studies have suggested the importance of this
imbalance in the pathogenesis of osteoporosis after kidney transplant (KT), but
the role of bone marrow adiposity in this process is not well known, and if the
treatment with the anti-absorptive (zoledronic acid-ZA) drugs could attenuate
bone loss. Thus, our objective was compare bone marrow adiposity, osteoblasts and
osteocytes before and after KT, verify an association between bone remodeling
process (Turnover, Volume, and Mineralization-TMV classification), the osteocyte
sclerostin expression to evaluate if there is a role of Wnt pathway, as well as
the effect of ZA on these cells. METHODS: We studied 29 new living-donor KT
patients. One group received ZA at the time of KT plus cholecalciferol for twelve
months, and the other group received only cholecalciferol. Bone biopsies were
performed at baseline and after 12 months of treatment. Histomorphometric
evaluation was performed in bone and bone marrow adipocytes. Sclerostin (Scl)
expression in osteocytes was evaluated by immunohistochemistry. RESULTS: Some
bone marrow adiposity parameters were increased before KT. After KT, some of them
remained increased and they worsened with the use of ZA. In the baseline, lower
bone Volume and Turnover, were associated with increased bone marrow adiposity
parameters (some of them). After KT, both groups showed the same associations.
Osteocyte Scl expression after KT decreased with the use of ZA. We observed also
an inverse association between bone adiposity parameters and lower osteocyte
sclerostin expression 12 months after KT. CONCLUSION: In conclusion, the present
study suggests that KT fails to normalize bone marrow adiposity, and it even gets
worse with the use of ZA. Moreover, bone marrow adiposity is inversely associated
with bone Volume and Turnover, which seems to be accentuated by the
antiresorptive therapy.
PMID- 29799860
TI - Neurotrophic factors and hippocampal activity in PTSD.
AB - Although numerous studies have investigated the neurotrophic factors and
hippocampal activity in posttraumatic stress disorder (PTSD) separately each
other, it is unclear whether an association between neurotrophic factors and
hippocampal activity is present. The aim of this study was to evaluate the
functional changes in hippocampus before and after treatment with escitalopram
and to associate these changes with peptides related to neuronal growth in
patients with chronic PTSD and trauma survivors without PTSD. Fifteen earthquake
survivors with chronic PTSD and thirteen drug naive trauma exposed individuals
without PTSD underwent fMRI scans in a block design. Serum levels of Nerve Growth
Factor (NGF) and Brain Derived Neurotrophic Factor (BDNF) were measured before
and after 12 weeks treatment with escitalopram. Baseline median serum level of
NGF was significantly lower in patients with chronic PTSD than trauma survivors;
however, 12 weeks of treatment with escitalopram significantly increased it.
Higher activation was found both in left and right hippocampus for chronic PTSD
group than trauma survivors. Treatment with escitalopram was significantly
associated with suppression of the hyperactivation in left hippocampus in
patients with chronic PTSD. Bilateral hyperactivation in hippocampus and lowered
NGF may associate with neurobiological disarrangements in chronic PTSD. Treatment
with escitalopram was significantly associated with both improvement in the
severity of PTSD symptoms and biological alterations. Patients diagnosed with
PTSD may have further and complicated deteriorations in hippocampal networks and
neurotransmitter systems than individuals who had not been diagnosed with PTSD
following the same traumatic experience.
PMID- 29799861
TI - Seamless recording of glucometer measurements among older experienced diabetic
patients - A study of perception and usability.
AB - Self-measurement and documentation of blood-glucose are critical elements of
diabetes management, particularly in regimes including insulin. In this study, we
analyze the usability of iBG-STAR, the first blood glucose meter connectable to a
smartphone. This technology records glucometer measurements, removing the burden
of documentation from diabetic patients. This study assesses the potential for
implementation of iBG-STAR in routine care. Twelve long-term diabetic patients (4
males; median age of 66.5 years) were enrolled in the study. N = 4/12 reported
diabetic polyneuropathy. Reported subjective mental workload for all tasks
related to iBG-STAR was on average lower than 12 points, corresponding to the
verbal code 'nearly no effort needed'. A "Post Study System Usability
Questionnaire", evaluated the glucometer at an average value of 2.06 (SD = 1.02)
on a 7-Likert-scale (1 = 'I fully agree' to 7 = 'I completely disagree') for
usability. These results represent a positive user-experience. Patients with
polyneuropathy may experience physical difficulties in completing the tasks,
thereby affecting usability. Technologically savvy patients (n = 6) with a
positive outlook on diabetes assessed the product as a suitable tool for
themselves and would recommend to other diabetic patients. The main barrier to
regular use was treating physicians' inability to retrieve digitally recorded
data. This barrier was due to a shortcoming in interoperability of mobile devices
and medical information systems.
PMID- 29799864
TI - Robust 3D point cloud registration based on bidirectional Maximum Correntropy
Criterion.
AB - This paper presents a robust 3D point cloud registration algorithm based on
bidirectional Maximum Correntropy Criterion (MCC). Comparing with traditional
registration algorithm based on the mean square error (MSE), using the MCC is
superior in dealing with complex registration problem with non-Gaussian noise and
large outliers. Since the MCC is considered as a probability measure which
weights the corresponding points for registration, the noisy points are
penalized. Moreover, we propose to use bidirectional measures which can maximum
the overlapping parts and avoid the registration result being trapped into a
local minimum. Both of these strategies can better apply the information theory
method to the point cloud registration problem, making the algorithm more robust.
In the process of implementation, we integrate the fixed-point optimization
technique based on the iterative closest point algorithm, resulting in the
correspondence and transformation parameters that are solved iteratively. The
comparison experiments under noisy conditions with related algorithms have
demonstrated good performance of the proposed algorithm.
PMID- 29799865
TI - Hydrolysable chestnut tannins for reduction of postweaning diarrhea: Efficacy on
an experimental ETEC F4 model.
AB - An experimental model for postweaning diarrhea with enterotoxigenic Escherichia
coli F4 (ETEC F4) was set up in piglets, and the efficacy of 1% chestnut-tannin
extract in preventing diarrhea was subsequently assessed. In a first trial
(infection model), 32 Swiss Large White piglets (age: 24 days; average BW: 7.8 +/
0.8 kg) were randomly assigned to two experimental groups (infected [INF],
noninfected [NINF]). In a subsequent trial, 72 Swiss Large White piglets (age: 26
days; average BW: 7.4 +/- 1.5 kg) were blocked by BW and assigned within block to
four experimental groups: NINF-CO: not infected and fed a standard control
starter diet (CO); INF-CO: infected and fed the CO diet; NINF-TA: not infected
and fed the CO diet supplemented with 1% chestnut extract containing 54% of
hydrolysable tannins (TA); and INF-TA: infected and fed the TA diet. Both diets
(TA and CO) were formulated to be isocaloric and isoproteic and to meet or
surpass the nutritional requirements. In both trials, four days after weaning,
piglets assigned to the INF group received an oral suspension of ETEC F4. Fecal
score, ETEC shedding in feces (only in trial 2), and growth performance traits
were measured for the following 14 days post infection. In both trials, more than
50% of the INF piglets developed diarrhea within six days post infection. Tannins
reduced (P < 0.05) the average fecal score, the percentage of piglets in
diarrhea, and the duration of diarrhea, whereas feed intake and the average daily
gain were unaffected.
PMID- 29799867
TI - A lexicon based method to search for extreme opinions.
AB - Studies in sentiment analysis and opinion mining have been focused on many
aspects related to opinions, namely polarity classification by making use of
positive, negative or neutral values. However, most studies have overlooked the
identification of extreme opinions (most negative and most positive opinions) in
spite of their vast significance in many applications. We use an unsupervised
approach to search for extreme opinions, which is based on the automatic
construction of a new lexicon containing the most negative and most positive
words.
PMID- 29799866
TI - Assessing the dimensionality of the CES-D using multi-dimensional multi-level
Rasch models.
AB - OBJECTIVES: The CES-D is a widely used depression screening instrument. While
numerous studies have analysed its psychometric properties using exploratory and
various kinds of confirmatory factor analyses, only few studies used Rasch models
and none a multidimensional one. METHODS: The present study applies a
multidimensional Rasch model using a sample of 518 respondents representative for
the Austrian general population aged 18 to 65. A one-dimensional model, a four
dimensional model reflecting the subscale structure suggested by [1], and a four
dimensional model with the background variables gender and age were applied.
RESULTS: While the one-dimensional model showed relatively good fit, the four
dimensional model fitted much better. EAP reliability indices were generally
satisfying and the latent correlations varied between 0.31 and 0.88. In the
analysis involving background variables, we found a limited effect of the
participants' gender. DIF effects were found unveiling some peculiarities. The
two-items subscale Interpersonal Difficulties showed severe weaknesses and the
Positive Affect subscale with the reversed item wordings also showed unexpected
results. CONCLUSIONS: While a one-dimensional over-all score might still contain
helpful information, the differentiation according to the latent dimension is
strongly preferable. Altogether, the CES-D can be recommended as a screening
instrument, however, some modifications seem indicated.
PMID- 29799863
TI - Mining of potential drug targets through the identification of essential and
analogous enzymes in the genomes of pathogens of Glycine max, Zea mays and
Solanum lycopersicum.
AB - Pesticides are one of the most widely used pest and disease control measures in
plant crops and their indiscriminate use poses a direct risk to the health of
populations and environment around the world. As a result, there is a great need
for the development of new, less toxic molecules to be employed against plant
pathogens. In this work, we employed an in silico approach to study the genes
coding for enzymes of the genomes of three commercially important plants, soybean
(Glycine max), tomato (Solanum lycopersicum) and corn (Zea mays), as well as 15
plant pathogens (4 bacteria and 11 fungi), focusing on revealing a set of
essential and non-homologous isofunctional enzymes (NISEs) that could be
prioritized as drug targets. By combining sequence and structural data, we
obtained an initial set of 568 cases of analogy, of which 97 were validated and
further refined, revealing a subset of 29 essential enzymatic activities with a
total of 119 different structural forms, most belonging to central metabolic
routes, including the carbohydrate metabolism, the metabolism of amino acids,
among others. Further, another subset of 26 enzymatic activities possess a
tertiary structure specific for the pathogen, not present in plants, men and Apis
mellifera, which may be of importance for the development of specific enzymatic
inhibitors against plant diseases that are less harmful to humans and the
environment.
PMID- 29799862
TI - Regulator of calcineurin 1 differentially regulates TLR-dependent MyD88 and TRIF
signaling pathways.
AB - Toll-like receptors (TLRs) recognize the conserved molecular patterns in
microorganisms and trigger myeloid differentiation primary response 88 (MyD88)
and/or TIR-domain-containing adapter-inducing interferon-beta (TRIF) pathways
that are critical for host defense against microbial infection. However, the
molecular mechanisms that govern TLR signaling remain incompletely understood.
Regulator of calcineurin-1 (RCAN1), a small evolutionarily conserved protein that
inhibits calcineurin phosphatase activity, suppresses inflammation during
Pseudomonas aeruginosa infection. Here, we define the roles for RCAN1 in P.
aeruginosa lipopolysaccharide (LPS)-activated TLR4 signaling. We compared the
effects of P. aeruginosa LPS challenge on bone marrow-derived macrophages from
both wild-type and RCAN1-deficient mice and found that RCAN1 deficiency increased
the MyD88-NF-kappaB-mediated cytokine production (IL-6, TNF and MIP-2), whereas
TRIF-interferon-stimulated response elements (ISRE)-mediated cytokine production
(IFNbeta, RANTES and IP-10) was suppressed. RCAN1 deficiency caused increased
IkappaBalpha phosphorylation and NF-kappaB activity in the MyD88-dependent
pathway, but impaired ISRE activation and reduced IRF7 expression in the TRIF
dependent pathway. Complementary studies of a mouse model of P. aeruginosa LPS
induced acute pneumonia confirmed that RCAN1-deficient mice displayed greatly
enhanced NF-kappaB activity and MyD88-NF-kappaB-mediated cytokine production,
which correlated with enhanced pulmonary infiltration of neutrophils. By
contrast, RCAN1 deficiency had little effect on the TRIF pathway in vivo. These
findings demonstrate a novel regulatory role of RCAN1 in TLR signaling, which
differentially regulates MyD88 and TRIF pathways.
PMID- 29799868
TI - Impact of body fat distribution on long-term clinical outcomes after drug-eluting
stent implantation.
AB - BACKGROUND AND OBJECTIVE: The distribution of body fat is closely related to
cardiovascular disease and outcomes, although its impact on patient prognosis
after percutaneous coronary intervention (PCI) with drug-eluting stent (DES) has
not been evaluated. We investigated the impact of truncal fat distribution on
long-term clinical outcomes after DES treatment. METHODS: In 441 DES-treated
patients, dual energy X-ray absorptiometry was performed to assess total and
regional body fat distribution after index PCI. The ratio of truncal fat to total
body fat mass (%FMtrunk/FMtotal) was calculated as a representative parameter for
truncal fat distribution. The primary endpoint was major adverse cardiac events
(MACE), a composite of ischemia-driven target vessel revascularization (TVR), non
procedural myocardial infarction, cardiac death at 5 years. RESULTS: During the
median follow-up duration of 1780 days, MACE occurred in 22.0% of patients, with
the highest-quartile group of %FMtrunk/FMtotal having a higher rate than the
lowest quartile group (27.8% vs. 15.3%; log rank p = 0.026). The difference was
driven by a higher rate of ischemia-driven TVR (25.9% vs. 9.9%; log rank p =
0.008). In multivariable Cox regression analyses, %FMtrunk/FMtotal was
independently associated with MACE (hazard ratio: 1.075; 95% CI: 1.022-1.131; p =
0.005), but body mass index (BMI) was not. CONCLUSIONS: In DES-treated patients,
truncal fat distribution is associated with unfavorable clinical outcomes and is
more clinically relevant than BMI.
PMID- 29799869
TI - The initial effectiveness of liposomal amphotericin B (AmBisome) and miltefosine
combination for treatment of visceral leishmaniasis in HIV co-infected patients
in Ethiopia: A retrospective cohort study.
AB - BACKGROUND: North-west Ethiopia faces the highest burden world-wide of visceral
leishmaniasis (VL) and HIV co-infection. VL-HIV co-infected patients have higher
(initial) parasitological failure and relapse rates than HIV-negative VL
patients. Whereas secondary prophylaxis reduces the relapse rate, parasitological
failure rates remain high with the available antileishmanial drugs, especially
when administered as monotherapy. We aimed to determine the initial effectiveness
(parasitologically-confirmed cure) of a combination of liposomal amphotericin B
(AmBisome) and miltefosine for treatment of VL in HIV co-infected patients.
METHODOLOGY/PRINCIPAL FINDINGS: We conducted a retrospective cohort study at a
Medecins Sans Frontieres-supported health center in north-west Ethiopia. We
included VL-HIV co-infected adults, treated for VL between January 2011 and
August 2014, with AmBisome infusion (30 mg/kg total dose) and miltefosine orally
for 28 days (100 mg/day). Proportions of initial treatment outcome categories
were calculated. Predictors of initial parasitological failure and of death were
determined using multivariable logistic regression. Of the 173 patients included,
170 (98.3%) were male and the median age was 32 years. The proportion of patients
with primary VL (48.0%) and relapse VL (52.0%) were similar. The majority had
advanced HIV disease (n = 111; 73.5%) and were on antiretroviral therapy prior to
VL diagnosis (n = 106; 64.2%). Initial cure rate was 83.8% (95% confidence
interval [CI], 77.6-88.6); death rate 12.7% (95% CI, 8.5-18.5) and
parasitological failure rate 3.5% (95% CI, 1.6-7.4). Tuberculosis co-infection at
VL diagnosis was predictive of parasitological failure (adjusted odds ratio
(aOR), 8.14; p = 0.02). Predictors of death were age >40 years (aOR, 5.10; p =
0.009), hemoglobin <=6.5 g/dL (aOR, 5.20; p = 0.002) and primary VL (aOR, 8.33; p
= 0.001). CONCLUSIONS/SIGNIFICANCE: Initial parasitological failure rates were
very low with AmBisome and miltefosine combination therapy. This regimen seems a
suitable treatment option. Knowledge of predictors of poor outcome may facilitate
better management. These findings remain to be confirmed in clinical trials.
PMID- 29799872
TI - Mapping the Americanization of English in space and time.
AB - As global political preeminence gradually shifted from the United Kingdom to the
United States, so did the capacity to culturally influence the rest of the world.
In this work, we analyze how the world-wide varieties of written English are
evolving. We study both the spatial and temporal variations of vocabulary and
spelling of English using a large corpus of geolocated tweets and the Google
Books datasets corresponding to books published in the US and the UK. The
advantage of our approach is that we can address both standard written language
(Google Books) and the more colloquial forms of microblogging messages (Twitter).
We find that American English is the dominant form of English outside the UK and
that its influence is felt even within the UK borders. Finally, we analyze how
this trend has evolved over time and the impact that some cultural events have
had in shaping it.
PMID- 29799871
TI - Cost-effectiveness analysis of chlorhexidine-alcohol versus povidone iodine
alcohol solution in the prevention of intravascular-catheter-related bloodstream
infections in France.
AB - OBJECTIVE: To perform a cost-effectiveness analysis of skin antiseptic solutions
(chlorhexidine-alcohol (CHG) versus povidone iodine-alcohol solution (PVI)) for
the prevention of intravascular-catheter-related bloodstream infections (CRBSI)
in intensive care unit (ICU) in France based on an open-label, multicentre,
randomised, controlled trial (CLEAN). DESIGN: A 100-day time semi-markovian model
was performed to be fitted to longitudinal individual patient data from CLEAN
database. This model includes eight health states and probabilistic sensitivity
analyses on cost and effectiveness were performed. Costs of intensive care unit
stay are based on a French multicentre study and the cost-effectiveness criterion
is the cost per patient with catheter-related bloodstream infection avoided.
PATIENTS: 2,349 patients (age>=18 years) were analyzed to compare the 1-time CHG
group (CHG-T1, 588 patients), the 4-time CHG group (CHG-T4, 580 patients), the 1
time PVI group (PVI-T1, 587 patients), and the 4-time PVI group (PVI-T4, 594
patients). INTERVENTION: 2% chlorhexidine-70% isopropyl alcohol (chlorhexidine
alcohol) compared to 5% povidone iodine-69% ethanol (povidone iodine-alcohol).
RESULTS: The mean cost per alive, discharged or dead patient was of ?23,798 (95%
confidence interval: ?20,584; ?34,331), ?21,822 (?18,635; ?29,701), ?24,874
(?21,011; ?31,678), and ?24,201 (?20,507; ?29,136) for CHG-T1, CHG-T4, PVI-T1,
and PVI-T4, respectively. The mean number of patients with CRBSI per 1000
patients was of 3.49 (0.42; 12.57), 6.82 (1.86; 17.38), 26.04 (14.64; 42.58), and
23.05 (12.32; 39.09) for CHG-T1, CHG-T4, PVI-T1, and PVI-T4, respectively. In
comparison to the 1-time PVI solution, the 1-time CHG solution avoids 22.55 CRBSI
/1,000 patients, and saves ?1,076 per patient. This saving is not statistically
significant at a 0.05 level because of the overlap of 95% confidence intervals
for mean costs per patient in each group. Conversely, the difference in
effectiveness between the CHG-T1 solution and the PVI-T1 solution is
statistically significant. CONCLUSIONS: The CHG-T1 solution is more effective at
the same cost than the PVI-T1 solution. CHG-T1, CHG-T4 and PVI-T4 solutions are
statistically comparable for cost and effectiveness. This study is based on the
data from the RCT from 11 French intensive care units registered with
www.clinicaltrials.gov (NCT01629550).
PMID- 29799870
TI - A panel of correlates predicts vaccine-induced protection of rats against
respiratory challenge with virulent Francisella tularensis.
AB - There are no defined correlates of protection for any intracellular pathogen,
including the bacterium Francisella tularensis, which causes tularemia.
Evaluating vaccine efficacy against sporadic diseases like tularemia using field
trials is problematic, and therefore alternative strategies to test vaccine
candidates like the Francisella Live Vaccine Strain (LVS), such as testing in
animals and applying correlate measurements, are needed. Recently, we described a
promising correlate strategy that predicted the degree of vaccine-induced
protection in mice given parenteral challenges, primarily when using an
attenuated Francisella strain. Here, we demonstrate that using peripheral blood
lymphocytes (PBLs) in this approach predicts LVS-mediated protection against
respiratory challenge of Fischer 344 rats with fully virulent F. tularensis, with
exceptional sensitivity and specificity. Rats were vaccinated with a panel of LVS
derived vaccines and subsequently given lethal respiratory challenges with Type A
F. tularensis. In parallel, PBLs from vaccinated rats were evaluated for their
functional ability to control intramacrophage Francisella growth in in vitro co
culture assays. PBLs recovered from co-cultures were also evaluated for relative
gene expression using a large panel of genes identified in murine studies. In
vitro control of LVS intramacrophage replication reflected the hierarchy of
protection. Further, despite variability between individuals, 22 genes were
significantly more up-regulated in PBLs from rats vaccinated with LVS compared to
those from rats vaccinated with the variant LVS-R or heat-killed LVS, which were
poorly protective. These genes included IFN-gamma, IL-21, NOS2, LTA, T-bet, IL
12rbeta2, and CCL5. Most importantly, combining quantifications of
intramacrophage growth control with 5-7 gene expression levels using multivariate
analyses discriminated protected from non-protected individuals with greater than
95% sensitivity and specificity. The results therefore support translation of
this approach to non-human primates and people to evaluate new vaccines against
Francisella and other intracellular pathogens.
PMID- 29799873
TI - Decreasing prevalence of Hepatitis B and absence of Hepatitis C Virus infection
in the Warao indigenous population of Venezuela.
AB - Prevalence and molecular epidemiology studies for hepatitis B (HBV) and C (HCV)
virus are scarce in Warao Amerindians from Venezuela, where an epidemic of human
immunodeficiency virus type 1 (HIV-1) has recently been documented. To carry out
a molecular epidemiology analysis of hepatitis B (HBV) and C (HCV) virus in Warao
individuals from the Delta Amacuro State of Venezuela. A total of 548 sera were
tested for serological and molecular markers for HBV and HCV. The prevalence of
active infection (presence of HBV surface antigen, HBsAg), exposure to HBV
(presence of Antibody to HBV core antigen, anti-HBc) and anti-HCV, was 1.8%, 13%
and 0% respectively. HBV exposure was significantly lower in men below 18 years
old and also lower than rates previously reported in other Amerindian communities
from Venezuela. Thirty one percent (31%, 25/80) of individuals without evidence
of HBV infection exhibited anti-HBs titer >= 10U.I / ml, being significantly more
frequent in individuals younger than 20 years. A higher HBV exposure was observed
among HIV-1 positive individuals (33% vs 11%, p <0.005). A high prevalence of
occult HBV infection was also observed (5.6%, 11/195). Phylogenetic analysis of S
gene and complete HBV genomes showed that F3 is the only circulating subgenotype,
different from the F2 subgenotype found in 1991 in this population. These results
suggest a recent introduction of subgenotype F3, with a low divergence among the
isolates. These results highlight the importance of molecular epidemiology
studies for viral control, and support the effectiveness of vaccination in
reducing transmission of HBV.
PMID- 29799875
TI - Rapid measures of user's adherence to vaginal drug products using attenuated
total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) and
multivariate discriminant techniques.
AB - BACKGROUND: The topical HIV prevention (microbicides) field is in acute need of a
method to rapidly and objectively measure adherence to product use in clinical
trials. Infrared (IR) spectroscopy has been used in many pharmaceutical and
forensic applications but has yet to be applied to adherence monitoring. In this
study, we report on efforts to test the feasibility of using IR spectroscopy as a
means to measure residual active or placebo vaginal product, semen exposure and
vaginal insertion from a single swab. METHODS: A portable IR spectrometer
equipped with diamond attenuated total reflectance (ATR) was used to capture
spectra of unused vs. vaginally-used swabs, vaginal swabs containing semen, and
vaginal swabs to which either tenofovir-containing or matching placebo products
(vaginal gel or insert) were added. Spectral data obtained from swabs placed
directly on the spectrometer were divided into calibration and testing sets for
developing and validating discriminant models set up to provide yes/no
predictions of: vaginal vs. non-vaginal use, presence vs. no presence of each
test product, and presence vs. no presence of semen. Further validation of models
was performed using vaginal swabs collected from a clinical study evaluating
vaginally administered placebo insert formulations. RESULTS: For each
discriminant model developed to predict vaginal vs. non-vaginal use, presence vs.
no presence of each test product, and presence vs. no presence of semen,
classified validation samples not included in the model development were
correctly identified into their respective classes with minimal prediction error.
Clinically obtained vaginal swabs collected 15-60 minutes after placebo insert
use were also correctly identified, further validating the models. CONCLUSION:
Our findings demonstrate the proof of concept that IR spectroscopy can be a
method for rapid detection and characterization of microbicide products and
biological fluids present in vaginal swabs. This novel method has potential to
support real-time, on-site adherence monitoring in clinical or field settings.
PMID- 29799878
TI - Correction: Effects of dry period length on production, cash flows and greenhouse
gas emissions of the dairy herd: A dynamic stochastic simulation model.
AB - [This corrects the article DOI: 10.1371/journal.pone.0187101.].
PMID- 29799876
TI - Uncoupling of sgRNAs from their associated barcodes during PCR amplification of
combinatorial CRISPR screens.
AB - Many implementations of pooled screens in mammalian cells rely on linking an
element of interest to a barcode, with the latter subsequently quantitated by
next generation sequencing. However, substantial uncoupling between these paired
elements during lentiviral production has been reported, especially as the
distance between elements increases. We detail that PCR amplification is another
major source of uncoupling, and becomes more pronounced with increased amounts of
DNA template molecules and PCR cycles. To lessen uncoupling in systems that use
paired elements for detection, we recommend minimizing the distance between
elements, using low and equal template DNA inputs for plasmid and genomic DNA
during PCR, and minimizing the number of PCR cycles. We also present a vector
design for conducting combinatorial CRISPR screens that enables accurate barcode
based detection with a single short sequencing read and minimal uncoupling.
PMID- 29799877
TI - Aortic carboxypeptidase-like protein enhances adipose tissue stromal progenitor
differentiation into myofibroblasts and is upregulated in fibrotic white adipose
tissue.
AB - White adipose tissue expands through both adipocyte hypertrophy and hyperplasia
and it is hypothesized that fibrosis or excess accumulation of extracellular
matrix within adipose tissue may limit tissue expansion contributing to metabolic
dysfunction. The pathways that control adipose tissue remodeling are only
partially understood, however it is likely that adipose tissue stromal and
perivascular progenitors participate in fibrotic remodeling and also serve as
adipocyte progenitors. The goal of this study was to investigate the role of the
secreted extracellular matrix protein aortic carboxypeptidase-like protein (ACLP)
on adipose progenitor differentiation in the context of adipose tissue fibrosis.
Treatment of 10T1/2 mouse cells with recombinant ACLP suppressed adipogenesis and
enhanced myofibroblast differentiation, which was dependent on transforming
growth factor-beta receptor kinase activity. Mice fed a chronic high fat diet
exhibited white adipose tissue fibrosis with elevated ACLP expression and
cellular fractionation of these depots revealed that ACLP was co-expressed with
collagens primarily in the inflammatory cell depleted stromal-vascular fraction
(SVF). SVF cells isolated from mice fed a high fat diet secreted increased
amounts of ACLP compared to low fat diet control SVF. These cells also exhibited
reduced adipogenic differentiation capacity in vitro. Importantly,
differentiation studies in primary human adipose stromal cells revealed that
mature adipocytes do not express ACLP and exogenous ACLP administration blunted
their differentiation potential while upregulating myofibroblastic markers.
Collectively, these studies identify ACLP as a stromal derived mediator of
adipose progenitor differentiation that may limit adipocyte expansion during
white adipose tissue fibrosis.
PMID- 29799874
TI - Complement-activating donor-specific anti-HLA antibodies and solid organ
transplant survival: A systematic review and meta-analysis.
AB - BACKGROUND: Anti-human leukocyte antigen donor-specific antibodies (anti-HLA
DSAs) are recognized as a major barrier to patients' access to organ
transplantation and the major cause of graft failure. The capacity of circulating
anti-HLA DSAs to activate complement has been suggested as a potential biomarker
for optimizing graft allocation and improving the rate of successful
transplantations. METHODS AND FINDINGS: To address the clinical relevance of
complement-activating anti-HLA DSAs across all solid organ transplant patients,
we performed a meta-analysis of their association with transplant outcome through
a systematic review, from inception to January 31, 2018. The primary outcome was
allograft loss, and the secondary outcome was allograft rejection. A
comprehensive search strategy was conducted through several databases (Medline,
Embase, Cochrane, and Scopus). A total of 5,861 eligible citations were
identified. A total of 37 studies were included in the meta-analysis. Studies
reported on 7,936 patients, including kidney (n = 5,991), liver (n = 1,459),
heart (n = 370), and lung recipients (n = 116). Solid organ transplant recipients
with circulating complement-activating anti-HLA DSAs experienced an increased
risk of allograft loss (pooled HR 3.09; 95% CI 2.55-3.74, P = 0.001; I2 = 29.3%),
and allograft rejection (pooled HR 3.75; 95% CI: 2.05-6.87, P = 0.001; I2 =
69.8%) compared to patients without complement-activating anti-HLA DSAs. The
association between circulating complement-activating anti-HLA DSAs and allograft
failure was consistent across all subgroups and sensitivity analyses. Limitations
of the study are the observational and retrospective design of almost all
included studies, the higher proportion of kidney recipients compared to other
solid organ transplant recipients, and the inclusion of fewer studies
investigating allograft rejection. CONCLUSIONS: In this study, we found that
circulating complement-activating anti-HLA DSAs had a significant deleterious
impact on solid organ transplant survival and risk of rejection. The detection of
complement-activating anti-HLA DSAs may add value at an individual patient level
for noninvasive biomarker-guided risk stratification. TRIAL REGISTRATION:
National Clinical Trial protocol ID: NCT03438058.
PMID- 29799879
TI - Qualitative study of patients' decision-making when accepting second-line
treatment after failure of first-line chemotherapy.
AB - OBJECTIVE: Treatment failures in advanced lung cancer are frequent events
affecting patients during or after first-line chemotherapy. International
guidelines recommend second-line chemotherapy. However, around one half of
patients who experience disease progression enter a systemic second-line therapy.
In the herein qualitative study, we investigated patients' thoughts and attitudes
determining the decision to undergo a second-line chemotherapy. METHODS: Thirty
three purposively selected patients who recently accepted second-line or
palliative chemotherapy were invited to participate in this survey consisting of
semi-structured in-depth interviews. Grounded theory was applied to investigate
participants' perceptions of the context that have surrounded their decision to
undergo palliative chemotherapy. RESULTS: For most patients, tumor burden and
reduced quality of life in relation with lung cancer itself were major drivers of
the decision-making process. There was a balance between two different attitudes:
making a decision to undergo a new line of chemotherapy or starting a
psychological process in order to accept end of life. Choosing between these two
attitudes allowed the patient to keep the matter of palliative care at a
distance. Even in case of low chance of success, many patients who worried about
their life partner's future would accept a new chemotherapy line. Some patients
experienced ambivalent thoughts regarding social network, particularly about
their family as daily function impairment required an increased need for
relative's support. The initial "Worrying about others" thoughts left place to in
an increasing self-need of care as those provided by relatives; this phenomenon
might increase patients' self- perception of being a burden for others.
Confidence previously established with formal caregiver support was another major
decision driver: some patients with sustained confidence in their medical staff
may have privileged this formal support rather than family support when the
latter was perceived as weak, insufficient or intrusive. CONCLUSION: This study
identified three domains involved into a complex interplay for lung cancer
patients' decision regarding second-line palliative chemotherapy: (i) perception
of the definitive loss of health, (ii) interactions between idiosyncrasy (hope,
disease burden) and environment (healthcare and social network support), and
(iii) patient's subjective evaluation of chemotherapy benefit-risk.
PMID- 29799881
TI - [Diagnostics in medicine in the "omics" era].
PMID- 29799880
TI - Characterization of peanut phytochromes and their possible regulating roles in
early peanut pod development.
AB - Arachis hypogaea L. geocarpy is a unique feature different from other legume
plants. Flowering and fertilization occur above ground, while the following
processes of pod formation and development proceed in the soil. The zygote
divides only few times to develop into pre-embryo and then further embryo
developmental process stops when the gynoecium is exposed to light condition or
normal day/night period. In this study, eight phytochrome genes were identified
in two wild peanuts (four in Arachis duranensis and four in Arachis ipaensis).
Using RACE and homologous cloning, the full CDS of AhphyA, AhphyA-like, AhphyB
and AhphyE were acquired in cultivated peanut. Protein structure analysis showed
that the conservative coding domains of phytochromes from a number of other plant
species were found in these proteins. The C-terminal of AhphyA, AhphyA-like and
AhphyB could interact with phytochrome-interacting factor 3 in vitro. The
expression patterns of these genes in various tissues were analyzed by qRT-PCR,
and significant differences were observed. Interestingly, the expression levels
of AhphyA-like changed significantly during gynophore growth and early pod
development. Furthermore, protein accumulation patterns of AhphyA and AhphyB in
gynophore were different during early pod development stages in that AhphyA and
AhphyB proteins were not detected in S1 and S2 gynophores, while significant
accumulation of AhphyA and AhphyB were detected in S3 gynophore. These results
provided evidence that phytochromes mediated light signal transduction may play
key roles in peanut geocarpy development.
PMID- 29799882
TI - [Parents separation or divorce. Potential effects on children and recommendations
to parents and pediatricians].
AB - When divorce or separation of a couple occurs, children will no longer live with
both parents at the same time. There may be multiple causes for divorce, and both
literature and our own experien ce as child mental health providers, report some
short- and long-term consequences for children, especially where the divorce has
been conflictive. In these cases, increased risk of developing be havioral
disorders, poor school performance, and substance abuse has been documented as
well as consequences in adult life with higher risk of psychiatric pathologies or
difficulties in interpersonal relationships, if an intervention that addresses
some potentially traumatic situations for children is not done. Pediatricians are
in a privileged relationship with children and their families in order to detect
signs of parental discord and altered mental health in children. Children
behaviors as a result of divorce and parental conflict will depend on the age of
the child and stage of development, and it is important to recognize them in
order to intervene properly. This article proposes some guidelines for parents.
Good management of high conflict situations related to divorce may prevent some
of the consequences that these can have on children.
PMID- 29799883
TI - [Breastfeeding, obesity and metabolic syndrome at school age].
AB - INTRODUCTION: Breastfeeding (BF) can be a protective factor against obesity and
its associated metabolic complications. OBJECTIVE: To determine the association
between breastfeeding history and present obesity, metabolic syndrome (MS) and
insulin resistance (IR). PATIENTS AND METHODS: Cross-sectio nal study in 20
public schools in Santiago, Chile. Anthropometry and blood pressure were
assessed. Blood lipids, glucose, insulin and HOMA index were measured in a fast
blood sample. Parents answe red a survey on BF. MS was defined according to
Cook's criteria and IR as HOMA > 90th percentile. Parents answered a survey about
the antecedent of breastfeeding. Chi2 and Fischer tests were used (SSPS).
RESULTS: 3,278 surveys were valid. Average age: 11.4 +/- 1 years, 52.3% were
female. Most of them (98.2%) were breasted, with a 15.9% prevalence of obesity
versus 18.6% in the group that was not breastfed (p = 0.039). There was a non
significant trend of higher prevalence in MS and its components (except IR) in
the non-breastfed group. The group breastfed from three to six months had a lower
prevalence of obesity and MS components than the 0 to 3 months group ; the effect
was the opposite when BF lsted longer than nine months. CONCLUSIONS: The
prevalence of obesity was higher in children that did not received breastfeeding.
A longer breastfeeding time during the first semester of life was associated with
lower prevalence of obesity and metabolic complications.
PMID- 29799884
TI - [Parental stressors in a Pediatric Intensive Care Unit].
AB - INTRODUCTION: The hospitalization of a child is a situation that produces a high
level of stress on parents, especially at the Pediatric Intensive Care Unit
(PICU). OBJECTIVE: To determine which are the main stressors perceived by the
parents of children hospitalized at PICU and the associated variables. PATIENTS
AND METHOD: A quantitative, cross-sectional and correlational study, which
considers the secondary analysis of data from the IDA project # 201403 of UC
School of Nursing: Validation of "The parental stressor scale infant
hospitalization in Spanish" (PSSIH modified). Sampling was non probabilistic by
convenience, with 217 parents of children at the PICU of a University hospital,
who answered a demographic questionnaire and the modified PSSIH instrument to
measure stressors in the PICU environment. RESULTS: Three dimensions of stressors
were identified: Clinical, Emotional and Communication with the professional
team. The clinical dimension was the most stressful, with the factors images or
sounds, procedures and interventions and the aspect of the child, dimensions
related to behavior and communication with the team were less stressful. In
addition, it is associated with a greater perception of stress in the different
dimensions, when having: previous experiences in PICU, programmed admission,
admission due tocardiac pathology, single child, higher educational level and no
partner. CONCLUSIONS: The modified PSSIH instrument made it possible to determine
the main stressors perceived by the parents of children in the PICU, the Clinical
dimension being the major stressor. These results are useful for developing local
intervention programs according to the particular characteristics of the PICU.
PMID- 29799885
TI - [Trend of exclusive breastfeeding in Chile before and after the parental
postnatal law].
AB - INTRODUCTION: To describe the trend of exclusive breastfeeding (EBF) until sixth
month of life in Chile before and after the implementation of the parental
postnatal law in children treated in the public health system. MATERIAL AND
METHOD: An observational and population-based study, using the EBF data up to the
sixth month obtained from monthly statistical records (MSR), available at the
Department of Information and Statistics on Health of children treated in the
public health system. Prevalence and weighted prevalence were determined by
region and country. Cumulative percentage variation (PV) and annual percentage
variation (APV) of prevalence were analyzed using a linear regression model in
the period before (2008-2011) and after (2011-2013) of the implementation of the
law. RESULTS: The highest prevalence of EBF was observed in 2008 (49.1%) and 2009
(45.1%) and the lowest in 2011 (41.1%). The Antofagasta (33.6%) and Atacama
Region (31.6%) had the lowest weighted prevalence of the period. A negative APV
was observed in the period 2008-2011 and a positive APV in the period 2011-2013
in all regions and in the country, except for the B. O'Higgins Region.
CONCLUSIONS: The enactment of the law that increases EBF until 24 weeks of life
reverses the decreasing tendency previosly observed until the sixth month. This
could encourage other governments to invest resources and efforts in early
childhood by providing equity and health.
PMID- 29799886
TI - [Health related quality of life in pediatric patients with inflammatory bowel
disease].
AB - INTRODUCTION: Inflammatory bowel disease (IBD) is a group of chronic pathologies
that have impli cations in several factors that affect the quality of life in
pediatric patients. OBJECTIVES: To describe the health related quality of life
(HRQL) in pediatric patients with IBD. PATIENTS AND METHODS: A Multicenter cross
sectional descriptive study was conducted. Patients aged between 8-18 and with
IBD diagnosis were included; IMPACT III quality of life questionnaire and a
sociodemographic questionnaire were applied between September 2015 and January
2017. RESULTS: A total of 30 pa tients were recruited, 60% male, with an average
age of 13.6 years. 80% of patients were diagnosed with Ulcerative Colitis (UC).
The predominant pharmacological treatment was combined therapy in 63.3%, being
the most frequent aminosalicylates and immunomodulator. The IMPACT III quality of
life score had an average of 129,5 points and when analyzing by section, there
was no statistically significant difference between the averages. In our sample,
there was no correlation between HRQoL and the number of flares, disease duration
gender. CONCLUSIONS: This is a first approach to the study of HRQoL in pediatric
patients in Chile. Since HRQoL is an important aspect to be explored in the
routine evaluation of IBD patients, the identification of a lower HRQoL is the
first step to perform interventions in these patients, thus we recommend
performing this measurement as a screening method in all of them.
PMID- 29799887
TI - [Thyroid hormone Levels in very preterm neonates and extremely preterm].
AB - INTRODUCTION: The thyroid function of the pretern infant is altered by the
relative immaturity of the hypothalamus-pituitary thyroid gland axis, along with
other factors such as the incidence of diseases or the use of some drugs.
Currently, there is controversy over normal levels of free thyroxine (FT4) in
preterm infants. Our objective was to determine the distribution of FT4 and TSH
values in newborn younger than 32 weeks or 1500 g of birth weight at 15 days of
chronological age, in the neonatology service at Dr. Hernan Henriquez Aravena
Hospital, Temuco. PATIENTS AND METHOD: Cross-sectional study; the results of FT4
and TSH from a database of 308 newborns, were analyzed, which were categorized
into three gestational age ranges, 31-34, 28-30 and 23-27 weeks. It was used
Pearson Chi-square for comparisons between categorical variables, and T-Test or
ANOVA for categorical-variable ratios. RESULTS: Significant differences were
observed between the average values of FT4 by gestatio nal age ranges (p =
0.000), these were 1.13 ng/dl for the range of 31 to 34 weeks, 1.03 ng/dl for the
range of 28 to 30 weeks and 0.92 ng/dl for the range of 23 to 27 weeks; we did
not observe significant differences in TSH levels by gestational age categories
(p = 0.663). CONCLUSIONS: We established the distribution of FT4 and TSH levels
in our population of very preterm and extremely preterm infants, finding
differences with previous papers.
PMID- 29799888
TI - [Suicide risk associated with bullying and depression in high school].
AB - INTRODUCTION: Suicide risk is increasingly common among children and adolescents.
There are no current and local studies of this reality in northern Peru. The
objective was to determine whether suici de risk was associated with bullying and
depression in high school students. PATIENTS AND METHOD: An analytical cross
sectional study was carried out in a city in northern Peru, using validated
surveys to measure suicide risk, bullying and depression. Statical association
data were obtained using generali zed linear models. RESULTS: Out of 289
schoolchildren, 20% was at suicide risk and had some type of depression. Among
those on the upper tertile of the bullying scale, 38% had suicidal ideation,
while those who were depressed, 63% had suicidal ideation. The frequency of
suicide risk increased as a result of bullying score (aPR: 1.83, 95% CI: 1.13
2.99, p value = 0.015), being depressed (aPR: 3.32, 95% CI: 1.69-6.51, p value <
0.001), family history of suicide (aPR: 1.99, 95% CI: 1.55-2.56, p va lue <
0.001), the passive desire to die (aPR: 2.20, 95% CI: 1.86-2.61, p value <
0.001), have planned suicide at some point (aPR: 2.05, 95% CI: 1.60-2.64; p value
< 0.001) and study in a private school (aPR: 1.16, 95% CI: 1.14-1.18, p value <
0.001); adjusted by gender and school location. Conclu sion: Mental health of
schoolchildren was involved in many aspects, several of them associated with a
higher frequency of suicide risk.
PMID- 29799889
TI - [Perception of following gluten-free diet and adherence to treatment in pediatric
patients with celiac disease].
AB - INTRODUCTION: Celiac disease (CD) is a chronic immune-mediated enteropathy
present in ~1% of population. Gluten-free diet (GFD) is the only treatment for
this condition and the main limitation of its efficacy is the lack of adherence.
OBJECTIVE: To assess factors influencing adherence to GFD in pediatric patients
and measure the concordance between serological results and a nutritional adhe
rence questionnaire. PATIENTS AND METHODS: celiac patients younger than 18 years
of age, diagnosed CD following ESPGHAN criteria, on GFD for at least 6 months and
consulting at Hospital Roberto del Rio, Santiago, in 2008-2016, were assessed.
Clinical presentation, nutritional evaluation and fac tors related to adherence
to treatment (diet) were registered. A subsample answered Biaggi's nutri tional
questionnaire. RESULTS: Of 65 evaluated patients, 44% and 30,1% adhered to GFD
according to blood autoantibodies (TTG and EMA) and the adherence questionnaire,
respectively. "Age at debut" (p = 0.049), "perception of following GFD correctly"
(p = 0.002) and "behavior in social events" (p = 0.005) were significantly
associated with adherence to GFD. There was concordance between serological test
and Biagi's questionnaire (p = 0.0001). DISCUSSION: Adherence to GFD was lower
than reported in literature. Intervention of some of the identified variables
associated with adherence may help improving follow-up of celiac patients,
especially those that due to diverse situations cannot measure their antibodies
periodically.
PMID- 29799890
TI - [Antenatal ultrasound diagnosis and neonatal results of the congenital cystic
adenomatoid malformation of the lung].
AB - INTRODUCTION: Congenital cystic adenomatoid malformation (CCAM) is a rare
congenital lung di sease, and in the most of cases, prenatal diagnosis is
feasible. There are discrepancies regarding pre natal management and postpartum
treatment. OBJECTIVE: To analyze prenatally diagnosed CCAM in our hospitals, in
order to evaluate ultrasound findings with fetal and postnatal evolution.
PATIENTS AND METHOD: Retrospective study of all cases diagnosed prenatally by
ultrasound between 2005 and 2016 in two reference hospitals. The ultrasounds were
performed using high-resolution ultrasound scanners, Toshiba Xario and Voluson
730 Expert Pro, with follow-up from diagnosis to delivery. The variables analyzed
included gestational age at diagnosis, the characteristics of the lung lesion,
associated malformations, cytogenetic study, the evolution of pregnancy, type of
delivery, presence of respiratory distress, need for complementary imaging tests,
pediatric clinical course, and necessary postnatal treatments. It was considered
a resolution the total disappearance of the lesion in the pre natal ultrasound or
that the postnatal chest X-ray showed no lesion. RESULTS: 17 cases were
prenatally diagnosed. The evolution ranges from the prenatal resolution of the
lesion to the persistence after bir th. Three patients voluntarily decided to
have an abortion due to ultrasound findings of poor progno sis. Of the fourteen
remaining cases there were no cases of fetal or neonatal deaths, one case
required surgery after birth and four patients had mild symptoms during the first
year of life. One case of false negative with neonatal death has been reported
which necropsy reported as CCAM type 0. Conclu sions: This pulmonary malformation
presents good prognosis, excluding cases with fetal hydrops. Two-dimensional
ultrasound is usually enough for diagnosis and follow-up. Computed tomography is
the technique of choice to confirm the resolution of lesions after birth.
Surgical treatment is pre ferable over conservative management, although it is
unknown if the potential complications of this disease, even when asymptomatic,
justify surgical morbidity.
PMID- 29799891
TI - [Pleuro-pulmonary blastoma presenting as a chest wall deformity: a case report].
AB - INTRODUCTION: Pleuropulmonary blastema (PPB) is the most common primary
malignancy of the lungs in childhood. It occurs more frequently in children
between one and four years of age, and respiratory symptoms are a common
manifestation. Three types have been defined (type I, II and III), which are
related to survival and prognosis. OBJECTIVE: To report the first case of a
patient with a PPB who presented with a chest wall deformity. CASE REPORT: One
year old male patient who had a chest wall deformity at ten months of age.
Imaging revealed a giant cyst in the right hemithorax. He did not develop
respiratory symptoms until hospital admission. A right upper lobectomy was perfor
med and the biopsy confirmed a type I pleuropulmonary blastoma. He was considered
successfully treated with complete surgical excision and routine follow-up with
thoracic imaging is conducted. CONCLUSIONS: PPB is a very rare cancer that needs
to be considered in the differential diagnosis of cystic lung diseases in
children. The recognition of this lesion as a malignant tumour rather than a
developmental cystic malformation is vital so the child can receive complete
excision and appropriate follow-up care.
PMID- 29799892
TI - ["Seronegative" catastrophic antiphospholipid syndrome in pediatrics: Clinical
case].
AB - INTRODUCTION: The antiphospholipid syndrome is an acquired autoimmune
thrombophilia, characterized by arterial and/or venous thrombosis. Rarely, this
condition can have a catastrophic presenta tion, with high mortality, and
presence of microangiopathy and involvement of three or more organs. OBJECTIVE:
To describe the clinical presentation and evolution of a pediatric patient with
catastrophic antiphospholipid syndrome, with a seronegative onset form, whose
response to aggressive therapy was favorable. CLINICAL CASE: Adolescent female,
with a one-week history of pain, increased abdo minal volume and edema in the
lower extremities. Generalized lupus erythematosus was diagnosed and the
neoplastic process was ruled out. During its evolution, she presented various
thrombotic events, initially with the presence of negative antiphospholipid
antibodies, which were subsequently positive. The patient presented multisystemic
failure secondary to multiorgan thrombosis, required hemodynamic and ventilatory
support. It was managed with low molecular weight heparin, plas mapheresis,
anticoagulation, immunosuppression and boluses of rituximab with excellent
response. CONCLUSIONS: We consider this case interesting because it is an
infrequent diagnosis in the pediatric age and whose suspicion, timely and
aggressive intensive management, can change the poor progno sis and high
mortality of these patients.
PMID- 29799893
TI - [Invasive pulmonary aspergillosis in children with hepatic transplant: a
survivor].
AB - INTRODUCTION: Mycotic infections due to Aspergillus spp, are the main mycotic
associated infections in liver transplant patients, with mortality rates up to
90% of the cases. Almost 50% of patients will de velop an infection during the
first months after transplantation, of which 10% are associated with op
portunistic agents. OBJECTIVE: To describe the diagnosis and management of an
Invasive Pulmonary Aspergillosis (IPA) episode in a liver transplant patient.
CASE REPORT: 11-months-old patient with liver transplant due to a biliary atresia
who developed severe pneumonia associated with mechanical ventilation. The
bronchoalveolar lavage showed high levels of galactomannan and positive culture
for Aspergillus fumigatus leading to an IPA diagnosis. This episode was treated
with antifungal with a favorable outcome. CONCLUSION: The IPA is an opportunistic
infection in liver transplant patients, with high mortality rates, that must be
suspected in this group of patients since an early diagnosis and treatment reduce
mortality.
PMID- 29799894
TI - [Extranodal Natural Killer/T-Cell Lymphoma, nasal-type with primary cutaneous
involvement. A case report].
AB - INTRODUCTION: Extranodal natural killer/T-cell lymphoma (NK/T), nasal type, is an
infrequent neoplasm with a high lethality, characterized by bone destruction
around the sinus, nasal septum or obstruction of the airway. Also, may be primary
skin involvement, airway and other organs. Objecti ve: Submit a rare condition in
the pediatric population, in order to facilitate the diagnostic suspicion and
quick recognition from specialists. CASE REPORT: a 14-year-old girl, who
presented arm and leg lesions, painless, suggestive of subcutaneous panniculitis,
which evolve to ulcerated purple maculae. Skin biopsy showed lesion compatible
with NK/T lymphoma, nasal type. She was referred to pedia tric oncology, where
she received chemotherapy treatment. Despite medical efforts, the patient died
eight months after due to a serious pulmonary infection secondary to
immunosuppression. Conclu sions: Extranodal NK/T-cell lymphoma, nasal type, is a
rare neoplasm that behaves aggressively, with high mortality without treatment,
therefore, its recognition has a high importance for early diagnosis and prompt
referral to Hematology-Oncology.
PMID- 29799895
TI - [Lumbar hypoplasia associated to thoracolumbar kyphosis in infants. Anatomic
variant? Clinical cases].
AB - INTRODUCTION: Vertebral hypoplasia is an anomaly of the vertebral bodies, in
which they present a wedge shape, usually at the level of the thoracolumbar
junction. Although it is associated with cer tain storage diseases and bone
dysplasias, it is also possible to find isolated vertebral hypoplasia it in
healthy infants or associated with thoracolumbar kyphosis. The objective of this
report is to show the evolution of vertebral hypoplasia associated to kyphosis in
two apparently health children. CASE REPORT: Two cases of infants diagnosed with
clinically visible lumbar kyphosis when they were sitting. Spine X-rays of both
showed lumbar vertebral hypoplasia at L2 level as the only finding. After ruling
out other conditions associated with vertebral hypoplasia, conservative
management was indicated; in the first case a clinical-radiological follow-up and
in the second one, a corset given the magnitude of kyphosis. The evolution was
favorable, with complete radiological clinical resolution at the age of 15 months
in the first case and clinical regression in the second, in which, at 3 years and
4 months of age, an image of mild vertebral hypoplasia persisted. CONCLUSIONS:
Isolated vertebral hypoplasia or associated to kyphosis may be considered a minor
anomaly or anatomic variant of infant spine development, however, it requires
follow-up until its normalization.
PMID- 29799896
TI - [Bleomycin-induced Flagellated dermatitis: A case report].
AB - INTRODUCTION: Flagellated dermatitis is an infrequent pathology, with
characteristic skin lesions, which is developed due to the use of bleomycin.
Clinically it occurs as erythematous or hyperpigmented maculae of linear
disposition with flagellar pattern, in trunk and/or upper extremities. It
presents self-limited evolution, therefore, its treatment varies from expectant
management to the use of topical or oral corticosteroids. OBJECTIVE: Presentation
of a clinical case of flagellated dermatitis secondary to bleomycin in a
pediatric patient with history of central nervous system neoplasia. CLINICAL
CASE: 8 years, schoolchild, female, with a history of primary intracranial mixed
germ cell tumor (sellar and suprasellar) and secondary panhypopituitarism. She
receives chemotherapeutic treatment according to the PEB protocol, with use of IV
bleomycin during three days. After two days, intermittent pruritus begins,
associated with erythematous and pigmented maculae of linear distribution,
followed by a flagellated pattern, with isolated signs of excoriation, in the
abdominal region and upper back. Topical treatment with mild potency
corticosteroids is indicated for ten days, with a satisfactory clinical response.
CONCLUSIONS: There should be a high diagnostic suspi cion in pediatric patients
with a history of prior administration of the drug and the appearance of
characteristic skin lesions, which will allow adequate behavior regarding its
management and the continuity of chemotherapy.
PMID- 29799897
TI - [Advances in the knowledge about human milk proteins].
AB - The mammary gland and maternal milk are the product of millions of years of
evolution that resul ted in an optimal composition that sustains the growth and
development of newborns and infants. Maternal milk supports the growth,
adaptation and survival of this immature organism. Recent studies have detected
1606 different proteins in human milk, most of them synthesized in the acini of
the glandular tissue while others originate from distant organs such as the
lymphoid tissue and the digestive tract. Maternal milk enzymes modify its
proteins and liberate peptides with antimicrobial, antihypertensive or
stimulatory activities. This proteolytic activity occurs at specific sites in
peptide chains. To prevent the extemporaneous activation of these proteolytic
enzymes, that would result in inflammatory processes, maternal milk also contains
inhibitory peptides that together with the stimulatory peptides conform a complex
regulatory system. Some enzymes in maternal milk main tain their activity in the
gastrointestinal tract of infants and compensate for the decreased activity of
digestive tract enzymes in newborns. Thus, the milk enterokynase stimulates the
release of pancreatic proteases as it induces the liberation of
cholecystokynin/pancreozymin. The bile salt-activated lipase of human milk is
activated in the duodenum by the infants' bile salts and partially compensates
for the low levels of pancreatic lipase in newborns. These milk enzymes probably
contribute to the nutrition of premature infants as they increase the
availability of amino acids and peptides in their upper gastrointestinal tract;
furthermore, as their intestinal epithelium is more permeable to peptides and
partially digested protein this may help induce immune tolerance. The most
relevant issues in the physiology and composition of the maternal milk are
presented in this review.
PMID- 29799898
TI - [Origin of commonly used eponyms in the Intensive Care Unit daily job].
AB - Eponyms reflect the history of medicine, diseases, and doctors in their time.
Their use is controversial, presenting supporters and detractors. However, the
use of eponyms persist in the modern medical language in the Intensive Care Units
and includes some frequently used ones such as Foley, Seldinger, Down, Macintosh,
Magill, Ringer, Yankauer, Doppler, and French. The objective of this review is to
promote biographical knowledge and the historical period in which its medical use
took place, in order to deepen aspects of medicine history.
PMID- 29799899
TI - [Haiti, new immigrant community in Chile].
AB - Migration is a growing phenomenon in Latin America influenced by several factors
such as economic stability, employment, social welfare, education and health
system. Currently Chile has a positive migration flow rate. Particularly, a
significant number of Haitian immigrants has been observed du ring the last
years, especially after earthquake of 2010. These immigrants present a different
cultural background expressed in relevant aspects of living including parenting
and healthcare. Knowing the Haitian culture and its health situation is relevant
for a better understanding of their health needs. Haitian people come to Chile
looking for a cordial reception and willing to find a place with better
perspectives of wellbeing in every sense. Immigration represents a major
challenge for Chilean health system that must be embraced. Integration efforts in
jobs, health, education system and community living should be enhanced to ensure
a prosper settlement in our country. A new immigration law is crucial to solving
major problems derived from current law created in 1975.
PMID- 29799901
TI - [About the burnout prevalence in health professionals].
PMID- 29799902
TI - Untangling the Factors Contributing to Functional Outcome in Schizophrenia.
PMID- 29799900
TI - [About transgender children and adolescents].
PMID- 29799903
TI - Clinical Implications of Slower Cognitive Growth in the Psychosis Spectrum-Reply.
PMID- 29799905
TI - Stability of the Brain Functional Connectome Fingerprint in Individuals With
Schizophrenia.
PMID- 29799904
TI - Risk of Being Subjected to Crime, Including Violent Crime, After Onset of Mental
Illness: A Danish National Registry Study Using Police Data.
AB - Importance: People with mental illness are more likely to have contact with the
criminal justice system, but research to date has focused on risk of offense
perpetration, while less is known about risk of being subjected to crime and
violence. Objectives: To establish the incidence of being subjected to all types
of criminal offenses, and by violent crimes separately, after onset of mental
illness across the full diagnostic spectrum compared with those in the population
without mental illness. Design, Setting, and Participants: This investigation was
a longitudinal national cohort study using register data in Denmark. Participants
were a cohort of more than 2 million persons born between 1965 and 1998 and
followed up from 2001 or from their 15th birthday until December 31, 2013.
Analysis was undertaken from November 2016 until February 2018. Exposures: Cohort
members were followed up for onset of mental illness, recorded as first contact
with outpatient or inpatient mental health services. Diagnoses across the full
spectrum of psychiatric diagnoses were considered separately for men and women.
Main Outcomes and Measures: Incidence rate ratios (IRRs) were estimated for first
subjection to crime event (any crime and violent crime) reported to police after
onset of mental illness. The IRRs were adjusted for cohort member's own criminal
offending, in addition to several sociodemographic factors. Results: In a total
cohort of 2 058 063 (48.7% male; 51.3% female), the adjusted IRRs for being
subjected to crime associated with any mental disorder were 1.49 (95% CI, 1.46
1.51) for men and 1.64 (95% CI, 1.61-1.66) for women. The IRRs were higher for
being subjected to violent crime at 1.76 (95% CI, 1.72-1.80) for men and 2.72
(95% CI, 2.65-2.79) for women. The strongest associations were for persons
diagnosed as having substance use disorders and personality disorders, but
significant risk elevations were found across almost all diagnostic groups
examined. Conclusions and Relevance: Onset of mental illness is associated with
increased risk of exposure to crime, and violent crime in particular. Elevated
risk is not confined to specific diagnostic groups. Women with mental illness are
especially vulnerable to being subjected to crime. Individual's own offending
accounts for some but not all of the increased vulnerability to being subjected
to crime.
PMID- 29799907
TI - Association Between Groundwater Lithium and the Diagnosis of Bipolar Disorder and
Dementia in the United States.
PMID- 29799906
TI - Chemotherapy-Induced Peripheral Neuropathy in Long-term Survivors of Childhood
Cancer: Clinical, Neurophysiological, Functional, and Patient-Reported Outcomes.
AB - Importance: In light of the excellent long-term survival of childhood cancer
patients, it is imperative to screen for factors affecting health, function, and
quality of life in long-term survivors. Objective: To comprehensively assess
chemotherapy-induced peripheral neuropathy in childhood cancer survivors to
define disease burden and functional effect and to inform screening
recommendations. Design, Setting, and Participants: In this cross-sectional
observational study, cancer survivors who were treated with chemotherapy for
extracranial malignancy before age 17 years were recruited consecutively between
April 2015 and December 2016 from a single tertiary hospital-based comprehensive
cancer survivorship clinic and compared with healthy age-matched controls.
Investigators were blinded to the type of chemotherapy. A total of 169 patients
met inclusion criteria, of whom 48 (28.4%) were unable to be contacted or
declined participation. Exposures: Chemotherapy agents known to be toxic to
peripheral nerves. Main Outcomes and Measures: The clinical peripheral
neurological assessment using the Total Neuropathy Score was compared between
recipients of different neurotoxic chemotherapy agents and control participants
and was correlated with neurophysiological, functional, and patient-reported
outcome measures. Results: Of the 121 childhood cancer survivors included in this
study, 65 (53.7%) were male, and the cohort underwent neurotoxicity assessments
at a median (range) age of 16 (7-47) years, a median (range) 8.5 (1.5-29) years
after treatment completion. Vinca alkaloids and platinum compounds were the main
neurotoxic agents. Clinical abnormalities consistent with peripheral neuropathy
were common, seen in 53 of 100 participants (53.0%) treated with neurotoxic
chemotherapy (mean Total Neuropathy Score increase, 2.1; 95% CI, 1.4-2.9; P <
.001), and were associated with lower limb predominant sensory axonal neuropathy
(mean amplitude reduction, 5.8 MUV; 95% CI, 2.8-8.8; P < .001). Functional
deficits were seen in manual dexterity, distal sensation, and balance. Patient
reported outcomes demonstrating reduction in global quality of life and physical
functioning were associated with the Total Neuropathy Score. Cisplatin produced
long-term neurotoxicity more frequently than vinca alkaloids. Conclusions and
Relevance: Clinical abnormalities attributable to peripheral neuropathy were
common in childhood cancer survivors and persisted long term, with concurrent
deficits in patient-reported outcomes. Both the type of neurotoxic agent and a
targeted clinical neurological assessment are important considerations when
screening survivors for long-term neuropathy. Further development of peripheral
neuropathy-specific pediatric assessment tools will aid research into
neuroprotective and rehabilitative strategies.
PMID- 29799909
TI - Association of Comorbidities With Adverse Outcomes After Enterostomy Closure in
Premature Neonates.
PMID- 29799908
TI - The Link Between Mental Illness and Being Subjected to Crime in Denmark vs the
United States: How Much Do Poverty and the Safety Net Matter?
PMID- 29799911
TI - Performance of a Genomic Sequencing Classifier for the Preoperative Diagnosis of
Cytologically Indeterminate Thyroid Nodules.
AB - Importance: Use of next-generation sequencing of RNA and machine learning
algorithms can classify the risk of malignancy in cytologically indeterminate
thyroid nodules to limit unnecessary diagnostic surgery. Objective: To measure
the performance of a genomic sequencing classifier for cytologically
indeterminate thyroid nodules. Design, Setting, and Participants: A blinded
validation study was conducted on a set of cytologically indeterminate thyroid
nodules collected by fine-needle aspiration biopsy between June 2009 and December
2010 from 49 academic and community centers in the United States. All patients
underwent surgery without genomic information and were assigned a histopathology
diagnosis by an expert panel blinded to all genomic information. There were 210
potentially eligible thyroid biopsy samples with Bethesda III or IV indeterminate
cytopathology that constituted a cohort previously used to validate the gene
expression classifier. Of these, 191 samples (91.0%) had adequate residual RNA
for validation of the genomic sequencing classifier. Algorithm development and
independent validation occurred between August 2016 and May 2017. Exposures:
Thyroid nodule surgical histopathology diagnosis by an expert panel blinded to
all genomic data. Main Outcomes and Measures: The primary end point was
measurement of genomic sequencing classifier sensitivity, specificity, and
negative and positive predictive values in biopsies from Bethesda III and IV
nodules. The secondary end point was measurement of classifier performance in
biopsies from Bethesda II, V, and VI nodules. Results: Of the 183 included
patients, 142 (77.6%) were women, and the mean (range) age was 51.7 (22.0-85.0)
years. The genomic sequencing classifier had a sensitivity of 91% (95% CI, 79-98)
and a specificity of 68% (95% CI, 60-76). At 24% cancer prevalence, the negative
predictive value was 96% (95% CI, 90-99) and the positive predictive value was
47% (95% CI, 36-58). Conclusions and Relevance: The genomic sequencing classifier
demonstrates high sensitivity and accuracy for identifying benign nodules. Its
36% increase in specificity compared with the gene expression classifier
potentially increases the number of patients with benign nodules who can safely
avoid unnecessary diagnostic surgery.
PMID- 29799912
TI - Additional Factors in Considering Patent Foramen Ovale Closure to Prevent
Recurrent Ischemic Stroke-Reply.
PMID- 29799913
TI - Magnetoencephalography and Translational Neuroscience in Psychiatry.
PMID- 29799910
TI - Association of BRAF Mutations With Survival and Recurrence in Surgically Treated
Patients With Metastatic Colorectal Liver Cancer.
AB - Importance: BRAF mutations are reportedly associated with aggressive tumor
biology. However, in contrast with primary colorectal cancer, the association of
V600E and non-V600E BRAF mutations with survival and recurrence after resection
of colorectal liver metastases (CRLM) has not been well studied. Objective: To
investigate the prognostic association of BRAF mutations with survival and
recurrence independently and compared with other prognostic determinants, such as
KRAS mutations. Design, Setting, and Participants: In this cohort study, all
patients who underwent resection for CRLM with curative intent from January 1,
2000, through December 31, 2016, at the institutions participating in the
International Genetic Consortium for Colorectal Liver Metastasis and had data on
BRAF and KRAS mutational status were retrospectively identified. Multivariate Cox
proportional hazards regression models were used to assess long-term outcomes.
Interventions: Hepatectomy in patients with CRLM. Main Outcomes and Measures: The
association of V600E and non-V600E BRAF mutations with disease-free survival
(DFS) and overall survival (OS). Results: Of 853 patients who met inclusion
criteria (510 men [59.8%] and 343 women [40.2%]; mean [SD] age, 60.2 [12.4]
years), 849 were included in the study analyses. Forty-three (5.1%) had a mutated
(mut) BRAF/wild-type (wt) KRAS (V600E and non-V600E) genotype; 480 (56.5%), a
wtBRAF/wtKRAS genotype; and 326 (38.4%), a wtBRAF/mutKRAS genotype. Compared with
the wtBRAF/wtKRAS genotype group, patients with a mutBRAF/wtKRAS genotype more
frequently were female (27 [62.8%] vs 169 [35.2%]) and 65 years or older (22
[51.2%] vs 176 [36.9%]), had right-sided primary tumors (27 [62.8%] vs 83
[17.4%]), and presented with a metachronous liver metastasis (28 [64.3%] vs 229
[46.8%]). On multivariable analysis, V600E but not non-V600E BRAF mutation was
associated with worse OS (hazard ratio [HR], 2.76; 95% CI, 1.74-4.37; P < .001)
and DFS (HR, 2.04; 95% CI, 1.30-3.20; P = .002). The V600E BRAF mutation had a
stronger association with OS and DFS than the KRAS mutations (beta for OS, 10.15
vs 2.94; beta for DFS, 7.14 vs 2.27). Conclusions and Relevance: The presence of
the V600E BRAF mutation was associated with worse prognosis and increased risk of
recurrence. The V600E mutation was not only a stronger prognostic factor than
KRAS but also was the strongest prognostic determinant in the overall cohort.
PMID- 29799914
TI - Urbanicity and Risk of Schizophrenia-New Studies and Old Hypotheses.
PMID- 29799915
TI - Timolol Eyedrops in the Treatment of Acute Migraine Attacks: A Randomized
Crossover Study.
PMID- 29799916
TI - Accuracy of Pediatric Trauma Field Triage: A Systematic Review.
AB - Importance: Field triage of pediatric patients with trauma is critical for
transporting the right patient to the right hospital. Mortality and lifelong
disabilities are potentially attributable to erroneously transporting a patient
in need of specialized care to a lower-level trauma center. Objective: To
quantify the accuracy of field triage and associated diagnostic protocols used to
identify children in need of specialized trauma care. Evidence Review: MEDLINE,
Embase, PsycINFO, and Cochrane Register of Controlled Trials were searched from
database inception to November 6, 2017, for studies describing the accuracy of
diagnostic tests to identify children in need of specialized trauma care in a
prehospital setting. Identified articles with a study population including
patients not transported by emergency medical services were excluded. Quality
assessment was performed using a modified version of the Quality Assessment of
Diagnostic Accuracy Studies-2. Findings: After deduplication, 1430 relevant
articles were assessed, a full-text review of 38 articles was conducted, and 5 of
those articles were included. All studies were observational, published between
1996 and 2017, and conducted in the United States, and data collection was
prospective in 1 study. Three different protocols were studied that analyzed a
combined total of 1222 children in need of specialized trauma care. One protocol
was specifically developed for a pediatric out-of-hospital cohort. The percentage
of pediatric patients requiring specialized trauma care in each study varied
between 2.6% (110 of 4197) and 54.7% (58 of 106). The sensitivity of the
prehospital triage tools ranged from 49.1% to 87.3%, and the specificity ranged
from 41.7% to 84.8%. No prehospital triage protocol alone complied with the
international standard of 95% or greater sensitivity. Undertriage and overtriage
rates, representative of the quality of the full diagnostic strategy to transport
a patient to the right hospital, were not reported for inclusive trauma systems
or emergency medical services regions. Conclusions and Relevance: It is crucial
to transport the right patient to the right hospital. Yet the quality of the full
diagnostic strategy to determine the optimal receiving hospital is unknown. None
of the investigated field triage protocols complied with current sensitivity
targets. Improved efforts are needed to develop accurate child-specific tools to
prevent undertriage and its potential life-threatening consequences.
PMID- 29799919
TI - Error in Presentation of Author's Name.
PMID- 29799918
TI - Considerations When Calculating Data Completeness.
PMID- 29799917
TI - Association of Urbanicity With Psychosis in Low- and Middle-Income Countries.
AB - Importance: Urban residence is one of the most well-established risk factors for
psychotic disorder, but most evidence comes from a small group of high-income
countries. Objective: To determine whether urban living is associated with
greater odds for psychosis in low- and middle-income countries (LMICs). Design,
Setting, and Participants: This international population-based study used cross
sectional survey data collected as part of the World Health Organization (WHO)
World Health Survey from May 2, 2002, through December 31, 2004. Participants
included nationally representative general population probability samples of
adults (>=18 years) residing in 42 LMICs (N = 215 682). Data were analyzed from
November 20 through December 5, 2017. Exposures: Urban vs nonurban residence,
determined by the WHO based on national data. Main Outcomes and Measures:
Psychotic experiences, assessed using the WHO Composite International Diagnostic
Interview psychosis screen, and self-reported lifetime history of a diagnosis of
a psychotic disorder. Results: Among the 215 682 participants (50.8% women and
49.2% men; mean [SD] age, 37.9 [15.7] years), urban residence was not associated
with psychotic experiences (odds ratio [OR], 0.99; 95% CI, 0.89-1.11) or
psychotic disorder (OR, 0.89; 95% CI, 0.76-1.06). Results of all pooled analyses
and meta-analyses of within-country effects approached a null effect, with an
overall OR of 0.97 (95% CI, 0.87-1.07), OR for low-income countries of 0.98 (95%
CI, 0.82-1.15), and OR for middle-income countries of 0.96 (95% CI, 0.84-1.09)
for psychotic experiences and an overall OR of 0.92 (95% CI, 0.73-1.16), OR for
low-income countries of 0.92 (95% CI, 0.66-1.27), and OR for middle-income
countries of 0.92 (95% CI, 0.67-1.27) for psychotic disorder. Conclusions and
Relevance: Our results provide evidence that urbanicity, a well-established risk
factor for psychosis, may not be associated with elevated odds for psychosis in
developing countries. This finding may provide better understanding of the
mechanisms by which urban living may contribute to psychosis risk in high-income
countries, because urban-rural patterns of cannabis use, racial discrimination,
and socioeconomic disparities may vary between developing and developed nations.
PMID- 29799920
TI - Redefining Informed Consent as Part of Consent Training in Pediatrics.
PMID- 29799921
TI - Additional Factors in Considering Patent Foramen Ovale Closure to Prevent
Recurrent Ischemic Stroke.
PMID- 29799922
TI - Unusual Scrotal Swelling.
PMID- 29799923
TI - The Voice and the Larynx in Older Adults: What's Normal, and Who Decides?
PMID- 29799924
TI - Association of State Laws Permitting Denial of Services to Same-Sex Couples With
Mental Distress in Sexual Minority Adults: A Difference-in-Difference-in
Differences Analysis.
AB - Importance: Recent evidence suggests that state policies affecting sexual
minorities are associated with health disparities. Twelve states have laws
permitting the denial of services to same-sex couples, and the US Supreme Court
is considering whether states can prohibit the denial of services to same-sex
couples. Objective: We investigated whether state laws permitting individuals to
refuse services to sexual minorities were associated with changes in the
proportion of sexual minority adults reporting mental distress. Design, Setting,
and Participants: This difference-in-difference-in-differences linear regression
analysis with state fixed effects used Behavioral Risk Factor Surveillance System
(BRFSS) data from 2014 through 2016 from adults aged 18 to 64 years in 3 states
that implemented laws permitting the denial of services to same-sex couples
(Utah, Michigan, and North Carolina) and 6 nearby control states (Idaho and
Nevada, Ohio and Indiana, and Virginia and Delaware, respectively). Sexual
minority adults were defined as those who identified as gay, lesbian, bisexual,
or not sure of their sexual orientation under a module on sexual orientation that
BRFSS implemented in 2014 and each state could opt to include. Analysis
controlled for year and individual-level sex, race, ethnicity, age group,
educational attainment, income, employment, and marital status. A permutation
test was conducted to precisely estimate statistical significance. Exposures: An
interaction term indicating whether individuals identified as a sexual minority
and lived in a state with a law permitting denial of services to same-sex couples
in 2015. Main Outcomes and Measures: Mental distress, defined as poor mental
health on 14 or more of the past 30 days. Results: Of 109 089 participants, 4656
(4.8%; all percentages incorporate survey weights) identified as sexual
minorities, 86141 (72.1%) were non-Hispanic white, and ages were uniformly
distributed between 18 and 64 years. In 2014, 2038 of 16637 heterosexual adults
(12.6%) and 156 of 815 sexual minority adults (21.9%) in the 3 same-sex denial
states reported mental distress. The proportion of sexual minority adults
reporting mental distress increased by 10.1 percentage points (95% CI, 1.8 to
18.5 percentage points, permutation-adjusted P value = .046) between 2014 and
2016 in states that passed laws permitting denial of services to same-sex couples
compared with control states, a 46% relative increase in sexual minority adults
experiencing mental distress. Laws permitting denial of services to same-sex
couples were not associated with significant changes in heterosexual adults
experiencing mental distress (-0.36 percentage points, 95% CI, -1.73 to 1.01
percentage points). Conclusions and Relevance: Laws permitting denial of services
to same-sex couples, which exist in 12 states and are under consideration by the
US Supreme Court, are associated with a 46% increase in sexual minority adults
experiencing mental distress.
PMID- 29799925
TI - Assessment of Clinical and Social Characteristics That Distinguish Presbylaryngis
From Pathologic Presbyphonia in Elderly Individuals.
AB - Importance: An aging population experiences an increase in age-related problems,
such as presbyphonia. The causes of pathologic presbyphonia are incompletely
understood. Objective: To determine what distinguishes pathologic presbyphonia
from presbylaryngis. Design, Setting, and Participants: This was a cohort study
at an outpatient otolaryngology subspecialty clinic of a tertiary academic
referral center. Participants were consecutive consenting adults older than 74
years without laryngeal pathologic abnormalities who visited the clinic as
participants or companions. Patient questionnaires, otolaryngologic, video
stroboscopic, and voice examinations were compiled. Patients were divided into
groups based on whether they endorsed a voice complaint. Three blinded authors
graded stroboscopic examinations for findings consistent with presbylaryngis
(vocal fold bowing, vocal process prominence, glottic insufficiency). Main
Outcomes and Measures: Voice Handicap Index-10, Reflux Symptom Index, Cough
Severity Index, Dyspnea Index, Singing Voice Handicap Index-10 , Eating
Assessment Tool -10, Voice-Related Quality of Life (VRQOL), and Short-Form Health
Survey; face-sheet addressing social situation, work, marital status, education,
voice use, transportation; acoustic and aerodynamic measures; and a full
otolaryngologic examination, including videostroboscopic imaging. Results: A
total of 31 participants with dysphonia (21 were female; their mean age was 83
years [range, 75-97 years]) and 26 control participants (16 were female; their
mean age was 81 years [range, 75-103 years]) completed the study. Presbylaryngis
was visible in 27 patients with dysphonia (87%) and 22 controls (85%). VHI-10 and
VRQOL scores were worse in patients with pathologic presbyphonia (median [range]
VHI-10 scores, 15 (0-40) vs 0 (0-16) and median VRQOL score, 19 [0-43] vs 10 [10
23]). All other survey results were indistinguishable, and no social differences
were elucidated. Acoustic measures revealed that both groups averaged lower than
normal speaking fundamental frequency (mean [SD], 150.01 [36.23] vs 150.85
[38.00]). Jitter was 3.44% (95% CI, 2.46%-4.61%) for pathologic presbyphonia and
1.74% (95% CI, 1.35%-2.14%) for controls (d = 0.75). Shimmer means (95% CI) were
7.8 2 (6.08-10.06) for the pathologic presbyphonia group and 4.84 (3.94-5.72) for
controls (d = 0.69). Aerodynamic measures revealed an odds ratio of 3.03 (95% CI,
0.83-11.04) for patients with a maximum phonation time of less than 12 seconds
who had complaints about dysphonia. Conclusions and Relevance: Presbylaryngis is
present in most ambulatory people older than 74 years. Some will endorse
pathologic presbyphonia that has a negative effect on their voice and quality of
life. Pathologic presbyphonia seems to be influenced by respiratory capacity and
sex. Further study is required to isolate other social, physiologic, and general
health characteristics that contribute to pathologic presbyphonia.
PMID- 29799926
TI - Mental Disorders, Antidepressants, and Type 2 Diabetes.
PMID- 29799928
TI - Association of Optic Pathways and Brain Structure With Deep Brain Stimulation of
the Nucleus Basalis of Meynert for Parkinson Disease Dementia.
PMID- 29799927
TI - Association of Opioid-Related Adverse Drug Events With Clinical and Cost Outcomes
Among Surgical Patients in a Large Integrated Health Care Delivery System.
AB - Importance: Opioids are commonly used for pain control during and after invasive
procedures. However, opioid-related adverse drug events (ORADEs) are common and
have been associated with worse patient outcomes. Objectives: To examine the
incidence of ORADEs in patients undergoing hospital-based surgical and endoscopic
procedures and to evaluate the association of ORADEs with clinical and cost
outcomes. Design, Setting, and Participants: In this retrospective study of
clinical and administrative data, ORADEs were identified using International
Classification of Diseases, Ninth Revision diagnosis codes for known adverse
effects of opioids or by opioid antagonist use. Multivariable regression analysis
was used to measure the association of ORADEs with outcomes after adjusting for
potential confounding factors. The setting was 21 acute care hospitals in a large
integrated health care delivery system. Participants were 135 379 patients (aged
>=18 years, admitted from January 1, 2013, to September 30, 2015) who underwent
surgical and endoscopic procedures and were given opioids. Exposure: Opioid use,
reported as morphine milligram equivalent doses. Main Outcomes and Measures:
Opioid-related adverse drug events and their association with inpatient
mortality, discharge to another care facility, length of stay, cost of
hospitalization, and 30-day readmission. Results: Among 135 379 adult patients in
this study (67.5% female), 14 386 (10.6%) experienced at least one ORADE.
Patients with ORADEs were more likely to be older, of white race/ethnicity, and
male and have more comorbidities. Patients with ORADEs received a higher total
dose of opioids (median morphine milligram equivalent dose, 46.8 vs 30.0 mg; P <
.001) and for a longer duration (median, 3.0 vs 2.0 days; P < .001). In adjusted
analyses, ORADEs were associated with increased inpatient mortality (odds ratio
[OR], 28.8; 95% CI, 24.0-34.5), greater likelihood of discharge to another care
facility (OR, 2.9; 95% CI, 2.7-3.0), prolonged length of stay (OR, 3.1; 95% CI,
2.8-3.4), high cost of hospitalization (OR, 2.7; 95% CI, 2.4-3.0), and higher
rate of 30-day readmission (OR, 1.3; 95% CI, 1.2-1.4). ORADEs were associated
with a 2.9% increase in absolute mortality, an $8225 increase in cost for the
index hospitalization, and a 1.6-day increase in length of stay for the index
hospitalization. Conclusions and Relevance: Opioid-related adverse drug events
were common among patients undergoing hospital-based invasive procedures and were
associated with significantly worse clinical and cost outcomes. Hospital-acquired
harm from ORADEs in the surgical patient population is an important opportunity
for health systems to improve patient safety and reduce cost.
PMID- 29799930
TI - The Role of Otolaryngologists in Eradicating Human Papillomavirus.
PMID- 29799929
TI - Association of Exposure to Diethylstilbestrol During Pregnancy With
Multigenerational Neurodevelopmental Deficits.
AB - Importance: Animal evidence suggests that endocrine disruptors affect germline
cells and neurodevelopment. However, to date, the third-generation
neurodevelopmental outcomes in humans have not been examined. Objective: To
explore the potential consequences of exposure to diethylstilbestrol or DES
across generations-specifically, third-generation neurodevelopment. Design,
Setting, and Participants: This cohort study uses self-reported health
information, such as exposure to diethylstilbestrol during pregnancy and
attention-deficit/hyperactivity disorder (ADHD) diagnosis, from 47 540
participants enrolled in the ongoing Nurses' Health Study II. The 3 generations
analyzed in this study were the participants (F1 generation), their mothers (F0
generation), and their live-born children (F2 generation). Main Outcomes and
Measures: Participant- and mother-reported exposure to diethylstilbestrol during
pregnancy and physician-diagnosed child ADHD. Results: The total number of women
included in this study was 47 540. Of the 47 540 F0 mothers, 861 (1.8%) used
diethylstilbestrol and 46 679 (98.2%) did not while pregnant with the F1
participants. Use of diethylstylbestrol by F0 mothers was associated with an
increased risk of ADHD among the F2 generation: 7.7% vs 5.2%, adjusted odds ratio
(OR), 1.36 (95% CI, 1.10-1.67) and an OR of 1.63 (95% CI, 1.18-2.25) if
diethylstilbestrol was taken during the first trimester of pregnancy. No effect
modification was observed by the F2 children's sex. Conclusions and Relevance:
This study provides evidence that diethylstilbestrol exposure is associated with
multigenerational neurodevelopmental deficits. The doses and potency level of
environmental endocrine disruptors to which humans are exposed are lower than
those of diethylstilbestrol, but the prevalence of such exposure and the
possibility of cumulative action are potentially high and thus warrant
consideration.
PMID- 29799931
TI - Age-Related Racial Disparity in Suicide Rates Among US Youths From 2001 Through
2015.
PMID- 29799932
TI - Vitreous Bands Identified by Handheld Spectral-Domain Optical Coherence
Tomography Among Premature Infants.
AB - Importance: Handheld spectral-domain optical coherence tomography (SD-OCT) can
provide insights into the complex interactions occurring at the vitreoretinal
interface in retinopathy of prematurity (ROP) to enhance our understanding of ROP
pathology. Objective: To characterize vitreous bands in premature infants with
use of handheld SD-OCT. Design, Setting, and Participants: Prospective cohort
study conducted from July 7, 2015, to February 28, 2017, at 2 university-based
neonatal intensive care units. Seventy-three premature infants who required
routine ROP screening examination were recruited. Informed consent was obtained
from all legal guardians. Trained graders who were masked to the clinical
assessment analyzed each SD-OCT scan of the right eye for vitreoretinal findings.
A third trained grader mediated disagreements. Main Outcomes and Measures:
Associations between the presence of vitreous bands in premature infants with ROP
diagnoses and the presence of other vitreoretinal SD-OCT findings were
investigated. Results: Of the 73 infants recruited, 6 infants' parents withdrew
their children from the study, and 2 infants were too hemodynamically unstable
for imaging, leaving a total of 65 participants. Of these, 32 (49%) were female,
36 (55%) were white, 10 (15%) were Hispanic, 3 (5%) were Native American, 4 (6%)
were African American, 4 (7%) were Asian/Pacific Islander, and 8 (12%) were
other. The mean (SD) gestational age was 28 (2.7) weeks, the mean (SD) birth
weight was 997 g (286 g), and the mean (SD) postmenstrual age at imaging was 34
(3) weeks (mean [SD] total of 3 [2] imaging sessions). Comparing the 24 infants
(37%) who had a right eye vitreous band at any time with the 41 (63%) who did
not, no difference in mean birth weight, gestational age, postmenstrual age at
imaging, sex, or race/ethnicity was identified. No associations with ROP stage
(eg, in 6 [25%] infants with vitreous bands vs 4 [9.8%] in those without; P =
.23), presence of plus disease (2 [8%] vs 2 [5%]; P = .84), or type 1 ROP (3
[12%] vs 3 [7%]; P = .66) were identified. Vitreous bands were associated with
epiretinal membrane detected on SD-OCT (P = .001) with an odds ratio of 9.4 (95%
CI, 2.8-31.3) in 15 [62%] infants with vitreous bands vs 6 [15%] in those
without. Vitreous bands were also associated with cystoid macular edema (in 15
[62%] infants with vitreous bands vs 1 [27%] in those without; P = .005) with an
odds ratio of 4.5 (95% CI, 1.5-13.3). Conclusions and Relevance: In this study,
the development of vitreous bands was associated with both cystoid macular edema
and epiretinal membrane. These findings suggest a tractional pathogenesis to
these entities among premature infants. This study did not find a direct
association between vitreous bands and severe ROP. Additional study is needed to
determine whether vitreous bands represent subclinical hyaloidal organization
leading to retinal detachment in advanced ROP.
PMID- 29799933
TI - On the Marketing and Use of Pharmacogenetic Tests for Psychiatric Treatment.
PMID- 29799934
TI - Error in Text.
PMID- 29799935
TI - Association of Optic Pathways and Brain Structure With Deep Brain Stimulation of
the Nucleus Basalis of Meynert for Parkinson Disease Dementia-Reply.
PMID- 29799936
TI - Error in Table.
PMID- 29799937
TI - Cataract Surgery and Motor Vehicle Fatality Prevention-Reply.
PMID- 29799938
TI - Untangling the Factors Contributing to Functional Outcome in Schizophrenia-Reply.
PMID- 29799939
TI - The Value of Parental Permission in Pediatric Practice.
PMID- 29799941
TI - The Loss of a Sense of Control as a Major Contributor to Physician Burnout: A
Neuropsychiatric Pathway to Prevention and Recovery.
PMID- 29799943
TI - Error in Byline.
PMID- 29799940
TI - Clinical Features of Guillain-Barre Syndrome With vs Without Zika Virus
Infection, Puerto Rico, 2016.
AB - Importance: The pathophysiologic mechanisms of Guillain-Barre syndrome (GBS)
associated with Zika virus (ZIKV) infection may be indicated by differences in
clinical features. Objective: To identify specific clinical features of GBS
associated with ZIKV infection. Design, Setting, and Participants: During the
ZIKV epidemic in Puerto Rico, prospective and retrospective strategies were used
to identify patients with GBS who had neurologic illness onset in 2016 and were
hospitalized at all 57 nonspecialized hospitals and 2 rehabilitation centers in
Puerto Rico. Guillain-Barre syndrome diagnosis was confirmed via medical record
review using the Brighton Collaboration criteria. Specimens (serum, urine,
cerebrospinal fluid, and saliva) from patients with GBS were tested for evidence
of ZIKV infection by real-time reverse transcriptase-polymerase chain reaction;
serum and cerebrospinal fluid were also tested by IgM enzyme-linked immunosorbent
assay. In this analysis of public health surveillance data, a total of 123
confirmed GBS cases were identified, of which 107 had specimens submitted for
testing; there were 71 patients with and 36 patients without evidence of ZIKV
infection. Follow-up telephone interviews with patients were conducted 6 months
after neurologic illness onset; 60 patients with and 27 patients without evidence
of ZIKV infection participated. Main Outcomes and Measures: Acute and long-term
clinical characteristics of GBS associated with ZIKV infection. Results: Of 123
patients with confirmed GBS, the median age was 54 years (age range, 4-88 years),
and 68 patients (55.3%) were male. The following clinical features were more
frequent among patients with GBS and evidence of ZIKV infection compared with
patients with GBS without evidence of ZIKV infection: facial weakness (44 [62.0%]
vs 10 [27.8%]; P < .001), dysphagia (38 [53.5%] vs 9 [25.0%]; P = .005),
shortness of breath (33 [46.5%] vs 9 [25.0%]; P = .03), facial paresthesia (13
[18.3%] vs 1 [2.8%]; P = .03), elevated levels of protein in cerebrospinal fluid
(49 [94.2%] vs 23 [71.9%]; P = .008), admission to the intensive care unit (47
[66.2%] vs 16 [44.4%]; P = .03), and required mechanical ventilation (22 [31.0%]
vs 4 [11.1%]; P = .02). Six months after neurologic illness onset, patients with
GBS and evidence of ZIKV infection more frequently reported having excessive or
inadequate tearing (30 [53.6%] vs 6 [26.1%]; P = .03), difficulty drinking from a
cup (10 [17.9%] vs 0; P = .03), and self-reported substantial pain (15 [27.3%] vs
1 [4.3%]; P = .03). Conclusions and Relevance: In this study, GBS associated with
ZIKV infection was found to have higher morbidity during the acute phase and more
frequent cranial neuropathy during acute neuropathy and 6 months afterward.
Results indicate GBS pathophysiologic mechanisms that may be more common after
ZIKV infection.
PMID- 29799942
TI - Association of Burden of Atrial Fibrillation With Risk of Ischemic Stroke in
Adults With Paroxysmal Atrial Fibrillation: The KP-RHYTHM Study.
AB - Importance: Atrial fibrillation is a potent risk factor for stroke, but whether
the burden of atrial fibrillation in patients with paroxysmal atrial fibrillation
independently influences the risk of thromboembolism remains controversial.
Objective: To determine if the burden of atrial fibrillation characterized using
noninvasive, continuous ambulatory monitoring is associated with the risk of
ischemic stroke or arterial thromboembolism in adults with paroxysmal atrial
fibrillation. Design, Setting, and Participants: This retrospective cohort study
conducted from October 2011 and October 2016 at 2 large integrated health care
delivery systems used an extended continuous cardiac monitoring system to
identify adults who were found to have paroxysmal atrial fibrillation on 14-day
continuous ambulatory electrocardiographic monitoring. Exposures: The burden of
atrial fibrillation was defined as the percentage of analyzable wear time in
atrial fibrillation or flutter during the up to 14-day monitoring period. Main
Outcomes and Measures: Ischemic stroke and other arterial thromboembolic events
occurring while patients were not taking anticoagulation were identified through
November 2016 using electronic medical records and were validated by manual
review. We evaluated the association of the burden of atrial fibrillation with
thromboembolism while not taking anticoagulation after adjusting for the
Anticoagulation and Risk Factors in Atrial Fibrillation (ATRIA) or CHA2DS2-VASc
stroke risk scores. Results: Among 1965 adults with paroxysmal atrial
fibrillation, the mean (SD) age was 69 (11.8) years, 880 (45%) were women, 496
(25%) were persons of color, the median ATRIA stroke risk score was 4
(interquartile range [IQR], 2-7), and the median CHA2DS2-VASc score was 3 (IQR, 1
4). The median burden of atrial fibrillation was 4.4% (IQR ,1.1%-17.23%).
Patients with a higher burden of atrial fibrillation were less likely to be women
or of Hispanic ethnicity, but had more prior cardioversion attempts compared with
those who had a lower burden. After adjusting for either ATRIA or CHA2DS2-VASc
stroke risk scores, the highest tertile of atrial fibrillation burden (>=11.4%)
was associated with a more than 3-fold higher adjusted rate of thromboembolism
while not taking anticoagulants (adjusted hazard ratios, 3.13 [95% CI, 1.50-6.56]
and 3.16 [95% CI, 1.51-6.62], respectively) compared with the combined lower 2
tertiles of atrial fibrillation burden. Results were consistent across
demographic and clinical subgroups. Conclusions and Relevance: A greater burden
of atrial fibrillation is associated with a higher risk of ischemic stroke
independent of known stroke risk factors in adults with paroxysmal atrial
fibrillation.
PMID- 29799946
TI - Missing Acknowledgment.
PMID- 29799944
TI - Treatment of Nonmetastatic Unilateral Retinoblastoma in Children.
AB - Importance: Multi-institutional collaborative studies that include large patient
populations for the management of retinoblastoma with histopathological risk
factors could provide important information for patient management. Objective: To
evaluate the implementation of a strategy for the management of nonmetastatic
unilateral retinoblastoma in children based on standardized diagnostic and
treatment criteria. Design, Setting, and Participants: This single-arm
prospective study applied a strategy based on a single-center experience. The
setting was a multicenter study in Latin America (Grupo de America Latina de
Oncologia Pediatrica [GALOP]). Participants were children with nonmetastatic
unilateral retinoblastoma (staged with the International Retinoblastoma Staging
System). The study opened on July 1, 2008, and closed on December 31, 2014.
Follow-up was updated until June 30, 2017. Interventions: Stage 0 patients
(without enucleation) were given conservative therapy without a protocol. Stage I
patients (with enucleation and no residual tumor) were divided into a high-risk
group (retrolaminar invasion and/or scleral invasion) and a low-risk group (all
remaining patients). High-risk children received adjuvant chemotherapy with 4
alternating cycles of regimen 1 (cyclophosphamide [65 mg/kg/d] [plus sodium-2
mercaptoethane sulfonate], idarubicin hydrochloride [10 mg/m2/d], and vincristine
sulfate [0.05 mg/kg/d]) and 4 cycles of regimen 2 (carboplatin [500 mg/m2/d, days
1 and 2] and etoposide [100 mg/m2/d, days 1-3]). Low-risk children did not
receive adjuvant therapy. Children with buphthalmia received neoadjuvant and
adjuvant chemotherapy for a total of 8 cycles. Main Outcomes and Measures:
Probability of event-free survival (extraocular relapse and death from any cause
were considered events). Results: Among 187 children registered in the study, 175
were evaluable (92 [52.5%] female; median age, 22 months; age range, 3-100
months). Forty-two were stage 0 children, 84 were stage I low-risk children, and
42 were stage I high-risk children; there were 7 children in the buphthalmia
group. With a median follow-up of 46 months, the 3-year probability of event-free
survival was 0.97 (95% CI, 0.94-0.99), and the probability of overall survival
was 0.98 (95% CI, 0.94-1.00). Stage 0 patients had no events, stage I low-risk
patients had 1 event (orbital relapse treated with second-line therapy), stage I
high-risk patients had 2 events (1 central nervous system relapse and 1 death
from sepsis), and the buphthalmia group had 1 event (orbital relapse, followed by
central nervous relapse and death). Conclusions and Relevance: Adjuvant therapy
may be effective for high-risk unilateral retinoblastoma but is toxic, and
neoadjuvant chemotherapy for buphthalmus appears feasible.
PMID- 29799948
TI - Misspelled Surname.
PMID- 29799945
TI - Association of Temporal Changes in Gestational Age With Perinatal Mortality in
the United States, 2007-2015.
AB - Importance: Whether the changing gestational age distribution in the United
States since 2005 has affected perinatal mortality remains unknown. Objective: To
examine changes in gestational age distribution and gestational age-specific
perinatal mortality. Design, Setting, and Participants: This retrospective cohort
study examined trends in US perinatal mortality by linking live birth and infant
death data among more than 35 million singleton births from January 1, 2007,
through December 31, 2015. Exposures: Year of birth and changes in gestational
age distribution. Main Outcomes and Measures: Changes in the proportion of births
at gestational ages 20 to 27, 28 to 31, 32 to 33, 34 to 36, 37 to 38, 39 to 40,
41, and 42 to 44 weeks; changes in perinatal mortality (stillbirth at >=20 weeks,
and neonatal deaths at <28 days) rates; and contribution of gestational age
changes to perinatal mortality. Trends were estimated from log-linear regression
models adjusted for confounders. Results: Among the 34 236 577 singleton live
births during the study period, the proportion of births at all gestational ages
declined, except at 39 to 40 weeks, which increased (54.5% in 2007 to 60.2% in
2015). Overall perinatal mortality declined from 9.0 to 8.6 per 1000 births (P <
.001). Stillbirths declined from 5.7 to 5.6 per 1000 births (P < .001), and
neonatal mortality declined from 3.3 to 3.0 per 1000 births (P < .001). Although
the proportion of births at gestational ages 34 to 36, 37 to 38, and 42 to 44
weeks declined, perinatal mortality rates at these gestational ages showed annual
adjusted relative increases of 1.0% (95% CI, 0.6%-1.4%), 2.3% (95% CI, 1.9%
2.8%), and 4.2% (95% CI, 1.5%-7.0%), respectively. Neonatal mortality rates at
gestational ages 34 to 36 and 37 to 38 weeks showed a relative adjusted annual
increase of 0.9% (95% CI, 0.2%-1.6%) and 3.1% (95% CI, 2.1%-4.1%), respectively.
Although the proportion of births at gestational age 39 to 40 weeks increased,
perinatal mortality showed an annual relative adjusted decline of -1.3% (95% CI,
1.8% to -0.9%). The decline in neonatal mortality rate was largely attributable
to changes in the gestational age distribution than to gestational age-specific
mortality. Conclusions and Relevance: Although the proportion of births at
gestational age 39 to 40 weeks increased, perinatal mortality at this gestational
age declined. This finding may be owing to pregnancies delivered at 39 to 40
weeks that previously would have been unnecessarily delivered earlier, leaving
fetuses at higher risk for mortality at other gestational ages.
PMID- 29799949
TI - The Shortage of Normal Saline in the Wake of Hurricane Maria.
PMID- 29799947
TI - Association Between Early Postoperative Acetaminophen Exposure and Acute Kidney
Injury in Pediatric Patients Undergoing Cardiac Surgery.
AB - Importance: Acute kidney injury (AKI) is a common and serious complication for
pediatric cardiac surgery patients associated with increased morbidity,
mortality, and length of stay. Current strategies focus on risk reduction and
early identification because there are no known preventive or therapeutic agents.
Cardiac surgery and cardiopulmonary bypass lyse erythrocytes, releasing free
hemoglobin and contributing to oxidative injury. Acetaminophen may prevent AKI by
reducing the oxidation state of free hemoglobin. Objective: To test the
hypothesis that early postoperative acetaminophen exposure is associated with
reduced risk of AKI in pediatric patients undergoing cardiac surgery. Design,
Setting, and Participants: In this retrospective cohort study, the setting was 2
tertiary referral children's hospitals. The primary and validation cohorts
included children older than 28 days admitted for cardiac surgery between July 1,
2008, and June 1, 2016. Exclusion criteria were postoperative extracorporeal
membrane oxygenation and inadequate serum creatinine measurements to determine
AKI status. Exposures: Acetaminophen exposure in the first 48 postoperative
hours. Main Outcomes and Measures: Acute kidney injury based on Kidney Disease:
Improving Global Outcomes serum creatinine criteria (increase by >=0.3 mg/dL from
baseline or at least 1.5-fold more than the baseline [to convert to micromoles
per liter, multiply by 88.4]) in the first postoperative week. Results: The
primary cohort (n = 666) had a median age of 6.5 (interquartile range [IQR], 3.9
44.7) months, and 341 (51.2%) had AKI. In unadjusted analyses, those with AKI had
lower median acetaminophen doses than those without AKI (47 [IQR, 16-88] vs 78
[IQR, 43-104] mg/kg, P < .001). In logistic regression analysis adjusting for
age, cardiopulmonary bypass time, red blood cell distribution width,
postoperative hypotension, nephrotoxin exposure, and Risk Adjustment for
Congenital Heart Surgery score, acetaminophen exposure was protective against
postoperative AKI (odds ratio, 0.86 [95% CI, 0.82-0.90] per each additional 10
mg/kg). Findings were replicated in the validation cohort (n = 333), who had a
median age of 14.1 (IQR, 3.9-158.2) months, and 162 (48.6%) had AKI.
Acetaminophen doses were 60 (95% CI, 40-87) mg/kg in those with AKI vs 70 (95%
CI, 45-94) mg/kg in those without AKI (P = .03), with an adjusted odds ratio of
0.91 (95% CI, 0.84-0.99) for each additional 10 mg/kg. Conclusions and Relevance:
These results indicate that early postoperative acetaminophen exposure may be
associated with a lower rate of AKI in pediatric patients who undergo cardiac
surgery. Further analysis to validate these findings, potentially through a
prospective, randomized trial, may establish acetaminophen as a preventive agent
for AKI.
PMID- 29799950
TI - Toward an Emerging Paradigm for Understanding Attention-Deficit/Hyperactivity
Disorder and Other Neurodevelopmental, Mental, and Behavioral Disorders:
Environmental Risks and Epigenetic Associations.
PMID- 29799953
TI - The Problem of the Indeterminate Thyroid Nodule: A Genomic Sequencing Classifier
and Clinical Judgment.
PMID- 29799951
TI - Association of Depressive Symptoms and Heart Rate Variability in Vietnam War-Era
Twins: A Longitudinal Twin Difference Study.
AB - Importance: Depressive symptoms are associated with lower heart rate variability
(HRV), an index of autonomic dysregulation, but the direction of the association
remains unclear. Objective: To investigate the temporal association between
depression and HRV. Design, Settings, and Participants: A longitudinal, cross
lagged twin difference study, with baseline assessments from March 2002 to March
2006 (visit 1) and a 7-year follow-up (visit 2) at an academic research center
with participants recruited from a national twin registry. Twins (n = 166) from
the Vietnam Era Twin Registry, who served in the US military during the Vietnam
War, and were discordant for depression at baseline were recruited. Main Outcomes
and Measures: At both visits, depressive symptoms were measured using the Beck
Depression Inventory-II (BDI-II), and HRV was measured through 24-hour
electrocardiogram monitoring. To assess the direction of the association, within
pair differences in multivariable mixed-effects regression models were examined,
and standardized beta coefficients for both pathways were calculated. The
associations were evaluated separately in monozygotic and dizygotic twins.
Results: In the final analytic sample (N = 146), all participants were men, 138
(95%) were white, and the mean (SD) age was 54 (3) years at baseline. Results
showed consistent associations between visit 1 HRV and visit 2 BDI score across
all HRV domains and models (beta coefficients ranging from -0.14 to -0.29), which
were not explained by antidepressants or other participant characteristics. The
magnitude of the association was similar in the opposite pathway linking visit 1
BDI score to visit 2 HRV, with beta coefficients ranging from 0.05 to -0.30, but
it was largely explained by antidepressant use. In stratified analysis by
zygosity, significant associations were observed in monozygotic and dizygotic
twins for the path linking visit 1 HRV to visit 2 BDI score, although the
associations were slightly stronger in dizygotic twins. Conclusions and
Relevance: The association between depression and autonomic dysregulation,
indexed by HRV, is bidirectional, with stronger evidence suggesting that
autonomic function affects depression risk rather than vice versa. The opposite
causal pathway from depression to lower HRV is mostly driven by antidepressant
use. These findings highlight an important role of autonomic nervous system in
the risk of depression and contribute new understanding of the mechanisms
underlying the comorbidity of depression and cardiovascular disease.
PMID- 29799954
TI - Assessment of Smartphone Application for Teaching Intuitive Visual Diagnosis of
Melanoma.
PMID- 29799952
TI - Whole-Body Distribution and Clinical Association of Telangiectases in Systemic
Sclerosis.
AB - Importance: In systemic sclerosis (SSc), to date, no study has precisely
described the total number and fine distribution of telangiectases (TAs), their
clinical association with the disease, and the biological mechanisms causing
their development. Objectives: To describe the whole-body distribution of TAs and
assess the association between the whole-body TA number and the characteristics
of patients with SSc. Design, Setting, and Participants: A single-center, cross
sectional study was conducted between July 11, 2016, and March 15, 2017, at the
National Referral Centre for Rare Systemic and Autoimmune Diseases in France. A
population-based sample of 106 adults who fulfilled the 2013 American College of
Rheumatology/European League Against Rheumatism criteria for SSc were included; 8
patients who had previously received laser treatment for TAs were excluded. Main
Outcomes and Measures: The number of TAs on the whole body (total and those >5
mm) and TA distribution in different areas were recorded. The association with
clinical and biological data was studied using univariate and multivariate linear
regression. Results: A total of 106 patients (83 [78.3%] women) were enrolled,
including 12 with precapillary pulmonary hypertension (PH). Mean (SD) age was
60.6 (13.5) years. Telangiectasia distribution was 37.2% on the face, 33.2% on
the upper limbs, including 26.4% on the hands, 28.1% on the trunk, including
17.1% for the upper part of the trunk, and 1.5% on the lower limbs. In analysis
using the multivariate linear regression model, the whole-body TA number was
independently associated with male sex (percentage change, 144.4%; 95% CI, 7.5%
to 455.9%; P = .03), PH (162.8%; 95% CI, 5.6% to 553.8%; P = .04), history of
pulmonary embolism (336.4%; 95% CI, 39.0% to 1270.1%; P = .01), glomerular
filtration rate (-1.6%; 95% CI, -3.2% to -0.1% per 1-mL/min/1.73 m2 increase; P =
.04), and soluble endoglin level (28.2%; 95% CI, 1.2% to 62.5% per 1-ng/mL
increase; P = .04). Receiver operating characteristic analyses assessing the
ability of TAs to identify the presence of PH revealed that the area under the
curve was significant for the TA number on the whole body (0.77; 95% CI, 0.57 to
0.88), on the hands and face (0.81; 95% CI, 0.57 to 0.91), and on the hands (95%
CI, 0.77; 95% CI, 0.57 to 0.89). Conclusions and Relevance: In the patients in
this study with SSc, TAs were predominantly located on the face, hands, and the
upper part of the trunk. Telangiectases appeared to be associated with
vasculopathy features of SSc, particularly with PH and soluble endoglin levels.
PMID- 29799955
TI - Association of Pharmaceutical Industry Marketing of Opioid Products to Physicians
With Subsequent Opioid Prescribing.
PMID- 29799957
TI - Necrotizing Anogenital Ulcer in a Healthy 8-Month-Old Male.
PMID- 29799956
TI - Implications of Screening for Brain Metastases in Patients With Breast Cancer and
Non-Small Cell Lung Cancer.
PMID- 29799958
TI - When Low-Risk Atrial Fibrillation Is Not So Low Risk: Beast of Burden.
PMID- 29799959
TI - Timing and Number of Cutaneous Squamous Cell Carcinomas in Transplant Recipients.
PMID- 29799961
TI - Who Should Try New Antibody Treatments for Migraine?
PMID- 29799962
TI - A Wide QRS Complex Illusion.
PMID- 29799960
TI - Efficacy of Guselkumab Compared With Adalimumab and Placebo for Psoriasis in
Specific Body Regions: A Secondary Analysis of 2 Randomized Clinical Trials.
AB - Importance: Psoriasis of the scalp, palms and/or soles, and nails is challenging
to treat. Objective: To evaluate the effect of guselkumab on psoriasis in
specific body regions. Design, Setting, and Participants: VOYAGE 1 and VOYAGE 2
were, double-blind, placebo- and adalimumab-controlled studies of guselkumab
conducted at 101 and 115 global sites, respectively, from November 3, 2014, to
May 19, 2016. Patients had moderate to severe plaque psoriasis (Psoriasis Area
and Severity Index score >=12, Investigator's Global Assessment [IGA] score >=3,
and >=10% body surface area with psoriasis). This post hoc data analysis was
performed from February 10 through November 15, 2017. Exposures: Patients were
randomized to guselkumab, 100 mg (weeks 0 and 4, then every 8 weeks); placebo
followed by guselkumab, 100 mg, starting at week 16; or adalimumab (80 mg [week
0] and 40 mg [week 1, then every 2 weeks]). Main Outcomes and Measures: Efficacy
was assessed through week 24. End points included numbers of patients achieving
scores of 0 or 1 (clear or near clear) or 0 (clear) on the scalp-specific IGA (ss
IGA), Physician's Global Assessment of the hands and/or feet (hf-PGA), and
fingernail PGA (f-PGA) and percentage of improvement in target Nail Psoriasis
Severity Index score. Results: Of 1829 randomized patients (mean [SD] age, 43.6
[12.4] years; 1300 [71.1%] male, 1498 [81.9%] white), 1576 (86.2%) had psoriasis
of the scalp; 501 (27.4%), palms and/or soles; and 1049 (57.4%), fingernails. At
baseline, 1512 (82.7%), 461 (25.2%), and 928 (50.7%) patients had a score of 2 or
higher on the ss-IGA, hf-PGA, and f-PGA, respectively, and were included in the
analysis. Guselkumab was superior to placebo based on the proportion of patients
achieving an ss-IGA score of 0 or 1 (560 [81.8%] vs 43 [12.4%]) at week 16 and to
adalimumab (582 [85.0%] vs 329 [68.5%]) at week 24 (both P < .001); 479 (69.9%)
in the guselkumab group vs 270 (56.3%) in the adalimumab group achieved an ss-IGA
score of 0 (all P < .001). An hf-PGA score of 0 or 1 was achieved by 154 patients
(75.5%) in the guselkumab group vs 15 (14.2%) in the placebo group at week 16 and
164 (80.4%) in the guselkumab group vs 91 (60.3%) in the adalimumab group at week
24; 153 (75.0%) in the guselkumab group vs 76 (50.3%) in the adalimumab group
achieved an hf-PGA score of 0 (all P < .001). An f-PGA score of 0 or 1 was
achieved by 196 patients (46.7%) in the guselkumab group vs 32 (15.2%) in the
placebo group at week 16 (P < .001) and 252 (60.0%) in the guselkumab group vs
191 (64.3%) in the adalimumab group at week 24 (P = .11); 115 (27.4%) in the
guselkumab group vs 83 (27.9%) in the adalimumab group achieved an f-PGA score of
0 (P = .63). Conclusions and Relevance: Compared with adalimumab, guselkumab was
associated with significant improvement in psoriasis on the scalp and palms
and/or soles; magnitude of improvement in fingernails did not differ between
treatments. These results may help dermatologists make treatment decisions for
patients with psoriasis in difficult-to-treat body regions. Trial Registration:
ClinicalTrials.gov Identifiers: NCT02207231 and NCT02207244.
PMID- 29799963
TI - Association of Gout With Uveitis in Older Individuals.
PMID- 29799964
TI - Association of an Opioid Standard of Practice Intervention With Intravenous
Opioid Exposure in Hospitalized Patients.
AB - Importance: Opioids are commonly used to treat pain in hospitalized patients;
however, intravenous administration carries an increased risk of adverse effects
compared with oral administration. The subcutaneous route is an effective method
of opioid delivery with favorable pharmacokinetics. Objective: To assess an
intervention to reduce intravenous opioid use, total parenteral opioid exposure,
and the rate of patients administered parenteral opioids. Design, Setting, and
Participants: A pilot study was conducted in an adult general medical unit in an
urban academic medical center. Attending physicians, nurse practitioners, and
physician assistants who prescribed drugs were the participants. Use of opioids
was compared between a 6-month control period and 3 months following education
for the prescribers on opioid routes of administration. Interventions: Adoption
of a local opioid standard of practice, preferring the oral and subcutaneous
routes over intravenous administration, and education for prescribers and nursing
staff on awareness of the subcutaneous route was implemented. Main Outcomes and
Measures: The primary outcome was a reduction in intravenous doses administered
per patient-day. Secondary measures included total parenteral and overall opioid
doses per patient-day, parenteral and overall opioid exposure per patient-day,
and daily rate of patients receiving parenteral opioids. Pain scores were
measured on a standard 0- to 10-point Likert scale over the first 5 days of
hospitalization. Results: The control period included 4500 patient-days, and the
intervention period included 2459 patient-days. Of 127 patients in the
intervention group, 59 (46.5%) were men; mean (SD) age was 57.6 (18.5) years.
Intravenous opioid doses were reduced by 84% (0.06 vs 0.39 doses per patient-day,
P < .001), and doses of all parenteral opioids were reduced by 55% (0.18 vs 0.39
doses per patient-day, P < .001). In addition, mean (SD) daily parenteral opioid
exposure decreased by 49% (2.88 [0.72] vs 5.67 [1.14] morphine-milligram
equivalents [MMEs] per patient-day). The daily rate of patients administered any
parenteral opioid decreased by 57% (6% vs 14%; P < .001). Doses of opioids given
by oral or parenteral route were reduced by 23% (0.73 vs 0.95 doses per patient
day, P = .02), and mean daily overall opioid exposure decreased by 31% (6.30
[4.12] vs 9.11 [7.34] MMEs per patient-day). For hospital days 1 through 3, there
were no significant postintervention vs preintervention differences in mean
reported pain score for patients receiving opioid therapy: day 1, -0.19 (95% CI,
0.94 to 0.56); day 2, -0.49 (95% CI, -1.01 to 0.03); and day 3, -0.54 (95% CI,
1.18 to 0.09). However, significant improvement was seen in the intervention
group on days 4 (-1.07; 95% CI, -1.80 to -0.34) and 5 (-1.06; 95% CI, -1.84 to
0.27). Conclusions and Relevance: An intervention targeting the use of
intravenous opioids may be associated with reduced opioid exposure while
providing effective pain control to hospitalized adults.
PMID- 29799965
TI - Assessment of a Patient-Specific, 3-Dimensionally Printed Endoscopic Sinus and
Skull Base Surgical Model.
AB - Importance: Three-dimensional (3D) printing is an emerging tool in the creation
of anatomical models for simulation and preoperative planning. Its use in sinus
and skull base surgery has been limited because of difficulty in replicating the
details of sinus anatomy. Objective: To describe the development of 3D-printed
sinus and skull base models for use in endoscopic skull base surgery. Design,
Setting, and Participants: In this single-center study performed from April 1,
2017, through June 1, 2017, a total of 7 otolaryngology residents and 2 attending
physicians at a tertiary academic center were recruited to evaluate the
procedural anatomical accuracy and haptic feedback of the printed model.
Interventions: A 3D model of sinus and skull base anatomy with high-resolution,
3D printed material (VeroWhite) was printed using a 3D printer. Anatomical
accuracy was assessed by comparing a computed tomogram of the original patient
with that of the 3D model across set anatomical landmarks (eg, depth of
cribriform plate). Image-guided navigation was also used to evaluate accuracy of
13 surgical landmarks. Likert scale questionnaires (1 indicating strongly
disagree; 2, disagree; 3, neutral; 4, agree; and 5, strongly agree) were
administered to 9 study participants who each performed sinus and skull base
dissections on the 3D-printed model to evaluate anatomical accuracy and haptic
feedback. Main Outcomes and Measures: Main outcomes of the study include
objective anatomical accuracy through imaging and navigation and haptic
evaluation by the study participants. Results: Seven otolaryngology residents (3
postgraduate year [PGY]-5 residents, 2 PGY-4 residents, 1 PGY-3 resident, and 1
PGY-2 resident) and 2 attending physicians evaluated the haptic feedback of the
3D model. Computed tomographic comparison demonstrated a less than 5% difference
between patient and 3D model measurements. Image-guided navigation confirmed
accuracy of 13 landmarks to within 1 mm. Likert scores were a mean (SD) of 4.00
(0.71) for overall procedural anatomical accuracy and 4.67 (0.5) for haptic
feedback. Conclusions and Relevance: This study shows that high-resolution, 3D
printed sinus and skull base models can be generated with anatomical and haptic
accuracy. This technology has the potential to be useful in surgical training and
preoperative planning and as a supplemental or alternative simulation or training
platform to cadaveric dissection.
PMID- 29799966
TI - Subacute Vision Loss in a Young Pregnant Patient With Proliferative Diabetic
Retinopathy.
PMID- 29799967
TI - Preparing Physician-Scientists for an Evolving Research Ecosystem.
PMID- 29799969
TI - Error in End Matter.
PMID- 29799970
TI - Why Do We Still Rely on Ophthalmoscopy to Diagnose Retinopathy of Prematurity?
PMID- 29799971
TI - Hyoscyamine for a Slow Ventricular Response During Atrial Fibrillation.
PMID- 29799972
TI - In Pursuit of Better Measures of Quality of Care.
PMID- 29799968
TI - Weekly and Monthly Subcutaneous Buprenorphine Depot Formulations vs Daily
Sublingual Buprenorphine With Naloxone for Treatment of Opioid Use Disorder: A
Randomized Clinical Trial.
AB - Importance: Buprenorphine treatment for opioid use disorder may be improved by
sustained-release formulations. Objective: To determine whether treatment
involving novel weekly and monthly subcutaneous (SC) buprenorphine depot
formulations is noninferior to a daily sublingual (SL) combination of
buprenorphine hydrochloride and naloxone hydrochloride in the treatment of opioid
use disorder. Design, Setting, and Participants: This outpatient, double-blind,
double-dummy randomized clinical trial was conducted at 35 sites in the United
States from December 29, 2015, through October 19, 2016. Participants were
treatment-seeking adults with moderate-to-severe opioid use disorder.
Interventions: Randomization to daily SL placebo and weekly (first 12 weeks;
phase 1) and monthly (last 12 weeks; phase 2) SC buprenorphine (SC-BPN group) or
to daily SL buprenorphine with naloxone (24 weeks) with matched weekly and
monthly SC placebo injections (SL-BPN/NX group). Main Outcomes and Measures:
Primary end points tested for noninferiority were response rate (10% margin) and
the mean proportion of opioid-negative urine samples for 24 weeks (11% margin).
Responder status was defined as having no evidence of illicit opioid use for at
least 8 of 10 prespecified points during weeks 9 to 24, with 2 of these at week
12 and during month 6 (weeks 21-24). The mean proportion of samples with no
evidence of illicit opioid use (weeks 4-24) evaluated by a cumulative
distribution function (CDF) was an a priori secondary outcome with planned
superiority testing if the response rate demonstrated noninferiority. Results: A
total of 428 participants (263 men [61.4%] and 165 women [38.6%]; mean [SD] age,
38.4 [11.0] years) were randomized to the SL-BPN/NX group (n = 215) or the SC-BPN
group (n = 213). The response rates were 31 of 215 (14.4%) for the SL-BPN/NX
group and 37 of 213 (17.4%) for the SC-BPN group, a 3.0% difference (95% CI,
4.0% to 9.9%; P < .001). The proportion of opioid-negative urine samples was 1099
of 3870 (28.4%) for the SL-BPN/NX group and 1347 of 3834 (35.1%) for the SC-BPN
group, a 6.7% difference (95% CI, -0.1% to 13.6%; P < .001). The CDF for the SC
BPN group (26.7%) was statistically superior to the CDF for the SL-BPN/NX group
(0; P = .004). Injection site adverse events (none severe) occurred in 48
participants (22.3%) in the SL-BPN/NX group and 40 (18.8%) in the SC-BPN group.
Conclusions and Relevance: Compared with SL buprenorphine, depot buprenorphine
did not result in an inferior likelihood of being a responder or having urine
test results negative for opioids and produced superior results on the CDF of no
illicit opioid use. These data suggest that depot buprenorphine is efficacious
and may have advantages. Trial Registration: ClinicalTrials.gov Identifier:
NCT02651584.
PMID- 29799973
TI - A Novel Strategy for Increasing Access to Treatment for Hepatitis C Virus
Infection for Medicaid Beneficiaries.
PMID- 29799974
TI - Assessment of Electronic Alert to Reduce Overuse of Granulocyte Colony
Stimulating Factor in Patients Hospitalized for Febrile Neutropenia.
PMID- 29799975
TI - Amyloid-beta (1-40) and Mortality in Patients With Non-ST-Segment Elevation Acute
Coronary Syndrome: A Cohort Study.
AB - Background: Amyloid-beta (1-40) (Abeta40) is implicated in mechanisms related to
plaque destabilization and correlates with adverse outcomes in stable coronary
artery disease. Objective: To determine the prognostic and reclassification value
of baseline circulating levels of Abeta40 after adjustment for the Global
Registry of Acute Coronary Events (GRACE) score, which is widely recommended for
risk stratification in non-ST-segment elevation acute coronary syndrome (NSTE
ACS). Design: Retrospective cohort study using data from 2 independent
prospective cohorts, the Heidelberg study (n = 1145) and the validation
multicenter international APACE (Advantageous Predictors of Acute Coronary
Syndrome Evaluation) study (n = 734). Setting: Academic hospitals in 7 European
countries. Participants: Patients with adjudicated NSTE-ACS followed for a median
of 21.9 and 24.9 months in the Heidelberg and APACE studies, respectively.
Measurements: All-cause mortality was the primary end point. Results: Amyloid
beta (1-40) was associated with mortality after multivariate adjustment for age,
sex, diabetes mellitus, high-sensitivity cardiac troponin T and C-reactive
protein, revascularization, and ACS type (Heidelberg cohort hazard ratio [HR] for
80th vs. 20th percentiles, 1.66 [95% CI, 1.06 to 2.61; P = 0.026]; APACE cohort
HR, 1.50 [CI, 1.15 to 1.96; P = 0.003]). It was also associated with mortality
after adjustment for the GRACE score (Heidelberg cohort HR for 80th vs. 20th
percentiles, 1.11 [CI, 1.04 to 1.18; P = 0.001]; APACE cohort HR, 1.39 [CI, 1.02
to 1.88; P = 0.036]). Amyloid-beta (1-40) correctly reclassified risk for death
over the GRACE score (net reclassification index, 33.4% and 47.1% for the
Heidelberg and APACE cohorts, respectively) (P < 0.05). Limitation: At low
concentrations of Abeta40, dose-response associations with mortality differed
between cohorts, possibly because of varying blood preparations used to measure
Abeta40. Conclusion: Circulating Abeta40 is a predictor of mortality and improves
risk stratification of patients with NSTE-ACS over the GRACE score recommended by
clinical guidelines. The clinical application of Abeta40 as a novel biomarker in
NSTE-ACS should be further explored and validated. Primary Funding Source: German
Cardiac Society.
PMID- 29799977
TI - Zygomaticomaxillary Complex-Orbit Fracture Alignment: Comparison of Buccal and
Orbital Approach Techniques.
PMID- 29799976
TI - Assessment of a Noninvasive Exhaled Breath Test for the Diagnosis of
Oesophagogastric Cancer.
AB - Importance: Early esophagogastric cancer (OGC) stage presents with nonspecific
symptoms. Objective: The aim of this study was to determine the accuracy of a
breath test for the diagnosis of OGC in a multicenter validation study. Design,
Setting, and Participants: Patient recruitment for this diagnostic validation
study was conducted at 3 London hospital sites, with breath samples returned to a
central laboratory for selected ion flow tube mass spectrometry (SIFT-MS)
analysis. Based on a 1:1 cancer:control ratio, and maintaining a sensitivity and
specificity of 80%, the sample size required was 325 patients. All patients with
cancer were on a curative treatment pathway, and patients were recruited
consecutively. Among the 335 patients included; 172 were in the control group and
163 had OGC. Interventions: Breath samples were collected using secure 500-mL
steel breath bags and analyzed by SIFT-MS. Quality assurance measures included
sampling room air, training all researchers in breath sampling, regular
instrument calibration, and unambiguous volatile organic compounds (VOCs)
identification by gas chromatography mass spectrometry. Main Outcomes and
Measures: The risk of cancer was identified based on a previously generated 5
VOCs model and compared with histopathology-proven diagnosis. Results: Patients
in the OGC group were older (median [IQR] age 68 [60-75] vs 55 [41-69] years) and
had a greater proportion of men (134 [82.2%]) vs women (81 [47.4%]) compared with
the control group. Of the 163 patients with OGC, 123 (69%) had tumor stage T3/4,
and 106 (65%) had nodal metastasis on clinical staging. The predictive
probabilities generated by this 5-VOCs diagnostic model were used to generate a
receiver operator characteristic curve, with good diagnostic accuracy, area under
the curve of 0.85. This translated to a sensitivity of 80% and specificity of 81%
for the diagnosis of OGC. Conclusions and Relevance: This study shows the
potential of breath analysis in noninvasive diagnosis of OGC in the clinical
setting. The next step is to establish the diagnostic accuracy of the test among
the intended population in primary care where the test will be applied.
PMID- 29799978
TI - Osmotic Demyelination Syndrome With Evolving Movement Disorders.
PMID- 29799979
TI - Assessment of Frontalis Myocutaneous Transposition Flap for Forehead
Reconstruction After Mohs Surgery.
AB - Importance: Forehead reconstruction after Mohs surgery has become a challenge for
dermatology surgeons, and achieving an excellent cosmetic and functional result
is imperative in this location. Objective: To highlight the utility of a
frontalis myocutaneous transposition flap (FMTF) for forehead reconstruction
after Mohs surgery. Design, Setting, and Participants: Surgical technique case
series including 12 patients with large forehead defects recruited between
January 2010 and June 2017 at the Dermatology Department of the University Clinic
of Navarra, Spain. All patients underwent Mohs micrographic surgery for skin
cancer (5 basal cell carcinomas, 4 melanomas, 2 squamous cell carcinomas, and 1
adnexal tumor) located on the forehead (8 paramedian, 2 midline, and 2 lateral
subunits) resulting in defects ranging from 9 to 28 cm2 in size. Intervention:
Mohs micrographic surgery followed by FMTF. Taking into account the defect's size
and location, a lateral lobulated flap is designed with an inferior pedicle and
incision lines are made vertically to the hairline containing part of the
frontalis muscle or its fascia. The flap swings into the primary defect and
direct closure of the donor site is achieved. Additional corrections for removing
skin folds or a guitar-string suture can be made. Main Outcomes and Measures:
Absence of acute complications and achievement of high aesthetic and functional
goals in postoperative follow-up. Results: Satisfactory cosmetic and functional
results were achieved for all 12 patients (7 men and 5 women; mean age, 62.7
years [range, 47-86 years]) and there were no postoperative complications. All
the myocutaneous flaps survived without any acute complications, such as episodes
of local bleeding, infection, flap margin necrosis, or congestion. Postoperative
follow-up ranged from 6 months to 3 years. No patient needed scar revision. Six
patients presented with paresthesia in areas of the forehead and scalp. Sensory
recovery tended to improve over time, and paresthesia gradually decreased,
disappearing in 5 of 6 cases after 12 months. In 3 patients there was a minimal
hair transposition that required laser treatment. Conclusions and Relevance: The
FMTF provides a simple method for 1-stage reconstruction of large forehead
defects as an alternative to classic advancement flaps.
PMID- 29799980
TI - Photophobia in an Immunocompromised Patient.
PMID- 29799981
TI - Assessment of Extent and Role of Tau in Subcortical Vascular Cognitive Impairment
Using 18F-AV1451 Positron Emission Tomography Imaging.
AB - Importance: Amyloid-beta (Abeta), tau, and cerebral small vessel disease (CSVD),
which occasionally coexist, are the most common causes of cognitive impairments
in older people. However, whether tau is observed in patients with subcortical
vascular cognitive impairment (SVCI), as well as its associations with Abeta and
CSVD, are not yet established. More importantly, the role of tau underlying
cognitive impairments in SVCI is unknown. Objective: To investigate the extent
and the role of tau in patients with SVCI using 18F-AV1451, which is a new ligand
to detect neurofibrillary tangles in vivo. Design, Setting, and Participants:
This cross-sectional study recruited 64 patients with SVCI from June 2015 to
December 2016 at Samsung Medical Center, Seoul, Korea. The patients had
significant ischemia on brain magnetic resonance imaging, defined as
periventricular white matter hyperintensity at least 10 mm and deep white matter
hyperintensity at least 25 mm. We excluded 3 patients with SVCI owing to
segmentation error during AV1451 positron emission tomography analysis. Main
Outcomes and Measures: We calculated CSVD scores based on the volumes of white
matter hyperintensities, numbers of lacunes, and microbleeds using magnetic
resonance imaging data. The presence of Abeta was assessed using fluorine 18
labeled (18F) florbetaben positron emission tomography. Tau was measured using
18F-AV1451 positron emission tomography. We determined the spreading order of tau
by sorting the regional frequencies of cortical involvement. We evaluated the
complex associations between Abeta, CSVD, AV1451 uptake, and cognition in
patients with SVCI. Results: Of the 61 patients with SVCI, 44 (72.1%) were women
and the mean (SD) age was 78.7 (6.3) years. Patients with SVCI, especially
patients with Abeta-negative SVCI, showed higher AV1451 uptake in the inferior
temporal areas compared with normal control individuals. In patients with SVCI,
Abeta positivity and CSVD score were each independently associated with increased
AV1451 uptake in the medial temporal and inferior temporal regions, respectively.
Involvement frequency of AV1451 uptake in the fusiform gyrus, inferior temporal,
and precuneus regions were higher than that in the parahippocampal region. In
patients with SVCI, higher AV1451 uptake in the inferior temporal and medial
temporal regions correlated with worse language and general cognitive function.
In patients with SVCI, Abeta positivity and CSVD score each correlated with worse
general cognitive function, which was completely mediated by AV1451 uptake in the
entorhinal cortex and inferior temporal gyrus, respectively. Conclusions and
Relevance: Our findings suggest that in SVCI, both Abeta and CSVD were
independently associated with increased tau accumulation. Furthermore, tau burden
played a pivotal role because it was the final common pathway for the cognitive
impairment in patients with SVCI.
PMID- 29799982
TI - Effect of Needle Aspiration of Pneumothorax on Subsequent Chest Drain Insertion
in Newborns: A Randomized Clinical Trial.
AB - Importance: Treatment options for a symptomatic pneumothorax in newborns include
needle aspiration (NA) and chest drain (CD) insertion. There is little consensus
as to the preferred treatment, reflecting a lack of evidence from clinical
trials. Objective: To investigate whether treating pneumothoraces diagnosed on
chest radiography (CR) in newborns receiving respiratory support with NA results
in fewer infants having CDs inserted within 6 hours of diagnosis. Design,
Setting, and Participants: This randomized clinical trial was conducted from
October 7, 2013, to December 21, 2016. The setting was 5 tertiary European
neonatal intensive care units. Infants receiving respiratory support
(endotracheal ventilation, continuous positive airway pressure, or supplemental
oxygen >40%) who had a pneumothorax on CR that clinicians deemed needed treatment
were eligible for inclusion. Interventions: Infants were randomly assigned (1:1)
to drainage using NA or CD insertion, stratified by center and gestation at birth
(<32 vs >=32 weeks). Caregivers were not masked to group assignment. For NA, a
needle was inserted between the ribs to aspirate air and was removed once air was
no longer aspirated. A CD was inserted if clinicians deemed that the response was
inadequate. For CD insertion, a drain was inserted between the ribs and was left
in situ. Main Outcomes and Measures: The primary outcome was whether a CD was
inserted on the side of the pneumothorax within 6 hours of diagnosis. Results: A
total of 76 infants were randomly assigned, and 6 (4 assigned to NA and 2 to CD)
were excluded because they met exclusion criteria at enrollment. Of the 70
remaining infants, 33 (16 male [48%]) were assigned to NA and 37 (22 male [59%])
to CD insertion. Their median (interquartile range [IQR]) gestational age was 31
(27-38) vs 31 (27-35) weeks, and their median (IQR) birth weight was 1385 (1110
3365) vs 1690 (1060-2025) g, respectively. Fewer infants assigned to NA had a CD
inserted within 6 hours (55% [18 of 33] vs 100% [37 of 37]; relative risk, 0.55;
95% CI, 0.40-0.75) and during hospitalization (70% [23 of 33] vs 100% [37 of 37];
relative risk, 0.70, 95% CI, 0.56-0.87). Conclusions and Relevance: Needle
aspiration reduced the rate of CD insertion in symptomatic newborns with
pneumothorax on CR. It should be used as the initial method of draining
radiologically confirmed pneumothorax in symptomatic infants. Trial Registration:
isrctn.org Identifier: ISRCTN65161530.
PMID- 29799983
TI - Individual and Area-Based Socioeconomic Factors Associated With Dementia
Incidence in England: Evidence From a 12-Year Follow-up in the English
Longitudinal Study of Ageing.
AB - Importance: Lower educational attainment is associated with a higher risk of
dementia. However, less clear is the extent to which other socioeconomic markers
contribute to dementia risk. Objective: To examine the relationship of education,
wealth, and area-based deprivation with the incidence of dementia over the last
decade in England and investigate differences between people born in different
periods. Design, Setting, and Participants: Data from the English Longitudinal
Study of Ageing, a prospective cohort study that is representative of the English
population, were used to investigate the associations between markers of
socioeconomic status (wealth quintiles and the index of multiple deprivation) and
dementia incidence. To investigate outcomes associated with age cohorts, 2
independent groups were derived using a median split (born between 1902-1925 and
1926-1943). Main Outcomes and Measures: Dementia as determined by physician
diagnosis and the Informant Questionnaire on Cognitive Decline in the Elderly.
Results: A total of 6220 individuals aged 65 years and older enrolled in the
study (median [interquartile range] age at baseline, 73.2 [68.1-78.3] years; 3410
[54.8%] female). Of these, 463 individuals (7.4%) had new cases of dementia
ascertained in the 12 years between 2002-2003 and 2014-2015. In the cohort born
between 1926 and 1943, the hazard of developing dementia was 1.68 times higher
(hazard ratio [HR] = 1.68 [95% CI, 1.05-2.86]) for those in the lowest wealth
quintile compared with those in the highest quintile, independent of education,
index of multiple deprivation, and health indicators. Higher hazards were also
observed for those in the second-highest quintile of index of multiple
deprivation (HR = 1.62 [95% CI, 1.06-2.46]) compared with those in the lowest
(least deprived) quintile. Conclusions and Relevance: In an English nationally
representative sample, the incidence of dementia appeared to be socioeconomically
patterned primarily by the level of wealth. This association was somewhat
stronger for participants born in later years.
PMID- 29799985
TI - Toward Better Stewardship: Gaining Control Over Controlled Substances.
PMID- 29799988
TI - Incorporating Physical Function and Cognition Into Mortality Risk Assessment for
Acute Myeloid Leukemia-Reply.
PMID- 29799989
TI - Ethics and the Underreporting of Research Biopsy Findings in Clinical Trials.
PMID- 29799984
TI - Use of Flutemetamol F 18-Labeled Positron Emission Tomography and Other
Biomarkers to Assess Risk of Clinical Progression in Patients With Amnestic Mild
Cognitive Impairment.
AB - Importance: Patients with amnestic mild cognitive impairment (aMCI) may progress
to clinical Alzheimer disease (AD), remain stable, or revert to normal. Earlier
progression to AD among patients who were beta-amyloid positive vs those who were
beta-amyloid negative has been previously observed. Current research now accepts
that a combination of biomarkers could provide greater refinement in the
assessment of risk for clinical progression. Objective: To evaluate the ability
of flutemetamol F 18 and other biomarkers to assess the risk of progression from
aMCI to probable AD. Design, Setting, and Participants: In this multicenter
cohort study, from November 11, 2009, to January 16, 2014, patients with aMCI
underwent positron emission tomography (PET) at baseline followed by local
clinical assessments every 6 months for up to 3 years. Patients with aMCI (365
screened; 232 were eligible) were recruited from 28 clinical centers in Europe
and the United States. Physicians remained strictly blinded to the results of
PET, and the standard of truth was an independent clinical adjudication committee
that confirmed or refuted local assessments. Flutemetamol F 18-labeled PET scans
were read centrally as either negative or positive by 5 blinded readers with no
knowledge of clinical status. Statistical analysis was conducted from February
19, 2014, to January 26, 2018. Interventions: Flutemetamol F 18-labeled PET at
baseline followed by up to 6 clinical visits every 6 months, as well as magnetic
resonance imaging and multiple cognitive measures. Main Outcomes and Measures:
Time from PET to probable AD or last follow-up was plotted as a Kaplan-Meier
survival curve; PET scan results, age, hippocampal volume, and aMCI stage were
entered into Cox proportional hazards logistic regression analyses to identify
variables associated with progression to probable AD. Results: Of 232 patients
with aMCI (118 women and 114 men; mean [SD] age, 71.1 [8.6] years), 98 (42.2%)
had positive results detected on PET scan. By 36 months, the rates of progression
to probable AD were 36.2% overall (81 of 224 patients), 53.6% (52 of 97) for
patients with positive results detected on PET scan, and 22.8% (29 of 127) for
patients with negative results detected on PET scan. Hazard ratios for
association with progression were 2.51 (95% CI, 1.57-3.99; P < .001) for a
positive beta-amyloid scan alone (primary outcome measure), 5.60 (95% CI, 3.14
9.98; P < .001) with additional low hippocampal volume, and 8.45 (95% CI, 4.40
16.24; P < .001) when poorer cognitive status was added to the model. Conclusions
and Relevance: A combination of positive results of flutemetamol F 18-labeled
PET, low hippocampal volume, and cognitive status corresponded with a high
probability of risk of progression from aMCI to probable AD within 36 months.
PMID- 29799987
TI - Characteristics of Radiotherapy Trials Compared With Other Oncological Clinical
Trials in the Past 10 Years.
AB - Importance: Modern precision radiotherapy is an innovative and effective
treatment of cancer, yet it is unclear how radiotherapy trials are affected by
expanding targeted and immune therapies and declining National Institutes of
Health funding. Objective: To analyze and compare the characteristics of
radiotherapy trials with other oncological trials registered on
ClinicalTrials.gov. Design, Setting, and Participants: This is a cross-sectional
analysis of trials registered on ClinicalTrials.gov between June 1, 2007, and May
8, 2017. Records of all 243 758 clinical studies registered by May 8, 2017, were
downloaded, but only 25 907 interventional oncological trials registered between
June 1, 2007, and May 8, 2017, and whose primary purpose was "treatment" were
included in the final analysis. Trials were categorized according to cancer type
and other registration information. Main Outcomes and Measures: Characteristics
of radiotherapy trials were compared with characteristics of other oncological
trials. Chronological shifts in radiotherapy trials were also analyzed. Results:
Of the 25 907 trials selected, 1378 (5.3%) were radiotherapy trials and 24 529
(94.7%) were other oncological studies. The number of radiotherapy trials
increased gradually from 94 (June 1, 2007, through May 31, 2008) to 192 (June 1,
2015, through May 31, 2016). Radiotherapy trials were less likely than other
oncological studies to be registered before participant enrollment (763 of 1370
[55.7%] vs 16 105 of 24 434 [65.9%]; P < .001), to be blinded (45 of 1378 [3.3%]
vs 2784 of 24 529 [11.3%]; P < .001), or to involve multiple geographic regions
(2.4% vs 9.5%; P < .001), but they were more likely to be phase 2 to 3 (773 of
1124 [68.8%] vs 12 910 of 22 300 [57.9%]; P < .001) and to have a data-monitoring
committee (839 of 1264 [66.4%] vs 11 728 of 21 060 [55.7%]; P < .001). Only a
minority of radiotherapy trials were industry sponsored, which was significantly
lower than for other oncological trials (80 of 1378 [5.8%] vs 10 651 of 24 529
[43.4%]; P < .001; adjusted odds ratio, 0.08; 95% CI, 0.06-0.10). The number of
National Institutes of Health-sponsored radiotherapy trials decreased from 80 of
544 trials (14.7%) from 2007 to 2012 to 72 of 834 trials (8.6%) from 2012 to 2017
(P < .001). Radiotherapy trials with a sample size of more than 100 patients
decreased from 155 of 543 trials (28.5%) from 2007 to 2012 to 157 of 833 trials
(18.8%) from 2012 to 2017 (P < .001). Conclusions and Relevance: The limited
number of and the scarcity of funding for radiotherapy trials is concerning given
the integral role of radiotherapy in the clinical management of patients with
cancer worldwide. A multidisciplinary collaboration to promote and fund more
radiotherapy research is warranted.
PMID- 29799986
TI - Interactive Associations of Vascular Risk and beta-Amyloid Burden With Cognitive
Decline in Clinically Normal Elderly Individuals: Findings From the Harvard Aging
Brain Study.
AB - Importance: Identifying asymptomatic individuals at high risk of impending
cognitive decline because of Alzheimer disease is crucial for successful
prevention of dementia. Vascular risk and beta-amyloid (Abeta) pathology commonly
co-occur in older adults and are significant causes of cognitive impairment.
Objective: To determine whether vascular risk and Abeta burden act additively or
synergistically to promote cognitive decline in clinically normal older adults;
and, secondarily, to evaluate the unique influence of vascular risk on
prospective cognitive decline beyond that of commonly used imaging biomarkers,
including Abeta burden, hippocampal volume, fludeoxyglucose F18-labeled (FDG)
positron emission tomography (PET), and white matter hyperintensities, a marker
of cerebrovascular disease. Design, Setting, and Participants: In this
longitudinal observational study, we examined clinically normal older adults from
the Harvard Aging Brain Study. Participants were required to have baseline
imaging data (FDG-PET, Abeta-PET, and magnetic resonance imaging), baseline
medical data to quantify vascular risk, and at least 1 follow-up
neuropsychological visit. Data collection began in 2010 and is ongoing. Data
analysis was performed on data collected between 2010 and 2017. Main Outcomes and
Measures: Vascular risk was quantified using the Framingham Heart Study general
cardiovascular disease (FHS-CVD) risk score. We measured Abeta burden with
Pittsburgh Compound-B PET. Cognition was measured annually with the Preclinical
Alzheimer Cognitive Composite. Models were corrected for baseline age, sex, years
of education, and apolipoprotein E epsilon4 status. Results: Of the 223
participants, 130 (58.3%) were women. The mean (SD) age was 73.7 (6.0) years, and
the mean (SD) follow-up time was 3.7 (1.2) years. Faster cognitive decline was
associated with both a higher FHS-CVD risk score (beta = -0.064; 95% CI, -0.094
to -0.033; P < .001) and higher Abeta burden (beta = -0.058; 95% CI, -0.079 to
0.037; P < .001). The interaction of the FHS-CVD risk score and Abeta burden with
time was significant (beta = -0.040, 95% CI, -0.062 to -0.018; P < .001),
suggesting a synergistic effect. The FHS-CVD risk score remained robustly
associated with prospective cognitive decline (beta = -0.055; 95% CI, -0.086 to
0.024; P < .001), even after adjustment for Abeta burden, hippocampal volume, FDG
PET uptake, and white matter hyperintensities. Conclusions and Relevance: In this
study, vascular risk was associated with prospective cognitive decline in
clinically normal older adults, both alone and synergistically with Abeta burden.
Vascular risk may complement imaging biomarkers in assessing risk of prospective
cognitive decline in preclinical Alzheimer disease.
PMID- 29799991
TI - Reliably Quantifying Bone Metastases in Prostate Cancer-Are We Finally There?
PMID- 29799990
TI - Mineralocorticoid Receptor Antagonists in ST-Segment Elevation Myocardial
Infarction.
PMID- 29799993
TI - Incorporating Physical Function and Cognition Into Mortality Risk Assessment for
Acute Myeloid Leukemia.
PMID- 29799994
TI - A Call for a Systems-Thinking Approach to Medication Adherence: Stop Blaming the
Patient.
PMID- 29799992
TI - Trends in Platelet Adenosine Diphosphate P2Y12 Receptor Inhibitor Use and
Adherence Among Antiplatelet-Naive Patients After Percutaneous Coronary
Intervention, 2008-2016.
AB - Importance: Current guidelines recommend prasugrel hydrochloride and ticagrelor
hydrochloride as preferred therapies for patients with acute coronary syndrome
(ACS) treated with percutaneous coronary intervention (PCI). However, it is not
well known how frequently these newer agents are being used in clinical practice
or how adherence varies among the platelet adenosine diphosphate P2Y12 receptor
(P2Y12) inhibitors. Objectives: To determine trends in use of the different P2Y12
inhibitors in patients who underwent PCI from 2008 to 2016 in a large cohort of
commercially insured patients and differences in patient adherence and costs
among the P2Y12 inhibitors. Design, Setting, and Participants: A retrospective
cohort study used administrative claims from a large US national insurer (ie,
UnitedHealthcare) from January 1, 2008, to December 1, 2016, comprising patients
aged 18 to 64 years hospitalized for PCI who had not received a P2Y12 inhibitor
for 90 days preceding PCI. The P2Y12 inhibitor filled within 30 days of discharge
was identified from pharmacy claims. Main Outcomes and Measures: Proportion of
patients filling prescriptions for P2Y12 inhibitors within 30 days of discharge
by year, as well as medication possession ratios (MPRs) and total P2Y12 inhibitor
copayments at 6 and 12 months for patients who received drug-eluting stents.
Results: A total of 55 340 patients (12 754 [23.0%] women; mean [SD] age, 54.4
[7.1] years) who underwent PCI were included in this study. In 2008, 7667 (93.6%)
patients filled a prescription for clopidogrel bisulfate and 521 (6.4%) filled no
P2Y12 inhibitor prescription within 30 days of hospitalization. In 2016, 2406
(44.0%) patients filled clopidogrel prescriptions, 2015 (36.9%) filled either
prasugrel or ticagrelor prescriptions, and 1045 (19.1%) patients filled no P2Y12
inhibitor prescription within 30 days of hospitalization. At 6 months, mean MPRs
for patients who received a drug-eluting stent filling clopidogrel, prasugrel,
and ticagrelor prescriptions were 0.85 (interquartile range [IQR], 0.82-1.00),
0.79 (IQR, 0.66-1.00), and 0.76 (IQR, 0.66-0.98) (P < .001), respectively; mean
copayments for a 6 months' supply were $132 (IQR, $47-$203), $287 (IQR, $152
$389), and $265 (IQR, $53-$387) (P < .001), respectively. At 12 months, mean MPRs
for clopidogrel, prasugrel, and ticagrelor were 0.76 (IQR, 0.58-0.99), 0.71 (IQR,
0.49-0.98), and 0.68 (IQR, 0.41-0.94) (P < .001), respectively; mean total
copayments were $251 (IQR, $100-$371), $556 (IQR, $348-$730), and $557 (IQR, $233
$744) (P < .001), respectively. Conclusions and Relevance: Between 2008 and 2016,
increased use of prasugrel and ticagrelor was accompanied by increased nonfilling
of prescriptions for P2Y12 inhibitors within 30 days of discharge. Prasugrel and
ticagrelor had higher patient costs and lower adherence in the year following PCI
compared with clopidogrel. The introduction of newer, more expensive P2Y12
inhibitors was associated with lower adherence to these therapies.
PMID- 29799996
TI - How Do Children Organize Their Speech in the First Years of Life? Insight From
Ultrasound Imaging.
AB - Purpose: This study reports on a cross-sectional investigation of lingual
coarticulation in 57 typically developing German children (4 cohorts from 3.5 to
7 years of age) as compared with 12 adults. It examines whether the organization
of lingual gestures for intrasyllabic coarticulation differs as a function of age
and consonantal context. Method: Using the technique of ultrasound imaging, we
recorded movement of the tongue articulator during the production of pseudowords,
including various vocalic and consonantal contexts. Results: Results from linear
mixed-effects models show greater lingual coarticulation in all groups of
children as compared with adults with a significant decrease from the
kindergarten years (at ages 3, 4, and 5 years) to the end of the 1st year into
primary school (at age 7 years). Additional differences in coarticulation degree
were found across and within age groups as a function of the onset consonant
identity (/b/, /d/, and /g/). Conclusions: Results support the view that,
although coarticulation degree decreases with age, children do not organize
consecutive articulatory gestures with a uniform organizational scheme (e.g.,
segmental or syllabic). Instead, results suggest that coarticulatory organization
is sensitive to the underlying articulatory properties of the segments combined.
PMID- 29799997
TI - Diversity of Participants in the 340B Drug Pricing Program for US Hospitals.
PMID- 29799995
TI - Aldosterone Antagonist Therapy and Mortality in Patients With ST-Segment
Elevation Myocardial Infarction Without Heart Failure: A Systematic Review and
Meta-analysis.
AB - Importance: Treatment with aldosterone antagonists is recommended and has been
shown to have beneficial effects in patients with ST-segment elevation myocardial
infarction (STEMI) and left ventricular ejection fraction (LVEF) less than 40%.
However, the role of aldosterone antagonists in patients with ejection fraction
greater than 40% or without congestive heart failure is not well known.
Objectives: To perform a systematic review and meta-analysis using standard
techniques to determine the role of therapy with aldosterone antagonists in this
patient population. Data Sources: PubMed, Embase, CINAHL, and Cochrane Central
databases were searched and a manual search for relevant references from the
selected articles and published reviews was performed from database inception
through June 2017. Study Selection: Randomized clinical trials that evaluated
treatment with aldosterone antagonists in patients with STEMI without clinical
heart failure or LVEF greater than 40% were included. Data Extraction and
Synthesis: Preferred Reporting Items for Systematic Reviews and Meta-analyses
guidelines were used to conduct and report the meta-analysis, which used a random
effects model. Two investigators independently performed the database search and
agreed on the final study selection. A manual search was performed for relevant
references from the selected articles and published reviews. Main Outcomes and
Measures: The outcomes analyzed were mortality, new congestive heart failure,
recurrent myocardial infarction, ventricular arrhythmia, and changes in LVEF,
serum potassium level, and creatinine level at follow-up. Results: In all, 10
randomized clinical trials with a total of 4147 unique patients were included in
the meta-analysis. In patients who presented with STEMI without heart failure,
treatment with aldosterone antagonists compared with control was associated with
lower risk of mortality (2.4% vs 3.9%; odds ratio [OR], 0.62; 95% CI, 0.42-0.91;
P = .01) and similar risks of myocardial infarction (1.6% vs 1.5%; OR, 1.03; 95%
CI, 0.57-1.86; P = .91), new congestive heart failure (4.3% vs 5.4%; OR, 0.82;
95% CI, 0.56-1.20; P = .31), and ventricular arrhythmia (4.1% vs 5.1%; OR, 0.76;
95% CI, 0.45-1.31; P = .33). Similarly, treatment with aldosterone antagonists
compared with control was associated with a small yet significant increase in
LVEF (mean difference, 1.58%; 95% CI, 0.18%-2.97%; P = .03), a small increase in
serum potassium level (mean difference, 0.07 mEq/L; 95% CI, 0.01-0.13 mEq/L; P =
.02), and no change in serum creatinine level (standardized mean difference, 1.4;
95% CI, -0.43 to 3.24; P = .13). Conclusions and Relevance: Treatment with
aldosterone antagonists is associated with a mortality benefit in patients with
STEMI with LVEF greater than 40% or without heart failure.
PMID- 29799998
TI - Association of Standardized Tracheostomy Care Protocol Implementation and
Reinforcement With the Prevention of Life-Threatening Respiratory Events.
AB - Importance: Mucus plugging after tracheostomy is a preventable cause of
respiratory distress. Implementation of standardized tracheostomy care guidelines
may reduce the occurrence of fatal respiratory compromise. Objective: To
determine the effect of implementing and reinforcing a standardized tracheostomy
care protocol on the occurrence of acute life-threatening respiratory events.
Design, Setting, and Participants: Retrospective cohort study of adult patients
who received a tracheostomy between May 2014 and August 2016 at a tertiary care
center. Main Outcomes and Measures: Patient demographics, tracheostomy
indication, rapid response for mucus plugging and other acute events, duration of
hospital stay, and levels of care that the patients received were recorded
through examination of clinical logs. Statistical analysis was conducted between
patients before protocol implementation and patients after protocol
implementation in terms of rapid-response use, and intragroup comparison of the
mean length of stay in various hospital units was also analyzed. Results: A total
of 247 patients (89 women [36%]; mean [SD] age, 58.5 [12.3] years), 117
preprotocol and 130 postprotocol, met inclusion criteria. Of the 130 patients in
the postprotocol cohort, 123 (93%) were on the new tracheostomy care protocol.
Preprotocol rapid-response rate was 21 of 117 patients (17.9%) and postprotocol
response rate was 12 of 130 patients (9.2%) for a difference of 8.7% (95% CI,
0.2%-18.0%). In terms of mucus plugging, preprotocol rate was 8 of 117 patients
(6.8%) and the postprotocol rate was 1 of 130 patients (0.8%) for a difference of
6.0% (95% CI, 1.3%-12.2%). Intragroup difference of the mean time spent (days) in
various care units between patients in the no rapid-response group vs rapid
response group demonstrated clinically meaningful longer stay for rapid responses
in both preprotocol and postprotocol groups for the intensive care unit
(preprotocol, 2.03; 95% CI, 1.03-3.03 vs postprotocol, 3.02; 95% CI, 1.49-4.45)
and step down units (preprotocol, 1.40; 95% CI, 0.77-2.02 vs postprotocol, 2.11;
95% CI, 0.78 to 3.44). Conclusions and Relevance: Implementation and
reinforcement of a standardized tracheostomy care protocol was associated with a
reduction in the occurrences of rapid-response calls for life-threatening mucus
plugging and is recommended for clinical practice. In addition, length of stay in
the intensive care unit and intermediate surgical care unit was increased in a
clinically meaningful way for patients who experienced a rapid-response event.
PMID- 29799999
TI - Phase 3 Assessment of the Automated Bone Scan Index as a Prognostic Imaging
Biomarker of Overall Survival in Men With Metastatic Castration-Resistant
Prostate Cancer: A Secondary Analysis of a Randomized Clinical Trial.
AB - Importance: Prostate cancer commonly metastasizes to bone, and bone metastases
are associated with pathologic fractures, pain, and reduced survival. Bone
disease is routinely visualized using the technetium Tc 99m (99mTc) bone scan;
however, the standard interpretation of bone scan data relies on subjective
manual assessment of counting metastatic lesion numbers. There is an unmet need
for an objective and fully quantitative assessment of bone scan data. Objective:
To clinically assess in a prospectively defined analysis plan of a clinical trial
the automated Bone Scan Index (aBSI) as an independent prognostic determinant of
overall survival (OS) in men with metastatic castration-resistant prostate cancer
(mCRPC). Design, Setting, and Participants: This investigation was a
prospectively planned analysis of the aBSI in a phase 3 multicenter randomized,
double-blind, placebo-controlled clinical trial of tasquinimod (10TASQ10). Men
with bone metastatic chemotherapy-naive CRPC were recruited at 241 sites in 37
countries between March 2011 and August 2015. The statistical analysis plan to
clinically evaluate the aBSI was prospectively defined and locked before
unmasking of the 10TASQ10 study. The analysis of aBSI was conducted between May
25, 2016, and June 3, 2017. Main Outcomes and Measures: The associations of
baseline aBSI with OS, radiographic progression-free survival (rPFS), time to
symptomatic progression, and time to opiate use for cancer pain. Results: Of the
total 1245 men enrolled, 721 were evaluable for the aBSI. The mean (SD) age
(available for 719 men) was 70.6 (8.0) years (age range, 47-90 years). The aBSI
population was representative of the total study population based on baseline
characteristics. The aBSI (median, 1.07; range, 0-32.60) was significantly
associated with OS (hazard ratio [HR], 1.20; 95% CI, 1.14-1.26; P < .001). The
median OS by aBSI quartile (lowest to highest) was 34.7, 27.3, 21.7, and 13.3
months, respectively. The discriminative ability of the aBSI (C index, 0.63) in
prognosticating OS was significantly higher than that of the manual lesion
counting (C index, 0.60) (P = .03). In a multivariable survival model, a higher
aBSI remained independently associated with OS (HR, 1.06; 95% CI, 1.01-1.11; P =
.03). A higher aBSI was also independently associated with time to symptomatic
progression (HR, 1.18; 95% CI, 1.13-1.23; P < .001) and time to opiate use for
cancer pain (HR, 1.21; 95% CI, 1.14-1.30; P < .001). Conclusions and Relevance:
To date, this investigation is the largest prospectively analyzed study to
validate the aBSI as an independent prognostic imaging biomarker of survival in
mCRPC. These data support the prognostic utility of the aBSI as an objective
imaging biomarker in the design and eligibility of clinical trials of systemic
therapies for patients with mCRPC. Trial Registration: ClinicalTrials.gov
Identifier: NCT01234311.
PMID- 29800000
TI - Identification of Pure-Tone Audiologic Thresholds for Pediatric Cochlear Implant
Candidacy: A Systematic Review.
AB - Importance: Although current guidelines recommend cochlear implantation only for
children with profound hearing impairment (HI) (>90 decibel [dB] hearing level
[HL]), studies show that children with severe hearing impairment (>70-90 dB HL)
could also benefit from cochlear implantation. Objective: To perform a systematic
review to identify audiologic thresholds (in dB HL) that could serve as an
audiologic candidacy criterion for pediatric cochlear implantation using 4
domains of speech and language development as independent outcome measures
(speech production, speech perception, receptive language, and auditory
performance). Evidence Review: PubMed and Embase databases were searched up to
June 28, 2017, to identify studies comparing speech and language development
between children who were profoundly deaf using cochlear implants and children
with severe hearing loss using hearing aids, because no studies are available
directly comparing children with severe HI in both groups. If cochlear implant
users with profound HI score better on speech and language tests than those with
severe HI who use hearing aids, this outcome could support adjusting cochlear
implantation candidacy criteria to lower audiologic thresholds. Literature
search, screening, and article selection were performed using a predefined
strategy. Article screening was executed independently by 4 authors in 2 pairs;
consensus on article inclusion was reached by discussion between these 4 authors.
This study is reported according to the Preferred Reporting Items for Systematic
Review and Meta-analysis (PRISMA) statement. Findings: Title and abstract
screening of 2822 articles resulted in selection of 130 articles for full-text
review. Twenty-one studies were selected for critical appraisal, resulting in
selection of 10 articles for data extraction. Two studies formulated audiologic
thresholds (in dB HLs) at which children could qualify for cochlear implantation:
(1) at 4-frequency pure-tone average (PTA) thresholds of 80 dB HL or greater
based on speech perception and auditory performance subtests and (2) at PTA
thresholds of 88 and 96 dB HL based on a speech perception subtest. In 8 of the
18 outcome measures, children with profound HI using cochlear implants performed
similarly to children with severe HI using hearing aids. Better performance of
cochlear implant users was shown with a picture-naming test and a speech
perception in noise test. Owing to large heterogeneity in study population and
selected tests, it was not possible to conduct a meta-analysis. Conclusions and
Relevance: Studies indicate that lower audiologic thresholds (>=80 dB HL) than
are advised in current national and manufacturer guidelines would be appropriate
as audiologic candidacy criteria for pediatric cochlear implantation.
PMID- 29800003
TI - Clinical Implications of Slower Cognitive Growth in the Psychosis Spectrum.
PMID- 29800002
TI - Association Between a Centrally Reimbursed Fee Schedule Policy and Access to
Cataract Surgery in the Universal Coverage Scheme in Thailand.
AB - Importance: Uptake of cataract surgery in developing countries is much lower than
that in developed countries. Cataract unawareness and financial barriers have
been cited as the main causes. Under the Universal Coverage Scheme (UCS),
Thailand introduced a central reimbursement (CR) system for cataract surgery. It
is unknown if this financial arrangement could incentivize service provision
(private or public) in areas that are hard to reach. Objective: To examine the
association between the CR policy and access to cataract surgery in Thailand.
Design, Setting, and Participants: Using time series analysis, hospitalization
data during 2005 to 2015 for UCS members were analyzed for time trends and
subnational variations in the cataract surgery rate (CSR) before and after the CR
implementation. Main Outcomes and Measures: The annual growth in access was
estimated using segmented regression. The CSR gap across regions was determined
by the slope index of inequality (SII). Unequal access across districts was
represented by the gap between the top and bottom quintiles. Results: During 2005
to 2015, a total of 0.98 million UCS members (mean [SD] age, 67.4 [11.2] years;
58.7% female) received cataract surgery. The number of cases increased from 77
897 in 2005 to 192 290 in 2015. At the national level, the CSR per 100 000
population increased from 352.0 to 378.7 cases in 2005 to 2008, to 716.3 cases in
2013, and then to 765.3 cases in 2015. With the use of mobile services through an
exclusive CR, 3 private hospitals took the lead in service growth, sharing 79.2%
of cases in the private sector in 2009. From 2010, the number of cases in public
hospitals grew yearly by 12.6% to 13.6% until 2012, rose 21.7% in 2013, and then
the rate of increase declined to that of 8.2% to 8.3% in 2014-2015. During the
periods of an increase in overall access, the CSR gap across regions widened as
indicated by the SII of 755.4 cases per 100 000 population in 2010 because of
rapid uptake in areas with mobile services. When the national CSR became
adequately large and mobile services were discouraged in 2013, the gap in 2014
2015 narrowed. Conclusions and Relevance: This study found that the appropriate
payment and service designs helped reduce the cataract surgery backlog. With an
adequately high CSR, Thailand is on track to reach the VISION 2020 goal, aiming
for blindness elimination by the year 2020, which has been achieved by most
developed countries.
PMID- 29800001
TI - Association of Continuous Positive Airway Pressure Treatment With Sexual Quality
of Life in Patients With Sleep Apnea: Follow-up Study of a Randomized Clinical
Trial.
AB - Importance: Obstructive sleep apnea reduces sexual quality of life (QOL) as a
result of reduced libido and intimacy, erectile dysfunction, and several other
mechanisms. Treatment for obstructive sleep apnea may improve sexual QOL.
Objective: To test the association of long-term continuous positive airway
pressure (CPAP) treatment with sexual QOL for patients with obstructive sleep
apnea. Design, Setting, and Participants: Prospective cohort study at a single,
tertiary medical center of patients with newly diagnosed obstructive sleep apnea
who were prescribed CPAP treatment from September 1, 2007, through June 30, 2010
(follow-up completed June 30, 2011). The statistical analysis was performed from
February 1 through December 31, 2017. Exposures: Use of CPAP treatment
objectively measured by the number of hours per night. Users of CPAP were defined
as patients who used CPAP treatment for more than 4 hours per night, and nonusers
were defined as patients who used CPAP treatment for fewer than 0.5 hours per
night. Main Outcomes and Measures: Data were collected from eligible patients
before CPAP treatment was prescribed and 12 months later by using the validated
Symptoms of Nocturnal Obstruction and Related Events-25 (SNORE-25) QOL
instrument. The 2 sex-specific items used to create the sexual QOL domain were
taken from the SNORE-25. The sexual QOL domain was scored in a range from 0 to 5
(higher score is worse). The difference in sexual QOL between CPAP users and
nonusers was analyzed using a paired, 2-tailed t test and multivariable linear
regression adjusted for potential confounders. Results: Of the 182 participants
in the cohort, 115 (63.2%) were men (mean [SD] age, 47.2 [12.3] years) with
severe OSA (mean [SD] apnea-hypopnea index, 32.5 [23.8] events per hour). At the
12-month follow-up, 72 CPAP users (mean [SD] use, 6.4 [1.2] hours per night) had
greater improvement than 110 nonusers (0 [0] hours per night) in sexual QOL
scores (0.7 [1.2] vs 0.1 [1.1]; difference, 0.54; 95% CI, 0.18-0.90; effect size,
0.47). A moderate treatment association was observed after adjustment for age,
sex, race/ethnicity, marital status, income level, educational level, body mass
index, apnea-hypopnea index, and the Functional Comorbidity Index (adjusted
difference, 0.49; 95% CI, 0.09-0.89; effect size, 0.43). Subgroup analysis
revealed a large treatment association for women (adjusted difference, 1.34; 95%
CI, 0.50-2.18; effect size, 0.87) but not for men (adjusted difference, 0.16; 95%
CI, -0.26 to 0.58; effect size, 0.19). Conclusions and Relevance: Successful CPAP
use may be associated with improved sexual QOL. Subgroup analysis revealed a
large improvement in women but no improvement in men. Further study is warranted
to test other measures of sexual QOL and other treatments. Trial Registration:
ClinicalTrials.gov Identifier: NCT00503802.
PMID- 29800004
TI - An Independent Evaluation of the Accuracy and Usability of Electronic Adherence
Monitoring Devices.
PMID- 29800006
TI - Linear Keratotic Lesions in a Young Woman.
PMID- 29800007
TI - Cutaneous Eruption Secondary to Immunotherapy for Metastatic Melanoma Limited to
Sites of Locoregional Melanoma Metastases: A Possible Variant of Locus Minoris
Resistentiae.
PMID- 29800008
TI - Use of Venous Thromboembolism Prophylaxis in Hospitalized Patients.
PMID- 29800005
TI - Association of Anticholinergic Drug Use With Risk for Late Age-Related Macular
Degeneration.
AB - Importance: Amyloid-beta is a major component of retinal drusen, the primary
lesions of age-related macular degeneration (AMD), and autopsy and animal models
suggested that anticholinergic drug (ACD) use increased brain amyloid-beta
deposition. Objective: To investigate the association between exposure to ACDs
and late AMD (features of neovascular AMD or geographic atrophy of the retinal
pigment epithelium in at least 1 eye). Design, Setting and Participants: A
multicenter case-control study in 4 French ophthalmologic centers comprising 200
cases with late AMD and 200 controls enrolled from July 2016 to June 2017.
Exposures: Exposure to at least 3 months of ACDs started before AMD diagnosis was
recorded during a specific interview. A dose-effect association with cumulative
exposure duration and Anticholinergic Burden Score was explored. The association
between ACD exposure and AMD was assessed by multivariate logistic regression
analysis adjusted for age, sex, smoking status, family history of AMD, alcohol
consumption, and use of anticoagulant and anti-inflammatory drugs. Odds ratios
(ORs) and 95% confidence intervals were estimated. Main Outcomes and Measures:
Association between exposure to ACDs and late AMD. Results: Among case
participants, the mean (SD) age was 74.8 (9.2) years, 129 (64.5%) were women, 192
(96%) were white, 65 (32.5%) had geographic atrophy, 135 (67.5%) had neovascular
AMD, 116 (58%) had unilateral AMD, and 84 (42%) had bilateral AMD. Among control
participants, the mean (SD) age was 75.5 (7.2) years, with 116 (58%) women and
187 (93.5%) white participants. Twenty-six cases (13%) and 10 controls (5%) were
exposed to ACDs throughout life for at least 3 months before AMD onset. Risk of
AMD was increased with ever exposure to ACDs (adjusted OR [aOR], 2.84; 95% CI,
1.33-6.06; P = .007), high Anticholinergic Burden Score (>=3) (aOR, 6.42; 95% CI,
1.38-29.92; P = .02), and longest cumulative exposure to ACD (>=15 years) (aOR,
5.88; 95% CI, 1.22-28.31; P = .03). Conclusions and Relevance: Risk of late AMD
may be increased with at least 3 months' use of ACDs. A dose-effect association
was suggested by a greater association with prolonged use and high
Anticholinergic Burden Score. Further studies, in particular those with
longitudinal design, are needed to confirm this association.
PMID- 29800009
TI - As Overdoses Climb, Emergency Departments Begin Treating Opioid Use Disorder.
PMID- 29800010
TI - Cataract Surgery and Motor Vehicle Fatality Prevention.
PMID- 29800012
TI - Management Reasoning: Beyond the Diagnosis.
PMID- 29800011
TI - Association Between 24-Hour Intraocular Pressure Monitored With Contact Lens
Sensor and Visual Field Progression in Older Adults With Glaucoma.
AB - Importance: Twenty-four-hour intraocular pressure (IOP) patterns may provide more
information regarding rates of visual field progression than office-hour
measurements. However, little is known about the added value of 24-hour
monitoring when stratifying glaucoma risk based on rates of progression.
Objective: To test the hypothesis that 24-hour IOP-related patterns recorded with
a contact lens sensor (CLS) correlate with prior rates of visual field
progression. Design, Setting, and Participants: A multicenter, retrospective
cohort study was conducted at 50 ophthalmology care centers in 13 countries.
Participants included 445 patients (445 eyes) with treated, manifest open-angle
glaucoma. The study was conducted from November 8, 1999, to September 17, 2016.
Interventions: Twenty-four-hour recordings of IOP-related patterns were
prospectively collected with a CLS system. Retrospective visual field data of
patients who underwent at least 3 prior reliable visual field tests were
examined. Main Outcomes and Measures: Association between CLS variables and rates
of visual field mean deviation (MD) change. Results: Of the 445 patients
included, 238 (53.5%) were women and 394 (88.5%) were white. The mean (SD) age
and MD values at the time of CLS recording were 68.9 (11.2) years and -9.0 (7.0)
dB. The mean rate of MD change was -0.46 (0.5) dB/y in 5.2 (3) years of follow
up. After adjusting for baseline MD severity, age, and treatment, the following
CLS variables were associated with fast visual field progression: mean peak ratio
while awake (beta = -0.021; 95% CI, -0.04 to -0.003), number of long peaks during
sleep (beta = 0.036; 95% CI, 0.005 to 0.067), night bursts ocular pulse frequency
SD (beta = 0.027; 95% CI, 0.004 to 0.051), and night bursts ocular pulse
amplitude SD (beta = 19.739; 95% CI, 1.333 to 38.145). Regression models
including CLS variables had better fit than Goldmann IOP when testing the
association with rates of progression. Conclusions and Relevance: Results of this
study indicate that 24-hour CLS recordings may be associated with prior rates of
visual field progression of glaucoma. This association appears to be better than
Goldmann mean IOP measured multiple times during office hours. Therefore, the CLS
may be useful to assess the risk of future functional loss, even in situations
when insufficient historical visual field information is available.
PMID- 29800013
TI - Cluster Headache Is in Part a Disorder of the Circadian System.
PMID- 29800014
TI - Solar-Powered Oxygen Delivery in Low-Resource Settings: A Randomized Clinical
Noninferiority Trial.
PMID- 29800015
TI - Blood Pressure Control-Much Has Been Achieved, Much Remains to Be Done.
PMID- 29800016
TI - Has Reconstruction of the Central Airways Been Transformed?: From Aorta to
Trachea.
PMID- 29800018
TI - The Body's Largest Artery Aids in Building a Better Trachea.
PMID- 29800017
TI - Use of Conservative Management for Low-Risk Prostate Cancer in the Veterans
Affairs Integrated Health Care System From 2005-2015.
PMID- 29800019
TI - Patterns of Potential Opioid Misuse and Subsequent Adverse Outcomes in Medicare,
2008 to 2012.
AB - Background: Providers are increasingly being expected to examine their patients'
opioid treatment histories before writing new opioid prescriptions. However,
little evidence exists on how patterns of potential opioid misuse are associated
with subsequent adverse outcomes nationally. Objective: To estimate how a range
of patterns of potential opioid misuse relate to adverse outcomes during the
subsequent year. Design: Observational study comparing outcomes for Medicare
enrollees with potential opioid misuse patterns versus those for beneficiaries
with no such patterns, adjusting for patient characteristics. Setting: Medicare,
2008 to 2012. Patients: A 5% sample of beneficiaries who had an opioid
prescription without a cancer diagnosis. Measurements: Several measures for
opioid misuse were defined on the basis of drug quantity, overlapping
prescriptions, use of multiple prescribers or pharmacies, and use of out-of-state
prescribers or pharmacies. The primary outcome was a diagnosis of opioid overdose
in the year after a 6-month index period. Secondary outcomes included subsequent
opioid-related or overall mortality. Results: Overall, 0.6% to 8.5% of
beneficiaries fulfilled a misuse measure. Subsequent opioid overdose was
positively associated with successively greater numbers of prescribers or
pharmacies or higher opioid quantities during the index period. For example,
patients who obtained opioids from 2, 3, or 4 prescribers were increasingly more
likely to have an opioid overdose (adjusted absolute risk per 1000 beneficiary
years [aAR], 3.5 [95% CI, 3.3 to 3.7]; 4.8 [CI, 4.5 to 5.2]; or 6.4 [CI, 5.8 to
6.9], respectively) than those with a single prescriber (aAR, 1.9 [CI, 1.8 to
2.0]). Subsequent overdose risk increased meaningfully with any deviation in the
single prescriber-single pharmacy opioid use pattern. All misuse measures
examined had a positive association with subsequent opioid overdose and death.
Limitation: Risk estimates provide measures of association and may not generalize
to non-Medicare populations. Conclusion: To fully assess patients' opioid
overdose risk, clinicians should examine a wide range of misuse patterns. Primary
Funding Source: National Institutes of Health.
PMID- 29800020
TI - The New Hypertension Guidelines: Compelling Population Benefit, Manageable Risk,
and Time to Implement.
PMID- 29800021
TI - Dialysis Without Borders.
PMID- 29800022
TI - Identification of Phenotypic Patterns of Dysphagia: A Proof of Concept Study.
AB - Purpose: The purpose of the current project was to explore the feasibility for
subtyping dysphagia traits or patterns of scores in a subset of data from the
Modified Barium Swallow Impairment Profile global registry in patients referred
to videofluoroscopic swallowing studies across 5 common medical categories: (a)
cardiothoracic, (b) gastroenterology, (c) head and neck cancer, (d) neurology,
and (e) pulmonary. Method: Videofluoroscopic swallowing study imaging and
Modified Barium Swallow Impairment Profile metrics were used to evaluate 235
patients with dysphagia grouped into 1 of the 5 categories. Two summative domain
scores (oral total [OT] and pharyngeal total [PT]) and 17 component scores were
tested for differences among the categories. Results: When compared with the
gastroenterology category, significantly higher OT/PT scores were observed in
neurology and pulmonary categories (all p values < .05). Four oral and 6
pharyngeal domain components significantly differed across medical categories:
tongue control during bolus hold (all p values < .04). Conclusions: The results
of this feasibility study demonstrate that summative scores of swallowing
physiology alone are not sufficiently robust to distinguish subtypes of dysphagia
in broad, heterogeneous medical categories. Using OT/PT as subtypes only
separated gastroenterology from the other categories, suggesting overlap in OT/PT
scores between the latter categories.
PMID- 29800023
TI - qSOFA Score for Patients With Sepsis in Low- and Middle-Income Countries.
PMID- 29800025
TI - Primary Lung Signet Ring Cell Carcinoma Presenting as a Cavitary Pancoast Tumor
in a 32-Year-Old Man.
AB - Signet ring cell carcinoma, a subtype of adenocarcinoma, is a rare cause of
primary lung cancer. The authors report a case of primary lung signet ring cell
carcinoma presenting as a cavitary Pancoast tumor in a 32-year-old male smoker.
Beyond the rarity of primary lung signet ring cell carcinoma itself, the youth of
the patient, his smoking status, the presence of cavitation, and the location of
the tumor in the superior sulcus make it especially atypical.
PMID- 29800024
TI - Cognitive Predictors of Spoken Word Recognition in Children With and Without
Developmental Language Disorders.
AB - Purpose: This study examined the influence of cognitive factors on spoken word
recognition in children with developmental language disorder (DLD) and typically
developing (TD) children. Method: Participants included 234 children (aged 7;0
11;11 years;months), 117 with DLD and 117 TD children, propensity matched for
age, gender, socioeconomic status, and maternal education. Children completed a
series of standardized assessment measures, a forward gating task, a rapid
automatic naming task, and a series of tasks designed to examine cognitive
factors hypothesized to influence spoken word recognition including phonological
working memory, updating, attention shifting, and interference inhibition.
Results: Spoken word recognition for both initial and final accept gate points
did not differ for children with DLD and TD controls after controlling target
word knowledge in both groups. The 2 groups also did not differ on measures of
updating, attention switching, and interference inhibition. Despite the lack of
difference on these measures, for children with DLD, attention shifting and
interference inhibition were significant predictors of spoken word recognition,
whereas updating and receptive vocabulary were significant predictors of speed of
spoken word recognition for the children in the TD group. Conclusion: Contrary to
expectations, after controlling for target word knowledge, spoken word
recognition did not differ for children with DLD and TD controls; however, the
cognitive processing factors that influenced children's ability to recognize the
target word in a stream of speech differed qualitatively for children with and
without DLDs.
PMID- 29800027
TI - An Incomplete Prescription: President Trump's Plan to Address High Drug Prices.
PMID- 29800028
TI - The Challenge of Vanquishing HIV for the Next Generation-Facing the Future.
PMID- 29800026
TI - Sirolimus Gel Treatment vs Placebo for Facial Angiofibromas in Patients With
Tuberous Sclerosis Complex: A Randomized Clinical Trial.
AB - Importance: Most patients with tuberous sclerosis complex (TSC), an autosomal
dominant disorder that is caused by the constitutive activation of mammalian
target of rapamycin, experience disfigurement caused by skin lesions involving
facial angiofibromas. Many have been left untreated because of a lack of
therapeutic options that are less invasive than surgery or laser treatment.
Objective: To confirm the efficacy and safety of sirolimus gel, 0.2%, for
treatment of patients with angiofibromas and/or skin lesions. Design, Setting,
and Patients: Multicenter, randomized clinical trial at 9 centers in Japan from
December 2015 to October 2016 including 62 children and adults with TSC.
Interventions: Patients who developed angiofibromas were randomly assigned, in a
1:1 ratio, to receive sirolimus gel, 0.2%, or placebo, each applied topically
twice daily for 12 weeks. Main Outcomes and Measures: The primary end point was
composite improvement in the size and color of angiofibromas in photographs at
week 12 of treatment. It was assessed by an independent review committee
comprising 3 blinded dermatologists who categorized patient results into the
following 6 categories: "markedly improved," "improved," "slightly improved,"
"unchanged," "slightly aggravated," and "aggravated." Results: Sixty-two patients
(27 pediatric and 35 adult; 34 [55%] female; mean [SD] age, 22.5 [11.9] years)
were enrolled and randomly assigned to receive sirolimus gel, 0.2% (30 patients),
or placebo (32 patients). The response rates of angiofibromas at weeks 4, 8, and
12 of treatment were 0 each in the placebo group in contrast to 20% (95% CI, 8%
39%; P = .01), 43% (95% CI, 26%-63%; P < .001), and 60% (95% CI, 41%-77%; P <
.001), respectively, in the sirolimus group. None of the 31 assessable patients
in the placebo group were rated improved or better, and 26 of them (84%) were
rated unchanged. In contrast, 5 (17%) and 13 (43%) patients in the sirolimus
group were rated markedly improved and improved, respectively. Adverse events
were mild to moderate and were observed in 27 (90%) and 22 (69%) patients in the
sirolimus and placebo groups, respectively; however, none of the trial
participants discontinued treatment. Acute pancreatitis developed as a serious
adverse event in 1 patient in the sirolimus group, and the patient recovered soon
after hospitalization without discontinuing treatment. Conclusions and Relevance:
Sirolimus gel, 0.2%, demonstrated a significant clinical benefit for patients
with TSC involving angiofibromas, thus providing a promising therapeutic
modality. Trial Registration: ClinicalTrials.gov Identifier: NCT02635789.
PMID- 29800029
TI - Risk of Venous Thromboembolism in Patients With Keratinocyte Carcinoma.
AB - Importance: Although malignancy is an established risk factor for venous
thromboembolism (VTE), the risk of VTE specifically in patients with keratinocyte
carcinoma (KC) has not been previously studied. Objective: To determine the risk
of VTE in patients with KC compared with patients not diagnosed with cancer and
with patients diagnosed with common malignant neoplasms associated with VTE.
Design, Setting, and Participants: Population-based retrospective analysis of
patient insurance claims made between January 1, 2007, and December 31, 2014,
from the Truven MarketScan Commercial and Medicare Supplemental Databases.
Patients treated across the United States were divided into 3 cohorts: patients
with KC, patients with pancreatic cancer or acute myelogenous leukemia who are
thus at high risk for VTE, and patients without a history of common malignant
neoplasms. Patients were excluded from the KC cohort if they had a history of
another type of cancer. Data were analyzed between April 1, 2017, and January 15,
2018. Main Outcomes and Measures: Diagnosis of VTE within 1 year following the
index date (for the KC and high-risk cohorts, the date of the initial diagnosis
of cancer; for the control cohort, the date following 365 days of continuous
insurance enrollment). Logistic regression was used to assess the risk of VTE in
the KC cohort compared with the high-risk and control cohorts before and after
matching across patient characteristics and known risk factors for VTE. Results:
Of 5 753 613 potentially eligible patients, the final sample consisted of 740 246
patients (12.8%) across 3 cohorts. Of the 740 246 study participants, 417 839
were in the KC cohort (223 986 [53.6%] men, mean [SD] age, 64.2 [13.6] years);
314 736 were in the control cohort (135 203 [43.0%] men, 42.9 [15.2] years); and
7671 were in the high-risk cohort (3502 [45.7%] men, 59.4 [14.4] years) The risk
of VTE in the KC cohort was lower compared with the high-risk cohort in
univariable analysis (odds ratio [OR], 0.22; 95% CI, 0.20-0.23; P < .001),
multivariable analysis (OR, 0.29; 95% CI, 0.26-0.32; P < .001), and after
matching across patient characteristics and known risk factors (OR, 0.52; 95% CI,
0.35-0.78; P = .001). The risk of VTE in the KC cohort was higher in the
univariable analysis (OR, 2.31; 95% CI, 2.23-2.41; P < .001), lower in the
multivariable analysis (OR, 0.85; 95% CI, 0.80-0.90; P < .001), and not different
after matching of patient characteristics and risk factors (OR, 0.95; 95% CI,
0.89-1.01; P = .08) than that of the control cohort. Conclusions and Relevance:
The results of this study provided no evidence supporting the increased risk of
VTE in the KC cohort compared with the control cohort. Given the inherent risks
of chemoprophylaxis, the need for prophylactic anticoagulation in patients with
KC who are scheduled for surgery should be carefully considered. Level of
Evidence: NA.
PMID- 29800030
TI - Use and Effectiveness of Adjuvant Endocrine Therapy for Hormone Receptor-Positive
Breast Cancer in Men.
AB - Importance: Although adjuvant endocrine therapy confers a survival benefit among
females with hormone receptor (HR)-positive breast cancer, the effectiveness of
this treatment among males with HR-positive breast cancer has not been rigorously
investigated. Objective: To investigate trends, patterns of use, and
effectiveness of adjuvant endocrine therapy among men with HR-positive breast
cancer. Design, Setting, and Participants: This retrospective cohort study
identified patients in the National Cancer Database with breast cancer who had
received treatment from 2004 through 2014. Inclusion criteria for the primary
study cohort were males at least 18 years old with nonmetastatic HR-positive
invasive breast cancer who underwent surgery with or without adjuvant endocrine
therapy. A cohort of female patients was also identified using the same inclusion
criteria for comparative analyses by sex. Data analysis was conducted from
October 1, 2017, to December 15, 2017. Exposures: Receipt of adjuvant endocrine
therapy. Main Outcomes and Measures: Patterns of adjuvant endocrine therapy use
were assessed using multivariable logistic regression analyses. Association
between adjuvant endocrine therapy use and overall survival was assessed using
propensity score-weighted multivariable Cox regression models. Results: The
primary study cohort comprised 10 173 men with HR-positive breast cancer (mean
[interquartile range] age, 66 [57-75] years). The comparative cohort comprised
961 676 women with HR-positive breast cancer (mean [interquartile range] age, 62
[52-72] years). The median follow-up for the male cohort was 49.6 months (range,
0.1-142.5 months). Men presented more frequently than women with HR-positive
disease (94.0% vs 84.3%, P < .001). However, eligible men were less likely than
women to receive adjuvant endocrine therapy (67.3% vs 79.0%; OR, 0.61; 95% CI,
0.58-0.63; P < .001). Treatment at academic facilities (odds ratio, 1.13; 95% CI,
1.02-1.25; P = .02) and receipt of adjuvant radiotherapy (odds ratio, 2.83; 95%
CI, 2.55-3.15; P < .001) or chemotherapy (odds ratio, 1.20; 95% CI, 1.07-1.34; P
< .001) were statistically significantly associated with adjuvant endocrine
therapy use in men. A propensity score-weighted analysis indicated that relative
to no use, adjuvant endocrine therapy use in men was associated with improved
overall survival (hazard ratio, 0.70; 95% CI, 0.63-0.77; P < .001). Conclusions
and Relevance: There is a sex disparate underuse of adjuvant endocrine therapy
among men with HR-positive breast cancer despite the use of this treatment being
associated with improved overall survival. Further research and interventions may
be warranted to bridge gaps in care in this population.
PMID- 29800031
TI - Framingham at 70: What We've Learned About Women and Heart Disease.
PMID- 29800032
TI - Bridging Oral and Written Language: An Oral Narrative Language Intervention Study
With Writing Outcomes.
AB - Purpose: Despite literature showing a correlation between oral language and
written language ability, there is little evidence documenting a causal
connection between oral and written language skills. The current study examines
the extent to which oral language instruction using narratives impacts students'
writing skills. Method: Following multiple baseline design conventions to
minimize threats to internal validity, 3 groups of 1st-grade students were
exposed to staggered baseline, intervention, and maintenance conditions. During
the intervention condition, groups received 6 sessions of small-group oral
narrative instruction over 2 weeks. Separated in the school day from the
instruction, students wrote their own stories, forming the dependent variable
across baseline, intervention, and maintenance conditions. Written stories were
analyzed for story structure and language complexity using a narrative scoring
flow chart based on current academic standards. Results: Corresponding to the
onset of oral narrative instruction, all but 1 student showed meaningful
improvements in story writing. All 4 students, for whom improvements were
observed and maintenance data were available, continued to produce written
narratives above baseline levels once the instruction was withdrawn. Conclusions:
Results suggest that narrative instruction delivered exclusively in an oral
modality had a positive effect on students' writing. Implications include the
efficiency and inclusiveness of oral language instruction to improve writing
quality, especially for young students.
PMID- 29800036
TI - Reforming the 340B Drug Pricing Program: Tradeoffs Between Hospital and
Manufacturer Revenues.
PMID- 29800037
TI - Association of Statin Use With Overall and Cancer Survival.
PMID- 29800035
TI - Predicting Receptive-Expressive Vocabulary Discrepancies in Preschool Children
With Autism Spectrum Disorder.
AB - Purpose: Correlates of receptive-expressive vocabulary size discrepancies may
provide insights into why language development in children with autism spectrum
disorder (ASD) deviates from typical language development and ultimately improve
intervention outcomes. Method: We indexed receptive-expressive vocabulary size
discrepancies of 65 initially preverbal children with ASD (20-48 months) to a
comparison sample from the MacArthur-Bates Communicative Development Inventories
Wordbank (Frank, Braginsky, Yurovsky, & Marchman, 2017) to quantify typicality.
We then tested whether attention toward a speaker and oral motor performance
predict typicality of the discrepancy 8 months later. Results: Attention toward a
speaker correlated positively with receptive-expressive vocabulary size
discrepancy typicality. Imitative and nonimitative oral motor performance were
not significant predictors of vocabulary size discrepancy typicality. Secondary
analyses indicated that midpoint receptive vocabulary size mediated the
association between initial attention toward a speaker and end point receptive
expressive vocabulary size discrepancy typicality. Conclusions: Findings support
the hypothesis that variation in attention toward a speaker might partially
explain receptive-expressive vocabulary size discrepancy magnitude in children
with ASD. Results are consistent with an input-processing deficit explanation of
language impairment in this clinical population. Future studies should test
whether attention toward a speaker is malleable and causally related to receptive
expressive discrepancies in children with ASD.
PMID- 29800034
TI - Effect of Recombinant Human Pentraxin 2 vs Placebo on Change in Forced Vital
Capacity in Patients With Idiopathic Pulmonary Fibrosis: A Randomized Clinical
Trial.
AB - Importance: Idiopathic pulmonary fibrosis (IPF) is a progressive fibrotic lung
disease with poor prognosis. Approved therapies do not halt disease progression.
Objective: To determine the effect of recombinant human pentraxin 2 vs placebo on
change from baseline to week 28 in mean forced vital capacity (FVC) percentage of
predicted value. Design, Setting, and Participants: Phase 2, randomized, double
blind, placebo-controlled trial conducted at 18 sites in 7 countries of eligible
patients with IPF (N = 117; aged 40-80 years; FVC >=50% and <=90% predicted;
ratio of forced expiratory volume in the first second/FVC >0.70; diffusing
capacity for carbon monoxide [Dlco] >=25% and <=90% predicted; and distance of
>=150 m on the 6-minute walk test). Study period was August 2015-May 2017.
Interventions: Patients were randomized to receive either recombinant human
pentraxin 2 (10 mg/kg intravenous every 4 weeks, n = 77) or placebo (n = 39) for
24 weeks, and stratified by concurrent IPF treatment status. Main Outcomes and
Measures: The primary end point was the least-squares mean change in FVC
percentage of predicted value from baseline to week 28 (minimal clinically
important difference, decline of 2%-6%). Secondary end points included mean
change in lung volumes (total, normal, and interstitial lung abnormalities) on
high-resolution computed tomography (HRCT) and 6-minute walk distance (minimal
clinically important difference, 24-45 m). Results: Of 117 randomized patients,
116 received at least 1 dose of study drug (mean age, 68.6 years; 81.0% men; mean
time since IPF diagnosis, 3.8 years), and 111 (95.7%) completed the study. The
least-squares mean change in FVC percentage of predicted value from baseline to
week 28 in patients treated with recombinant human pentraxin 2 was -2.5 vs -4.8
for those in the placebo group (difference, +2.3 [90% CI, 1.1 to 3.5]; P = .001).
No significant treatment differences were observed in total lung volume
(difference, 93.5 mL [90% CI, -27.7 to 214.7]), quantitative parenchymal features
on HRCT (normal lung volume difference, -1.2% [90% CI, -4.4 to 1.9]; interstitial
lung abnormalities difference, 1.1% [90% CI, -2.2 to 4.3]), or measurement of
Dlco (difference, -0.4 [90% CI, -2.6 to 1.7]). The change in 6-minute walk
distance was -0.5 m for patients treated with recombinant human pentraxin 2 vs
31.8 m for those in the placebo group (difference, +31.3 m [90% CI, 17.4 to
45.1]; P < .001). The most common adverse events in the recombinant human
pentraxin 2 vs placebo group were cough (18% vs 5%), fatigue (17% vs 10%), and
nasopharyngitis (16% vs 23%). Conclusions and Relevance: In this preliminary
study, recombinant human pentraxin 2 vs placebo resulted in a slower decline in
lung function over 28 weeks for patients with idiopathic pulmonary fibrosis.
Further research should more fully assess efficacy and safety. Trial
Registration: clinicaltrials.gov Identifier: NCT02550873.
PMID- 29800038
TI - A Young Man With Cardiomegaly and Edema.
PMID- 29800033
TI - Feasibility of Bioengineered Tracheal and Bronchial Reconstruction Using Stented
Aortic Matrices.
AB - Importance: Airway transplantation could be an option for patients with proximal
lung tumor or with end-stage tracheobronchial disease. New methods for airway
transplantation remain highly controversial. Objective: To establish the
feasibility of airway bioengineering using a technique based on the implantation
of stented aortic matrices. Design, Setting, and Participants: Uncontrolled
single-center cohort study including 20 patients with end-stage tracheal lesions
or with proximal lung tumors requiring a pneumonectomy. The study was conducted
in Paris, France, from October 2009 through February 2017; final follow-up for
all patients occurred on November 2, 2017. Exposures: Radical resection of the
lesions was performed using standard surgical techniques. After resection, airway
reconstruction was performed using a human cryopreserved (-80 degrees C) aortic
allograft, which was not matched by the ABO and leukocyte antigen systems. To
prevent airway collapse, a custom-made stent was inserted into the allograft. In
patients with proximal lung tumors, the lung-sparing intervention of bronchial
transplantation was used. Main Outcomes and Measures: The primary outcome was 90
day mortality. The secondary outcome was 90-day morbidity. Results: Twenty
patients were included in the study (mean age, 54.9 years; age range, 24-79
years; 13 men [65%]). Thirteen patients underwent tracheal (n = 5), bronchial (n
= 7), or carinal (n = 1) transplantation. Airway transplantation was not
performed in 7 patients for the following reasons: medical contraindication (n =
1), unavoidable pneumonectomy (n = 1), exploratory thoracotomy only (n = 2), and
a lobectomy or bilobectomy was possible (n = 3). Among the 20 patients initially
included, the overall 90-day mortality rate was 5% (1 patient underwent a carinal
transplantation and died). No mortality at 90 days was observed among patients
who underwent tracheal or bronchial reconstruction. Among the 13 patients who
underwent airway transplantation, major 90-day morbidity events occurred in 4
(30.8%) and included laryngeal edema, acute lung edema, acute respiratory
distress syndrome, and atrial fibrillation. There was no adverse event directly
related to the surgical technique. Stent removal was performed at a postoperative
mean of 18.2 months. At a median follow-up of 3 years 11 months, 10 of the 13
patients (76.9%) were alive. Of these 10 patients, 8 (80%) breathed normally
through newly formed airways after stent removal. Regeneration of epithelium and
de novo generation of cartilage were observed within aortic matrices from
recipient cells. Conclusions and Relevance: In this uncontrolled study, airway
bioengineering using stented aortic matrices demonstrated feasibility for complex
tracheal and bronchial reconstruction. Further research is needed to assess
efficacy and safety. Trial Registration: clinicaltrials.gov Identifier:
NCT01331863.
PMID- 29800041
TI - Association of Uveitis and Macular Edema With Anastrozole Therapy.
PMID- 29800039
TI - Loudness Perception of Pure Tones in Parkinson's Disease.
AB - Purpose: Reduced intensity is a hallmark of speech production in Parkinson's
disease (PD). Previous work has examined the perception of intensity in PD to
explain these speech deficits. This study reports loudness ratings of pure tones
by individuals with PD and controls, all with normal thesholds for older adults.
Method: Twenty individuals with PD and 23 age- and sex-matched controls rated the
loudness of pure tones from 1 (very soft) to 7 (uncomfortably loud). Tones at
500, 750, 1000, 2000, and 4000 Hz were presented from 35 to 80 dB HL (or until a
rating of 7 was given). A mixed-model analysis of variance was performed on
ratings to assess the effects of group, frequency, sound intensity, and ear.
Loudness growth slopes were determined for each participant and analyzed by
group. Results: The mean loudness growth slopes of the control and PD groups did
not significantly differ. Conclusions: No difference was found in loudness growth
slopes in response to externally generated tones in PD. This is in contrast with
the findings of previous studies of self-generated speech and externally
presented speech. The underlying causes for impaired perception and production of
loudness in PD require further investigation.
PMID- 29800042
TI - Association of Statin Use With Overall and Cancer Survival.
PMID- 29800043
TI - The Microbiome and Risk for Atherosclerosis.
PMID- 29800040
TI - Outcomes of Care for Ischemic Heart Disease and Chronic Heart Failure in the
Veterans Health Administration.
AB - Importance: The Department of Veterans Affairs (VA) operates a nationwide system
of hospitals and hospital-affiliated clinics, providing health care to more than
2 million veterans with cardiovascular disease. While data permitting hospital
comparisons of the outcomes of acute cardiovascular care (eg, myocardial
infarction) are publicly available, little is known about variation across VA
medical centers (VAMCs) in outcomes of care for populations of patients with
chronic, high-risk cardiovascular conditions. Objective: To determine whether
there are substantial differences in cardiovascular outcomes across VAMCs.
Design, Setting, and Participants: Retrospective cohort study comprising 138 VA
hospitals and each hospital's affiliated outpatient clinics. Patients were
identified who received VA inpatient or outpatient care between 2010 and 2014.
Separate cohorts were constructed for patients diagnosed as having either
ischemic heart disease (IHD) or chronic heart failure (CHF). The data were
analyzed between June 24, 2015, and November 21, 2017. Exposures: Hierarchical
linear models with VAMC-level random effects were estimated to compare risk
standardized mortality rates for IHD and for CHF across 138 VAMCs. Mortality
estimates were risk standardized using a wide array of patient-level covariates
derived from both VA and Medicare health care encounters. Main Outcomes and
Measures: All-cause mortality. Results: The cohorts comprised 930 079 veterans
with IHD and 348 015 veterans with CHF; both cohorts had a mean age of 77 years
and were predominantly white (IHD, n = 822 665 [89%] and CHF, n = 287 871 [83%])
and male (IHD, n = 916 684 [99%] and CHF n = 341 352 [98%]). The VA-wide crude
annual mortality rate was 7.4% for IHD and 14.5% for CHF. For IHD, VAMCs' risk
standardized mortality varied from 5.5% (95% CI, 5.2%-5.7%) to 9.4% (95% CI, 9.0%
9.9%) (P < .001 for the difference). For CHF, VAMCs' risk-standardized mortality
varied from 11.1% (95% CI, 10.3%-12.1%) to 18.9% (95% CI, 18.3%-19.5%) (P < .001
for the difference). Twenty-nine VAMCs had IHD mortality rates that significantly
exceeded the national mean, while 35 VAMCs had CHF mortality rates that
significantly exceeded the national mean. Veterans Affairs medical centers'
mortality rates among their IHD and CHF populations were not associated with 30
day mortality rates for myocardial infarction (R2 = 0.01; P = .35) and weakly
associated with hospitalized heart failure 30-day mortality (R2 = 0.16; P < .001)
and the VA's star rating system (R2 = 0.06; P = .005). Conclusions and Relevance:
Risk-standardized mortality rates for IHD and CHF varied widely across the VA
health system, and this variation was not well explained by differences in
demographics or comorbidities. This variation may signal substantial differences
in the quality of cardiovascular care between VAMCs.
PMID- 29800044
TI - Association of Patient Sex With Chemotherapy-Related Toxic Effects: A
Retrospective Analysis of the PETACC-3 Trial Conducted by the EORTC
Gastrointestinal Group.
PMID- 29800045
TI - Circulating MicroRNAs Implicate Multiple Atherogenic Abnormalities in the Long
Term Cardiovascular Sequelae of Preeclampsia.
AB - BACKGROUND: Women who have had preeclampsia (PE) are at increased risk for
premature cardiovascular disease (CVD). The underlying pathophysiology of this
risk remains unclear, but potentially involves subclinical vascular damage or
dysfunction. Alterations in the levels of circulating microRNAs may be
implicated, as they are known to play pervasive roles in vascular biology. We
investigated whether levels of circulating microRNAs are altered between women
with premature acute coronary syndrome (ACS), with and without a history of PE.
METHODS: Women with premature ACS (age <= 55 years) were categorized based on a
prior history of PE or normotensive pregnancy. Relative plasma levels of 372
microRNAs were initially assessed by polymerase chain reaction array in a subset
of subjects (n = 12-13/group) matched for age, chronic hypertension,
dyslipidemia, and smoking status. Candidate microRNAs were then validated in a
larger cohort of ACS patients (n = 176). RESULTS: MicroRNAs previously linked to
angiogenesis (miR-126-3p), inflammation (miR-146a-5p), and cholesterol metabolism
(miR-122-5p) were significantly decreased in women with prior PE compared to
women with prior normotensive pregnancy (P = 0.002, 0.017, and 0.009,
respectively), even after adjustment for chronic hypertension. CONCLUSIONS:
Circulating levels of miR-126-3p, -146a-5p, and -122-5p were significantly
decreased in women with premature ACS who reported prior PE compared to those
with prior normotensive pregnancy. These data provide novel insight into
potential pathways that may contribute to the increased risk of CVD following PE.
PMID- 29800046
TI - Association of Statin Use With Overall and Cancer Survival-Reply.
PMID- 29800047
TI - A Mass With High Uptake of Fluorodeoxyglucose on Positron Emission Tomography.
PMID- 29800048
TI - Efficacy and Safety of Topical Rapamycin in Patients With Facial Angiofibromas
Secondary to Tuberous Sclerosis Complex: The TREATMENT Randomized Clinical Trial.
AB - Importance: Facial angiofibromas occur in approximately 75% of individuals with
tuberous sclerosis complex (TSC), causing substantial morbidity and
disfigurement. Current therapies are partially effective, uncomfortable, produce
scarring, and need repeating to treat recurrence. Objective: To evaluate the
efficacy and safety of topical rapamycin for TSC-related facial angiofibromas.
Design, Setting, and Participants: This prospective, multicenter, randomized,
double-blind, vehicle-controlled trial with 6 monthly clinic visits enrolled 179
patients with TSC-related facial angiofibromas not treated within 6 months from
May 2012 to March 2014 in 9 clinical sites in the United States and 1 in
Australia. Interventions: Patients were randomized (1:1:1) to topical formulation
containing 0.3 g per 30 g (1%) rapamycin, 0.03 g per 30 g (0.1%) rapamycin, or
vehicle alone. Participants applied 1.0 mL to designated areas daily at bedtime.
Main Outcomes and Measures: Angiofibroma Grading Scale (AGS) change from baseline
scored from photographs by independent masked dermatologists. Safety analyses
included adverse events (AEs) and serum rapamycin levels. Results: All 179
patients randomized (99 [55.3%] female) comprised the primary analysis population
(59 in the 1% rapamycin group, 63 in the 0.1% rapamycin group, and 57 in the
vehicle-only group). The mean age was 20.5 years (range 3-61 years). Clinically
meaningful and statistically significant improvement in facial angiofibromas was
observed for both 1% and 0.1% rapamycin relative to the vehicle-only control
group, and for 1% vs 0.1% rapamycin, with most of the improvement realized within
the first month. At 6 months, AGS mean improvement for 1% rapamycin was 16.7
points compared with 11.0 for 0.1% rapamycin and 2.1 points for vehicle only (P <
.001 for 1% and 0.1% vs vehicle only). Compared with baseline, end-of-treatment
photos were rated "better" for 81.8% of patients in the 1% rapamycin group,
compared with 65.5% for those in the 0.1% rapamycin group and 25.5% for those in
the vehicle-only group (P < .001, all 3 pairwise comparisons). Topical rapamycin
was generally well-tolerated, with no measurable systemic absorption. Apparent
drug-related adverse effects were limited to 10% or less incidence of application
site discomfort and/or pain, pruritus, erythema, and irritation. Nearly all AEs
were mild, with no drug-related moderate, severe, or serious events. Conclusions
and Relevance: Topical rapamycin appears effective and safe for treatment of TSC
related facial angiofibromas. In this trial, the preferred dose was 1% once
daily. Future studies are needed to evaluate prophylactic, early, and long-term
use of topical rapamycin, durability of response, and combination therapy with
oral mammalian target of rapamycin (mTOR) inhibitors. Trial Registration:
ClinicalTrials.gov Identifier: NCT01526356.
PMID- 29800049
TI - Overall and Subgroup Prevalence of Crohn Disease Among Patients With Hidradenitis
Suppurativa: A Population-Based Analysis in the United States.
AB - Importance: Limited evidence supports a link between hidradenitis suppurativa
(HS) and Crohn disease (CD), and this relationship has not been established in
the United States. Objective: To evaluate the prevalence of CD among patients
with HS in the United States and to determine the strength of association between
the 2 conditions. Design, Setting, and Participants: Cross-sectional analysis of
data from 51 340 patients with HS identified using electronic health records data
in the Explorys multiple health system data analytics and research platform,
which includes data from more than 50 million unique patients across all US
census regions. Main Outcomes and Measures: Primary outcome was diagnosis of CD.
Results: Of the 18 455 660 total population considered, 51 340 had HS (35 000
women). Of these patients with HS, 29 010 (56.5%) were aged 18 to 44 years; 17
580 (34,2%), 45 to 64 years; and 4750 (9.3%), 65 years or older. Prevalence of CD
among patients with HS was 2.0% (1025/51 340), compared with 0.6% (113 360/18 404
260) among those without HS (P < .001). Prevalence of CD was greatest among
patients with HS who were white (2.3%), aged 45 to 64 years (2.4%), nonobese
(2.8%), and tobacco smokers (2.3%). In univariable and multivariable analyses,
patients with HS had 3.29 (95% CI, 3.09-3.50) and 3.05 (95% CI, 2.87-3.25) times
the odds of having CD, respectively, compared with patients without HS. Crohn
disease was associated with HS across all patient subgroups. The association was
strongest for men (OR, 3.61; 95% CI, 3.24-4.03), patients aged 45 to 64 years
(OR, 3.49; 95% CI, 3.16-3.85), nonobese patients (OR, 4.09; 95% CI, 3.69-4.54),
and nonsmokers (OR, 3.44; 95% CI, 3.10-3.82). Conclusions and Relevance: These
data suggest that patients with HS are at risk for CD. Gastrointestinal symptoms
or signs suggestive of CD warrant additional evaluation by a gastroenterologist.
PMID- 29800051
TI - Ultrasound-Guided Botulinum Neurotoxin Type A Injection for Correcting
Asymmetrical Smiles.
AB - Level of Evidence 5:
PMID- 29800050
TI - Swallowing Kinematic Differences Across Frozen, Mixed, and Ultrathin Liquid
Boluses in Healthy Adults: Age, Sex, and Normal Variability.
AB - Purpose: The aim of this study was to examine the effects of frozen and mixed
consistency boluses on the swallowing physiology of younger and older adults. We
also aimed to quantify factors that lead to increased variability in swallowing
outcomes (i.e., age, sex, bolus type). Method: Forty-one healthy adults (18-85
years old) swallowed 5 blocks of 5 different boluses: 10-ml ultrathin liquid, a
teaspoon of iced barium, a teaspoon of room-temperature pudding, a teaspoon of
frozen pudding, and ultrathin barium with chocolate chips. All data were recorded
with videofluoroscopy and underwent detailed timing kinematic measurements.
Results: Neither barium ice nor frozen pudding sped up swallow responses. Many
healthy adults initiated swallowing with the bolus as deep as the pyriform
sinuses. Swallowing temporal kinematics for ultrathin liquid consistencies are
most different from all others tested, requiring the best possible physiological
swallowing performance in younger and older healthy individuals (i.e., faster
reaction times, longer durations) compared with other bolus types tested. In each
measure, older adults had significantly longer durations compared with the
younger adults. More variability in swallowing kinematics were seen with age and
laryngeal vestibule kinematics. Conclusion: This study provides important
contributions to the literature by clarifying normal variability within a wide
range of swallowing behaviors and by providing normative data from which to
compare disordered populations.
PMID- 29800052
TI - Global Budgets in Maryland: Assessing Results to Date.
PMID- 29800054
TI - Intensive Auditory Comprehension Treatment for Severe Aphasia: A Feasibility
Study.
AB - Background: Severe auditory comprehension impairments secondary to aphasia can
adversely influence rehabilitative outcomes and quality of life. Studies
examining intensive rehabilitative treatments for severe single-word auditory
comprehension impairments are needed. Objectives: Our purpose was to examine the
feasibility and influence of a high-intensity word-picture verification treatment
on high-frequency, word response accuracy. Research questions: (a) Can people
with severe aphasia tolerate an intensive comprehension treatment? (b) Does an
intensive intervention increase auditory comprehension response accuracy of
spoken high-frequency words? Method: This single-case ABA design study included 2
participants with chronic, severe auditory comprehension deficits secondary to
stroke. A high-frequency, word-picture verification treatment was administered 2
hr/day, 5 days/week for 4 weeks and required participants to match the spoken
word of the examiner to a picture representing an object. Results: Preliminary
results suggest that some people with severe chronic aphasia can tolerate an
intensive auditory comprehension treatment and demonstrate improvements in high
frequency, word-level response accuracy with large effect sizes suggesting
generalization to untrained stimuli. Conclusions: An intensive auditory
comprehension treatment protocol can contribute to improvements in response
accuracy for some people with severe aphasia. Larger sample size studies are
needed to further examine the influence of intensity on improvements in auditory
comprehension.
PMID- 29800055
TI - Improvement in Ulcerative Necrobiosis Lipoidica After Janus Kinase-Inhibitor
Therapy for Polycythemia Vera.
PMID- 29800056
TI - Extending the Application of Tense and Agreement Measures: A Reply to Rispoli and
Hadley (2018).
AB - Purpose: In this reply, we respond to comments on our article "Tracking the
Growth of Tense and Agreement in Children With Specific Language Impairment:
Differences Between Measures of Accuracy, Diversity, and Productivity."
Conclusion: The finite verb morphology composite can be disproportionately
affected by frequently occurring grammatical forms produced through direct
activation. This assumption was one of the reasons we wished to compare this
measure to the tense marker total and the tense/agreement productivity score. The
latter two measures provide valuable developmental information that is not
available from the finite morphology composite. Yet, the finite verb morphology
composite shows good diagnostic accuracy and an interpretable pattern of growth
and is relatively stable across different sample sizes.
PMID- 29800053
TI - Olfactory Dysfunction in Patients With CNGB1-Associated Retinitis Pigmentosa.
AB - Importance: Co-occurrence of retinitis pigmentosa (RP) and olfactory dysfunction
may have a common genetic cause. Objective: To report olfactory function and the
retinal phenotype in patients with biallelic mutations in CNGB1, a gene coding
for a signal transduction channel subunit expressed in rod photoreceptors and
olfactory sensory neurons. Design, Setting, and Participants: This case series
was conducted from August 2015 through July 2017. The setting was a multicenter
study involving 4 tertiary referral centers for inherited retinal dystrophies.
Participants were 9 patients with CNGB1-associated RP. Main Outcomes and
Measures: Results of olfactory testing, ocular phenotyping, and molecular genetic
testing using targeted next-generation sequencing. Results: Nine patients were
included in the study, 3 of whom were female. Their ages ranged between 34 and 79
years. All patients had an early onset of night blindness but were usually not
diagnosed as having RP before the fourth decade because of slow retinal
degeneration. Retinal features were characteristic of a rod-cone dystrophy.
Olfactory testing revealed reduced or absent olfactory function, with all except
one patient scoring in the lowest quartile in relation to age-related norms.
Brain magnetic resonance imaging and electroencephalography measurements in
response to olfactory stimulation were available for 1 patient and revealed no
visible olfactory bulbs and reduced responses to odor, respectively. Molecular
genetic testing identified 5 novel (c.1312C>T, c.2210G>A, c.2492+1G>A, c.2763C>G,
and c.3044_3050delGGAAATC) and 5 previously reported mutations in CNGB1.
Conclusions and Relevance: Mutations in CNGB1 may cause an autosomal recessive RP
olfactory dysfunction syndrome characterized by a slow progression of retinal
degeneration and variable anosmia or hyposmia.
PMID- 29800057
TI - Internal and External Factors That Support Children's Minority First Language and
English.
AB - Purpose: Sequential bilingual children in the United States often speak 2
languages that have different social statuses (minority-majority) and separate
contexts for learning (home-school). Thus, distinct factors may support the
development of each language. This study examined which child internal and
external factors were related to vocabulary skills in a minority language versus
English. Method: Participants included 69 children, aged 5-8 years, who lived in
Southern California, spoke Vietnamese as the home language, and received school
instruction in English. All participants had at least 1 foreign-born parent, and
most mothers reported limited English proficiency. Parents completed a telephone
survey, and children completed measures of receptive and expressive vocabulary in
each language. Using correlations and stepwise regression, we examined predictors
of vocabulary skills in each language that were internal to the child (age,
gender, analytical reasoning, phonological memory) or that pertained to the
surrounding environment (cumulative exposure, quantity and quality of
input/output). Results: Vietnamese vocabulary outcomes were related to multiple
external factors, of which input and enrichment activities were the best
predictors. In contrast, English vocabulary outcomes were related to internal
factors, of which age and phonological memory were the best predictors. Parental
use of Vietnamese contributed to children's Vietnamese vocabulary outcomes but
was not related to children's English vocabulary outcomes. Conclusions:
Vietnamese exposure does not hinder English development. Children from immigrant
families are learning English with or without familial support. Rich and frequent
exposure and opportunities for practice are essential for the continued
development of a minority first language.
PMID- 29800058
TI - Premature Cardiac Disease and Death After Preterm Preeclampsia in Women Whose
Infant Was Small for Gestational Age-Reply.
PMID- 29800059
TI - Patterns of Potential Opioid Misuse and Subsequent Adverse Outcomes.
PMID- 29800060
TI - Survey of miRNA-miRNA cooperative regulation principles across cancer types.
AB - Cooperative regulation among multiple microRNAs (miRNAs) is a complex type of
posttranscriptional regulation in human; however, the global view of the system
level regulatory principles across cancers is still unclear. Here, we
investigated miRNA-miRNA cooperative regulatory landscape across 18 cancer types
and summarized the regulatory principles of miRNAs. The miRNA-miRNA cooperative
pan-cancer network exhibited a scale-free and modular architecture. Cancer types
with similar tissue origins had high similarity in cooperative network structure
and expression of cooperative miRNA pairs. In addition, cooperative miRNAs showed
divergent properties, including higher expression, greater expression variation
and a stronger regulatory strength towards targets and were likely to regulate
cancer hallmark-related functions. We found a marked rewiring of miRNA-miRNA
cooperation between various cancers and revealed conserved and rewired network
miRNA hubs. We further identified the common hubs, cancer-specific hubs and other
hubs, which tend to target known anticancer drug targets. Finally, miRNA
cooperative modules were found to be associated with patient survival in several
cancer types. Our study highlights the potential of pan-cancer miRNA-miRNA
cooperative regulation as a novel paradigm that may aid in the discovery of
tumorigenesis mechanisms and development of anticancer drugs.
PMID- 29800061
TI - Response to the Letter to the Editor From Moncrieff (2017) Regarding de Wit et
al. (2016), "Characteristics of Auditory Processing Disorders: A Systematic
Review".
AB - Purpose: The purpose of this letter is to respond to Moncrieff's (2017) letter to
the editor, "Response to de Wit et al., 2016, 'Characteristics of Auditory
Processing Disorders: A Systematic Review,'" published in May 2017 by the Journal
of Speech, Language, and Hearing Research. Conclusion: We believe that our
original conclusions are valid given the limited evidence that is currently
available about the etiology of auditory processing disorders (APD). The focus of
our systematic review was to identify the characteristics of children with a
diagnosis of APD or a suspicion of APD. The results of our study showed that the
characteristics of these children are not specific or limited to the auditory
modality but are multimodal instead. In our view, it is incorrect to use the
diagnosis APD, because there is not necessarily a specific auditory deficit in a
large group of children suffering from listening difficulties. Before we start
using any new diagnoses, a better insight into how bottom-up and top-down
processes are precisely involved in listening needs to be developed. In addition,
more insight is needed with respect to the similarities and differences between
the different developmental disorders of children.
PMID- 29800062
TI - Clinicians' Perspectives on Providing Emergency-Only Hemodialysis to Undocumented
Immigrants: A Qualitative Study.
AB - Background: In the United States, nearly half of undocumented immigrants with end
stage kidney disease receive hemodialysis only when they are evaluated in an
emergency department and are found to have life-threatening renal failure
("emergency-only hemodialysis" [EOHD]). These patients experience psychosocial
distress and much higher mortality than patients receiving regularly scheduled
hemodialysis, but little is known about how providing EOHD affects the clinicians
involved. Objective: To understand clinicians' experiences providing EOHD.
Design: Qualitative study using semistructured interviews. Setting: A safety-net
hospital in Denver, Colorado, and a safety-net system in Houston, Texas.
Participants: Fifty interdisciplinary clinicians experienced in providing EOHD.
Measurements: Interviews were analyzed using thematic analysis. Outcomes included
themes and subthemes. Results: Four themes and 13 subthemes (in parentheses) were
identified: 1) drivers of professional burnout (emotional exhaustion from
witnessing needless suffering and high mortality, jeopardizing patient trust,
detaching from patients, perceived lack of control over EOHD criteria, and
physical exhaustion from overextending to bridge care), 2) moral distress from
propagating injustice (altered care based on nonmedical factors, focus on volume
at the expense of quality, and need to game the system), 3) confusing and
perverse financial incentives (wasting resources, confusing financial incentives,
and concerns about sustainability), and 4) inspiration toward advocacy (deriving
inspiration from patients and strengthened altruism). Limitation: Whether the
findings apply to other settings is unknown, and social desirability response
bias might have reduced reporting of negative perceptions and experiences.
Conclusion: Clinicians in safety-net settings who provide EOHD to undocumented
patients describe experiencing moral distress and being driven toward
professional burnout. The burden of EOHD on clinicians should inform discussions
of systemic approaches to support provision of adequate care based on medical
need. Primary Funding Source: Robert Wood Johnson Foundation and Doris Duke
Charitable Foundation.
PMID- 29800063
TI - Clinical Trials in Idiopathic Pulmonary Fibrosis in the "Posttreatment Era".
PMID- 29800066
TI - Moved by Reading in a Spanish-Speaking, Dual Language Learner Population.
AB - Purpose: The aim of this study was to evaluate the effectiveness of an English
only version and a Spanish-support version of an embodied reading comprehension
intervention (Moved by Reading) consisting of 3 stages (physical manipulation,
imagined manipulation, and transfer) for Spanish-English dual language learners.
Method: Sixty-one dual language learners in Arizona were randomly assigned to 4
groups (Spanish-support control, Spanish-support intervention, English-only
control, and English-only intervention). Analyses of variance were used to
compare control and intervention groups and to compare groups according to the
language of the intervention. Results: Children in the Spanish-support
intervention group significantly outperformed both control groups during the
physical manipulation stage, whereas children in the English-only intervention
group outperformed both control groups in the imagined manipulation stage, but
there was little transfer to a new, unrelated text. Conclusions: The Moved by
Reading intervention, in both its English-only and Spanish-support versions,
improved performance on comprehension questions, but in different stages of the
intervention. The Spanish-support version of the intervention was most effective
in the physical manipulation stage, whereas the English-only version was more
effective in the imagined manipulation stage. Neither version was effective in
producing significant transfer effects.
PMID- 29800064
TI - Sirtuin 1 activation attenuates cardiac fibrosis in a rodent pressure overload
model by modifying Smad2/3 transactivation.
AB - Aims: Transforming growth factor beta1 (TGF-beta1) is a prosclerotic cytokine
involved in cardiac remodelling leading to heart failure (HF). Acetylation/de
acetylation of specific lysine residues in Smad2/3 has been shown to regulate TGF
beta signalling by altering its transcriptional activity. Recently, the lysine de
acetylase sirtuin 1 (SIRT1) has been shown to have a cardioprotective effect;
however, SIRT1 expression and activity are paradoxically reduced in HF. Herein,
we investigate whether pharmacological activation of SIRT1 would induce
cardioprotection in a pressure overload model and assess the impact of SIRT1
activation on TGF-beta signalling and the fibrotic response. Methods and results:
Eight weeks old male C57BL/6 mice were randomized to undergo sham surgery or
transverse aortic constriction (TAC) to induce pressure overload. Post-surgery,
animals were further randomized to receive SRT1720 or vehicle treatment.
Echocardiography, pressure-volume loops, and histological analysis revealed an
impairment in cardiac function and deleterious left ventricular remodelling in
TAC-operated animals that was improved with SRT1720 treatment. Genetic ablation
and cell culture studies using a Smad-binding response element revealed SIRT1 to
be a specific target of SRT1720 and identified Smad2/3 as a SIRT1 specific
substrate. Conclusion: Overall, our data demonstrate that Smad2/3 is a specific
SIRT1 target and suggests that pharmacological activation of SIRT1 may be a novel
therapeutic strategy to prevent/reverse HF via modifying Smad activity.
PMID- 29800068
TI - A Man With a Midline Lesion Within the Oral Tongue.
PMID- 29800065
TI - Global Burden of Multiple Myeloma: A Systematic Analysis for the Global Burden of
Disease Study 2016.
AB - Introduction: Multiple myeloma (MM) is a plasma cell neoplasm with substantial
morbidity and mortality. A comprehensive description of the global burden of MM
is needed to help direct health policy, resource allocation, research, and
patient care. Objective: To describe the burden of MM and the availability of
effective therapies for 21 world regions and 195 countries and territories from
1990 to 2016. Design and Setting: We report incidence, mortality, and disability
adjusted life-year (DALY) estimates from the Global Burden of Disease 2016 study.
Data sources include vital registration system, cancer registry, drug
availability, and survey data for stem cell transplant rates. We analyzed the
contribution of aging, population growth, and changes in incidence rates to the
overall change in incident cases from 1990 to 2016 globally, by sociodemographic
index (SDI) and by region. We collected data on approval of lenalidomide and
bortezomib worldwide. Main Outcomes and Measures: Multiple myeloma mortality;
incidence; years lived with disabilities; years of life lost; and DALYs by age,
sex, country, and year. Results: Worldwide in 2016 there were 138 509 (95%
uncertainty interval [UI], 121 000-155 480) incident cases of MM with an age
standardized incidence rate (ASIR) of 2.1 per 100 000 persons (95% UI, 1.8-2.3).
Incident cases from 1990 to 2016 increased by 126% globally and by 106% to 192%
for all SDI quintiles. The 3 world regions with the highest ASIR of MM were
Australasia, North America, and Western Europe. Multiple myeloma caused 2.1
million (95% UI, 1.9-2.3 million) DALYs globally in 2016. Stem cell
transplantation is routinely available in higher-income countries but is lacking
in sub-Saharan Africa and parts of the Middle East. In 2016, lenalidomide and
bortezomib had been approved in 73 and 103 countries, respectively. Conclusions
and Relevance: Incidence of MM is highly variable among countries but has
increased uniformly since 1990, with the largest increase in middle and low
middle SDI countries. Access to effective care is very limited in many countries
of low socioeconomic development, particularly in sub-Saharan Africa. Global
health policy priorities for MM are to improve diagnostic and treatment capacity
in low and middle income countries and to ensure affordability of effective
medications for every patient. Research priorities are to elucidate underlying
etiological factors explaining the heterogeneity in myeloma incidence.
PMID- 29800067
TI - Association of Cardiovascular Outcomes With Masked Hypertension Defined by Home
Blood Pressure Monitoring in a Japanese General Practice Population.
AB - Importance: The clinical outcomes associated with masked hypertension defined by
home blood pressure monitoring (HBPM) in clinical settings remain uncertain.
Objective: To assess the association between masked hypertension and
cardiovascular disease events in clinical settings. Design, Setting, and
Participants: This observational cohort study used data from 4261 outpatients
treated at 71 primary practices or university hospitals throughout Japan who were
enrolled in the Japan Morning Surge-Home Blood Pressure study between January 1,
2005, and December 31, 2012. Participants had a history of or risk factors for
cardiovascular disease and were followed up through March 31, 2015. Participants
underwent clinic blood pressure (BP) measurements on 2 occasions as well as HBPM
measurements in the morning and evening for a 14-day period. Urine albumin to
creatinine ratio and circulating brain (or B-type) natriuretic peptide levels
were quantified at baseline as a marker of cardiovascular end-organ damage. Data
were analyzed from July 1, 2017, to October 31, 2017. Exposures: Participants
were categorized into 4 BP groups: (1) masked hypertension-hypertensive home BP
levels (systolic, >=135 mm Hg; diastolic, >=85 mm Hg) and nonhypertensive clinic
BP levels (systolic, <140 mm Hg; diastolic, <90 mm Hg); (2) white-coat
hypertension-nonhypertensive home BP levels (systolic, <135 mm Hg; diastolic, <85
mm Hg) and hypertensive clinic BP levels (systolic, >=140 mm Hg; diastolic, >=90
mm Hg); (3) sustained hypertension-hypertensive home and clinic BP levels; and
(4) controlled BP-nonhypertensive home and clinic BP levels. Main Outcomes and
Measures: Incident stroke and coronary heart disease. Results: Of the 4261
participants, 2266 (53.2%) were women, 3374 (79.2%) were taking antihypertensive
medication, and the mean (SD) age was 64.9 (10.9) years. During a median
(interquartile range) follow-up of 3.9 (2.4-4.6) years, 74 stroke (4.4 per 1000
person-years) and 77 coronary heart disease (4.6 per 1000 person-years) events
occurred. The masked hypertension group had a greater risk for stroke compared
with the controlled BP group (hazard ratio, 2.77; 95% CI, 1.20-6.37), independent
of traditional cardiovascular risk factors, urine albumin to creatinine ratio,
and circulating B-type natriuretic peptide levels. Conversely, masked
hypertension yielded no association with coronary heart disease risk. Conclusions
and Relevance: In the Japanese general practice population, masked hypertension
defined by HBPM may be associated with an increased risk for stroke events. Use
of HBPM may improve the assessment of BP-related risks and identify new
therapeutic interventions aimed at preventing cardiovascular disease events.
PMID- 29800069
TI - The Development of Bilingual Narrative Retelling Among Spanish-English Dual
Language Learners Over Two Years.
AB - Purpose: This exploratory study investigates the development of oral narrative
retell proficiency among Spanish-English emergent bilingual children
longitudinally from kindergarten to second grade in Spanish and English as they
learned literacy in the 2 languages concurrently. Method: Oral narrative retell
assessments were conducted with children who spoke Spanish at home and were
enrolled in a dual language immersion program (N = 12) in the spring of
kindergarten and second grade. Retells were transcribed and coded for vocabulary
and grammar at the microlevel (Miller, Andriacchi, & Nockerts, 2015, 2016) and
story structure at the macrolevel (Heilmann, Miller, Nockerts, & Dunaway, 2010).
Results: In microstructure paired-sample t tests, children showed significant
improvements in vocabulary in both languages (Spanish total number of words eta2
= .43, Spanish number of different words eta2 = .44, English total number of
words eta2 = .61, English number of different words eta2 = .62) but not grammar
by second grade. At the macrostructure level, children showed significantly
higher performance in English only (English narrative scoring scheme eta2 = .47).
Conclusions: The finding that children significantly improved in vocabulary in
both languages but in overall story structure only in English suggests that
discourse skills were being facilitated in English whereas Spanish discourse
development may have stagnated even within a dual language immersion program.
Results contribute to what is currently known about bilingual oral narrative
development among young Spanish speakers enrolled in such programs and can inform
assessment and instructional decisions.
PMID- 29800071
TI - Articulatory Range of Movement in Individuals With Dysarthria Secondary to
Amyotrophic Lateral Sclerosis.
AB - Purpose: The current study examined overall articulatory range of movement (ROM)
in individuals with amyotrophic lateral sclerosis (ALS). Differential involvement
of articulators was also tested using articulatory working space in individuals
with varying degrees of dysarthria severity and in typically aging individuals. A
strong association between overall articulatory ROM and severity measures among
individuals with ALS was hypothesized. In addition, it was hypothesized that
differential involvement of articulators would be detected using overall
articulatory ROM measures. Method: Twenty-two speakers with dysarthria secondary
to ALS and 22 typically aging speakers participated. Speech intelligibility and
speaking rate were used as indices of severity. Movement range and overall
articulatory working space area (convex hull) of the tongue, lower lip, and jaw
were each measured by electromagnetic articulography while the speakers produced
the Rainbow Passage. Results: Tongue convex hull size was significantly
correlated with both indices of severity. A significant interaction between
articulators and groups was observed. Individuals with severe dysarthria had
reduced tongue convex hull size and exaggerated lower lip convex hull size. ROM
in the anterior-posterior dimension showed a more notable differential
involvement pattern than ROM in the superior-inferior dimension. Results in the
area under a receiver operating characteristic curve analysis revealed group
specific ROM sensitivity. Conclusions: The findings indicate that tongue ROM is
reduced in individuals with more severe dysarthria when estimated using a
standardized paragraph containing all American English phonemes. The articulatory
working space measure could be useful for estimating speech dysfunction in ALS.
ROM of the tongue decreases, but ROM of the lower lip and jaw each increase in
individuals with severe dysarthria. Differential involvement of the articulators
in the anterior-posterior dimension needs to be further investigated.
PMID- 29800070
TI - Inter- and intraspecific hypervariability in interstitial telomeric-like repeats
(TTTAGGG)n in Anacyclus (Asteraceae).
AB - Background and Aims: Interstitial telomeric repeat (ITR) sites, consisting of
tandem repeats of telomeric motifs localized at intrachromosomal sites, have been
reported in a few unrelated organisms including plants. However, the causes for
the occurrence of ITRs outside of the chromosomal termini are not fully
understood. One possible explanation are the chromosomal rearrangements involving
telomeric sites, which could also affect the location of other structural genome
elements, such as the 45S rDNA. Taking advantage of the high dynamism in 45S rDNA
loci previously found in Anacyclus (Asteraceae, Anthemideae), the occurrence and
patterns of variation of ITRs were explored in this genus with the aim of finding
common underlying causes. Methods: In total, 132 individuals from 44 populations
of nine species were analysed by fluorescence in situ hybridization using an
Arabidopsis-type telomeric sequence as a probe. Key results: Variable presence of
ITR sites was detected in six out of nine species of Anacyclus, ranging from two
to 45 sites and showing contrasting chromosomal locations and a differential
presence of the ITR site on homologous chromosome pairs. At the intraspecific
level, the ranges were as large as 0-12 ITR sites. Although only 26 % of the
total observed ITR sites were localized in chromosomes bearing 45S rDNA loci, all
cases of interstitial 45S rDNA reported in a previous work co-occurred with ITRs
in close proximity in the same chromosome arms. Conclusions: High levels of ITR
polymorphism within a single species have not been previously reported in plants
and suggest that this pattern might have been overlooked due to insufficient
sampling. Although ancient Robertsonian translocations or the amplification of
terminal 45S rDNA sites cannot, on their own, explain all of the levels of
variability in ITRs reported here, there are suggestions that they may have been
involved in the evolutionary history of this genus or its ancestors in
Anthemideae.
PMID- 29800072
TI - Grammatical Word Production Across Metrical Contexts in School-Aged Children's
and Adults' Speech.
AB - Purpose: The purpose of this study is to test whether age-related differences in
grammatical word production are due to differences in how children and adults
chunk speech for output or to immature articulatory timing control in children.
Method: Two groups of 12 children, 5 and 8 years old, and 1 group of 12 adults
produced sentences with phrase-medial determiners. Preceding verbs were varied to
create different metrical contexts for chunking the determiner with an adjacent
content word. Following noun onsets were varied to assess the coherence of
determiner-noun sequences. Determiner vowel duration, amplitude, and formant
frequencies were measured. Results: Children produced significantly longer and
louder determiners than adults regardless of metrical context. The effect of noun
onset on F1 was stronger in children's speech than in adults' speech; the effect
of noun onset on F2 was stronger in adults' speech than in children's. Effects of
metrical context on anticipatory formant patterns were more evident in children's
speech than in adults' speech. Conclusion: The results suggest that both immature
articulatory timing control and age-related differences in how chunks are
accessed or planned influence grammatical word production in school-aged
children's speech. Future work will focus on the development of long-distance
coarticulation to reveal the evolution of speech plan structure over time.
PMID- 29800073
TI - Xylem adjusts to maintain efficiency across a steep precipitation gradient in two
coexisting generalist species.
AB - Background and Aims: Trees adjust the configuration of their conductive system in
response to changes in water availability, maximizing efficiency in wet
environments and increasing safety in dry habitats. However, evidence of this
general trend is not conclusive. Generalist species growing across broad climatic
gradients provide an ideal framework to assess intra-specific xylem adjustments
under contrasting environmental conditions. Our aims were to compare the response
of xylem traits to variations in precipitation of two co-occurring generalist
tree species, and to assess climate control on xylem trait variability and co
ordination. Methods: We evaluated xylem traits of Embothrium coccineum
(Proteaceae, evergreen) and Nothofagus antarctica (Nothofagaceae, deciduous) in
three areas across an abrupt precipitation gradient, from 500 to 2500 mm, in
southern Chile. We measured wood density, vessel lumen area and density,
percentage of conductive area and vessel grouping, and estimated the hydraulic
function from anatomical measurements in 60 individuals per species. Key Results:
Both species shared a common pattern of response along the precipitation
gradient, with an increase in vessel density with dryness, but without changes in
estimated hydraulic conductivity. Xylem traits in E. coccineum were more variable
and more responsive to the climate gradient, decreasing vessel lumen area and
increasing wood density, whereas vessel grouping showed contrasting patterns
between species. Additionally, the analysis of trait co-ordination at the
individual level revealed a tighter co-ordination among xylem traits in E.
coccineum. Conclusions: Estimated xylem efficiency was maintained in combination
with different levels of expected xylem safety within species. Reduction in
vessel lumen area was compensated through large increases in vessel density, thus
breaking the trade-off between xylem efficiency and safety. Otherwise, the
existence of alternative internal adjustments in coexisting species to face
similar climatic constraints might increase resilience of temperate forests
against unpredictable changes in climatic conditions.
PMID- 29800074
TI - Development of Ultra Performance Liquid Chromatography Tandem Mass Spectrometry
Method for Simultaneous Identification and Quantitation of Potential Osteogenic
Phytochemicals in Butea monosperma.
AB - An ultra performance liquid chromatography coupled with hybrid triple-quadrupole
linear ion trap tandem mass spectrometry (UPLC-ESI-QqQLIT-MS-MS) method in
multiple reaction monitoring mode was developed for identification and
simultaneous determination of potential osteogenic compounds in ethanol extracts
of different plant parts of Butea monosperma collected from different
geographical regions. The chromatographic separation was carried out on an
Acquity UPLC CSH C18 column (1.7 MUm, 2.1 * 100 mm) with 0.1% (v/v) formic acid
in water and methanol as mobile phase under gradient conditions in 8 min. The
developed method was validated according to the guidelines of international
conference on harmonization. The correlation coefficients of all the calibration
curves were >=0.9995 and recoveries ranged from 95.2 to 105.8% (RSD <= 1.95%).
Relative standard deviations of intra-day, inter-day precisions and stability
were <=1.74, 1.84 and 2.8%, respectively. The quantitative results showed
remarkable differences in the content of all potential osteogenic compounds in
different parts of the plant as well as samples from different geographical
regions. Quantitative variations studied from principal component analysis
indicated tentative markers for B. monosperma cultivars which can discriminate
sample of different geographical regions.
PMID- 29800077
TI - Subacute nodopathy with conduction blocks and anti-neurofascin 140/186
antibodies: an ultrastructural study.
PMID- 29800076
TI - Clines in traits compared over two decades in a plant hybrid zone.
AB - Background and Aims: Clines in traits across hybrid zones reflect a balance
between natural selection and gene flow. Changes over time in average values for
traits, and especially the shapes of their clines, are rarely investigated in
plants, but could result from evolution in an unstable hybrid zone. Differences
in clines between floral and vegetative traits could indicate different strengths
of divergent selection. Methods: Five floral and two vegetative traits were
measured in 12 populations along an elevational gradient spanning a natural
hybrid zone between Ipomopsis aggregata and Ipomopsis tenuituba. We compared
clines in the floral traits with those measured 25 years ago. Observed changes in
mean trait values were compared with predictions based on prior estimates of
natural selection. We also compared the steepness and position of clines between
the floral and vegetative traits. Key Results: Corolla length has increased over
five generations to an extent that matches predictions from measurements of
phenotypic selection and heritability. The shape of its cline, and that of other
traits, has not changed detectably. Clines varied across traits, but not all
floral traits showed steeper clines than did vegetative traits. Both suites of
morphological traits had steeper clines than did neutral molecular markers.
Conclusions: The increase in corolla length provides a rare example of a match
between predicted and observed evolution of a plant trait in natural populations.
The clinal properties are consistent with the hypothesis that habitat-mediated
divergent selection on vegetative traits and pollinator-mediated selection on
floral traits both maintain species differences across the hybrid zone.
PMID- 29800078
TI - Functional and Anatomical Outcomes of Facial Nerve Injury With Application of
Polyethylene Glycol in a Rat Model.
AB - Importance: Functional and anatomical outcomes after surgical repair of facial
nerve injury may be improved with the addition of polyethylene glycol (PEG) to
direct suture neurorrhaphy. The application of PEG has shown promise in treating
spinal nerve injuries, but its efficacy has not been evaluated in treatment of
cranial nerve injuries. Objective: To determine whether PEG in addition to
neurorrhaphy can improve functional outcomes and synkinesis after facial nerve
injury. Design, Setting, and Subjects: In this animal experiment, 36 rats
underwent right facial nerve transection and neurorrhaphy with addition of PEG.
Weekly behavioral scoring was done for 10 rats for 6 weeks and 14 rats for 16
weeks after the operations. In the 16-week study, the buccal branches were
labeled and tissue analysis was performed. In the 6-week study, the mandibular
and buccal branches were labeled and tissue analysis was performed. Histologic
analysis was performed for 10 rats in a 1-week study to assess the association of
PEG with axonal continuity and Wallerian degeneration. Six rats served as the
uninjured control group. Data were collected from February 8, 2016, through July
10, 2017. Intervention: Polyethylene glycol applied to the facial nerve after
neurorrhaphy. Main Outcomes and Measures: Functional recovery was assessed weekly
for the 16- and 6-week studies, as well as motoneuron survival, amount of
regrowth, specificity of regrowth, and aberrant branching. Short-term effects of
PEG were assessed in the 1-week study. Results: Among the 40 male rats included
in the study, PEG addition to neurorrhaphy showed no functional benefit in eye
blink reflex (mean [SEM], 3.57 [0.88] weeks; 95% CI, -2.8 to 1.9 weeks; P = .70)
or whisking function (mean [SEM], 4.00 [0.72] weeks; 95% CI, -3.6 to 2.4 weeks; P
= .69) compared with suturing alone at 16 weeks. Motoneuron survival was not
changed by PEG in the 16-week (mean, 132.1 motoneurons per tissue section; 95%
CI, -21.0 to 8.4; P = .13) or 6-week (mean, 131.1 motoneurons per tissue section;
95% CI, -11.0 to 10.0; P = .06) studies. Compared with controls, neither surgical
group showed differences in buccal branch regrowth at 16 (36.9 motoneurons per
tissue section; 95% CI, -14.5 to 22.0; P = .28) or 6 (36.7 motoneurons per tissue
section; 95% CI, -7.8 to 18.5; P = .48) weeks or in the mandibular branch at 6
weeks (25.2 motoneurons per tissue section; 95% CI, -14.5 to 15.5; P = .99).
Addition of PEG had no advantage in regrowth specificity compared with suturing
alone at 16 weeks (15.3% buccal branch motoneurons with misguided projections;
95% CI, -7.2% to 11.0%; P = .84). After 6 weeks, the number of motoneurons with
misguided projections to the mandibular branch showed no advantage of PEG
treatment compared with suturing alone (12.1% buccal branch motoneurons with
misguided projections; 95% CI, -8.2% to 9.2%; P = .98). In the 1-week study,
improved axonal continuity and muscular innervation were not observed in PEG
treated rats. Conclusions and Relevance: Although PEG has shown efficacy in
treating other nervous system injuries, PEG in addition to neurorraphy was not
beneficial in a rat model of facial nerve injury. The addition of PEG to suturing
may not be warranted in the surgical repair of facial nerve injury. Level of
Evidence: NA.
PMID- 29800079
TI - Improving Implementation of Lung Cancer Screening With Risk Prediction Models.
PMID- 29800075
TI - A Duck Wearing Boots?! Pragmatic Language Strategies for Repairing Communication
Breakdowns Across Genetically Based Neurodevelopmental Disabilities.
AB - Purpose: The ability to repair breakdowns in communication is an important
pragmatic language skill that helps to maintain clear and meaningful
interactions. Examining this ability in genetically based neurodevelopmental
disabilities in which pragmatics are affected can provide important information
about the precise pragmatic skills impacted across different populations and also
help to identify core mechanisms underlying pragmatic impairment that may inform
tailored interventions. Method: Individuals with idiopathic autism spectrum
disorder (ASD-O; n = 40), fragile X syndrome with comorbid autism spectrum
disorder (FXS-ASD; n = 62), FXS without ASD (FXS-O; n = 38), Down syndrome (DS; n
= 43), and typical development (TD; n = 42) completed a picture description task
in which an examiner prompted for clarification repeatedly to elicit
communication repair attempts. Participants' response strategies were compared
across diagnostic groups and by sex and examined in relationship to different
cognitive abilities. Results: Relatively few group differences were observed in
responses to requests for clarification overall. Males with ASD-O responded less
to clarification requests than males with FXS-ASD and FXS-O, and males with FXS
ASD responded more inappropriately than males with ASD-O and DS. All male groups
became less responsive to prompts for communication repair across the series of
requests. Males with TD and FXS-ASD used less effective strategies than females.
Conclusion: All groups showed some proficiency in repairing communication
breakdowns, although individuals with ASD-O and FXS-ASD demonstrated some key
areas of difficulty, highlighting the importance of considering ASD
symptomatology in assessment and treatment of males with FXS. Findings also
suggest that, across groups, multiple requests for clarification may lead to
disengagement from the interaction. Finally, correlated skills observed across
groups could implicate different underlying skills related to communication
repair abilities across groups.
PMID- 29800080
TI - Early Weight Loss in Behavioral Treatment Predicts Later Rate of Weight Loss and
Response to Pharmacotherapy.
AB - Background: Early weight loss (EWL) in the first 1-2 months of behavioral
treatment is a strong predictor of later total weight loss. It is not clear
whether participants with lower early losses lose less in ongoing treatment or
simply fail to overcome the smaller initial loss. Furthermore, no study has
tested whether EWL in behavioral treatment predicts response to a different
treatment modality, such as pharmacotherapy. Methods: Data were from 170
participants with obesity (baseline BMI = 40.8 +/- 5.8 kg/m2, 87.6% female; 71.3%
Black) enrolled in a two-phase trial. Data from the weight loss phase, which
provided weekly lifestyle counseling and a meal replacement diet, were used to
examine the relationship between 4-week EWL and subsequent rate of weight loss in
behavioral treatment. Data from the maintenance phase, in which 137 participants
who had lost >=5% of initial weight were randomized to 52 weeks of maintenance
counseling with lorcaserin or placebo, were used to determine whether EWL with
behavioral treatment affects the benefit of pharmacotherapy. Results: EWL in the
first 4 weeks of behavioral treatment (3.6 +/- 1.7%) predicted greater total
losses at Week 14 (r2 = 0.61, p < .001) and a faster rate of weight loss in the
subsequent 9 weeks of the program (p < .001). During the maintenance phase, lower
EWL in behavioral treatment predicted a greater benefit of lorcaserin, in
comparison with placebo, for the maintenance of a >=5% loss at Weeks 24 and 52.
Conclusions: These findings support recommendations to modify treatment for
individuals with low EWL.
PMID- 29800082
TI - Comments on "Added Healthcare Charges Conferred by Smoking in Outpatient Plastic
Surgery".
PMID- 29800081
TI - Measuring Listening Effort: Convergent Validity, Sensitivity, and Links With
Cognitive and Personality Measures.
AB - Purpose: Listening effort (LE) describes the attentional or cognitive
requirements for successful listening. Despite substantial theoretical and
clinical interest in LE, inconsistent operationalization makes it difficult to
make generalizations across studies. The aims of this large-scale validation
study were to evaluate the convergent validity and sensitivity of commonly used
measures of LE and assess how scores on those tasks relate to cognitive and
personality variables. Method: Young adults with normal hearing (N = 111)
completed 7 tasks designed to measure LE, 5 tests of cognitive ability, and 2
personality measures. Results: Scores on some behavioral LE tasks were moderately
intercorrelated but were generally not correlated with subjective and
physiological measures of LE, suggesting that these tasks may not be tapping into
the same underlying construct. LE measures differed in their sensitivity to
changes in signal-to-noise ratio and the extent to which they correlated with
cognitive and personality variables. Conclusions: Given that LE measures do not
show consistent, strong intercorrelations and differ in their relationships with
cognitive and personality predictors, these findings suggest caution in
generalizing across studies that use different measures of LE. The results also
indicate that people with greater cognitive ability appear to use their resources
more efficiently, thereby diminishing the detrimental effects associated with
increased background noise during language processing.
PMID- 29800083
TI - Outcomes of Acellular Dermal Matrix for Immediate Tissue Expander Reconstruction
with Radiotherapy: A Retrospective Cohort Study.
AB - Background: Despite increasing literature support for the use of acellular dermal
matrix (ADM) in expander-based breast reconstruction, the effect of ADM on
clinical outcomes in the presence of post-mastectomy radiation therapy (PMRT) has
not been well described. Objectives: To analyze the impact ADM plays on clinical
outcomes on immediate tissue expander (ITE) reconstruction undergoing PMRT.
Methods: We retrospectively reviewed patients who underwent ITE breast
reconstruction from 2004 to 2014 at MD Anderson Cancer Center. Patients were
categorized into four cohorts: ADM, ADM with PMRT, non-ADM, and non-ADM with
PMRT. Outcomes and complications were compared between cohorts. Results: Over ten
years, 957 patients underwent ITE reconstruction (683 non-ADM, 113 non-ADM with
PMRT, 486 ADM, and 88 ADM with PMRT) with 1,370 reconstructions. Overall
complication rates for the ADM and non-ADM cohorts were 39.0 and 16.7%,
respectively (p <0.001). Within both cohorts, mastectomy skin flap necrosis
(MSFN) was the most common complication, followed by infection. ADM use was
associated with a significantly higher rate of infections and seromas in both
radiated and non-radiated groups; however, when comparing radiated cohorts, the
incidence of explantation was significantly lower with the use of ADM.
Conclusions: The decision to use ADM for expander-based breast reconstruction
should be performed with caution, given higher overall rates of complications,
including infections and seromas. There may, however, be a role for ADM in cases
requiring PMRT, as the overall incidence of implant failure is lower than non-ADM
cases.
PMID- 29800084
TI - Erratum: Drug consumption and futile medication prescribing in the last year of
life: an observational study.
PMID- 29800085
TI - The Affordable Care Act and Adolescents and Young Adults With Cancer: Much
Progress, but Challenges Remain.
PMID- 29800086
TI - Predicting Remembering: Judgments of Prospective Memory After Traumatic Brain
Injury.
AB - Purpose: Adults with traumatic brain injuries (TBIs) often struggle with
prospective memory (PM), the ability to remember to complete tasks in the future,
such as taking medicines on a schedule. Metamemory judgments (or how well we
think we will do at remembering) are linked to strategy use and are critical for
managing demands of daily living. The current project used an Internet-based
virtual reality tool to assess metamemory judgments of PM following TBI. Method:
Eighteen adults with moderate to severe TBI and 20 healthy controls (HCs) played
Tying the String, a virtual reality game with PM items embedded across the course
of a virtual work week. Participants studied PM items and made two judgments of
learning about the likelihood of recognizing the CUE, that is, when the task
should be done, and of recalling the TASK, that is, what needed to be done.
Results: Participants with TBI adjusted their metamemory expectations downward,
but not enough to account for poorer recall performance. Absolute difference
scores of metamemory accuracy showed that healthy adults were underconfident
across PM components, whereas adults with TBI were markedly overconfident about
their ability to recall TASKs. Conclusions: Adults with TBI appear to have a
general knowledge that PM tasks will be difficult but are poor monitors of actual
levels of success. Because metamemory monitoring is linked to strategy use,
future work should examine using this link to direct PM intervention approaches.
PMID- 29800087
TI - Direct-to-Consumer Genetic Testing: The Implications of the US FDA's First
Marketing Authorization for BRCA Mutation Testing.
PMID- 29800088
TI - Response to "Comments on 'Added Healthcare Charges Conferred by Smoking in
Outpatient Plastic Surgery'".
PMID- 29800090
TI - S100A4 promotes the development of lipopolysaccharide-induced mouse endometritis.
AB - S100A4 is suggested to be a critical regulator of tumor metastasis, and
implicated in progression of inflammation. The aim of this study is to
investigate the expression and possible role of S100A4 in endometritis. Using a
mouse model of endometritis induced by local injection of lipopolysaccharide
(LPS), we found that infection induced recruitment of S100A4 positive cells in
the endometrium of wild type (WT) mice. Deficiency of S100A4 reduced uterine
pathological reaction and mRNA expression of pro-inflammatory cytokine IL-1beta
and TNF-alpha (P < 0.01), suggesting S100A4 promoted the progression of
endometritis. To further explore the potential mechanism, we examined the
cellular proliferation and apoptosis in the endometrium. Western blot and
immunohistochemical results showed that cell apoptosis in uterus during
endometritis, marked by cleaved-Caspase 3 protein, was significantly cut down in
S100a4-/- mice; cell proliferation, which was indicated by Ki-67 was also
significantly decreased in the inflamed endometrial stroma of S100a4-/- mice.
Overall, these results demonstrate that S100A4 promotes the development of LPS
induced endometritis, and it may be related to the process of cell proliferation
and apoptosis during the inflammation.
PMID- 29800089
TI - Respiratory Syncytial Virus Hospitalization During Pregnancy in 4 High-income
Countries, 2010-2016.
AB - Few studies have addressed respiratory syncytial virus (RSV) infection during
pregnancy. Among 846 pregnant women hospitalized with respiratory illness and
tested for RSV, 21 (2%) were RSV positive, of whom 8 (38%) were diagnosed with
pneumonia. Despite study limitations, these data can help inform decisions about
RSV prevention strategies.
PMID- 29800091
TI - Premature Cardiac Disease and Death After Preterm Preeclampsia in Women Whose
Infant Was Small for Gestational Age.
PMID- 29800092
TI - Neuroanatomical Correlates of Advanced Paternal and Maternal Age at Birth in
Autism Spectrum Disorder.
AB - Although advanced paternal and maternal age at birth (PA/MA) increases the risk
of autism spectrum disorder (ASD), the underlying neurobiological mechanisms are
not fully understood. To explore the neuroanatomical correlates of advanced
PA/MA, the current study conducted brain morphometric analyses in 39 high
functioning adult males with ASD and 39 age-, intellectual level-, and parental
socioeconomic background-matched, typically developed (TD) males. Whole-brain
analysis revealed that the regional gray matter volume (GMV) in bilateral
posterior cingulate cortex (PCC) and precuneus (PCU) were significantly smaller
in the individuals with ASD than in TD subjects (false discovery rate-corrected P
= 0.014). Additional analyses of the constituents of GMV reduction in these brain
regions revealed that the cortical thickness of the right ventral PCC was
significantly thinner (P = 0.014) and the surface area of bilateral PCU was
significantly smaller (left: P = 0.001; right: P = 0.049) in the adults with ASD,
compared with TD subjects. Although the analyses were exploratory, the thinner
cortical thickness of right ventral PCC was significantly correlated with older
PA in the ASD individuals (P = 0.028). The current findings shed new light on the
neurobiological mechanisms underlying the link between advanced PA and ASD.
PMID- 29800093
TI - Long-term results after concomitant mitral and aortic valve surgery: repair or
replacement?
AB - : OBJECTIVES: The reported superiority of mitral valve (MV) repair for isolated
MV regurgitation has not been confirmed in mitroaortic valve surgery. Our goals
were to evaluate the feasibility of repair in patients undergoing mitral and
aortic valve surgery and to identify factors predisposing to MV replacement, to
compare long-term outcomes (survival and MV reoperation) of repair and
replacement and to perform a subgroup analysis in patients with rheumatic MV
disease. METHODS: From January 1992 through December 2016, 1122 consecutive
patients were submitted to concomitant aortic and MV surgery in 2 different
centres (Coimbra and Santiago). Of these, 837 patients underwent MV repair
(74.6%) and 285 patients had MV replacement (25.4%). Rheumatic aetiology was
predominant (666 patients; 59.4%). Cumulative follow-up was 9522.6 patient-years
(25th-75th percentile 2.6-13.2 years) and was complete for 95.6% of patients.
Propensity score matching (1:1) was performed in 232 patients for comparing each
treatment option (MV repair and MV replacement). RESULTS: Previous MV
intervention, rheumatic aetiology, chronic obstructive pulmonary disease, higher
degrees of tricuspid and mitral regurgitation and pulmonary hypertension were
independently correlated with MV replacement. The 30-day mortality rate was
higher in patients with MV replacement (4.2% vs 1.8%, P = 0.021) and was
confirmed in the propensity score matching (4.7% vs 1.7%, P = 0.06). Late
survival was lower in the MV replacement group (53.3 +/- 4.5% vs 61.7 +/- 2.0% at
12 years; P = 0.026) and was confirmed in the propensity score matching (54.6 +/-
4.9% vs 63.2 +/- 3.8%, P = 0.062) and rheumatic subgroup (57.9 +/- 4.8% vs 68.0
+/- 2.5%, P = 0.018). Freedom from MV reoperation at 12 years was higher in the
MV repair group (94.7 +/- 1.1% vs 89.0 +/- 3.1%, P = 0.004) but similar in
patients with rheumatic MV disease. CONCLUSIONS: MV repair can be performed in
most patients undergoing aortic valve replacement. It should be the procedure of
choice whenever feasible, because it is associated with lower early and late
mortality rates and with freedom from reoperation in non-rheumatic patients.
PMID- 29800094
TI - Why We Sleep? A manifesto in defence of sleep.
PMID- 29800095
TI - Chemical Fingerprint and Quantitative Analysis for the Quality Evaluation of
Docynia dcne Leaves by High-Performance Liquid Chromatography Coupled with
Chemometrics Analysis.
AB - Docynia dcne leaf from the genus of Docynia Dcne (including three species of
Docynia delavayi, Docynia indica and Docynia longiunguis.) is an important raw
material of local ethnic minority tea, ethnomedicines and food supplements in
southwestern areas of China. However, D. dcne leaves from these three species are
usually used confusingly, which could influence the therapeutic effect of it. A
rapid and effective method for the chemical fingerprint and quantitative analysis
to evaluate the quality of D. dcne leaves was established. The chemometric
methods, including similarity analysis, hierarchical cluster analysis and partial
least-squares discrimination analysis, were applied to distinguish 30 batches of
D. dcne leaf samples from these three species. The above results could validate
each other and successfully group these samples into three categories which were
closely related to the species of D. dcne leaves. Moreover, isoquercitrin and
phlorizin were screened as the chemical markers to evaluate the quality of D.
dcne leaves from different species. And the contents of isoquercitrin and
phlorizin varied remarkably in these samples, with ranges of 6.41-38.84 and 95.73
217.76 mg/g, respectively. All the results indicated that an integration method
of chemical fingerprint couple with chemometrics analysis and quantitative
assessment was a powerful and beneficial tool for quality control of D. dcne
leaves, and could be applied also for differentiation and quality control of
other herbal preparations.
PMID- 29800097
TI - Socioeconomic predictors of referral to a diagnostic centre on suspected adverse
events following HPV vaccination.
AB - Background: In Denmark, the human papillomavirus (HPV) vaccines have been
suspected of adverse events since 2014. However, as no causal associations
between the HPV vaccines and numerous diseases have been demonstrated, factors
prior to vaccination may influence the risk of suspecting the HPV vaccines of
causing symptoms. We studied the associations between individual and parental
socioeconomic characteristics and the risk of referral to a diagnostic centre in
a female population aged 11-29 years with a first HPV vaccination in January 2008
to June 2015. Methods: Individual and parental data from national registries were
linked using the unique personal identification number. Logistic regression
analyses were used to estimate crude and adjusted odds ratio's according to each
individual and parental socioeconomic factor with two-sided 95% 95% CI. Results:
The cohort consisted of 453 216 individuals of which 1316 (0.29%) were referred
to a diagnostic centre in 2015. Having a mother outside the workforce or an
unemployed mother was associated with an increased risk of referral, while girls
and women who had fathers with a higher educational level were less likely to be
referred. In addition, women aged 20-29 years who were unemployed or outside the
workforce prior to vaccination had increased odds of being referred to a
diagnostic centre. Conclusion: We found social inequality in the referral to a
diagnostic centre following HPV vaccination. This might be explained by an
increased morbidity in girls and women of lower socioeconomic status.
PMID- 29800096
TI - Effect of Use of a Bougie vs Endotracheal Tube and Stylet on First-Attempt
Intubation Success Among Patients With Difficult Airways Undergoing Emergency
Intubation: A Randomized Clinical Trial.
AB - Importance: The tracheal tube introducer, known as the bougie, is typically used
to aid tracheal intubation in poor laryngoscopic views or after intubation
attempts fail. The effect of routine bougie use on first-attempt intubation
success is unclear. Objective: To compare first attempt intubation success
facilitated by the bougie vs the endotracheal tube + stylet. Design, Setting, and
Patients: The Bougie Use in Emergency Airway Management (BEAM) trial was a
randomized clinical trial conducted from September 2016 through August 2017 in
the emergency department at Hennepin County Medical Center, an urban, academic
department in Minneapolis, Minnesota, where emergency physicians perform all
endotracheal intubations. Included patients were 18 years and older who were
consecutively admitted to the emergency department and underwent emergency
orotracheal intubation with a Macintosh laryngoscope blade for respiratory
arrest, difficulty breathing, or airway protection. Interventions: Patients were
randomly assigned to undergo the initial intubation attempt facilitated by bougie
(n = 381) or endotracheal tube + stylet (n = 376). Main Outcomes and Measures:
The primary outcome was first-attempt intubation success in patients with at
least 1 difficult airway characteristic (body fluids obscuring the laryngeal
view, airway obstruction or edema, obesity, short neck, small mandible, large
tongue, facial trauma, or the need for cervical spine immobilization). Secondary
outcomes were first-attempt success in all patients, first-attempt intubation
success without hypoxemia, first-attempt duration, esophageal intubation, and
hypoxemia. Results: Among 757 patients who were randomized (mean age, 46 years;
women, 230 [30%]), 757 patients (100%) completed the trial. Among the 380
patients with at least 1 difficult airway characteristic, first-attempt
intubation success was higher in the bougie group (96%) than in the endotracheal
tube + stylet group (82%) (absolute between-group difference, 14% [95% CI, 8% to
20%]). Among all patients, first-attempt intubation success in the bougie group
(98%) was higher than the endotracheal tube + stylet group (87%) (absolute
difference, 11% [95% CI, 7% to 14%]). The median duration of the first intubation
attempt (38 seconds vs 36 seconds) and the incidence of hypoxemia (13% vs 14%)
did not differ significantly between the bougie and endotracheal tube + stylet
groups. Conclusions and Relevance: In this emergency department, use of a bougie
compared with an endotracheal tube + stylet resulted in significantly higher
first-attempt intubation success among patients undergoing emergency endotracheal
intubation. However, these findings should be considered provisional until the
generalizability is assessed in other institutions and settings. Trial
Registration: clinicaltrials.gov Identifier: NCT02902146.
PMID- 29800098
TI - Distinct Anatomical Connectivity Patterns Differentiate Subdivisions of the
Nonlemniscal Auditory Thalamus in Mice.
AB - Systematic examination of the inputs and outputs of the nonlemniscal auditory
thalamus will facilitate the functional elucidation of this complex structure in
the central auditory system. In mice, comprehensive tracing studies that reveal
the long-range connectivity of the nonlemniscal auditory thalamus are lacking. To
this end, we used Cre-inducible anterograde and monosynaptic retrograde viruses
in Calbindin-2A-dgCre-D and Calretinin-IRES-Cre mice, focusing on the differences
across subdivisions of the nonlemniscal auditory thalamus. We found that, 1) the
dorsal and medial parts of the auditory thalamus were predominantly connected to
sensory processing centers, whereas the posterior intralaminar (PIN) and
peripeduncular nucleus (PP) were additionally connected to emotion and motivation
modulation centers; 2) ventral auditory cortical areas were the major source of
cortical inputs for all subdivisions, and the PIN/PP received more inputs from
cortical layer 5 than other subdivisions did; 3) deep layers of the superior
colliculus and rostral part of the nonlemniscal inferior colliculus
preferentially projected to the PIN/PP; and 4) compared with the dorsal auditory
thalamus, the PIN/PP mainly innervated association cortices. In addition, new
brain areas connected to the nonlemniscal auditory thalamus, mostly the PIN/PP,
were identified. Our results suggested subdivision-specific function of the
nonlemniscal auditory thalamus in sound processing.
PMID- 29800100
TI - Premature Cardiac Disease and Death After Preterm Preeclampsia in Women Whose
Infant Was Small for Gestational Age.
PMID- 29800099
TI - Mouse quiescin sulfhydryl oxidases exhibit distinct epididymal luminal
distribution with Segment-Specific sperm surface associations.
AB - Sulfhydryl oxidation is part of the sperm maturation process essential for the
acquisition of sperm fertilization competency and its structural stabilization;
however, the specific sulfhydryl oxidases that fulfill these roles have yet to be
identified. In this study, we investigate the potential involvement of one
atypical thiol oxidase family called quiescin Q6/sulfhydryl oxidase (QSOX) using
the mouse epididymis as our model system. With multidisciplinary approaches, we
show that QSOX isoform 1 and 2 exhibit complementary distribution throughout the
epididymal duct, but that each variant possesses distinct sub-cellular
localization within the epididymal principal cells. While QSOX2 was exclusively
present in the Golgi apparatus of the caput and corpus epididymis, QSOX1c, the
most profusely express QSOX1 variant was abundantly present in the cauda luminal
fluids. Moreover, immunohistochemistry studies together with proteomic
identification in isolated epididymosomes provided evidence substantiating the
release of QSOX2, but not QSOX1c, via an apocrine secretory pathway. Furthermore,
we demonstrate for the first time, distinct association of QSOX1c and QSOX2 with
the sperm acrosome and implantation fossa, during different stages of their
epididymal maturation. In conclusion, our study provides the first comprehensive
comparisons between QSOX1 and QSOX2 in the mouse epididymis, revealing their
distinct epididymal distribution, cellular localization, mechanisms of secretion
and sperm membrane association. Together, these data suggest that QSOX1 and QSOX2
have discrete biological functions in male germ cell development.
PMID- 29800101
TI - Guidelines Versus Guidelines: What's Best for the Patient?
PMID- 29800102
TI - A study of crown development mechanisms using a shoot-based tree model and
segmented terrestrial laser scanning data.
AB - Background and Aims: Functional-structural plant models (FSPMs) allow simulation
of tree crown development as the sum of modular (e.g. shoot-level) responses
triggered by the local environmental conditions. The actual process of space
filling by the crowns can be studied. Although the FSPM simulations are at organ
scale, the data for their validation have usually been at more aggregated levels
(whole-crown or whole-tree). Measurements made by terrestrial laser scanning
(TLS) that have been segmented into elementary units (internodes) offer a
phenotyping tool to validate the FSPM predictions at levels comparable with their
detail. We demonstrate the testing of different formulations of crown development
of Scots pine trees in the LIGNUM model using segmented TLS data. Methods: We
made TLS measurements from four sample trees growing in a forest on a relatively
poor soil from sapling size to mature stage. The TLS data were segmented into
internodes. The segmentation also produced information on whether needles were
present in the internode. We applied different formulations of crown development
(flushing of buds and length of growth of new internodes) in LIGNUM. We optimized
the parameter values of each formulation using genetic algorithms to observe the
best fit of LIGNUM simulations to the measured trees. The fitness function in the
estimation combined both tree-level characteristics (e.g. tree height and crown
length) and measures of crown shape (e.g. spatial distribution of needle area).
Key Results: Comparison of different formulations against the data indicates that
the Extended Borchert-Honda model for shoot elongation works best within LIGNUM.
Control of growth by local density in the crown was important for all shoot
elongation formulations. Modifying the number of lateral buds as a function of
local density in the crown was the best way to accomplish density control.
Conclusions: It was demonstrated how segmented TLS data can be used in the
context of a shoot-based model to select model components.
PMID- 29800103
TI - Topical Sirolimus to Treat Tuberous Sclerosis Complex (TSC).
PMID- 29800104
TI - A Validated Quantification Method for Brexpiprazole in Dog Plasma.
AB - A sensitive, efficient and stable bioanalytical method has been developed and
validated for determination of brexpiprazole in dog plasma with UPLC-MS-MS for
the first time. Brexpiprazole and internal standard were extracted from plasma
samples by liquid-liquid extraction and separated on an Acquity UPLC BEH C18
column. A gradient elution program was developed employing methanol and 10 mM
ammonium acetate aqueous solution as mobile phases. The method was validated for
parameters of selectivity, LLOQ, linearity, accuracy, precision, matrix effects
and stability in accordance with the regulatory guidance on bioanalytical method
validation. The validated method was applied in evaluating the pharmacokinetic
profiles of brexpiprazole in beagle dogs after a single-dose oral administration
of a 4 mg tablet.
PMID- 29800105
TI - Simultaneous Determination of Elbasvir and Grazoprevir in Their Pharmaceutical
Preparation Using High-Performance Liquid Chromatographic Method.
AB - A high-performance liquid chromatographic method has been developed for
simultaneous determination of elbasvir and grazoprevir; two new Food and Drug
Administration (FDA) approved drugs. The two drugs were co-formulated for
treatment of hepatitis C virus in their combined pharmaceutical dosage form. The
chromatographic separation has been achieved using a reversed phase BDS Hypersil
C18 column with a mobile phase consists of acetonitrile:methanol (50:50, v/v) at
flow rate of 1 mL/min and UV detection at 253 nm. Computational investigation for
finding the best stationary phase revealed that C18 column fits better for the
simultaneous chromatographic analysis of the studied drugs. Linearity, accuracy
and precision have been found to be acceptable over the concentration range of 1
20 MUg/mL for the studied drugs. The described method has been successfully
applied for simultaneous determination of the studied drugs in their
pharmaceutical dosage form.
PMID- 29800106
TI - Functional role of endothelial CXCL16/CXCR6-platelet-leucocyte axis in
angiotensin II-associated metabolic disorders.
AB - Aims: Angiotensin-II (Ang-II) is the main effector peptide of the renin
angiotensin system (RAS) and promotes leucocyte adhesion to the stimulated
endothelium. Because RAS activation and Ang-II signalling are implicated in
metabolic syndrome (MS) and abdominal aortic aneurysm (AAA), we investigated the
effect of Ang-II on CXCL16 arterial expression, the underlying mechanisms, and
the functional role of the CXCL16/CXCR6 axis in these cardiometabolic disorders.
Methods and results: Results from in vitro chamber assays revealed that CXCL16
neutralization significantly inhibited mononuclear leucocyte adhesion to arterial
but not to venous endothelial cells. Flow cytometry and immunofluorescence
studies confirmed that Ang-II induced enhanced endothelial CXCL16 expression,
which was dependent on Nox5 up-regulation and subsequent RhoA/p38-MAPK/NFkappaB
activation. Flow cytometry analysis further showed that MS patients had higher
levels of platelet activation and a higher percentage of circulating CXCR6
expressing platelets, CXCR6-expressing-platelet-bound neutrophils, monocytes, and
CD8+ lymphocytes than age-matched controls, leading to enhanced CXCR6/CXCL16
dependent adhesion to the dysfunctional (Ang-II- and TNFalpha-stimulated)
arterial endothelium. Ang-II-challenged apolipoprotein E-deficient (apoE-/-) mice
had a higher incidence of AAA, macrophage, CD3+, and CXCR6+ cell infiltration and
neovascularization than unchallenged animals, which was accompanied by greater
CCL2, CXCL16, and VEGF mRNA expression within the lesion together with elevated
levels of circulating soluble CXCL16. Significant reductions in these parameters
were found in animals co-treated with the AT1 receptor antagonist losartan or in
apoE-/- mice lacking functional CXCR6 receptor (CXCR6GFP/GFP). Conclusion: CXCR6
expression on platelet-bound monocytes and CD8+ lymphocytes may constitute a new
membrane-associated biomarker for adverse cardiovascular events. Moreover,
pharmacological modulation of this axis may positively affect cardiovascular
outcome in metabolic disorders linked to Ang-II.
PMID- 29800107
TI - In the heart of the MEF2 transcription network: novel downstream effectors as
potential targets for the treatment of cardiovascular disease.
PMID- 29800108
TI - Becoming frail: a major turning point in patients' life course.
AB - Background: The frailty concept requires that practices should be adapted to meet
the challenge of dependence. The GP is in the front line of management of frail
elderly patients. Objectives: To explore the perception of elderly persons of the
term and concept of frailty and to understand their perception of the risk of
loss of independence. Methods: Two qualitative studies by individual interviews
in the homes of elderly persons identified as potentially frail by their GP, or
diagnosed as frail and at risk of loss of independence. The sampling was
theoretical. The analysis was carried out using an inductive approach following
the phases of thematic analysis. The researchers used triangulation and
collection was concluded when theoretical saturation had been reached. Results:
The concept of frailty was seen as forming an integral part of physiological
ageing and appeared to be irreversible. The term of frailty had a negative
connotation. The physical, cognitive and psychological components of frailty were
present in the participants' discourse. Nutritional and sensory components were
less present. Frailty due to inappropriate medication was not cited. Seven risk
factors for loss of independence were identified: social isolation, poor physical
health, poor mental health, loss of mobility, unsuitable living conditions,
unsuitable environment, and low resources. Conclusions: Becoming frail is a major
turning point in patients' life course. Coordinated multiprofessional management
that takes account of patients' perceptions could help in negotiating a feasible
care plan adapted to the patient's needs.
PMID- 29800109
TI - Redefining Informed Consent as Part of Consent Training in Pediatrics-Reply.
PMID- 29800110
TI - Conditional Deletion of FOXL2 and SMAD4 in Gonadotropes of Adult Mice Causes
Isolated FSH Deficiency.
AB - The glycoprotein FSH, a product of pituitary gonadotrope cells, regulates ovarian
follicle development in females and spermatogenesis in males. FSH is a
heterodimer of the common alpha gonadotropin subunit and the hormone-specific
FSHbeta subunit (a product of the Fshb gene). Using a conditional knockout
approach (Cre-lox), we previously demonstrated that Fshb expression in mice
depends on the transcription factors forkhead box L2 (FOXL2) and SMAD4. Deletion
of Foxl2 or Smad4 alone led to FSH deficiency, female subfertility, and
oligozoospermia in males. Simultaneous deletion of the two genes yielded a
greater suppression of FSH and female sterility. The Cre-driver used previously
was first active during embryonic development. Therefore, it is unclear whether
FOXL2 and SMAD4 play important roles in the development or adult function of
gonadotropes, or both. To address this question, we developed a tamoxifen
inducible Cre-driver line, which enabled Foxl2 and Smad4 gene deletions in
gonadotropes of adult mice. After tamoxifen treatment, females with previously
demonstrated fertility exhibited profound reductions in FSH levels, arrested
ovarian follicle development, and sterility. FSH levels were comparably reduced
in males 1 or 2 months after treatment; however, spermatogenesis was unaffected.
These data indicate that (1) FOXL2 and SMAD4 are necessary to maintain FSH
synthesis in gonadotrope cells of adult mice, (2) FSH is essential for female
reproduction but appears to be unnecessary for the maintenance of spermatogenesis
in adult male mice, and (3) the inducible Cre-driver line developed here provides
a powerful tool to interrogate gene function in gonadotrope cells of adult mice.
PMID- 29800111
TI - Norovirus transmission dynamics in a paediatric hospital using full genome
sequences.
AB - Background: Norovirus is a leading cause of worldwide and nosocomial
gastroenteritis. This study aimed to assess the utility of molecular epidemiology
using full genome sequences, compared to routine Infection Prevention and Control
(IPC) investigations. Norovirus genomes were generated from new episodes of
norovirus at a pediatric tertiary referral hospital over 19 months (n=182).
Phylogeny identified clusters of related sequences which were verified using
epidemiological and clinical data. Results: Twenty four clusters of related
norovirus sequences ("sequence clusters") were observed, including eight
previously identified by IPC investigations ("IPC outbreaks"). Seventeen sequence
clusters (involving 77/182 patients) were corroborated by epidemiological data
("epidemiologically supported clusters"), suggesting transmission between
patients. Linked infections were identified among 44 patients who were missed by
IPC investigations. 33% of norovirus sequences were linked suggesting nosocomial
transmission. 24% of patients had nosocomial infections from an unknown source.
43% were norovirus positive on admission. Conclusions: We show that there are
frequent introductions of multiple norovirus strains with extensive onward
nosocomial transmission of norovirus in a paediatric hospital with a high
proportion of immunosuppressed patients nursed in isolation. Phylogenetic
analysis using full genome sequences is more sensitive than classical IPC
investigations for identifying linked cases and should be considered when
investigating norovirus nosocomial -transmission. Sampling of staff, visitors and
the environment may be required for complete understanding of the sources of
infection and transmission routes in patients with nosocomial infections that are
not linked to other patients and among patients with phylogenetically linked
cases but no evidence of direct contact.
PMID- 29800112
TI - Simultaneous HPLC Determination of Betamethasone Esters-Containing Mixtures:
Analysis of Their Topical Preparations.
AB - Topical pharmaceutical preparations containing betamethasone esters are widely
prescribed for treatment of severe inflammatory skin conditions. Some
betamethasone esters-containing preparations are formulated with either an
antibacterial or an antifungal agent or a vitamin D3 derivative. A fast reversed
phase high-performance liquid chromatography method has been developed for the
simultaneous determination of three betamethasone esters-containing binary
mixtures along with the excipients of their dosage forms using clobetasone
butyrate as internal standard. The first mixture was betamethasone valerate and
fusidic acid (Mixture I) with chlorocresol as preservative. The second mixture
was betamethasone dipropionate (BTD) and clotrimazole (Mixture II) with benzyl
alcohol as preservative. The third mixture was BTD and calcipotriol monohydrate
(Mixture III). Optimized chromatographic separation was achieved on a
Discovery(r) C18 (4.6 * 250 mm, 5 MUm) column, using water: acetonitrile (35:65,
v/v) as mobile phase at flow rate of 1 mL/min with UV detection at 230 nm. The
method was validated according to ICH guidelines. The regression coefficients
were > 0.999 for all drugs. The method was successfully applied for the
determination of the studied drugs in bulk, synthetic mixtures and dosage forms.
The developed method is accurate, sensitive, selective and precise and can be
used for routine analysis in quality control laboratories.
PMID- 29800113
TI - Draft genome of a high value tropical timber tree, Teak (Tectona grandis L. f):
insights into SSR diversity, phylogeny and conservation.
AB - Teak (Tectona grandis L. f.) is one of the precious bench mark tropical hardwood
having qualities of durability, strength and visual pleasantries. Natural teak
populations harbour a variety of characteristics that determine their economic,
ecological and environmental importance. Sequencing of whole nuclear genome of
teak provides a platform for functional analyses and development of genomic tools
in applied tree improvement. A draft genome of 317 Mb was assembled at 151*
coverage and annotated 36, 172 protein-coding genes. Approximately about 11.18%
of the genome was repetitive. Microsatellites or simple sequence repeats (SSRs)
are undoubtedly the most informative markers in genotyping, genetics and applied
breeding applications. We generated 182,712 SSRs at the whole genome level, of
which, 170,574 perfect SSRs were found; 16,252 perfect SSRs showed in silico
polymorphisms across six genotypes suggesting their promising use in genetic
conservation and tree improvement programmes. Genomic SSR markers developed in
this study have high potential in advancing conservation and management of teak
genetic resources. Phylogenetic studies confirmed the taxonomic position of the
genus Tectona within the family Lamiaceae. Interestingly, estimation of
divergence time inferred that the Miocene origin of the Tectona genus to be
around 21.4508 million years ago.
PMID- 29800115
TI - Association of Smoking Status With Recurrence, Metastasis, and Mortality Among
Patients With Localized Prostate Cancer Undergoing Prostatectomy or Radiotherapy:
A Systematic Review and Meta-analysis.
AB - Importance: Studies investigating the association of cigarette smoking with
prostate cancer incidence and outcomes have revealed controversial results.
Objective: To systematically review and analyze the association of smoking status
with biochemical recurrence, metastasis, and cancer-specific mortality among
patients with localized prostate cancer undergoing primary radical prostatectomy
or radiotherapy. Data Sources: A systematic search of original articles published
between January 2000 and March 2017 was performed using PubMed, MEDLINE, Embase,
and Cochrane Library databases in March 2017. Study Selection: Observational
studies reporting Cox proportional hazards regression or logistic regression
analyses were independently screened. Data Extraction and Synthesis: This
systematic review and meta-analysis was performed according to the Preferred
Reporting Items for Systematic Reviews and Meta-Analyses statement and the
Cochrane Handbook for Systematic Reviews of Interventions. Available
multivariable hazard ratios (HRs) and corresponding 95% CIs were included in
quantitative analysis. A risk-of-bias assessment was completed for nonrandomized
studies. Main Outcomes and Measures: Prespecified outcomes of interest were
biochemical recurrence, metastasis, and cancer-specific mortality. Results: A
total of 5157 reports were identified, of which 16 articles were selected for
qualitative analysis and 11 articles were selected for quantitative analysis. All
included studies were observational and nonrandomized and comprised a total of 22
549 patients. Overall, 4202 patients (18.6%) were current smokers. The overall
median follow-up was 72 months. Current smokers had a statistically significantly
higher risk of biochemical recurrence (HR, 1.40; 95% CI, 1.18-1.66; P < .001 [10
studies]), as did former smokers (HR, 1.19; 95% CI, 1.09-1.30; P < .001 [7
studies]). Current smokers were also at a higher risk of metastasis (HR, 2.51;
95% CI, 1.80-3.51; P < .001 [3 studies]) and cancer-specific mortality (HR, 1.89;
95% CI, 1.37-2.60; P < .001 [5 studies]), whereas former smokers were not
(metastasis: HR, 1.61; 95% CI, 0.65-3.97; P = .31 [2 studies]; cancer-specific
mortality: HR, 1.05; 95% CI, 0.81-1.37; P = .70 [4 studies]). Conclusions and
Relevance: Current smokers at the time of primary curative treatment for
localized prostate cancer are at higher risk of experiencing biochemical
recurrence, metastasis, and cancer-specific mortality.
PMID- 29800116
TI - Could the Use of Acoustic Reflexes Prior to Administering Distortion Product
Otoacoustic Emissions (DPOAEs) Affect the Results of DPOAEs?
AB - Purpose: The purpose of this study is to investigate whether acoustic reflex
threshold testing before administration of distortion product otoacoustic
emissions can affect the results of the distortion product otoacoustic emissions
testing using an automated protocol. Method: Fifteen young adults with normal
hearing ranging in age from 19 to 25 years participated in the study. All
participants had clear external ear canals and normal Jerger Type A tympanograms
and had passed a hearing screening. Testing was performed using the
Interacoustics Titan acoustic reflex threshold and distortion product otoacoustic
emissions protocol. Participants underwent baseline distortion product
otoacoustic emissions. Results: A paired-samples t test was conducted for both
the right and left ears to assess within-group differences between baseline
distortion product otoacoustic emissions and repeated distortion product
otoacoustic emissions measures. No significant differences were found in
distortion product otoacoustic emission measures following administration of
acoustic reflexes. Conclusions: The use of a protocol when using an automated
system that includes both acoustic reflexes and distortion product otoacoustic
emissions is important. Overall, presentation of acoustic reflexes prior to
measuring distortion product otoacoustic emission did not affect distortion
product otoacoustic emission results; therefore, test sequence can be modified as
needed.
PMID- 29800117
TI - Potential Association of Anti-CCR4 Antibody Mogamulizumab and Graft-vs-Host
Disease in Patients With Mycosis Fungoides and Sezary Syndrome.
PMID- 29800114
TI - Association of the Quick Sequential (Sepsis-Related) Organ Failure Assessment
(qSOFA) Score With Excess Hospital Mortality in Adults With Suspected Infection
in Low- and Middle-Income Countries.
AB - Importance: The quick Sequential (Sepsis-Related) Organ Failure Assessment
(qSOFA) score has not been well-evaluated in low- and middle-income countries
(LMICs). Objective: To assess the association of qSOFA with excess hospital death
among patients with suspected infection in LMICs and to compare qSOFA with the
systemic inflammatory response syndrome (SIRS) criteria. Design, Settings, and
Participants: Retrospective secondary analysis of 8 cohort studies and 1
randomized clinical trial from 2003 to 2017. This study included 6569
hospitalized adults with suspected infection in emergency departments, inpatient
wards, and intensive care units of 17 hospitals in 10 LMICs across sub-Saharan
Africa, Asia, and the Americas. Exposures: Low (0), moderate (1), or high (>=2)
qSOFA score (range, 0 [best] to 3 [worst]) or SIRS criteria (range, 0 [best] to 4
[worst]) within 24 hours of presentation to study hospital. Main Outcomes and
Measures: Predictive validity (measured as incremental hospital mortality beyond
that predicted by baseline risk factors, as a marker of sepsis or analogous
severe infectious course) of the qSOFA score (primary) and SIRS criteria
(secondary). Results: The cohorts were diverse in enrollment criteria,
demographics (median ages, 29-54 years; males range, 36%-76%), HIV prevalence
(range, 2%-43%), cause of infection, and hospital mortality (range, 1%-39%).
Among 6218 patients with nonmissing outcome status in the combined cohort, 643
(10%) died. Compared with a low or moderate score, a high qSOFA score was
associated with increased risk of death overall (19% vs 6%; difference, 13% [95%
CI, 11%-14%]; odds ratio, 3.6 [95% CI, 3.0-4.2]) and across cohorts (P < .05 for
8 of 9 cohorts). Compared with a low qSOFA score, a moderate qSOFA score was also
associated with increased risk of death overall (8% vs 3%; difference, 5% [95%
CI, 4%-6%]; odds ratio, 2.8 [95% CI, 2.0-3.9]), but not in every cohort (P < .05
in 2 of 7 cohorts). High, vs low or moderate, SIRS criteria were associated with
a smaller increase in risk of death overall (13% vs 8%; difference, 5% [95% CI,
3%-6%]; odds ratio, 1.7 [95% CI, 1.4-2.0]) and across cohorts (P < .05 for 4 of 9
cohorts). qSOFA discrimination (area under the receiver operating characteristic
curve [AUROC], 0.70 [95% CI, 0.68-0.72]) was superior to that of both the
baseline model (AUROC, 0.56 [95% CI, 0.53-0.58; P < .001) and SIRS (AUROC, 0.59
[95% CI, 0.57-0.62]; P < .001). Conclusions and Relevance: When assessed among
hospitalized adults with suspected infection in 9 LMIC cohorts, the qSOFA score
identified infected patients at risk of death beyond that explained by baseline
factors. However, the predictive validity varied among cohorts and settings, and
further research is needed to better understand potential generalizability.
PMID- 29800118
TI - Glibenclamide Prevents Hypoglycemia-Induced Fatal Cardiac Arrhythmias in Rats.
AB - Sulfonylureas increase the incidence of severe hypoglycemia in people with type 2
diabetes and might increase the risk of sudden cardiac death. Sulfonylureas
stimulate insulin secretion by closing pancreatic ATP-sensitive potassium ion
(KATP) channels. To investigate the role of KATP channel modulators on cardiac
arrhythmias and mortality in the setting of severe hypoglycemia, adult Sprague
Dawley rats underwent hyperinsulinemic (0.2 U/kg/min) severe hypoglycemic (10 to
15 mg/dL) clamps with continuous electrocardiography. The rats were randomized
for treatment with intravenous vehicle (VEH), the sulfonylurea glibenclamide
(GLIB; KATP channel blocker; 5 mg/kg/h), or diazoxide (DIAZ; KATP channel opener;
5 mg/kg/h). The results demonstrated that GLIB completely prevented first-degree
heart block compared with VEH (0.18 +/- 0.09/min) and DIAZ (0.2 +/- 0.05/min).
Second-degree heart block was significantly reduced with GLIB (0.12 +/- 0.1/min)
compared with VEH (0.6 +/- 0.2/min) and DIAZ (6.9 +/- 3/min). The incidence of
third-degree heart block was completely prevented by GLIB compared with VEH (67%)
and DIAZ (87.5%). Hypoglycemia-induced mortality was completely prevented by GLIB
compared with VEH (60%) and DIAZ (82%). In conclusion, although GLIB increases
the risk of hypoglycemia by increasing insulin secretion, these results have
demonstrated a paradoxical protective role of GLIB against severe hypoglycemia
induced fatal cardiac arrhythmias.
PMID- 29800119
TI - Statistical primer: basics of survival analysis for the cardiothoracic surgeon.
AB - Survival analysis incorporates various statistical methods specific to data on
time until an event of interest. While the event is often death, giving rise to
the phrase 'survival analysis', the event might also be, for example, a
reoperation. As such, it is sometimes referred to as 'time-to-event analysis'.
Censoring sets survival analysis apart from other analyses: at the end of the
follow-up period, not all subjects have experienced the event of interest, and
some subjects may drop out of the study prior to completion. Survival data for a
group of subjects is usually visualized by the Kaplan-Meier estimator,
representing the probability of a subject remaining free of the event during
follow-up. There are several methods to compare survival between the study
groups, for example, treatment arms, including the log-rank test and the Cox
proportional hazards model. The log-rank test is an unadjusted non-parametric
method, whereas the Cox proportional hazards model allows comparison while
adjusting for multiple covariates. A principal assumption of the Cox proportional
hazards model is that the relative hazard stays constant over time-the so-called
proportionality. Specific methods exist for comparison of survival with the
general population. This article describes the fundamental concepts every
cardiothoracic surgeon should be aware of when analysing survival data and are
illustrated with a clinical example.
PMID- 29800120
TI - HIPAA and Protecting Health Information in the 21st Century.
PMID- 29800121
TI - Increased Risk of Infectious Complications in Older Patients with Indolent Non
Hodgkin Lymphoma Exposed to Bendamustine.
AB - Background: Bendamustine is a potent chemotherapy agent increasingly used to
treat indolent non-Hodgkin lymphoma (iNHL). While effective, it causes
significant T-cell lymphopenia, which may increase risk of infection. We examined
infectious complications associated with bendamustine-containing regimens among
older patients with iNHL. Methods: For this SEER-Medicare cohort study, we
identified 9,395 patients with iNHL (follicular, marginal zone, Waldenstrom's
macroglobulinemia) treated with chemotherapy from 2006-2013. Thirteen percent
received bendamustine-containing regimens. We compared the baseline
characteristics and incidence rates between patients treated with and without
bendamustine. We conducted multivariate Cox proportional hazard regression
(adjusting for age, sex, lymphoma subtype and stage, cumulative rituximab
exposure, number of chemotherapy regimens received, corticosteroid exposure,
neutropenia, granulocyte stimulating factor use, and antimicrobial prophylaxis)
to determine infectious risks associated with bendamustine. Results: Bendamustine
exposure was associated with an increased risk of both common infections such as
bacterial pneumonia (HR 1.50, 95%CI 1.21-4.85, p<0.01) and opportunistic
infections such as cytomegalovirus (HR 3.98, 95%CI 1.40-11.26, p<0.01), varicella
zoster (HR 1.49, 95%CI 1.18-1.89, p<0.01), histoplasmosis (HR 3.55, 95%CI 1.10
11.42, p=0.03), and Pneumocystis jiroveci pneumonia (when administered as third
line therapy: HR 3.32, 95%CI 1.00-1.11, p=0.05). Risk of infections was more
prominent in patients receiving bendamustine as part of later (third-line and
above) regimens, and independently associated with well-established factors such
as neutropenia and corticosteroid exposure. Conclusions: Bendamustine exposure is
associated with an increased risk of common and opportunistic infections in
patients with iNHL. Further prospective investigation into the potential role of
antimicrobial prophylaxis is needed in these patients.
PMID- 29800123
TI - Fungal community composition and diversity vary with soil depth and landscape
position in a no-till wheat-based cropping system.
AB - Soil edaphic characteristics are major drivers of fungal communities, but there
is a lack of information on how communities vary with soil depth and landscape
position in no-till cropping systems. Eastern Washington is dominated by dryland
wheat grown on a highly variable landscape with steep, rolling hills. High
throughput sequencing of fungal ITS1 amplicons was used to characterize fungal
communities across soil depth profiles (0 to 100 cm from the soil surface) among
distinct landscape positions and aspects across a no-till wheat field. Fungal
communities were highly stratified with soil depth, where deeper depths harbored
distinct fungal taxa and more variable, less diverse fungal communities. Fungal
communities from deep soils harbored a greater portion of taxa inferred to have
pathotrophic or symbiotrophic in addition to saprotrophic lifestyles. Co
occurrence networks of fungal taxa became smaller and denser as soil depth
increased. In contrast, differences between fungal communities from north-facing
and south-facing slopes were relatively minor, suggesting that plant host,
tillage, and fertilizer may be stronger drivers of fungal communities than
landscape position.
PMID- 29800122
TI - Association of Low-Fat Dietary Pattern With Breast Cancer Overall Survival: A
Secondary Analysis of the Women's Health Initiative Randomized Clinical Trial.
AB - Importance: In a randomized clinical trial, a low-fat eating pattern was
associated with lower risk of death after breast cancer. However, the extent to
which results were driven by dietary influence on survival after breast cancer
diagnosis was unknown. Objective: To determine the association of a low-fat
dietary pattern with breast cancer overall survival (breast cancer followed by
death from any cause measured from cancer diagnosis). Design, Setting, and
Participants: This is a secondary analysis of the Women's Health Initiative
randomized clinical trial that was conducted at 40 US clinical centers enrolling
participants from 1993 through 1998. Participants were 48 835 postmenopausal
women with no previous breast cancer and dietary fat intake of greater than 32%
by food frequency questionnaire. Interventions: Participants were randomized to a
dietary intervention group (40%; n = 19 541) with goals to reduce fat intake to
20% of energy and increase fruit, vegetable, and grain intake or a usual-diet
comparison group (60%; n = 29 294). Dietary group participants with incident
breast cancers continued to participate in subsequent dietary intervention
activities. Main Outcomes and Measures: Breast cancer overall survival for
incident breast cancers diagnosed during the 8.5-year (median) dietary
intervention, examined in post hoc analyses after 11.5 years (median)
postdiagnosis follow-up. Results: Of 1764 women diagnosed with breast cancer
during the dietary intervention period, mean (SD) age at screening was 62.7 (6.7)
years and age at diagnosis was 67.6 (6.9) years. With 516 total deaths, breast
cancer overall survival was significantly greater for women in the dietary
intervention group than in the usual-diet comparison group (10-year survival of
82% and 78%, respectively; hazard ratio [HR], 0.78; 95% CI, 0.65-0.94; P = .01).
In the dietary group there were fewer deaths from breast cancer (68 vs 120; HR,
0.86; 95% CI, 0.64-1.17), other cancers (36 vs 65; HR, 0.76; 95% CI, 0.50-1.17),
and cardiovascular disease (27 vs 64; HR, 0.62; 95% CI, 0.39-0.99). Conclusions
and Relevance: In women who received a diagnosis of breast cancer during the
dietary intervention period, those in the dietary group had increased overall
survival. The increase is due, in part, to better survival from several causes of
death. Trial Registration: ClinicalTrials.gov Identifier: NCT00000611.
PMID- 29800124
TI - The Roles of 27 Genera of Human Gut Microbiota in Ischemic Heart Disease, Type 2
Diabetes Mellitus, and Their Risk Factors: A Mendelian Randomization Study.
AB - Manipulation of the gut microbiota presents a new opportunity to combat chronic
diseases. Randomized controlled trials of probiotics suggest some associations
with adiposity, lipids, and insulin resistance, but to our knowledge no trials
with "hard" outcomes have been conducted. We used separate-sample Mendelian
randomization to obtain estimates of the associations of 27 genera of gut
microbiota with ischemic heart disease, type 2 diabetes mellitus, adiposity,
lipid levels, and insulin resistance, based on summary data from
CARDIoGRAAMplusC4D and other consortia. Among the 27 genera, a 1-allele increase
in single nucleotide polymorphisms related to greater abundance of
Bifidobacterium was associated with lower risk of ischemic heart disease (odds
ratio = 0.985, 95% confidence interval (CI): 0.971, 1.000; P = 0.04), a 0.011
standard-deviation lower body mass index (95% CI: -0.017, -0.005), and a 0.026
standard-deviation higher low-density lipoprotein cholesterol level (95% CI:
0.019, 0.033), but the findings were not robust to exclusion of potential
pleiotropy. We also identified Acidaminococcus, Aggregatibacter, Anaerostipes,
Blautia, Desulfovibrio, Dorea, and Faecalibacterium as being nominally associated
with type 2 diabetes mellitus or other risk factors. Results from our study
indicate that these 8 genera of gut microbiota should be given priority in future
research relating the gut microbiome to ischemic heart disease and its risk
factors.
PMID- 29800125
TI - Health in All Policies (HiAP) governance: lessons from network governance.
AB - The Health in All Policies (HiAP) approach requires formal and sustained
governance structures and mechanisms to ensure that the policies of various non
health sectors maximize positive and minimize negative impacts on population
health. In this paper, we demonstrate the usefulness of a network perspective in
understanding and contributing to the effectiveness of HiAP. We undertook an
exploratory, qualitative case study of a HiAP structure in Iran, the Kerman
province Council of Health and Food Security (CHFS) with diverse members from
health and non-health sectors. We analyzed relevant policy texts and interviewed
32 policy actors involved in the CHFS. Data were analyzed using within-case
analysis and constant comparative methodology. Our findings suggest that CHFS
governance from a network perspective drew in practice on elements of two
competing network governance modes: the network administrative organization (NAO)
and the lead organization mode. Our results also show that a shift from a
hierarchical and market-based mode of interaction to a network logic within CHFS
has not yet taken place. In addition, CHFS suffers from large membership and an
inability to address complex 'wicked problems', as well as low trust, legitimacy
and goal consensus among its members. Drawing on other HiAP studies and
commentaries, insights from organization network theory, and in-depth findings
from our case study, we conclude that a NAO may be the most effective mode of
governance for tackling complex social problems in HiAP structures. Since similar
studies are limited, and our single case study may not be transferable across all
contexts, we suggest that further research be undertaken to explore HiAP
structures from a network perspective in different institutional and cultural
settings. With increasing emphasis given to HiAP approaches in national and
international health policy discourse, it is important that comparative knowledge
about the effectiveness of HiAP governance arrangements be developed.
PMID- 29800126
TI - The feasibility of extubation in the operating room after bilateral lung
transplantation in adult emphysema patients: an observational retrospective
study.
AB - : OBJECTIVES: We introduced an extubation strategy for emphysema patients after
bilateral lung transplantation. Patients who met the extubation criteria were
extubated in the operating room (OR) followed by non-invasive ventilation, and
the other patients were extubated in the intensive care unit (ICU). The primary
objective was to determine the extubation rate. The secondary outcomes were to
determine the factors allowing for extubation in the OR and the postoperative
course. METHODS: This study is a single-centre retrospective database analysis of
96 patients. Anaesthesia was performed using automated titration of total
intravenous anaesthesia combined with thoracic epidural analgesia. Extubation
criteria included arterial partial pressure oxygen (PaO2)/fraction of inspired
oxygen (FiO2) ratio, chest radiograph, oedema and haemodynamic stability. Data
were compared using non-parametric tests and expressed as median (interquartile
ranges) or number (%). RESULTS: Fifty-three (55%) patients were extubated in the
OR (the OR group) with 1 requiring reintubation and 43 (45%) patients were
extubated in the ICU (the ICU group). Preoperative pulmonary hypertension, the
requirement for intraoperative extracorporeal membrane oxygenation (ECMO),
bleeding and ex vivo lung reconditioning donors were lower in the OR group. At
the end of the procedure, the PaO2/FiO2 ratio was better [352 (289-437) vs 206
(144-357), P = 0.004), and the need for postoperative ECMO, mechanical
ventilation duration, length of stay in the ICU [5 (4-7) vs 12 (8-20) days, P <
0.0001], Grade 3 primary graft dysfunction at 72 h [1 (2%) vs 10 (24%), P =
0.002] and 1-year mortality [5 (9%) vs 11 (26%) patients, P = 0.014] were lower
in the OR group than in the ICU group. CONCLUSIONS: Half of patients were
extubated in the OR, and this strategy does not require additional ICU resources.
PMID- 29800127
TI - Implications of Nine Risk Prediction Models for Selecting Ever-Smokers for
Computed Tomography Lung Cancer Screening.
AB - Background: Lung cancer screening guidelines recommend using individualized risk
models to refer ever-smokers for screening. However, different models select
different screening populations. The performance of each model in selecting ever
smokers for screening is unknown. Objective: To compare the U.S. screening
populations selected by 9 lung cancer risk models (the Bach model; the Spitz
model; the Liverpool Lung Project [LLP] model; the LLP Incidence Risk Model
[LLPi]; the Hoggart model; the Prostate, Lung, Colorectal, and Ovarian Cancer
Screening Trial Model 2012 [PLCOM2012]; the Pittsburgh Predictor; the Lung Cancer
Risk Assessment Tool [LCRAT]; and the Lung Cancer Death Risk Assessment Tool
[LCDRAT]) and to examine their predictive performance in 2 cohorts. Design:
Population-based prospective studies. Setting: United States. Participants:
Models selected U.S. screening populations by using data from the National Health
Interview Survey from 2010 to 2012. Model performance was evaluated using data
from 337 388 ever-smokers in the National Institutes of Health-AARP Diet and
Health Study and 72 338 ever-smokers in the CPS-II (Cancer Prevention Study II)
Nutrition Survey cohort. Measurements: Model calibration (ratio of model
predicted to observed cases [expected-observed ratio]) and discrimination (area
under the curve [AUC]). Results: At a 5-year risk threshold of 2.0%, the models
chose U.S. screening populations ranging from 7.6 million to 26 million ever
smokers. These disagreements occurred because, in both validation cohorts, 4
models (the Bach model, PLCOM2012, LCRAT, and LCDRAT) were well-calibrated
(expected-observed ratio range, 0.92 to 1.12) and had higher AUCs (range, 0.75 to
0.79) than 5 models that generally overestimated risk (expected-observed ratio
range, 0.83 to 3.69) and had lower AUCs (range, 0.62 to 0.75). The 4 best
performing models also had the highest sensitivity at a fixed specificity (and
vice versa) and similar discrimination at a fixed risk threshold. These models
showed better agreement on size of the screening population (7.6 million to 10.9
million) and achieved consensus on 73% of persons chosen. Limitation: No
consensus on risk thresholds for screening. Conclusion: The 9 lung cancer risk
models chose widely differing U.S. screening populations. However, 4 models (the
Bach model, PLCOM2012, LCRAT, and LCDRAT) most accurately predicted risk and
performed best in selecting ever-smokers for screening. Primary Funding Source:
Intramural Research Program of the National Institutes of Health/National Cancer
Institute.
PMID- 29800129
TI - Left ventricular remodelling in long-term survivors after the arterial switch
operation for transposition of the great arteries.
AB - Aims: The objective of this study was to quantify imaging markers of myocardial
fibrosis and assess myocardial function in long-term transposition of the great
arteries survivors after the arterial switch operation (ASO). Methods and
results: Paediatric ASO patients were prospectively studied by cardiac magnetic
resonance imaging, including first-pass myocardial perfusion, late gadolinium
enhancement, and T1 relaxometry, as well as echocardiography for left ventricular
(LV) systolic and diastolic function including strain analysis, with comparison
to healthy controls. Thirty ASO patients (mean age 15.4 +/- 2.9 years vs. 14.1 +/
2.6 years in 28 controls, P = 0.04) were included. Patients had normal LV
ejection fraction (EF) (57 +/- 5% vs. 59 +/- 5%, P = 0.07), but end-diastolic and
end-systolic volumes were increased (104 +/- 20 mL/m2 vs. 89 +/- 10 mL/m2, P <
0.01 and 46 +/- 13 mL/m2 vs. 36 +/- 7 mL/m2, P < 0.01, respectively).
Longitudinal strain at two-, three-, and four-chamber levels of the LV were lower
in ASO patients (-19.0 +/- 2.6% vs. -20.9 +/- 2.3%, P = 0.006, -17.7 +/- 2.0% vs.
-19.1 +/- 2.4%, P = 0.02, and -18.9 +/- 1.9% vs. -20.1 +/- 1.7%, P = 0.01,
respectively), while circumferential strain was higher at all short-axis levels (
24.6 +/- 2.3% vs. -19.3 +/- 1.6%, P < 0.001 at the mid-ventricular level). LV
native T1 times were higher in ASO patients (1042 +/- 27 ms vs. 1011 +/- 27 ms, P
< 0.01) and correlated with LV mass/volume ratio (R = 0.60, P < 0.001).
Myocardial scarring or myocardial perfusion defects were not observed in our
cohort. Conclusion: Children and adolescents after ASO have normal LV systolic
function, in line with their overall good clinical health. At a myocardial level
however, imaging markers of diffuse myocardial fibrosis are elevated, along with
an altered LV contraction pattern. Whether these abnormalities will progress into
future clinically significant dysfunction and whether they are harbingers of
adverse outcomes remains to be studied.
PMID- 29800128
TI - Exploring the association of genetic factors with participation in the Avon
Longitudinal Study of Parents and Children.
AB - Background: It is often assumed that selection (including participation and
dropout) does not represent an important source of bias in genetic studies.
However, there is little evidence to date on the effect of genetic factors on
participation. Methods: Using data on mothers (N = 7486) and children (N = 7508)
from the Avon Longitudinal Study of Parents and Children, we: (i) examined the
association of polygenic risk scores for a range of sociodemographic and
lifestyle characteristics and health conditions related to continued
participation; (ii) investigated whether associations of polygenic scores with
body mass index (BMI; derived from self-reported weight and height) and self
reported smoking differed in the largest sample with genetic data and a subsample
who participated in a recent follow-up; and (iii) determined the proportion of
variation in participation explained by common genetic variants, using genome
wide data. Results: We found evidence that polygenic scores for higher education,
agreeableness and openness were associated with higher participation; and
polygenic scores for smoking initiation, higher BMI, neuroticism, schizophrenia,
attention-deficit hyperactivity disorder (ADHD) and depression were associated
with lower participation. Associations between the polygenic score for education
and self-reported smoking differed between the largest sample with genetic data
[odds ratio (OR) for ever smoking per standard deviation (SD) increase in
polygenic score: 0.85, 95% confidence interval (CI): 0.81, 0.89} and subsample
(OR: 0.96, 95% CI: 0.89, 1.03). In genome-wide analysis, single nucleotide
polymorphism based heritability explained 18-32% of variability in participation.
Conclusions: Genetic association studies, including Mendelian randomization, can
be biased by selection, including loss to follow-up. Genetic risk for dropout
should be considered in all analyses of studies with selective participation.
PMID- 29800130
TI - Nitric oxide for inhalation in ST-elevation myocardial infarction (NOMI): a
multicentre, double-blind, randomized controlled trial.
AB - Aims: Inhalation of nitric oxide (iNO) during myocardial ischaemia and after
reperfusion confers cardioprotection in preclinical studies via enhanced cyclic
guanosine monophosphate (cGMP) signalling. We tested whether iNO reduces
reperfusion injury in patients with ST-elevation myocardial infarction (STEMI;
NCT01398384). Methods and results: We randomized in a double-blind, placebo
controlled study 250 STEMI patients to inhale oxygen with (iNO) or without (CON)
80 parts-per-million NO for 4 h following percutaneous revascularization. Primary
efficacy endpoint was infarct size as a fraction of left ventricular (LV) size
(IS/LVmass), assessed by delayed enhancement contrast magnetic resonance imaging
(MRI). Pre-specified subgroup analysis included thrombolysis-in-myocardial
infarction flow in the infarct-related artery, troponin T levels on admission,
duration of symptoms, location of culprit lesion, and intra-arterial
nitroglycerine (NTG) use. Secondary efficacy endpoints included IS relative to
risk area (IS/AAR), myocardial salvage index, LV functional recovery, and
clinical events at 4 and 12 months. In the overall population, IS/LVmass at 48-72
h was 18.0 +/- 13.4% in iNO (n = 109) and 19.4 +/- 15.4% in CON [n = 116, effect
size -1.524%, 95% confidence interval (95% CI) -5.28, 2.24; P = 0.427]. Subgroup
analysis indicated consistency across clinical confounders of IS but significant
treatment interaction with NTG (P = 0.0093) resulting in smaller IS/LVmass after
iNO in NTG-naive patients (n = 140, P < 0.05). The secondary endpoint IS/AAR was
53 +/- 26% with iNO vs. 60 +/- 26% in CON (effect size -6.8%, 95% CI -14.8, 1.3,
P = 0.09) corresponding to a myocardial salvage index of 47 +/- 26% vs. 40 +/-
26%, respectively, P = 0.09. Cine-MRI showed similar LV volumes at 48-72 h, with
a tendency towards smaller increases in end-systolic and end-diastolic volumes at
4 months in iNO (P = 0.048 and P = 0.06, respectively, n = 197). Inhalation of
nitric oxide was safe and significantly increased cGMP plasma levels during 4 h
reperfusion. The Kaplan-Meier analysis for the composite of death, recurrent
ischaemia, stroke, or rehospitalizations showed a tendency toward lower event
rates with iNO at 4 months and 1 year (log-rank test P = 0.10 and P = 0.06,
respectively). Conclusions: Inhalation of NO at 80 ppm for 4 h in STEMI was safe
but did not reduce infarct size relative to absolute LVmass at 48-72h. The
observed functional recovery and clinical event rates at follow-up and possible
interaction with nitroglycerine warrant further studies of iNO in STEMI.
PMID- 29800131
TI - Personalized melanoma risk assessments and tailored prevention advice: a
pragmatic randomized controlled trial in Australian general practice.
AB - Background: Personalized risk assessments using prediction models that
incorporate several melanoma risk factors may promote melanoma-prevention
behaviours. Objectives: To evaluate the effect on short-term melanoma-prevention
behaviours of web-based, real-time, model-generated personalized melanoma risk
information and tailored prevention advice, and its feasibility and clinician
acceptability. Methods: Between February and April 2016, in an open randomized
controlled trial across four general medical practices in New South Wales,
Australia, 272 patients were randomly allocated to receive (i) real-time model
generated personalized melanoma risk assessment and tailored melanoma-prevention
advice or (ii) generic melanoma-prevention advice. We measured self-reported
melanoma-prevention behaviours at baseline and 6 weeks and the intervention's
feasibility and acceptability. Results: Follow-up questionnaires were completed
by 185 patients at 6 weeks: 174 assessed as average risk and 11 as high or very
high risk. There were no statistically significant differences between
intervention and control patients in sun protection, sun exposure or early
diagnosis behaviours. When stratified by melanoma risk, average risk patients in
the intervention group appeared to show greater sun protection at 6 weeks (mean
difference = 0.23, on a scale of 1-5; 95% confidence interval: 0.01 to 0.45; P =
0.04) than patients in the control group; the P value for interaction between
intervention and risk category was 0.10. There was favourable feedback from
patients and general practitioners. Conclusions: Web-based delivery in general
practice of real-time, model-generated personalized melanoma risk prediction and
tailored melanoma-prevention advice is feasible and acceptable. An apparent
increase in sun protection behaviour in average risk patients warrants further
evaluation in different risk groups.
PMID- 29800132
TI - The natural history and surgical outcome of patients with scimitar syndrome.
PMID- 29800134
TI - Error in Author Byline.
PMID- 29800137
TI - Smoking and Death From Prostate Cancer.
PMID- 29800139
TI - Improvement of Communication Skills after Traumatic Brain Injury: The Efficacy of
the Cognitive Pragmatic Treatment Program using the Communicative Activities of
Daily Living.
AB - Objective: The pragmatic impairment often characterizing individuals after a
traumatic brain injury (TBI) significantly limits their independence, preventing
social participation. Rehabilitation programs aim to improve the impaired
capacities to help participants communicate effectively, increasing their self
perceived life quality. The aim of this study was to verify the effectiveness of
the Cognitive Pragmatic Treatment (CPT) in improving communication abilities
after TBI, thus enabling better management of communication activities in daily
living. Method: Nineteen individuals with TBI in a post-acute phase completed the
CPT, a group-based training program designed to improve pragmatic abilities. Pre-
and post-training, participants were administered the Communication Activities of
Daily Living (CADL-2), and the equivalent forms of the Assessment Battery for
Communication (ABaCo). Results: Comparison of pre- and post-training performance
showed an overall improvement in pragmatic abilities. Post-training, participants
scored higher in communication skills on both the CADL-2 and ABaCo, with such
scores remaining constant at a 3-month follow-up assessment. Conclusions: This
study showed that the CPT was effective in improving the participants'
communicative abilities. The possibility that the benefits of the CPT may
generalize to everyday communicative interactions is discussed.
PMID- 29800138
TI - Estimating the Association of the 2017 and 2014 Hypertension Guidelines With
Cardiovascular Events and Deaths in US Adults: An Analysis of National Data.
AB - Importance: The 2017 American College of Cardiology/American Heart Association
hypertension guideline recommends lower blood pressure (BP) thresholds for
initiating antihypertensive medication and treatment goals than the 2014 evidence
based hypertension guideline. Objective: To estimate the potential association of
the 2017 and 2014 hypertension guidelines with the proportion of US adults
defined as being hypertensive or recommended for antihypertensive treatment and
with risk reduction of major cardiovascular disease (CVD) and all-cause
mortality. Design, Setting, and Participants: Using data from the National Health
and Nutrition Examination Survey 2013 to 2016, we estimated the proportions of US
adults with hypertension or recommended for antihypertensive treatment according
to the 2017 and 2014 hypertension guidelines. Using data from the National Health
and Nutrition Examination Survey, antihypertensive clinical trials, and
population-based cohort studies, we estimated risk reductions of CVD and all
cause mortality assuming the entire US adult population achieved guideline
recommended systolic BP (SBP) treatment goals. Data were analyzed between October
2017 and March 2018. Main Outcomes and Measures: Proportions and numbers of
individuals with hypertension or recommended for antihypertensive treatment and
numbers of CVD and all-cause mortality reduction. Results: According to the 2017
hypertension guideline, the prevalence of hypertension (BP level >=130/80 mm Hg)
was 45.4% (95% CI, 43.9%-46.9%), representing 105.3 (95% CI, 101.9-108.8) million
US adults, which was significantly higher than estimates per the 2014
hypertension guideline (BP level >=140/90 mm Hg): 32.0% (95% CI, 30.3%-33.6%) or
74.1 (95% CI, 70.3-77.9) million individuals, respectively. Additionally, the
proportion of individuals recommended for antihypertensive treatment was
significantly higher according to the 2017 hypertension guideline (35.9%; 95% CI,
34.2%-37.5%) compared with the 2014 hypertension guideline (31.1%; 95% CI, 29.6%
32.7%). Achieving the 2017 hypertension guideline SBP treatment goals is
estimated to reduce 610 000 (95% CI, 496 000-734 000) CVD events and 334 000 (95%
CI, 245 000-434 000) total deaths in US adults 40 years and older. Corresponding
estimates after achieving the 2014 hypertension guideline SBP treatment goals
were 270 000 (95% CI, 202 000-349 000) and 177 000 (95% CI, 123 000-241 000),
respectively. Implementing the 2017 hypertension guideline is estimated to
increase 62 000 hypotension and 79 000 acute kidney injury or failure events.
Conclusions and Relevance: Compared with the 2014 hypertension guideline, the
2017 hypertension guideline was associated with an increase in the proportion of
adults recommended for antihypertensive treatment and a further reduction in
major CVD events and all-cause mortality, but a possible increase in the number
of adverse events in the United States.
PMID- 29800140
TI - Soluble Macrophage Mannose Receptor (sCD206/sMR) as a Biomarker in Human
Immunodeficiency Virus Infection.
AB - Macrophages play important roles during human immunodeficiency virus (HIV)
infection, reflected by changes in macrophage-activation biomarker soluble CD163
(sCD163). Here, we present data on the novel macrophage-activation biomarker
soluble mannose receptor/CD206 (sCD206) in HIV infection. We investigated sCD206
blood levels at baseline and follow-up with/without antiretroviral therapy (ART),
in 212 patients with HIV type 1 (HIV-1), HIV type 2 (HIV-2), or dual infection.
At baseline, there was no difference in sCD206 level between HIV types, and
sCD206 was unchanged at follow-up without ART. However, in contrast to sCD163,
sCD206 levels decreased significantly for both HIV-1 and HIV-2, but not for HIV
1/2 patients, during ART. Further investigations are needed to establish sCD206
as a biomarker in HIV infection.
PMID- 29800142
TI - Variation of the age at onset of pancreatic cancer according to tobacco smoking
and family history.
PMID- 29800141
TI - eHealth and adolescents in Serbia: psychometric properties of eHeals
questionnaire and contributing factors to better online health literacy.
AB - Internet is important resource of health-related information and health services.
Factors associated with higher level of online health (eHealth) literacy among
adolescence have been understudied. The aim of this study was to assess
psychometric properties of the eHealth Literacy Scale (eHEALS) in Serbian
language and to evaluate eHealth literacy among adolescents in Serbia. The study
was carried out in four high schools in Belgrade, Serbia in the period December
2016 to January 2017. A total of 702 students comprised the study sample. The
eHEALS was translated according to internationally accepted methodology and its
psychometric properties were analyzed. The Cronbach's alpha coefficient for the
entire eHEALS was 0.849. On exploratory factor analysis we obtained two-factorial
structure. Our adolescents reported low confidence in knowing what health
resources are available online. High confidence was observed for knowing how to
use and how to find health information online. There was no difference in eHEALS
score between genders or between school years. After adjustment for gender, type
of school program, parental marital status, fathers' education level and
household income, being female was associated with higher eHealth literacy (B =
1.12, 95% confidence interval 0.07-2.18; p = 0.036). Serbian version of the
eHEALS is a valid instrument in assessment of online health literacy and can be
further used in evaluation of eHealth literacy in other population groups in
Serbian language. It is advised that some classes in school are dedicated to
appraisal and use of online health websites. This could be achieved through peer
education.
PMID- 29800143
TI - IBD Disability Measure: Are Objective Disability Measures and Physical Therapy
Strategies Next Logical Steps?
PMID- 29800144
TI - Incomplete Conflict of Interest Disclosures.
PMID- 29800145
TI - Reply to Letter to Editor of Dr. Elia.
PMID- 29800146
TI - Regulatory effects of Shewanella putrefaciens isolated from shrimp Penaeus
orientalis on the virulence factors of Vibrio parahaemolyticus and evaluation of
the role of quorum sensing in virulence factors regulation.
AB - As an aquatic pathogen widely present in aquatic food, Vibrio parahaemolyticus
causes outbreaks of gastroenteritis across the globe. Virulence factors of V.
parahaemolyticus increases with the amount of spoilage in aquatic organisms
including shrimp, but mechanisms regulating its virulence factors are not well
understood. In this study, five spoilage bacteria isolated from shrimp were
investigated for their regulatory effects on the virulence factors including
haemolysin and biofilm of V. parahaemolyticus. Among these isolates, Shewanella
putrefaciens induced haemolytic activity in V. parahaemolyticus in a time-dose
temperature-dependent manner and we found the main component responsible for this
effect to be the supernatant or cell-free extract of S. putrefaciens. Total
haemolytic activity, expression of the thermostable direct haemolysin gene tdh
and biofilm production of V. parahaemolyticus were significantly up-regulated by
S. putrefaciens, but also by deletion of quorum-sensing luxM or luxS gene of V.
parahaemolyticus. However, this regulation by S. putrefaciens was significantly
impaired by deletion of the luxM gene, but not by deletion of the luxS gene.
Further study showed that S. putrefaciens exhibited a strong degradation ability
on the signalling molecule acylated homoserine lactone (AHL) synthesised by the
LuxM enzyme. This study revealed a novel virulence regulatory mechanism that S.
putrefaciens can significantly increase the virulence factors of V.
parahaemolyticus via interfering with the luxM- type quorum-sensing signalling
pathway through its AHL-degradation ability.
PMID- 29800147
TI - Neutrophils recruited by leukotriene B4 induce features of plaque destabilization
during endotoxaemia.
AB - Aims: Both leukotrienes and neutrophils have been linked to plaque
destabilization. Despite being evoked, the role of leukotriene B4 (LTB4) in
neutrophil recruitment to plaques and the concomitant effects of these two actors
on plaque stability remain to be proven. Since both actors are elicited during
endotoxaemia, a condition associated with the risk of cardiovascular events, we
investigated whether endotoxaemia promotes LTB4-mediated neutrophil infiltration
in plaques and explored the roles of LTB4 and neutrophils in plaque
destabilization. Methods and results: Endotoxaemia induced by repeated peritoneal
endotoxin injections at a non-lethal dose (1.5 mg/kg, 5 days) in chow-fed aged
Apoe-/- mice (over 45 weeks old) resulted in neutrophil infiltration and
activation in plaques. Subsequently to neutrophil invasion, plaques exhibited
increased features of vulnerability: reduced collagen content, expanded necrotic
cores, and thinned fibrous caps. These plaque features were reproduced by direct
deposition of isolated neutrophils onto murine atheromatous carotid arteries in
an in vivo assay. In endotoxemic mice, plaques produced increased amounts of
LTB4. Genomic or pharmacological impairments of this production reduced
neutrophil infiltration, collagenolysis, and apoptosis of smooth muscle cells in
plaques of endotoxemic mice. Furthermore, conditioned media of human culprit
plaques (CPs) contained more LTB4 than non-CPs and levels of LTB4 correlated to
both neutrophil activation markers and endotoxin releases in CPs. Conclusion:
These results show that the increased neutrophil recruitment elicited by LTB4
contributes to increase features of plaque destabilization in endotoxemic
contexts and point out LTB4 as a potential therapeutic target in atherosclerosis.
PMID- 29800148
TI - Let's Be Explicit About the Psycholinguistic Bases of Developmental Measures: A
Response to Leonard, Haebig, Deevy, and Brown (2017).
AB - Purpose: The purpose of this letter is to clarify the psycholinguistic
underpinnings of the tense marker total and tense agreement productivity score
and to extend the discussion of when composite diversity and productivity
measures are best used. Conclusion: We encourage the use of composite diversity
and productivity measures when assessing grammar early in development, but we
discourage the use of composite accuracy measures until children demonstrate
emergence of diverse tense/agreement morphemes across a sufficient number of low
frequency sentence frames.
PMID- 29800149
TI - TCA cycle-powered synthesis of fucosylated oligosaccharides.
AB - Microbial catalysis has recently emerged as one of the most promising approaches
in oligosaccharide synthesis. However, despite significant progress, microbial
synthesis still requires much improvement in efficiency and in reduction of
process complexity. Additionally, given the stunning diversity and many varied
applications of glycans, broadening the range of glycans accessible via microbial
synthesis is of paramount importance. Major challenges in microbial synthesis
include catabolite repression and high cellular energy requirement. Here we
demonstrated a new approach to overcome these challenges by directly tapping into
the cellular "power house," the TCA cycle, to provide the cellular energy for
synthesis. This approach not only circumvents catabolite repression but also
eliminates acidic glycolysis by-products. As such, the whole-cell biocatalysis
can be carried out without sophisticated fed-batch feeding and pH control in the
synthesis stage. The system could achieve several grams per liter (3-4 g/L)
within a 24-h period in shaker flask cultivation for two targets, fucosyllactose
and fucosyllactulose, demonstrating efficiency of the biocatalyst developed and
its applicability to both natural and non-natural targets. To the best of our
knowledge, this is the first use of TCA cycle intermediates as the energy source
for oligosaccharide synthesis and the first description of successful synthesis
of fucosyllactulose with titers in several grams per liter.
PMID- 29800150
TI - Through the barricades: overcoming the barriers to effective antibody-based
cancer therapeutics.
AB - Since the turn of the century, cancer therapy has undergone a transformation in
terms of new treatment modalities and renewed optimism in achieving long-lived
tumor control and even cure. This is, in large part, thanks to the widespread
incorporation of monoclonal antibodies (mAbs) into standard treatment regimens.
These new therapies have, across many settings, significantly contributed to
improved clinical responses, patient quality of life and survival. Moreover, the
flexibility of the antibody platform has led to the development of a wide range
of innovative and combinatorial therapies that continue to augment the
clinician's armory. Despite these successes, there is a growing awareness that in
many cases mAb therapy remains suboptimal, primarily due to inherent limitations
imposed by the immune system's own homeostatic controls and the immunosuppressive
tumor microenvironment. Here, we discuss the principal barriers that act to
constrain the tumor-killing activity of antibody-based therapeutics, particularly
those involving antibody glycans, using illustrative examples from both pre
clinical and market approved mAbs. We also discuss strategies that have been, or
are in development to overcome these obstacles. Finally, we outline how the
growing understanding of the biological terrain in which mAbs function is shaping
innovation and regulation in cancer therapeutics.
PMID- 29800152
TI - QALYs in 2018-Advantages and Concerns.
PMID- 29800153
TI - Is Direct Primary Care a Game Changer?
PMID- 29800151
TI - The Evolution of Single Cell-derived Colorectal Cancer Cell Lines is Dominated by
the Continued Selection of Tumor Specific Genomic Imbalances, Despite Random
Chromosomal Instability.
AB - Intratumor heterogeneity is a major challenge in cancer treatment. To decipher
patterns of chromosomal heterogeneity, we analyzed six colorectal cancer cell
lines by multiplex interphase FISH (miFISH). The mismatch repair deficient cell
lines DLD-1 and HCT116 had the most stable copy numbers, whereas aneuploid cell
lines (HT-29, SW480, SW620 and H508) displayed a higher degree of instability. We
subsequently assessed the clonal evolution of single cells in two CRC cell lines,
SW480 and HT-29, which both have aneuploid karyotypes but different degrees of
chromosomal instability. The clonal compositions of the single cell-derived
daughter lines, as assessed by miFISH, differed for HT-29 and SW480. Daughters of
HT-29 were stable, clonal, with little heterogeneity. Daughters of SW480 were
more heterogeneous, with the single cell-derived daughter lines separating into
two distinct populations with different ploidy (hyper-diploid and near-triploid),
morphology, gene expression and tumorigenicity. To better understand the
evolutionary trajectory for the two SW480 populations, we constructed
phylogenetic trees which showed ongoing instability in the daughter lines. When
analyzing the evolutionary development over time, most single cell-derived
daughter lines maintained their major clonal pattern, with the exception of one
daughter line that showed a switch involving a loss of APC. Our meticulous
analysis of the clonal evolution and composition of these colorectal cancer
models shows that all chromosomes are subject to segregation errors, however,
specific net genomic imbalances are maintained. Karyotype evolution is driven by
the necessity to arrive at and maintain a specific plateau of chromosomal copy
numbers as the drivers of carcinogenesis.
PMID- 29800154
TI - Taking a Closer Look at the Biomarker Test for Mild Traumatic Brain Injury.
PMID- 29800155
TI - A Young Woman With Cough and Lithoptysis.
PMID- 29800156
TI - Error in a Figure.
PMID- 29800157
TI - Prenatal vs Infant Vitamin D Supplementation and the Risk of Wheezing in
Childhood.
PMID- 29800159
TI - GAO Calls for Coordinated Efforts to Detect Arsenic in Rice.
PMID- 29800158
TI - Best Evidence Supports Annual Surveillance for Resected Colorectal Cancer.
PMID- 29800160
TI - Factors Linked to Depression in Sexual Minority Youth.
PMID- 29800161
TI - Surgeon General Urges Expanded Availability of Naloxone.
PMID- 29800164
TI - The Pomelo, or Grapefruit.
PMID- 29800163
TI - Percutaneous Coronary Intervention in 2018.
PMID- 29800165
TI - Sources of Funding for Research in Evidence Reviews That Inform Recommendations
of the US Preventive Services Task Force.
PMID- 29800166
TI - Air Pollution and Mortality in the Medicare Population.
PMID- 29800167
TI - Air Pollution and Mortality in the Medicare Population.
PMID- 29800168
TI - Air Pollution and Mortality in the Medicare Population.
PMID- 29800169
TI - Surgical Procedures for Patients With Severe Obesity.
PMID- 29800170
TI - Bundled Payments Initiative Participation and Retention.
PMID- 29800171
TI - Air Pollution and Mortality in the Medicare Population-Reply.
PMID- 29800172
TI - Surgical Procedures for Patients With Severe Obesity-Reply.
PMID- 29800173
TI - Bundled Payments Initiative Participation and Retention-Reply.
PMID- 29800174
TI - Hypertension Management in Barbershops.
PMID- 29800175
TI - Tai Chi for Fibromyalgia.
PMID- 29800176
TI - Drug Slows Disability Progression in Multiple Sclerosis.
PMID- 29800177
TI - Peer Counselors for Formerly Incarcerated People With HIV.
PMID- 29800178
TI - Carvedilol During Chemotherapy.
PMID- 29800179
TI - Effect of More vs Less Frequent Follow-up Testing on Overall and Colorectal
Cancer-Specific Mortality in Patients With Stage II or III Colorectal Cancer: The
COLOFOL Randomized Clinical Trial.
AB - Importance: Intensive follow-up of patients after curative surgery for colorectal
cancer is common in clinical practice, but evidence of a survival benefit is
limited. Objective: To examine overall mortality, colorectal cancer-specific
mortality, and colorectal cancer-specific recurrence rates among patients with
stage II or III colorectal cancer who were randomized after curative surgery to 2
alternative schedules for follow-up testing with computed tomography and
carcinoembryonic antigen. Design, Setting, and Participants: Unblinded randomized
trial including 2509 patients with stage II or III colorectal cancer treated at
24 centers in Sweden, Denmark, and Uruguay from January 2006 through December
2010 and followed up for 5 years; follow-up ended on December 31, 2015.
Interventions: Patients were randomized either to follow-up testing with computed
tomography of the thorax and abdomen and serum carcinoembryonic antigen at 6, 12,
18, 24, and 36 months after surgery (high-frequency group; n = 1253 patients) or
at 12 and 36 months after surgery (low-frequency group; n = 1256 patients). Main
Outcomes and Measures: The primary outcomes were 5-year overall mortality and
colorectal cancer-specific mortality rates. The secondary outcome was the
colorectal cancer-specific recurrence rate. Both intention-to-treat and per
protocol analyses were performed. Results: Among 2555 patients who were
randomized, 2509 were included in the intention-to-treat analysis (mean age, 63.5
years; 1128 women [45%]) and 2365 (94.3%) completed the trial. The 5-year overall
patient mortality rate in the high-frequency group was 13.0% (161/1253) compared
with 14.1% (174/1256) in the low-frequency group (risk difference, 1.1% [95% CI,
1.6% to 3.8%]; P = .43). The 5-year colorectal cancer-specific mortality rate in
the high-frequency group was 10.6% (128/1248) compared with 11.4% (137/1250) in
the low-frequency group (risk difference, 0.8% [95% CI, -1.7% to 3.3%]; P = .52).
The colorectal cancer-specific recurrence rate was 21.6% (265/1248) in the high
frequency group compared with 19.4% (238/1250) in the low-frequency group (risk
difference, 2.2% [95% CI, -1.0% to 5.4%]; P = .15). Conclusions and Relevance:
Among patients with stage II or III colorectal cancer, follow-up testing with
computed tomography and carcinoembryonic antigen more frequently compared with
less frequently did not result in a significant rate reduction in 5-year overall
mortality or colorectal cancer-specific mortality. Trial Registration:
clinicaltrials.gov Identifier: NCT00225641.
PMID- 29800180
TI - Effect of Vitamin D Supplementation on Recurrent Wheezing in Black Infants Who
Were Born Preterm: The D-Wheeze Randomized Clinical Trial.
AB - Importance: Black infants born preterm face high rates of recurrent wheezing
throughout infancy. Vitamin D supplementation has the potential to positively or
negatively affect wheezing through modulation of the pulmonary and immune
systems. Objective: To assess the effectiveness of 2 vitamin D dosing strategies
in preventing recurrent wheezing. Design, Setting, and Participants: A randomized
clinical trial enrolled 300 black infants born at 28 to 36 weeks' gestation
between January 2013 and January 2016 at 4 sites in the United States, and
followed them up through March 2017. Randomization was stratified by site and
maternal milk exposure. Interventions: Patients were enrolled prior to discharge
from the neonatal intensive care unit or newborn nursery and received open-label
multivitamin until they were consuming 200 IU/d of cholecalciferol from formula
or fortifier added to human milk, after which they received either 400 IU/d of
cholecalciferol until 6 months of age adjusted for prematurity (sustained
supplementation) or placebo (diet-limited supplementation). One-hundred fifty
three infants were randomized to the sustained group, and 147 were randomized to
the diet-limited group. Main Outcomes and Measures: Recurrent wheezing by 12
months' adjusted age was the primary outcome. Results: Among 300 patients who
were randomized (mean gestational age, 33 weeks; median birth weight, 1.9 kg),
277 (92.3%) completed the trial. Recurrent wheezing was experienced by 31.1% of
infants in the sustained supplementation group and 41.8% of infants in the diet
limited supplementation group (difference, -10.7% [95% CI, -27.4% to -2.9%];
relative risk, 0.66 [95% CI, 0.47 to 0.94]). Upper and lower respiratory tract
infections were among the most commonly reported adverse events. Upper
respiratory infections were experienced by 84 of 153 infants (54.9%) in the
sustained group and 83 of 147 infants (56.5%) in the diet-limited group
(difference, -1.6% [95% CI, -17.1% to 7.0%]). Lower respiratory infections were
experienced by 33 of 153 infants (21.6%) in the sustained group and 37 of 147
infants (25.2%) in the diet-limited group (difference, -3.6% [95% CI, -16.4% to
4.4%]). Conclusions and Relevance: Among black infants born preterm, sustained
supplementation with vitamin D, compared with diet-limited supplementation,
resulted in a reduced risk of recurrent wheezing by 12 months' adjusted age.
Future research is needed to better understand the mechanisms and longer-term
effects of vitamin D supplementation on wheezing in children born preterm. Trial
Registration: ClinicalTrials.gov Identifier: NCT01601847.
PMID- 29800183
TI - Small Bowel Obstruction.
PMID- 29800187
TI - Evolution of "Nightmare Bacteria".
PMID- 29800185
TI - Filling in the Gaps.
PMID- 29800182
TI - Association of Surgical Left Atrial Appendage Occlusion With Subsequent Stroke
and Mortality Among Patients Undergoing Cardiac Surgery.
AB - Importance: Surgical occlusion of the left atrial appendage (LAAO) may be
performed during concurrent cardiac surgery. However, few data exist on the
association of LAAO with long-term risk of stroke, and some evidence suggests
that this procedure may be associated with subsequent development of atrial
fibrillation (AF). Objective: To evaluate the association of surgical LAAO
performed during cardiac surgery with risk of stroke, mortality, and development
of subsequent AF. Design, Setting, and Participants: Retrospective cohort study
using a large US administrative database that contains data from adult patients
(>=18 years) with private insurance or Medicare Advantage who underwent coronary
artery bypass graft (CABG) or valve surgery between January 1, 2009, and March
30, 2017, with final follow-up on March 31, 2017. One-to-one propensity score
matching was used to balance patients on 76 dimensions to compare those with vs
without LAAO, stratified by history of prior AF at the time of surgery.
Exposures: Surgical LAAO vs no surgical LAAO during cardiac surgery. Main
Outcomes and Measures: The primary outcomes were stroke (ie, ischemic stroke or
systemic embolism) and all-cause mortality. The secondary outcomes were
postoperative AF (AF within 30 days after surgery among patients without prior
AF) and long-term AF-related health utilization (event rates of outpatient visits
and hospitalizations). Results: Among 75 782 patients who underwent cardiac
surgery (mean age, 66.0 [SD, 11.2] years; 2 2091 [29.2%] women, 25 721 [33.9%]
with preexisting AF), 4374 (5.8%) underwent concurrent LAAO, and mean follow-up
was 2.1 (SD, 1.9) years. In the 8590 propensity score-matched patients, LAAO was
associated with a reduced risk of stroke (1.14 vs 1.59 events per 100 person
years; hazard ratio [HR], 0.73 [95% CI, 0.56-0.96]; P = .03) and mortality (3.01
vs 4.30 events per 100 person-years; HR, 0.71 [95% CI, 0.60-0.84]; P < .001).
LAAO was associated with higher rates of AF-related outpatient visits (11.96 vs
10.26 events per person-year; absolute difference, 1.70 [95% CI, 1.60-1.80]
events per person-year; rate ratio, 1.17 [95% CI, 1.10-1.24]; P < .001) and
hospitalizations (0.36 vs 0.32 event per person-year; absolute difference, 0.04
[95% CI, 0.02-0.06] event per person-year; rate ratio, 1.13 [95% CI, 1.05-1.21];
P = .002). In patients with prior AF (6438/8590 [74.9%]) with vs without LAAO,
risk of stroke was 1.11 vs 1.71 events per 100 person-years (HR, 0.68 [95% CI,
0.50-0.92]; P = .01) and risk of mortality was 3.22 vs 4.93 events per 100 person
years (HR, 0.67 [95% CI, 0.56-0.80]; P < .001), respectively. In patients without
prior AF (2152/8590 [25.1%]) with vs without LAAO, risk of stroke was 1.23 vs
1.26 events per 100 person-years (HR, 0.95 [95% CI, 0.54-1.68]), risk of
mortality was 2.30 vs 2.49 events per 100 person-years (HR, 0.92 [95% CI, 0.61
1.37]), and risk of postoperative AF was 27.7% vs 20.2% events per 100 person
years (HR, 1.46 [95% CI, 1.22-1.73]; P < .001). The interaction term between
prior AF and LAAO was not significant (P = .29 for stroke and P = .16 for
mortality). Conclusions and Relevance: Among patients undergoing cardiac surgery,
concurrent surgical LAAO, compared with no surgical LAAO, was associated with
reduced risk of subsequent stroke and all-cause mortality. Further research,
including from randomized clinical trials, is needed to more definitively
determine the role of surgical LAAO.
PMID- 29800188
TI - Postdisaster Pharmacy Needs.
PMID- 29800181
TI - Association Between Intensity of Posttreatment Surveillance Testing and Detection
of Recurrence in Patients With Colorectal Cancer.
AB - Importance: Surveillance testing is performed after primary treatment for
colorectal cancer (CRC), but it is unclear if the intensity of testing decreases
time to detection of recurrence or affects patient survival. Objective: To
determine if intensity of posttreatment surveillance is associated with time to
detection of CRC recurrence, rate of recurrence, resection for recurrence, or
overall survival. Design, Setting, and Participants: A retrospective cohort study
of patient data abstracted from the medical record as part of a Commission on
Cancer Special Study merged with records from the National Cancer Database. A
random sample of patients (n=8529) diagnosed with stage I, II, or III CRC treated
at a Commission on Cancer-accredited facilities (2006-2007) with follow-up
through December 31, 2014. Exposures: Intensity of imaging and carcinoembryonic
antigen (CEA) surveillance testing derived empirically at the facility level
using the observed to expected ratio for surveillance testing during a 3-year
observation period. Main Outcomes and Measures: The primary outcome was time to
detection of CRC recurrence; secondary outcomes included rates of resection for
recurrent disease and overall survival. Results: A total of 8529 patients (49%
men; median age, 67 years) at 1175 facilities underwent surveillance imaging and
CEA testing within 3 years after their initial CRC treatment. The cohort was
distributed by stage as follows: stage I, 25.0%; stage II, 35.2%; and stage III,
39.8%. Patients treated at high-intensity facilities-4188 patients (49.1%) for
imaging and 4136 (48.5%) for CEA testing-underwent a mean of 2.9 (95% CI, 2.8
2.9) imaging scans and a mean of 4.3 (95% CI, 4.2-4.4) CEA tests. Patients
treated at low-intensity facilities-4341 patients (50.8%) for imaging and 4393
(51.5%) for CEA testing-underwent a mean of 1.6 (95% CI, 1.6-1.7) imaging scans
and a mean of 1.6 (95% CI, 1.6-1.7) CEA tests. Imaging and CEA surveillance
intensity were not associated with a significant difference in time to detection
of cancer recurrence. The median time to detection of recurrence was 15.1 months
(IQR, 8.2-26.3) for patients treated at facilities with high-intensity imaging
surveillance and 16.0 months (IQR, 7.9-27.2) with low-intensity imaging
surveillance (difference, -0.95 months; 95% CI, -2.59 to 0.68; HR, 0.99; 95% CI,
0.90-1.09) and was 15.9 months (IQR, 8.5-27.5) for patients treated at facilities
with high-intensity CEA testing and 15.3 months (IQR, 7.9-25.7) with low
intensity CEA testing (difference, 0.59 months; 95% CI, -1.33 to 2.51; HR, 1.00;
95% CI, 0.90-1.11). No significant difference existed in rates of resection for
cancer recurrence (HR for imaging, 1.22; 95% CI, 0.99-1.51 and HR for CEA
testing, 1.12; 95% CI, 0.91-1.39) or overall survival (HR for imaging, 1.01; 95%
CI, 0.94-1.08 and HR for CEA testing, 0.96; 95% CI, 0.89-1.03) among patients
treated at facilities with high- vs low-intensity imaging or CEA testing
surveillance. Conclusions and Relevance: Among patients treated for stage I, II,
or III CRC, there was no significant association between surveillance intensity
and detection of recurrence. Trial Registration: clinicaltrials.gov Identifier:
NCT02217865.
PMID- 29800192
TI - Serum alpha1-Antitrypsin Concentration in the Diagnosis of alpha1-Antitrypsin
Deficiency.
PMID- 29800193
TI - Monoclonal Antibodies for Migraine Prevention: Progress, but Not a Panacea.
PMID- 29800194
TI - Medication Prescribing for Children: Progress and Uncertainty.
PMID- 29800195
TI - A Mandate for Opioid Education?
PMID- 29800196
TI - Contact Lenses Adjust to Sunlight.
PMID- 29800197
TI - New Physician Requirements to Use Permanent Birth Control Device.
PMID- 29800198
TI - Management of Bleeding in Patients Taking Oral Anticoagulants.
PMID- 29800200
TI - The Conscientious Objector.
PMID- 29800201
TI - Changes in Electronic Cigarette Use Among Adults in the United States, 2014-2016.
PMID- 29800202
TI - Calcium and Vitamin D Supplements and Fractures in Community-Dwelling Adults.
PMID- 29800203
TI - Calcium and Vitamin D Supplements and Fractures in Community-Dwelling Adults.
PMID- 29800204
TI - Calcium and Vitamin D Supplements and Fractures in Community-Dwelling Adults.
PMID- 29800205
TI - Calcium and Vitamin D Supplements and Fractures in Community-Dwelling Adults.
PMID- 29800206
TI - Calcium and Vitamin D Supplements and Fractures in Community-Dwelling Adults.
PMID- 29800207
TI - Research on Underrepresented Populations.
PMID- 29800208
TI - Calcium and Vitamin D Supplements and Fractures in Community-Dwelling Adults
Reply.
PMID- 29800209
TI - Research on Underrepresented Populations-Reply.
PMID- 29800210
TI - Abuse-Deterrent Opioids.
PMID- 29800211
TI - Effect of Fremanezumab Compared With Placebo for Prevention of Episodic Migraine:
A Randomized Clinical Trial.
AB - Importance: Fremanezumab, a fully humanized monoclonal antibody that targets
calcitonin gene-related peptide, may be effective for treating episodic migraine.
Objective: To assess the efficacy of fremanezumab compared with placebo for
prevention of episodic migraine with a monthly dosing regimen or a single higher
dose. Design and Setting: Randomized, double-blind, placebo-controlled, parallel
group trial conducted at 123 sites in 9 countries from March 23, 2016 (first
patient randomized), to April 10, 2017, consisting of a screening visit, 28-day
pretreatment period, 12-week treatment period, and final evaluation at week 12.
Participants: Study participants were aged 18 to 70 years with episodic migraine
(6-14 headache days, with at least 4 migraine days, during 28-day pretreatment
period). Patients who had previous treatment failure with 2 classes of migraine
preventive medication were excluded. Interventions: Patients were randomized
1:1:1 to receive subcutaneous monthly dosing of fremanezumab (n = 290; 225 mg at
baseline, week 4, and week 8); a single higher dose of fremanezumab, as intended
to support a quarterly dose regimen (n = 291; 675 mg of fremanezumab at baseline;
placebo at weeks 4 and 8); or placebo (n = 294; at baseline, week 4, and week 8).
Main Outcomes and Measures: The primary end point was mean change in mean number
of monthly migraine days during the 12-week period after the first dose. Results:
Among 875 patients who were randomized (mean age, 41.8 [SD, 12.1] years; 742
women [85%]), 791 (90.4%) completed the trial. From baseline to 12 weeks, mean
migraine days per month decreased from 8.9 days to 4.9 days in the fremanezumab
monthly dosing group, from 9.2 days to 5.3 days in the fremanezumab single-higher
dose group, and from 9.1 days to 6.5 days in the placebo group. This resulted in
a difference with monthly dosing vs placebo of -1.5 days (95% CI, -2.01 to -0.93
days; P < .001) and with single higher dosing vs placebo of -1.3 days (95% CI,
1.79 to -0.72 days; P < .001). The most common adverse events that led to
discontinuation were injection site erythema (n = 3), injection site induration
(n = 2), diarrhea (n = 2), anxiety (n = 2), and depression (n = 2). Conclusions
and Relevance: Among patients with episodic migraine in whom multiple medication
classes had not previously failed, subcutaneous fremanezumab, compared with
placebo, resulted in a statistically significant 1.3- to 1.5-day reduction in the
mean number of monthly migraine days over a 12-week period. Further research is
needed to assess effectiveness against other preventive medications and in
patients in whom multiple preventive drug classes have failed and to determine
long-term safety and efficacy. Trial Registration: clinicaltrials.gov Identifier:
NCT02629861.
PMID- 29800212
TI - Effect of Acupuncture vs Sham Acupuncture on Live Births Among Women Undergoing
In Vitro Fertilization: A Randomized Clinical Trial.
AB - Importance: Acupuncture is widely used by women undergoing in vitro fertilization
(IVF), although the evidence for efficacy is conflicting. Objective: To determine
the efficacy of acupuncture compared with a sham acupuncture control performed
during IVF on live births. Design, Setting, and Participants: A single-blind,
parallel-group randomized clinical trial including 848 women undergoing a fresh
IVF cycle was conducted at 16 IVF centers in Australia and New Zealand between
June 29, 2011, and October 23, 2015, with 10 months of pregnancy follow-up until
August 2016. Interventions: Women received either acupuncture (n = 424) or a sham
acupuncture control (n = 424). The first treatment was administered between days
6 to 8 of follicle stimulation, and 2 treatments were administered prior to and
following embryo transfer. The sham control used a noninvasive needle placed away
from the true acupuncture points. Main Outcomes and Measures: The primary outcome
was live birth, defined as the delivery of 1 or more living infants at greater
than 20 weeks' gestation or birth weight of at least 400 g. Results: Among 848
randomized women, 24 withdrew consent, 824 were included in the study (mean [SD]
age, 35.4 [4.3] years); 371 [45.0%] had undergone more than 2 previous IVF
cycles), 607 proceeded to an embryo transfer, and 809 (98.2%) had data available
on live birth outcomes. Live births occurred among 74 of 405 women (18.3%)
receiving acupuncture compared with 72 of 404 women (17.8%) receiving sham
control (risk difference, 0.5% [95% CI, -4.9% to 5.8%]; relative risk, 1.02 [95%
CI, 0.76 to 1.38]). Conclusions and Relevance: Among women undergoing IVF,
administration of acupuncture vs sham acupuncture at the time of ovarian
stimulation and embryo transfer resulted in no significant difference in live
birth rates. These findings do not support the use of acupuncture to improve the
rate of live births among women undergoing IVF. Trial Registration: anzctr.org.au
Identifier: ACTRN12611000226909.
PMID- 29800213
TI - Trends in Prescription Medication Use Among Children and Adolescents-United
States, 1999-2014.
AB - Importance: Access to appropriate prescription medications, use of inappropriate
or ineffective treatments, and adverse drug events are public health concerns
among US children and adolescents. Objective: To evaluate trends in use of
prescription medications among US children and adolescents. Design, Setting, and
Participants: US children and adolescents aged 0 to 19 years in the 1999-2014
National Health and Nutrition Examination Survey (NHANES)-serial cross-sectional,
nationally representative surveys of the civilian noninstitutionalized
population. Exposures: Sex, age, race and Hispanic origin, household income and
education, insurance status, current health status. Main Outcomes and Measures:
Use of any prescription medications or 2 or more prescription medications taken
in the past 30 days; use of medications by therapeutic class; trends in
medication use across 4-year periods from 1999-2002 to 2011-2014. Data were
collected though in-home interview and direct observation of the prescription
container. Results: Data on prescription medication use were available for 38 277
children and adolescents (mean age, 10 years; 49% girls). Overall, use of any
prescription medication in the past 30 days decreased from 24.6% (95% CI, 22.6%
to 26.6%) in 1999-2002 to 21.9% (95% CI, 20.3% to 23.6%) in 2011-2014 (beta =
0.41 percentage points every 2 years [95% CI, -0.79 to -0.03]; P = .04), but
there was no linear trend in the use of 2 or more prescription medications (8.5%
[95% CI, 7.6% to 9.4%] in 2011-2014). In 2011-2014, the most commonly used
medication classes were asthma medications (6.1% [95% CI, 5.4% to 6.8%]),
antibiotics (4.5% [95% CI, 3.7% to 5.5%]), attention-deficit/hyperactivity
disorder (ADHD) medications (3.5% [95% CI, 2.9% to 4.2%]), topical agents (eg,
dermatologic agents, nasal steroids) (3.5% [95% CI, 3.0% to 4.1%]), and
antihistamines (2.0% [95% CI, 1.7% to 2.5%]). There were significant linear
trends in 14 of 39 therapeutic classes or subclasses, or in individual
medications, with 8 showing increases, including asthma and ADHD medications and
contraceptives, and 6 showing decreases, including antibiotics, antihistamines,
and upper respiratory combination medications. Conclusions and Relevance: In this
study of US children and adolescents based on a nationally representative survey,
estimates of prescription medication use showed an overall decrease in use of any
medication from 1999-2014. The prevalence of asthma medication, ADHD medication,
and contraceptive use increased among certain age groups, whereas use of
antibiotics, antihistamines, and upper respiratory combination medications
decreased.
PMID- 29800215
TI - Angioedema.
PMID- 29800214
TI - Association of Colonoscopy Adenoma Findings With Long-term Colorectal Cancer
Incidence.
AB - Importance: Individuals with adenomatous polyps are advised to undergo repeated
colonoscopy surveillance to prevent subsequent colorectal cancer (CRC), but the
relationship between adenomas at colonoscopy and long-term CRC incidence is
unclear. Objective: To compare long-term CRC incidence by colonoscopy adenoma
findings. Design, Setting, and Participants: Multicenter, prospective cohort
study of participants in the Prostate, Lung, Colorectal, and Ovarian (PLCO)
Cancer randomized clinical trial of flexible sigmoidoscopy (FSG) beginning in
1993 with follow-up for CRC incidence to 2013 across the United States.
Participants included 154 900 men and women aged 55 to 74 years enrolled in PLCO
of whom 15 935 underwent colonoscopy following their first positive FSG screening
result. The final day of follow-up was December 31, 2013. Exposures: Enrolled
participants had been randomized to FSG or usual care. Participants who underwent
FSG and had abnormal findings were referred for follow-up. Subsequent colonoscopy
findings were categorized as advanced adenoma (>=1 cm, high-grade dysplasia, or
tubulovillous or villous histology), nonadvanced adenoma (<1 cm without advanced
histology), or no adenoma. Main Outcomes and Measures: The primary outcome was
CRC incidence within 15 years of the baseline colonoscopy. The secondary outcome
was CRC mortality. Results: There were 15 935 participants who underwent
colonoscopy (men, 59.7%; white, 90.7%; median age, 64 y [IQR, 61-68]). On initial
colonoscopy, 2882 participants (18.1%) had an advanced adenoma, 5068 participants
(31.8%) had a nonadvanced adenoma, and 7985 participants (50.1%) had no adenoma;
median follow-up for CRC incidence was 12.9 years. CRC incidence rates per 10 000
person-years of observation were 20.0 (95% CI, 15.3-24.7; n = 70) for advanced
adenoma, 9.1 (95% CI, 6.7-11.5; n = 55) for nonadvanced adenoma, and 7.5 (95% CI,
5.8-9.7; n = 71) for no adenoma. Participants with advanced adenoma were
significantly more likely to develop CRC compared with participants with no
adenoma (rate ratio [RR], 2.7 [95% CI, 1.9-3.7]; P < .001). There was no
significant difference in CRC risk between participants with nonadvanced adenoma
compared with no adenoma (RR, 1.2 [95% CI, 0.8-1.7]; P = .30). Compared with
participants with no adenoma, those with advanced adenoma were at significantly
increased risk of CRC death (RR, 2.6 [95% CI, 1.2-5.7], P = .01), but mortality
risk in participants with nonadvanced adenoma was not significantly different
(RR, 1.2 [95% CI, 0.5-2.7], P = .68). Conclusions and Relevance: Over a median of
13 years of follow-up, participants with an advanced adenoma at diagnostic
colonoscopy prompted by a positive flexible sigmoidoscopy result were at
significantly increased risk of developing colorectal cancer compared with those
with no adenoma. Identification of nonadvanced adenoma may not be associated with
increased colorectal cancer risk. Trial Registration: clinicaltrials.gov
Identifier: NCT00002540.
PMID- 29800217
TI - On the Origin of Our Family.
PMID- 29800219
TI - Hookworm Treatment Improves Physical Stamina of Female Farmers.
PMID- 29800220
TI - Antibiotic Consumption Increasing Globally.
PMID- 29800221
TI - WHO's Blueprint List of Priority Diseases.
PMID- 29800222
TI - Recurrence of Genital Infections With 9 Human Papillomavirus (HPV) Vaccine Types
(6, 11, 16, 18, 31, 33, 45, 52, and 58) Among Men in the HPV Infection in Men
(HIM) Study.
AB - Background: The purpose of this study was to assess genital recurrence of human
papillomavirus (HPV) genotypes included in the 9-valent vaccine and to
investigate factors associated with recurrence among men in the HPV Infection in
Men (HIM) Study. Methods: Men were followed every 6 months for a median of 3.7
years. HPV genotypes were detected using Roche linear array. Factors associated
with type-specific HPV recurrence (infections occurring after a >=12-month
infection-free period) were assessed. Results: In type-specific analyses, 31% of
prior prevalent and 20% of prior incident infections recurred. Among prevalent
infections, HPV types 52, 45, 16, 58, and 6 and among incident infections, HPV
types 58, 52, 18, 16, and 11 had the highest rates of recurrence. New sexual
partners (male or female) and frequency of sexual intercourse with female
partners were associated with HPV-6, -16, -31, and -58 infection recurrence. In
grouped analyses, lifetime and new male sexual partners were associated with
recurrence of prior incident infection with any of the 9 HPV types. Conclusions:
Recurrence of genital HPV infections is relatively common among men and
associated with high-risk sexual behavior. Further studies are needed to
understand the role of HPV recurrence in the etiology of HPV-associated diseases.
PMID- 29800223
TI - Banning Abortion in Cases of Down Syndrome: Important Lessons for Advances in
Genetic Diagnosis.
PMID- 29800225
TI - Pyrethroid-Resistance Level Affects Performance of Larvicides and Adulticides
From Different Insecticide Classes in Populations of Listronotus maculicollis
(Coleoptera: Curculionidae).
AB - The annual bluegrass weevil, Listronotus maculicollis Kirby (Coleoptera:
Curculionidae), is a major pest of golf course turf in eastern North America with
widespread insecticide resistance. This study examined the effect of pyrethroid
resistance level on the efficacy of adulticides and larvicides from different
insecticide classes commonly used for L. maculicollis management through
greenhouse and field studies. The tested populations had previously been
determined to be susceptible, moderately resistant, resistant, and highly
resistant to the pyrethroid bifenthrin. Targeting adults, efficacy was
significantly reduced for bifenthrin against the highly resistant population and
for the spinosyn spinosad and the oxadizine indoxacarb against the resistant and
highly resistant populations. Efficacy of the organophosphate chlorpyrifos was
not significantly reduced. No adulticide provided significant control of
resistant and highly resistant populations. Targeting larvae, the efficacy of
spinosad and the anthranilic diamide cyantraniliprole was marginally reduced
against the highly resistant population. Significant reductions in efficacy and
no significant control were observed for indoxacarb against the highly resistant
population and for the neonicotinoid clothianidin, the anthranilic diamide
chlorantraniliprole, and the organophosphate trichlorfon against the resistant
and highly resistant populations. Our findings lay the groundwork for management
recommendations for populations with different resistance levels. Generally,
synthetic insecticide applications should be minimized with greater use of
larvicides supplemented with nonchemical control alternatives. The remaining
effective larvicides should be rotated using cyantraniliprole, spinosad, and
indoxacarb against resistant and cyantraniliprole and spinosad against highly
resistant populations.
PMID- 29800224
TI - Cohort profile: The Childhood Asthma Prevention Study (CAPS).
PMID- 29800227
TI - Host HDAC4 Regulates the Antiviral Response by Inhibiting the Phosphorylation of
IRF3.
AB - Class II HDACs, such as HDAC4, are critical regulators of the immune response in
various immune cells; however, its role in innate immunity remains largely
unknown. Here, we report that the overexpression of HDAC4 suppresses the
production of type I interferons triggered by pattern-recognition receptors
(PRRs). HDAC4 repressed the translocation of transcription factor IRF3 to the
nucleus, thereby decreasing IRF3-mediated IFN-beta expression. In particular, we
also determined that HDAC4 can be phosphorylated and simultaneously block the
phosphorylation of IRF3 at Ser386 and Ser396 by TBK1 and IKKepsilon,
respectively, by interacting with the kinase domain of TBK1 and IKKepsilon.
Furthermore, IFN-beta may stimulate the expression of HDAC4. Our findings suggest
that HDAC4 acts as a regulator of PRR signaling and is a novel mechanism of
negative feedback regulation for preventing an over-reactive innate immune
response.
PMID- 29800228
TI - PCSK9 expression in the ischaemic heart and its relationship to infarct size,
cardiac function, and development of autophagy.
AB - Aims: Inhibition of proprotein convertase subtilisin/kexin type 9 (PCSK9) has
emerged as a novel therapy to treat hypercholesterolaemia and related
cardiovascular diseases. This study determined if PCSK9 can regulate infarct
size, cardiac function, and autophagy during ischaemia. Methods and results: Mice
hearts were subjected to left coronary artery (LCA) occlusion. There was intense
expression of PCSK9 in the zone bordering the infarct area in association with
marked cardiac contractile dysfunction in the wild-type mice. This region also
revealed intense autophagy. To assess the role of PCSK9 in the evolution of
infarct size and function and development of autophagy, we used wild-type mice
pre-treated with two different PCSK9 inhibitors (Pep2-8 and EGF-A) or mice
lacking PCSK9 gene. Both strategies resulted in smaller infarcts and improved
cardiac function following LCA ligation. PCSK9 inhibition also markedly reduced
autophagy. Relationship between myocardial ischaemia and PCSK9 expression and
autophagy was examined in cultured mouse cardiomyocytes. Exposure of
cardiomyocytes to hypoxia resulted in prompt PCSK9 expression and autophagy
signals; both were blocked by HIF-1alpha siRNA. Further, treatment of
cardiomyocytes with recombinant PCSK9 during hypoxia induced, and treatment with
PCSK9 siRNA reduced, autophagy suggesting a possible role of PCSK9 in the
determination of autophagy. Other studies revealed activation of ROS-ATM-LKB1
AMPK axis as a possible mechanism of PCSK-induced autophagy. Hearts of humans
with recent infarcts also showed expression of PCSK9 and autophagy in the border
zone-similar to that in the infarcted mouse heart. Conclusion: PCSK9 is up
regulated in the ischaemic hearts and determines development of infarct size,
cardiac function, and autophagy.
PMID- 29800226
TI - GIANT 2.0: genome-scale integrated analysis of gene networks in tissues.
AB - GIANT2 (Genome-wide Integrated Analysis of gene Networks in Tissues) is an
interactive web server that enables biomedical researchers to analyze their
proteins and pathways of interest and generate hypotheses in the context of
genome-scale functional maps of human tissues. The precise actions of genes are
frequently dependent on their tissue context, yet direct assay of tissue-specific
protein function and interactions remains infeasible in many normal human tissues
and cell-types. With GIANT2, researchers can explore predicted tissue-specific
functional roles of genes and reveal changes in those roles across tissues, all
through interactive multi-network visualizations and analyses. Additionally, the
NetWAS approach available through the server uses tissue-specific/cell-type
networks predicted by GIANT2 to re-prioritize statistical associations from GWAS
studies and identify disease-associated genes. GIANT2 predicts tissue-specific
interactions by integrating diverse functional genomics data from now over 61 400
experiments for 283 diverse tissues and cell-types. GIANT2 does not require any
registration or installation and is freely available for use at http://giant
v2.princeton.edu.
PMID- 29800229
TI - Erratum.
PMID- 29800230
TI - Missing Video and Citation.
PMID- 29800231
TI - Assigning Cytomegalovirus Status in Children Awaiting Organ Transplant: Viral
Shedding, CMV-Specific T Cells, and CD27-CD28-CD4+ T Cells.
AB - Passive antibodies, maternal or transfusion-acquired, make serologic
determination of pretransplant cytomegalovirus (CMV) status unreliable. We
evaluated 3 assays unaffected by passive antibodies, in assignment of CMV
infection status in children awaiting solid organ transplant and in controls: (1)
CMV nucleic acid amplification testing (NAAT), (2) quantification of CMV-specific
CD4+ T cells, and (3) quantification of CD27-CD28-CD4+ T cells. Our results
highlight that CMV NAAT, from urine and oropharynx, is useful in confirming
positive CMV status. Detection of CMV-specific CD4+ T cells was sensitive and
specific in children >18 months but was less sensitive in children <12 months.
CD27-CD28-CD4+ T cells are not likely useful in CMV risk stratification in
children.
PMID- 29800232
TI - Predicting novel microRNA: a comprehensive comparison of machine learning
approaches.
AB - Motivation: The importance of microRNAs (miRNAs) is widely recognized in the
community nowadays because these short segments of RNA can play several roles in
almost all biological processes. The computational prediction of novel miRNAs
involves training a classifier for identifying sequences having the highest
chance of being precursors of miRNAs (pre-miRNAs). The big issue with this task
is that well-known pre-miRNAs are usually few in comparison with the hundreds of
thousands of candidate sequences in a genome, which results in high class
imbalance. This imbalance has a strong influence on most standard classifiers,
and if not properly addressed in the model and the experiments, not only
performance reported can be completely unrealistic but also the classifier will
not be able to work properly for pre-miRNA prediction. Besides, another important
issue is that for most of the machine learning (ML) approaches already used
(supervised methods), it is necessary to have both positive and negative
examples. The selection of positive examples is straightforward (well-known pre
miRNAs). However, it is difficult to build a representative set of negative
examples because they should be sequences with hairpin structure that do not
contain a pre-miRNA. Results: This review provides a comprehensive study and
comparative assessment of methods from these two ML approaches for dealing with
the prediction of novel pre-miRNAs: supervised and unsupervised training. We
present and analyze the ML proposals that have appeared during the past 10 years
in literature. They have been compared in several prediction tasks involving two
model genomes and increasing imbalance levels. This work provides a review of
existing ML approaches for pre-miRNA prediction and fair comparisons of the
classifiers with same features and data sets, instead of just a revision of
published software tools. The results and the discussion can help the community
to select the most adequate bioinformatics approach according to the prediction
task at hand. The comparative results obtained suggest that from low to mid
imbalance levels between classes, supervised methods can be the best. However, at
very high imbalance levels, closer to real case scenarios, models including
unsupervised and deep learning can provide better performance.
PMID- 29800233
TI - Redox-dependent control of i-Motif DNA structure using copper cations.
AB - Previous computational studies have shown that Cu+ can act as a substitute for H+
to support formation of cytosine (C) dimers with similar conformation to the hemi
protonated base pair found in i-motif DNA. Through a range of biophysical
methods, we provide experimental evidence to support the hypothesis that Cu+ can
mediate C-C base pairing in i-motif DNA and preserve i-motif structure. These
effects can be reversed using a metal chelator, or exposure to ambient oxygen in
the air that drives oxidation of Cu+ to Cu2+, a comparatively weak ligand.
Herein, we present a dynamic and redox-sensitive system for conformational
control of an i-motif forming DNA sequence in response to copper cations.
PMID- 29800235
TI - Molecular events that lead to cardiomyocyte binucleation.
PMID- 29800234
TI - A Comparative Study of the ReCell(r) Device and Autologous Spit-Thickness Meshed
Skin Graft in the Treatment of Acute Burn Injuries.
AB - Early excision and autografting are standard care for deeper burns. However,
donor sites are a source of significant morbidity. To address this, the ReCell(r)
Autologous Cell Harvesting Device (ReCell) was designed for use at the point-of
care to prepare a noncultured, autologous skin cell suspension (ASCS) capable of
epidermal regeneration using minimal donor skin. A prospective study was
conducted to evaluate the clinical performance of ReCell vs meshed split
thickness skin grafts (STSG, Control) for the treatment of deep partial-thickness
burns. Effectiveness measures were assessed to 1 year for both ASCS and Control
treatment sites and donor sites, including the incidence of healing, scarring,
and pain. At 4 weeks, 98% of the ASCS-treated sites were healed compared with
100% of the Controls. Pain and assessments of scarring at the treatment sites
were reported to be similar between groups. Significant differences were observed
between ReCell and Control donor sites. The mean ReCell donor area was
approximately 40 times smaller than that of the Control (P < .0001), and after 1
week, significantly more ReCell donor sites were healed than Controls (P = .04).
Over the first 16 weeks, patients reported significantly less pain at the ReCell
donor sites compared with Controls (P <= .05 at each time point). Long-term
patients reported higher satisfaction with ReCell donor site outcomes compared
with the Controls. This study provides evidence that the treatment of deep
partial-thickness burns with ASCS results in comparable healing, with
significantly reduced donor site size and pain and improved appearance relative
to STSG.
PMID- 29800236
TI - Integrin beta3 inhibits hypoxia-induced apoptosis in cardiomyocytes.
AB - Hypoxia-induced apoptosis plays an important role in cardiovascular diseases.
Integrin beta3 is one of the main integrin heterodimer receptors on the surface
of cardiac myocytes. However, despite the important role that integrin beta3
plays in the cardiovascular disease, its exact role in the hypoxia response
remains unclear. Hence, in the present investigation we aimed to study the role
of integrin beta3 in hypoxia-induced apoptosis in H9C2 cells and primary rat
myocardial cells. MTT assay, flow cytometry and TUNEL assay results showed that
hypoxia inhibited cardiomyocyte proliferation and induced cardiomyocyte
apoptosis. The expression levels of integrin beta3 and HIF1alpha were upregulated
in hypoxia-induced cardiomyocytes as revealed by real-time PCR and western blot
analysis. Furthermore, knockdown of integrin beta3 expression by siRNA increased
hypoxia-induced cardiomyocyte apoptosis. In addition, integrin beta3
overexpression weakened hypoxia-induced cardiomyocyte apoptosis. The protein
expressions of integrin beta3 and HIF1alpha were upregulated in acute myocardial
infarction rat cardiac tissues compared with the control rat cardiac tissues. Our
data suggest that integrin beta3 plays a protective role in cardiomyocytes during
hypoxia-induced apoptosis.
PMID- 29800238
TI - A harmonized European training syllabus for thoracic surgery: report from the
ESTS-ERS task force.
AB - Training of European thoracic surgeons is subject to huge variations in terms of
length of training, content of training and operative experience during training.
Harmonization of training outcomes has been approached by creating the European
Board of Thoracic Surgery, which has been accredited by the European Union of
Medical Specialists (UEMS); however, a clear description of the content of
training is lacking. Building on their recognized experience with curriculum
building, task forces of the European Respiratory Society and the European
Society of Thoracic Surgery agreed on a joint task force on training in thoracic
surgery. The goal of this study is to report on the mission statement developed
from the UEMS-driven survey, describe the Delphi method and the observed results
and present the first large consensus-based syllabus. The working group is
currently working on a description of the curriculum and assessment of learning
outcomes.
PMID- 29800237
TI - Hypertension and increased endothelial mechanical stretch promote monocyte
differentiation and activation: roles of STAT3, interleukin 6 and hydrogen
peroxide.
AB - Aims: Monocytes play an important role in hypertension. Circulating monocytes in
humans exist as classical, intermediate, and non-classical forms. Monocyte
differentiation can be influenced by the endothelium, which in turn is activated
in hypertension by mechanical stretch. We sought to examine the role of increased
endothelial stretch and hypertension on monocyte phenotype and function. Methods
and results: Human monocytes were cultured with confluent human aortic
endothelial cells undergoing either 5% or 10% cyclical stretch. We also
characterized circulating monocytes in normotensive and hypertensive humans. In
addition, we quantified accumulation of activated monocytes and monocyte-derived
cells in aortas and kidneys of mice with Angiotensin II-induced hypertension.
Increased endothelial stretch enhanced monocyte conversion to CD14++CD16+
intermediate monocytes and monocytes bearing the CD209 marker and markedly
stimulated monocyte mRNA expression of interleukin (IL)-6, IL-1beta, IL-23,
chemokine (C-C motif) ligand 4, and tumour necrosis factor alpha. STAT3 in
monocytes was activated by increased endothelial stretch. Inhibition of STAT3,
neutralization of IL-6 and scavenging of hydrogen peroxide prevented formation of
intermediate monocytes in response to increased endothelial stretch. We also
found evidence that nitric oxide (NO) inhibits formation of intermediate
monocytes and STAT3 activation. In vivo studies demonstrated that humans with
hypertension have increased intermediate and non-classical monocytes and that
intermediate monocytes demonstrate evidence of STAT3 activation. Mice with
experimental hypertension exhibit increased aortic and renal infiltration of
monocytes, dendritic cells, and macrophages with activated STAT3. Conclusions:
These findings provide insight into how monocytes are activated by the vascular
endothelium during hypertension. This is likely in part due to a loss of NO
signalling and increased release of IL-6 and hydrogen peroxide by the
dysfunctional endothelium and a parallel increase in STAT activation in adjacent
monocytes. Interventions to enhance bioavailable NO, reduce IL-6 or hydrogen
peroxide production or to inhibit STAT3 may have anti-inflammatory roles in
hypertension and related conditions.
PMID- 29800240
TI - Association of Facility and System Factors With Survival Among Pediatric Patients
With Surgically Treated Head and Neck Sarcomas.
PMID- 29800243
TI - A diagnosis of eosinophilic esophagitis is associated with increased life
insurance premiums.
AB - Eosinophilic esophagitis (EoE) is a chronic disease that can be diagnosed at any
age, but is not associated with malignancy and does not shorten lifespan. It
remains unknown whether an EoE diagnosis affects insurability or insurance
premium costs. We therefore aimed to determine whether a diagnosis of EoE affects
the costs of life insurance. Our investigation was a secret shopper audit study
whereby we contacted national insurance companies in the United States to
evaluate the effect of a diagnosis of EoE on life insurance premiums. We
constructed standardized case scenarios for males and females, including a 25
year-old and a 48-year-old without other comorbid conditions, who either had or
did not have a diagnosis of EoE. Companies were asked for their best estimate for
a $100,000 whole life insurance policy. Comparisons between median premiums were
made using the Mann-Whitney U test. There were 20 national life insurance
companies contacted and a total of 73 quotes were obtained. The median premium
rate was similar for EoE and non-EoE cases at the younger age ($828 [IQR $576
1,020] vs. $756 [IQR $504-$804]; P = 0.10). However, the premium for the older
case without EoE was 19% less expensive compared to a case with EoE ($1990 [IQR
$1,248-2,350] vs. $2,375 [IQR $2,100-2568; P = 0.02]. This finding was not
explained by sex or state of residence. Based on these findings, we conclude that
life insurance premiums are significantly more expensive in the older patient
case with EoE when compared to the same case without EoE. Patients with EoE and
their providers should be aware of the additional cost associated with this
diagnosis.
PMID- 29800245
TI - Critically Evaluating the Evidence for Epidural Injections for Failed Back
Surgery Syndrome: Should Pain Physicians Be Bracing for Impact?
PMID- 29800239
TI - Pancreatic Cancer Risk is Modulated by Inflammatory Potential of Diet and ABO
Genotype: A Consortia-based Evaluation and Replication Study.
AB - Diets with high inflammatory potential are suspected to increase risk for
pancreatic cancer (PC). Using pooled analyses, we examined whether this
association applies to populations from different geographic regions and
population subgroups with varying risks for PC, including variation in ABO blood
type. Data from six case-control studies (cases, n=2,414; controls, n=4,528) in
the Pancreatic Cancer Case-Control Consortium (PanC4) were analyzed, followed by
replication in five nested case-control studies (cases, n=1,268; controls,
n=4,215) from the Pancreatic Cancer Cohort Consortium (PanScan). Two
polymorphisms in the ABO locus (rs505922 and rs8176746) were used to infer
participants' blood types. Dietary questionnaire-derived nutrient/food intake was
used to compute energy-adjusted dietary inflammatory index (DII(r)) scores to
assess inflammatory potential of diet. Pooled odds ratios (ORs) and 95%
confidence intervals (CIs) were calculated using multivariable-adjusted logistic
regression. Higher E-DII scores, reflecting greater inflammatory potential of
diet, were associated with increased PC risk in PanC4 (ORQ5 vs. Q1=2.20, 95%
CI=1.85-2.61, Ptrend<0.0001; ORcontinuous=1.20, 95% CI=1.17-1.24), and PanScan
(ORQ5 vs. Q1=1.23, 95% CI=0.92-1.66, Ptrend=0.008; ORcontinuous=1.09, 95% CI=1.02
1.15). As expected, genotype-derived non-O blood type was associated with
increased PC risk in both the PanC4 and PanScan studies. Stratified analyses of
associations between E-DII quintiles and PC by genotype-derived ABO blood type
did not show interaction by blood type (Pinteraction=0.10 in PanC4 and
Pinteraction=0.13 in PanScan). The results show that consuming a pro-inflammatory
diet and carrying non-O blood type are each individually, but not interactively,
associated with increased PC risk.
PMID- 29800244
TI - Water-soluble all-rac alpha-tocopheryl-phosphate and fat-soluble all-rac alpha
tocopheryl-acetate are comparable vitamin E sources for swine.
AB - Vitamin E, as all-rac alpha-tocopheryl-acetate (TAc), has a bioavailability of
only 5.4% in swine and, therefore, is a poor vitamin E source. Tocopheryl
phosphate (TP) has been used successfully as a vitamin E source around 1940 but
it was subsequently replaced by TAc as it was easier to manufacture. Recently, it
has been proposed as an in vivo intermediate in vitamin E metabolism with
possibly gene-regulatory functions. TP may be more bioavailable than TAc as
intestinal hydrolysis and emulsification are not required. The objective of this
work was to compare the bioavailability of TAc and TP in swine. Piglets (18.6 +/-
0.6 kg) fitted with jugular catheters received a single test meal (350 g)
containing either deuterated (trimethyl-d9) TAc or TP (75 IU/kg body weight, n =
8 per treatment). Twelve serial blood samples were obtained starting premeal
until 78 h postmeal for analysis of deuterated T and TP using LC MS/MS. Results
were standardized by dividing them by the dose per kg body weight and were
subsequently modeled with a multicompartment model. T from TAc had a slow
appearance rate (0.040 +/- 0.014 h-1) and rapid disappearance rate (0.438 +/-
0.160 h-1) with a plateau value of 0.414 +/- 0.129 uM/(umol/kg BW). TP appeared
faster in plasma (0.119 +/- 0.058 h-1, P = 0.01) while the elimination rate was
similar (0.396 +/- 0.098 h-1, P = 0.51). The plateau value of TP was only
numerically higher (0.758 +/- 0.778 uM/(umol/kg BW), P = 0.34). TP was quickly
converted to T; its appearance rate was 0.026 +/- 0.009 h-1, slower than the
appearance rate of T from TAc (P = 0.01), whereas the elimination rate was 0.220
+/- 0.062 h-1, slower than that of T from TAc (P = 0.00). The conversion of TP to
T may have been incomplete, as its plateau value was only 0.315 +/- 0.109
uM/(umol/kg BW). The area under the curve, expressed relative to area under the
curve for T from TAc, was 34.5% for TP and 107.3% for T from TP. These data
confirm that TP is more quickly absorbed than T from TAc. TP is also converted to
T and thus a functional precursor of T. Nevertheless, as a source of T, TP failed
to offer a clear advantage over TAc in bioavailability.
PMID- 29800246
TI - Health Care Reforms in India.
PMID- 29800247
TI - Origin recognition is the predominant role for DnaA-ATP in initiation of
chromosome replication.
AB - In all cells, initiation of chromosome replication depends on the activity of
AAA+ initiator proteins that form complexes with replication origin DNA. In
bacteria, the conserved, adenosine triphosphate (ATP)-regulated initiator
protein, DnaA, forms a complex with the origin, oriC, that mediates DNA strand
separation and recruitment of replication machinery. Complex assembly and origin
activation requires DnaA-ATP, which differs from DnaA-ADP in its ability to
cooperatively bind specific low affinity sites and also to oligomerize into
helical filaments. The degree to which each of these activities contributes to
the DnaA-ATP requirement for initiation is not known. In this study, we compared
the DnaA-ATP dependence of initiation from wild-type Escherichia coli oriC and a
synthetic origin (oriCallADP), whose multiple low affinity DnaA sites bind DnaA
ATP and DnaA-ADP similarly. OriCallADP was fully occupied and unwound by DnaA-ADP
in vitro, and, in vivo, oriCallADP suppressed lethality of DnaA mutants defective
in ATP binding and ATP-specific oligomerization. However, loss of preferential
DnaA-ATP binding caused over-initiation and increased sensitivity to replicative
stress. The findings indicate both DnaA-ATP and DnaA-ADP can perform most of the
mechanical functions needed for origin activation, and suggest that a key reason
for ATP-regulation of DnaA is to control replication initiation frequency.
PMID- 29800251
TI - Errors in Abstract and Results Sections.
PMID- 29800250
TI - Economic Evaluation of Low-Vision Rehabilitation for Veterans With Macular
Diseases in the US Department of Veterans Affairs.
AB - Importance: Examining costs and consequences of different low-vision (LV)
programs provides important information about resources needed to expand
treatment options efficiently. Objective: To examine the costs and consequences
of LV rehabilitation or basic LV services. Design, Setting, and Participants: The
US Department of Veterans Affairs (VA) Low Vision Intervention Trial (LOVIT) II
was conducted from September 27, 2010, to July 31, 2014, at 9 VA facilities and
included 323 veterans with macular diseases and a best-corrected distance visual
acuity of 20/50 to 20/200. Veterans were randomized to receive basic LV services
that provided LV devices without therapy, or LV rehabilitation that added a
therapist to LV services who provided instruction and homework on using LV
devices, eccentric viewing, and environmental modification. We compared costs and
consequences between these groups. Interventions: Low-vision devices without
therapy and LV devices with therapy. Main Outcomes and Measures: Costs of
providing basic LV services or LV rehabilitation were assessed. We measured
consequences as changes in functional visual ability from baseline to follow-up 4
months after randomization using the VA Low Vision Visual Functioning
Questionnaire. Visual ability was measured in dimensionless log odds units
(logits). Results: Of 323 randomized patients, the mean (SD) age was 80 (10.5)
years, 314 (97.2%) were men, and 292 (90.4%) were white. One hundred sixty
(49.5%) received basic LV services and 163 (50.1%) received LV rehabilitation.
The mean (SD) total direct health care costs per patient were similar between
patients who were randomized to receive basic LV services ($1662 [$671]) or LV
rehabilitation ($1788 [$864]) (basic LV services, $126 lower; 95% CI, $299 lower
to $35 higher; P = .15). However, basic LV services required less time and had
lower transportation costs. Patients receiving LV rehabilitation had greater
improvements in overall visual ability, reading ability, visual information
processing, and visual motor skill scores.
PMID- 29800252
TI - Unilateral Persistence of the Hyaloid Artery Causing Vitreopapillary and
Vitreomacular Traction.
PMID- 29800253
TI - Traumatic Optic Nerve Transection.
PMID- 29800249
TI - Identification and Description of Reliable Evidence for 2016 American Academy of
Ophthalmology Preferred Practice Pattern Guidelines for Cataract in the Adult
Eye.
AB - Importance: Trustworthy clinical practice guidelines require reliable systematic
reviews of the evidence to support recommendations. Since 2016, the American
Academy of Ophthalmology (AAO) has partnered with Cochrane Eyes and Vision US
Satellite to update their guidelines, the Preferred Practice Patterns (PPP).
Objective: To describe experiences and findings related to identifying reliable
systematic reviews that support topics likely to be addressed in the 2016 update
of the 2011 AAO PPP guidelines on cataract in the adult eye. Design, Setting, and
Participants: Cross-sectional study. Systematic reviews on the management of
cataract were searched for in an established database. Each relevant systematic
review was mapped to 1 or more of the 24 management categories listed under the
Management section of the table of contents of the 2011 AAO PPP guidelines. Data
were extracted to determine the reliability of each systematic review using
prespecified criteria, and the reliable systematic reviews were examined to find
whether they were referenced in the 2016 AAO PPP guidelines. For comparison, we
assessed whether the reliable systematic reviews published before February 2010
the last search date of the 2011 AAO PPP guidelines were referenced in the 2011
AAO PPP guidelines. Cochrane Eyes and Vision US Satellite did not provide
systematic reviews to the AAO during the development of the 2011 AAO PPP
guidelines. Main Outcomes and Measures: Systematic review reliability was defined
by reporting eligibility criteria, performing a comprehensive literature search,
assessing methodologic quality of included studies, using appropriate methods for
meta-analysis, and basing conclusions on review findings. Results: From 99
systematic reviews on management of cataract, 46 (46%) were classified as
reliable. No evidence that a comprehensive search had been conducted was the most
common reason a review was classified as unreliable. All 46 reliable systematic
reviews were cited in the 2016 AAO PPP guidelines, and 8 of 15 available reliable
reviews (53%) were cited in the 2011 PPP guidelines. Conclusions and Relevance:
The partnership between Cochrane Eyes and Vision US Satellite and the AAO
provides the AAO access to an evidence base of relevant and reliable systematic
reviews, thereby supporting robust and efficient clinical practice guidelines
development to improve the quality of eye care.
PMID- 29800254
TI - Ocular Complications Following Cosmetic Filler Injection.
PMID- 29800255
TI - Vitreopapillary Traction Detected by Optical Coherence Tomography.
PMID- 29800258
TI - Prevalence and prognostic relevance of BrafV600E mutation in colorectal
carcinomas from Kashmir (North India) valley.
AB - Molecular studies have implicated mutant B-type Raf kinase (BRAFMut) of MAP
kinase signalling pathway in the pathogenesis of several cancers including
colorectal cancer. Recently, the prognostic and therapeutic relevance of the most
frequent BRAFV600E mutation also has been highlighted in colorectal carcinomas
(CRC). Thus, the aim of this study was to investigate the prevalence of BRAFV600E
mutation and to determine the correlation between this mutation and indicators of
poor prognosis and outcome in patients with CRCs from Kashmir, North India. Here,
we developed a highly sensitive technique, mutation allele-specific multiplex PCR
(MASMP), for detection of BRAFV600E/BRAFc.1799T>A mutation, the results of which
were confirmed by sequencing the product and compared to direct DNA sequencing.
In total, BRAFV600E mutation status was analyzed in 57 colorectal tumour samples
and an equal number of adjacent normal tissues. A high frequency of BRAFV600E
mutation 21% (12/57) was identified in tumour tissues by MASMP compared to only
5.2% by direct DNA sequencing. Statistical analysis indicated that compared to
BRAF-negative colorectal tumours, patients with BRAFV600E colorectal tumours were
more likely to be >50 years old (61%) (P < 0.03). These tumours were more likely
to be of clinical tumour stages III and IV (63%) (P < 0.04) with lymph node
metastasis (52%) (P < 0.02) and characterised by a high-grade histology (63%) (P
< 0.04). Colorectal patients harbouring BRAFV600E mutation experience more
relapse/recurrence (52%) (P < 0.02). We, therefore, conclude that BRAFV600E
mutation can be used as an indicator of poor prognosis to predict the outcome for
CRC patients from Kashmir. MASMP proved to be a simple, sensitive and reliable
technique for screening patients for BRAFV600E mutation. Testing for this
mutation may be useful for selecting initial therapy mode and for follow-up
monitoring in CRC patients.
PMID- 29800259
TI - Contact Irritancy and Toxicity of Permethrin-Treated Clothing for Ixodes
scapularis, Amblyomma americanum, and Dermacentor variabilis Ticks (Acari:
Ixodidae).
AB - Clothing treated with the pyrethroid permethrin is available in the United States
as consumer products to prevent tick bites. We used tick bioassays to quantify
contact irritancy and toxicity of permethrin-treated clothing for three important
tick vectors of human pathogens: the blacklegged tick, Ixodes scapularis Say
(Acari: Ixodidae); the lone star tick, Amblyomma americanum (L.) (Acari:
Ixodidae); and the American dog tick, Dermacentor variabilis (Say) (Acari:
Ixodidae). We first demonstrated that field-collected I. scapularis nymphs from
Minnesota were as susceptible as laboratory-reared nymphs to a permethrin-treated
textile. Field ticks examined in bioassays on the same day they were collected
displayed contact irritancy by actively dislodging from a vertically oriented
permethrin-treated textile, and a forced 1-min exposure resulted in all ticks
being unable to move normally, thus posing no more than minimal risk of biting, 1
h after contact with the treated textile. Moreover, we documented lack of normal
movement for laboratory-reared I. scapularis nymphs by 1 h after contact for 1
min with a wide range of permethrin-treated clothing, including garments made
from cotton, synthetic materials, and blends. A comparison of the impact of a
permethrin-treated textile across tick species and life stages revealed the
strongest effect on I. scapularis nymphs (0% with normal movement 1 h after a 1
min exposure), followed by A. americanum nymphs (14.0%), I. scapularis females
(38.0%), D. variabilis females (82.0%), and A. americanum females (98.0%). Loss
of normal movement for all ticks 1 h after contact with the permethrin-treated
textile required exposures of 1 min for I. scapularis nymphs, 2 min for A.
americanum nymphs, and 5 min for female I. scapularis, D. variabilis, and A.
americanum ticks. We conclude that use of permethrin-treated clothing shows
promise to prevent bites by medically important ticks. Further research needs are
discussed.
PMID- 29800260
TI - gRINN: a tool for calculation of residue interaction energies and protein energy
network analysis of molecular dynamics simulations.
AB - Atomistic molecular dynamics (MD) simulations generate a wealth of information
related to the dynamics of proteins. If properly analyzed, this information can
lead to new insights regarding protein function and assist wet-lab experiments.
Aiming to identify interactions between individual amino acid residues and the
role played by each in the context of MD simulations, we present a stand-alone
software called gRINN (get Residue Interaction eNergies and Networks). gRINN
features graphical user interfaces (GUIs) and a command-line interface for
generating and analyzing pairwise residue interaction energies and energy
correlations from protein MD simulation trajectories. gRINN utilizes the features
of NAMD or GROMACS MD simulation packages and automatizes the steps necessary to
extract residue-residue interaction energies from user-supplied simulation
trajectories, greatly simplifying the analysis for the end-user. A GUI, including
an embedded molecular viewer, is provided for visualization of interaction energy
time-series, distributions, an interaction energy matrix, interaction energy
correlations and a residue correlation matrix. gRINN additionally offers
construction and analysis of Protein Energy Networks, providing residue-based
metrics such as degrees, betweenness-centralities, closeness centralities as well
as shortest path analysis. gRINN is free and open to all users without login
requirement at http://grinn.readthedocs.io.
PMID- 29800261
TI - G-quadruplex binding ability of TLS/FUS depends on the beta-spiral structure of
the RGG domain.
AB - The RGG domain, defined as closely spaced Arg-Gly-Gly repeats, is a DNA and RNA
binding domain in various nucleic acid-binding proteins. Translocated in
liposarcoma (TLS), which is also called FUS, is a protein with three RGG domains,
RGG1, RGG2 and RGG3. TLS/FUS binding to G-quadruplex telomere DNA and telomeric
repeat-containing RNA depends especially on RGG3, comprising Arg-Gly-Gly repeats
with proline- and arginine-rich regions. So far, however, only non-specific DNA
and RNA binding of TLS/FUS purified with buffers containing urea and KCl have
been reported. Here, we demonstrate that protein purification using a buffer with
high concentrations of urea and KCl decreases the G-quadruplex binding abilities
of TLS/FUS and RGG3, and disrupts the beta-spiral structure of RGG3. Moreover,
the Arg-Gly-Gly repeat region in RGG3 by itself cannot form a stable beta-spiral
structure that binds to the G-quadruplex, because the proline- and arginine-rich
regions induce the beta-spiral structure and the G-quadruplex-binding ability of
RGG3. Our findings suggest that the G-quadruplex-specific binding abilities of
TLS/FUS require RGG3 with a beta-spiral structure stabilized by adjacent proline-
and arginine-regions.
PMID- 29800262
TI - Recycling existing data: a greener future for clinical registries.
PMID- 29800263
TI - Plasmapheresis for Management of Antiphospholipid Syndrome in the Neurosurgical
Patient.
AB - BACKGROUND AND IMPORTANCE: Antiphospholipid syndrome (APS) is an autoimmune
disorder associated with a hypercoagulable state and increased risk of
intraoperative and postoperative thrombosis. Few neurosurgical studies have
examined the management of these patients, though the standard of care in most
other disciplines involves the use of anticoagulation therapy. However, this is
associated with risks such as hemorrhage, thrombosis due to warfarin withdrawal,
and is not compatible with operative intervention. CLINICAL PRESENTATION: We
report the cases of 2 antiphospholipid positive patients who were on
anticoagulant therapy and underwent surgical bypasses and received perioperative
management with plasmapheresis. The first was a 44-yr-old woman who presented
with worsening vision, recurring headaches, and a known left internal carotid
artery aneurysm that was unsuccessfully treated twice via extracranial to
intracranial (ECIC) bypass at another institution. Preoperative tests at our
institution revealed elevated beta 2 glycoprotein 1 IgA autoantibodies. The
second case was a 24-yr-old woman with previously diagnosed APS, who presented
for surgical evaluation of moyamoya disease after sustaining recurrent left
hemispheric strokes. Both cases were managed with perioperative plasmapheresis to
avoid the need for anticoagulation during the perioperative period, and both
underwent successful ECIC bypass procedures without perioperative ischemic or
hemorrhagic complications. CONCLUSION: Management of neurosurgical patients with
APS can be a precarious proposition. We describe the successful use of
plasmapheresis and antiplatelet therapy to better manage patients undergoing
neurosurgical procedures, specifically ECIC bypass, and feel this approach can be
considered in future cases.
PMID- 29800265
TI - Visual Dysfunction and Associated Co-morbidities as Predictors of Mild Traumatic
Brain Injury Seen Among Veterans in Non-VA Facilities: Implications for Clinical
Practice.
AB - Introduction: Traumatic brain injury (TBI) and post-traumatic stress disorder are
considered the signature injuries of the Iraq and Afghanistan conflicts. With the
extensive use of improvised explosive devices by the enemy, the concussive
effects from blast have a greater potential to cause mild TBI (mTBI) in military
Service Members. These mTBI can be associated with other physical and
psychological health problems, including mTBI-induced visual processing and eye
movement dysfunctions. Our study assessed if any visual dysfunctions existed in
those surveyed in non-Veterans Administration (VA) facilities who had suffered
mTBI (concussive effect), in addition to the presence of concussion-related co
morbidities. Materials and Methods: As part of a larger study involving veterans
from different service eras, we surveyed 235 Veterans who had served during the
Iraq and/or Afghanistan conflict era. Data for the study were collected using
diagnostic telephone interviews of these veterans who were outpatients of the
Geisinger Health System. We assess visual dysfunction in this sample and compare
visual dysfunctions of those who had suffered a mTBI (concussive effect), as well
as co-morbidities, with those in the cohort who had not suffered concussion
effects. Results: Of those veterans who experienced visual dysfunctions, our
results reflected that the visual symptoms were significant for concussion with
the subjects surveyed, even though all had experienced a mTBI event greater than
five years ago. Although we did find an association with concussion and visual
symptoms, the association for concussion was strongest with the finding of
greater than or equal to three current TBI symptoms, therefore we found this to
be the best predictor of previous concussion among the veterans. Conclusions:
Veterans from the Iraq/Afghanistan era who had suffered concussive blast effects
(mTBI) can present with covert visual dysfunction as well as additional physical
and psychological health problems. The primary eye care providers, especially
those in a non-military/VA facility, who encounter these veterans need to be
aware of the predictors of mTBI, with the aim of uncovering visual dysfunctions
and other associated co-morbidities.
PMID- 29800266
TI - Vitamin B12 deficiency after esophagectomy with gastric tube reconstruction for
esophageal cancer.
AB - The aim of this study is to determine the prevalence and incidence of vitamin B12
deficiency after esophagectomy for cancer. It is unknown if patients after
esophagectomy with gastric tube reconstruction are at an increased risk for
vitamin B12 deficiency. A cross-sectional cohort (group A) and a prospective
cohort (group B) of patients who underwent esophagectomy for cancer in two
tertiary referral centers in the Netherlands were included. Serum levels of holo
transcobalamin (Holo-TC) and methyl malonic acid (MMA) were determined. Vitamin
B12 deficiency was defined as Holo-TC < 21 pmol/L and/or MMA > 0.45 MUmol/L.
Vitamin B12 status was assessed in group A at a single time point between one and
three years postoperatively and before and every three months after resection in
group B. Ninety-nine patients were analyzed in group A. The median time between
surgery and analysis of vitamin B12 deficiency was 19.3 months. In 11 of 99 (11%)
patients, vitamin B12 deficiency was detected. In group B, 5 of 88 (5.6%)
patients had vitamin B12 deficiency preoperatively, and another 9 (10.2%)
patients developed vitamin B12 deficiency after the operation at a median time of
6 months postoperatively. The estimated one-year incidence of vitamin B12
deficiency was 18.2%. None of the patients with vitamin B12 deficiency had a
megaloblastic anemia. Vitamin B12 deficiency can be anticipated in 18% of
patients after esophagectomy with gastric tube reconstruction for cancer. During
follow-up, Holo-TC and MMA levels should be measured to detect vitamin B12
deficiency and commence treatment timely.
PMID- 29800267
TI - Non-coding RNAs in vascular disease - from basic science to clinical
applications: scientific update from the Working Group of Myocardial Function of
the European Society of Cardiology.
AB - Non-coding RNAs are increasingly recognized not only as regulators of various
biological functions but also as targets for a new generation of RNA therapeutics
and biomarkers. We hereby review recent insights relating to non-coding RNAs
including microRNAs (e.g. miR-126, miR-146a), long non-coding RNAs (e.g.
MIR503HG, GATA6-AS, SMILR), and circular RNAs (e.g. cZNF292) and their role in
vascular diseases. This includes identification and therapeutic use of hypoxia
regulated non-coding RNAs and endogenous non-coding RNAs that regulate intrinsic
smooth muscle cell signalling, age-related non-coding RNAs, and non-coding RNAs
involved in the regulation of mitochondrial biology and metabolic control.
Finally, we discuss non-coding RNA species with biomarker potential.This article
is part of the Mini Review Series from the Varenna 2017 meeting of the Working
Group of Myocardial Function of the European Society of Cardiology.
PMID- 29800268
TI - Pregnancy and oestrogen regulate sinoatrial node calcium homeostasis and
accelerate pacemaking.
AB - Aims: During pregnancy, there is a significant increase in heart rate (HR)
potentially associated with an increased risk of arrhythmias or exacerbation of
pre-existing cardiac conditions endangering both mother and foetus. Calcium
homeostasis plays an important role in regulating automaticity of the sinoatrial
node (SAN); however, its contribution to the accelerated HR during pregnancy
remains unknown. Methods and results: Using murine SAN cells, we showed that
pregnancy increased L-type Ca2+ current (ICaL) and CaV1.3 mRNA expression,
whereas T-type Ca2+ current (ICaT) and its underlying channel were unchanged.
Analysis of SAN intra-cellular Ca2+ oscillations showed that the rate of
spontaneous Ca2+ transients was significantly higher in pregnant mice along with
a higher mRNA expression of ryanodine receptor. Assessment of supra-ventricular
arrhythmias using programmed electrical stimulation protocols on anaesthetized
mice revealed higher susceptibility in pregnancy. Of note, the modifications
associated with pregnancy were reversible following delivery. Furthermore,
chronic administration of 17beta-estradiol (E2) to nodal-like human-induced
pluripotent stem cell-derived cardiomyocytes (N-hiPSC-CM), control mice,
oestrogen-receptor-beta knockout (ERKObeta) but not ERKOalpha mice, accelerated
cardiac automaticity, recapitulating the pregnancy phenotype in both mouse and
human SAN cell models. Conclusion: Together, these results indicate that
pregnancy considerably alters intra-cellular Ca2+ homeostasis sustaining faster
HR during pregnancy. Importantly, these changes were dependent on an oestrogen
receptor alpha (ERalpha) mechanism that resulted in increased ICaL and
spontaneous Ca2+ release from the sarcoplasmic reticulum, highlighting a novel
role for oestrogen in regulating HR.
PMID- 29800269
TI - Diagnostic yield in the evaluation of dysphagia: experience at a single tertiary
care center.
AB - Evaluation of dysphagia typically starts with esophagogastroduodenoscopy (EGD);
further testing is pursued if this is negative. When no mucosal, structural, or
motor esophageal disorders are identified with persisting symptoms, functional
dysphagia is considered. We evaluated outcomes in patients undergoing EGD for
dysphagia, and estimated prevalence of functional dysphagia. The endoscopy
database at single tertiary care center was interrogated to identify EGDs
performed for an indication of 'dysphagia' over a 12-month period (2008-09).
Electronic medical records were reviewed over the next 8 years to assess if an
etiology was identified. Data were analyzed to assess the diagnostic yield of
endoscopy and subsequent tests in the evaluation of dysphagia. Of 5486 EGDs, 822
(15.0%) were performed for dysphagia in 694 patients (58.4 +/- 0.6 year, range:
18-95 year, 55.8% female). Of these, 529 (76.2%) had EGD findings that explained
dysphagia; another 22 (3.2%) had findings on histopathology. Of the remainder 143
patients (20.6%) with normal index EGD, 38 (26.6%) patients underwent barium
esophagram with 15 (39.5%) having abnormal studies. 19 patients (13.3%) underwent
esophageal high resolution manometry with 12 (63.2%) being abnormal, and 7 had a
mechanism for dysphagia on alternate testing. A repeat EGD was abnormal in 6
patients, while 45 patients were lost to follow-up. 42 patients had complete
resolution of symptoms despite normal endoscopy, of which 30 were treated
empirically with a proton pump inhibitor (PPI). Only 16 patients had no findings
on evaluation, and had continued dysphagia symptoms, representing true functional
dysphagia in 2.3% of all dysphagia patients and 11.2% of patients with normal
EGD. Endoscopy remains the test with the highest yield (over 75%) for a diagnosis
in patients presenting with dysphagia; secondary tests are useful when endoscopy
does not provide a diagnosis. Benign strictures and GERD-related etiologies are
leading causes; PPI therapy is useful even when testing is negative. Functional
dysphagia is extremely rare, accounting for <2.5% of all dysphagia.
PMID- 29800271
TI - A tribute to Francoise Poirier (1954-2018).
PMID- 29800270
TI - Multimodal analgesia using intrathecal diamorphine, and paravertebral and rectus
sheath catheters are as effective as thoracic epidural for analgesia post-open
two-phase esophagectomy within an enhanced recovery program.
AB - Thoracic epidural (TE) analgesia has been the standard of care for transthoracic
esophagectomy patients since the 1990s. Multimodal anesthesia using intrathecal
diamorphine, local anesthetic infusion catheters (LAC) into the paravertebral
space and rectus sheaths and intravenous opioid postoperatively represent an
alternative option for postoperative analgesia. While TE can provide excellent
pain control, it may inhibit early postoperative recovery by causing hypotension
and reducing mobilization. The aim of this study is to determine whether
multimodal analgesia with LAC was effective with respect to adequate pain
management, and compare its impact on hypotension and mobility. Patients
receiving multimodal LAC analgesia were matched using propensity score matching
to patients undergoing two-phase trans-thoracic esophagectomy with a TE over a
two-year period (from January 2015 to December 2016). Postoperative endpoints
that had been evaluated prospectively, including pain scores on movement and at
rest, inotrope or vasoconstrictor requirements, and hypotension (systolic BP < 90
mmHg), were compared between cohorts. Out of 14 patients (13 male) that received
LAC were matched to a cohort of 14 patients on age, sex, and comorbidity. Mean
and maximum pain scores at rest and movement on postoperative days 0 to 3 were
equivalent between the groups. In both cohorts, 50% of patients had a pain score
of more than 7 on at least one occasion. Fewer patients in the LAC group required
vasoconstrictor infusion (LAC: 36% vs. TE: 57%, P = 0.256) to maintain blood
pressure or had episodes of hypotension (LAC: 43% vs. TE: 79%, P = 0.05). The LAC
group was more able to ambulate on the first postoperative day (LAC: 64% vs. TE:
43%, P = 0.14) but these differences were not statistically significant. Within
the epidural cohort, three patients had interruption of epidural due to
dislodgement or failure of block compared to no disruption in the multimodal
local anesthesia catheters group (P = 0.05). Therefore, multimodal anesthesia
using spinal diamorphine with combined paravertebral and rectus sheath local
anesthetic catheters appears to provide comparable pain relief post two-phase
esophagectomy and may provide more reliable and safe analgesia than the current
standard of care.
PMID- 29800272
TI - Photoirradiated caffeic acid as an antimicrobial treatment for fresh produce.
AB - The antimicrobial efficacy of 400 nm photoirradiated caffeic acid (CA, 5 mM) was
evaluated against Escherichia coli O157:H7 and Listeria innocua. A stronger
antimicrobial effect was observed on E. coli than on L. innocua where the
combined treatment resulted in 4 and 1 log(CFU/mL) reductions, respectively. The
treatment's effects on cellular metabolism (resazurin assay), uptake of CA
(fluorescence technique) and membrane damage (propidium iodide assay) were
studied in both species. CA uptake increased in both species, but membrane damage
was only observed in E. coli O157:H7. The treatment had minimal impact on
metabolic activity in both species. The treatment applied to the surface of
spinach leaves was found to be effective against E. coli O157:H7. The novel
treatment proposed in this study has the potential to improve the microbial food
safety of fresh produce.
PMID- 29800274
TI - Nitro-oxidative stress correlates with Se tolerance of Astragalus species.
AB - At high concentrations selenium (Se) exerts phytotoxic effects in non-tolerant
plant species partly due to the induction of secondary nitro-oxidative stress;
however, these processes are not fully understood. In order to get a more
accurate view about the involvement of nitro-oxidative processes in plant Se
sensitivity, this study aims to characterize and compare Se-triggered changes in
reactive oxygen (ROS) and nitrogen species (RNS) metabolism and the consequent
protein tyrosine nitration as a marker of nitrosative stress in non-accumulator
Astragalus membranaceus and in Se hyperaccumulator Astragalus bisulcatus.The
observed parameters (Se accumulation, microelement homeostasis, tissue-level
changes in the roots, germination, biomass production, root growth, cell
viability) supported that A. membranaceus is Se sensitive while the
hyperaccumulator A. bisulcatus tolerates high Se doses. We first revealed that in
A. membranaceus, Se sensitivity coincides with the Se-induced disturbance of
superoxide metabolism leading to its accumulation. Furthermore, Se increased the
production or disturbed the metabolism of RNS (nitric oxide, peroxynitrite, S
nitrosoglutathione) consequently resulting in intensified protein tyrosine
nitration in sensitive A. membranaceus. In the (hyper)tolerant and
hyperaccumulator A. bisulcatus, Se-induced ROS/RNS accumulation and tyrosine
nitration proved to be negligible suggesting that this species is able to prevent
Se-induced nitro-oxidative stress which can contribute to the Se tolerance of
this species.
PMID- 29800273
TI - peakC: a flexible, non-parametric peak calling package for 4C and Capture-C data.
AB - It is becoming increasingly clear that chromosome organization plays an important
role in gene regulation. High-resolution methods such as 4C, Capture-C and
promoter capture Hi-C (PCHiC) enable the study of chromatin loops such as those
formed between promoters and enhancers or CTCF/cohesin binding sites. An
important aspect of 4C/Capture-C/PCHiC analyses is the reliable identification of
chromatin loops, preferably not based on visual inspection of a DNA contact
profile, but on reproducible statistical analysis that robustly scores
interaction peaks in the non-uniform contact background. Here, we present peakC,
an R package for the analysis of 4C/Capture-C/PCHiC data. We generated 4C data
for 13 viewpoints in two tissues in at least triplicate to test our methods. We
developed a non-parametric peak caller based on rank-products. Sampling analysis
shows that not read depth but template quality is the most important determinant
of success in 4C experiments. By performing peak calling on single experiments we
show that the peak calling results are similar to the replicate experiments, but
that false positive rates are significantly reduced by performing replicates. Our
software is user-friendly and enables robust peak calling for one-vs-all
chromosome capture experiments. peakC is available at:
https://github.com/deWitLab/peakC.
PMID- 29800275
TI - From lipid locus to drug target through human genomics.
AB - In the last decade, over 175 genetic loci have robustly been associated to levels
of major circulating blood lipids. Most loci are specific to one or two lipids,
whereas some (SUGP1, ZPR1, TRIB1, HERPUD1, and FADS1) are associated to all.
While exposing the polygenic architecture of circulating lipids and the
underpinnings of dyslipidaemia, these genome-wide association studies (GWAS) have
provided further evidence of the critical role that lipids play in coronary heart
disease (CHD) risk, as indicated by the 2.7-fold enrichment for macrophage gene
expression in atherosclerotic plaques and the association of 25 loci (such as
PCSK9, APOB, ABCG5-G8, KCNK5, LPL, HMGCR, NPC1L1, CETP, TRIB1, ABO, PMAIP1-MC4R,
and LDLR) with CHD. These GWAS also confirmed known and commonly used therapeutic
targets, including HMGCR (statins), PCSK9 (antibodies), and NPC1L1 (ezetimibe).
As we head into the post-GWAS era, we offer suggestions for how to move forward
beyond genetic risk loci, towards refining the biology behind the associations
and identifying causal genes and therapeutic targets. Deep phenotyping through
lipidomics and metabolomics will refine and increase the resolution to find
causal and druggable targets, and studies aimed at demonstrating gene
transcriptional and regulatory effects of lipid associated loci will further aid
in identifying these targets. Thus, we argue the need for deeply phenotyped,
large genetic association studies to reduce costs and failures and increase the
efficiency of the drug discovery pipeline. We conjecture that in the next decade
a paradigm shift will tip the balance towards a data-driven approach to
therapeutic target development and the application of precision medicine where
human genomics takes centre stage.
PMID- 29800277
TI - Stimulation Contractility Gradient of a Neuromuscular Choristoma Within the
Sciatic Nerve: 2-Dimensional Operative Video.
AB - Neuromuscular choristoma (NMC) is a rare congenital nerve lesion that is
classified by the presence of heterotopic muscle fibers intercalated within nerve
fascicles.1 The presence of NMC typically causes progressive neuropathy and
bony/soft tissue undergrowth, often leading to a shortened atrophic limb.2,3 The
typical appearance of NMC on magnetic resonance imaging is an enlarged fusiform
nerve that exhibits minimal gadolinium enhancement.4 While there is increasing
documentation of pathognomonic clinical and radiographic features for various
diffuse fusiform peripheral nerve lesions, nerve biopsy is still occasionally
required for some patients given the rarity of these diseases and progressive
neuropathic features.The intraoperative appearance of NMC and its unique
electrical stimulation properties are quite interesting. Intrafascicular
replacement of nerve by skeletal muscle gives the nerve a red beefy color and
causes the fascicles to contract with electrical stimulation.5 In this video, we
demonstrate the intraoperative appearance and contractile properties of NMC in a
60-yr-old woman with progressive sciatic neuropathy. Histologic and
immunohistochemical studies identified a gradient of intralesional mature
skeletal muscle within the NMC, with substantially fewer desmin-positive skeletal
muscle fibers in the distal aspect. A gradient of contractility with
intraoperative stimulation seemed to correlate with this pathology. These
findings suggest that the lesional extent of NMC may not be clinically or grossly
evident, reflecting a varying distribution of skeletal muscle within the affected
nerve.The Mayo Clinic Institutional Review Board Office for Human Research
Protection does not require approval for single participant case studies. The
subject involved in this study was not identifiable and the general surgical
consent form included permission for intraoperative photos and video.
PMID- 29800276
TI - Accelerated diversification and functional trait evolution in Velloziaceae reveal
new insights into the origins of the campos rupestres' exceptional floristic
richness.
AB - Background and Aims: The greater diversity of plant clades in the Neotropics
compared to their relatives in Africa is a pervasive pattern in biogeography. To
better understand the causes of this imbalance, we studied the diversification
dynamics of the monocot family Velloziaceae. In addition to being conspicuously
richer in the Neotropics compared to the Palaeotropics, many species of
Velloziaceae exhibit extreme desiccation tolerance (i.e. 'resurrection'
behaviour), and other ecological specializations to life on rocky outcrops, poor
sandy soils, open vegetation and seasonally dry climates. Velloziaceae is also
ecologically dominant in the campos rupestres, a habitat having exceptionally
high plant diversity and endemism in Brazil. Methods: We reconstructed a densely
sampled time-calibrated molecular phylogeny and used state-dependent and state
independent models to estimate rates of lineage diversification in relation to
continent-scale geographical occurrence and functional traits associated with
desiccation tolerance and water storage capacity. Key Results: Independent shifts
to faster diversification occurred within two Neotropical lineages, Vellozia and
Barbacenia. The Vellozia radiation was associated with the presence of
conspicuous aerial stems, and was followed by decreasing diversification rates
during the Oligocene, a time of rising global temperatures and expanding open
areas around the world. The Barbacenia radiation was faster and more recent,
occurring during the cooling conditions of the Miocene, and associated with the
acquisition of aquiferous parenchyma on the leaves. Conclusions: High species
richness of Velloziaceae in South America has been driven by faster
diversification in lineages predominantly occurring in the campos rupestres,
putatively by the evolution of adaptive strategies in response to independent
climatic events. The radiation of Vellozia in particular might have played a key
role in the assembly of the campos rupestres vegetation.
PMID- 29800278
TI - Recent approaches for directly profiling cell surface sialoform.
AB - Sialic acids (SAs) are nine-carbon monosaccharides existing at the terminal
location of glycan structures on the cell surface and secreted glycoconjugates.
The expression levels and linkages of SAs on cells and tissues, collectively
known as sialoform, present the hallmark of the cells and tissues of different
systems and conditions. Accordingly, detecting or profiling cell surface
sialoforms is very critical for understanding the function of cell surface
glycans and glycoconjugates and even the molecular mechanisms of their underlying
biological processes. Further, it may provide therapeutic and diagnostic
applications for different diseases. In the past decades, several kinds of SA
specific binding molecules have been developed for detecting and profiling
specific sialoforms of cells and tissues; the experimental materials have
expanded from frozen tissue to living cells; and the analytical technologies have
advanced from histochemistry to fluorescent imaging, flow cytometry and
microarrays. This review summarizes the recent bioaffinity approaches for
directly detecting and profiling specific SAs or sialylglycans, and their
modifications of different cells and tissues.
PMID- 29800280
TI - Vascular Changes of Complex Regional Pain Syndrome Unmask Buerger's Disease
(Thromboangiitis Obliterans): A Letter to the Editor.
PMID- 29800281
TI - Postoperative Pain Trajectories and Pain Chronification-an Empirical Typology of
Pain Patients.
AB - Background: High intensity of acute postsurgical pain is one of the strongest
predictors of chronic postsurgical pain (CPSP). We investigated if different
types of patients with distinct combinations of initial pain intensity and rate
of pain resolution exhibit different risks for increased pain intensity six
months after surgery. Methods: Data from 174 patients were examined using growth
mixture analysis by means of structural equation modeling. Results: Three types
of patients were distinguished on the basis of acute pain trajectories. The
majority of patients (57%) showed an unproblematic pattern of little initial pain
on the first postoperative day, combined with further pain resolution over the
four subsequent days. There also was a substantial group of patients (30%) who
started out with severe pain but exhibited a high rate of pain resolution.
Finally, we found a problematic group of patients (13%) who reported high pain
intensities throughout all five postoperative measurements, with no signs of pain
resolution. Even after controlling for preoperative pain intensity, these
patients exhibited significantly higher pain intensities six months after surgery
than the remaining patient groups. Conclusions: In this study, we demonstrated
that there is substantial variation in postsurgical pain trajectories, not only
with regard to postsurgical initial pain intensity, but also with regard to
individual rates of pain resolution. Successful pain resolution appeared to be a
better predictor of absence of increased pain intensities six months after
surgery than initial pain immediately after surgery. Hence, attention should be
given to appropriate pain treatment in order to minimize the risk of CPSP.
PMID- 29800279
TI - Dengue seroprevalence: data from the clinical development of a tetravalent dengue
vaccine in 14 countries (2005-2014).
AB - Dengue seroprevalence data in the literature is limited and the available
information is difficult to compare between studies because of the varying survey
designs and methods used. We assessed dengue seropositivity across 14 countries
using data from 15 trials conducted during the development of a tetravalent
dengue vaccine between October 2005 and February 2014. Participants' dengue
seropositivity (n=8592) was determined from baseline (before vaccination) serum
samples at two centralized laboratories with the plaque reduction neutralization
test (PRNT50). Seropositivity rates generally increased with age in endemic
settings. Although seropositivity rates varied across geographical areas, between
countries, and within countries by region, no major differences were observed for
given age groups between the two endemic regions, Latin America and Asia-Pacific.
Seropositivity rates were generally stable over time. The proportion of
participants who had only experienced primary infection tended to be higher in
younger children than adolescents/adults. These results will help inform and
guide dengue control strategies in the participating countries.
PMID- 29800283
TI - Longitudinal Associations of Cigarette Prices with Smoking Cessation: the
Coronary Artery Risk Development in Young Adults (CARDIA) Study.
AB - Introduction: Few studies have used longitudinal cohort data to examine
associations of cigarette prices with smoking cessation or whether price
sensitivity varies by income or education. This study examines these associations
in a multi-center U.S. cohort and explores whether associations vary by education
and income. Methods: Longitudinal data from baseline daily cigarette smokers aged
18-30 years old in the Coronary Artery Risk Development in Young Adults (CARDIA)
study were linked to inflation-adjusted cigarette carton prices from the Council
for Community and Economic Research Cost of Living Index based on residential
address at baseline and in years 7, 10, and 15 (1985-2001). Multivariable Cox
models estimated hazard ratios (HRs) of first (any) smoking cessation and
sustained smoking cessation (no relapse) associated with each $1 increase in time
dependent cigarette price over 15 years of follow-up. Models adjusted for socio
demographic, health-related, and policy covariates. We assessed effect
modification by education and household income. Results: Among 1,489
participants, a $1.00 higher cigarette carton price was associated with a 16%
higher likelihood of first smoking cessation (HR: 1.16, 95% CI: 1.11, 1.21) and
an 8% higher likelihood of sustained smoking cessation (HR: 1.08, 95% CI: 1.02,
1.14). Associations were strongest among participants with lower income for first
cessation, and among those with higher income for sustained cessation.
Associations were strongest for participants with less than a high school degree
for both outcomes. Conclusions: Results suggest higher cigarette prices promote
smoking cessation among young to middle-aged adults, and that price sensitivity
may differ by socioeconomic status. Implications: Few studies have examined
longitudinal associations of cigarette prices with smoking cessation, and
findings are mixed on whether price sensitivity varies by education or income. In
a cohort of U.S. adult daily smokers, cigarette prices were associated with
greater likelihood of both a first cessation and sustained cessation. Price
associations with first cessation were stronger among low income smokers, but
associations with sustained cessation were stronger among high income smokers.
Results suggest that while higher cigarette prices may promote short-term smoking
cessation among smokers at all income levels, additional supports may be needed
to facilitate sustained smoking cessation among low income smokers.
PMID- 29800282
TI - Salinity Improves Performance and Alters Distribution of Soybean Aphids.
AB - We know numerous abiotic factors strongly influence crop plants. Yet we often
know much less about abiotic effects on closely interacting organisms including
herbivorous insects. This lack of a whole-system perspective may lead to
underestimating the threats from changing factors. High soil salinity is a
specific example that we know threatens crop plants in many places, but we need
to know much more about how other organisms are also affected. We investigated
how salinity affects the soybean aphid (SBA; Aphis glycines Matsumura; Hemiptera:
Aphididae) on soybean plants (Glycine max [L.] Merr.; Fabales: Fabaceae) grown
across a range of saline conditions. We performed four complementary greenhouse
experiments to understand different aspects of how salinity might affect SBA. We
found that as salinity increased both population size and fecundity of SBA
increased across electrical conductivity values ranging from 0.84 to 8.07 dS m-1.
Tracking individual aphids we also found they lived longer and produced more
offspring in high saline conditions compared to the control. Moreover, we found
that salinity influenced aphid distribution such that when given the chance
aphids accumulated more on high-salinity plants. These results suggest that SBA
could become a larger problem in areas with higher salinity and that those aphids
may exacerbate the negative effects of salinity for soybean production.
PMID- 29800284
TI - Atretic aortic valvular cusp causing severe aortic regurgitation.
PMID- 29800285
TI - YidC-mediated membrane insertion.
AB - The most simple membrane protein insertion catalyst known so far is the universal
YidC/Oxa/Alb insertase that is composed of a single multi-spanning protein
present in archaea, bacteria and in eukaryotic organelles. In bacteria, YidC is
known to integrate small membrane proteins on its own and more complex proteins
in conjunction with the SecYEG translocase. In mitochondria, the YidC homologue
Oxa is responsible for the insertion of all membrane proteins synthesized in the
matrix since no Sec homologues are present in the mitochondrial inner membrane.
This is tantamount to the observation that YidC is able to operate also
independently of SecYEG in bacteria. Reconstituted into liposomes, YidC rapidly
and efficiently binds to substrate proteins and leads to their integration into
the bilayer. Additionally, single molecule force spectroscopy experiments show
that YidC binds to unfolded membrane proteins and promotes their folding into the
membrane bilayer. To achieve membrane insertion and the correct folding, the
periplasmic regions of the substrate have to cross the membrane with the help of
YidC by a mechanism that is presently explored.
PMID- 29800286
TI - UK doctors united.
PMID- 29800288
TI - The Gravitostat Regulates Fat Mass in Obese Male Mice While Leptin Regulates Fat
Mass in Lean Male Mice.
AB - Leptin has been the only known homeostatic regulator of fat mass, but we recently
found evidence for a second one, named the gravitostat. In the current study, we
compared the effects of leptin and increased loading (gravitostat stimulation) on
fat mass in mice with different levels of body weight (lean, overweight, and
obese). Leptin infusion suppressed body weight and fat mass in lean mice given
normal chow but not in overweight or obese mice given a high-fat diet for 4 and 8
weeks, respectively. The maximum effect of leptin on body weight and fat mass was
obtained already at <44 ng/mL of serum leptin. Increased loading using
intraperitoneal capsules with different weights decreased body weight in
overweight and obese mice. Although the implantation of an empty capsule reduced
the body weight in lean mice, only a nonsignificant tendency of a specific effect
of increased loading was observed in the lean mice. These findings demonstrate
that the gravitostat regulates fat mass in obese mice, whereas leptin regulates
fat mass only in lean mice with low endogenous serum leptin levels. We propose
that activation of the gravitostat primarily protects against obesity, whereas
low levels of leptin protect against undernutrition.
PMID- 29800287
TI - Seafood Intake, Sexual Activity, and Time to Pregnancy.
AB - Context: Marine long-chain omega-3 fatty acids have been positively related to
markers of fecundity in both men and women. However, seafood, their primary food
source, can also be a source of toxicants, which could counteract the
reproductive benefits. Objective: To examine the relationship of male and female
seafood intake with time to pregnancy (TTP). Design: Our prospective cohort study
included 501 couples planning pregnancy, who participated in the Longitudinal
Investigation of Fertility and the Environment study (2005 to 2009) and were
followed up for <=1 year or until pregnancy was detected. Seafood intake was
collected daily during follow-up in journals. Setting: Couples residing in
Michigan and Texas were recruited using population-based sampling frameworks.
Main Outcome Measures: The primary outcome was the TTP, determined using an in
home pregnancy test. A secondary outcome was sexual intercourse frequency (SIF)
as recorded in the daily journals. Results: Couples with male and female partners
who consumed eight or more seafood servings per cycle had 47% (95% CI, 7% to
103%) and 60% (95% CI, 15% to 122%) greater fecundity (shorter TTP) than couples
with male and female partners who consumed one or fewer seafood servings per
cycle. Couples with both partners consuming eight or more seafood servings per
cycle had 61% (95% CI, 17% to 122%) greater fecundity than couples consuming
less. Male and female partners with the highest seafood intake (eight or more
servings per cycle) also had 22% greater SIF. Conclusions: Greater male and
female seafood intake was associated with a higher SIF and fecundity among a
large prospective cohort of couples attempting pregnancy.
PMID- 29800289
TI - Molecular characteristics of avian leukosis viruses isolated from indigenous
chicken breeds in China.
AB - To assess the status of avian leukosis virus (ALV) infection in indigenous
chicken breeds in China, 121 plasma samples collected from various indigenous
chicken breeds were tested for the presence of ALV from 2015 to 2016. A total of
14 ALV strains were isolated and identified, including two ALV-A strains, one ALV
B strain, eight ALV-J strains, and three ALV-K strains. To study the genome
structure, biological characteristics, and the evolutionary relationships of the
ALV-K strains with other known subgroup strains from infected chickens, we
determined the complete genome sequence of the three ALV-K strains and performed
comparative analysis using the whole genome sequence or selected sequence
elements. The replication rates of the three ALV-K strains were markedly lower
than the rates of other ALVs, and they shared a common mutation in the pol gene,
which had not been previously observed. In addition, nine putative recombinant
events were detected in the genomes of the three newly isolated ALV-K strains,
with high statistical support. This was the first report of an ALV-K
reorganization event, which has contributed to its genetic evolution. In summary,
we established a robust classification system for ALV, especially for ALV-K, and
revealed additional genomic diversity for the ALV strains in indigenous chicken
breeds. Therefore additional works are warranted to explore ALV genomics and
epidemiology.
PMID- 29800290
TI - Optimizing carbapenem use through a national quality improvement programme.
AB - Background: Concern about increasing carbapenem and piperacillin/tazobactam use
led the Scottish Antimicrobial Prescribing Group (SAPG) to develop national
guidance on optimal use of these agents, and to implement a quality improvement
programme to assess the impact of guidance on practice. Objectives: To evaluate
how SAPG guidance had been implemented by health boards, assess how this
translated into clinical practice, and investigate clinicians' views and
behaviours about prescribing carbapenems and alternative agents. Methods: Local
implementation of SAPG guidance was assessed using an online survey. A bespoke
point prevalence survey was used to evaluate prescribing. Clinicians' experience
of using carbapenems and alternatives was examined through semi-structured
interviews. National prescribing data were analysed to assess the impact of the
programme. Results: There were greater local restrictions for carbapenems than
for piperacillin/tazobactam. Laboratory result suppression was inconsistent
between boards and carbapenem-sparing antibiotics were not widely available.
Compliance with local guidelines was good for meropenem but lower for
piperacillin/tazobactam. Indication for use was well documented but review/stop
dates were poorly documented for both antibiotics. Decisions to prescribe a
carbapenem were influenced by local guidelines and specialist advice. Many
clinicians lacked confidence to de-escalate treatment. Use of both antibiotics
decreased during the course of the programme. Conclusions: A multifaceted quality
improvement programme was used to gather intelligence, promote behaviour change,
and focus interventions on the use of carbapenems and piperacillin/tazobactam.
Use of these antimicrobials decreased during the programme-a trend not seen
elsewhere in Europe. The programme could be generalized to other antimicrobials.
PMID- 29800292
TI - Allosteric Regulation of the Follicle-Stimulating Hormone Receptor.
AB - Follicle-stimulating hormone receptor (FSHR) belongs to the leucine-rich repeat
family of the G protein-coupled receptor (LGR), which includes the glycoprotein
hormone receptors luteinizing hormone receptor, thyrotropin receptor, and other
LGRs 4, 5, 6, and 7. FSH is the key regulator of folliculogenesis in females and
spermatogenesis in males. FSH elicits its physiological response through its
cognate receptor on the cell surface. Binding of the hormone FSH to its receptor
FSHR brings about conformational changes in the receptor that are transduced
through the transmembrane domain to the intracellular region, where the
downstream effector interaction takes place, leading to activation of the
downstream signaling cascade. Identification of small molecules that could
activate or antagonize FSHR provided interesting tools to study the signal
transduction mechanism of the receptor. However, because of the nature of the
ligand-receptor interaction of FSH-FSHR, which contains multiple sites in the
extracellular binding domain, most of the small-molecule modulators of FSHR are
unable to bind to the orthosteric site of the receptors. Rather they modulate
receptor activation through allosteric sites in the transmembrane region. This
review will discuss allosteric modulation of FSHR primarily through the discovery
of small-molecule modulators, focusing on current data on the status of
development and the utility of these as tools to better understand signaling
mechanisms.
PMID- 29800291
TI - Saxitoxin Exposure Confirmed by Human Urine and Food Analysis.
AB - A case of an elderly female with suspected paralytic shellfish poisoning (PSP) is
presented. The patient shared a meal of recreationally-harvested shellfish with
her family and soon began to experience nausea and weakness. She was taken to the
local emergency department and then transported to a larger hospital in Anchorage
where she was admitted to the intensive care unit with respiratory depression and
shock. Her condition improved, and she was discharged from the hospital 6 days
later. No others who shared the meal reported symptoms of PSP. A clam remaining
from the meal was collected and analyzed for paralytic shellfish toxins (PST) by
the Alaska Department of Environmental Conservation Environmental Health
Laboratory; the clam tested positive for saxitoxin (STX; 277 MUg/100 g),
neosaxitoxin (NEO; 309 MUg/100 g), multiple gonyautoxins (GTX; 576-2490 MUg/100
g), decarbamoyl congeners (7.52-11.3 MUg/100 g) and C-toxins (10.8-221 MUg/100 g)
using high-pressure liquid chromatography with post-column oxidation (AOAC Method
2011.02). Urine from the patient was submitted to Centers for Disease Control for
analysis of selected PSTs and creatinine. STX (64.0 MUg/g-creatinine), NEO (60.0
MUg/g-creatinine) and GTX1-4 (492-4780 MUg/g-creatinine) were identified in the
urine using online solid phase extraction with HPLC and tandem mass spectrometry.
This was the first time GTX were identified in urine of a PSP case from Alaska,
highlighting the need to include all STX congeners in testing to protect the
public's health through a better understand of PST toxicity, monitoring and
prevention of exposures.
PMID- 29800293
TI - High Maternal Body Mass Index Is Associated with an Early-Onset of
Overweight/Obesity in Pre-School-Aged Children in Malawi. A Multilevel Analysis
of the 2015-16 Malawi Demographic and Health Survey.
AB - Background: Overweight/obesity in young children is one of the most serious
public health issues globally. We examined whether individual- and community
level maternal nutritional status is associated with an early onset of
overweight/obesity in pre-school-aged children in Malawi. Design: Data were
obtained from the 2015-16 Malawi Demographic and Health Survey (MDHS). The
maternal nutritional status as body mass index and childhood overweight/obesity
status was assessed by using the World Health Organization (WHO) recommendations.
To examine whether the maternal nutritional status is associated with
overweight/obesity in pre-school-aged children, two-level multilevel logistic
regression models were constructed on 4023 children of age less than five years
dwelling in 850 different communities. Results: The multilevel regression
analysis showed that children born to overweight/obese mothers had increased odds
of being overweight/obese [adjusted odds ratio (aOR) = 3.11; 95% confidence
interval (CI): 1.13-8.54]. At the community level, children born to mothers from
the middle (aOR: 1.68; 95% CI: 1.02-2.78) and high (aOR: 1.69; 95% CI: 1.00-2.90)
percentage of overweight/obese women had increased odds of being
overweight/obese. In addition, there were significant variations in the odds of
childhood overweight/obesity in the communities. Conclusions: Strategies aimed at
reducing childhood overweight/obesity in Malawi should address not only women and
their children but also their communities. Appropriate choices of nutrition, diet
and physical activity patterns should be emphasized upon in overweight/obese
women of childbearing age throughout pregnancy and beyond.
PMID- 29800296
TI - Response to: Variation of the age at onset of pancreatic cancer according to
tobacco smoking and family history.
PMID- 29800295
TI - Extended-pulsed fidaxomicin versus vancomycin for Clostridium difficile infection
in patients aged >=60 years (EXTEND): analysis of cost-effectiveness.
AB - Objectives: The randomized Phase IIIb/IV EXTEND trial showed that extended-pulsed
fidaxomicin significantly improved sustained clinical cure and reduced recurrence
versus vancomycin in patients >=60 years old with Clostridium difficile infection
(CDI). Cost-effectiveness of extended-pulsed fidaxomicin versus vancomycin as
first-line therapy for CDI was evaluated in this patient population. Methods:
Clinical results from EXTEND and inputs from published sources were used in a
semi-Markov treatment-sequence model with nine health states and a 1 year time
horizon to assess costs and QALYs. The model was based on a healthcare system
perspective (NHS and Personal Social Services) in England. Sensitivity analyses
were performed. Results: Patients receiving first-line extended-pulsed
fidaxomicin treatment had a 0.02 QALY gain compared with first-line vancomycin
(0.6267 versus 0.6038 QALYs/patient). While total drug acquisition costs were
higher for extended-pulsed fidaxomicin than for vancomycin when used first-line
(L1356 versus L260/patient), these were offset by lower total hospitalization
costs (which also included treatment monitoring and community care costs; L10 815
versus L11 459/patient) and lower costs of managing adverse events (L694 versus
L1199/patient), reflecting the lower incidence of CDI recurrence and adverse
events with extended-pulsed fidaxomicin. Extended-pulsed fidaxomicin cost L53
less per patient than vancomycin over 1 year. The probability that first-line
extended-pulsed fidaxomicin was cost-effective at a willingness-to-pay threshold
of L30 000/QALY was 76% in these patients. Conclusions: While fidaxomicin
acquisition costs are higher than those of vancomycin, the observed reduced
recurrence rate with extended-pulsed fidaxomicin makes it a more effective and
less costly treatment strategy than vancomycin for first-line treatment of CDI in
older patients.
PMID- 29800294
TI - Toll-like receptor signaling in macrophages is regulated by extracellular
substrate stiffness and Rho-associated coiled-coil kinase (ROCK1/2).
AB - Macrophages participate in immunity, tissue repair and tissue homeostasis.
Activation of Toll-like receptors (TLRs) by conserved exogenous or endogenous
structures initiates signaling cascades that result in the release of cytokines
such as tumor necrosis factor alpha (TNFalpha). Extracellular substrate stiffness
is known to regulate functions of non-immune cells through a process called
mechanotransduction, yet less is known about how physical cues affect macrophage
function or TLR signaling. To investigate this question, we cultured murine
primary bone marrow-derived macrophages (BMMs) and RAW264.7 cells on fibronectin
coated polyacrylamide (PA) gels of defined stiffnesses (1, 20 and 150 kPa) that
approximate the physical properties of physiologic tissues. BMMs on all gels were
smaller and more circular than those on rigid glass. Macrophages on intermediate
stiffness 20 kPa PA gels were slightly larger and less circular than those on
either 1 or 150 kPa. Secretion of the pro-inflammatory cytokine, TNFalpha, in
response to stimulation of TLR4 and TLR9 was increased in macrophages grown on
soft gels versus more rigid gels, particularly for BMMs. Inhibition of the rho
associated coiled-coil kinase 1/2 (ROCK1/2), key mediators in cell contractility
and mechanotransduction, enhanced release of TNFalpha in response to stimulation
of TLR4. ROCK1/2 inhibition enhanced phosphorylation of the TLR downstream
signaling molecules, p38, ERK1/2 and NFkappaB. Our data indicate that physical
cues from the extracellular environment regulate macrophage morphology and TLR
signaling. These findings have important implications in the regulation of
macrophage function in diseased tissues and offer a novel pharmacological target
for the manipulation of macrophage function in vivo.
PMID- 29800297
TI - Fine-tuning the structure of glycosaminoglycans in living cells using xylosides.
AB - Xylosides can induce the formation and secretion of xyloside-primed
glycosaminoglycans when administered to living cells; however, their impact on
the detailed glycosaminoglycan structure remains unknown. Here, we have
systematically investigated how the xyloside concentration and the type of
xyloside, as well as the cell type, influenced the structure of xyloside-primed
glycosaminoglycans in terms of the heparan sulfate and chondroitin/dermatan
sulfate proportion and disaccharide composition. We found that although greatest
influence was exerted by the cell type, both the xyloside concentration and type
of xyloside impacted the proportion of heparan sulfate and the complexity of
chondroitin/dermatan sulfate. The disaccharide composition of the
chondroitin/dermatan sulfate was influenced by the xyloside concentration and
type of xyloside to a higher extent than that of the heparan sulfate; the
proportion of 4S-sulfated disaccharides in the chondroitin/dermatan sulfate
decreased and the proportions of 6S-sulfated and/or nonsulfated disaccharides
increased both with increasing concentrations of xyloside and with increasing
xyloside hydrophobicity, whereas the proportion of nonsulfated disaccharides was
primarily altered in the heparan sulfate with increasing concentrations of
xyloside. Our results indicate that it is feasible to not only produce large
amounts of glycosaminoglycans in living cells but also to fine-tune their
structures by using xylosides of different types and at different concentrations.
PMID- 29800299
TI - "Guidewire Intravenous Catheter Systems Do Not Improve First-Pass Success Rates
for Peripheral Access When Placed By Army Combat Medics (68W) in a Pre-hospital
Setting." A Prospective, Randomized Controlled Trial with Crossover Study Design.
AB - Introduction: This study was completed to determine if guidewire catheters
improve first-pass success and time of placement for peripheral intravenous
access. In the military, 21% of casualties from the battlefield arrive to a
medical facility in hemorrhagic shock. The importance of successful and timely
intravenous placement is crucial in the initial steps of preventing this
condition. Multiple studies and reviews have shown that initial first-pass
success rates for pre-hospital intravenous placement have been as low as 40%, and
an average success rate of 81% when completed by paramedics or similarly skilled
personnel. In an attempt to replicate or improve these rates, we proposed to
study placement success rates by active duty military combat medics. We
hypothesized that there would be no difference in first-pass success rates when
using either a standard or guidewire catheter. Materials and Methods: This study
was a prospective, randomized, controlled trial with a crossover study design
comparing the Accucath 18-gauge guidewire catheter to the standard 18-gauge
peripheral intravenous catheter. The study included 93 1st Cavalry Division Army
Combat Medics. Participants were voluntarily enrolled and consented on an
individual basis. Each participant paired with a partner of their choice and
acted as their own control. All supplies were laid out for the participants with
the catheters randomly selected for either arm of the patient. The subjects were
allowed to choose which catheter they would be tested on first. Times were
recorded for only successful attempts. Results: The guidewire catheter was not
proven to have a higher cannulation rate, achieving only a 44% success rate
versus 66% in the standard catheter group, as well as averaging 42 seconds longer
to obtain successful cannulation versus the standard catheter. Interestingly, it
was observed that the greater the time in service, there was an increased success
rate with the guidewire catheter that was not noted with the standard catheter.
Conclusions: There was not a statistically significant improvement in the first
pass success rate of intravenous placement with the use of the guidewire catheter
when compared with the standard-issue catheter. With these results, we cannot
recommend the guidewire catheter to be used in leu of the standard catheter.
Further studies might show improvement if subjects are allotted increased
practice and familiarity with the new guidewire device.
PMID- 29800298
TI - Featured Article: Trajectories of Posttraumatic Stress Symptoms in Parents of
Children With a Serious Childhood Illness or Injury.
AB - Objective: Serious childhood illness is associated with significant parent
psychological distress. This study aimed to (a) document acute and posttraumatic
stress symptoms (PTSS) in parents of children with various life-threatening
illnesses; (b) identify trajectory patterns of parental PTSS and recovery over 18
months; (c) determine psychosocial, demographic, and illness factors associated
with trajectory group membership. Methods: In total, 159 parents (115 mothers, 44
fathers) from 122 families participated in a prospective, longitudinal study that
assessed parent psychological responses across four time points-at diagnosis, and
3, 6, and 18 months later. Children were admitted to the Cardiology, Oncology,
and Pediatric Intensive Care Departments in a tertiary pediatric hospital. The
primary outcome was parent PTSS. Results: Three distinct parent recovery profiles
were identified-"Resilient," "Recovery," and "Chronic." The "Resilient" class
(33%) showed low distress responses across the trajectory period, whereas the
"Recovery" class (52%) showed significantly higher levels of distress at the time
of diagnosis that gradually declined over the first months following their
child's illness. Both of these classes nevertheless remained within the normative
range throughout. In contrast, the "Chronic" class (13%) was consistently high in
severity, remaining within the clinical range across the entire period.
Psychosocial factors such as mood, anxiety, and emotional responses predicted
group membership, whereas demographic and illness factors did not. Conclusions:
Parents show considerable resilience in the face of children's life-threatening
illnesses. Early assessment of parent psychosocial factors may aid identification
of those who would benefit from early intervention.
PMID- 29800300
TI - Picturing academic learning: salutogenic and health promoting perspectives on
drawings.
AB - More than 20 years ago an article about the use of drawings in higher education
appeared in a medical journal. After that, other papers explored the possible
contribution of drawings in adult education, while only very few in the field of
health promotion and education. This article aims to introduce the use of drawing
in this field using the salutogenic lens to think, plan and reflect on academic
learning. Reflections on what salutogenesis is and what we can consider a clear
application of salutogenic principles to the learning process answer a
hypothetical question for the reader concerning the relationship between drawings
and health promotion theories. They appear as communication tools capable of
exploring meaning-making processes, capturing data that is flexible to dynamic
systems, power relations, as well as emotional and latent aspects of human
experience. This article proposes a connection between salutogenesis and drawings
through: a theoretical framework on salutogenic learning and drawings; a teacher
practice and its tools focusing the critical point on visual data analysis in a
learning environment; a learner case example for knowledge and capacity building
through the drawing process; and a health promotion competency-based analysis.
Our case example illustrates how drawings were introduced in a post-graduate
course in Health Promotion and Education and argues their strengths and
weaknesses.
PMID- 29800301
TI - Identification of KPC-2-producing Escherichia coli in a companion animal: a new
challenge for veterinary clinicians.
PMID- 29800302
TI - Novel Treatment of Prepatellar Bursitis.
AB - A 31-yr-old soldier presented with an 8-mo history of right prepatellar bursitis
with 1-mo history of pain and loss of range of motion. His symptoms did not
respond to activity modification, compression, nonsteroidal anti-inflammatory
agents, or repeated aspirations with fenestration. After thorough discussion, the
soldier and his provider reviewed the literature and found a single case report
of intrabursal sclerotherapy in two patients with recalcitrant prepatellar
bursitis. After informed consent, the patient wished to proceed with the
scelerotherapy. Utilizing ultrasound guidance, the bursal sac was aspirated then
injected with the scerlosing agent polidocanol. The patient had a much slower
reaccumulation of swelling and at the two week follow-up the procedure was
repeated. The patient has had no reaccumulation of the fluid as of 10 mo post
procedure and has resumed all his normal activities with no symptom limitation.
This case demonstrates that sclerotherapy has utility in the management of
recurrent non-septic prepatellar bursitis.
PMID- 29800303
TI - The contribution of human sperm proteins to the development and epigenome of the
preimplantation embryo.
AB - BACKGROUND: Knowledge of the proteomic composition of the gametes is essential to
understand reproductive functions. Most of the sperm proteins are related to
spermatogenesis and sperm function, but less abundant protein groups with
potential post-fertilization roles have also been detected. The current data are
challenging our understanding of sperm biology and functionality, demanding an
integrated analysis of the proteomic and RNA-seq datasets available for
spermatozoa, oocytes and early embryos, in order to unravel the impact of the
male gamete on the generation of the new individual. OBJECTIVE AND RATIONALE: The
aim of this review is to compile human sperm proteins and to identify and infer
their origin and discuss their relevance during oocyte fecundation,
preimplantation embryogenesis and epigenetic inheritance. SEARCH METHODS: The
scientific literature was comprehensively searched for proteomic studies on human
sperm, oocytes, embryos, and additional reproductive cells and fluids. Proteins
were compiled and functionally classified according to Gene Ontology annotations
and the mouse phenotypes integrated into the Mouse Genome Informatics database.
High-throughput RNA datasets were used to decipher the origin of embryo proteins.
The tissue origin of sperm proteins was inferred on the basis of RNA-seq and
protein data available in the Human Protein Atlas database. OUTCOMES: So far,
6871 proteins have been identified and reported in sperm, 1376 in the oocyte and
1300 in blastocyst. With a deeper analysis of the sperm proteome, 103 proteins
with known roles in the processes of fertilization and 93 with roles in early
embryo development have been identified. Additionally, 560 sperm proteins have
been found to be involved in modulating gene expression by regulation of
transcription, DNA methylation, histone post-translational modifications and non
coding RNA biogenesis. Some of these proteins may be critical for gene expression
regulation after embryo genome activation, and therefore, may be potentially
involved in epigenetic transmission of altered phenotypes. Furthermore, the
integrative analysis of the sperm, oocyte and blastocyst proteomes and
transcriptomes revealed a set of embryo proteins with an exclusive paternal
origin, some of which are crucial for correct embryogenesis and, possibly, for
modulation of the offspring phenotype. The analysis of the expression of sperm
proteins, at both RNA and protein levels, in tissues not only from the male
reproductive tract but also from peripheral organs, has suggested a putative
extra-testicular origin for some sperm proteins. These proteins could be imported
into sperm from the accessory sex glands and other tissues, most likely through
exosomes. WIDER IMPLICATIONS: These integrative proteome and transcriptome
analyses focused on specific groups of proteins, rather than on enriched
pathways, identified important sperm proteins which may be involved in early
embryogenesis and provided evidence which could support the hypothesis of
paternal epigenetic inheritance. The putative extra-testicular origin of some
sperm proteins suggests not only the involvement of accessory sex glands in
fertilization and epigenetic information transmission, but also that some
proteins from additional organs could possibly contribute information to the
offspring phenotype. These findings should stimulate further research in the
field.
PMID- 29800304
TI - Exploring the Experience and Effects of Vocal Toning.
AB - Background: Toning is a form of vocalizing that utilizes the natural voice to
express sounds ranging from cries, grunts, and groans to open vowel sounds and
humming on the full exhalation of the breath. Music therapists are increasingly
utilizing toning in their clinical practice for a variety of therapeutic aims.
Yet the effects of toning are not widely understood, with limited research to
date. Objective: To gather and analyze descriptive data to better understand the
experience and effects of self-administered toning. Primary aims were to: 1)
understand participants' experiences with toning, and any effects resulting from
their experiences; 2) measure participants' emotional response to toning and
singing; and 3) examine similarities and differences across the two datasets.
Methods: Participants were 20 adults, ages 20-40 years, who were non-musicians.
We conducted semi-structured interviews and used qualitative content analysis to
identify major themes and subcategories related to participants' toning
experiences. Participants also completed a 48-item questionnaire on music and
emotions. Results from the interview and questionnaire data were then compared
and contrasted. Results: Results indicate that shifts in attention, awareness,
and consciousness frequently occurred when individuals engaged in toning.
"Meditative," "calm," and "relaxed" were the three most common descriptors of
toning. In contrast, singing evoked stronger emotions and associations than
toning, with the three most common descriptors including "nostalgia,"
"tenderness," and "joyful activation." Findings also suggest that the physical
experience with vibrations and the sound of one's own voice may be attributes of
toning that likely contribute to its success in inducing altered states of
awareness, attention, and consciousness. Conclusions: This study significantly
expands our understanding of the experience and effects of toning, and has direct
implications for clinical practice, including the identification of effective
strategies to successfully engage adults in toning.
PMID- 29800305
TI - High discordance in blood and genital tract HIV-1 drug resistance in Indian women
failing first-line therapy.
AB - Objectives: Examine HIV-1 plasma viral load (PVL) and genital tract (GT) viral
load (GVL) and drug resistance in India. Methods: At the YRG Centre for AIDS
Research and Education, Chennai, we tested: PVL in women on first-line ART for
>=6 months; GVL when PVL >2000 copies/mL; and plasma, genital and proviral
reverse transcriptase drug resistance when GVL >2000 copies/mL. Wilcoxon rank-sum
and Fisher's exact tests were used to identify failure and resistance
associations. Pearson correlations were calculated to evaluate PVL-GVL
associations. Inter-compartmental resistance discordance was evaluated using
generalized estimating equations. Results: Of 200 women, 37% had detectable (>400
copies/mL) PVL and 31% had PVL >1000 copies/mL. Of women with detectable PVL, 74%
had PVL >2000 copies/mL, of which 74% had detectable GVL. Higher PVL was
associated with higher GVL. Paired plasma and genital sequences were available
for 21 women; mean age of 34 years, median ART duration of 33 months, median CD4
count of 217 cells/mm3, median PVL of 5.4 log10 copies/mL and median GVL of 4.6
log10 copies/mL. Drug resistance was detected in 81%-91% of samples and 67%-76%
of samples had dual-class resistance. Complete three-compartment concordance was
seen in only 10% of women. GT-proviral discordance was significantly larger than
plasma-proviral discordance. GT or proviral mutations discordant from plasma led
to clinically relevant resistance in 24% and 30%, respectively. Conclusions: We
identified high resistance and high inter-compartmental resistance discordance in
Indian women, which might lead to unrecognized resistance transmission and re
emergence compromising treatment outcomes, particularly relevant to countries
like India, where sexual HIV transmission is predominant.
PMID- 29800306
TI - PROMIS Peer Relationships Short Form: How Well Does Self-Report Correlate With
Data From Peers?
AB - Objective: To examine the psychometric properties of the Patient-Reported
Outcomes Measurement Information System (PROMIS(r)) peer relationships short form
(PR-SF), including association with peer-reported friendships, likeability, and
social reputation. Method: 203 children (Mage = 10.12 years, SD = 2.37, range = 6
14) in Grades 1-8 completed the 8-item PR-SF and friendship nominations, like
ratings, and social reputation measures about their peers during 2 classroom
visits approximately 4 months apart, as part of a larger study. A confirmatory
factor analysis, followed by an exploratory factor analysis, was conducted to
examine the factor structure of the PR-SF. Spearman correlations between the PR
SF and peer-reported outcomes evaluated construct validity. Results: For the PR
SF, a 2-factor solution demonstrated better fit than a 1-factor solution. The 2
factors appear to assess friendship quality (3 items) and peer acceptance (5
items). Reliability was marginal for the friendship quality factor (.66) but
adequate for the acceptance factor (.85); stability was .34 for the PR-SF over 4
months. The PR-SF (8 items) and acceptance factor (5 items) both had modest but
significant correlations with measures of friendship (rs = .25-.27), likeability
(rs = .21-.22), and social reputation (rs = .29-.44). Conclusions: The PR-SF
appears to be measuring two distinct aspects of social functioning. The 5-item
peer acceptance scale is modestly associated with peer-reported friendship,
likeability, and social reputation. Although not a replacement for peer-reported
outcomes, the PR-SF is a promising patient-reported outcome for peer
relationships in youth.
PMID- 29800307
TI - Profiles of Adjustment in Pediatric Cancer Survivors and Their Prediction by
Earlier Psychosocial Factors.
AB - Objective: To examine individual differences in pediatric cancer survivors'
psychosocial adjustment and test the psychosocial predictors, assessed 2-3 years
earlier, of those differences. Method: Pediatric cancer survivors (n = 209, aged
8-17 years at baseline) and their parents were followed for 4 years. They
provided reports of survivors' psychosocial adjustment at 3 years post-baseline,
and latent profile analysis (LPA) was used to identify subgroups of survivors who
differed on those reports. Multinomial logistic regression was used to predict
group membership from self- and parent-reported psychosocial factors at baseline
(child adjustment, disposition, and parental functioning) and at 1 year post
baseline (child social relations). Results: The LPA revealed a 3-class model as
the best fit: a "Resilient" group (65%), characterized by good psychosocial
adjustment; a "Self-Reported At-Risk" group (23%), characterized by subclinical
elevations in self-reported internalizing and attention problems; and a "Parent
Reported At-Risk" group (12%), characterized by subclinical elevations in parent
reported internalizing, externalizing, and attention problems and in self
reported attention problems. Several psychosocial predictors, including child
posttraumatic stress, affectivity, and connectedness to school, as well as
parental distress and overprotection, differentiated the Resilient group from the
other groups, in expected directions. Conclusions: The majority of pediatric
cancer survivors exhibit enduring resilience. The protective factors identified
for them-including positive affectivity and strong connectedness to school-may
inform targeted prevention strategies for the minority of survivors who are at
risk for maladjustment.
PMID- 29800308
TI - Heat shock protein 90alpha couples with the MAPK-signaling pathway to determine
meiotic maturation of porcine oocytes.
AB - Heat shock protein 90 (Hsp90) functions as a molecular chaperone in its
interaction with clients to influence multiple cellular and physiological
processes. However, our current understanding on Hsp90's relationship with
mammalian oocyte maturation is still very limited. Here, we aimed to investigate
Hsp90's effect on pig oocyte meiotic maturation. Endogenous Hsp90alpha was
constantly expressed at both mRNA and protein levels in porcine maturing oocytes.
Addition of 2 uM 17-allylamino-17-demethoxygeldanamycin (17-AAG), the Hsp90
inhibitor, to in vitro mature cumulus-oocyte complexes (COC) significantly
decreased Hsp90alpha protein level (P < 0.05), delayed germinal vesicle breakdown
(GVBD) (P < 0.05), and impeded the first polar body (PB1) extrusion (P < 0.01) of
porcine oocytes. 2 uM 17-AAG treatment during in vitro maturation also decreased
the subsequent development competence as indicated by the lower cleavage (P <
0.001) and higher fragmentation (P < 0.001) rates of parthenotes, whereas no
effects on the percentage and average cell number of blastocysts were found.
Immunodepletion of Hsp90alpha by antibody microinjection into porcine oocytes at
germinal vesicle and metaphase II stages induced similar defects of meiotic
maturation and parthenote development, to that resulted from 2 uM inhibitor 17
AAG. For oocytes treated by 2 uM 17-AAG, the cytoplasm and membrane actin levels
were weakened (P < 0.01), and the spindle assembly was disturbed (P < 0.05), due
to decreased p-ERK1/2 level (P < 0.05). However, the mitochondrial function and
early apoptosis were not affected, as demonstrated by rhodamine 123 staining and
Annexin V assays. Our findings indicate that Hsp90alpha can couple with mitogen
activated protein kinase to regulate cytoskeletal structure and orchestrate
meiotic maturation of porcine oocytes.
PMID- 29800310
TI - Metabolic risk profile in kidney transplant candidates and recipients.
AB - Metabolic risk factors of cardiovascular disease such as abnormal glucose
regulation, obesity and metabolic syndrome, dyslipidaemia, metabolic bone
disease, hyperuricaemia and other less traditional abnormalities are common in
both kidney transplant candidates and recipients. In kidney transplant
candidates, the presence of these risk factors may impede patient access to
transplantation by increasing the risk of developing comorbidities while on the
waiting list, prolonging the time to wait-listing and, in some patients,
eventually jeopardizing their suitability for kidney transplantation or
increasing the risk of severe perioperative complications. In transplant
recipients, metabolic risk factors may be associated with increased mortality
with a functioning graft and with reduced long-term renal graft survival.
Although most transplant recipients have no contraindication to the use of drugs
that undergo renal excretion, they may be at risk of drug-to-drug pharmacokinetic
interactions with anti-rejection medicines. In this review, we have highlighted
the main objectives of evaluating the metabolic abnormalities in transplant
candidates and recipients, how this evaluation should be carried out in practice
and what currently the most valuable treatment strategies are for modifying the
associated risks. We conclude that, for every potential transplant candidate,
every effort should be made to control metabolic abnormalities causing arterial
calcification, which may impede access to transplantation and impair transplant
outcome. In transplant recipients, metabolic abnormalities that result from
adverse effects of anti-rejection therapy may be effectively controlled by
lifestyle changes and judicious use of drugs for the treatment of abnormal
glucose metabolism and dyslipidaemia.
PMID- 29800311
TI - Factors that contribute to effective nutrition education interventions in
children: a systematic review.
AB - Context: Establishing healthy dietary practices at an early age is crucial, as
dietary behaviors in childhood track to adulthood. Objective: The purpose of this
systematic review was to identify factors associated with successful nutrition
education interventions conducted in children and published between 2009 and
2016. Data Sources: Using the PRISMA (Preferred Reporting Items for Systematic
Review and Meta-Analysis) guidelines, relevant studies were identified through
the PubMed, Web of Science, ScienceDirect, and ERIC (Educational Resources
Information Center) databases. Study Selection: Studies published in English
between 2009 and 2016 that included a nutrition education intervention among
children aged 2 to 19 years were included. Review articles, abstracts,
qualitative or cross-sectional studies, and studies targeting children with
special nutritional needs were excluded. Data Extraction: Four authors screened
and determined the quality of the studies using the GRADE (Grading of
Recommendations Assessment, Development, and Evaluation) system and extracted the
data from the articles. Data Analysis: Forty-one studies were included: 7
targeted preschool children, 26 targeted elementary school children, and 8
targeted secondary school children. A total of 46% met their primary objectives
of nutrition education intervention, while the rest either partially achieved or
did not achieve their stated objectives. Results: Successful interventions
targeting school children engaged parents by means of face-to-face sessions,
identified specific behaviors to be modified, and assured fidelity by training
teachers or recruiting trained experts to deliver the intervention. In addition,
they allowed adequate dosage, with an intervention duration of at least 6 months,
and used age-appropriate activities. Conclusions: Interventions with a
multicomponent approach that were age appropriate and of adequate duration (>= 6
months), that engaged parents, and that ensured fidelity and proper alignment
between the stated objectives, the intervention, and the desired outcomes were
more likely to succeed.
PMID- 29800312
TI - Distal Measurements can Produce False Negative Results: A Prospective Secondary
Analysis of a Natural History Study.
AB - Introduction: Most prospective studies of quit attempts (QA) or abstinence
measure the ability of variables to predict quitting many weeks or months later.
This design ignores more proximal fluctuations in the predictor that may be more
relevant. The present secondary analysis compares 6-week (distal) and daily
(proximal) changes in cigarettes per day (CPD) as predictors of making a QA.
Methods: Daily smokers reported CPD and QAs nightly throughout a 12-week natural
history study. We provided no treatment. In the distal analysis we tested whether
reduction in CPD between baseline and 6 weeks predicted making a QA during the
following 6 weeks. In the proximal analysis, we identified episodes of one or
more days of >=10% reduction in CPD and tested whether reduction predicted making
a QA on the day immediately after the reduction episode. We tested the following
predictors: 1) reduction in CPD of >=10% (yes/no), 2) percent reduction, 3)
absolute magnitude of reduction, and 4) CPD at the end of reduction. Results: In
the distal analysis, reduction did not predict making a QA. In the proximal
analysis, any reduction (OR=3.0), greater percent reduction (OR=1.6), greater
absolute reduction (OR=1.3), and fewer CPD on the final day of an episode
(OR=11.8) predicted making a QA the next day (all p<.001). Discussion: Relying on
distal measurements to identify causes of a behavior may produce false negative
results. Increased use of technological advances will make assessments of the
more valid proximal measurements more feasible. Implications: This secondary
analysis tested distal and proximal predictors of making a quit attempt among the
same participants and found that distal tests did not, but proximal tests did
predict quit attempts. Relying on distal measurements may result in false
negatives.
PMID- 29800309
TI - Live Attenuated Zoster Vaccine Boosts Varicella Zoster Virus (VZV)-Specific
Humoral Responses Systemically and at the Cervicovaginal Mucosa of Kenyan VZV
Seropositive Women.
AB - Background: Attenuated varicella zoster virus (VZV) is a promising vector for
recombinant vaccines. Because human immunodeficiencyvirus (HIV) vaccines are
believed to require mucosal immunogenicity, we characterized mucosal VZV-specific
humoral immunity following VZVOka vaccination. Methods: Adult Kenyan VZV
seropositive women (n = 44) received a single dose of the live zoster VZVOka
vaccine. The anamnestic responses to the virus were followed longitudinally in
both plasma and mucosal secretions using an in-house glycoprotein enzyme-linked
immunosorbent assay and safety and reactogenicity monitored. VZV seroprevalence
and baseline responses to the virus were also characterized in our cohorts (n =
288). Results: Besides boosting anti-VZV antibody responses systemically,
vaccination also boosted anti-VZV immunity in the cervicovaginal mucosa with a
2.9-fold rise in immunoglobulin G (P < .0001) and 1.6-fold rise in immunoglobulin
A (IgA) (P = .004) from the time before immunization and 4 weeks postvaccination.
Baseline analysis demonstrated high avidity antibodies at the gastrointestinal
and genital mucosa of VZV-seropositive women. Measurement of VZV-specific IgA in
saliva is a sensitive tool for detecting prior VZV infection. Conclusions: VZVOka
vaccine was safe and immunogenic in VZV-seropositive adult Kenyan women. We
provided compelling evidence of VZV ability to induce genital mucosa immunity.
Clinical Trials Registration: NCT02514018.
PMID- 29800313
TI - Plasmodium vivax Infection Impairs Regulatory T-Cell Suppressive Function During
Acute Malaria.
AB - The balance between pro- and antiinflammatory mechanisms is essential to limit
immune-mediated pathology, and CD4+ forkhead box P3 (Foxp3+) regulatory T cells
(Treg) play an important role in this process. The expression of inhibitory
receptors regulates cytokine production by Plasmodium vivax-specific T cells. Our
goal was to assess the induction of programmed death-1 (PD-1) and cytotoxic T
lymphocyte antigen (CTLA-4) on Treg during malaria and to evaluate their
function. We found that P. vivax infection triggered an increase in circulating
Treg and their expression of CTLA-4 and PD-1. Functional analysis demonstrated
that Treg from malaria patients had impaired suppressive ability and PD-1+Treg
displayed lower levels of Foxp3 and Helios, but had higher frequencies of T-box
transcription factor+ and interferon-gamma+ cells than PD-1-Treg. Thus malaria
infection alters the function of circulating Treg by triggering increased
expression of PD-1 on Treg that is associated with decreased regulatory function
and increased proinflammatory characteristics.
PMID- 29800316
TI - Reliability of the Music in Everyday Life (MEL) Scale: A Parent-Report Assessment
for Children on the Autism Spectrum.
AB - Background: For young children on the autism spectrum, the inclusion of shared
parent-child music activities in everyday life may provide additional
opportunities for social interactions in the home. However, no psychometrically
validated assessment exists to measure the extent of shared music activity within
family or community contexts. Objective: This study aimed to develop and test the
reliability of a self-report assessment to measure the use of Music in Everyday
Life (MEL) by parents with young children on the autism spectrum. Methods: A
total of 45 mothers of children with autism aged between 4 and 7 years completed
the MEL questionnaire. Internal consistency and item-total correlation were
examined. Results: Analysis confirmed the reliability of two predetermined
subscales: Music in Everyday Life-Joint Activities using Music (MEL-JAM) and
Music in Everyday Life-Routine Activities using Music (MEL-RAM). Internal
consistency (Cronbach's alpha 0.63 and 0.75) and positive item-total correlation
(Pearson's r between .23 to .62 for MEL-JAM and between .30 to .67 for MEL-RAM)
were demonstrated. Conclusions: The reliability of the MEL assessment to measure
the use of music in everyday life by parents with their children with autism was
confirmed, filling an important gap in the availability of assessment tools.
PMID- 29800314
TI - Human Experimental Challenge With Enterotoxigenic Escherichia coli Elicits Immune
Responses to Canonical and Novel Antigens Relevant to Vaccine Development.
AB - Background: Enterotoxigenic Escherichia coli (ETEC) is a major cause of diarrheal
illness in the developing world. Enterotoxigenic E coli vaccinology has been
challenged by genetic diversity and heterogeneity of canonical antigens.
Examination of the antigenic breadth of immune responses associated with
protective immunity could afford new avenues for vaccine development. Methods:
Antibody lymphocyte supernatants (ALS) and sera from 20 naive human volunteers
challenged with ETEC strain H10407 and from 10 volunteers rechallenged 4-6 weeks
later with the same strain (9 of whom were completely protected on rechallenge)
were tested against ETEC proteome microarrays containing 957 antigens. Results:
Enterotoxigenic E coli challenge stimulated robust serum and mucosal (ALS)
responses to canonical vaccine antigens (CFA/I, and the B subunit of LT) as well
as a small number of antigens not presently targeted in ETEC vaccines. These
included pathovar-specific secreted proteins (EtpA, EatA) as well as highly
conserved E coli antigens including YghJ, flagellin, and pertactin-like
autotransporter proteins, all of which have previously afforded protection
against ETEC infection in preclinical studies. Conclusions: Taken together,
studies reported here suggest that immune responses after ETEC infection involve
traditional vaccine targets as well as a select number of more recently
identified protein antigens that could offer additional avenues for vaccine
development for these pathogens.
PMID- 29800318
TI - MEGA Software Celebrates Silver Anniversary.
PMID- 29800317
TI - ERA-EDTA invests in transformation to greener health care.
PMID- 29800319
TI - Neutral Theory and the Somatic Evolution of Cancer.
PMID- 29800320
TI - PaintOmics 3: a web resource for the pathway analysis and visualization of multi
omics data.
AB - The increasing availability of multi-omic platforms poses new challenges to data
analysis. Joint visualization of multi-omics data is instrumental in better
understanding interconnections across molecular layers and in fully utilizing the
multi-omic resources available to make biological discoveries. We present here
PaintOmics 3, a web-based resource for the integrated visualization of multiple
omic data types onto KEGG pathway diagrams. PaintOmics 3 combines server-end
capabilities for data analysis with the potential of modern web resources for
data visualization, providing researchers with a powerful framework for
interactive exploration of their multi-omics information. Unlike other
visualization tools, PaintOmics 3 covers a comprehensive pathway analysis
workflow, including automatic feature name/identifier conversion, multi-layered
feature matching, pathway enrichment, network analysis, interactive heatmaps,
trend charts, and more. It accepts a wide variety of omic types, including
transcriptomics, proteomics and metabolomics, as well as region-based approaches
such as ATAC-seq or ChIP-seq data. The tool is freely available at
www.paintomics.org.
PMID- 29800321
TI - An effective diagnostic index for lymphoma-associated hemophagocytic syndrome.
AB - Background: Lymphoma-associated hemophagocytic syndrome (LAHS) is a highly fatal
immune disorder. Poor prognosis is partly attributed to under diagnosis or
delayed diagnosis. Aim: Early identification of LAHS patients based on the
laboratory findings could improve the outcomes. Design: Retrospective
observational cross-sectional study. Methods: From January 2011 to June 2016, 282
adult patients with hemophagocytosis in bone marrow were enrolled, and 114
hemophagocytic lymphohistiocytosis (HLH) patients with definite underlying cause
were finally included for analysis. The HLH patients were further divided into
LAHS (76 out of 114) and non-malignancy-associated HLH (38 out of 114) groups.
Results: Compared to non-malignancy-associated HLH, LAHS patients had
significantly elevated lactate dehydrogenase (LDH) levels, increased thickness of
spleen, higher proportion of patients with lymphadenopathy and significantly
decreased peripheral blood cell count. In multivariate logistic regression model
analysis, thickness of spleen >=5cm (OR = 17.9, 95%CI 1.35-236.6; P = 0.028), IL
6 level >=55.1pg/mL (OR = 12.01, 95%CI 1.03-138.9; P = 0.047) and IL-10 level
>=425.9pg/mL (OR = 51.18, 95%CI 2.53-1035.1; P = 0.010) were independent
predictors of LAHS diagnosis. Based on the regression parameters, we established
a diagnostic index with weighted risk scores of 1 assigned to thickness of spleen
and IL-6 level respectively, and a score of 3 assigned to IL-10 level. A
diagnostic index >= 2 points had the best AUC value (0.889) with 84.2% of
sensitivity and 93.7% of specificity for predicting LAHS. Conclusions: LAHS can
be considered when HLH patients have a diagnostic index >=2 points, so actively
looking for evidence of lymphoma and effective chemotherapy may be necessary.
PMID- 29800322
TI - Efficacy of Polyethylene Skin Wrapping in Preventing Hypothermia in Preterm
Neonates (<34 Weeks): A Parallel Group Non-blinded Randomized Control Trial.
AB - Objective: The objective of this study was to determine the efficacy of
polyethylene skin wrapping on thermoregulation in preterm neonates. Methods:
Total 151 neonates were enrolled in this randomized control trial. In the control
group, neonates were transferred to the radiant warmer and covered with warm
cloth after initial care. In the study group, neonates were transferred to the
radiant warmer and placed in a food-grade polyethylene bag for 1 h. Axillary
temperature of all neonates was recorded for first 24 h at frequent time
intervals. Results: Mean temperature reached to normal range earlier and remained
significantly higher in the study group for most time intervals, and this
difference persisted even at 24 h. Significantly less number of preterm newborns
suffered from hypothermia in the study group as compared with the control group
[50 (67.6%) vs. 67 (87%), p = 0.004]. Conclusions: Polyethylene wraps achieved
rapid, sustained thermal control and were effective in preventing hypothermia in
preterm newborns.
PMID- 29800324
TI - Klotho and endocrine fibroblast growth factors: marker of chronic kidney disease
progression and cardiovascular complications?
AB - Three members of the fibroblast growth factor (FGF) family, FGF19, FGF21 and
FGF23, are different from the other members in two major aspects. First, they are
actually not growth factors but endocrine factors that regulate various metabolic
processes. Second, their physiological receptors are not FGF receptors (FGFRs)
but binary complexes of FGFRs and Klotho proteins. FGF23 and FGF21 have emerged
as biomarkers that start increasing in early-stage chronic kidney disease (CKD).
FGF23 is a bone-derived phosphaturic hormone that binds to the alphaKlotho-FGFR
complex expressed in renal tubules to increase phosphate excretion per nephron.
The FGF23 increase is deemed necessary to compensate for the decrease in the
nephron number during CKD progression and to maintain the phosphate balance.
However, the increase in phosphate excretion per nephron induces renal tubular
damage and accelerates nephron loss. CKD progression is also associated with an
increase in calciprotein particles (CPPs) in the blood. CPPs are calcium
phosphate nanoparticles with the ability to induce endothelial damage and
inflammatory responses. The fact that serum CPP levels are correlated with
vascular calcification/stiffness and mortality in CKD patients suggests that CPPs
may serve as a 'pathogen' of cardiovascular complications. Like FGF23, FGF21
starts increasing in early-stage CKD. FGF21 is a liver-derived hormone that binds
to the betaKlotho-FGFR complex expressed in the central nervous system to induce
stress responses, including activation of the sympathetic nervous system and the
hypothalamus-pituitary-adrenal axis. Thus FGF21 and FGF23 are not merely
biomarkers for CKD progression but potential pathogenic agents that accelerate
CKD progression and aggravate cardiovascular complications.
PMID- 29800323
TI - Nucleoside analogs to manage sequence divergence in nucleic acid amplification
and SNP detection.
AB - Described here are the synthesis, enzymology and some applications of a purine
nucleoside analog (H) designed to have two tautomeric forms, one complementary to
thymidine (T), the other complementary to cytidine (C). The performance of H is
compared by various metrics to performances of other 'biversal' analogs that
similarly rely on tautomerism to complement both pyrimidines. These include (i)
the thermodynamic stability of duplexes that pair these biversals with various
standard nucleotides, (ii) the ability of the biversals to support polymerase
chain reaction (PCR), (iii) the ability of primers containing biversals to
equally amplify targets having polymorphisms in the primer binding site, and (iv)
the ability of ligation-based assays to exploit the biversals to detect medically
relevant single nucleotide polymorphisms (SNPs) in sequences flanked by medically
irrelevant polymorphisms. One advantage of H over the widely used inosine
'universal base' and 'mixed sequence' probes is seen in ligation-based assays to
detect SNPs. The need to detect medically relevant SNPs within ambiguous
sequences is especially important when probing RNA viruses, which rapidly mutate
to create drug resistance, but also suffer neutral drift, the second obstructing
simple methods to detect the first. Thus, H is being developed to detect variants
of viruses that are rapidly mutating.
PMID- 29800325
TI - Recurrent respiratory papillomatosis.
PMID- 29800326
TI - eXpression2Kinases (X2K) Web: linking expression signatures to upstream cell
signaling networks.
AB - While gene expression data at the mRNA level can be globally and accurately
measured, profiling the activity of cell signaling pathways is currently much
more difficult. eXpression2Kinases (X2K) computationally predicts involvement of
upstream cell signaling pathways, given a signature of differentially expressed
genes. X2K first computes enrichment for transcription factors likely to regulate
the expression of the differentially expressed genes. The next step of X2K
connects these enriched transcription factors through known protein-protein
interactions (PPIs) to construct a subnetwork. The final step performs kinase
enrichment analysis on the members of the subnetwork. X2K Web is a new
implementation of the original eXpression2Kinases algorithm with important
enhancements. X2K Web includes many new transcription factor and kinase
libraries, and PPI networks. For demonstration, thousands of gene expression
signatures induced by kinase inhibitors, applied to six breast cancer cell lines,
are provided for fetching directly into X2K Web. The results are displayed as
interactive downloadable vector graphic network images and bar graphs.
Benchmarking various settings via random permutations enabled the identification
of an optimal set of parameters to be used as the default settings in X2K Web.
X2K Web is freely available from http://X2K.cloud.
PMID- 29800327
TI - Comment on 'Influence of point-of-care C-reactive protein testing on antibiotic
prescription habits in primary care in the Netherlands' by Schuijt et al.
PMID- 29800329
TI - Ophthalmomyiasis caused by larvae of the parasite Oestrus ovis.
PMID- 29800328
TI - Differences in cecal microbiome of selected high and low feather-pecking laying
hens.
AB - In mammals, it has become increasingly clear that the gut microbiota influences
not only gastrointestinal physiology but also modulates behavior. In domestic
birds, ceca have the greatest gastrointestinal microbial population. Feather
pecking (FP) behavior in laying hens is one of the most important unsolved
behavioral issues in modern agriculture. The aim of the present study was to
assess the cecal microbial community of divergently selected high (HFP; n = 20)
and low (LFP; n = 20) feather-pecking birds at 60 wk of age. The cecal samples
were subjected to community profiling of 16S rRNA and in silico metagenomics
using a modified bar-coded Illumina sequencing method on a MiSeq Illumina
sequencer. Our results revealed that compared to HFP birds, LFP birds are
characterized by an increased overall microbial diversity (beta diversity) shown
by a difference in the Bray-Curtis index (R2 = 0.171, P < 0.05). Furthermore,
operational taxonomic unit comparisons showed an increased presence of
Clostridiae and decreased presence of Lactobaccillacae in HFP birds when compared
to LFP birds (False Discovery Rate < 0.05, Mann-Whitney comparisons). Our data
indicate that there may be differences in the cecal profile between these 2 lines
of laying hens. More research, building on this first study using sequencing
technology for profiling the chicken cecal microbiome, will be needed in order to
reveal if and how there exists a functional link between the performance of FP
and the cecal microbial community.
PMID- 29800330
TI - Plasticity of DNA methylation and gene expression under zinc deficiency in
Arabidopsis roots.
AB - DNA methylation is a heritable chromatin modification that maintains chromosome
stability, regulates transposon silencing and appears to be involved in gene
expression in response to environmental conditions. Environmental stress alters
DNA methylation patterns that are correlated with gene expression differences.
Here, genome-wide differential DNA-methylation was identified upon prolonged Zn
deficiency, leading to hypo- and hyper-methylated chromosomal regions.
Preferential CpG methylation changes occurred in gene promoters and gene bodies,
but did not overlap with transcriptional start sites. Methylation changes were
also prominent in transposable elements. By contrast, non-CG methylation
differences were exclusively found in promoters of protein coding genes and in
transposable elements. Strongly Zn deficiency-induced genes and their promoters
were mostly non-methylated, irrespective of Zn supply. Differential DNA
methylation in the CpG and CHG, but not in the CHH context, was found close to a
few up-regulated Zn-deficiency genes. However, the transcriptional Zn-deficiency
response in roots appeared little correlated with associated DNA methylation
changes in promoters or gene bodies. Furthermore, under Zn deficiency,
developmental defects were identified in an Arabidopsis mutant lacking non-CpG
methylation. The root methylome thus responds specifically to a micro-nutrient
deficiency and is important for efficient Zn utilization at low availability, but
the relationship of differential methylation and differentially expressed genes
is surprisingly poor.
PMID- 29800331
TI - Consumer Perceptions of Cigarette Design in France: A Comparison of Regular,
Slim, Pink and Plain Cigarettes.
AB - Introduction: The cigarette, like the cigarette pack, is used by tobacco
companies as a promotional tool. We explore how the cigarette could potentially
be used as a dissuasive tool. Methods: An online survey was conducted with 15-30
year old smokers and non-smokers (N=998) in France to explore their perceptions
of a plain cigarette (grey with no brand name) and three branded cigarettes
(regular, slim, pink). Participants were randomly assigned to view the plain
cigarette and either the regular, slim or pink cigarette. They were asked to rate
the cigarettes by Appeal (tastiest, highest quality, most expensive), Harm (most
dangerous, most effective for motivating people to talk about tobacco dangers),
and Perceived behavioral impact (most effective to convince teenagers not to
start, to motivate smokers to reduce consumption and quit). Results: In
comparison to the grey cigarette, each of the branded cigarettes were considered
more appealing, less harmful, and more likely to motivate teenagers to start and
less likely to motivate smokers to reduce consumption or quit. Conclusions: The
study suggests that altering the appearance of the cigarette may reduce cigarette
appeal, increase harm perceptions, and deter both young people and smokers.
Implications: Very little research has focused on dissuasive cigarettes whereas
the cigarette stick has become very important for tobacco companies for
communication purposes. This is the first study to compare the effect of various
branded cigarettes (regular, slim, pink) with a plain grey cigarette on young
adult smokers and non-smokers. The findings suggest that a plain grey cigarette
can reduce cigarette appeal, increase perceptions of harm, and may deter use
among both smokers and non-smokers.
PMID- 29800333
TI - Resection of Large Petrotentorial Tumor via a Retrosigmoid Approach: 3
Dimensional Operative Video.
AB - A 22-yr-old female college athlete had noted ataxia during competitive gymnastic
events. Magnetic resonance imaging revealed a large tumor compatible with
preoperative diagnosis of meningioma arising from the petrotentorial region with
marked brainstem compression. The technical challenges associated with this
removal include safe dissection of cranial nerves IV to VIII and dissection from
the brainstem and cerebellum, taking care to not interfere with blood supply to
these structures. The vascular tumor was removed via standard suboccipital
approach, with the trajectory above the seventh/eighth nerve complex. The
attachment was at the petrotentorial junction, and the fourth nerve was
intimately involved with the tumor as the tumor was emanating from the tentorial
edge where the fourth nerve entered. In most instances, the nerves are displaced
by the tumor but in this case injury to the fourth nerve ensued with dissection
at the tumor attachment. After tumor resection, the interrupted fourth nerve was
repaired microsurgically. A hemangiopericytoma was identified on pathological
analysis, and the patient received postoperative radiation therapy, which has
been given postoperatively or at recurrence in the literature. Technical nuances
of removal are discussed. Patient consent was granted for publication of this
video.
PMID- 29800332
TI - Influence of sepiolite additions to different litter materials on performance and
some welfare parameters of broilers and litter characteristics.
AB - The litter quality is an important factor affecting the performance, welfare and
carcass quality of the broilers. Depending on the progress of the fattening
duration, some materials may be added to the litter in order to keep the pH,
moisture and ammonia levels in the litter under control. Sepiolite is a natural
material and it has strong absorbing ability to the water. Therefore, the aim of
this study was to determine the effects of sepiolite additions to different
litter materials on performance and some welfare parameters of broilers and
litter characteristics. A total of 288 1-d-old male broiler chicks (ROSS-308)
were used. Birds were randomly allocated to 2 litters (wood shavings and rice
hull) and 3 sepiolite groups (0, 25, 50%) with 6 replication, and each pen
contains 8 chicks. Fattening performance, IgG, tonic immobility period, feather
score, skin injures, breast burns, and footpad burns of broilers were not
affected significantly by sepiolite additions to the litter. However, sepiolite
addition to the litter can improve litter quality. There was no significant
difference between 2 litter materials because both have same color. There were no
significant interactions in examined parameters. In conclusion, addition of
sepiolite at 25 and 50% levels to litter materials may be used as a litter
material in the broiler production without adverse welfare and performance
problem.
PMID- 29800334
TI - Housing the Citizen-Consumer in Post-war Britain: The Parker Morris Report,
Affluence and the Even Briefer Life of Social Democracy.
AB - This article examines debates about the design and provision of post-war housing
within the papers and report of the Parker Morris committee. It does so to show
how the models of citizens' rights and expectations which underpinned post-war
welfare provision were transformed by mass affluence and the dynamic sphere of
commercial consumption. Parker Morris's deliberations demonstrate that, as early
as the 1950s, the citizen-subject was reimagined as a consuming individual, with
requirements based on their expressive needs and consuming desires, and that this
had far-reaching consequences for social democratic systems of universal welfare
provision. The introduction of consumerist imperatives into publicly defined
models of citizens' needs enhanced the political and cultural authority of the
commercial domain, prompted a heightened role for commercial experts and market
logics within public governance, and served to devalue socialized forms of
provision in favour of consumer choice in the private market. The article thus
engages with the growing scholarship on the politics of mass consumerism by
showing how the material and emotional comforts of post-war affluence came to be
constructed as critical to social democratic citizenship and selfhood. Situating
this uneasy entanglement of social democratic rights with consumer satisfaction
as part of a wider trajectory of political change, the piece suggests that Parker
Morris marks an early but significant moment in the transition from post-war
welfarism and social democracy to the consumer- and market-oriented forms of
governance which came to dominate British politics and society in the latter part
of the twentieth century.
PMID- 29800335
TI - 'Cinderella of the Education System': Margaret Thatcher's Plan for Nursery
Expansion in 1970s Britain.
AB - The Department of Education and Science, led by then Secretary of State Margaret
Thatcher, published a White Paper in December 1972 calling for a dramatic
expansion of public nursery education, so that it might be available within a
decade to all families with 3- and 4-year-old children who chose to utilize it.
While this failed policy is seldom remembered today, and Thatcher's efforts to
promote the care and education of young children are not considered part of her
considerable legacy, the White Paper's policy propositions challenge
understandings about the formation and consistency of both Britain's child care
policy and 'Thatcherism'. During this period, Thatcher believed that extending
the frontiers of the state was appropriate to promote child welfare during the
crucial first years of life. She conceived of nursery education as serving a
developmental and educational purpose for all children, quite separate from
welfare provisions for poor families or work supports for women. It is this
crucial, albeit arbitrary, distinction which explains how nursery education was
envisaged as an exception to her advocacy of cutting welfare spending.
PMID- 29800336
TI - 'Free and Equal Partners in Your Commonwealth': The Atlantic Charter and
Anticolonial Delegations to London, 1941-3.
AB - This article examines the efforts of two anticolonial politicians from the
British Empire who used official visits to London and the rhetoric of the
Atlantic Charter (14 August 1941) to advance their political careers and self
government for their territories: Burma's U Saw in 1941, and Nigeria's Nnamdi
Azikiwe in 1943. Rather than a repetition of the 'Wilsonian moment', these
campaigns show how anticolonial forces long active across the Empire took
advantage of the opening offered by the Atlantic Charter to make claims on the
British government in its wartime weakness. Both U Saw and Azikiwe had been
involved in anticolonial politics long before the Charter, but its appearance
provided an opportunity to advance their position vis-a-vis political competitors
as well as to win concessions from the imperial state. Although the two leaders
had different immediate objectives, they both used the prestige of official
visits to London and the ambiguous universality of the Charter's language in
pursuit of their aims. Their ability to do so attests to the power of
anticolonial movements by the early 1940s, and points to alternative paths which
the Empire might have followed.
PMID- 29800337
TI - Exhibition Review Punk's 40th Anniversary-An Itchy Sort of Heritage.
PMID- 29800338
TI - Brief Counseling for Veterans with Musculoskeletal Disorder, Risky Substance Use,
and Service Connection Claims.
AB - Objective: High proportions of post-9/11 veterans have musculoskeletal disorders
(MSDs), but engaging them in care early in their course of illness has been
challenging. The service connection application is an ideal point of contact for
referring veterans to early interventions for their conditions. Design: Among MSD
claimants who reported risky substance use, we pilot-tested a counseling
intervention targeting pain and risky substance use called Screening Brief
Intervention and Referral to Treatment-Pain Module (SBIRT-PM). Veterans were
randomly assigned in a 2:1:1 ratio to SBIRT-PM, Pain Module counseling only, or
treatment as usual (TAU). Methods: Participants assigned to either counseling arm
were offered a single meeting with a study therapist with two follow-up telephone
calls as needed. Participants completed outcome assessments at four and 12 weeks
after randomization. Results: Of 257 veterans evaluated, 101 reported risky
substance use and were randomized. Counseling was attended by 75% of veterans
offered it and was well received. VA pain-related services were used by 51% of
participants in either of the pain-focused conditions but only by 27% in TAU (P <
0.04). Starting with average pain severity ratings of 5.1/10 at baseline, only
minimal changes in mean pain severity were noted regardless of condition. Self
reported risky substance use was significantly lower over time in the SBIRT-PM
condition relative to the two other conditions (P < 0.02). At week 12,
proportions of veterans reporting risky substance use were 0.39, 0.69, and 0.71
for the SBIRT-PM, Pain Module counseling, and TAU conditions, respectively.
Conclusions: SBIRT-PM shows promise as a way to engage veterans in pain treatment
and reduce substance use.
PMID- 29800339
TI - Giant right coronary artery aneurysm presenting as cardiac tamponade.
AB - Giant coronary artery aneurysms are rare and have variable presentations, which
range from an incidental finding to sudden death. We report a case of a female
presenting with chest pain and signs of cardiac tamponade who underwent a
computed tomography (CT) pulmonary embolus protocol and was found to have
haemopericardium with accumulation of contrast adjacent to the aorta. She
underwent emergent sternotomy and was found to have a ruptured giant right
coronary artery aneurysm, which was ligated and bypassed. This report highlights
the difficulty of diagnosing a ruptured giant coronary artery aneurysm via CT and
provides valuable information on an atypical presentation.
PMID- 29800340
TI - Emergence of an XDR and carbapenemase-producing hypervirulent Klebsiella
pneumoniae strain in Taiwan.
AB - Background: Carbapenemase-producing Klebsiella pneumoniae causes high mortality
owing to the limited therapeutic options available. Here, we investigated an
emergent carbapenem-resistant K. pneumoniae strain with hypervirulence found
among KPC-2-producing strains in Taiwan. Methods: KPC-producing K. pneumoniae
strains were collected consecutively from clinical specimens at the Taipei
Veterans General Hospital between January 2012 and December 2014. Capsular types
and the presence of rmpA/rmpA2 were analysed, and PFGE and MLST performed using
these strains. The strain positive for rmpA/rmpA2 was tested in an in vivo mouse
lethality study to verify its virulence and subjected to WGS to delineate its
genomic features. Results: A total of 62 KPC-2-producing K. pneumoniae strains
were identified; all of these belonged to ST11 and capsular genotype K47. One
strain isolated from a fatal case with intra-abdominal abscess (TVGHCRE225)
harboured rmpA and rmpA2 genes. This strain was resistant to tigecycline and
colistin, in addition to carbapenems, and did not belong to the major cluster in
PFGE. TVGHCRE225 exhibited high in vivo virulence in the mouse lethality
experiment. WGS showed that TVGHCRE225 acquired a novel hybrid virulence plasmid
harbouring a set of virulence genes (iroBCDN, iucABCD, rmpA and rmpA2, and iutA)
compared with the classic ST11 KPC-2-producing strain. Conclusions: We identified
an XDR ST11 KPC-2-producing K. pneumoniae strain carrying a hybrid virulent
plasmid in Taiwan. Active surveillance focusing on carbapenem-resistant
hypervirulent K. pneumoniae strains is necessary, as the threat to human health
is imminent.
PMID- 29800341
TI - Divergence estimation in the presence of incomplete lineage sorting and
migration.
AB - This paper focuses on the problem of estimating a species tree from multilocus
data in the presence of incomplete lineage sorting and migration. I develop a
mathematical model similar to IMa2 (Hey 2010) for the relevant evolutionary
processes which allows both the population size parameters and the migration
rates between pairs of species tree branches to be integrated out. I then
describe a BEAST2 package DENIM which is based on this model, and which uses an
approximation to sample from the posterior. The approximation is based on the
assumption that migrations are rare, and it only samples from certain regions of
the posterior which seem likely given this assumption. The method breaks down if
there is a lot of migration. Using simulations, Leache et al. (2014) showed that
using the standard multispecies coalescent model to infer a species tree can
result in poor accuracy if migration is present. I re-analyze this simulated data
to explore DENIM's performance, and demonstrate substantial improvements in
accuracy over *BEAST. I also re-analyze an empirical data set.
PMID- 29800342
TI - Performance, insulin sensitivity, carcass characteristics, and fatty acid profile
of beef from steers fed microalgae.
AB - Heterotrophic production of microalgae biomass provides a consistent, high
quality source of docosahexaenoic acid (DHA; C22:6 n-3) in triglyceride oils that
could be used as a ration supplement for feedlot steers to improve nutritional
qualities of beef. Sixty Angus * Simmental steers (438 +/- 6.4 kg) were allotted
to two treatments (30 steers each, six pens, five steers/pen) to determine the
effects of ForPLUS (DHA-rich microalgae Aurantiochytrium limacinum; 63.6% fat;
17.9% DHA; 30 mg/kg Sel-Plex; Alltech Inc.) on performance, insulin sensitivity,
LM fatty acid composition, and meat quality. Steers were fed basal diets
containing 45% corn, 30% distillers dried grains with solubles, 20% corn silage,
and 5% supplement. Basal diets were formulated to contain 16.1% CP and 1.32
Mcal/kg NEg. Treatments were delivered to steers in a ground corn-based top-dress
(454 g total/steer) and contained no microalgae for control steers or 100 g/steer
daily of ForPLUS for microalgae steers. A glucose tolerance test (GTT) was
performed 10 d prior to slaughter. Steers were slaughtered when a target pen BW
of 621 kg was achieved. Fatty acid oxidation potential was determined by
measuring thiobarbituric acid reactive substances (TBARS) on LM samples collected
24 h after slaughter and aged for 48 h or 21 d. Weight and BW gain did not differ
during the study (P >= 0.13); however, steers fed microalgae remained in the
feedlot seven more days compared to steers fed the control diet (111 vs. 104 d; P
= 0.04). Overall DMI decreased (P = 0.002) and G:F increased during the second
half of the study (P = 0.04) in steers fed microalgae compared to steers fed the
control diet. Steers fed microalgae secreted less insulin (P = 0.01) and took
longer to clear glucose (P = 0.01) during a 2-h GTT. Carcass traits did not
differ between treatments (P >= 0.23). Microalgae had no effect on n-6 content (P
= 0.67), but more than doubled the n-3 fatty acid percentage and the n-3:n-6
ratio of the LM (P < 0.0001). The percentage of n-3 fatty acids C20:5 and C22:6
were increased (P < 0.0001) 4-fold and 6.25-fold, respectively, by microalgae
supplementation. Concentration of TBARS did not differ in LM aged for 48 h (P =
0.91); however, when aged for 21 d, steers fed microalgae tended to produce LM
with greater TBARS concentration compared to steers fed the control diet (P =
0.08). In conclusion, DHA-rich microalgae decreased DMI of steers, and increased
n-3 fatty acids and beef oxidation in steaks aged for 21 d.
PMID- 29800343
TI - High prevalence of ascariasis on two coral atolls in the Solomon Islands.
AB - Background: There is a deficiency in up-to-date soil-transmitted helminth (STH)
prevalence data for many regions, including Oceania. This study investigated the
prevalence of STH in two closely associated coral atoll communities in East
Kwaio, Solomon Islands, reflective of many similar island communities throughout
the Oceania region. Methods: An STH survey, using the Kato-Katz technique, was
conducted on human subjects living on two coral atolls in the Eastern Solomon
Islands. The capacity of Ascaris lumbricoides eggs to float in seawater was also
evaluated by passive flotation. Results: Of 583 people tested on both islands,
311 (53.3%) harboured A. lumbricoides, with 51.7% (n=161) of those having
moderate to high-intensity infections. Hookworm was detected in 139 (23.7%)
participants and Trichuris trichiura infection in 18 (3.1%). A. lumbricoides eggs
were not found to float in seawater. Discussion: The high prevalence and
intensity of ascariasis on these two atolls was contrasted with previously
described STH studies in mainland East Kwaio villages, where hookworm
predominates and ascariasis is almost absent. This led to a preliminary
consideration that transmission of A. lumbricoides on densely populated coral
atolls might be associated with defecation into the sea and transmission in
seawater, although further work is required to investigate this hypothesis.
PMID- 29800345
TI - Use of Capillary Blood Samples Leads to Higher Parasitemia Estimates and Higher
Diagnostic Sensitivity of Microscopic and Molecular Diagnostics of Malaria Than
Venous Blood Samples.
AB - Background: Diagnosis of malaria is usually based on samples of peripheral blood.
However, it is unclear whether capillary (CAP) or venous (VEN) blood samples
provide better diagnostic performance. Quantitative differences of parasitemia
between CAP and VEN blood and diagnostic performance characteristics were
investigated. Methods: Patients were recruited between September 2015 and
February 2016 in Gabon. Light microscopy and quantitative polymerase chain
reaction (qPCR) measured parasitemia of paired CAP and VEN samples. CAP and VEN
performance characteristics using microscopy were evaluated against a qPCR gold
standard. Results: Microscopy revealed a median parasitemia of 495/MUL in CAP and
429/MUL in VEN samples, manifesting in a 16.6% (P = .04) higher CAP parasitemia
compared with VEN parasitemia. Concordantly, in qPCR -0.278 (P = .006) cycles
were required for signal detection in CAP samples. CAP sensitivity of microscopy
relative to the gold standard was 81.5% vs VEN sensitivity of 73.4%, while
specificities were 91%. CAP and VEN sensitivities dropped to 63.3% and 45.9%,
respectively, for a subpopulation of low-level parasitemias, whereas
specificities were 92%. Conclusions: CAP sampling leads to higher parasitemias
compared to VEN sampling and improves diagnostic sensitivity. These findings may
have important implications for routine diagnostics, research, and elimination
campaigns of malaria.
PMID- 29800344
TI - Cytokinin perception in potato: new features of canonical players.
AB - Potato is the most economically important non-cereal food crop. Tuber formation
in potato is regulated by phytohormones, cytokinins (CKs) in particular. The
present work studied CK signal perception in potato. The sequenced potato genome
of doubled monoploid Phureja was used for bioinformatic analysis and as a tool
for identification of putative CK receptors from autotetraploid potato cv.
Desiree. All basic elements of multistep phosphorelay required for CK signal
transduction were identified in the Phureja genome, including three genes
orthologous to three CK receptor genes (AHK 2-4) of Arabidopsis. As distinct from
Phureja, autotetraploid potato contains at least two allelic isoforms of each
receptor type. Putative receptor genes from Desiree plants were cloned, sequenced
and expressed, and the main characteristics of encoded proteins were determined,
in particular their consensus motifs, modelled structure, ligand-binding
properties, and ability to transmit CK signals. In all studied aspects the
predicted sensor histidine kinases met the requirements for genuine CK receptors.
Expression of potato CK receptors was found to be organ-specific and sensitive to
growth conditions, particularly to sucrose content. Our results provide a solid
basis for further in-depth study of CK signaling system and biotechnological
improvement of potato.
PMID- 29800346
TI - Environmental hepatitis E virus detection supported by serological evidence in
the northwest of Argentina.
AB - Background: Hepatitis E virus (HEV) is an emergent cause of acute hepatitis
worldwide. Water contamination is a possible source of viral infection. In South
America, particularly in Argentina, little is known about environmental HEV
circulation, including recreational water. The aim of this work was to provide
evidence of current environmental and human circulation of HEV in northern
Argentina. Methods: Molecular detection of HEV in water samples from the Arias
Arenales River in the city of Salta by nested polymerase chain reaction (ORF2
region) and anti-HEV immunoglobulin G (IgG) and IgM detection in the general
population by enzyme-linked immunosorbent assay was carried out. Results: HEV RNA
was detected in 1.6% (3/189) of the environmental samples. All sequences belonged
to HEV genotype 3 and were very similar to those previously detected in the
country. The prevalence of IgG anti-HEV was 9% (13/143) and three samples were
positive for specific IgM. Conclusions: Circulation of HEV in the northwest of
Argentina was demonstrated for the first time, showing viral presence in
environmental samples and infections in people who attended health care centres
for routine control. These findings show that recreational waters are a possible
source of virus and highlight the need to carry out HEV detection when a case of
hepatitis occurs.
PMID- 29800347
TI - Dispositional Mindfulness and Its Relationship With Distress and Functioning in
Adolescents With Chronic Pain and Low-Level Pain.
AB - Objective: Dispositional mindfulness is the general tendency to pay attention to
present-moment awareness without judgment. The main aim of this cross-sectional
study was to determine (a) whether dispositional mindfulness is associated with
psychological distress in adolescents with chronic pain and low-level pain, and
(b) whether it accounts for unique variance in distress after controlling for key
variables from the pain literature. A secondary aim was to explore the
relationship between dispositional mindfulness and functioning. Method: 54
adolescents seeking help for chronic pain and 94 "healthy" adolescents with
recent low-level pain from the general population completed the same battery of
measures, including the Child and Adolescent Mindfulness Measure of dispositional
mindfulness. Results: As predicted, dispositional mindfulness was associated with
mood and anxiety in both groups and also accounted for unique variance in mood
and anxiety in standard regression models after controlling for group, age, pain
intensity, pain-catastrophizing, and pain-acceptance. Dispositional mindfulness
did not differ significantly across the two groups and did not predict physical
functioning. However, it did account for unique variance in social functioning.
Conclusions: Dispositional mindfulness may be an important construct to consider
in the context of adolescents experiencing mood and anxiety problems in both low
level and chronic pain samples. Further research should aim to replicate these
findings in larger clinical samples and explore the predictive power of
dispositional mindfulness using longitudinal designs.
PMID- 29800348
TI - Immune gene expression in kidney biopsies of lupus nephritis patients at
diagnosis and at renal flare.
AB - Background: Up to 50% of lupus nephritis (LN) patients experience renal flares
after their initial episode of LN. These flares contribute to poor renal
outcomes. We postulated that intrarenal immune gene expression is different in
flares compared with de novo LN, and conducted these studies to test this
hypothesis. Methods: Glomerular and tubulointerstitial immune gene expression was
evaluated in 14 patients who had a kidney biopsy to diagnose LN and another
biopsy at their first LN flare. Ten healthy living kidney donors were included as
controls. RNA was extracted from laser microdissected formalin-fixed paraffin
embedded kidney biopsies. Gene expression was analyzed using the Nanostring
nCounter(r) platform and validated by quantitative real-time polymerase chain
reaction. Differentially expressed genes were analyzed by the Ingenuity Pathway
Analysis and Panther Gene Ontology tools. Results: Over 110 genes were
differentially expressed between LN and healthy control kidney biopsies. Although
there was considerable molecular heterogeneity between LN biopsies at diagnosis
and flare, for about half the LN patients gene expression from the first LN
biopsy clustered with the repeated LN biopsy. However, in all patients, a set of
eight interferon alpha-controlled genes had a significantly higher expression in
the diagnostic biopsy compared with the flare biopsy. In contrast, nine tumor
necrosis factor alpha-controlled genes had higher expression in flare biopsies.
Conclusions: There is significant heterogeneity in immune-gene expression of
kidney tissue from LN patients. There are limited but important differences in
gene expression between LN flares, which may influence treatment decisions.
PMID- 29800349
TI - GDA, a web-based tool for Genomics and Drugs integrated analysis.
AB - Several major screenings of genetic profiling and drug testing in cancer cell
lines proved that the integration of genomic portraits and compound activities is
effective in discovering new genetic markers of drug sensitivity and clinically
relevant anticancer compounds. Despite most genetic and drug response data are
publicly available, the availability of user-friendly tools for their integrative
analysis remains limited, thus hampering an effective exploitation of this
information. Here, we present GDA, a web-based tool for Genomics and Drugs
integrated Analysis that combines drug response data for >50 800 compounds with
mutations and gene expression profiles across 73 cancer cell lines. Genomic and
pharmacological data are integrated through a modular architecture that allows
users to identify compounds active towards cancer cell lines bearing a specific
genomic background and, conversely, the mutational or transcriptional status of
cells responding or not-responding to a specific compound. Results are presented
through intuitive graphical representations and supplemented with information
obtained from public repositories. As both personalized targeted therapies and
drug-repurposing are gaining increasing attention, GDA represents a resource to
formulate hypotheses on the interplay between genomic traits and drug response in
cancer. GDA is freely available at http://gda.unimore.it/.
PMID- 29800350
TI - Heritability of sleep quality in a middle-aged twin sample from Spain.
AB - Study Objectives: Sleep quality is associated with health throughout the life
span, which is particularly salient in middle-age and older adulthood. Sleep
quality appears to be influenced by both genetic and environmental factors.
However, there is still limited information about genetic influences on sleep
quality in middle-aged adults, and particularly in those from certain
geographical locations. We estimated the magnitude of genetic and environmental
influences on sleep quality in a representative sample of middle-aged Spanish
twins. Methods: The sample comprised 2150 individuals born between 1939 and 1966,
who participate in the Murcia Twin Registry. To estimate the heritability of
sleep quality variables, we performed univariate analyses for the global score on
the Pittsburgh sleep quality index and for each of its components. Results: We
found moderate but significant heritability (34%) for sleep quality. The genetic
variance of the components of the Pittsburgh index ranged from 30 to 45 per cent,
except for sleep efficiency for which no genetic influence could be detected. In
summary, there was a moderate genetic influence on most dimensions of sleep
quality in a sample of adult male and female twins. Shared environment influences
were not found. Conclusions: This study adds new information regarding the
underlying determinants of sleep quality by providing heritability estimates in a
middle-aged population-based representative sample from a geographical location
that has not been included in studies of this type previously. This could provide
a reference point for future research regarding sleep research in middle-age.
PMID- 29800351
TI - Amoebic liver abscess.
PMID- 29800352
TI - Comparative Transcriptome Profiling Analysis of Red- and White-Fleshed Strawberry
(Fragaria * ananassa) Provides New Insight into the Regulation of Anthocyanins
Pathway.
AB - Anthocyanins are water-soluble pigments in plants. They confer both economical
and healthy profits for humans. To gain a deeper insight into the regulation of
anthocyanins biosynthesis in octoploid strawberry (Fragaria * ananassa; Fa), a
widely-consumed economically important fruit, we performed comparative
transcriptomic analysis of red- and white-fleshed strawberry cultivars in two
ripening stages. In total, 365,455 non-redundant transcripts were assembled from
the RNA sequencing (RNAseq) data. Of this collection, 377 were annotated as
putative anthocyanins related transcripts. Differential expression analysis
revealed that 57 anthocyanins biosynthesis transcripts were down-regulated, and
89 transcription factors (TFs) were either down- or up- regulated under
anthocyanins deficiency. Additionally, amongst the 50,601 putative long non
coding RNAs (lncRNAs) identified here, 68 lncRNAs were differentially expressed
and co-expressed with differentially expressed anthocyanins related mRNAs, 2,070
co-expressing lncRNAs-mRNAs pairs were generated. Expression profiles analysis
revealed that it was the limited expression of FaF3'H that blocked the cyanidin 3
glucoside accumulation in the two investigated strawberry cultivars. This was
further supported by a transient-overexpression experiment with FaMYB10. The down
regulated lncRNAs might participate in anthocyanins regulation by acting as
targets for micro RNAs (miRNAs). The level of competitive intensity in miRNA and
lncRNA for the same mRNAs target was probably lower in the white-fleshed
strawberries, which can release the repression effect of the mRNAs in red-fleshed
strawberry as a result. This study for the first time presents lncRNAs related to
anthocyanins in strawberries, provides new insights into anthocyanins regulatory
network, and also lays the foundation for identifying new anthocyanins regulators
in strawberry.
PMID- 29800353
TI - Applied Chaos Level Test for Validation of Signal Conditions Underlying Optimal
Performance of Voice Classification Methods.
AB - Purpose: The purpose of this study is to introduce a chaos level test to evaluate
linear and nonlinear voice type classification method performances under varying
signal chaos conditions without subjective impression. Study Design: Voice
signals were constructed with differing degrees of noise to model signal chaos.
Within each noise power, 100 Monte Carlo experiments were applied to analyze the
output of jitter, shimmer, correlation dimension, and spectrum convergence ratio.
The computational output of the 4 classifiers was then plotted against signal
chaos level to investigate the performance of these acoustic analysis methods
under varying degrees of signal chaos. Method: A diffusive behavior detection
based chaos level test was used to investigate the performances of different
voice classification methods. Voice signals were constructed by varying the
signal-to-noise ratio to establish differing signal chaos conditions. Results:
Chaos level increased sigmoidally with increasing noise power. Jitter and shimmer
performed optimally when the chaos level was less than or equal to 0.01, whereas
correlation dimension was capable of analyzing signals with chaos levels of less
than or equal to 0.0179. Spectrum convergence ratio demonstrated proficiency in
analyzing voice signals with all chaos levels investigated in this study.
Conclusion: The results of this study corroborate the performance relationships
observed in previous studies and, therefore, demonstrate the validity of the
validation test method. The presented chaos level validation test could be
broadly utilized to evaluate acoustic analysis methods and establish the most
appropriate methodology for objective voice analysis in clinical practice.
PMID- 29800354
TI - Children's Speech Perception in Noise: Evidence for Dissociation From Language
and Working Memory.
AB - Purpose: We examined the association between speech perception in noise (SPIN),
language abilities, and working memory (WM) capacity in school-age children.
Existing studies supporting the Ease of Language Understanding (ELU) model
suggest that WM capacity plays a significant role in adverse listening
situations. Method: Eighty-three children between the ages of 7 to 11 years
participated. The sample represented a continuum of individual differences in
attention, memory, and language abilities. All children had normal-range hearing
and normal-range nonverbal IQ. Children completed the Bamford-Kowal-Bench Speech
in-Noise Test (BKB-SIN; Etymotic Research, 2005), a selective auditory attention
task, and multiple measures of language and WM. Results: Partial correlations
(controlling for age) showed significant positive associations among attention,
memory, and language measures. However, BKB-SIN did not correlate significantly
with any of the other measures. Principal component analysis revealed a distinct
WM factor and a distinct language factor. BKB-SIN loaded robustly as a distinct
3rd factor with minimal secondary loading from sentence recall and short-term
memory. Nonverbal IQ loaded as a 4th factor. Conclusions: Results did not support
an association between SPIN and WM capacity in children. However, in this study,
a single SPIN measure was used. Future studies using multiple SPIN measures are
warranted. Evidence from the current study supports the use of BKB-SIN as
clinical measure of speech perception ability because it was not influenced by
variation in children's language and memory abilities. More large-scale studies
in school-age children are needed to replicate the proposed role played by WM in
adverse listening situations.
PMID- 29800355
TI - Prosodic Boundary Effects on Syntactic Disambiguation in Children With Cochlear
Implants.
AB - Purpose: This study investigated prosodic boundary effects on the comprehension
of attachment ambiguities in children with cochlear implants (CIs) and normal
hearing (NH) and tested the absolute boundary hypothesis and the relative
boundary hypothesis. Processing speed was also investigated. Method: Fifteen
children with NH and 13 children with CIs (ages 8-12 years) who are monolingual
speakers of Brazilian Portuguese participated in a computerized comprehension
task with sentences containing prepositional phrase attachment ambiguity and
manipulations of prosodic boundaries. Results: Children with NH and children with
CIs differed in how they used prosodic forms to disambiguate sentences. Children
in both groups provided responses consistent with half of the predictions of the
relative boundary hypothesis. The absolute boundary hypothesis did not
characterize the syntactic disambiguation of children with CIs. Processing speed
was similar in both groups. Conclusions: Children with CIs do not use prosodic
information to disambiguate sentences or to facilitate comprehension of
unambiguous sentences similarly to children with NH. The results suggest that
cross-linguistic differences may interact with syntactic disambiguation. Prosodic
contrasts that affect sentence comprehension need to be addressed directly in
intervention with children with CIs.
PMID- 29800356
TI - Neighborhood Density and Syntactic Class Effects on Spoken Word Recognition:
Specific Language Impairment and Typical Development.
AB - Purpose: The purpose of the current study was to determine the effect of
neighborhood density and syntactic class on word recognition in children with
specific language impairment (SLI) and typical development (TD). Method: Fifteen
children with SLI (M age = 6;5 [years;months]) and 15 with TD (M age = 6;4)
completed a forward gating task that presented consonant-vowel-consonant dense
and sparse (neighborhood density) nouns and verbs (syntactic class). Results: On
all dependent variables, the SLI group performed like the TD group. Recognition
performance was highest for dense words and nouns. The majority of 1st nontarget
responses shared the 1st phoneme with the target (i.e., was in the target's
cohort). When considering the ranking of word types from easiest to most
difficult, children showed equivalent recognition performance for dense verbs and
sparse nouns, which were both easier to recognize than sparse verbs but more
difficult than dense nouns. Conclusion: The current study yields new insight into
how children access lexical-phonological information and syntactic class during
the process of spoken word recognition. Given the identical pattern of results
for the SLI and TD groups, we hypothesize that accessing lexical-phonological
information may be a strength for children with SLI. We also discuss implications
for using the forward gating paradigm as a measure of word recognition.
PMID- 29800358
TI - Does Implicit Voice Learning Improve Spoken Language Processing? Implications for
Clinical Practice.
AB - Purpose: In typical interactions with other speakers, including a clinical
environment, listeners become familiar with voices through implicit learning.
Previous studies have found evidence for a Familiar Talker Advantage (better
speech perception and spoken language processing for familiar voices) following
explicit voice learning. The current study examined whether a Familiar Talker
Advantage would result from implicit voice learning. Method: Thirty-three adults
and 16 second graders were familiarized with 1 of 2 talkers' voices over 2 days
through live interactions as 1 of 2 experimenters administered standardized tests
and interacted with the listeners. To assess whether this implicit voice learning
would generate a Familiar Talker Advantage, listeners completed a baseline
sentence recognition task and a post-learning sentence recognition task with both
the familiar talker and the unfamiliar talker. Results: No significant effect of
voice familiarity was found for either the children or the adults following
implicit voice learning. Effect size estimates suggest that familiarity with the
voice may benefit some listeners, despite the lack of an overall effect of
familiarity. Discussion: We discuss possible clinical implications of this
finding and directions for future research.
PMID- 29800357
TI - Nonword Repetition and Language Outcomes in Young Children Born Preterm.
AB - Purpose: The aims of this study were to examine phonological short-term memory in
children born preterm (PT) and to explore relations between this
neuropsychological process and later language skills. Method: Children born PT (n
= 74) and full term (FT; n = 60) participated in a nonword repetition (NWR) task
at 36 months old. Standardized measures of language skills were administered at
36 and 54 months old. Group differences in NWR task completion and NWR scores
were analyzed. Hierarchical multiple regression analyses examined the extent to
which NWR ability predicted later performance on language measures. Results: More
children born PT than FT did not complete the NWR task. Among children who
completed the task, the performance of children born PT and FT was not
statistically different. NWR scores at 36 months old accounted for significant
unique variance in language scores at 54 months old in both groups. Birth group
did not moderate the relation between NWR and later language performance.
Conclusions: These findings suggest that phonological short-term memory is an
important skill underlying language development in both children born PT and FT.
These findings have relevance to clinical practice in assessing children born PT.
PMID- 29800360
TI - Weighting of Amplitude and Formant Rise Time Cues by School-Aged Children: A
Mismatch Negativity Study.
AB - Purpose: An important skill in the development of speech perception is to apply
optimal weights to acoustic cues so that phonemic information is recovered from
speech with minimum effort. Here, we investigated the development of acoustic cue
weighting of amplitude rise time (ART) and formant rise time (FRT) cues in
children as measured by mismatch negativity (MMN). Method: Twelve adults and 36
children aged 6-12 years listened to a /ba/-/wa/ contrast in an oddball paradigm
in which the standard stimulus had the ART and FRT cues of /ba/. In different
blocks, the deviant stimulus had either the ART or FRT cues of /wa/. Results: The
results revealed that children younger than 10 years were sensitive to both ART
and FRT cues whereas 10- to 12-year-old children and adults were sensitive only
to FRT cues. Moreover, children younger than 10 years generated a positive
mismatch response, whereas older children and adults generated MMN. Conclusion:
These results suggest that preattentive adultlike weighting of ART and FRT cues
is attained only by 10 years of age and accompanies the change from mismatch
response to the more mature MMN response. Supplemental Material:
https://doi.org/10.23641/asha.6207608.
PMID- 29800359
TI - Kinematic Features of Jaw and Lips Distinguish Symptomatic From Presymptomatic
Stages of Bulbar Decline in Amyotrophic Lateral Sclerosis.
AB - Purpose: The goals of this study were to (a) classify speech movements of
patients with amyotrophic lateral sclerosis (ALS) in presymptomatic and
symptomatic phases of bulbar function decline relying solely on kinematic
features of lips and jaw and (b) identify the most important measures that detect
the transition between early and late bulbar changes. Method: One hundred ninety
two recordings obtained from 64 patients with ALS were considered for the
analysis. Feature selection and classification algorithms were used to analyze
lip and jaw movements recorded with Optotrak Certus (Northern Digital Inc.)
during a sentence task. A feature set, which included 35 measures of movement
range, velocity, acceleration, jerk, and area measures of lips and jaw, was used
to classify sessions according to the speaking rate into presymptomatic (> 160
words per minute) and symptomatic (< 160 words per minute) groups. Results:
Presymptomatic and symptomatic phases of bulbar decline were distinguished with
high accuracy (87%), relying only on lip and jaw movements. The best features
that allowed detecting the differences between early and later bulbar stages
included cumulative path of lower lip and jaw, peak values of velocity,
acceleration, and jerk of lower lip and jaw. Conclusion: The results established
a relationship between facial kinematics and bulbar function decline in ALS.
Considering that facial movements can be recorded by means of novel inexpensive
and easy-to-use, video-based methods, this work supports the development of an
automatic system for facial movement analysis to help clinicians in tracking the
disease progression in ALS.
PMID- 29800363
TI - Beyond longevity: novel roles of Sirtuin-3 in thrombosis.
PMID- 29800361
TI - Speech Understanding in Noise for Adults With Cochlear Implants: Effects of
Hearing Configuration, Source Location Certainty, and Head Movement.
AB - Purpose: The primary purpose of this study was to assess speech understanding in
quiet and in diffuse noise for adult cochlear implant (CI) recipients utilizing
bimodal hearing or bilateral CIs. Our primary hypothesis was that bilateral CI
recipients would demonstrate less effect of source azimuth in the bilateral CI
condition due to symmetric interaural head shadow. Method: Sentence recognition
was assessed for adult bilateral (n = 25) CI users and bimodal listeners (n = 12)
in three conditions: (1) source location certainty regarding fixed target
azimuth, (2) source location uncertainty regarding roving target azimuth, and (3)
Condition 2 repeated, allowing listeners to turn their heads, as needed. Results:
(a) Bilateral CI users exhibited relatively similar performance regardless of
source azimuth in the bilateral CI condition; (b) bimodal listeners exhibited
higher performance for speech directed to the better hearing ear even in the
bimodal condition; (c) the unilateral, better ear condition yielded higher
performance for speech presented to the better ear versus speech to the front or
to the poorer ear; (d) source location certainty did not affect speech
understanding performance; and (e) head turns did not improve performance. The
results confirmed our hypothesis that bilateral CI users exhibited less effect of
source azimuth than bimodal listeners. That is, they exhibited similar
performance for speech recognition irrespective of source azimuth, whereas
bimodal listeners exhibited significantly poorer performance with speech
originating from the poorer hearing ear (typically the nonimplanted ear).
Conclusions: Bilateral CI users overcame ear and source location effects observed
for the bimodal listeners. Bilateral CI users have access to head shadow on both
sides, whereas bimodal listeners generally have interaural asymmetry in both
speech understanding and audible bandwidth limiting the head shadow benefit
obtained from the poorer ear (generally the nonimplanted ear). In summary, we
found that, in conditions with source location uncertainty and increased
ecological validity, bilateral CI performance was superior to bimodal listening.
PMID- 29800364
TI - Cytosolic galectin-3 and -8 regulate antibacterial autophagy through differential
recognition of host glycans on damaged phagosomes.
AB - While glycans are generally displayed on the cell surface or confined within the
lumen of organelles, they can become exposed to the cytosolic milieu upon
disruption of organelle membrane by various stresses or pathogens. Galectins are
a family of beta-galactoside-binding animal lectins synthesized and predominantly
localized in the cytosol. Recent research indicates that some galectins may act
as "danger signal sensors" by detecting unusual exposure of glycans to the
cytosol. Galectin-8 was shown to promote antibacterial autophagy by recognizing
host glycans on ruptured vacuolar membranes and interacting with the autophagy
adaptor protein NDP52. Galectin-3 also accumulates at damaged phagosomes
containing bacteria; however, its functional consequence remains obscure. By
studying mouse macrophages infected with Listeria monocytogenes (LM), we showed
that endogenous galectin-3 protects intracellular LM by suppressing the
autophagic response through a host N-glycan-dependent mechanism. Knock out of the
galectin-3 gene resulted in enhanced LC3 recruitment to LM and decreased
bacterial replication, a phenotype recapitulated when Galectin-8-deficient
macrophages were depleted of N-glycans. Moreover, we explored the concept that
alterations in cell surface glycosylation by extracellular factors can be
deciphered by cytosolic galectins during the process of phagocytosis/endocytosis,
followed by rupture of phagosomal/endosomal membrane. Notably, treatment of cells
with sialidase, which removes sialic acid from glycans, resulted in increased
galectin-3 accumulation and decreased galectin-8 recruitment at damaged
phagosomes, and led to a stronger anti-autophagic response. Our findings
demonstrate that cytosolic galectins may sense changes in glycosylation at the
cell surface and modulate cellular response through differential recognition of
glycans on ruptured phagosomal membranes.
PMID- 29800365
TI - Crystal structures of an archaeal chitinase ChiD and its ligand complexes.
AB - Chitinase D (designated as Pc-ChiD) was found in a hyperthermophilic archaeon,
Pyrococcus chitonophagus (previously described as Thermococcus chitonophagus),
that was isolated from media containing only chitin as carbon source. Pc-ChiD
displays chitinase activity and is thermostable at temperatures up to 95 degrees
C, suggesting its potential for industrial use. Pc-ChiD has a secretion signal
peptide and two chitin-binding domains (ChBDs) in the N-terminal domain. However,
the C-terminal domain shares no sequence similarity with previously identified
saccharide-degrading enzymes and does not contain the DXDXE motif conserved in
the glycoside hydrolase (GH) 18 family chitinases. To elucidate its overall
structure and reaction mechanism, we determined the first crystal structures of
Pc-ChiD, both in the ligand-free form and in complexes with substrates. Structure
analyses revealed that the C-terminal domain of Pc-ChiD, Pc-ChiD(DeltaBD),
consists of a third putative substrate-binding domain, which cannot be predicted
from the amino acid sequence, and a catalytic domain structurally similar to that
found in not the GH18 family but the GH23 family. Based on the similarity with
GH23 family chitinase, the catalytic residues of Pc-ChiD were predicted and
confirmed by mutagenesis analyses. Moreover, the specific C-terminal 100 residues
of Pc-ChiD are important to fix the putative substrate-binding domain next to the
catalytic domain, contributing to the structure stability as well as the long
chitin chain binding. Our findings reveal the structure of a unique archaeal
chitinase that is distinct from previously known members of the GH23 family.
PMID- 29800367
TI - New Section for Glyco-Informatics.
PMID- 29800368
TI - Use of a Scalable Replicon-Particle Vaccine to Protect Against Lethal Lassa Virus
Infection in the Guinea Pig Model.
AB - Lassa fever is a viral zoonosis that can be transmitted from person to person,
especially in the hospital setting. The disease is endemic to several countries
in West Africa and can be a major contributor to morbidity and mortality in
affected areas. There are no approved vaccines to prevent Lassa virus infection.
In this work, we present a vaccine candidate that combines the scalability and
efficacy benefits of a live vaccine with the safety benefits of single-cycle
replication. The system consists of Lassa virus replicon particles devoid of the
virus essential glycoprotein gene, and a cell line that expresses the
glycoprotein products, enabling efficient vaccine propagation. Guinea pigs
vaccinated with these particles showed no clinical reaction to the inoculum and
were protected against fever, weight loss, and lethality after infection with
Lassa virus.
PMID- 29800369
TI - Hepatitis D Viremia Among Injection Drug Users in San Francisco.
AB - People who inject drugs (PWID) are commonly exposed to hepatitis B virus (HBV)
and hepatitis D virus (HDV). We evaluated the prevalence of HDV viremia among
hepatitis B surface antigen (HBsAg)-positive PWID (n = 73) using a new
quantitative microarray antibody capture (Q-MAC) assay, HDV western blot, and HDV
RNA. HDV Q-MAC performed well in this cohort: anti-HDV, 100% sensitivity and
specificity; HDV viremia, 61.5% sensitivity and 100% specificity. Hepatitis D
viremia was present in 35.6% of HBsAg-positive participants and was more common
in those with resolved compared to chronic hepatitis C (5.1% vs 0.6%; adjusted
odds ratio, 9.80; P < .0001).
PMID- 29800371
TI - Erratum.
PMID- 29800370
TI - Analyzing the Human Serum Antibody Responses to a Live Attenuated Tetravalent
Dengue Vaccine Candidate.
AB - Background: Dengue virus serotypes 1-4 (DENV-1-4) are the most common vector
borne viral pathogens of humans and the etiological agents of dengue fever and
dengue hemorrhagic syndrome. A live-attenuated tetravalent dengue vaccine (TDV)
developed by Takeda Vaccines has recently progressed to phase 3 safety and
efficacy evaluation. Methods: We analyzed the qualitative features of the
neutralizing antibody (nAb) response induced in naive and DENV-immune individuals
after TDV administration. Using DENV-specific human monoclonal antibodies (mAbs)
and recombinant DENV displaying different serotype-specific Ab epitopes, we
mapped the specificity of TDV-induced nAbs against DENV-1-3. Results: Nearly all
subjects had high levels of DENV-2-specific nAbs directed to epitopes centered on
domain III of the envelope protein. In some individuals, the vaccine induced nAbs
that tracked with a DENV-1-specific neutralizing epitope centered on domain I of
the envelope protein. The vaccine induced binding Abs directed to a DENV-3 type
specific neutralizing epitope, but findings of mapping of DENV-3 type-specific
nAbs were inconclusive. Conclusion: Here we provide qualitative measures of the
magnitude and epitope specificity of the nAb responses to TDV. This information
will be useful for understanding the performance of TDV in clinical trials and
for identifying correlates of protective immunity.
PMID- 29800372
TI - ACTIVExtend: 24 Months of Alendronate After 18 Months of Abaloparatide or Placebo
for Postmenopausal Osteoporosis.
AB - Purpose: In women with postmenopausal osteoporosis, we investigated the effects
of 24 months of treatment with alendronate (ALN) following 18 months of treatment
with abaloparatide (ABL) or placebo (PBO). Methods: Women who completed ABL or
PBO treatment in ACTIVE were eligible to receive up to 24 months of ALN. We
evaluated the incidence of vertebral and nonvertebral fractures and changes in
bone mineral density (BMD) during the entire 43-month period from ACTIVE baseline
to the end of ACTIVExtend and for the 24-month extension only. Results: Five
hundred fifty-eight women from ACTIVE's ABL group and 581 from its PBO group (92%
of ABL and PBO completers) were enrolled. During the full 43-month treatment
period, 0.9% of evaluable women in the ABL/ALN group experienced a new
radiographic vertebral fracture vs 5.6% of women in the PBO/ALN group, an 84%
relative risk reduction (RRR, P < 0.001). Kaplan-Meier incidence rates for other
reported fracture types were significantly lower for ABL/ALN vs PBO/ALN (all P <
0.05). Gains in BMD achieved during ACTIVE were further increased during
ACTIVExtend. For ACTIVExtend only, RRR for vertebral fractures was 87% with
ABL/ALN vs PBO/ALN (P = 0.001). Adverse events were similar between groups. A
supplemental analysis for regulatory authorities found no hip fractures in the
ABL/ALN group vs five in the PBO/ALN group. Conclusions: Eighteen months of ABL
followed by 24 months of ALN reduced the risk of vertebral, nonvertebral,
clinical, and major osteoporotic fractures and increased BMD. Sequential ABL
followed by ALN appears to be an effective treatment option for postmenopausal
women at risk for osteoporosis-related fractures.
PMID- 29800373
TI - Symptom amelioration in Crohn's perianal fistulas using video assisted anal
fistula treatment (VAAFT).
AB - Methods: Consecutive patients with complex Crohn's fistula undergoing a VAAFT for
symptomatic Crohn's anal fistula were included. They were identified from a
prospectively maintained database, which was interrogated from June 2015-November
2017. Patients underwent diagnostic fistuloscopy and fulguration of
tracts/secondary extensions. Setons were sited/replaced after the procedure to
maintain postoperative drainage. Primary endpoint was completion of the "Measure
your medical outcome profile" (MYMOP2) quality of life (QoL) questionnaire at 6
weeks postoperatively. Secondary outcome measures were a decisional regret scale
(DRS), post-operative complications and the thirty-day re-operation rate.
Results: 25 patients underwent the procedure during the study period. 21/25
patients (84%) completed MYMOP2 QoL data demonstrating a statistically
significant improvement in both pain and discharge scores. 81% of patients who
completed the agreed/strongly agreed that the procedure was right decision and no
patient regretted undergoing the procedure. There was 1 reoperation but otherwise
no complications. Conclusions: This study demonstrates feasibility, safety and
importantly an improvement in patient reported outcomes in a series of patients
undergoing VAAFT for complex Crohn's anal fistula. VAAFT reduces the main
symptoms (pain and discharge) in patients with complex refractory anal fistulas.
PMID- 29800375
TI - A Non-Comparative Prospective Pilot Study of Ketamine for Sedation in Adult
Septic Shock.
AB - Introduction: Sedation and analgesia in the intensive care unit (ICU) for
patients with sepsis can be challenging. Opioids and benzodiazepines can lower
blood pressure and decrease respiratory drive. Ketamine is an N-methyl-D
aspartate (NMDA) receptor antagonist that provides both amnesia and analgesia
without depressing respiratory drive or blood pressure. The purpose of this pilot
study was to assess the effect of ketamine on the vasopressor requirement in
adult patients with septic shock requiring mechanical ventilation. Materials and
Methods: We conducted a two-phase study in a multi-disciplinary adult ICU at a
tertiary medical center. The first phase was a retrospective chart review of
patients admitted with septic shock between July 2010 and July 2011; 29 patients
were identified for a historical control group. The second phase was a
prospective, non-randomized, open-label pilot study. Patients were eligible for
inclusion if they were 18-89 yr of age with a diagnosis of septic shock, who also
required mechanical ventilation for at least 24 h, concomitant sedation, and
vasopressor therapy. Pregnant patients, patients in the peri-operative timeframe,
and patients with acute coronary syndrome were excluded. Patients enrolled in the
phase two pilot study received ketamine as the primary sedative. Ketamine was
administered as a 1-2 mg/kg IV bolus, then as a continuous infusion starting at 5
mcg/kg/min, titrated 2 mcg/kg/min every 30 min as needed to obtain a Richmond
Agitation Sedation Scale (RASS) goal of -1 to -2. If continuous sedation was
still required after 48 h, patients were transitioned off ketamine and sedative
strategy reverted to usual ICU sedation protocol. The primary outcome was the
dose of vasopressor required at 24, 48, 72 and 96 h after enrollment. Secondary
outcomes included cumulative ketamine dose, additional sedative and analgesics
used, cumulative sedative and analgesic dosing at all time periods,
corticosteroid use, days of mechanical ventilation, ICU LOS, hospital LOS, and
mortality. Contiguous data were analyzed with unpaired t-tests and categorical
data were analyzed with two-tailed, Fisher's exact test. This study was approved
by our Institutional Review Board. Results: From January 2012 to April 2015, a
total of 17 patients were enrolled. Patient characteristics were similar in the
control and study group. Ketamine was discontinued in one patient due to
agitation at 36 h. There was a trend towards decreased norepinephrine and
vasopressin use in the study group at all time periods. Regarding secondary
outcomes, the study group received less additional analgesia with fentanyl at 24
and 48 h (p < 0.001), and less additional sedation with lorazepam, midazolam or
dexmedetomidine at 24 h (p = 0.015). Conclusion: This pilot study demonstrated a
trend towards decreased vasopressor dose, and decreased benzodiazepine and opiate
use when ketamine is used as the sole sedative. The limitations to our study
include a small sample size and those inherent in using a retrospective control
group. Our findings should be further explored in a large, randomized prospective
study.
PMID- 29800374
TI - DNA base sequence effects on bulky lesion-induced conformational heterogeneity
during DNA replication.
AB - 4-Aminobiphenyl (ABP) and its structure analog 2-aminofluorene (AF) are well
known carcinogens. In the present work, an unusual sequence effect in the 5'
CTTCTG1G2TCCTCATTC-3' DNA duplex is reported for ABP- and AF-modified G.
Specifically, the ABP modification at G1 resulted in a mixture of 67% major
groove B-type (B) and 33% stacked (S) conformers, while at the ABP modification
at G2 exclusively resulted in the B-conformer. The AF modification at G1 and G2
lead to 25%:75% and 83%:17% B:S population ratios, respectively. These
differences in preferred conformation are due to an interplay between stabilizing
(hydrogen bonding and stacking that is enhanced by lesion planarity) and
destabilizing (solvent exposure) forces at the lesion site. Furthermore, while
the B-conformer is a thermodynamic stabilizer and the S-conformer is a
destabilizer in duplex settings, the situation is reversed at the single
strands/double strands (ss/ds) junction. Specifically, the twisted biphenyl is a
better stacker at the ss/ds junction than the coplanar AF. Therefore, the ABP
modification leads to a stronger strand binding affinity of the ss/ds junction
than the AF modification. Overall, the current work provides conformational
insights into the role of sequence and lesion effects in modulating DNA
replication.
PMID- 29800376
TI - Macrophages: new players in cardiac ageing?
PMID- 29800377
TI - Catheter ablation of atrial fibrillation and outcomes in heart failure patients:
seeking the treasure in the CASTLE.
PMID- 29800378
TI - Recognizing young investigators at Frontiers in Cardiovascular Biology 2018.
PMID- 29800379
TI - Dr Anke Smits talks to Professor Johann Wojta on the benefits for young
investigators at FCVB 2018.
PMID- 29800380
TI - Linking cellular proteostasis to yeast longevity.
AB - Proteostasis is a cellular housekeeping process that refers to the healthy
maintenance of the cellular proteome that governs the fate of proteins from
synthesis to degradation. Perturbations of proteostasis might result in protein
dysfunction with consequent deleterious effects that can culminate in cell death.
To deal with the loss of proteostasis, cells are supplied with a highly
sophisticated and interconnected network that integrates as major players the
molecular chaperones and the protein degradation pathways. It is well recognized
that the ability of cells to maintain proteostasis declines during ageing,
although the precise mechanisms are still elusive. Indeed, genetic or
pharmacological enhancement of the proteostasis network has been shown to extend
lifespan in a variety of ageing models. Therefore, an improved understanding of
the interventions/mechanisms that contribute to cellular protein quality control
will have a huge impact on the ageing field. This mini-review centers on the
current knowledge about the major pathways that contribute for the maintenance of
Saccharomyces cerevisiae proteostasis, with particular emphasis on the
developments that highlight the multidimensional nature of the proteostasis
network in the maintenance of proteostasis, as well as the age-dependent changes
on this network.
PMID- 29800381
TI - Role of soybean-derived bioactive compounds in inflammatory bowel disease.
AB - Inflammatory bowel disease (IBD) is a chronic, inflammatory condition of the
gastrointestinal tract. Patients with IBD present with debilitating symptoms that
alter the quality of life and can develop into severe complications requiring
surgery. Epidemiological evidence indicates Westernized societies have an
elevated IBD burden when compared with Asian societies. Considering the stark
contrast between the typical Western and Eastern dietary patterns, it is
postulated that differences in food and lifestyle contribute to lower IBD
incidence in Asian countries. Soybeans (Glycine max), which are consumed in high
quantities and as various preparations in Eastern societies, contain a wealth of
natural, biologically active compounds that include isoflavones, bioactive
peptides, protease inhibitors, and phytosterols, among many others. These
compounds have been shown to improve human health, and preclinical evidence
suggests they have potential to improve the prognosis of IBD. This review
summarizes the current state of evidence regarding the effects and the mechanisms
of action of these soybean-derived bioactive compounds in experimental models of
IBD.
PMID- 29800382
TI - Rapid accumulation of glutathione during light stress in Arabidopsis.
AB - Environmental stress conditions can drastically affect plant growth and
productivity. In contrast to soil moisture or salinity that can gradually change
over a period of days or weeks, changes in light intensity or temperature can
occur very rapidly, sometimes over the course of minutes or seconds. We
previously reported that in response to rapid changes in light intensity (0-60
sec), Arabidopsis thaliana plants mount a large-scale transcriptomic response
that includes several different transcripts essential for light stress
acclimation. Here, we expand our analysis of the rapid response of Arabidopsis to
light stress using a metabolomics approach and identify 111 metabolites that
significantly alter in their level during the first 90 sec of light stress
exposure. We further show that the levels of free and total glutathione
accumulate rapidly during light stress in Arabidopsis and that the accumulation
of total glutathione during light stress is associated with an increase in nitric
oxide (NO) levels. We further suggest that the increase in precursors for
glutathione biosynthesis could be linked to alterations in photorespiration, and
that phosphoenolpyruvate could represent a major energy and carbon source for
rapid metabolic responses. Taken together, our analysis could be used as an
initial road map for the identification of different pathways that could be used
to augment the rapid response of plants to abiotic stress. In addition, it
highlights the important role of glutathione in these responses.
PMID- 29800383
TI - Multicentre validation of 4-well azole agar plates as a screening method for
detection of clinically relevant azole-resistant Aspergillus fumigatus.
PMID- 29800384
TI - Clinical Profile and Therapeutic Response of Scrub Typhus in Children: A Recent
Trend from Eastern India.
AB - Objective: The aim of this study was to assess the clinico-laboratory parameters,
complications and therapeutic responses in children with scrub typhus in Eastern
India. Materials and methods: In this prospective, observational study, all
children (age, <12 years) with suspected scrub typhus with a compatible clinical
scenario were enrolled consecutively over six months. Cases confirmed by means of
a positive IgM serology or a positive Weil-Felix reaction (OXK = 1/80 or above)
were administered enteral doxycycline (4.5 mg/kg/day). Results: Out of 94
recruited children, 61 had confirmed scrub typhus (mean age = 6.1 years, M:F =
1.1:1) with or without complications and having a considerably higher incidence
of neurological presentation (meningoencephalistis n = 21, 34.4%). The most
frequent manifestations included vomiting (n = 39, 63.9%), abdominal pain (n =
33, 54.1%), lymphadenopathy (n = 36, 59%), hepatosplenomegaly (n = 32, 52.5%),
pedal edema (n = 32, 52.5%) and eschar formation (n = 30, 49.2%). Low hemoglobin
levels, leukocytosis, thrombocytopenia, hypoalbuminemia, hyponatremia, increased
liver enzymes and increased C-reactive protein were associated with delayed
defervescence (>48 h). Conclusion: Scrub meningoencephalitis, with a notably
higher incidence, showed favorable therapeutic response. Prompt and empiric
doxycycline therapy could be lifesaving.
PMID- 29800385
TI - Editorial: New Instructions for Single-Subject Research in the Journal of
Pediatric Psychology.
PMID- 29800388
TI - Error in Results Section.
PMID- 29800386
TI - Microelectrode Recordings Validate the Clinical Visualization of Subthalamic
Nucleus Based on 7T Magnetic Resonance Imaging and Machine Learning for Deep
Brain Stimulation Surgery.
AB - BACKGROUND: Deep brain stimulation (DBS) of the subthalamic nucleus (STN) is a
proven and effective therapy for the management of the motor symptoms of
Parkinson's disease (PD). While accurate positioning of the stimulating electrode
is critical for success of this therapy, precise identification of the STN based
on imaging can be challenging. We developed a method to accurately visualize the
STN on a standard clinical magnetic resonance imaging (MRI). The method
incorporates a database of 7-Tesla (T) MRIs of PD patients together with machine
learning methods (hereafter 7 T-ML). OBJECTIVE: To validate the clinical
application accuracy of the 7 T-ML method by comparing it with identification of
the STN based on intraoperative microelectrode recordings. METHODS: Sixteen PD
patients who underwent microelectrode-recordings guided STN DBS were included in
this study (30 implanted leads and electrode trajectories). The length of the STN
along the electrode trajectory and the position of its contacts to dorsal,
inside, or ventral to the STN were compared using microelectrode-recordings and
the 7 T-ML method computed based on the patient's clinical 3T MRI. RESULTS: All
30 electrode trajectories that intersected the STN based on microelectrode
recordings, also intersected it when visualized with the 7 T-ML method. STN
trajectory average length was 6.2 +/- 0.7 mm based on microelectrode recordings
and 5.8 +/- 0.9 mm for the 7 T-ML method. We observed a 93% agreement regarding
contact location between the microelectrode-recordings and the 7 T-ML method.
CONCLUSION: The 7 T-ML method is highly consistent with microelectrode-recordings
data. This method provides a reliable and accurate patient-specific prediction
for targeting the STN.
PMID- 29800394
TI - Shifts in Selective Pressures on Snake Phototransduction Genes Associated with
Photoreceptor Transmutation and Dim-Light Ancestry.
AB - The visual systems of snakes are heavily modified relative to other squamates, a
condition often thought to reflect their fossorial origins. Further modifications
are seen in caenophidian snakes, where evolutionary transitions between rod and
cone photoreceptors, termed photoreceptor transmutations, have occurred in many
lineages. Little previous work, however, has focused on the molecular
evolutionary underpinnings of these morphological changes. To address this, we
sequenced seven snake eye transcriptomes and utilized new whole-genome and
targeted capture sequencing data. We used these data to analyze gene loss and
shifts in selection pressures in phototransduction genes that may be associated
with snake evolutionary origins and photoreceptor transmutation. We identified
the surprising loss of rhodopsin kinase (GRK1), despite a low degree of gene loss
overall and a lack of relaxed selection early during snake evolution. These
results provide some of the first evolutionary genomic corroboration for a dim
light ancestor that lacks strong fossorial adaptations. Our results also indicate
that snakes with photoreceptor transmutation experienced significantly different
selection pressures from other reptiles. Significant positive selection was found
primarily in cone-specific genes, but not rod-specific genes, contrary to our
expectations. These results reveal potential molecular adaptations associated
with photoreceptor transmutation and also highlight unappreciated functional
differences between rod- and cone-specific phototransduction proteins. This
intriguing example of snake visual system evolution illustrates how the
underlying molecular components of a complex system can be reshaped in response
to changing selection pressures.
PMID- 29800395
TI - Engaging high-risk patients in intensive care coordination programs: the
engagement through CARInG framework.
AB - Intensive outpatient care programs (IOCPs) have shown promise for high-risk
patients who account for disproportionate acute care utilization and costs. These
programs typically address medical, behavioral, and social needs through
intensive case management, health care navigation, coordination, and access to a
range of social and community services. However, the value of these programs is
often limited by patient engagement challenges (i.e., difficulty engaging
patients in self-care, decision-making, and follow-up with recommended services).
The purpose of this study was to develop a framework for engaging high-risk
patients with complex medical, behavioral, and social needs in IOCPs. We
conducted a qualitative study with 20 leaders and clinicians (e.g., physicians,
nurses, psychologists, case workers) from 12 IOCPs affiliated with diverse
settings (academic hospitals, county healthcare systems, Veterans Affairs
facilities, community health centers, and private health systems). After
completing a brief survey, participants were asked to describe how their program
conceptualizes patient engagement and to describe characteristics of highly
engaged patients. We used conventional content analysis methods to analyze
qualitative data. Three domains of engagement were identified and are summarized
in the Engagement Through CARInG Framework: Communication and actions to improve
health; Relationships built on trust in IOCP staff; and Insight and goal-setting
ability. Qualitative findings illustrate the spectrum and interrelatedness of
these domains. The Engagement Through CARInG Framework can guide interventions
that aim to enhance self-care and improve care coordination for high-risk
patients with complex medical, behavioral, and social needs.
PMID- 29800396
TI - A mixed-methods analysis of the capacity of the Patient-Centered Medical Home to
implement care coordination services for cancer survivors.
AB - There are currently 15.5 million cancer survivors in USA who are increasingly
relying on primary care providers for their care. Patient-Centered Medical Homes
(PCMHs) have the potential to meet the unique needs of cancer survivors; but, few
studies have examined PCMH attributes as potential resources for delivering
survivorship care. This study assesses the current care coordination
infrastructure in advanced PCMHs, known to be innovative, and explores their
capacity to provide cancer survivorship care. We conducted comparative case
studies of a purposive sample (n = 9) of PCMHs to examine current care
coordination infrastructure and capacity through a mixed- methods analysis. Data
included qualitative interviews, quantitative surveys, and fieldnotes collected
during 10- to 12-day onsite observations at each practice. Case studies included
practices in five states with diverse business models and settings. Eight of the
nine practices had National Committee for Quality Assurance Level 3 PCMH
recognition. No practices had implemented a systematic approach to cancer
survivorship care. We found all practices had a range of electronic population
health management tools, care coordinator roles in place for chronic conditions,
and strategies or protocols for tracking and managing complex disease groups. We
identified potential capacity, as well as barriers, to provide cancer
survivorship care using existing care coordination infrastructure developed for
other chronic conditions. This existing infrastructure suggests the potential to
translate care coordination elements within primary care settings to accelerate
the implementation of systematic survivorship care.
PMID- 29800397
TI - Development of a web-based toolkit to support improvement of care coordination in
primary care.
AB - Promising practices for the coordination of chronic care exist, but how to select
and share these practices to support quality improvement within a healthcare
system is uncertain. This study describes an approach for selecting high-quality
tools for an online care coordination toolkit to be used in Veterans Health
Administration (VA) primary care practices. We evaluated tools in three steps:
(1) an initial screening to identify tools relevant to care coordination in VA
primary care, (2) a two-clinician expert review process assessing tool
characteristics (e.g. frequency of problem addressed, linkage to patients'
experience of care, effect on practice workflow, and sustainability with existing
resources) and assigning each tool a summary rating, and (3) semi-structured
interviews with VA patients and frontline clinicians and staff. Of 300
potentially relevant tools identified by searching online resources, 65, 38, and
18 remained after steps one, two and three, respectively. The 18 tools cover five
topics: managing referrals to specialty care, medication management, patient
after-visit summary, patient activation materials, agenda setting, patient pre
visit packet, and provider contact information for patients. The final toolkit
provides access to the 18 tools, as well as detailed information about tools'
expected benefits, and resources required for tool implementation. Future care
coordination efforts can benefit from systematically reviewing available tools to
identify those that are high quality and relevant.
PMID- 29800399
TI - Describing care coordination of gynecologic oncology in western healthcare
settings: a rapid review.
AB - Caring for women with gynecologic malignancies requires multidisciplinary
communication and coordination across multiple providers. This article discusses
a rapid review of the literature on characteristics of care coordination for
gynecologic malignancies. Five electronic databases (from inception through March
2015) were searched for empirical studies on coordinated care models for female
adults with gynecologic malignancies. A single reviewer extracted and synthesized
information on how care was coordinated, how care teams made decisions, who
performed what tasks, how care teams communicated information to coordinate care,
and potential impact of the characteristic on delivering coordinated care. From
26 included studies, predominant characteristics of coordinated care were
identified: multidisciplinary teams, patient navigators, scheduled follow-ups,
survivorship care plans, and colocated services. Decision-making was best
documented for studies that utilized teams that had periodic scheduled meetings
with set agendas and consistent procedures. Providers' roles in coordinating care
were numerous, reflecting professional backgrounds: oncologists had most
authority in making treatment decisions; radiologists and pathologists shared
vital biomedical information; and nurses coordinated care and communicated with
patients. Communication tools and strategies across studies included having
shared medical records, integrated treatment plans, and telephone-based or
teleconferencing communication. There was limited information available on the
impact of characteristics and accompanying strategies or tools. Several
characteristics of care coordination models for gynecologic cancers have been
published in the literature. Further investigation is needed to understand the
relative effectiveness of these ways to coordinate care.
PMID- 29800400
TI - Using social media to assess care coordination goals and plans for leukemia
patients and survivors.
AB - Care coordination has been shown to have a positive effect on the management of
chronic disease. Specific to the management of leukemia, coordination may occur
between primary care physician, medical and radiation oncologists, surgeons,
cardiologists, and genetics specialists. Experiencing gaps in communication and
care coordination, many health consumers seek instrumental support in their
social circles, including online forums and networks. The goal of this theory
guided study was to provide an in-depth assessment of how individuals use online
forums to deliberate about their goals and plans for leukemia care coordination.
Guided by the planning theory of communication, the data were collected from the
American Cancer Society Cancer Survivors Network and included 125 original posts
and 1,248 responses. Thematic analysis and axial coding were applied to analyze
the data. Goal-related themes included overcoming the diffusion of care
coordination and achieving health management cohesion. Planning themes included
social health management, communication self-efficacy, and role deliberation.
Online patient forums provide an interactive platform for patients and caregivers
to engage in active conversations, which in turn can serve as identifiers of care
coordination needs. Communication with those who share similar experiences allows
cancer patients and survivors to accumulate functional health literacy, gain
communication self-efficacy, and articulate a care coordination role acceptable
to them.
PMID- 29800401
TI - Privately insured adults in HDHP with higher deductibles reduce rates of primary
care and preventive services.
AB - Rates of insurance coverage in high deductible health plans (HDHP) and deductible
size have been increasing. Over-time, financial barriers can lead to a
substantial reduction in opportunities for health promotion and care
coordination. We investigated the impact of different types of HDHPs on primary
and specialty services utilization and receipt of preventive services among adult
(18-64 years) privately insured respondents using pooled 2011-2014 Medical
Expenditure Panel Survey (MEPS). The sample (n = 25,965) was divided into four
insurance types (1) no deductible (ND) (2) low deductible (LD), (3) high
deductible with health savings account (HD-HSA), and (4) high deductible without
health savings account (HD-NoHSA). Multivariable regression models were
estimated, adjusting for demographic characteristics and health status. Number of
visits to primary care physicians and specialists were lowest for persons in the
HD-NoHSA group (IRR 0.88 95% CI [0.81-0.96]). HD-NoHSA beneficiaries had lower
rates of receiving hypertension screening (IRR 0.97 95% CI [0.94-0.99]) and flu
vaccination (IRR 0.92 95% CI [0.86-1.00]) when compared to ND enrollees. Female
respondents in the HD-NoHSA group were 7% less likely to receive mammograms (IRR
0.93 95% CI [0.89-0.98]) compared to the ND group. There was no significant
association between insurance type and the other preventive service measures.
Higher deductibles significantly decrease opportunities for early detection and
management of chronic diseases, immunizations and care coordination. Fiscal
barriers to essential medical care should be eliminated at least for those most
vulnerable.
PMID- 29800398
TI - Chronic care coordination by integrating care through a team-based, population
driven approach: a case study.
AB - Patients with chronic conditions frequently experience behavioral comorbidities
to which primary care cannot easily respond. This study observed a Vermont family
medicine practice with integrated medical and behavioral health services that use
a structured approach to implement a chronic care management system with Lean.
The practice chose to pilot a population-based approach to improve outcomes for
patients with poorly controlled Type 2 diabetes using a stepped-care model with
an interprofessional team including a community health nurse. This case study
observed the team's use of Lean, with which it designed and piloted a clinical
algorithm composed of patient self-assessment, endorsement of behavioral goals,
shared documentation of goals and plans, and follow-up. The team redesigned
workflows and measured reach (patients who engaged to the end of the pilot),
outcomes (HbA1c results), and process (days between HbA1c tests). The researchers
evaluated practice member self-reports about the use of Lean and facilitators and
barriers to move from pilot to larger scale applications. Of 20 eligible patients
recruited over 3 months, 10 agreed to participate and 9 engaged fully (45%); 106
patients were controls. Relative to controls, outcomes and process measures
improved but lacked significance. Practice members identified barriers that
prevented implementation of all changes needed but were in agreement that the
pilot produced useful outcomes. A systematized, population-based, chronic care
management service is feasible in a busy primary care practice. To test at scale,
practice leadership will need to allocate staffing, invest in shared
documentation, and standardize workflows to streamline office practice
responsibilities.
PMID- 29800402
TI - Patient and caregiver perspectives on care coordination during transitions of
surgical care.
AB - Care coordination for patients with chronic disease commonly involves multiple
transitions between primary care and surgical providers. These transitions often
cross healthcare settings, providers, and information systems. We performed a
cross-sectional qualitative study to gain a better understanding of the factors
that influence how patients and caregivers perceive care coordination during
transitions of surgical care. Eight focus groups were conducted among individuals
from three different U.S. states who had experienced an episode of surgical care
within the past year. We included patients who had undergone major surgery for a
chronic condition, as well as caregivers. We used Atlas.ti qualitative software
and engaged in an iterative process of thematic analysis of focus group
transcripts. After five-rounds of review, five main themes emerged that define
chronic care coordination for surgical patients and caregivers: (a) Care
coordination is embedded in the unwritten social con tract patients share with
their surgical providers; (b) Patients expect all surgical and nonsurgical
healthcare providers to be "on the same page"; (c) Patients are frightened and
vulnerable during surgical care transitions; (d) Patients need to have accurate
expectations of the processes associated with care coordination; and (e) Care
coordination relies upon establishing patient trust with their surgical team and
needs to be continually reaffirmed. Surgical patients and caregivers expect care
coordination processes to involve informatics infrastructure, patient education,
and information exchange between providers. Unfortunately, these aspects of care
coordination are often lacking during transitions. These findings have
implications for designing patient-centered interventions to improve coordination
of chronic care.
PMID- 29800403
TI - Association of patient navigation with care coordination in an Lynch syndrome
screening program.
AB - Lynch syndrome (LS) identification leads to improved health outcomes. Universal
tumor screening (UTS) facilitates LS identification among colorectal cancer (CRC)
and uterine cancer (UC) cases; institutional management affects screening program
implementation and outcomes. There has been limited study of institutional UTS
program care coordination needs, including patient navigation of genetic
counseling referrals. We examined the influence of patient navigators on access
to cancer genetic services among LS UTS screen-positive cases within a single
institution. Electronic health record review of screen-positive CRC and UC cases
for a 12-month period assessed the relationship between patient navigation and
follow-through to genetic services. Among 451 newly diagnosed CRC (n = 175) and
UC (n = 276) cases, 96 (21%; 28 CRC/68 UC cases) had abnormal UTS results. Among
these, 66 (69%) showed MLH1 promoter hypermethylation (i.e., screen-negative). Of
30 screen-positive cases, 16 (53%) received navigation services. Among these,
14/16 (88%) and 13/14 (81%) underwent genetic counseling and testing,
respectively; 7/13 (54%) had pathogenic or likely pathogenic variants detected.
Among non-navigated screen-positive patients, 2/14 (14%) were excluded due to
incomplete UTS results. Five of the remaining 12 cases (42%) sought genetic
counseling, 4/12 (33%) underwent genetic testing; 1/4 (25%) tested positive for a
pathogenic variant. The difference in navigated (88%) versus non-navigated cases
(42%) undergoing genetic counseling was statistically significant (p = .02).
Patient navigation was associated with follow-through to genetic counseling and
testing services among LS screen-positive cases. This model deserves additional
prospective investigation to confirm these findings and to assess their
generalizability.
PMID- 29800404
TI - Cancer care coordination: opportunities for healthcare delivery research.
AB - In this commentary, we discuss opportunities to explore issues related to care
coordination at three points on the cancer care continuum: (1) screening,
particularly coordinating follow-up for abnormal findings, (2) active treatment,
particularly challenges for patients with multiple chronic conditions, and (3)
survivorship, particularly issues related to facilitating shared care between
oncology and primary care. For each point on the continuum, we briefly summarize
some of the important coordination issues and discuss potential avenues for
future research in the context of existing evidence.
PMID- 29800406
TI - Care coordination for pregnant veterans: VA's Maternity Care Coordinator
Telephone Care Program.
AB - Coordinating care between Veterans Health Administration (VA) and community
providers is essential for providing high-quality comprehensive maternity care to
women veterans, particularly those with chronic medical or mental health issues.
We iteratively developed and assessed feasibility, as well as facilitators and
barriers, of implementing the VA Maternity Care Coordinator Telephone Care
Program, and identified specific health needs of pregnant women Veterans served
by the program. We used three Plan-Do-Study-Act cycles. The final program
consisted of materials supporting seven structured phone calls spanning
initiation of pregnancy care through six weeks postpartum. We used logs to
measure veteran uptake and surveys and field notes to capture care-coordinator
perceptions about potential program value and facilitators and barriers to
implementing it. We conducted a medical record review assessing pregnant
veterans' need for coordination of services for physical and mental health
problems and health behaviors. Veterans' uptake was 60%. Implementation
facilitators included conducting training sessions for program coordinators and
tailoring materials to address differences across VA facilities. Implementation
barriers included limited information and communication technology tools to
support the program and lack of coordinator time for delivering the telephone
care. Among 244 pregnant veterans, 41% had pre-pregnancy chronic physical
problem(s); 34% mental health problem(s); 18% actively or recently smoked.
Implementation of a telephone-based care coordination program for pregnant
veterans was feasible. Effective program spread required tailoring for local
variations in resources and processes, investing in information and communication
technology tools and allocating coordinator time to deliver care. Pregnant women
veterans have a substantial burden of physical health, mental health, and risky
health behaviors needing care coordination.
PMID- 29800407
TI - Impact of a complex chronic care patient case conference on quality and
utilization.
AB - There is need for effective venues to allow teams to coordinate care for high
risk or high-need patients. In addition, health systems need to assess the impact
of such approaches on outcomes related to chronic health conditions and patient
utilization. We evaluate the clinical impact of a novel case conference involving
colocated trainees and supervisors in an interprofessional academic primary care
clinic. The study utilized a prospective cohort with control group. Intervention
patients (N = 104) were matched with controls (N = 104) from the same provider's
panel using propensity scores based on age, gender, risk predictors, and prior
utilization patterns. Clinical outcomes and subsequent utilization patterns were
compared prior to and up to 6 months following the conference. In terms of
utilization, intervention patients demonstrated increased visits with primary
care team members (p = .0002) compared with controls, without a corresponding
increase in the number of primary care providers' visits. There was a trend
towards decreased urgent care and emergency visits (p = .07) and a significant
decrease in the rate of hospitalizations (p = .04). Patients with poorly
controlled hypertension saw significant decreases in mean systolic blood pressure
from 167 to 146 mm Hg. However, there were no differences between the
intervention and control groups. Intervention patients with diabetes demonstrated
a nonsignificant trend towards decreased hemoglobin A1c from 9.8 to 9.4, when
compared with controls. Interprofessional case conferences have potential to
improve care coordination and may be associated with improved disease management,
decreased unplanned care, and overall reduced hospitalizations.
PMID- 29800405
TI - The early dissemination of patient navigation interventions: results of a
respondent-driven sample survey.
AB - Patient navigators (PNs) coordinate medical services and connect patients with
resources to improve outcomes, satisfaction, and reduce costs. Little national
information is available to inform workforce development. We analyzed 819
responses from an online PN survey conducted in 2009-2010. Study variables were
mapped to the five Consolidated Framework for Implementation Research (CFIR)
constructs to explore program variations by type of PN. Five logistic regression
models compared each PN type to all others while adjusting for covariates. Thirty
five percent of respondents were nurse navigators, 28% lay navigators, 20% social
work (SW)/counselor navigators, 7% allied health navigators, and 10% were "other"
types of PNs. Most were non-Hispanic White (71%), female (94%), and at least
college educated (70%). The primary differences were observed among: the core
intervention tasks; position structure; work setting; health conditions
navigated; navigator race/ethnicity; personal cancer experiences; navigation
training; and patient populations served. Lay PNs had fewer odds of identifying
as Hispanic, work in rural settings and assist underserved populations compared
to others. Nurse navigators showed greater odds of clinical responsibilities,
work in hospital or government settings and fewer odds of navigating minority
populations compared to others. SW/counselor navigators also had additional
duties, provided greater assistance to Medicare patient populations, and less
odds of navigating underserved populations than others. In summary, our survey
indicates that the type of PN utilized is an indicator of other substantial
differences in program implementation. CFIR provides a robust method to compare
differences and should incorporate care coordination outcomes in future PN
research.
PMID- 29800409
TI - A research agenda for care coordination for chronic conditions: aligning
implementation, technology, and policy strategies.
AB - The U.S. healthcare system is changing, spurred on by increasing use of
information technologies, changes in legislation and policy, and consumer demand
for more convenient, timely, and patient-centered care. However, the current
healthcare system is not prepared to maximize the benefits of these changes to
optimize health outcomes for patients with chronic conditions, leaving many to
fall through the cracks. New models of care coordination that align clinical
activities are needed so that patients receive the right care at the right time.
The goal of this commentary is to outline a research agenda for care
coordination, drawing upon lessons learned from the VA healthcare system in which
care coordination is enhanced through the application of health policy,
population health/technology, and implementation science.
PMID- 29800408
TI - Empowering Latina breast cancer patients to make informed decisions about
clinical trials: a pilot study.
AB - Minority representation in clinical trials is vital for researchers to assess
differential effects in outcomes of therapies on biological and genetic
characteristics among groups. This study assessed the effect of Choices, a
bilingual multi-component intervention, on perceived understanding of clinical
trials, agreement with stages of decision readiness and consideration of clinical
trials as a treatment option, among Latina breast cancer patients. This
randomized controlled pilot study compared Choices with a control condition
providing general clinical trial information to eligible patients. Seventy-seven
Latina breast cancer patients were randomly assigned to either Choices (n = 38)
or the control (n = 39). Choices included three components: an educational
interactive video, a low-literacy booklet, and care coordination by patient
navigation (i.e., educational and psychosocial support, coordinating
appointments, translating, interacting with the medical team). Choices was more
effective than the control in improving perceived understanding of clinical
trials (p = .033) and increasing consideration of clinical trials as a treatment
option (p = .008). Additionally, intervention participants showed significant
changes between baseline and post-intervention on agreement with stages of
decision readiness statements (p < .002) than control participants (p > .05); the
percentage of intervention women in agreement with preparation to action
statements increased from 52.8% at baseline to 86.1% at post-intervention, and
those in agreement with ready to action stages rose from 50.0% to 88.9%. Computer
based videos and care coordination provided by patient navigation-specifically
tailored to Latinos-are effective strategies to successfully address awareness,
and improved decision-making skills to make informed decisions about clinical
trial participation.
PMID- 29800410
TI - NIH research opportunities for the prevention and treatment for chronic
conditions.
AB - Chronic conditions constitute the leading cause of death and disability in the
USA and constitute 86 per cent of the nation's annual healthcare expenses.
Approximately half of all American adults have at least one chronic condition; 25
per cent of these Americans have two or more chronic conditions. The National
Institutes of Health have funded many projects that explain epidemiology, risk
factors, and prevention and treatment of chronic conditions, though research
questions remain. This commentary discusses some past projects, current areas of
interest, and funding opportunities from many NIH Institutes, Centers, and
Offices.
PMID- 29800411
TI - Information technologies that facilitate care coordination: provider and patient
perspectives.
AB - Health information technology is a core infrastructure for the chronic care
model, integrated care, and other organized care delivery models. From the
provider perspective, health information exchange (HIE) helps aggregate and share
information about a patient or population from several sources. HIE technologies
include direct messages, transfer of care, and event notification services. From
the patient perspective, personal health records, secure messaging, text
messages, and other mHealth applications may coordinate patients and providers.
Patient-reported outcomes and social media technologies enable patients to share
health information with many stakeholders, including providers, caregivers, and
other patients. An information architecture that integrates personal health
record and mHealth applications, with HIEs that combine the electronic health
records of multiple healthcare systems will create a rich, dynamic ecosystem for
patient collaboration.
PMID- 29800413
TI - Defining success factors to describe coordinated care in cancer.
AB - Providing coordinated care remains a challenge for cancer services globally.
There is a lack of consensus in the literature about what constitutes successful
coordinated care. This study aimed to define and prioritize a set of consensus
driven success factors that can lead to coordinated care. A mixed-methods
approach was used that included literature review, a broad call for submissions
from relevant stakeholders, and a priority-setting process based on a modified
nominal group technique. Thirty articles that related to success factors in
coordinated care were identified in the literature. Twenty submissions were
received from a broad range of stakeholders. From these sources, a set of 20
success factors was derived. Seventy stakeholders attended a series of workshops
across New South Wales, Australia, to review and prioritize these 20 success
factors against significance and measurability. Clear consensus was reached on
prioritizing two success factors linked to improving coordinated care from first
presentation to diagnosis and ensuring that patients are routinely screened for
physical and supportive care needs. Other highly ranked factors included the need
for a comprehensive care plan and the identification of patients at higher risk
for disjointed care. This study defines and prioritizes a set of success factors
related to coordinated care in cancer. These success factors will be used to
guide the development of interventions that target improving coordinated care as
well as supporting the development of new funding models based on performance
indicators derived from these factors.
PMID- 29800412
TI - Facilitating primary care provider use in a patient-centered medical home
intervention study for chronic hemodialysis patients.
AB - Patients with chronic kidney disease have a high disease burand may benefit from
primary care services and care coord A medical home model with direct access to
primary care services is one approach that may address this need, yet has not
been examined. As a substudy of the Patient-Centered Outcomes Research Institute
(PCORI) patient-centered medical home for kidney disease (PCMH-KD) health system
intervention study, we examined the uptake of free primary care physician (PCP)
services. The PCORI PCMH-KD study was an initial step toward integrating PCPs, a
nurse coordinator, a pharmacist, and community health workers (CHWs) within the
health care delivery team. Adult chronic hemodialysis (CHD) at two urban dialysis
centers were enrolled in the intervention. We examined trends and factors
associated with the use of the PCMH-KD PCP among two groups of patients based on
their report of having a regular physician for at least six months (established
PCP) or not (no-PCP). Of the 173 enrolled patients, 91 (53%) patients had at
least one visit with the PCMH-KD PCP. The rate of visits was higher in those in
the no-PCP group compared with those in the established-PCP group (62% vs. 41%,
respectively). Having more visits with the CHW was positively associated with
having a visit with the PCMH-KD PCPs for both groups. Embedded CHWs within the
care team played a role in facilithe uptake of PCMH-KD PCP. Lessons from this
health system intervention can inform future approaches on the integration of
PCPs and care coordination for CHD patients.
PMID- 29800414
TI - Exploring Medicaid claims data to understand predictors of healthcare utilization
and mortality for Medicaid individuals with or without a diagnosis of lung
cancer: a feasibility study.
AB - Health disparities in low-income populations complicate care for at-risk
individuals or those diagnosed with lung cancer and may influence their patterns
of healthcare utilization. The purpose of this study is to examine whether age,
sex, provider's affiliation, Medicare dual eligibility, and number of
comorbidities can predict healthcare utilization, as well as to examine factors
influencing mortality in lung biopsy patients. A retrospective review of de
identified Medicaid claims of adults having a lung biopsy in 2013 resulted in
classification into lung cancer and non-lung cancer cases based on a lung cancer
diagnostic code within 30 days after biopsy. Biopsy cases were further divided by
whether or not the provider's institution was accredited by the Commission on
Cancer (CoC). Inpatient (IP), outpatient (OP), and emergency department (ED)
utilization was followed from initial date of biopsy through 2015, or to the
earliest date of death, disenrollment, or study end for both groups. The result
of Cox proportional hazards regression model indicated that age and the number of
comorbidities significantly predicted OP use and the number of comorbidities
significantly predicted ED use in patients with lung cancer. However, for non
lung cancer patients, only the number of comorbidities significantly predicted IP
and ED uses. Furthermore, for patients with lung cancer, the significant factors
of mortality included IP use per month and the number of comorbidities. Patients
with lung cancer who received a lung biopsy by a CoC-accredited organization had
a longer time of survival from the biopsy event. Our findings suggest that
understanding predictors of healthcare utilization and mortality may create
opportunities to improve health and quality of life through better healthcare
coordination.
PMID- 29800415
TI - Differences in perspectives regarding diabetes management between health care
providers and patients.
AB - Chronic conditions such as type 2 diabetes are challenging to manage. This is
often due to failure of both the practice of effective diabetes self-care
management by the patient and inadequate intervention strategies and follow-up by
the health care provider (HCP). The aims of the study are (i) to use a social
marketing survey approach to understand the gaps in perceptions between patients
with type 2 diabetes and HCPs on diabetes-related topics such as levels of
awareness, use and satisfaction with community resources, and perceived barriers
to self-management and (ii) to present the results of a public awareness
campaign/diabetes management demonstration project (Cities for Life) on change in
discordant views between HCPs and patients. The study was conducted as a separate
sample pre-post quasiexperimental design study as part of a clinical-community
program, Cities for Life in Birmingham, AL. The surveys were administered before
(Wave 1 or W1 in 2012) and after (Wave 2 or W2 in 2013) implementation of the
Cities for Life program. HCPs (n = 50 and 48) and patients with type 2 diabetes
and prediabetes (n = 201 and 204) responded to surveys at W1 and W2,
respectively. At both timepoints, HCPs and patients identified diabetes as a
major health priority and stated education and information as the most valuable
aspects of community-based programs (CBPs). Although 86% of HCPs reported
recommending CBPs for lifestyle modification and that their patients frequently
participated in CBPs (W1 = 70%; W2 = 82%), fewer patients reported participation
(W1 = 31%; W2 = 22%). Patients frequently were not able to name any CBPs for
diabetes prevention or treatment (W1 = 45%; W2 = 59%) despite a large proportion
perceiving CBPs as valuable (W1 = 41%; W2 = 39%). A substantial percentage of
patients reported receiving "a lot of support" from family/friends/or coworkers
(W1 = 54%; W2 = 64%; p < .05), but HCPs believed that a much lower proportion of
their patients received "a lot of support" (W1 = 0%, W2 = 10%, p < .05). Patients
and HCPs independently reported patients' lack of motivation as one of the main
barriers to better diabetes care. HCPs and patients reported discordant views
regarding two important aspects of diabetes self-management: the use of community
resources and the degree of social suppor t received by patients. HCPs
overestimated the patients' use of community resources, and underestimated the
patients' degree of social support. Trans-disciplinary interventions to address
patients' lack of motivation and to engage social support networks may improve
communication and mutual understanding about the role and benefits of community
resources in diabetes and other chronic disease self-management.
PMID- 29800416
TI - Urocortin 2: will a drug targeting both the vasculature and the right ventricle
be the future of pulmonary hypertension therapy?
PMID- 29800417
TI - Impaired Effective Connectivity During a Cerebellar-Mediated Sensorimotor
Synchronization Task in Schizophrenia.
AB - Prominent conceptual models characterize schizophrenia as a dysconnectivity
syndrome, with recent research focusing on the contributions of the cerebellum in
this framework. The present study examined the role of the cerebellum and its
effective connectivity to the cerebrum during sensorimotor synchronization in
schizophrenia. Specifically, the role of the cerebellum in temporally
coordinating cerebral motor activity was examined through path analysis. Thirty
one individuals diagnosed with schizophrenia and 40 healthy controls completed a
finger-tapping fMRI task including tone-paced synchronization and self-paced
continuation tapping at a 500 ms intertap interval (ITI). Behavioral data
revealed shorter and more variable ITIs during self-paced continuation, greater
clock (vs motor) variance, and greater force of tapping in the schizophrenia
group. In a whole-brain analysis, groups showed robust activation of the
cerebellum during self-paced continuation but not during tone-paced
synchronization. However, effective connectivity analysis revealed decreased
connectivity in individuals with schizophrenia between the cerebellum and primary
motor cortex but increased connectivity between cerebellum and thalamus during
self-paced continuation compared with healthy controls. These findings in
schizophrenia indicate diminished temporal coordination of cerebral motor
activity by cerebellum during the continuation tapping portion of sensorimotor
synchronization. Taken together with the behavioral finding of greater temporal
variability in schizophrenia, these effective connectivity results are consistent
with structural and temporal models of dysconnectivity in the disorder.
PMID- 29800418
TI - Supplementation of fructooligosaccharides to suckling piglets affects intestinal
microbiota colonization and immune development.
AB - Emerging knowledge shows the importance of early life events in programming the
intestinal mucosal immune system and development of the intestinal barrier
function. These processes depend heavily on close interactions between gut
microbiota and host cells in the intestinal mucosa. In turn, development of the
intestinal microbiota is largely dependent on available nutrients required for
the specific microbial community structures to expand. It is currently not known
what the specificities are of intestinal microbial community structures in
relation to the programming of the intestinal mucosal immune system and
development of the intestinal barrier function. The objective of the present
study was to investigate the effects of a nutritional intervention on intestinal
development of suckling piglets by daily oral administration of
fructooligosaccharides (FOS) over a period of 12 d (days 2-14 of age). At the
microbiota community level, a clear "bifidogenic" effect of the FOS
administration was observed in the colon digesta at day 14. The former, however,
did not translate into significant changes of local gene expression in the
colonic mucosa. In the jejunum, significant changes were observed for microbiota
composition at day 14, and microbiota diversity at day 25. In addition,
significant differentially expressed gene sets in mucosal tissues of the jejunum
were identified at both days 14 and 25 of age. At the age of 14 d, a lower
activity of cell cycle-related processes and a higher activity of extracellular
matrix processes were observed in the jejunal mucosa of piglets supplemented with
FOS compared with control piglets. At day 25, the lower activity of immune
related processes in jejunal tissue was seen in piglets supplemented with FOS.
Villi height and crypt depth in the jejunum were significantly different at day
25 between the experimental and control groups, where piglets supplemented with
FOS had greater villi and deeper crypts. We conclude that oral FOS administration
during the early suckling period of piglets had significant bifidogenic effects
on the microbiota in the colon and on gene expression in the jejunal mucosa by
thus far unknown mechanisms.
PMID- 29800420
TI - Automated telephone follow-up for smoking cessation in smokers with coronary
heart disease: a randomized controlled trial.
AB - Introduction: Smokers with coronary heart disease (CHD) benefit from in-hospital
cessation treatment, but relapse is common without ongoing support post
discharge. The purpose of this study was to determine if smoking abstinence would
be higher after hospital discharge in smokers who received automated telephone
follow-up (ATF) and nurse counseling, compared to a standard care (SC) control
group. Methods: A total of 440 smokers hospitalized with CHD were randomly
assigned to the ATF group (n=216) or to the SC group (n=224). Participants in the
ATF group received automated phone calls three, 14, 30, 60, 90, 120, 150 and 180
days after hospital discharge. The ATF system posed questions concerning smoking
status, confidence in staying smoke-free, and need for assistance. If flagged by
the ATF system, a nurse-counselor provided additional counseling by phone. Self
reported continuous smoking abstinence was assessed 26 and 52 weeks post
discharge using intention-to-treat analysis. The main outcome measure was
continuous abstinence for weeks 1-26 post-discharge. Results: Participants in the
ATF group achieved higher abstinence rates for weeks 1-26 than those in the SC
group (odds ratio [OR] 1.53, 95% confidence intervals [CI] 1.01-2.33). There was
no significant difference between groups in abstinence rates for weeks 27-52 (OR
1.37; 95% CI 0.89 to 2.09). Conclusions: ATF-mediated follow-up helped smokers
with CHD achieve abstinence during the intervention period. There was a trend
toward clinically important improvements for weeks 27-52; but between group
differences for this time point did not achieve statistical significance.
Clinical Trial Number: NCT00449852. Implications: Automated telephone follow-up
exerts its effect by reinforcing participants' efforts to be smoke-free and by
proactively linking people requiring assistance to individualized support (e.g.,
telephone counseling). This study shows that automated telephone follow-up can
assist smokers with coronary heart disease in remaining smoke free; however,
success of automated telephone follow-up is limited to the treatment period and
abstinence rates after the treatment period were not statistically different than
among those receiving standard care. Extended treatment via automated telephone
follow-up may provide a solution to extend cessation assistance beyond hospital
discharge.
PMID- 29800421
TI - Editor's Choice: Klinefelter patients lose germ cells earlier than we thought.
PMID- 29800423
TI - Exploring the effectiveness of a school-based physical activity policy in British
Columbia, Canada: a mixed-methods observational study.
AB - The Daily Physical Activity (DPA) policy in British Columbia requires elementary
schools to help students achieve 30 min of physical activity during instructional
and noninstructional time on school days. The purpose of this study was to
determine how elementary teachers implement the DPA policy, and examine
differences in children's light physical activity (LPA) and moderate-to-vigorous
physical activity (MVPA) at school, based on how the teacher implemented the DPA
policy during the school day (provision of DPA during instructional time or only
noninstructional time). In this observational mixed-methods study, 12 teachers
were interviewed on their implementation approaches. Teachers provided DPA
opportunities during instructional time (i.e., prescriptive implementers, n = 9)
or relied on students to be active during noninstructional times (i.e.,
nonprescriptive, n = 3). Next, 10 students from each interviewed teacher's
classroom were randomly selected to wear accelerometers for one school week.
Hierarchical linear modeling was used to examine the contribution of teacher's
implementation strategy on student's activity levels. t-Tests examined
differences in students' activity levels between implementation groups. Teacher's
DPA implementation strategy accounted for a significant proportion of variance in
student's activity throughout the school day (p's < .05). The prescriptive group
(n = 88) was more active (LPA and MVPA) and spent a greater proportion of their
school days in MVPA during instructional time than the nonprescriptive group (n =
23). Heterogeneity in policy implementation creates variations in policy
effectiveness. Students provided with opportunities to be active during
instructional time may accumulate more MVPA compared with those who are not given
these opportunities.Registration: Not applicable.
PMID- 29800422
TI - A Simple Endoscopic Score Modified for the Upper Gastrointestinal tract in
Crohn's Disease (UGI-SES-CD): a report from the ImageKids study.
AB - Objective: There is no standardized endoscopic description of upper
gastrointestinal (UGI) disease in Crohn's disease (CD). We prospectively applied
the Simple Endoscopic Score for CD (SES-CD) to the UGI tract as a planned sub
study of the multicenter prospective ImageKids study. We aimed to assess the
utility of the UGI-SES-CD and its clinical significance in pediatric CD. Design:
Patients underwent an esophagogastroduodenoscopy (EGD), ileocolonoscopy and
magnetic resonance enterography (MRE) with explicit clinical data recorded. SES
CD was scored at each region (esophagus, stomach body, antrum and duodenum). Half
of the patients were followed for 18 months when a repeat MRE was performed.
Results: Two hundred and two children were included (56% males, mean age 11.5 +/-
3.2 years, median wPCDAI 25. UGI-SES-CD score ranged from 0-17, with 95 (47%)
having a UGI-SES-CD >=1; no narrowing was detected. UGI-SES-CD >=1 was associated
with higher wPCDAI (32.5 vs 20; p=0.03), PGA of inflammation (45mmVAS vs 30mmVAS;
p=0.04), ileocolonoscopic SES-CD (10 vs 7; p=0.004), fecal calprotectin (717mcg/g
vs 654mcg/g; p=0.046) and radiologic global assessment of damage by MRE (7mmVAS
vs 0; p=0.04). 93 patients were followed for 18 months and no association was
identified between initial UGI SES-CD and markers of disease course such as
surgery, MRE assessment, or treatment escalation. Conclusion: UGI-SES-CD is an
easily reported objective scoring system and is associated with a more severe
disease phenotype but not with disease course.
PMID- 29800424
TI - Seasonal Patterns of Protoschinia scutosa (Lepidoptera: Noctuidae) Migration
Across China's Bohai Strait.
AB - The spotted clover moth, Protoschinia scutosa (Denis & Schiffermuller)
(Lepidoptera: Noctuidae), is an important polyphagous pest that is widely
distributed in the world. P. scutosa overwinters as pupae in agricultural soils
in Northern China. Yet, it is unclear whether P. scutosa also engages in seasonal
migration over mid- to long-range distances. In this study, we employ light
trapping, field surveys, and ovarian dissection of captured adults over a 2003
2015 time period to assess P. scutosa migration in Northern China. Our work shows
that P. scutosa migrates across the Bohai Strait seasonally; the mean duration of
its windborne migration period was 121.6 d, and the mean trapping number was
1053.6 moths. Nightly catches of P. scutosa were significantly different between
months, but the differences between years were not significant. During 2009-2011
and 2013, the monthly proportion of migrating females (65.5%) was significantly
higher than that of males and showed no difference between months. In May to
June, the majority of females (May: 63.0%; June: 61.1%) were mated individuals
with relatively high level of ovarian development; however, in August and
September, most females were unmated. The mean proportion of mated females was
significantly different across months but did not differ between years. The
results of long-term searchlight trapping and ovarian dissection indicate that P.
scutosa exhibits a seasonal characteristic of typical population dynamics and
reproductive development of migratory insects. Our work sheds light upon key
facets of P. scutosa ecology and facilitates the future development of pest
forecasting systems and pest management schemes.
PMID- 29800426
TI - Guideline: TMP-SMX is recommended after uncomplicated skin abscess incision and
drainage.
PMID- 29800425
TI - Influenza Viral Shedding in a Prospective Cohort of HIV-Infected and Uninfected
Children and Adults in 2 Provinces of South Africa, 2012-2014.
AB - Background: Prolonged shedding of influenza viruses may be associated with
increased transmissibility and resistance mutation acquisition due to therapy. We
compared duration and magnitude of influenza shedding between human
immunodeficiency virus (HIV)-infected and -uninfected individuals. Methods: A
prospective cohort study during 3 influenza seasons enrolled patients with
influenza-like illness and a positive influenza rapid test. Influenza viruses
were detected by real-time reverse transcription polymerase chain reaction.
Weibull accelerated failure time regression models were used to describe
influenza virus shedding. Mann-Whitney U tests explored initial influenza viral
loads (VL). Results: Influenza virus shedding duration was similar in 65 HIV
infected (6 days; interquartile range [IQR] 3-10) and 176 HIV-uninfected
individuals (7 days; IQR 4-11; P = .97), as was initial influenza VL (HIV
uninfected 5.28 +/- 1.33 log10 copies/mL, HIV-infected 4.73 +/- 1.68 log10
copies/mL; P = .08). Adjusted for age, HIV-infected individuals with low CD4
counts shed influenza virus for longer than those with higher counts (adjusted
hazard ratio 3.55; 95% confidence interval, 1.05-12.08). Discussion: A longer
duration of influenza virus shedding in HIV-infected individuals with low CD4
counts may suggest a possible increased risk for transmission or viral evolution
in severely immunocompromised individuals. HIV-infected individuals should be
prioritized for annual influenza immunization.
PMID- 29800419
TI - Complex roads from genotype to phenotype in dilated cardiomyopathy: scientific
update from the Working Group of Myocardial Function of the European Society of
Cardiology.
AB - Dilated cardiomyopathy (DCM) frequently affects relatively young, economically,
and socially active adults, and is an important cause of heart failure and
transplantation. DCM is a complex disease and its pathological architecture
encounters many genetic determinants interacting with environmental factors. The
old perspective that every pathogenic gene mutation would lead to a diseased
heart, is now being replaced by the novel observation that the phenotype depends
not only on the penetrance-malignancy of the mutated gene-but also on
epigenetics, age, toxic factors, pregnancy, and a diversity of acquired diseases.
This review discusses how gene mutations will result in mutation-specific
molecular alterations in the heart including increased mitochondrial oxidation
(sarcomeric gene e.g. TTN), decreased calcium sensitivity (sarcomeric genes),
fibrosis (e.g. LMNA and TTN), or inflammation. Therefore, getting a complete
picture of the DCM patient will include genomic data, molecular assessment by
preference from cardiac samples, stratification according to co-morbidities, and
phenotypic description. Those data will help to better guide the heart failure
and anti-arrhythmic treatment, predict response to therapy, develop novel siRNA
based gene silencing for malignant gene mutations, or intervene with mutation
specific altered gene pathways in the heart.This article is part of the Mini
Review Series from the Varenna 2017 meeting of the Working Group of Myocardial
Function of the European Society of Cardiology.
PMID- 29800427
TI - A single preoperative physiotherapy session reduced pulmonary complications after
upper abdominal surgery.
PMID- 29800428
TI - In type 2 diabetes with CVD and kidney disease, empagliflozin reduced mortality
and hospitalization.
PMID- 29800429
TI - In high-risk T1DM, real-time continuous glucose monitoring vs self-monitoring
reduced hypoglycemic events.
PMID- 29800430
TI - PERC strategy was noninferior to the usual strategy for ruling out PE in low-risk
patients in the ED.
PMID- 29800432
TI - Efficacy and safety of ticagrelor were similar in patients with past MI,
regardless of multivessel coronary disease.
PMID- 29800431
TI - In AF and HF, catheter ablation vs medical therapy reduced mortality and
hospitalization for worsening HF.
PMID- 29800433
TI - Risk for thrombosis in patients with myeloproliferative neoplasms was highest
near the time of diagnosis.
PMID- 29800434
TI - In older patients with UTIs, trimethoprim vs amoxicillin was linked to higher
risk for AKI at 14 d.
PMID- 29800435
TI - In hospitalized adults with polypharmacy, a multifaceted pharmacist intervention
reduced readmissions at 180 days.
PMID- 29800436
TI - Diagnostic Reasoning.
PMID- 29800437
TI - Diagnostic Reasoning.
PMID- 29800438
TI - Diagnostic Reasoning.
PMID- 29800439
TI - Diagnostic Reasoning.
PMID- 29800440
TI - Death and Cardiac Arrest in U.S. Triathlon Participants.
PMID- 29800441
TI - Death and Cardiac Arrest in U.S. Triathlon Participants.
PMID- 29800442
TI - Death and Cardiac Arrest in U.S. Triathlon Participants.
PMID- 29800443
TI - The Spectrum of Subclinical Primary Aldosteronism and Incident Hypertension.
PMID- 29800444
TI - The Spectrum of Subclinical Primary Aldosteronism and Incident Hypertension.
PMID- 29800445
TI - The Spectrum of Subclinical Primary Aldosteronism and Incident Hypertension.
PMID- 29800447
TI - Correction: Pharmacologic Treatment of Seasonal Allergic Rhinitis.
PMID- 29800446
TI - The Spectrum of Subclinical Primary Aldosteronism and Incident Hypertension.
PMID- 29800448
TI - Lessons Learned?
PMID- 29800449
TI - Web Exclusive. Annals for Hospitalists Inpatient Notes - Getting Past the "Black
Box"-Opportunities for Hospitalists to Improve Postacute Care Transitions.
PMID- 29800450
TI - Annals for Hospitalists - 15 May 2018.
PMID- 29800451
TI - Annals for Educators - 15 May 2018.
PMID- 29800453
TI - Using drug knowledgebase information to distinguish between look-alike-sound
alike drugs.
AB - Objective: To extract drug indications from a commercial drug knowledgebase and
determine to what extent drug indications can discriminate between look-alike
sound-alike (LASA) drugs. Methods: We extracted drug indications disease concepts
from the MedKnowledge Indications module from First Databank Inc. (South San
Francisco, CA) and associated them with drugs on the Institute for Safe
Medication Practices (ISMP) list of commonly confused drug names. We used high
level concepts (rather than granular concepts) to represent the general
indications for each drug. Two pharmacists reviewed each drug's association with
its high-level indications concepts for accuracy and clinical relevance. We
compared the high-level indications for each commonly confused drug pair and
categorized each pair as having a complete overlap, partial overlap or no overlap
in high-level indications. Results: Of 278 LASA drug pairs, 165 (59%) had no
overlap and 58 (21%) had partial overlap in high-level indications. Fifty-five
pairs (20%) had complete overlap in high-level indications; nearly half of these
were comprised of drugs with the same active ingredient and route of
administration (e.g., Adderall, Adderall XR). Conclusions: Drug indications data
from a drug knowledgebase can discriminate between many LASA drugs.
PMID- 29800452
TI - SMARTIV: combined sequence and structure de-novo motif discovery for in-vivo RNA
binding data.
AB - Gene expression regulation is highly dependent on binding of RNA-binding proteins
(RBPs) to their RNA targets. Growing evidence supports the notion that both RNA
primary sequence and its local secondary structure play a role in specific
Protein-RNA recognition and binding. Despite the great advance in high-throughput
experimental methods for identifying sequence targets of RBPs, predicting the
specific sequence and structure binding preferences of RBPs remains a major
challenge. We present a novel webserver, SMARTIV, designed for discovering and
visualizing combined RNA sequence and structure motifs from high-throughput RNA
binding data, generated from in-vivo experiments. The uniqueness of SMARTIV is
that it predicts motifs from enriched k-mers that combine information from ranked
RNA sequences and their predicted secondary structure, obtained using various
folding methods. Consequently, SMARTIV generates Position Weight Matrices (PWMs)
in a combined sequence and structure alphabet with assigned P-values. SMARTIV
concisely represents the sequence and structure motif content as a single
graphical logo, which is informative and easy for visual perception. SMARTIV was
examined extensively on a variety of high-throughput binding experiments for RBPs
from different families, generated from different technologies, showing
consistent and accurate results. Finally, SMARTIV is a user-friendly webserver,
highly efficient in run-time and freely accessible via
http://smartiv.technion.ac.il/.
PMID- 29800454
TI - The effect of encapsulated nitrate and monensin on ruminal fermentation using a
semi-continuous culture system.
AB - Because enteric methane (CH4) production from ruminants represents a source of
greenhouse gas emissions and an energy loss for the host animal alternatives to
minimize emissions is a current research priority. Seven 37-d trials tested the
effect of encapsulated nitrate (EN) and sodium monensin (MON) in diets commonly
fed to dairy (DAIRY; 50:50 forage to concentrate; four trials) and beef cattle
(BEEF; 15:85 forage to concentrate; three trials) on rumen fermentation and CH4
production using a semi-continuous fermentation system. A 3 * 2 factorial
arrangement was used and additives (0, 1.25, and 2.5% of EN; 0 and 4 mg/L of MON)
were tested alone and combined (EN + MON) totaling six treatments. Rumen fluid
was pooled from five nonadapted lactating cows fed 50:50 forage to concentrate
diet 3 h after morning feeding, and 1 L of processed inoculum was transferred to
2.2-L vessels. Treatment diets were added to nylon bags which remained in the
anaerobic fermentation of mixed rumen microorganisms for 48 h. Nitrate decreased
CH4 production in DAIRY (24.7 vs. 32.1 mM/d; P < 0.01) and BEEF trials (33.5 vs.
43.5 mM/d; P < 0.01). Methane production was decreased by MON in DAIRY (26.3 vs.
32.1; P < 0.01) and BEEF (26.6 vs. 43.5 mM/d; P < 0.01). The combination of EN +
MON further decreased CH4 in DAIRY (21.3 vs. 32.1 mM/d; P = 0.03) and BEEF (19.3
vs. 43.5 mM/d; P = 0.01). Nitrate did not affect major VFA production in DAIRY
and BEEF trials, but significantly decreased digestion of protein (96.8 vs.
97.6%; P < 0.01) and starch (79.0 vs. 80.4%; P < 0.01) in DAIRY and NDF (29.3 vs.
32.5%; P < 0.01) and starch (88.5 vs. 90.3%; P < 0.01) in BEEF. Monensin
significantly affected VFA pattern with an increase in propionate (P < 0.01) and
a decrease on acetate (P < 0.01) production with consequent decrease on acetate
to-propionate ratio in DAIRY (1.6 vs. 2.0; P < 0.01) and BEEF (1.6 vs. 1.9; P <
0.01). Monensin decreased NDF digestion in BEEF only (29.3 vs. 32.5 %; P < 0.01).
Significant concentrations of nitrate and nitrite were detected only for EN and
EN + MON (P < 0.01). Nitrate and MON effectively decreased CH4 production when
fed separately and the combination of additives additively decreased CH4
production.
PMID- 29800455
TI - Estimation of the radiation-induced DNA double-strand breaks number by
considering cell cycle and absorbed dose per cell nucleus.
AB - DNA double-strand breaks (DSBs) are thought to be the main cause of cell death
after irradiation. In this study, we estimated the probability distribution of
the number of DSBs per cell nucleus by considering the DNA amount in a cell
nucleus (which depends on the cell cycle) and the statistical variation in the
energy imparted to the cell nucleus by X-ray irradiation. The probability
estimation of DSB induction was made following these procedures: (i) making use
of the Chinese Hamster Ovary (CHO)-K1 cell line as the target example, the
amounts of DNA per nucleus in the logarithmic and the plateau phases of the
growth curve were measured by flow cytometry with propidium iodide (PI) dyeing;
(ii) the probability distribution of the DSB number per cell nucleus for each
phase after irradiation with 1.0 Gy of 200 kVp X-rays was measured by means of
gamma-H2AX immunofluorescent staining; (iii) the distribution of the cell
specific energy deposition via secondary electrons produced by the incident X
rays was calculated by WLTrack (in-house Monte Carlo code); (iv) according to a
mathematical model for estimating the DSB number per nucleus, we deduced the
induction probability density of DSBs based on the measured DNA amount (depending
on the cell cycle) and the calculated dose per nucleus. The model exhibited DSB
induction probabilities in good agreement with the experimental results for the
two phases, suggesting that the DNA amount (depending on the cell cycle) and the
statistical variation in the local energy deposition are essential for estimating
the DSB induction probability after X-ray exposure.
PMID- 29800457
TI - Sustainable development goals for health promotion: a critical frame analysis.
AB - The Sustainable Development Goals (SDGs) lay the foundations for supporting
global health and international development work for the next 15 years. Thirty
years ago, the Ottawa Charter defined health promotion and outlined key
principles for global action on health, including the importance of advocating,
enabling and mediating for health equity. Advocacy underscores a human right to
health and suggests political action to support its attainment. Enabling speaks
to health promotion's focus on the empowerment of people and communities to take
control over their health and aspirations. Mediation draws attention to the
critical intersectoral partnerships required to address health and social
inequities. Underpinned by this approach, the aim of this paper is to consider
how key health promotion principles, namely, rights, empowerment and partnership
feature (and are framed) within the SDGs and to consider how these framings may
shape future directions for health promotion. To that end, a critical frame
analysis of the Transforming Our World document was conducted. The analysis
interrogated varying uses and meanings of partnerships, empowerment and rights
(and their connections) within the SDGs. The analysis here presents three
framings from the SDGs: (1) a moral code for global action on (in)equity; (2) a
future orientation to address global issues yet devoid of history; and (3) a
reductionist framing of health as the absence of disease. These framings raise
important questions about the underpinning values of the SDGs and pathways to
health equity - offering both challenges and opportunities for defining the
nature and scope of health promotion.
PMID- 29800456
TI - Possibility of chest wall dose reduction using volumetric-modulated arc therapy
(VMAT) in radiation-induced rib fracture cases: comparison with stereotactic body
radiation therapy (SBRT).
AB - The present study compares dosimetric parameters between volumetric-modulated arc
therapy (VMAT) and 3D conformal radiation therapy (3D-CRT) in lung tumors
adjacent to the chest wall treated with stereotactic body radiation therapy
(SBRT). The study focused on the radiation dose to the chest wall of 16 patients
who had developed radiation-induced rib fractures (RIRF) after SBRT using 3D-CRT.
The targets in all patients were partially overlapping with the fractured ribs,
and the median overlapping rib-PTV distance was 0.4 cm. Stereotactic body
radiation therapy was re-planned for all patients. The prescribed dose was 48 Gy
in four fractions to cover at least 95% of the planning target volume (PTV).
Evaluated dosimetric factors included D98% and the conformation number (CN) of
the PTV, the D2cm3, V40 and V30 of the fractured ribs, the V30 of the chest wall,
and the Dmean, V20 and V5 of the lung. A comparison of 3D-CRT with the VMAT plan
for PTV revealed that CN was significantly improved in the VMAT plan, whereas
D98% did not significantly differ between the two plans. Regarding organs at risk
(OARs), the D2cm3, V40 and V30 of fractured ribs, the V30 of the chest wall, and
the Dmean, V20 and V5 of the lung, were significantly decreased in the VMAT plan.
We concluded that the dose to OARs such as ribs and chest wall could be reduced
with improved target conformity using VMAT instead of 3D-CRT for SBRT to treat
peripheral lung tumors.
PMID- 29800459
TI - Outcomes Following Transsphenoidal Pituitary Surgery in the Elderly: A
Retrospective Single-Center Review.
AB - BACKGROUND: Transsphenoidal surgery (TSS) for pituitary adenomas (PAs) is
performed on elderly patients with increasing frequency. More research is
necessary to assess the risk factors that are associated with TSS in an aging
population. OBJECTIVE: To perform a retrospective study on postoperative safety
outcomes following TSS in the elderly population stratified by decade of life.
METHODS: A retrospective chart review of the USC Pituitary Database was conducted
to identify patients >=70 yr, who underwent TSS for PAs between 1995 and 2017.
Surgical outcomes were analyzed in elderly (>=70 yr) vs nonelderly (<70 yr)
patients. Elderly patients were additionally stratified according to age 70 to 79
vs >=80 yr. RESULTS: The cohorts included 115 elderly patients (70-79 yr: 94
patients; >=80 yr: 21 patients) and 770 nonelderly patients. Elderly patients
presented with more vision loss (62% vs 38%, P < .0001), dizziness (17% vs 6%, P
= .0001) and altered mental status (7.3% vs 3%, P = .0451). Overall rates of
surgical, medical, and endocrine complications were similar; however, elderly
patients had significantly higher rates of postoperative hyponatremia (9.3% vs
4.7%, P = .0401) and lower rates of transient diabetes insipidus (0.9% vs 7.9%, P
= .0038). Patients >= 80 yr had significantly more surgical complications (26.3%)
compared to the 70 to 79 group (7.87%; P = .021) and <70 group (12.5%; P = .04).
CONCLUSION: Patients >70 yr are appropriate surgical candidates for TSS given the
similar safety outcomes as younger patients. Given the higher propensity for
surgical complications; however, a higher level of operative selectivity should
be maintained in octogenarian patients.
PMID- 29800460
TI - Calcium Signaling during Salt Stress and in the Regulation of Ion Homeostasis.
AB - Soil composition largely defines the living conditions of plants and represents
one of their most relevant, dynamic and complex environmental cues. The effective
concentrations of many either tolerated or essential ions and compounds in the
soil usually differ from the optimum that would be most suitable for plants. In
this regard, salinity - caused by excess of NaCl - represents a widespread
adverse growth condition but also shortage of ions like K+, NO3- and Fe2+
restrains plant growth. During the past years many components and mechanisms that
function in the sensing and establishment of ion homeostasis have been identified
and characterized. Here, we reflect on recent insights that extended our
understanding of components and mechanisms, which govern and fine-tune plant salt
stress tolerance and ion homeostasis. We put special emphasis on mechanisms that
allow for interconnection of the salt overly sensitivity pathway with plant
development and discuss newly emerging functions of Ca2+ signaling in salinity
tolerance. Moreover, we review and discuss accumulating evidence for a central
and unifying role of Ca2+ signaling and Ca2+ dependent protein phosphorylation in
regulating sensing, uptake, transport and storage processes of various ions.
Finally, based on this cross-field inventory, we deduce emerging concepts and
arising questions for future research.
PMID- 29800458
TI - Comparative gene expression analysis after exposure to 123I-iododeoxyuridine,
gamma- and alpha-radiation-potential biomarkers for the discrimination of
radiation qualities.
AB - Gene expression analysis was carried out in Jurkat cells in order to identify
candidate genes showing significant gene expression alterations allowing robust
discrimination of the Auger emitter 123I, incorporated into the DNA as 123I
iododeoxyuridine (123IUdR), from alpha- and gamma-radiation. The gamma-H2AX foci
assay was used to determine equi-effect doses or activity, and gene expression
analysis was carried out at similar levels of foci induction. Comparative gene
expression analysis was performed employing whole human genome DNA microarrays.
Candidate genes had to show significant expression changes and no altered gene
regulation or opposite regulation after exposure to the radiation quality to be
compared. The gene expression of all candidate genes was validated by
quantitative real-time PCR. The functional categorization of significantly
deregulated genes revealed that chromatin organization and apoptosis were
generally affected. After exposure to 123IUdR, alpha-particles and gamma-rays, at
equi-effect doses/activity, 155, 316 and 982 genes were exclusively regulated,
respectively. Applying the stringent requirements for candidate genes, four
(PPP1R14C, TNFAIP8L1, DNAJC1 and PRTFDC1), one (KLF10) and one (TNFAIP8L1)
gene(s) were identified, respectively allowing reliable discrimination between
gamma- and 123IUdR exposure, gamma- and alpha-radiation, and alpha- and 123IUdR
exposure, respectively. The Auger emitter 123I induced specific gene expression
patterns in Jurkat cells when compared with gamma- and alpha-irradiation,
suggesting a unique cellular response after 123IUdR exposure. Gene expression
analysis might be an effective tool for identifying biomarkers for discriminating
different radiation qualities and, furthermore, might help to explain the varying
biological effectiveness at the mechanistic level.
PMID- 29800461
TI - Toward Functional Restoration of the Central Nervous System: A Review of
Translational Neuroscience Principles.
AB - Injury to the central nervous system (CNS) can leave patients with devastating
neurological deficits that may permanently impair independence and diminish
quality of life. Recent insights into how the CNS responds to injury and reacts
to critically timed interventions are being translated into clinical applications
that have the capacity to drastically improve outcomes for patients suffering
from permanent neurological deficits due to spinal cord injury, stroke, or other
CNS disorders. The translation of such knowledge into practical and impactful
treatments involves the strategic collaboration between neurosurgeons,
clinicians, therapists, scientists, and industry. Therefore, a common
understanding of key neuroscientific principles is crucial. Conceptually, current
approaches to CNS revitalization can be divided by scale into macroscopic
(systems-circuitry) and microscopic (cellular-molecular). Here we review both
emerging and well-established tenets that are being utilized to enhance CNS
recovery on both levels, and we explore the role of neurosurgeons in developing
therapies moving forward. Key principles include plasticity-driven functional
recovery, cellular signaling mechanisms in axonal sprouting, critical timing for
recovery after injury, and mechanisms of action underlying cellular replacement
strategies. We then discuss integrative approaches aimed at synergizing
interventions across scales, and we make recommendations for the basis of future
clinical trial design. Ultimately, we argue that strategic modulation of
microscopic cellular behavior within a macroscopic framework of functional
circuitry re-establishment should provide the foundation for most neural
restoration strategies, and the early involvement of neurosurgeons in the process
will be crucial to successful clinical translation.
PMID- 29800462
TI - Developing a Sampling Plan for Brown Stink Bug (Hemiptera: Pentatomidae) in Field
Corn.
AB - Brown stink bug, Euschistus servus (Say), is a damaging pest of corn, Zea mays
(L.), in the southeastern United States. Developing a reliable and practical
sampling plan for population monitoring of this pest is essential for
implementing integrated pest management measures. E. servus was sampled from
commercial corn fields (n = 14) in North Carolina in 2016 and 2017. Both the
adults and nymphs had a predominantly aggregated spatial distribution, estimated
using the variance to mean ratio and Taylor's power law constant (b). Using the
Taylor's power law constants, the optimum sample size required to estimate
population density with a given level of reliability was calculated. For early
vegetative stage corn (V4-V6), using whole plant visual sampling and an economic
threshold density of 2 adult stink bugs per 20 plants, 27 sample units were
required to estimate population density within 30% of the mean. At the same
growth stage, using partial plant sampling and an economic threshold density of
1.73 adult stink bugs per 20 plants, 28 sample units were required to estimate
population density with the same level of reliability. Reproductive stage corn
(R1-R4) required eight sample units for whole plant sampling and nine sample
units for partial plant sampling (Dx = 0.3). For E. servus adults, the partial
plant sampling method was equally or more cost-reliable than the whole-plant
sampling method for pest management in all corn growth stages tested.
PMID- 29800463
TI - Reflections on Aldo Castellani and Tropical Dermatology.
PMID- 29800464
TI - Positive Expectancies for E-Cigarette Use and Anxiety Sensitivity among Adults.
AB - Introduction: Although e-cigarette use is on the rise among youth and adults,
there is little understanding of the individual difference factors at a cognitive
level of analysis for e-cigarette beliefs and quit behavior. Method: The present
investigation sought to test a theoretically-driven interactive model of positive
expectancies for e-cigarettes and anxiety sensitivity (fear of the consequences
of anxiety) among 551 adult e-cigarette users (50.6% female, Mage = 35.2 years,
SD = 10.1). Results: Results indicated a significant interaction between positive
expectancies for e-cigarette use and AS was significantly related to greater
perceived benefits of e-cigarette use, greater perceived risk of e-cigarette use,
and more serious attempts for trying to quit e-cigarettes. The significant
interaction effect for each dependent variable was evident over and above the
main effects as well as the covariates of sex, income, education, and concurrent
combustible cigarette use. The form of this interaction indicated that e
cigarette users higher in AS who also maintained more positive outcome
expectancies for e-cigarette use reported more perceived benefits as well as more
perceived risk of e-cigarette use and engaged in more (failed) attempts to quit e
cigarettes. Conclusions: Overall, the current data suggest that individual
differences in AS and positive expectancies may represent two important factors
to consider in e-cigarette beliefs and quit attempts. Implications: This study
provides the first empirical evidence of a transdiagnostic construct (anxiety
sensitivity) in relation to e-cigarette use and how it interplays with positive
expectancies for e-cigarette use beliefs and behavior. These novel data suggest
future clinical research may benefit by understanding the potential therapeutic
role of anxiety sensitivity and expectancies for e-cigarette use behavior.
PMID- 29800465
TI - Millenials in Neurosurgery: Is there Hope?
PMID- 29800466
TI - A randomized, subject and rater-blinded, placebo-controlled trial of dimethyl
fumarate for obstructive sleep apnea.
AB - Study Objectives: To investigate the therapeutic effect of dimethyl fumarate
(DMF, an immunomodulatory agent) on obstructive sleep apnea (OSA), and potential
influence of any such effect by selected proinflammatory molecules. Methods:
Patients with OSA who deferred positive airway pressure therapy were randomized
(2:1) to receive DMF or placebo for 4 months. Participants underwent
polysomnography before randomization and at 4 months. Blood was collected
monthly. The primary outcome was the mean group change in respiratory disturbance
index (delta-RDI). Secondary analyses focused on the association between
treatment effect of DMF (on RDI) and expression of plasma cytokines and
chemokines, or nuclear factor kappa-B (NFkappaB) signaling molecules in
peripheral blood mononuclear cells. Results: N = 65 participants were randomized.
N = 50 participants (DMF = 35, placebo = 15) had complete data for final
analyses. The mean difference in delta-RDI between groups was 13.3 respiratory
events/hour of sleep: -3.1+/-12.9 vs. 10.2+/-13.1 in DMF and placebo groups,
respectively (mixed-effects model treatment effect: beta = -0.14, SE = 0.062, p =
0.033). Plasma levels of TNF-alpha showed only nonsignificant decreases, and IL
10 and IL-13 only nonsignificant increases, in DMF-treated participants compared
with placebo. No significant interaction or main effect on RDI for selected
cytokines and chemokines was found. Participants with a therapeutic response to
DMF did experience significant reductions in intracellular NFkappaB signaling
molecules at 4 months. Overall, DMF was well-tolerated. Conclusions: The
immunomodulatory drug DMF partially ameliorates OSA severity. Suppression of
systemic inflammation through reduction of NFkappaB signaling may mediate this
effect. Clinical Trials: ClinicalTrials.gov, NCT02438137,
https://clinicaltrials.gov/ct2/show/NCT02438137?term=NCT02438137&rank=1.
PMID- 29800467
TI - Multi-azole-resistant strain of Malassezia pachydermatis isolated from a canine
Malassezia dermatitis.
AB - In the case presented here, we describe the isolation of an azole-resistant
strain of M. pachydermatis from a canine Malassezia dermatitis. The isolate
(NUBS18001) from this case exhibited a minimum inhibitory concentration (MIC) of
320 MUg/ml to itraconazole (ITZ) by broth microdilution (BM) assay, >32 MUg/ml to
ITZ by E-test, and >32 MUg/ml to KTZ by E-test. Synergistic effects between FK506
and ITZ in the azole-resistant strain was evaluated using the microdilution
checker-board method. The ITZ-resistant strain exhibited MICs of 320 MUg/mL of
ITZ alone and 5 MUg/ml of FK506 alone; the addition of FK506 attenuated the ITZ
MIC to 2.5 MUg/ml, yielding an ITZ FICI value of 0.507. This result suggested
that the combination of ITZ and FK506 exerted an additive effect against the ITZ
resistant strain. To understand the other mechanism inferred to be present in our
multi-azole-resistant strain, we sequenced the ERG11 gene from this isolate, and
detected missense mutations (A412G and C905T) in the sequence of the ERG11 open
reading frame (ORF). To the best of our knowledge, this work is the first report
that a multi-azole-resistant M. pacydermatis strain contains mutations in ERG11.
PMID- 29800468
TI - Cognitive behavior therapy for older adults with insomnia and depression: a
randomized controlled trial in community mental health services.
AB - Study Objectives: To investigate whether cognitive behavior therapy was effective
for older adults with comorbid insomnia and depression in a community mental
health setting, and explore whether an advanced form of cognitive behavior
therapy for insomnia produced better outcomes compared to a standard form of
cognitive behavior therapy for insomnia. Methods: An 8-week randomized controlled
clinical trial was conducted within community mental health services, Victoria,
Australia. Seventy-two older adults (56% female, M age 75 +/- 7 years) with
diagnosed comorbid insomnia and depression participated. Three conditions were
tested using a group therapy format: cognitive behavior therapy for insomnia (CBT
I, standard), cognitive behavior therapy for insomnia plus positive mood
strategies (CBT-I+, advanced), psychoeducation control group (PCG, control). The
primary outcomes were insomnia severity (Insomnia Severity Index) and depression
severity (Geriatric Depression Scale). Primary and secondary measures were
collected at pre (week 0), post (week 8), and follow-up (week 20). Results: CBT-I
and CBT-I+ both generated significantly greater reductions in insomnia and
depression severity compared to PCG from pre to post (p < .001), which were
maintained at follow-up. Although the differences between outcomes of the two
treatment conditions were not statistically significant, the study was not
sufficiently powered to detect either superiority of one treatment or equivalence
of the two treatment conditions. Conclusion: CBT-I and CBT-I+ were both effective
at reducing insomnia and depression severity for older adults. Mental health
services that deliver treatment for comorbid insomnia with cognitive behavior
therapy may improve recovery outcomes for older adults with depression. Trial
Registration: Australian and New Zealand Clinical Trials Registry (ANZCTR); URL:
https://www.anzctr.org.au; Trial ID: ACTRN12615000067572; Date Registered:
December 12, 2014.
PMID- 29800469
TI - Ruptured Mycotic Aneurysm of the Distal Circulation in a Patient with
Mucormycosis Without Direct Skull Base Extension: Case Report.
AB - BACKGROUND AND IMPORTANCE: Infectious intracranial aneurysms are a rare subset of
intracranial aneurysms caused by bacterial, fungal, or viral sources.
Intracranial aneurysms of fungal etiology carry a high mortality risk and
typically occur in immunocompromised patients via direct extension of skull base
infections, or more rarely, after intracranial surgery. CLINICAL PRESENTATION: We
present the case of a 27-yr-old female with systemic lupus erythematous and
primary pulmonary mucormycosis, who suffered a subarachnoid hemorrhage from a
ruptured fusiform distal middle cerebral artery aneurysm. Despite undergoing a
successful extracranial-to-intracranial bypass and aneurysm excision, the patient
ultimately died following progressive disseminated infection and a secondary
intracranial hemorrhage of unknown etiology. Pathological examination of the
excised artery confirmed Mucor infection. CONCLUSION: To the best of our
knowledge, this case represents one of the first mycotic cerebral aneurysms from
mucormycosis in a patient without an underlying skull base infection or previous
intracranial surgery. Despite optimal surgical management, clinical outcomes for
mycotic cerebral aneurysms are largely dependent on the success of medical
therapies at controlling systemic disease.
PMID- 29800470
TI - Social Workers: An Important Piece of the Puzzle to Prevent and Respond to School
Violence.
PMID- 29800471
TI - Fatal, Fulminant Herpetic Tracheobronchitis following Cardiac Surgery.
AB - Nosocomial infection is a feared complication after any surgical procedure.
Respiratory tract microbial colonization and development of ventilator-associated
tracheobronchitis and/or pneumonia are unfortunate sequelae in mechanically
ventilated patients, commonly caused by bacteria; viral etiology is seldom
anticipated. We present a fatal case of fulminant herpetic tracheobronchitis in a
33-month-old patient following cardiac surgery. We intend to highlight the fact
that herpetic viral etiology should be considered in post-operative respiratory
infections.
PMID- 29800473
TI - Hunting the G-unit in Huntington's.
PMID- 29800472
TI - Mutant LRRK2 mediates peripheral and central immune responses leading to
neurodegeneration in vivo.
AB - Missense mutations in the leucine rich repeat kinase 2 (LRRK2) gene result in
late-onset Parkinson's disease. The incomplete penetrance of LRRK2 mutations in
humans and LRRK2 murine models of Parkinson's disease suggests that the disease
may result from a complex interplay of genetic predispositions and persistent
exogenous insults. Since neuroinflammation is commonly associated with the
pathogenesis of Parkinson's disease, we examine a potential role of mutant LRRK2
in regulation of the immune response and inflammatory signalling in vivo. Here,
we show that mice overexpressing human pathogenic LRRK2 mutations, but not wild
type mice or mice overexpressing human wild-type LRRK2 exhibit long-term
lipopolysaccharide-induced nigral neuronal loss. This neurodegeneration is
accompanied by an exacerbated neuroinflammation in the brain. The increased
immune response in the brain of mutant mice subsequently has an effect on neurons
by inducing intraneuronal LRRK2 upregulation. However, the enhanced
neuroinflammation is unlikely to be triggered by dysfunctional microglia or
infiltrated T cells and/or monocytes, but by peripheral circulating inflammatory
molecules. Analysis of cytokine kinetics and inflammatory pathways in the
peripheral immune cells demonstrates that LRRK2 mutation alters type II
interferon immune response, suggesting that this increased neuroinflammatory
response may arise outside the central nervous system. Overall, this study
suggests that peripheral immune signalling plays an unexpected-but important-role
in the regulation of neurodegeneration in LRRK2-associated Parkinson's disease,
and provides new targets for interfering with the onset and progression of the
disease.
PMID- 29800474
TI - Chasing the start of sporadic Alzheimer's disease running in families.
PMID- 29800475
TI - Can we use regional grey matter atrophy sequence to stage neurodegeneration in
multiple sclerosis?
PMID- 29800476
TI - Editorial.
PMID- 29800477
TI - Synaptic assays: using biophysical models to infer neuronal dysfunction from non
invasive EEG.
PMID- 29800478
TI - Vascular endothelial growth factors C and D and lymphangiogenesis at the early
stage of esophageal squamous cell carcinoma progression.
AB - We conducted a detailed study of lymphangiogenesis and subsequent lymph node
metastasis in early-stage esophageal squamous cell carcinoma (ESCC) using
immunostaining for D2-40 and vascular endothelial growth factor (VEGF)-C and D.
The study materials included 13 samples of normal squamous epithelium, 6 samples
of low-grade intraepithelial neoplasia (LGIN), and 60 samples of superficial ESCC
(M1 and M2 cancer 24; M3 or deeper cancer 36). We assessed lymphatic vessel
density (LVD) using D2-40 and immunoreactivity for VEGF-C and D in relation to
histological type, lymphatic invasion, and lymph node metastasis. LVD in M1 and
M2 lesions and M3 or deeper lesions was significantly higher than in normal
squamous epithelium (P < 0.001). High expression of VEGF-C and D was observed in
M1 and M2 cancer and in M3 or deeper cancer, but not in normal squamous
epithelium or LGIN. LVD in VEGF-C- and D-positive cases was significantly higher
than in negative cases (P < 0.001). In M3 or deeper cancer, the correlation
between VEGF-C or D status and lymphatic invasion or lymph node metastasis was
not significant. LVD in cases with positive lymphatic invasion and those with
lymph node metastasis was significantly higher than in cases lacking either (P =
0.02 and 0.03, respectively). ESCC cells produce VEGF-C and D from the very early
stage of progression. VEGF-C and D activate lymphangiogenesis, and this increase
of lymphatic vessels leads to lymphatic invasion and subsequent lymph node
metastasis.
PMID- 29800479
TI - Optimization of type 3 protein secretion in enteropathogenic Escherichia coli.
AB - The type 3 secretion system (T3SS) is a protein export pathway common to Gram
negative pathogens. It comprises a trans-envelope syringe, the injectisome, with
a cytoplasm-facing translocase channel. In enteropathogenic Escherichia coli,
exported substrates are chaperone-delivered to the major translocase component,
EscV, and cross the membrane in strict hierarchical manner, e.g. first
'translocators', then 'effectors'. The in vitro dissection of the T3SS and the
determination of its structure are hampered by the low numbers of the
injectisomes per cell. We have now defined an optimal M9 minimal medium and
established that the per transcriptional regulator enhances the number of
filamented cells, the number of injectisomes per cell and the secretion of T3S
substrates. Our findings provide a valuable tool for further biochemical and
biophysical analysis of the T3SS and suggest that additional improvement to
maximize injectisome production is possible in future efforts.
PMID- 29800480
TI - Clinical effectiveness of carbapenems versus alternative antibiotics for treating
ESBL-producing Enterobacteriaceae bacteraemia: a systematic review and meta
analysis.
AB - Objectives: The widespread administration of carbapenems to patients with ESBL
producing Enterobacteriaceae bacteraemia (ESBL-B) has accelerated the emergence
of carbapenem-resistant Enterobacteriaceae. This study aimed to systematically
review recently published data to evaluate the clinical effectiveness of
carbapenems, compared with other antibiotics, in the treatment of ESBL-B.
Methods: We searched the Ovid-Medline, Ovid-Embase, Cochrane Library and five
Korean local databases until January 2016. We selected studies that reported
overall mortality in patients with ESBL-B who had been treated with carbapenems
and alternatives. Overall mortality was assessed as the primary outcome and
sepsis-related mortality and adverse events were analysed as secondary outcomes.
Results: Thirty-five publications fulfilled the inclusion criteria. Regarding
empirical therapy, there were no significant differences between the groups that
received carbapenems and those that received non-carbapenems in relation to
overall mortality. Regarding definitive therapy, overall mortality was lower for
patients administered carbapenems compared with those administered non
carbapenems [risk ratio (RR) 0.78, 95% CI 0.61-0.98], non-beta-lactam/beta
lactamase inhibitor combinations (non-BL/BLI) (RR 0.71, 95% CI 0.56-0.90) and
cephalosporins (RR 0.56, 95% CI 0.42-0.74). There were no differences between the
carbapenems and the other antibiotics, namely BL/BLIs, quinolones and
aminoglycosides. Conclusions: This meta-analysis showed that BL/BLIs may be
promising alternative antibiotics for definitive therapy in patients with ESBL-B.
However, the lack of robust data derived from randomized controlled trials limits
the conclusions and inferences from the pooled data.
PMID- 29800481
TI - Corrigendum to "Neoadjuvant 5-FU or Capecitabine Plus Radiation With or Without
Oxaliplatin in Rectal Cancer Patients: A Phase III Randomized Clinical Trial".
PMID- 29800482
TI - The expanding roles of microRNAs in kidney pathophysiology.
AB - MicroRNAs (miRNAs) are short single-stranded RNAs that control gene expression
through base pairing with regions within the 3'-untranslated region of target
mRNAs. These small non-coding RNAs are now increasingly known to be involved in
kidney physiopathology. In this review we will describe how miRNAs were in recent
years implicated in cellular and animal models of kidney disease but also in
chronic kidney disease, haemodialysed and grafted patients, acute kidney injury
patients and so on. At the moment miRNAs are considered as potential biomarkers
in nephrology, but larger cohorts as well as the standardization of methods of
measurement will be needed to confirm their usefulness. It will further be of the
utmost importance to select specific tissues and biofluids to make miRNAs
appropriate in day-to-day clinical practice. In addition, up- or down-regulating
miRNAs that were described as deregulated in kidney diseases may represent
innovative therapeutic methods to cure these disorders. We will enumerate in this
review the most recent methods that can be used to deliver miRNAs in a specific
and suitable way in kidney and other organs damaged by kidney failure, such as
the cardiovascular system.
PMID- 29800483
TI - Calcium and phosphorus loss from laying hen bones autoclaved for tissue removal.
AB - Standard procedure for most conventional bone assays rely on bones being free of
attached muscle or integumentary tissue. Use of an autoclave for bone cleaning is
advantageous, as parts may be cleaned afterward by peeling the muscle away as
opposed to tediously scrapping muscle tissue from the bone by hand. However,
autoclave use for tissue removal has not been validated and published studies
typically do not specify the cleaning method. One concern is that autoclave usage
could cause mineral leaching out of the bone. The objective was to determine any
change in bone mineral content as a result of autoclaving bone samples to remove
muscle tissue. Ten pairs of frozen chicken legs were randomly selected and thawed
from 72-wk-old W36 hens. Right legs were autoclaved at 121 degrees C for 25 min
in individual trays. Left legs were thawed and cleaned by hand. The tibia, meat,
and exudate were collected from each leg. Cleaned bones were placed in a soxhlet
to extract the fat for 30 h and ashed at 600 degrees C for 8 h. Bone and muscle
samples underwent microwave digestion in 10 mL of 70% nitric acid. Digested
samples were analyzed for calcium using a flame atomic absorption
spectrophotometer. Phosphorus was determined by a colorimetric assay measuring
phosphate ion complexes. Statistical analysis was completed by paired t-tests. We
found no significant calcium (P = 0.6319) or phosphorus (P = 0.1698) loss from
bones autoclaved as compared with bones that were hand cleaned. This study
provides evidence that affirms that the use of the autoclave on bones is a
suitable method for tissue removal from the leg bones of adult laying hens.
PMID- 29800484
TI - Oysters and eelgrass: potential partners in a high pCO2 ocean.
AB - Climate change is affecting the health and physiology of marine organisms and
altering species interactions. Ocean acidification (OA) threatens calcifying
organisms such as the Pacific oyster, Crassostrea gigas. In contrast, seagrasses,
such as the eelgrass Zostera marina, can benefit from the increase in available
carbon for photosynthesis found at a lower seawater pH. Seagrasses can remove
dissolved inorganic carbon from OA environments, creating local daytime pH
refugia. Pacific oysters may improve the health of eelgrass by filtering out
pathogens such as Labyrinthula zosterae (LZ), which causes eelgrass wasting
disease (EWD). We examined how co-culture of eelgrass ramets and juvenile oysters
affected the health and growth of eelgrass and the mass of oysters under
different pCO2 exposures. In Phase I, each species was cultured alone or in co
culture at 12 degrees C across ambient, medium, and high pCO2 conditions, (656,
1,158 and 1,606 MUatm pCO2 , respectively). Under high pCO2 , eelgrass grew
faster and had less severe EWD (contracted in the field prior to the experiment).
Co-culture with oysters also reduced the severity of EWD. While the presence of
eelgrass decreased daytime pCO2 , this reduction was not substantial enough to
ameliorate the negative impact of high pCO2 on oyster mass. In Phase II, eelgrass
alone or oysters and eelgrass in co-culture were held at 15 degrees C under
ambient and high pCO2 conditions, (488 and 2,013 MUatm pCO2 , respectively). Half
of the replicates were challenged with cultured LZ. Concentrations of defensive
compounds in eelgrass (total phenolics and tannins), were altered by LZ exposure
and pCO2 treatments. Greater pathogen loads and increased EWD severity were
detected in LZ exposed eelgrass ramets; EWD severity was reduced at high relative
to low pCO2 . Oyster presence did not influence pathogen load or EWD severity;
high LZ concentrations in experimental treatments may have masked the effect of
this treatment. Collectively, these results indicate that, when exposed to
natural concentrations of LZ under high pCO2 conditions, eelgrass can benefit
from co-culture with oysters. Further experimentation is necessary to quantify
how oysters may benefit from co-culture with eelgrass, examine these interactions
in the field and quantify context-dependency.
PMID- 29800485
TI - Time-lapse systems for embryo incubation and assessment in assisted reproduction.
AB - BACKGROUND: Embryo incubation and assessment is a vital step in assisted
reproductive technology (ART). Traditionally, embryo assessment has been achieved
by removing embryos from a conventional incubator daily for quality assessment by
an embryologist, under a light microscope. Over recent years time-lapse systems
have been developed which can take digital images of embryos at frequent time
intervals. This allows embryologists, with or without the assistance of embryo
selection software, to assess the quality of the embryos without physically
removing them from the incubator.The potential advantages of a time-lapse system
(TLS) include the ability to maintain a stable culture environment, therefore
limiting the exposure of embryos to changes in gas composition, temperature and
movement. A TLS has the potential advantage of improving embryo selection for ART
treatment by utilising additional information gained through continuously
monitoring embryo development. Use of a TLS often adds significant extra cost
onto an in vitro fertilisation (IVF) cycle. OBJECTIVES: To determine the effect
of a TLS compared to conventional embryo incubation and assessment on clinical
outcomes in couples undergoing ART. SEARCH METHODS: We used standard methodology
recommended by Cochrane. We searched the Cochrane Gynaecology and Fertility (CGF)
Group trials register, CENTRAL, MEDLINE, Embase, CINAHL and two trials registers
on 2 August 2017. SELECTION CRITERIA: We included randomised controlled trials
(RCTs) in the following comparisons: comparing a TLS, with or without embryo
selection software, versus conventional incubation with morphological assessment;
and TLS with embryo selection software versus TLS without embryo selection
software among couples undergoing ART. DATA COLLECTION AND ANALYSIS: We used
standard methodological procedures recommended by Cochrane. The primary review
outcomes were live birth, miscarriage and stillbirth. Secondary outcomes were
clinical pregnancy and cumulative clinical pregnancy. We reported quality of the
evidence for important outcomes using GRADE methodology. We made the following
comparisons.TLS with conventional morphological assessment of still TLS images
versus conventional incubation and assessmentTLS utilising embryo selection
software versus TLS with conventional morphological assessment of still TLS
images TLS utilising embryo selection software versus conventional incubation and
assessment MAIN RESULTS: We included eight RCTs (N = 2303 women). The quality of
the evidence ranged from very low to moderate. The main limitations were
imprecision and risk of bias associated with lack of blinding of participants and
researchers, and indirectness secondary to significant heterogeneity between
interventions in some studies. There were no data on cumulative clinical
pregnancy.TLS with conventional morphological assessment of still TLS images
versus conventional incubation and assessmentThere is no evidence of a difference
between the interventions in terms of live birth rates (odds ratio (OR) 0.73, 95%
CI 0.47 to 1.13, 2 RCTs, N = 440, I2 = 11% , moderate-quality evidence) and may
also be no evidence of difference in miscarriage rates (OR 2.25, 95% CI 0.84 to
6.02, 2 RCTs, N = 440, I2 = 44%, low-quality evidence). The evidence suggests
that if the live birth rate associated with conventional incubation and
assessment is 33%, the rate with use of TLS with conventional morphological
assessment of still TLS images is between 19% and 36%; and that if the
miscarriage rate with conventional incubation is 3%, the rate associated with
conventional morphological assessment of still TLS images would be between 3% and
18%. There is no evidence of a difference between the interventions in the
stillbirth rate (OR 1.00, 95% CI 0.13 to 7.49, 1 RCT, N = 76, low-quality
evidence). There is no evidence of a difference between the interventions in
clinical pregnancy rates (OR 0.88, 95% CI 0.58 to 1.33, 3 RCTs, N = 489, I2 = 0%,
moderate-quality evidence).TLS utilising embryo selection software versus TLS
with conventional morphological assessment of still TLS imagesNo data were
available on live birth or stillbirth. We are uncertain whether TLS utilising
embryo selection software influences miscarriage rates (OR 1.39, 95% CI 0.64 to
3.01, 2 RCTs, N = 463, I2 = 0%, very low-quality evidence) and there may be no
difference in clinical pregnancy rates (OR 0.97, 95% CI 0.67 to 1.42, 2 RCTs, N =
463, I2 = 0%, low-quality evidence). The evidence suggests that if the
miscarriage rate associated with assessment of still TLS images is 5%, the rate
with embryo selection software would be between 3% and 14%.TLS utilising embryo
selection software versus conventional incubation and assessmentThere is no
evidence of a difference between TLS utilising embryo selection software and
conventional incubation improving live birth rates (OR 1.21, 95% CI 0.96 to 1.54,
2 RCTs, N = 1017, I2 = 0%, very low-quality evidence). We are uncertain whether
TLS influences miscarriage rates (OR 0.73, 95% CI 0.49 to 1.08, 3 RCTs, N = 1351,
I2 = 0%, very low-quality evidence). The evidence suggests that if the live birth
rate associated with no TLS is 38%, the rate with use of conventional incubation
would be between 36% and 58%, and that if miscarriage rate with conventional
incubation is 9%, the rate associated with TLS would be between 4% and 10%. No
data on stillbirths were available. It was uncertain whether the intervention
influenced clinical pregnancy rates (OR 1.17, 95% CI 0.94 to 1.45, 3 RCTs, N =
1351, I2 = 42%, very low-quality evidence). AUTHORS' CONCLUSIONS: There is
insufficient evidence of differences in live birth, miscarriage, stillbirth or
clinical pregnancy to choose between TLS, with or without embryo selection
software, and conventional incubation. The studies were at high risk of bias for
randomisation and allocation concealment, the result should be interpreted with
extreme caution.
PMID- 29800486
TI - Education of healthcare professionals for preventing pressure ulcers.
AB - BACKGROUND: Pressure ulcers, also known as bed sores or pressure sores, are
localised areas of tissue damage arising due to excess pressure and shearing
forces. Education of healthcare staff has been recognised as an integral
component of pressure ulcer prevention. These educational programmes are directed
towards influencing behaviour change on the part of the healthcare professional,
to encourage preventative practices with the aim of reducing the incidence of
pressure ulcer development. OBJECTIVES: To assess the effects of educational
interventions for healthcare professionals on pressure ulcer prevention. SEARCH
METHODS: In June 2017 we searched the Cochrane Wounds Specialised Register; the
Cochrane Central Register of Controlled Trials (CENTRAL); Ovid MEDLINE (including
In-Process & Other Non-Indexed Citations); Ovid Embase and EBSCO CINAHL Plus. We
also searched clinical trials registries for ongoing and unpublished studies, and
scanned reference lists of relevant included studies as well as reviews, meta
analyses and health technology reports to identify additional studies. There were
no restrictions with respect to language, date of publication or study setting.
SELECTION CRITERIA: We included randomised controlled trials (RCTs) and cluster
RCTs, that evaluated the effect of any educational intervention delivered to
healthcare staff in any setting to prevent pressure ulceration. DATA COLLECTION
AND ANALYSIS: Two review authors independently assessed titles and abstracts of
the studies identified by the search strategy for eligibility. We obtained full
versions of potentially relevant studies and two authors independently screened
these against the inclusion criteria. MAIN RESULTS: We identified five studies
that met the inclusion criteria for this review: four RCTs and one cluster-RCT.
The study characteristics differed in terms of healthcare settings, the nature of
the interventions studied and outcome measures reported. The cluster-RCT, and two
of the RCTs, explored the effectiveness of education delivered to healthcare
staff within residential or nursing home settings, or nursing home and hospital
wards, compared to no intervention, or usual practices. Educational intervention
in one of these studies was embedded within a broader, quality improvement
bundle. The other two individually randomised controlled trials explored the
effectiveness of educational intervention, delivered in two formats, to nursing
staff cohorts.Due to the heterogeneity of the studies identified, pooling was not
appropriate and we have presented a narrative overview. We explored a number of
comparisons (1) education versus no education (2) components of educational
intervention in a number of combinations and (3) education delivered in different
formats. There were three primary outcomes: change in healthcare professionals'
knowledge, change in healthcare professionals' clinical behaviour and incidence
of new pressure ulcers.We are uncertain whether there is a difference in health
professionals' knowledge depending on whether they receive education or no
education on pressure ulcer prevention (hospital group: mean difference (MD)
0.30, 95% confidence interval (CI) -1.00 to 1.60; 10 participants; nursing home
group: MD 0.30, 95% CI -0.77 to 1.37; 10 participants). This was based on very
low-certainty evidence from one study, which we downgraded for serious study
limitations, indirectness and imprecision.We are uncertain whether there is a
difference in pressure ulcer incidence with the following comparisons: training,
monitoring and observation, versus monitoring and observation (risk ratio (RR)
0.63, 95% CI 0.37 to 1.05; 345 participants); training, monitoring and
observation, versus observation alone (RR 1.21, 95% CI 0.60 to 2.43; 325
participants) or, monitoring and observation versus observation alone (RR 1.93,
95% CI 0.96 to 3.88; 232 participants). This was based on very low-certainty
evidence from one study, which we downgraded for very serious study limitations
and imprecision. We are uncertain whether multilevel intervention versus
attention control makes any difference to pressure ulcer incidence. The report
presented insufficient data to enable further interrogation of this outcome.We
are uncertain whether education delivered in different formats such as didactic
education versus video-based education (MD 4.60, 95% CI 3.08 to 6.12; 102
participants) or e-learning versus classroom education (RR 0.92, 95% CI 0.80 to
1.07; 18 participants), makes any difference to health professionals' knowledge
of pressure ulcer prevention. This was based on very low-certainty evidence from
two studies, which we downgraded for serious study limitations and study
imprecision.None of the included studies explored our other primary outcome:
change in health professionals' clinical behaviour. Only one study explored the
secondary outcomes of interest, namely, pressure ulcer severity and patient and
carer reported outcomes (self-assessed quality of life and functional dependency
level respectively). However, this study provided insufficient information to
enable our independent assessment of these outcomes within the review. AUTHORS'
CONCLUSIONS: We are uncertain whether educating healthcare professionals about
pressure ulcer prevention makes any difference to pressure ulcer incidence, or to
nurses' knowledge of pressure ulcer prevention. This is because the included
studies provided very low-certainty evidence. Therefore, further information is
required to clarify the impact of education of healthcare professionals on the
prevention of pressure ulcers.
PMID- 29800487
TI - DISCOVERING FRUGAL INNOVATIONS THROUGH DELIVERING EARLY CHILDHOOD HOME-VISITING
INTERVENTIONS IN LOW-RESOURCE TRIBAL COMMUNITIES.
AB - Early childhood home-visiting has been shown to yield the greatest impact for the
lowest income, highest disparity families. Yet, poor communities generally
experience fractured systems of care, a paucity of providers, and limited
resources to deliver intensive home-visiting models to families who stand to
benefit most. This article explores lessons emerging from the recent Tribal
Maternal and Infant Early Childhood Home Visiting (MIECHV) legislation supporting
delivery of home-visiting interventions in low-income, hard-to-reach American
Indian and Alaska Native communities. We draw experience from four diverse tribal
communities that participated in the Tribal MIECHV Program and overcame
socioeconomic, geographic, and structural challenges that called for both early
childhood home-visiting services and increased the difficulty of delivery. Key
innovations are described, including unique community engagement, recruitment and
retention strategies, expanded case management roles of home visitors to overcome
fragmented care systems, contextual demands for employing paraprofessional home
visitors, and practical advances toward streamlined evaluation approaches. We
draw on the concept of "frugal innovation" to explain how the experience of
Tribal MIECHV participation has led to more efficient, effective, and culturally
informed early childhood home-visiting service delivery, with lessons for future
dissemination to underserved communities in the United States and abroad.
PMID- 29800488
TI - Use and Incidence of Adverse Effects of Proton Pump Inhibitors in Patients with
Cystic Fibrosis.
AB - PURPOSE: To evaluate the incidence of adverse effects associated with chronic
proton pump inhibitor (PPI) use as well as the dosing, indication, and duration
of use of PPIs in the cystic fibrosis (CF) population at a pediatric academic
medical center. METHODS: Study design was a retrospective chart review evaluating
patients with CF who were prescribed a PPI for at least 6 months (PPI group) or
patients with CF who had never been prescribed a PPI (control group) from June 1,
2014, to May 31, 2015. RESULTS: The study enrolled 126 patients in the PPI group
and 49 patients in the control group. Forty-four patients (34.9%) had an
indication for both gastroesophageal reflux and enzyme enhancement, with an
average PPI daily dose of 1 mg/kg/day. Twenty-one patients (16.7%) in the PPI
group had an incidence of hypomagnesemia compared with one patient (2%) in the
control group (p=0.097). Overall, 75 patients (59.6%) receiving chronic PPI
therapy had at least one pulmonary exacerbation compared with 12 patients (24.5%)
in the control group (p<0.001). No significant difference was noted in the
incidence of hypocalcemia, low bone mineral density, or positive Clostridium
difficile toxin between the two groups. CONCLUSION: The PPI group had a higher
risk of pulmonary exacerbation compared with the control group. Further studies
are needed to assess adverse effects associated with chronic PPI use in patients
with CF.
PMID- 29800489
TI - DNA Profiles from Fingerprint Lifts-Enhancing the Evidential Value of Fingermarks
Through Successful DNA Typing.
AB - This study evaluated the compatibility of the most common enhancement methods and
lifting techniques with DNA profiling. Emphasis is placed on modern lifting
techniques (i.e., gelatin lifters and IsomarkTM) and historical fingerprint lifts
for which limited research has been previously conducted. A total of 180
fingerprints were deposited on a glass surface, enhanced, lifted, and processed
for DNA typing. DNA could be extracted and profiled for all the powders and lifts
tested and from both groomed fingerprints and natural prints with no significant
difference in the percentage of profile recovered. DNA profiles could also be
obtained from historical fingerprint lifts (79.2% of 72 lifts) with one or more
alleles detected. These results demonstrate the compatibility between different
powder/lift combinations and DNA profiling therefore augmenting the evidential
value of fingerprints in forensic casework.
PMID- 29800490
TI - Clinical Outcomes with Penicillin Versus Alternative beta-Lactams in the
Treatment of Penicillin-Susceptible Staphylococcus aureus Bacteremia.
AB - OBJECTIVES: To identify the impact of penicillin versus alternative beta-lactams
on clinical outcomes in patients with penicillin-susceptible Staphylococcus
aureus (PSSA) bacteremia. DESIGN: Retrospective cohort study. SETTING: Academic
medical center. PATIENTS: Adult patients with PSSA bacteremia treated with a beta
lactam as definitive therapy. MEASUREMENTS: The primary outcome was a composite
end point of 30-day clinical failure (change in PSSA therapy due to persistent or
worsening signs and symptoms, PSSA bacteremia recurrence or persistence, and/or
infection-related mortality) in patients treated with penicillin versus
alternative beta-lactams. Secondary outcomes included infection-related and
hospital length of stay (LOS), 90-day recurrence, 90-day infection-related
readmission, 30-day all-cause mortality, adverse drug events (ADEs), and 30-day
change in PSSA therapy due to ADEs. A subgroup analysis comparing penicillin,
nafcillin, and cefazolin was also conducted. MAIN RESULTS: For the 122 patients
who were included, the most common definitive therapies were nafcillin (37%),
cefazolin (29%), and penicillin (21%). No difference was found in 30-day clinical
failure (4% vs 11%, p=0.46), infection-related LOS (12 days vs 11 days, p=0.39),
hospital LOS (12.5 days vs 12 days, p=0.69), 90-day recurrence (p=1.00), 90-day
infection-related readmission (p=1.00), or 30-day all-cause mortality (p=0.45)
between penicillin and other beta-lactams. The prevalence of ADEs was different
among penicillin, nafcillin, and cefazolin (p=0.049), with nafcillin requiring
more changes in therapy (p=0.005). CONCLUSIONS: Definitive therapy with
penicillin had similar efficacy compared with alternative beta-lactams for the
treatment of PSSA bacteremia. However, nafcillin was associated with more ADEs
requiring a change in therapy.
PMID- 29800491
TI - Toward Cleavable Metabolic/pH Sensing "Double Agents" Hyperpolarized by NMR
Signal Amplification by Reversible Exchange.
AB - We show the simultaneous generation of hyperpolarized 13 C-labeled acetate and 15
N-labeled imidazole following spin-relay of hyperpolarization and hydrolysis of
the acetyl moiety on 1-13 C-15 N2 -acetylimidazole. Using SABRE-SHEATH (Signal
Amplification by Reversible Exchange in SHield Enables Alignment Transfer to
Heteronuclei), transfer of spin order occurs from parahydrogen to acetylimidazole
15 N atoms and the acetyl 13 C site (~263-fold enhancement), giving rise to
relatively long hyperpolarization lifetimes at 0.3 T (T1 ~52 s and ~149 s for 13
C and 15 N, respectively). Immediately following polarization transfer, the 13 C
labeled acetyl group is hydrolytically cleaved to produce hyperpolarized 13 C
acetate/acetic acid (~140-fold enhancement) and 15 N-imidazole (~180-fold
enhancement), the former with a 13 C T1 of ~14 s at 0.3 T. Straightforward
synthetic routes, efficient spin-relay of SABRE hyperpolarization, and facile
bond cleavage open a door to the cheap and rapid generation of long-lived
hyperpolarized states within a wide range of molecular targets, including
biologically relevant carboxylic acid derivatives, for metabolic and pH imaging.
PMID- 29800492
TI - Oral Candida carriage among cigarette- and waterpipe-smokers, and electronic
cigarette users.
AB - OBJECTIVE: The aim of this cross-sectional study was to compare oral Candida
carriage among cigarette- and waterpipe-smokers, electronic cigarette (E-Cig)
users, and never-smokers. METHODS: Demographic data and information regarding
smoking and vaping were collected using a questionnaire. Number of missing teeth
and unstimulated whole salivary flow rate (UWSFR) were recorded. Oral Candida
samples were collected and identified using concentrated oral rinse culture
technique and PCR, respectively. Level of significance was set at p < 0.05.
RESULTS: Thirty-four cigarette-smokers (Group-1), 33 waterpipe-smokers (Group-2),
30 E-Cig users (Group-3), and 32 never-smokers (Group-4) were included. All were
male participants with comparable mean ages. In groups 1, 2, 3, and 4, oral
Candida carriage rate was 100%, 100%, 83.3% and 50%, respectively. The most
commonly isolated oral yeast species in all groups was C. albicans. C. albicans
carriage was significantly higher in groups 1 (p < 0.05), 2 (p < 0.05), and 3 (p
< 0.05) than Group-4. Data stratification for age, missing teeth, and UWSFR
showed no significant difference in oral yeasts carriage in groups 1, 2, and 3.
CONCLUSIONS: Oral C. albicans carriage was significantly higher among cigarette-
and waterpipe-smokers and E-Cig users than never-smokers. No significant
differences were identified among groups in the oral carriage of other Candida
species.
PMID- 29800493
TI - Selective Solid-Liquid and Liquid-Liquid Extraction of Lithium Chloride Using
Strapped Calix[4]pyrroles.
AB - LiCl is a classic "hard" ion salt that is present in lithium-rich brines and a
key component in end-of-life materials (that is, used lithium-ion batteries). Its
isolation and purification from like salts is a recognized challenge with
potential strategic and economic implications. Herein, we describe two ditopic
calix[4]pyrrole-based ion-pair receptors (2 and 3), that are capable of
selectively capturing LiCl. Under solid-liquid extraction conditions, using 2 as
the extractant, LiCl could be separated from a NaCl/KCl salt mixture containing
as little as 1 % LiCl with circa 100 % selectivity, while receptor 3 achieved
similar separations when the LiCl level was as low as 200 ppm. Under liquid
liquid extraction conditions using nitrobenzene as the non-aqueous phase, the
extraction preference displayed by 2 is KCl>NaCl>LiCl. In contrast, 3 exhibits
high selectivity towards LiCl over NaCl and KCl, with no appreciable extraction
being observed for the latter two salts.
PMID- 29800494
TI - Benzodiazepine dose reduction in prisoner patients: 15 years' teamwork between
psychiatrists and pharmacists.
AB - WHAT IS KNOWN AND OBJECTIVE: Benzodiazepines are widely consumed in prisons,
despite the iatrogenic risks associated with this therapeutic class. A
multidisciplinary pharmacotherapy programme was therefore initiated by
pharmacists in 2001. The aim of this study was to demonstrate the efficacy of
teamwork between psychiatrists and pharmacists in benzodiazepine dose adjustment,
with 15 years of hindsight. METHOD: In this retrospective study, daily prescribed
benzodiazepine doses were compared between a reference group of patients in
prisons in Lyon, France, in 2000, and four groups after psychiatrist-pharmacist
teamwork in 2004, 2008, 2012 and 2016. RESULTS AND DISCUSSION: A number of 1249
patients were included. Prescribed doses of benzodiazepine decreased in the
intervention groups, to a mean of 29-35 mg diazepam equivalent per day, compared
to the control group (42 mg/day) (P < .001). The first 4-year period (2000-2004)
demonstrated that monthly meetings and systematic pharmaceutical medication
review had an impact on prescribed benzodiazepines, limiting consumed doses. The
others (2004-2008, 2008-2012 and 2012-2016) confirmed that physicians' adherence
to prescription guidelines and the efficacy of pharmacotherapy programme was
maintained, particularly in those inmates taking high doses. WHAT IS NEW AND
CONCLUSION: A continuous quality programme conducted by psychiatrists and
pharmacists showed positive impact in reducing doses of benzodiazepine prescribed
to prisoner patients and contributing to reduce risk of benzodiazepine-related
problems.
PMID- 29800495
TI - Costs and benefits of alternative food handling tactics help explain facultative
exploitation of pollination mutualisms.
AB - Many mutualisms are taken advantage of by organisms that take rewards from their
partners but provide no benefit in return. In the absence of traits that limit
exploitation, facultative exploiters (partners that can either exploit or
cooperate) are widely predicted by mutualism theory to choose an exploitative
strategy, potentially threatening mutualism stability. However, it is unknown
whether facultative exploiters choose to exploit, and, if so, make this choice
because it is the most beneficial strategy for them. We explored these questions
in a subalpine plant-insect community in which individuals of several bumble bee
species visit flowers both "legitimately" (entering via the flower opening,
picking up and depositing pollen, and hence behaving mutualistically) and via
nectar robbing (creating holes through corollas or using an existing hole,
bypassing stigmas and anthers). We applied foraging theory to (1) quantify
handling costs, benefits and foraging efficiencies incurred by three bumble bee
species as they visited flowers legitimately or robbed nectar in cage
experiments, and (2) determine whether these efficiencies matched the food
handling tactics these bee species employed in the field. Relative efficiencies
of legitimate and robbing tactics depended on the combination of bee and plant
species. In some cases (Bombus mixtus visiting Corydalis caseana or Mertensia
ciliata), the robbing tactic permitted more efficient nectar removal. As both
mutualism and foraging theory would predict, in the field, B. mixtus visiting C.
caseana were observed more frequently robbing than foraging legitimately.
However, for Bombus flavifrons visiting M. ciliata, the expectation from
mutualism theory did not hold: legitimate visitation was the more efficient
tactic. Legitimate visitation to M. ciliata was in fact more frequently observed
in free-flying B. flavifrons. Free-flying B. mixtus also frequently visited M.
ciliata flowers legitimately. This may reflect lower nectar volumes in robbed
than unrobbed flowers in the field. These results suggest that a foraging ecology
perspective is informative to the choice of tactics facultative exploiters make.
In contrast, the simple expectation that exploiters should always have an
advantage, and hence could threaten mutualism persistence unless they are
deterred or punished, may not be broadly applicable.
PMID- 29800496
TI - Polymyxin B Pharmacokinetics in Adult Cystic Fibrosis Patients.
AB - OBJECTIVES: Polymyxin B pharmacokinetics (PK) in adults with cystic fibrosis (CF)
are not well described. The goals of this pilot study were to identify a PK model
for patients with CF receiving polymyxin B with exploration of covariate
relationships of the PK parameters, to compare polymyxin B PK parameters in
adults without CF, and to probe exposures associated with different dosing
schemes through simulation. METHODS: Adult patients with CF treated with
polymyxin B at New York Presbyterian Hospital had PK samples measured by LC
MS/MS. Multiple PK models were fit utilizing Pmetrics for R. Model covariates
considered included: age, total body weight, creatinine clearance (CrCL),
albumin, and body mass index. PK estimates in CF patients were compared with PK
data for 53 adults without CF who were receiving polymyxin B from the same
institution. Simulations with target exposure (AUC)/minimum inhibitory
concentration (MIC) of 20mg*L/h were conducted for different dosing schemes and
MIC ranges. MAIN RESULTS: Nine patients with CF received between 58 and 240 mg of
polymyxin B (median 1.47 mg/kg/dose [IQR (1.43-1.65)]. A two-compartment model
adjusting polymyxin B clearance for patient CrCL was better than a standard two
compartment model (p=0.004) in CF patients. When compared to PK data for patients
without CF (reference 11), PK parameters of polymyxin B in CF were similar
(P>0.05). Simulations for plasma concentrations showed all regimens performed
adequately at MICs between 0.03125 and 0.125 mg/L, but not at increasing MICs of
1 and 2 mg/L. CONCLUSIONS: In this pilot study of polymyxin B PK in adults with
CF, the PK parameters of polymyxin B were mostly similar to adults without CF. We
observed a potential association between CrCL and polymyxin B clearance, which
stands in contrast to the general adult population. However, this observation
requires further study. Additional studies focusing on optimal and safe polymyxin
B dosing in CF are needed. This article is protected by copyright. All rights
reserved.
PMID- 29800497
TI - Three decades of annual growth, mortality, physical condition, and microsite for
ten tropical rainforest tree species.
AB - In lowland tropical rainforest, hundreds of tree species typically occur within
mesoscale landscapes (50-500 ha). There is no consensus ecological theory that
accounts for the coexistence of so many species with similar morphologies and the
same fundamental requirements of light, nutrients, water, and physical space. In
part this is due to the limited understanding of post-establishment ecology for
the vast majority of tropical tree species. Of even more concern is the lack of
understanding of how these trees are responding to on-going atmospheric and
climatic changes. Here we present long-term data on the post-establishment
ecology of ten species of tropical rainforest trees that span a broad life
history spectrum. The study site was upland (non-swamp) old-growth tropical wet
forest at the La Selva Biological Station (N.E. Costa Rica). Focal individuals
from established seedlings to mature trees were assessed annually, with an
emphasis on accuracy and long-term consistency of the observations. The annual
time-step, rare for longterm studies in tropical rainforest, captures the
typically abrupt changes in forest structure and light environments, the frequent
instances of major physical damage, and the trees' responses to these events and
to interannual and long-term climatic variation. With the completion of the study
in 2016, the data for survivorship, growth, and microsite conditions span 4,499
individuals and 34 yr. The first ten years of these data were published as an
Ecology/Ecological Archives data paper in 2000 (Clark and Clark 2000), with two
subsequent update publications (Clark and Clark 2006, 2012). This final update
adds the final six years of observations, digitized field comments, and histories
of points of measurement on the trees. The metadata now include the scanned
original field data-sheets for the entire study and a narrative detailing the
annual qa/qc of the data. The data set is unique for its scope (years of
continuous annual measurements, number of monitored individuals), the in-depth
documentation, and the unrestricted data access. The data have been used to study
life history patterns, tree ecology through ontogeny, and effects on tree
performance from interannual and long-term climatic and atmospheric change. They
have also contributed to numerous remote-sensing studies. No copyright or
proprietary restrictions are associated with the use of this data set other than
citation of the paper; the authors believe scientific data should be freely
available for scientific use. The authors would appreciate notification of when
and how data are used, but this is discretionary on the part of the data users
and is in no sense mandatory.
PMID- 29800498
TI - Palmitoylated phosphodiester gapmer designs with albumin binding capacity and
maintained in vitro gene silencing activity.
AB - BACKGROUND: Antisense gapmer oligonucleotide drugs require delivery and
biodistribution enabling technologies to increase in vivo efficacy. An attractive
approach is their binding and consequent transport by the endogenous human serum
albumin pool as mediated by fatty acid incorporation into the gapmer design.
METHODS: The present study investigated the effect of palmitoyl modification and
position on albumin-binding, cellular uptake and in vitro gene silencing of
gapmers with either a phosphorothioate (PS) or phosphodiester (PO) backbone.
RESULTS: Two palmitoyls positioned exclusively at the 5' end, or a single
palmitoyl at both the 3' and 5' positions, showed similar binding to human serum
albumin as demonstrated by a gel-shift assay. Decreased cellular uptake
determined by flow cytometry (27% compared to nonpalmitoyl gapmers) was observed
for palmitoylated Cy5.5 labelled gapmers. However, HER3 (human epidermal growth
factor receptor 3) gene silencing was exhibited by the palmitoylated gapmers with
transfection agent in PC-3 and Caco-2 cells (68% and 62%, respectively), which
was comparable to nonpalmitoyl gapmers (68% and 82%, respectively). Importantly,
PO gapmers with a single palmitoyl positioned at both the 3' and 5' positions
showed high silencing efficiencies (68% and 66% in PC-3 and Caco-2 cells,
respectively) similar to those of PS nonpalmitoylated gapmers (67% and 66% in PC
3 and Caco-2 cells, respectively) in the absence of a transfection agent.
CONCLUSIONS: The present study defines phosphodiester gapmer design criteria
exhibiting high gene silencing activity and albumin binding that may be utilized
with potentially less in vivo toxicity that can be associated with
phosphorothioate gapmer designs.
PMID- 29800499
TI - Direct Synthesis of Polymer Vesicles on the Hundred-Nanometer-and-Beyond Scale
Using Chemical Oscillations.
AB - The direct synthesis of block copolymer vesicles on the scale of tens to hundreds
of nanometers using reversible addition-fragmentation chain transfer (RAFT)
dispersion polymerization as an effect of chemical oscillations is reported. RAFT
polymerization is successfully accomplished between polyethylene glycol
containing a RAFT agent (PEG-CTA) and ethyl acrylate monomer in the presence of
the Belousov-Zhabotinsky (B-Z) reaction in oscillatory mode. The self-assembly of
poly(ethylene glycol)-b-poly(ethyl acrylate) unimers gives rise to spherical
micelles. The self-assembled micelles reorganize and transform to vesicles. All
the chemistry of polymerization, self-assembly and self-organization, of
macromolecules takes place in a single pot using only a few simple raw materials
in aqueous solution.
PMID- 29800500
TI - Impact of High-Dose Acyclovir Cytomegalovirus Prophylaxis Failure in Abdominal
Solid Organ Transplant Recipients.
AB - STUDY OBJECTIVE: To evaluate the clinical course and long-term impact of high
dose acyclovir (HD-A, 800 mg 4 times/day) cytomegalovirus (CMV) prophylaxis
failure in a CMV-seropositive abdominal solid organ transplant population.
DESIGN: Retrospective cohort study. SETTING: Tertiary academic medical center.
PATIENTS: A total of 691 adults who received solid organ transplants between
January 1, 2008, and June 30, 2013, without lymphocyte-depleting induction and
were prescribed 3 months of HD-A prophylaxis at the time of hospital discharge.
Of those patients, 54 experienced prophylaxis failure, defined as CMV detected
via molecular diagnostics or on biopsy while receiving HD-A (prophylaxis failure
group), and 637 did not (comparator group). MEASUREMENTS AND MAIN RESULTS: Mean
+/- SD time to failure was 64 +/- 23 days; 98% (53/54 patients) was attributable
to viremia diagnosed via positive polymerase chain reaction (PCR). Of these 53
patients, 34% (18 patients) were below the quantifiable range when detected.
Median initial and peak CMV PCR for quantifiable readings were 1531 IU/ml
(interquartile range [IQR] less than 250-2947) and 4442 IU/ml (IQR less than 250
32,500); 19 (36%) had a single detectable CMV PCR. Treatment was required in 56%
(30/54 patients), with a median duration of 63 days; 40% (12 patients) were
treated with valganciclovir alone, and the remainder received intravenous
ganciclovir. CMV disease resulted in hospitalization in 28% (15 patients).
Immunosuppression was modified in 52% (28 patients). The rate of CMV recurrence
after 100 days was significantly higher in the prophylaxis failure group (59% vs
13%, p<0.0001). Higher rates of rejection; higher rates of 1-, 3-, and 5-year
graft failure; and higher rates of 1-, 3-, and 5-year mortality were noted in the
prophylaxis failure group on univariate analysis (43% vs 30%, p=0.045; 8%, 17%,
and 34% vs 4%, 12%, and 17%, p=0.006; and 6%, 17%, and 26% vs 1%, 6%, and 10%,
p=0.003, respectively). Multivariate analysis demonstrated an increased risk of
graft failure in the prophylaxis failure group (hazard ratio [HR] 1.76, 95%
confidence interval [CI] 1-3.1, p=0.0499) and a trend to increased mortality (HR
1.6, 95% CI 0.83-3.1, p=0.16). CONCLUSION: Prophylaxis failure with HD-A was
mostly limited to mild viremia; however, it was associated with significantly
reduced long-term graft survival, likely reflecting the negative impact of CMV
viremia.
PMID- 29800501
TI - Evolutive echocardiographic changes in cardiac amyloidosis: Look at the whole
picture.
AB - Doppler echocardiogram provides not only structural information about heart
chambers, valves and pericardium but also contributes to functional assessment. A
unique feature of this assessment is its variability, not only due to heart
disease course but also related to extracardiac conditions. We present a case of
primary amyloidosis with two atypical characteristics: left ventricular outflow
obstruction and low-grade diastolic dysfunction. Nevertheless, a more typical
picture of cardiac amyloidosis was observed in the evolution of the disease
coinciding with a second cardiac stressor, emphasizing the necessity of not
focusing our attention exclusively to the heart.
PMID- 29800503
TI - Women Who Bedshare More Frequently at 14 Weeks Postpartum Subsequently Report
Longer Durations of Breastfeeding.
AB - INTRODUCTION: Breastfeeding rates in the United States continue to fall short of
Healthy People 2020 goals. Bedsharing has been promoted as a way of increasing
breastfeeding durations. METHODS: We used prospectively collected Oregon
Pregnancy Risk Assessment Monitoring System (PRAMS) and PRAMS-2 data from 2276
women to explore whether bedsharing frequency predicts age at weaning. Bedsharing
was assessed at 14 weeks after birth (PRAMS), and duration of breastfeeding was
assessed at 2 years after birth (PRAMS-2). Cox proportional hazards models
controlled for primiparity, cesarean birth, marital status, employment status,
maternal race and ethnicity, and pregravid body mass index. RESULTS: Women in
this sample reported high rates of bedsharing: only 15.7% of PRAMS respondents
said they never shared a bed with their infant. We also observed a dose-response
association between bedsharing frequency and breastfeeding duration when the
analysis controlled for covariables: women who reported more frequent bedsharing
at 14 weeks postpartum were more likely to wean later. Hazard ratios ranged from
1.18 (95% CI, 1.02-1.35) for "often" versus "always" bedsharing to 1.92 (95% CI,
1.66-2.24) for "never" versus "always." In other words, at any given infant age,
women who always bedshared were more likely to keep breastfeeding. Women who
always bedshared at 14 weeks postpartum breastfed their infant for a median of 13
months (95% CI, 12-14), compared with 10 months (95% CI, 9-11) for women who
never bedshared at 14 weeks. DISCUSSION: Although we cannot rule out confounding
by breastfeeding intention, our results suggest that bedsharing in early infancy
might lead to later weaning. Regardless of infant feeding method, given the
frequency of bedsharing reported by women in this and other samples, clinicians
encountering pregnant and postpartum women should teach safe bedsharing
practices.
PMID- 29800502
TI - Interbirth Interval and Pregnancy Complications and Outcomes: Findings from the
Pregnancy Risk Assessment Monitoring System.
AB - INTRODUCTION: Although the definition of a short interbirth interval has been
inconsistent in the literature, Healthy People 2020 recommends that women wait at
least 18 months after a live birth before attempting their next pregnancy. In the
United States, approximately 33% of pregnancies are conceived within 18 months of
a previous birth. Pregnancies that result from short interbirth intervals can
pose serious risks. The objective of this study was to determine the association
between interbirth interval and understudied pregnancy complications and
outcomes, including small for gestational age (SGA) infants, premature rupture of
membranes (PROM), preterm PROM (PPROM), placenta previa, and gestational
diabetes, using Pregnancy Risk Assessment and Monitoring System data from
Mississippi and Tennessee. METHODS: This study collected self-reported
information from 2212 women on interbirth interval (<=18 months, ie, short; 19-35
months, ie, intermediate; and >=36 months, ie, long; referent), PPROM, placenta
previa, and gestational diabetes. SGA and PROM data were obtained from birth
certificates. Logistic regression was used to calculate odds ratios (ORs) and 95%
CIs. RESULTS: After adjustment, there were no strong associations between
interbirth interval and PPROM, gestational diabetes, or SGA infants. However,
women with shorter intervals had increased odds of PROM (short: OR, 3.54; 95% CI,
1.22-10.23 and intermediate: OR, 4.09; 95% CI, 1.28-13.03) and placenta previa
(short: OR, 2.58; 95% CI, 1.10-6.05 and intermediate: OR, 1.69; 95% CI, 0.94
3.05). DISCUSSION: The study's findings provide further support for encouraging
women to space their pregnancies appropriately. Moreover, findings underscore the
need to provide women with family planning services so that closely spaced
pregnancies and unintended pregnancies can be avoided. Additional studies of the
role of interbirth interval on these understudied pregnancy complications and
outcomes are warranted.
PMID- 29800504
TI - pH and Heat Resistance of the Major Celery Allergen Api g 1.
AB - SCOPE: The major celery allergen Api g 1 is a member of the pathogenesis-related
10 class protein family. This study aims to investigate the impact of heat and pH
on the native protein conformation required for Immunoglobulin E (IgE)
recognition. METHODS AND RESULTS: Spectroscopic methods, MS and IgE-binding
analyses are used to study the effects of pH and thermal treatment on Api g
1.0101. Heat processing results in a loss of the native protein fold via
denaturation, oligomerization, and precipitation along with a subsequent
reduction of IgE recognition. The induced effects and timescales are strongly pH
dependent. While Api g 1 refolds partially into an IgE-binding conformation at
physiological pH, acidic pH treatment leads to the formation of structurally heat
resistant, IgE-reactive oligomers. Thermal processing in the presence of a celery
matrix or at pH conditions close to the isoelectric point (pI = 4.63) of Api g
1.0101 results in almost instant precipitation. CONCLUSION: This study
demonstrates that Api g 1.0101 is not intrinsically susceptible to heat treatment
in vitro. However, the pH and the celery matrix strongly influence the stability
of Api g 1.0101 and might be the main reasons for the observed temperature
lability of this important food allergen.
PMID- 29800506
TI - Antihyperglycemic Medications and Impact on Cardiovascular Outcomes: A Review of
Current Evidence.
AB - Patients with type 2 diabetes mellitus (DM) are known to be at an increased risk
for macrovascular complications, and cardiovascular disease (CVD) is one of the
greatest drivers of morbidity and mortality in this patient population. Over the
past decade, the number of treatment options for type 2 DM has increased. In
2008, the United States Food and Drug Administration mandated an evaluation of
cardiovascular (CV) outcomes associated with antihyperglycemic agents. Since that
time, the CV risk-benefit profile of many antihyperglycemic treatment modalities
have been evaluated; however, results have remained inconsistent. This article
will review the literature on the use of pharmacologic therapies in patients with
type 2 DM and associated CVD risk, as well as provide recommendations for
appropriate treatment selection in this population. Current evidence has
demonstrated CV benefits with metformin, select glucagon-like peptide-1 receptor
agonists (liraglutide), and sodium-glucose co-transporter 2 inhibitors
(canagliflozin and empagliflozin).
PMID- 29800505
TI - Impact of donor BK polyomavirus replication on recipient infections in living
donor transplantation.
AB - BACKGROUND: Multiple risk factors for BK polyomavirus (BKPyV) replication after
kidney transplantation have been described. Here, we investigated the impact of
living donors' urinary BKPyV shedding and recipients' BKPyV antibody status pre
transplant on BKPyV replication during the first year post-transplantation.
METHODS: We assessed a cohort of living kidney donors and their paired recipients
(n = 121). All donors were tested before transplantation, and recipients were
tested before and after transplantation for BKPyV viruria and viremia. BKPyV
specific serology was assessed in all recipients at transplantation. RESULTS: Ten
of 121 donors (8.3%) had urinary BKPyV shedding pre-transplant, none had viremia.
Overall, 33 (27.3%) recipients developed viruria after transplantation: 7 had
received a kidney from a donor with BK viruria (7/10 positive donors) and 26 had
received a kidney from a donor without BK viruria (26/111 negative donors; P =
.0015). Fifteen (12.4%) recipients developed BK viremia after transplantation: 3
received a kidney from a donor with viruria (3/10 positive donors, 30%) and 12
received a kidney from a donor without viruria (12/111 negative donors, 11%; P =
.08). One patient developed proven nephropathy. Ninety-one percent of recipients
were seropositive for BKPyV. No relationship between recipients' sero-reactivity
at transplantation and post-transplant BKPyV replication was observed. Pre
transplant donor urinary shedding was an independent risk factor for post
transplant BKPyV replication. CONCLUSION: Screening living kidney donors for
BKPyV can identify recipients at higher risk for BKPyV replication after
transplantation who may benefit from intensified post-transplant screening and
treatment strategies.
PMID- 29800507
TI - Implementation of an ICU-Specific Alcohol Withdrawal Syndrome Management Protocol
Reduces the Need for Mechanical Ventilation.
AB - STUDY OBJECTIVE: Alcohol use disorders are prevalent and put patients at risk for
developing alcohol withdrawal syndrome (AWS). Treatment of AWS with a symptom
triggered protocol standardizes management and may avoid AWS-related
complications. The objective of this study was to evaluate whether implementation
of a specific intensive care unit (ICU) symptom-triggered protocol for the
management of AWS was associated with improved clinical outcomes and, in
particular, would reduce the risk of patients with AWS requiring mechanical
ventilation. DESIGN: Retrospective pre- and postprotocol implementation study.
SETTING: A 36-bed closed medical ICU (MICU) at a large tertiary care teaching
hospital in an urban setting. PATIENTS: A total of 233 adults admitted to the
MICU with any diagnosis of alcohol use disorders based on International
Classification of Diseases, Ninth Revision codes and who received at least one
dose of any benzodiazepine; of these patients, 139 were in the preprotocol era
(August 2009-January 2010 and August 2010-January 2011), and 94 were in the
postprotocol era (August 2012-January 2013) after implementation of the Yale
Alcohol Withdrawal Protocol (YAWP) in April 2012. MEASUREMENTS AND MAIN RESULTS:
The YAWP pairs a modified Minnesota Detoxification Scale with an order set that
includes benzodiazepine dosing regimens and suggests adjuvant therapies. AWS was
the primary reason for ICU admission (107/233 patients [45.9%]) and did not
significantly vary between study eras (p=0.2). Of the 233 patients included,
81.1% were male and 67.0% were white, which did not significantly differ by study
era. Severity of illness at MICU admission did not significantly differ between
patients in the preprotocol and postprotocol eras (Acute Physiology and Chronic
Health Evaluation [APACHE] II median scores of 12 [interquartile range (IQR) 9
17] and 12.5 [IQR 7-16], respectively, p=0.4). Median lorazepam-equivalent dose
per MICU day, duration of benzodiazepine infusion, and use of adjuvant therapy
were not significantly different between eras. MICU intubation was less common in
the postprotocol era (36/139 patients [25.9%] preprotocol vs 8/94 patients [8.5%]
postprotocol, p=0.0009). ICU-related pneumonia was also decreased in the
postprotocol era (30/139 patients [21.6%] preprotocol vs 10/94 patients [10.6%]
postprotocol, p=0.03). After adjusting for demographics, adjuvant therapies, and
APACHE II scores, protocol implementation was associated with a decreased odds of
MICU intubation (odds ratio 0.13, 95% confidence interval 0.04-0.39). CONCLUSION:
Implementation of YAWP was associated with a decreased risk of MICU intubation in
patients at risk for AWS.
PMID- 29800508
TI - Prenatal diagnosis of fetal absent pulmonary valve syndrome by two- and three
dimensional echocardiography.
AB - Absent pulmonary valve syndrome (APVS) is a rare congenital cardiac anomaly
characterized by hypoplastic or even absent pulmonary valve, to-and-fro flow
across the pulmonary valve annulus, and dilatation of main pulmonary artery and
branches. It is crucial to evaluate the degree of dilatation of pulmonary
arteries and the presence of associated malformation and chromosomal anomalies
affecting pregnancy decision. We described two- and three-dimensional (3D)
echocardiographic findings of one fetus with APVS and indicated the beneficial
contribution of 3D technology in understanding the anatomy.
PMID- 29800510
TI - Polarized x-ray excitation for scatter reduction in x-ray fluorescence computed
tomography.
AB - PURPOSE: X-ray fluorescence computer tomography (XFCT) is a new molecular imaging
modality which uses x-ray excitation to stimulate the emission of fluorescent
photons in high atomic number contrast agents. Scatter contamination is one of
the main challenges in XFCT imaging which limits the molecular sensitivity. When
polarized x rays are used, it is possible to reduce the scatter contamination
significantly by placing detectors perpendicular to the polarization direction.
This study quantifies scatter contamination for polarized and unpolarized x-ray
excitation and determines the advantages of scatter reduction. METHODS: The
amount of scatter in preclinical XFCT is quantified in Monte Carlo simulations.
The fluorescent x rays are emitted isotropically, while scattered x rays
propagate in polarization direction. The magnitude of scatter contamination is
studied in XFCT simulations of a mouse phantom. In this study, the contrast agent
gold is examined as an example, but a scatter reduction from polarized excitation
is also expected for other elements. The scatter reduction capability is examined
for different polarization intensities with a monoenergetic x-ray excitation
energy of 82 keV. The study evaluates two different geometrical shapes of CZT
detectors which are modeled with an energy resolution of 1 keV FWHM at an x-ray
energy of 80 keV. Benefits of a detector placement perpendicular to the
polarization direction are shown in iterative and analytic image reconstruction
including scatter correction. The contrast to noise ratio (CNR) and the
normalized mean square error (NMSE) are analyzed and compared for the
reconstructed images. RESULTS: A substantial scatter reduction for common
detector sizes was achieved for 100% and 80% linear polarization while lower
polarization intensities provide a decreased scatter reduction. By placing the
detector perpendicular to the polarization direction, a scatter reduction by
factor up to 5.5 can be achieved for common detector sizes. The image
reconstruction showed that for a scatter magnitude decrease by a factor of 2.4,
the molecular sensitivity could almost be doubled. CONCLUSION: Scatter reduction
lowers the amount of noise in the projection datasets and reconstructed images
which enhance molecular sensitivity at equal dose. The results support the use of
linear polarized x rays to reduce scatter in XFCT imaging.
PMID- 29800509
TI - Human interleukin-10 delivered intrathecally by self-complementary adeno
associated virus 8 induces xenogeneic transgene immunity without clinical
neurotoxicity in swine.
AB - INTRODUCTION: Intrathecal interleukin (IL)-10 delivered by plasmid or viral gene
vectors has been proposed for clinical testing because it is effective for
chronic pain in rodents, is a potential therapeutic for various human diseases,
and was found to be nontoxic in dogs, when the human IL-10 ortholog was tested.
However, recent studies in swine testing porcine IL-10 demonstrated fatal
neurotoxicity. The present study aimed to deliver vector-encoded human IL-10 in
swine, measure expression of the transgene in cerebrospinal fluid and monitor
animals for signs of neurotoxicity. RESULTS: Human IL-10 levels peaked 2 weeks
after vector administration followed by a rapid decline that occurred concomitant
with the emergence of anti-human IL-10 antibodies in the cerebrospinal fluid and
serum. Animals remained neurologically healthy throughout the study period.
CONCLUSIONS: The findings of the present study suggest that swine are not
idiosyncratically sensitive to intrathecal IL-10 because, recapitulating previous
reports in dogs, they suffered no clinical neurotoxicity from the human ortholog.
These results strongly infer that toxicity of intrathecal IL-10 in large animal
models was previously overlooked because of a species mismatch between transgene
and host. The present study further suggests that swine were protected from
interleukin-10 by a humoral immune response against the xenogeneic cytokine.
Future safety studies of IL-10 or related therapeutics may require syngeneic
large animal models.
PMID- 29800511
TI - Atomic Iron Catalysis of Polysulfide Conversion in Lithium-Sulfur Batteries.
AB - Lithium-sulfur batteries have been regarded as promising candidates for energy
storage because of their high energy density and low cost. It is a main challenge
to develop long-term cycling stability battery. Here, a catalytic strategy is
presented to accelerate reversible transformation of sulfur and its discharge
products in lithium-sulfur batteries. This is achieved with single-atomic iron
active sites in porous nitrogen-doped carbon, prepared by polymerizing and
carbonizing diphenylamine in the presence of iron phthalocyanine and a hard
template. The Fe-PNC/S composite electrode exhibited a high discharge capacity
(427 mAh g-1) at a 0.1 C rate after 300 cycles with the Columbic efficiency of
above 95.6%. Besides, the electrode delivers much higher capacity of 557.4 mAh g
1 at 0.5 C over 300 cycles. Importantly, the Fe-PCN/S has a smaller phase
nucleation overpotential of polysulfides than nitrogen-doped carbon alone for the
formation of nanoscale of Li2S as revealed by ex situ SEM, which enhance lithium
ion diffusion in Li2S, and therefore a high rate performance and remarkable cycle
life of Li-sulfur batteries were achieved. Our strategy paves a new way for
polysulfide conversion with atomic iron catalysis to exploit high-performance
lithium-sulfur batteries.
PMID- 29800513
TI - Experimental and Numerical Investigation of the Silicon Particle Distribution in
Electrospun Nanofibers.
AB - The properties of ceramic materials are dependent on crystal sizes and their
distribution. These parameters can be controlled using electrospinning of the two
phase mixed system. The preceramic solution consists of silicon nanoparticles and
polyacrylonitrile (PAN) polymer mixture. Particle distribution during the
electrospinning technique was characterized using transmission electron
microscopy and modeled using the finite element method. The experimental and
numerical results were in agreement. Large silicon particles were located in the
skin and the smaller ones were located at the core. This was illustrated by the
migration rate from the core, which was the fastest for large particles and
diminished as the particles become smaller in size. The threshold for Stokes
number was found to be around 2.2 * 10-4 with a critical particle size of 1.0 *
10-7 m in diameter. The current results are very promising, as it demonstrated a
novel way for the fabrication of PAN/Si ceramic nanofibers with a gradient of
particle size and properties from the skin to the core.
PMID- 29800512
TI - Elemental Identification by Combining Atomic Force Microscopy and Kelvin Probe
Force Microscopy.
AB - There are currently no experimental techniques that combine atomic-resolution
imaging with elemental sensitivity and chemical fingerprinting on single
molecules. The advent of using molecular-modified tips in noncontact atomic force
microscopy (nc-AFM) has made it possible to image (planar) molecules with atomic
resolution. However, the mechanisms responsible for elemental contrast with
passivated tips are not fully understood. Here, we investigate elemental contrast
by carrying out both nc-AFM and Kelvin probe force microscopy (KPFM) experiments
on epitaxial monolayer hexagonal boron nitride (hBN) on Ir(111). The hBN
overlayer is inert, and the in-plane bonds connecting nearest-neighbor boron and
nitrogen atoms possess strong covalent character and a bond length of only ~1.45
A. Nevertheless, constant-height maps of both the frequency shift Delta f and the
local contact potential difference exhibit striking sublattice asymmetry. We
match the different atomic sites with the observed contrast by comparison with nc
AFM image simulations based on the density functional theory optimized
hBN/Ir(111) geometry, which yields detailed information on the origin of the
atomic-scale contrast.
PMID- 29800514
TI - Insights into the Analysis of Phenolic Secoiridoids in Extra Virgin Olive Oil.
AB - Extra virgin olive oils (EVOOs) containing more than 5 mg/20 g tyrosol,
hydroxytyrosol, and their secoiridoids can be recognized by health claims related
to the protection of blood lipids from oxidative stress. Therefore, a reliable,
accurate, and standardized analytical procedure is needed to determine these
markers of EVOO quality. In order to overcome the limitations of current methods,
a detailed investigation of sample preparation and chromatographic conditions was
performed by UHPLC-UV-HRMS. The use of a C18 fused-core column and nonacidified
gradient elution provided single, sharp peaks for oleocanthal and oleacein,
allowing their reliable quantitation in UV profiles. Positive- and negative-UHPLC
HRMS/MS characterization of methanolic extracts revealed the presence of dimethyl
acetal, methyl hemiacetal, and monohydrate derivatives of all secoiridoids. These
artifacts were formed in aqueous methanol, which is usually employed to extract
and analyze EVOO phenols, making the HPLC profiles more complex and the
measurements less accurate and reproducible. Acetonitrile proved to be a suitable
solvent to avoid the formation of secoiridoid dimethyl acetals and methyl
hemiacetals and to efficiently extract EVOO bioactive phenols. Finally, the
phenolic contents of Italian EVOO samples were determined by UHPLC-UV analysis of
acetonitrile extracts before (direct method) and after acid hydrolysis (indirect
method). The results indicated that the use of tyrosol and hydroxytyrosol as
reference standards allowed more accurate quantitative data to be obtained.
Direct and indirect methods provided comparable levels of EVOO phenols,
highlighting the usefulness of acid hydrolysis in routine analyses. The improved
procedure defines the most reliable conditions to provide an analytical method
with suitable accuracy and repeatability in the analysis of healthy and
functional EVOO phenols.
PMID- 29800515
TI - Fragment-Based Discovery of a Regulatory Site in Thioredoxin Glutathione
Reductase Acting as "Doorstop" for NADPH Entry.
AB - Members of the FAD/NAD-linked reductase family are recognized as crucial targets
in drug development for cancers, inflammatory disorders, and infectious diseases.
However, individual FAD/NAD reductases are difficult to inhibit in a selective
manner with off-target inhibition reducing usefulness of identified compounds.
Thioredoxin glutathione reductase (TGR), a high molecular weight thioredoxin
reductase-like enzyme, has emerged as a promising drug target for the treatment
of schistosomiasis, a parasitosis afflicting more than 200 million people. Taking
advantage of small molecules selected from a high-throughput screen and using X
ray crystallography, functional assays, and docking studies, we identify a
critical secondary site of the enzyme. Compounds binding at this site interfere
with well-known and conserved conformational changes associated with NADPH
reduction, acting as a doorstop for cofactor entry. They selectively inhibit TGR
from Schistosoma mansoni and are active against parasites in culture. Since many
members of the FAD/NAD-linked reductase family have similar catalytic mechanisms,
the unique mechanism of inhibition identified in this study for TGR broadly opens
new routes to selectively inhibit homologous enzymes of central importance in
numerous diseases.
PMID- 29800517
TI - Erythrocyte-Cancer Hybrid Membrane Camouflaged Hollow Copper Sulfide
Nanoparticles for Prolonged Circulation Life and Homotypic-Targeting
Photothermal/Chemotherapy of Melanoma.
AB - Cellular-membrane-coated nanoparticles have increasingly been pursued to leverage
the natural cell functions for enhancing biocompatibility and improved
therapeutic efficacy. Taking advantage of specialized cell membranes or combining
functions from different membrane types facilitates the strengthening of their
functionality. Herein, we fuse membrane materials derived from red blood cells
(RBCs) and melanoma cells (B16-F10 cells) to create a hybrid biomimetic coating
(RBC-B16), and RBC-B16 hybrid membrane camouflaged doxorubicin (DOX)-loaded
hollow copper sulfide nanoparticles (DCuS@[RBC-B16] NPs) are fabricated for
combination therapy of melanoma. The DCuS@[RBC-B16] NPs are comprehensively
characterized, showing the inherent properties of the both source cells. Compared
to the bare CuS NPs, the DCuS@[RBC-B16] NPs exhibit highly specific self
recognition to the source cell line in vitro and achieve markedly prolonged
circulation lifetime and enhanced homogeneous targeting abilities in vivo
inherited from the source cells. Thus, the DOX-loaded [RBC-B16]-coated CuS NP
platform exhibits excellent synergistic photothermal/chemotherapy with about 100%
melanoma tumor growth inhibition rate. The reported strategy may contribute to
personalized nanomedicine of various tumors by combining the RBCs with a
homotypic cancer membrane accordingly on the surface of the nanoparticle.
PMID- 29800516
TI - Desorption Electrospray Ionization Mass Spectrometry Imaging of Proteins Directly
from Biological Tissue Sections.
AB - Analysis of large biomolecules including proteins has proven challenging using
ambient ionization mass spectrometry imaging techniques. Here, we have
successfully optimized desorption electrospray ionization mass spectrometry (DESI
MS) to detect intact proteins directly from tissue sections and further
integrated DESI-MS to a high field asymmetric waveform ion mobility (FAIMS)
device for protein imaging. Optimized DESI-FAIMS-MS parameters were used to image
mouse kidney, mouse brain, and human ovarian and breast tissue samples, allowing
detection of 11, 16, 14, and 16 proteoforms, respectively. Identification of
protein species detected by DESI-MS was performed on-tissue by top-down
ultraviolet photodissociation (UVPD) and collision induced dissociation (CID) as
well as using tissue extracts by bottom-up CID and top-down UVPD. Our results
demonstrate that DESI-MS imaging is suitable for the analysis of the distribution
of proteins within biological tissue sections.
PMID- 29800518
TI - Ultimate Decoupling between Surface Topography and Material Functionality in
Atomic Force Microscopy Using an Inner-Paddled Cantilever.
AB - Atomic force microscopy (AFM) has been widely utilized to gain insight into
various material and structural functionalities on the nanometer scale, leading
to numerous discoveries and technologies. Despite the phenomenal success in
applying AFM to the simultaneous characterization of topological and functional
properties of materials, it has continuously suffered from the crosstalk between
the observables, causing undesirable artifacts and complicated interpretations.
Here, we introduce a two-field AFM probe, namely an inner-paddled cantilever
integrating two discrete pathways such that they respond independently to the
variations in surface topography and material functionality. Hence, the proposed
design allows reliable and potentially quantitative determination of functional
properties. In this paper, the efficacy of the proposed design has been
demonstrated via piezoresponse force microscopy of periodically poled lithium
niobate and collagen, although it can also be applied to other AFM methods such
as AFM-based infrared spectroscopy and electrochemical strain microscopy.
PMID- 29800519
TI - Telomerase Responsive Delivery of Doxorubicin from Mesoporous Silica
Nanoparticles in Multiple Malignancies: Therapeutic Efficacies against
Experimental Aggressive Murine Lymphoma.
AB - Mammalian telomerase maintains the length and integrity of telomeres by adding
the telomeric repeats to the chromosome end. This work describes the telomerase
responsive delivery of doxorubicin against telomerase positive human and murine
cancer cells. Wrapping of doxorubicin loaded mesoporous silica nanoparticles with
specific oligonucleotide sequence, containing telomeric repeat complementary
sequence and a telomerase substrate primer sequence, resulted in slow and
sustained release of doxorubicin, contiguous to the tumor cells. The DNA wrapped
nanoprobe significantly inhibits the proliferation and enhanced the cytotoxicity
in telomerase positive human and mouse tumor cells, and its function is impeded
following exposure to specific telomerase inhibitor, AZT. Entrapping of
doxorubicin by telomerase specific oligo manifests enhanced apoptosis and
significantly higher uptake of the drug in the tumor cells. Treatment of
telomerase positive Dalton's lymphoma bearing mice with a novel and newly
designed oligo wrapped nanoprobe, specific for mouse telomerase, significantly
enhanced the survival and improved the histopathological parameters. In addition,
the treatment also induced significant reduction in the number of tumor foci and
restored the normal architecture of the vascularized organs, besides preventing
metastasis.
PMID- 29800520
TI - Self-Powered Multifunctional Motion Sensor Enabled by Magnetic-Regulated
Triboelectric Nanogenerator.
AB - With the fast development of the Internet of Things, the requirements of system
miniaturization and integration have accelerated research on multifunctional
sensors. Based on the triboelectric nanogenerator, a self-powered multifunctional
motion sensor (MFMS) is proposed in this work, which is capable of detecting the
motion parameters, including direction, speed, and acceleration of linear and
rotary motions, simultaneously. The MFMS consists of a triboelectric
nanogenerator (TENG) module, a magnetic regulation module, and an acrylic shell.
The TENG module is formed by placing a free-standing magnetic disk (MD) on a
polytetrafluorethylene (PTFE) plate with six copper electrodes. The movement of
the MFMS causes the MD to slide on the PTFE plate and hence excites the
electrodes to produce a voltage output. The carefully designed six copper
electrodes (an inner circle electrode, an outer circle electrode, and four arc
electrodes between them) can distinguish eight directions of movement with the
acceleration and determine the rotational speed and direction as well. Besides,
the magnetic regulation module is applied here by fixing a magnetic cylinder (MC)
in the shell, right under the center of the PTFE plate. Due to the magnetic
attraction applied by the MC, the MD will automatically return to the center to
prepare for the next round of detection, which makes the proposed sensor much
more applicable in practice.
PMID- 29800521
TI - Mutation of M13 Bacteriophage Major Coat Protein for Increased Conjugation to
Exogenous Compounds.
AB - Over the past ten years there has been increasing interest in the conjugation of
exogenous compounds to the surface of the M13 bacteriophage. M13 offers a
convenient scaffold for the development of nanoassemblies with useful functions,
such as highly specific drug delivery and pathogen detection. However, the
progress of these technologies has been hindered by the limited efficiency of
conjugation to the bacteriophage. Here we generate a mutant version of M13 with
an additional lysine residue expressed on the outer surface of the M13 major coat
protein, pVIII. We show that this mutation is accommodated by the bacteriophage
and that up to an additional 520 exogenous groups can be attached to the
bacteriophage surface via amine-directed conjugation. These results could aid the
development of high payload drug delivery nanoassemblies and pathogen detection
systems with increased sensitivity.
PMID- 29800522
TI - An Enzyme Cascade-Triggered Fluorogenic and Chromogenic Reaction Applied in
Enzyme Activity Assay and Immunoassay.
AB - An enzyme cascade-triggered reaction with novel signal generation mechanism is
beneficial for the development and insight of the enzyme cascade, which is
extensively used for signal transduction in potential applications. Inspired by
the fluorogenic and chromogenic reaction between dopamine and resorcinol, and the
specific catalytic properties of alkaline phosphatase (ALP) and tyrosinase, we
designed and synthesized an unconventional substrate of ALP, named p-aminoethyl
phenyl phosphate disodium salt (PAPP). As expected, the ALP and tyrosinase
incubated PAPP solution exhibited pale yellow with intense blue fluorescence upon
addition of resorcinol, owing to the ALP-catalyzed transformation of PAPP into an
intermediate tyramine, and the tyrosinase-catalyzed hydroxylation of tyramine to
dopamine, as well as the specific reaction between dopamine and resorcinol.
Therefore, an enzyme cascade system has been developed herein based on the ALP
and tyrosinase coupled enzymes-triggered fluorogenic and chromogenic reaction.
According to the direct relationship between the activity of ALP/tyrosinase and
absorbance/fluorescence intensity of the resultant solution, the proposed enzyme
cascade-triggered reaction was utilized for assaying ALP and tyrosinase activity
with fluorometric and colorimetric dual-readout signals. Furthermore, such enzyme
cascade catalysis process was integrated into the ALP-based cascade enzyme-linked
immunosorbent assay with dual-readout signals, resulting in the sensitive
detection of cardiac troponin I in diluted serum.
PMID- 29800524
TI - Revealing Environmental Inequality Hidden in China's Inter-regional Trade.
AB - Trade among regions or countries not only allows the exchange of goods and
services but also leads to the transfer of pollution. The unequal exchange of
goods and services and associated value added and pollution may be subject to
environmental inequality in China given that Chinese provinces are in different
development stages. By using the latest multiregional input-output tables and the
sectoral air pollutant emission inventory in 2012, we traced emissions and value
added along China's domestic supply chains. Here, we show that 62%-76% of the
consumption-based air-pollutant emissions of richer regions (Beijing-Tianjin,
East Coast and South Coast) were outsourced to other regions; however,
approximately 70% of the value added triggered by these region's final
consumption was retained within the region. Some provinces in western China, such
as Guizhou, Ningxia, and Yunnan, not only incurred net pollution inflows but also
suffered a negative balance of value added when trading with rich provinces.
Addressing such inequalities could provide not only a basis for determining each
province's responsibility for pollution control but also a model for other
emerging economies.
PMID- 29800523
TI - Synthesis of Tetrasubstituted Alkenes by Tandem Metallacycle Formation/Cross
Electrophile Coupling.
AB - Nickel-catalyzed cross-electrophile couplings have recently emerged as highly
effective and practical methods for the formation of C-C bonds. By merging this
process with well-established pi-pi coupling chemistry, a new method for the
synthesis of tetrasubstituted alkenes has been developed. The procedure relies on
the use of chlorosilanes as a means of generating reactive vinylnickel
intermediates, which are capable of undergoing a reductive cross-electrophile
coupling with alkyl halides. The method not only generates highly substituted
allylic alcohol derivatives but also obviates the need for stoichiometric
organometallic nucleophiles and provides greatly improved scope and functional
group tolerance compared with previously developed methods.
PMID- 29800525
TI - Kinetic Study on the Self-Assembly of Au(I)-Thiolate Lamellar Sheets:
Preassembled Precursor vs Molecular Precursor.
AB - Molecular self-assembly has played an important role in nanofabrication. Due to
the weak driving forces of noncovalent bonds, developing molecular nanoassemblies
that have both robust preparation conditions and stable structure is a challenge.
In our previous work, we have developed a reversible self-assembly system of
Au(I)-thiolate coordination polymer (ATCP) to form colloidal lamellar sheets and
demonstrated the high tailorability and stability of their structures, as well as
their promising applications in gold nanocluster/nanoparticle fabrication and UV
light shielding. Here, we first reported our progress in exploring a robust and
green assembly protocol toward ATCP colloidal lamellar sheets in water by
allowing the molecular precursors of HAuCl4 and the thiol ligand to form ATCP
preassembled intermediates. In this way, colloidal ATCP lamellar sheets can be
prepared in a wide range of synthetic concentrations ([Au]0 >= 2 * 10-4 M) and at
broad assembly temperatures (80-100 degrees C) with similar high yields (>80%).
The assembly kinetics at different conditions are also studied in detail to help
understand the robust assembly process. The robust and green synthetic protocols
will pave a way for their real applications.
PMID- 29800527
TI - Temperature Transferability of Force Field Parameters for Dispersion
Interactions.
AB - The accuracy of force fields is a key to the successful prediction of the
thermodynamic properties of materials. In simulations of organic molecules over
large temperature ranges, atomistic force fields that are parametrized at, or
near, ambient temperatures are found to systematically underestimate the
intermolecular dispersion interactions at elevated temperatures. Analysis of the
underestimates using diatomic molecules indicates that a minor part is due to the
change in molecular polarizability, while the major part is due to the reduced
dielectric constant of the bulk liquid as the density decreases with increasing
temperature. By establishing the dispersion parameter as a linear function of
temperature, we have successfully enhanced the temperature transferability of
atomistic force fields. This approach is tested on 66 molecular liquids covering
four functional groups - alkane, aromatic, ether, and ketone-aldehyde - over a
broad range of temperatures by calculating liquid density, heat of vaporization,
isobaric heat capacity, and shear viscosity.
PMID- 29800526
TI - alpha,beta-Unsaturated N-Acylindoles: An Alternative Class of Michael Acceptors
and Their Application in Asymmetric Borylation.
AB - Copper(I)-catalyzed enantioselective borylation of alpha,beta-unsaturated N
acylindoles as well as N-acylpyrroles was efficiently achieved by means of
bis(pinacolato)diboron (B2pin2), affording the enantioenriched products in
excellent yields with up to 99% ee. The present work provides an alternative
class of Michael acceptors, that is, alpha,beta-unsaturated N-acylindoles, for
potential asymmetric transformations.
PMID- 29800528
TI - Hydrogen peroxide-induced oxidative stress, acetylcholinesterase inhibition, and
mediated brain injury attenuated by Thymus algeriensis.
AB - The aim of the current study was to evaluate acetylcholinesterase (AChE)
inhibition, antioxidant enzyme activities, and malondialdehyde (MDA) levels
induced by hydrophobic fractions of Thymus algeriensis (HFTS) growing in Tunisia.
The results showed that hydrogen peroxide (H2O2), an oxidative stress inducer,
acts by decreasing the body mass and brain mass of rats. Moreover, we found
higher MDA levels in the group treated with H2O2 (P < 0.05) and a significantly
lower activity of catalase, glutathione peroxidase, glutathione S-transferase,
and superoxide dismutase, as well as a reduction in reduced glutathione activity
in the brain tissues of H2O2-treated rats when compared with those of the control
group (P < 0.05); however, rats that received HFTS with H2O2 experienced a
decrease in MDA levels in the brain. In contrast, HFTS demonstrated
neuroprotective effects in rat brain. Overall, exposure to HFTS prior to H2O2
induced a marked dose-dependent increase in reactive oxygen species scavenger
levels (P < 0.05) accompanied by a statistically significant decrease in MDA
levels (P < 0.05) when compared with no exposure. Notably, the activity of AChE
was affected by exposure to natural compounds; levels were significantly lower in
HFTS-treated rats and in those treated with the combination of HFTS and a low or
high dose of H2O2. Furthermore, histopathological analysis showed that brain
injuries occurred with high doses of H2O2 administered alone or with a low dose
of HFTS, whereas a high dose of essential oil markedly alleviated neurone
degeneration. The results suggest that HFTS alleviates neuroinflammation by
acting as an AChE inhibitor and attenuates H2O2-induced brain toxicity.
PMID- 29800529
TI - Contralateral effects of unilateral training: sparing of muscle strength and size
after immobilization.
AB - The contralateral effects of unilateral strength training, known as cross
education of strength, date back well over a century. In the last decade, a
limited number of studies have emerged demonstrating the preservation or
"sparing" effects of cross-education during immobilization. Recently published
evidence reveals that the sparing effects of cross-education show muscle site
specificity and involve preservation of muscle cross-sectional area. The new
research also demonstrates utility of training with eccentric contractions as a
potent stimulus to preserve immobilized limb strength across multiple modes of
contraction. The cumulative data in nonclinical settings suggest that cross
education can completely abolish expected declines in strength and muscle size in
the range of ~13% and ~4%, respectively, after 3-4 weeks of immobilization of a
healthy arm. The evidence hints towards the possibility that unique mechanisms
may be involved in preservation effects of cross-education, as compared with
those that lead to functional improvements under normal conditions. Cross
education effects after strength training appear to be larger in clinical
settings, but there is still only 1 randomized clinical trial demonstrating the
potential utility of cross-education in addition to standard treatment. More work
is necessary in both controlled and clinical settings to understand the potential
interaction of neural and muscle adaptations involved in the observed sparing
effects, but there is growing evidence to advocate for the clinical utility of
cross-education.
PMID- 29800530
TI - Microbial Profile and Dental Caries in Cleft Lip and Palate Babies Between 0 and
3 Years Old.
AB - OBJECTIVE: The aim of this study was to examine the microbiological changes in
newborn babies with cleft lip palate from birth up to age 3 and to correlate them
with their caries levels and mothers' microbiological data and to compare with
normal infants. BASIC RESEARCH DESIGN: Prospective. SETTINGS: Marmara University,
Faculty of Dentistry, Pediatric Dentistry Clinic, and Sisli Hamidiye Etfal
Education and Research Hospital New Born Clinic. PATIENTS/PARTICIPANTS: Cleft lip
palate (n = 21) and healthy (n = 13) newborns and their mothers. MATERIAL AND
METHODS: Intraoral samples were taken from babies in each group at least 3 times
over the 3 years. Saliva samples of the mothers were collected just after the
birth of the babies and examined microbiologically. Dental caries was noted as
either present or absent. RESULTS: The most frequent microorganisms were candida,
found at birth (n = 9, 42%) in cleft palate with or without cleft lip (CP+/-L)
group. The number of babies infected with Lactobacilli were found to be
significantly higher in the CP+/-L group than in the control group at birth ( P =
.029) and after eruption of the first primary tooth ( P = .030). Mutans
Streptococci were found in 10% of babies with CP+/-L at birth. Initial caries was
identified in 20% of the babies with an oral cleft compared with 0% of the
controls after eruption of the first primary incisors. CONCLUSION: The results
show that the CP+/-L babies must be considered as a group with an increased
caries risk.
PMID- 29800532
TI - Le Fort II Distraction With Zygomatic Repositioning: A Technique for Differential
Correction of Midface Hypoplasia.
AB - Severe midface hypoplasia is frequently addressed with subcranial midface
advancement at the Le Fort II or Le Fort III level. Le Fort II advancement has a
predominant affect on the vertical and sagittal positioning of the nasomaxillary
complex; in contrast, the Le Fort III advancement allows for correction of
zygomatic position and exorbitism. In this report, the authors described a
technique for correction of exorbitism which concomitantly addresses central
midface vertical and sagittal deficiency. The technique involves a combination of
a Le Fort III osteotomy with a Le Fort II distraction. The Le Fort III osteotomy
allows repositioning and fixation of the zygomas to correct lateral hypoplasia
and exorbitism, maintaining the globes in a more functional position. The Le Fort
II distraction allows for movement of the central midface independent of the
lateral orbits and zygomas, correcting the sagittal and vertical position without
orbital distortion. With the medial canthal apparatus attached to the Le Fort II
segment and the lateral canthus attached to the stabilized lateral orbits, the
differential movement achieved can also have a favorable effect on palpebral
fissure orientation.
PMID- 29800531
TI - Combined analysis of genome-wide expression profiling of maize (Zea mays L.)
leaves infected with Ustilago maydis.
AB - Although many gene expression profiling studies of maize leaves infected with
Ustilago maydis have been published, heterogeneity of the results, caused by
various data processing methods and pathogenic strains in different data sets,
remains strong. Hence, we conducted a combined analysis of six genome-wide
expression data sets of maize leaves infected with five different U. maydis
strains by using the same pre-processing and quality control procedures. Six data
sets were regrouped into five groups according to pathogenic strain used.
Subsequently, each group of data set was processed by Multi-array Average for pre
processing and by pair-wise Pearson correlation for quality control. The
differentially expressed genes were calculated by a standard linear mixed-effect
model and then validated by various sensitivity analysis and multiple evidences.
Finally, 44 unique differentially expressed genes were identified. Pathway
enrichment analysis indicated that these genes related to response to fungus,
oxidation-reduction, transferase activity, and several carbohydrate metabolic and
catabolic processes. In addition, the hub genes within protein-protein
interaction networks showed high relevance with the basic pathogenesis. We report
a highly credible differentially expressed list, and the genes with multiple
validations may denote a common signature of U. maydis in maize, which provides a
new window for disease-resistant protection of maize plants.
PMID- 29800534
TI - Editorial Comment.
PMID- 29800535
TI - Why is bidirectional sex change rare?
AB - Various species of fish living in coral reef communities show sequential
hermaphroditism, or sex change. In a typical case, an individual first matures as
a female, and later, when it becomes dominant in the mating group, it becomes a
male (i.e., protogynous sex change). Many species show only unidirectional
changes but some of coral reef fishes exhibit bidirectional sex changes, in which
even a dominant male may revert to female when a socially more dominant
competitor arrives. However, bidirectional sex change has rarely been observed in
natural conditions, even among those species exhibiting it under experimental
conditions. Here we explain the rarity of bidirectional sex change by studying
dynamics of hormones controlling sex expression. We consider social status
factor, SF, which is elevated when the individual becomes more dominant in the
mating group. When the SF level is high, the dynamics would culminate with low
estradiol expression and high testosterone expression, suggesting a male
phenotype. In contrast, when SF level is low, the system converges to an
equilibrium with high estradiol expression and low testosterone expression,
suggesting a female phenotype. There is a parameter region in which the dynamics
exhibit bistability. The model demonstrates hysteresis: as SF increases smoothly,
the system undergoes a sudden transition in the levels of sex hormones. The model
can explain why species show unidirectional sex change, in that an individual's
switch to a new sex is irreversible, even if the individual's social situation
returns to the original subdominant status.
PMID- 29800536
TI - The impact of seasonality on the dynamics and control of Ascaris lumbricoides
infections.
AB - Intestinal nematode infections affect a huge proportion of the world's
population. Increasingly these infections, particularly amongst the poorest
communities, are controlled through mass drug treatment programs. Seasonal
variations of climate and behaviour in these regions can be significant, but
their impact on the dynamics of infection and implications for the effectiveness
of any mass drug treatment program (a pulsed reduction in worm burden in hosts)
is not clearly understood. Here the effect of seasonality on the dynamics of the
soil-based helminth, Ascaris lumbricoides, is investigated using a reformulated
version of the Anderson-May model for macro-parasitic infections. Explicit
analytical expressions are obtained for the stable oscillatory solution over the
annual cycle, which provides a means of relating times of peak numbers of eggs,
larvae and mature worms to seasonal variations. Numerical and analytical
techniques are then used to consider the impact of seasonality on the optimal
timing of drug treatment. Our results show that there is a relatively large
window for the timing of optimal treatment, and the impact of repeated annual
mass drug treatments can be substantially improved if they are timed to coincide
with the months when the number of eggs and larvae are at their lowest -
minimising reinfection. In terms of a more measurable quantity, in our example
this corresponds to the months when the seasonal temperature is highest. Multiple
annual treatments at (or close to) the optimal time each year are predicted to
achieve local elimination in the community, whereas treatment at other times has
a more limited impact. A key finding is that even for pronounced seasonality,
perturbations in mean worm burden, and hence seasonal variation in observed egg
output, may be small, potentially explaining why seasonal effects have been
overlooked. Taken together these results suggest that seasonality of soil
transmitted helminths requires further experimental, field and mathematical study
if the impact for mass drug administration programs is to be exploited.
PMID- 29800537
TI - Expression analysis of a cholecystokinin system in human and rat white adipose
tissue.
AB - AIM: Cholecystokinin (CCK) participates in the storage of dietary triglycerides
in white adipose tissue (WAT). Our goal was to characterize, both in subcutaneous
(Sc-WAT) and visceral WAT (Vis-WAT), the functional expression of the two known
CCK receptors, CCK-1 (CCK-1R) and CCK-2 (CCK-2R), as well as of CCK. MAIN
METHODS: Gene and protein expression was assessed in different cell types of rat
and human WAT by means of RT-PCR and western-blot, respectively. The
functionality of CCK-Rs was tested by quantifying protein kinase B (Akt)
phosphorylation after treatment of pre-adipocytes with the bioactive fragment of
CCK, CCK-8. The CCK receptor subtype involved in Akt phosphorylation was
investigated by using selective CCK-1R (SR-27,897) and CCK-2R antagonists (L
365,260). KEY FINDINGS: In rats, CCK-1R (Cckar) and CCK-2R (Cckbr) gene
expression was detected in the two types of WAT analyzed as well as in isolated
adipocytes, mesenchymal stem cells and pre-adipocytes. CCK-1R and CCK-2R proteins
were identified in adipocytes and, to a minor extent, in pre-adipocytes. In
addition, CCK-2R were detected in subcutaneous mesenchymal stem cells. Gene
expression of the CCK precursor preproCCK as well as CCK immunoreactivity were
also found in Sc-WAT and Vis-WAT. In human WAT, CCK gene expression as well as
CCK-2Rs and CCK were also identified. CCK-8 evoked Akt phosphorylation in rat pre
adipocytes, and this effect was antagonized by SR-27,897 and L-365,260.
SIGNIFICANCE: Our data show that both human and rat WAT express a complete CCK
system, and suggest that CCK may have an autocrine/paracrine role in regulating
adipose tissue biology.
PMID- 29800539
TI - Pericardial Patch Augmentation Is Associated With a Higher Risk of Recurrent
Aortic Insufficiency.
AB - BACKGROUND: This study assessed early and late clinical outcomes in patients who
underwent aortic valve repair or an aortic valve-sparing operation and
investigated predictors for failure. METHODS: Of 227 consecutive patients who
underwent aortic valve repair or a valve-sparing operation in our department
between 2004 and 2016, 81 (36%) underwent aortic root replacement with or without
cusp repair, 97 (42%) ascending aorta replacement with or without cusp repair,
and 49 (22%) isolated aortic valve repair. Clinical and echocardiographic follow
up was complete. RESULTS: One patient (0.4%) died in-hospital. Mean clinical and
echocardiographic follow-up was 69 +/- 40 months (range, 1 to 147 months) and 53
+/- 40 months (range, 1 to 147 months), respectively. Fifteen patients (6.6%)
died during follow-up, with an overall 5-year survival rate of 94.4%. Recurrent
significant (>=3) aortic insufficiency developed in 20 patients (8.8%), 17 of
whom underwent reoperation, with a 5-year freedom from reoperation rate of 88%.
Predictors for recurrent significant aortic insufficiency or reoperation were
greater preoperative aortic insufficiency (grade III to IV vs I to II; relative
risk [RR], 1.97; p = 0.023), cusp repair (RR, 2.92; p = 0.001), higher European
System for Cardiac Operative Risk Evaluation score (RR, 1.16; p = 0.006), and
valve repair with pericardial patch augmentation (RR, 2.34; p = 0.032).
CONCLUSIONS: Aortic valve repair and valve-sparing operations can be performed
with good early and late clinical outcomes. In our experience, however, the rate
of recurrent aortic insufficiency was significant, especially in patients who
underwent cusp augmentation with glutaraldehyde-treated autologous pericardial
patch.
PMID- 29800538
TI - Perilla aldehyde attenuates CUMS-induced depressive-like behaviors via regulating
TXNIP/TRX/NLRP3 pathway in rats.
AB - BACKGROUND: Current evidence supports that inflammatory reaction in the
hippocampus is a potential cause of major depressive disorder (MDD). Perilla
aldehyde (PAH), a major constituent from Perilla frutescens, has been reported to
have anti-inflammatory and anti-oxidant activity. The aim of this study is to
explore the antidepressant-like effect and the underlying mechanism of PAH on the
rats model induced by chronic unpredictable mild stress (CUMS). METHODS: CUMS
rats model was built to tested their depressive-like behaviors. The levels of pro
inflammatory cytokines were tested. Proteins were analyzed by Western blot and
Immunohistochemistry. RESULTS: We found that treatment with PAH (20, 40 mg/kg)
and fluoxetine (FLU, 10 mg/kg) significantly improved the sucrose consumption,
immobility time in forced swim test (FST), as well as locomotor activity in open
field test (OFT). The levels of pro-inflammatory cytokines in hippocampus were
also suppressed effectively by PAH and FLU administration. Western blot analysis
showed the up-regulated levels of TXNIP, NLRP3, Cleaved caspase-1 and p-NF-kappaB
p65 in the hippocampus in rats exposed to CUMS paradigm, while different degrees
of down-regulation in their expression were detected after PAH (20, 40 mg/kg) and
FLU (10 mg/kg) treatment respectively. The results from histopathological
examination further demonstrated that PAH (20, 40 mg/kg) and FLU (10 mg/kg)
treatment reversed the alteration of TRX, NLRP3 and Cleaved caspase-1 induced by
CUMS procedure. CONCLUSIONS: Our results demonstrated that PAH exhibited
antidepressant-like effect in CUMS-induced rats model of depression, which might
be mediated by TXNIP/TRX/NLRP3 pathway.
PMID- 29800540
TI - Invited Commentary.
PMID- 29800542
TI - Re: The past, present, and future of selective progesterone receptor modulators
in the management of uterine fibroids.
PMID- 29800543
TI - Reply.
PMID- 29800544
TI - Cooperative and dissociable involvement of the nucleus accumbens core and shell
in the promotion and inhibition of actions during active and inhibitory
avoidance.
AB - The flexible implementation of active and passive strategies to avoid danger is
critical to survival. Conversely, the inappropriate allocation of these behaviors
may underlie pathological avoidance in neuropsychiatric illnesses. The present
study investigated whether these two poles of avoidance may be differentially
regulated by subdivsions of the nucleus accumbens, the core (NAcC) and shell
(NAcS), which are known to bi-directionally control flexible action selection
during reward-seeking. In so doing, we developed a novel cued active/inhibitory
avoidance task conducted in operant chambers that entailed presentations of two
distinct, 15 s auditory cues. One cue indicated that impending foot-shocks could
be avoided by pressing a lever (active avoidance), whereas another cue signaled
that shocks could be avoided by withholding presses (inhibitory avoidance). In
well-trained rats, pharmacological inactivation of either the NAcC or NAcS
impaired active avoidance. In contrast, inhibitory avoidance was disrupted by
inactivation of the NAcS, but not NAcC, reflecting a deficit in response
inhibition that manifested as more inhibitory avoidance failures and lever
presses, as well as increased locomotion. Foot-shock sensitivity was unaffected
by inactivation of either subregion. In a subsequent experiment, treatment with
the monoamine releaser d-amphetamine (1 mg/kg) did not affect active avoidance,
but disinhibited lever pressing during inhibitory avoidance trials. These results
provide novel insight into the ventral striatal and monoaminergic regulation of
flexible response allocation and inhibition that facilitates avoidance behavior
and highlight the importance of different subregions of the NAc in action
selection during aversively-motivated behaviors.
PMID- 29800545
TI - Biopharmaceutical Characterization and Oral Efficacy of a New Rapid Acting
Antidepressant Ro 25-6981.
AB - Ro 25-6981 is a highly potent and selective blocker of N-methyl-d-aspartate
receptors that has been shown to possess both rapid and sustained antidepressant
activity. In the present study, we report the biopharmaceutical characterization
of Ro 25-6981 by evaluating gastrointestinal stability, transepithelial
permeability, stability in human liver microsomes, and in silico metabolic
prediction. Moreover, in vivo efficacy of Ro 25-6981 after oral administration
was evaluated in animal models of depression. When mixed with 5 different
simulated gastrointestinal fluids, no loss of parent compound was observed after
6 h, indicating compound stability in the gastrointestinal environment. At the
tested concentrations, Ro 25-6981 was shown to have transepithelial permeability
with apparent permeability (Papp) values comparable to highly permeable drugs. Ro
25-6981 was metabolized within 30 min in human liver microsomes, and the
metabolic prediction data showed glucuronidation and sulfation as potential
metabolic pathways. The in vivo efficacy data suggested that Ro 25-6981, when
administered orally at 30 mg/kg, exhibits antidepressant-like activity following
oral administration with efficacy comparable to traditional antidepressants that
is both dose- and time-dependent. Overall, due to optimal gastrointestinal
stability, oral permeability, and oral efficacy, Ro 25-6981 can be a potential
therapeutic option for the treatment of depression.
PMID- 29800546
TI - Effects of Helicobacter pylori eradication for metachronous gastric cancer
prevention: a randomized controlled trial.
AB - BACKGROUND AND AIMS: Whether eradication of Helicobacter pylori reduces the
incidence of metachronous gastric cancer (MGC) is still debatable. We aimed to
evaluate the long-term effect of H pylori eradication on the development of MGC
after endoscopic gastric tumor resection. METHODS: We undertook an open-label,
prospective, randomized controlled trial at a tertiary hospital in Seoul, Korea.
Participants were recruited during April 2005 to February 2011 and followed until
December 2016. We assigned 898 patients with H pylori infection treated with
endoscopic resection (ER) for gastric dysplasia or early gastric cancer to
receive (n =442) or not receive (n =456) eradication therapy using a random
number chart. Eradication group patients received oral omeprazole 20 mg,
amoxicillin 1 g, and clarithromycin 500 mg twice daily for a week, whereas
control group patients received no H pylori treatment. The primary outcome was
the incidence of MGC (intention-to-treat analysis). RESULTS: The 877 patients who
attended >=1 follow-up examination (eradication group, 437; control group, 440)
were analyzed. Median follow-up was 71.6 months (interquartile range, 42.1-90.0).
MGC developed in 18 (4.1%) eradication and 36 (8.2%) control group patients (log
rank test, P = .01). In our yearly analysis, the effect of eradication showed a
significant difference in 5 years after allocation (log-rank test, P = .02). The
adjusted hazard ratio for the control group was 2.02 (95% CI, 1.14-3.56; P =
.02), compared with the eradication group. CONCLUSIONS: H pylori eradication
significantly reduces the incidence of MGC after ER of gastric tumors and should
be considered for H pylori-positive gastric tumor patients treated with ER.
(Clinical trial registration number: NCT01510730.).
PMID- 29800547
TI - Functional roles of tyrosine 185 during the bacteriorhodopsin photocycle as
revealed by in situ spectroscopic studies.
AB - Tyrosine 185 (Y185), one of the aromatic residues within the retinal (Ret)
chromophore binding pocket in helix F of bacteriorhodopsin (bR), is highly
conserved among the microbial rhodopsin family proteins. Many studies have
investigated the functions of Y185, but its underlying mechanism during the bR
photocycle remains unclear. To address this research gap, in situ two-dimensional
(2D) magic-angle spinning (MAS) solid-state NMR (ssNMR) of specifically labelled
bR, combined with light-induced transient absorption change measurements, dynamic
light scattering (DLS) measurements, titration analysis and site-directed
mutagenesis, was used to elucidate the functional roles of Y185 during the bR
photocycle in the native membrane environment. Different interaction modes were
identified between Y185 and the Ret chromophore in the dark-adapted (inactive)
state and M (active) state, indicating that Y185 may serve as a rotamer switch
maintaining the protein dynamics, and plays an important role in the efficient
proton-pumping mechanism in the bR purple membrane.
PMID- 29800548
TI - Online in vivo monitoring of cytosolic NAD redox dynamics in Ustilago maydis.
AB - Maintenance of metabolic redox homeostasis is essential to all life and is a key
factor in many biotechnological processes. Changes in the redox state of NAD
affect metabolic fluxes, mediate regulation and signal transduction, and thus
determine growth and productivity. Here we establish an in vivo monitoring system
for the dynamics of the cytosolic NADH/NAD+ ratio in the basidiomycete Ustilago
maydis using the ratiometric fluorescent sensor protein Peredox-mCherry.
Metabolic redox dynamics were determined in the cytosol of living cells with high
time resolution under biotechnologically relevant conditions, i.e. with high cell
density and high aeration. Analytical boundary conditions for reliable analysis
were determined, and perturbations in C-, N- or O- availability had marked impact
on the cytosolic NADH/NAD+ ratio. NAD redox dynamics could be manipulated in
lines inducibly expressing a water-forming NADH oxidase as a synthetic reductant
sink. The establishment of Peredox-mCherry in U. maydis and the analysis of NAD
redox dynamics provides a versatile methodology for the in vivo investigation of
cellular metabolism, and contributes fundamental knowledge for rational design
and optimization of biocatalysts.
PMID- 29800541
TI - Neonatal outcomes of elective early-term births after demonstrated fetal lung
maturity.
AB - BACKGROUND: Studies of early-term birth after demonstrated fetal lung maturity
show that respiratory and other outcomes are worse with early-term birth (370-386
weeks) even after demonstrated fetal lung maturity when compared with full-term
birth (390-406 weeks). However, these studies included medically indicated births
and are therefore potentially limited by confounding by the indication for
delivery. Thus, the increase in adverse outcomes might be due to the indication
for early-term birth rather than the early-term birth itself. OBJECTIVE: We
examined the prevalence and risks of adverse neonatal outcomes associated with
early-term birth after confirmed fetal lung maturity as compared with full-term
birth in the absence of indications for early delivery. STUDY DESIGN: This is a
secondary analysis of an observational study of births to 115,502 women in 25
hospitals in the United States from 2008 through 2011. Singleton nonanomalous
births at 37-40 weeks with no identifiable indication for delivery were included;
early-term births after positive fetal lung maturity testing were compared with
full-term births. The primary outcome was a composite of death, ventilator for
>=2 days, continuous positive airway pressure, proven sepsis, pneumonia or
meningitis, treated hypoglycemia, hyperbilirubinemia (phototherapy), and 5-minute
Apgar <7. Logistic regression and propensity score matching (both 1:1 and 1:2)
were used. RESULTS: In all, 48,137 births met inclusion criteria; the prevalence
of fetal lung maturity testing in the absence of medical or obstetric indications
for early delivery was 0.52% (n = 249). There were 180 (0.37%) early-term births
after confirmed pulmonary maturity and 47,957 full-term births. Women in the
former group were more likely to be non-Hispanic white, smoke, have received
antenatal steroids, have induction, and have a cesarean. Risks of the composite
(16.1% vs 5.4%; adjusted odds ratio, 3.2; 95% confidence interval, 2.1-4.8 from
logistic regression) were more frequent with elective early-term birth.
Propensity scores matching confirmed the increased primary composite in elective
early-term births: adjusted odds ratios, 4.3 (95% confidence interval, 1.8-10.5)
for 1:1 and 3.5 (95% confidence interval, 1.8-6.5) for 1:2 matching. Among
components of the primary outcome, CPAP use and hyperbilirubinemia requiring
phototherapy were significantly increased. Transient tachypnea of the newborn,
neonatal intensive care unit admission, and prolonged neonatal intensive care
unit stay (>2 days) were also increased with early-term birth. CONCLUSION: Even
with confirmed pulmonary maturity, early-term birth in the absence of medical or
obstetric indications is associated with worse neonatal respiratory and hepatic
outcomes compared with full-term birth, suggesting relative immaturity of these
organ systems in early-term births.
PMID- 29800549
TI - Therapeutic effects of recombinant human S100A6 and soluble receptor for advanced
glycation end products(sRAGE) on CCl4-induced liver fibrosis in mice.
AB - Hepatic fibrosis is a pathological process in which extracellular matrix
excessively aggregates in an injured liver. Research on hepatic fibrosis is
expanding, however, much information in this process is still unclear. Here, we
examined the gene expression changes within the process of liver fibrosis,
providing the first evidence that secreted S100A6 is a critical contributor. We
discovered that expression of the S100 family is highly correlated with CCl4
induced liver fibrosis and post self-recovery in mice. Recombinant human S100A6
(rhS100A6) introduced to CCl4-induced mice was found to enhance liver fibrosis
through the promotion of activated hepatic stellate cell (HSC) proliferation.
More importantly, we showed that rhS100A6 can induce cell cycle transition from S
to G2 stage and significantly elevate the level of ERK phosphorylation in the
MARK pathway. In contrast to rhS100A6, recombinant human and soluble receptor for
advanced glycation end products (sRAGE), a natural antagonist of the S100/RAGE
pathway, was found to have a preventative effect on liver fibrosis in CCl4
induced mice. In conclusion, our study supports that S100A6 could be a novel
therapeutic in liver fibrosis and its receptor antagonist, sRAGE, proofed to be
effective for the treatment of liver fibrosis.
PMID- 29800550
TI - Association Between BMI and Obesity With Survival in Pulmonary Arterial
Hypertension.
AB - BACKGROUND: An obesity paradox, wherein patients who are obese have lower
mortality, has been described in cardiopulmonary diseases, including pulmonary
arterial hypertension (PAH). Our objective was to determine whether obesity and
BMI are associated with mortality in patients with PAH. METHODS: We assessed
incident patients with idiopathic, drug-induced, and heritable PAH from the
French Pulmonary Hypertension Network registry. Cox regression and Kaplan-Meier
analysis were used to assess the association between BMI and obesity with all
cause mortality. RESULTS: Of 1,255 patients included, 30% were obese. A higher
proportion of women (65.1% vs 53.4%, P < .01), drug-induced PAH (28.9% vs 9.2%, P
< .01), systemic hypertension, diabetes, and hypothyroidism were present in the
obese group. More obese patients were in New York Heart Association class III
(66.4% vs 57.1%), fewer were class IV (11.8% vs 16.9%, P < .01), and 6-min walk
distance was lower (276 +/- 121 vs 324 +/- 146, P < .01). Right atrial pressure,
pulmonary wedge pressure, and cardiac index were higher, whereas pulmonary
vascular resistance was lower in patients who were obese. Neither BMI (hazard
ratio [HR], 0.99; 95% CI, 0.97-1.01; P = .41) nor obesity (HR, 1.0; 95% CI, 0.99
1.01; P = .46) were associated with mortality in multivariable analyses. There
was a significant interaction between age and obesity such that mortality
increased among patients < 65 years of age who were morbidly obese (HR, 3.01; 95%
CI, 1.56-5.79; P = .001). CONCLUSIONS: Obesity was not associated with mortality
in the overall population, but there was an age-obesity interaction with
increased mortality among young patients who were morbidly obese. These results
have implications for active weight management in younger patients who are
morbidly obese who are otherwise candidates for lung transplantation.
PMID- 29800552
TI - Exercise related anxiety-like behaviours are mediated by TNF receptor signaling,
but not depression-like behaviours.
AB - : Depression can involve disrupted pro-inflammatory TNF signaling via the TNF
receptors TNFR1 and TNFR2, or the soluble TNF receptors sTNFR1 and sTNFR2.
However, exercise might attenuate pro-inflammatory signaling in depression and
related anxiety. We hypothesized that six months voluntary wheel running exercise
would improve depression-like and anxiety-like behaviours in WT and TNFR1-/-
mice, but not in TNF-/- and TNFR2-/- mice compared to their respective control
mice. METHODS: We investigated the effects of six months voluntary wheel running
exercise on open field (OF) and elevated zero maze (EZM) anxiety-like behaviours,
and forced swim test (FST) depression-like behaviours in control and exercise WT,
TNF-/-, TNFR1-/-, and TNFR2-/- mice with two-way ANOVAs. RESULTS: Exercise
reduced of anxiety-like behaviours in TNFR2-/- exercise mice compared to their
respective controls. Compared to WT control mice, WT exercise mice displayed
significantly reduced EZM anxiety-like behaviours. There were no exercise related
changes in FST immobility time. Between-strains analyses found WT control and
exercise mice displayed reduced EZM anxiety-like behaviours compared to TNF-/-
and TNFR1-/- control and exercise mice, and WT exercise mice displayed reduced
anxiety-like behavior compared to TNFR2-/- exercise mice. DISCUSSION: Exercise
associated TNFR1 and TNFR2 signaling in concert in WT exercise mice mediated
reductions in aspects of anxiety-like behaviours. These findings are consistent
with the current view that imbalances in TNF signaling are involved in disrupted
affect. Additional studies are needed to further explore the roles of exercise
related TNFR1 and TNFR2 signaling in anxiety-like and depression-like behaviours.
PMID- 29800551
TI - Advances in the Genetics of Primary Ciliary Dyskinesia: Clinical Implications.
AB - Primary ciliary dyskinesia is a rare genetic disease of the motile cilia and is
one of a rapidly expanding collection of disorders known as ciliopathies.
Patients with primary ciliary dyskinesia have diverse clinical manifestations,
including chronic upper and lower respiratory tract disease, left-right
laterality defects, and infertility. In recent years, our understanding of the
genetics of primary ciliary dyskinesia has rapidly advanced. A growing number of
disease-associated genes and pathogenic mutations have been identified, which
encode axonemal, cytoplasmic, and regulatory proteins involved in the assembly,
structure, and function of motile cilia. Our knowledge of cilia genetics and the
function of the proteins encoded has led to a greater understanding of the
clinical manifestations of motile ciliopathies. These advances have changed our
approach toward diagnostic testing for primary ciliary dyskinesia. In this
review, we will describe how new insights into genetics have allowed us to define
the clinical features of primary ciliary dyskinesia, revolutionize diagnostics,
and reveal previously unrecognized genotype-phenotype relationships in primary
ciliary dyskinesia.
PMID- 29800553
TI - The cerebral protective effect and mechanism of action of vitamin B6 adjuvant
ceftriaxone in experimental pneumococcal meningitis.
AB - BACKGROUND: Pneumococcal meningitis is one of the most common infectious diseases
with a high-mortality rate and long-term neurological sequelae, affecting up to
50% of survivors. Pneumococcal compounds are pro-inflammatory mediators that
induce an innate immune response and tryptophan degradation through the
kynurenine pathway. Vitamin B6 (vitB6) is an important vitamin which acts as a
cofactor at the active sites of enzymes that catalyze a great number of reactions
involved in the metabolism of tryptophan through the kynurenine pathway and may
thus limit the accumulation of neurotoxic metabolites and preserve the cellular
energy status. The aim of this study was to investigate the neuroprotective
effect of adjuvant treatment with vitB6 in pneumococcal meningitis. METHODS: The
effects of vitB6 on the clinical symptoms, the expression of kynureninase (KYN),
Kynurenic acid (KYNA), nicotinamide adenine dinucleotide (NAD) and cytokines in
brain tissue and memory of infant Wistar rats subjected to pneumococcal
meningitis were researched. At the same time, Kynurenine 3-monooxygenase (KMO)
inhibitor Ro 61-8048 was applied in order to further investigate the brain
protective effect of vitB6 in bacterial meningitis. RESULTS: Adjuvant therapy of
bacterial meningitis with vitB6 could improve the clinical symptoms, learning
performance, lead to the maintenance of cellular NAD+ and ATP homeostasis and
significantly down-regulate the levels of cytokines in the brain tissue by
affecting the KYN pathway. CONCLUSIONS: Adjuvant treatment with vitB6 in
pneumococcal meningitis could exert neuroprotective effect via increasing the
preservation of cellular energy through affecting the KYN pathway and reducing of
the inflammatory response.
PMID- 29800554
TI - Repressive histone methylation regulates cardiac myocyte cell cycle exit.
AB - Mammalian cardiac myocytes (CMs) stop proliferating soon after birth and
subsequent heart growth comes from hypertrophy, limiting the adult heart's
regenerative potential after injury. The molecular events that mediate CM cell
cycle exit are poorly understood. To determine the epigenetic mechanisms limiting
CM cycling in adult CMs (ACMs) and whether trimethylation of lysine 9 of histone
H3 (H3K9me3), a histone modification associated with repressed chromatin, is
required for the silencing of cell cycle genes, we developed a transgenic mouse
model where H3K9me3 is specifically removed in CMs by overexpression of histone
demethylase, KDM4D. Although H3K9me3 is found across the genome, its loss in CMs
preferentially disrupts cell cycle gene silencing. KDM4D binds directly to cell
cycle genes and reduces H3K9me3 levels at these promotors. Loss of H3K9me3
preferentially leads to increased cell cycle gene expression resulting in
enhanced CM cycling. Heart mass was increased in KDM4D overexpressing mice by
postnatal day 14 (P14) and continued to increase until 9-weeks of age. ACM
number, but not size, was significantly increased in KDM4D expressing hearts,
suggesting CM hyperplasia accounts for the increased heart mass. Inducing KDM4D
after normal development specifically in ACMs resulted in increased cell cycle
gene expression and cycling. We demonstrated that H3K9me3 is required for CM cell
cycle exit and terminal differentiation in ACMs. Depletion of H3K9me3 in adult
hearts prevents and reverses permanent cell cycle exit and allows hyperplastic
growth in adult hearts in vivo.
PMID- 29800555
TI - A novel adenylyl cyclase type 5 inhibitor that reduces myocardial infarct size
even when administered after coronary artery reperfusion.
AB - We developed a novel adenylyl cyclase type 5 (AC5) inhibitor, C90, that reduces
myocardial infarct size even when administered after coronary reperfusion. This
is key, since it is not practical to administer a drug to a patient with
myocardial infarction before revascularization, and is one reason why so many
prior drugs, which reduced infarct in experimental animals, failed in clinical
trials. C90 is the most potent AC5 inhibitor, as exhibited by its IC50 value for
AC5 inhibition, which was 5 times lower than the next most potent AC5 inhibitor.
C90 reduced cAMP in response to forskolin in wild type mice by 42%, but no longer
reduced cAMP in response to forskolin in mice with disruption of AC5, indicating
that the mechanism of C90 was specific for AC5 inhibition. Compared with vehicle
treatment, C90 reduced infarct size by 64% at a dose of 0.6 mg/kg. Thus, C90 is a
novel, selective and potent AC5 inhibitor that reduces infarct size, when
delivered after coronary artery reperfusion, rendering it potentially clinically
useful. It also reduces beta-adrenergic receptor signaling, which will provide
additional benefit to patients with coronary artery disease or heart failure.
PMID- 29800556
TI - Celecoxib inhibits mitochondrial O2 consumption, promoting ROS dependent death of
murine and human metastatic cancer cells via the apoptotic signalling pathway.
AB - : Capecitabine induced toxicities such as hand-foot syndrome (HFS) and
progression of metastatic cancer are both treatable with concurrent celecoxib as
shown in the ADAPT (Activating Cancer Stem Cells from Dormancy And Potentiate for
Targeting) trial. In the present study, five commonly used NSAIDs, including
celecoxib were compared for their pro-oxidative capacities as cytotoxic drugs
against human and mouse metastatic melanoma or breast cancer cells in vitroand
the source of cellular ROS production induced by celecoxib was examined in
greater detail. RESULTS: Celecoxib was unique among the NSAIDs in that it showed
particular potency as a cytotoxic drug against the metastatic cancer cells with
IC50 values in the low micromolar range. Celecoxib rapidly enhanced mitochondrial
superoxide production in situ from cancer cells within minutes, leading to a
decrease in cellular respiration and dissipation of the mitochondrial
transmembrane potential (Deltapsim), followed by extensive ROS-dependent
apoptosis of the metastatic cancer cells. Celecoxib also showed rapid and direct
effects on isolated mitochondria, inducing extensive ROS production in a dose
dependent manner, whilst it inhibited respiration via Complex I or Complex II
when tested in whole cells. Mitochondrial ROS production was necessary for the
celecoxib induced cell death. INNOVATION AND CONCLUSION: These novel findings for
direct effects of celecoxib on mitochondria to induce metastatic cancer cell
death via a ROS-dependent pro-oxidative mechanism provide supportive evidence for
its combinatorial use as a chemosensitizing agent complementing chemotherapies to
improve response rates in patients with advanced metastatic cancers.
PMID- 29800557
TI - Prognostic and clinicopathological value of CXCL12/SDF1 expression in breast
cancer: A meta-analysis.
AB - BACKGROUND: Several studies have demonstrated that stromal cell derived factor-1
(SDF1, also known as CXCL12) expression is a biomarker for breast cancer
treatment; however, its significance of prognosis is inconsistent. This study
uses a meta-analysis to explore the prognostic value of CXCL12/SDF1 expression in
breast cancer. MATERIALS AND METHODS: PubMed, Embase, Cochrane Library, and Web
of Science databases were searched from inception to November 25, 2017. Studies
investigating the correlation between CXCL12/SDF1 expression and survival in
breast carcinoma were included. The pooled hazard ratio (HR) and 95% confidence
interval (95% CI) was used to assess the prognostic value of CXCL12/SDF1 in
breast cancer. The pooled odds radio (OR) and 95% CI was applied to evaluate the
relationship between CXCL12/SDF1 expression and the clinical characteristics of
breast cancer. RESULTS: Eight eligible studies involving 2205 patients were
identified. Higher CXCL12/SDF1 protein expression was associated with better
disease-free survival (DFS) (HR, 0.76; 95% CI, 0.68-0.86; P < .0001) and overall
survival (OS) (HR, 0.66; 95% CI, 0.49-0.87; P = .004) in breast cancer.
Furthermore, higher CXCL12/SDF1 protein expression was associated with positive
ER status (OR, 1.92; 95% CI, 1.08-3.45; P = .03), negative HER2 status (OR, 2.64;
95% CI, 1.06-6.59; P = .04), and small tumor size (OR, 2.49; 95% CI, 1.47-4.22; P
= .0007) in breast cancer, respectively. However, there were no significant
associations between the CXCL12/SDF1 mRNA expression and other prognostic
parameters, such as TNM stage, age, PR status, lymph node, and nuclear grade (P >
.05 for all). CONCLUSIONS: This present meta-analysis suggests that CXCL12/SDF1
protein expression is a good prognostic biomarker in breast cancer. In addition,
the over-expression of CXCL12/SDF1 protein was associated with positive ER
status, negative HER2 status and small tumor size.
PMID- 29800558
TI - Circulating microRNAs as novel biomarkers of Alzheimer's disease.
AB - Alzheimer's disease (AD) is the most common neurodegenerative disorder.
Biomarkers could help identify patients at risk and define stages of this
disease. Furthermore, biomarkers can be used to evaluate the efficiency of
therapeutic agents under testing and thus accelerate the therapeutic discovery
process. Biological exploration of AD is currently based on the measurement of
beta amyloid peptides, tau and phospho-Tau proteins in cerebrospinal fluid.
However, these tests have many limitations. So, the search for new biomarkers is
very active. The ability of microRNAs (miRNAs) to regulate neuronal processes by
acting as molecular switches in combination with their region specificity make
that researchers are interested in miRNAs for their potential uses as biomarkers
and as a treatment for neurodegenerative diseases including AD. This literature
review aims to take stock of the use of circulating miRNAs as a novel biomarkers
for AD.
PMID- 29800559
TI - Measuring estrogens in women, men, and children: Recent advances 2012-2017.
AB - The measurement of estrogens is important for diagnosing and monitoring the
health of women, men, and children. For example, for postmenopausal women or
women undergoing treatment for breast cancer with aromatase inhibitors, the
measurement of extremely low concentrations of estrogens in serum, especially
estradiol, is problematic but essential for proper medical care. Achieving superb
analytical sensitivity and specificity has been and continues to be a challenge
for the clinical laboratory, but is a challenge that is being taken seriously.
Focusing on publications from 2012 to 2017, this review will provide an overview
of recent research in the development of methods to accurately and precisely
measure estrogens, including a variety of estrogen metabolites. Additionally, the
latest in clinical research involving estrogen measurement in women, men, and
children will be presented to provide an update on the association of estrogens
with diseases or conditions such as breast cancer, precocious puberty,
infertility, and pregnancy. This research update will provide context as to why
estrogen measurement is important and why laboratories are working hard to
support the recommendations made by the Endocrine Society regarding estrogen
measurement.
PMID- 29800560
TI - The interactive effects of genetic polymorphisms within LFA-1/ICAM-1/GSK-3beta
pathway and environmental hazards on the development of Graves' opthalmopathy.
AB - The purpose of this investigation was to explore the combined effects of single
nucleotide polymorphisms (SNPs) within LFA-1/ICAM-1/GSK-3beta pathway and
environmental hazards on susceptibility to Graves' opthalmopathy (GO) among a
Chinese Han population. Altogether 305 GO patients and 283 Graves' disease (GD)
subjects were recruited. Information relevant to the participants' age, gender,
body mass index (BMI), regular physical activity, smoking history, alcohol
intake, stressful work environment, stress at work, family history of thyroid
disease and 131I treatment were summarized, and the participants' related SNPs of
LFA-1/ICAM-1/GSK-3beta were also detected. Then the gene-gene and gene
environment interactions were evaluated by logistic regression model and multi
factor dimensionality reduction (MDR) modeling. The results exhibited that age,
BMI, smoking history, stressful work, stress at home, family history of thyroid
disease and 131I treatment appeared as potential indicators regulating GO risk,
when either univariate or multivariate regression analysis was performed (all P <
0.05). Moreover, rs12716977 (T > C) and rs2230433 (G > C) of LFA-1, rs1799969 (G
> A) and rs5498 (A > G) of ICAM-1, as well as rs6438552 (T > C) and rs334558 (T >
C) of GSK-3beta were significantly associated with altered susceptibility to GO
under the allelic models (all P < 0.05). Also haplotype TGAATC acted as a
protective factor against GO risk (P < 0.05), whereas haplotype CGAACC largely
elevated risk of GO (P < 0.05). Besides, logistic regression analysis
demonstrated that rs12716927, rs5498 and rs6438552 all would affect the
influences exerted by age, BMI, smoking history, stressful work, stress at home,
family history of thyroid disease or 131I treatment on GO susceptibility (all P <
0.05). MDR modeling implied that the combined model of rs12716977, rs2230433 and
rs1799969 was the supreme interactive model when BMI was co-assessed, and the
interactive model of rs12716977, rs334558 and rs5491 was the most desirable among
the smoking population. In conclusion, gene-gene and gene-environment
interactions served as a crucial manner in affecting susceptibility to GO,
providing solid evidences for screening effective GO-susceptible biomarkers and
exploring potential GO treatment strategies.
PMID- 29800561
TI - Kinetic glomerular filtration rate equation can accommodate a changing body
volume: Derivation and usage of the formula.
AB - Ascertaining a patient's kidney function is more difficult to do when the serum
creatinine is changing than when it is stable. To accomplish the task, various
kinetic clearance equations have been developed. To date, however, none of them
have allowed for ongoing changes to the creatinine's volume of distribution.
These diluting or concentrating effects on the [creatinine] can greatly impact
the accuracy of kidney function assessment. Described herein is a model of
creatinine kinetics that also accommodates volume changes. The differential
equation is solved for the kinetic glomerular filtration rate (GFR), which is
helpful information to the physician. Some of the equation's discontinuities,
such as from dividing by a volume rate of zero, can be resolved by using limits.
Being "volume-capable," the new kinetic equation reveals how a changing volume
influences the maximum rate of rise in [creatinine], a parameter that heretofore
was chosen empirically. To show the advantages of incorporating volume, the new
and old kinetic equations are applied to a clinical case of overzealous fluid
resuscitation. Appropriately, when the volume gain's dilution of [creatinine] is
taken into account, the creatinine clearance is calculated to be substantially
lower. In conclusion, the kinetic GFR equation has been upgraded to handle volume
changes simultaneously with [creatinine] changes.
PMID- 29800563
TI - Modelling hepatotoxicity and antiretroviral therapeutic effect in HIV/HBV
coinfection.
AB - Enzyme alanine aminotransferase (ALT) elevation which reflects hepatocellular
injury is a current challenge in people infected with human immunodeficiency
virus (HIV) on antiretroviral therapy (ART). One of the factors that enhance the
risk of hepatotoxicity is underlying diseases such as hepatitis caused by
hepatitis B virus (HBV). HIV/HBV coinfected patients stand a greater risk of
hepatotoxicity because all ART are toxic and liver cells (hepatocytes) that are
responsible for metabolising the toxic ART, support all stages of HIV and HBV
viral production. Mathematical models coupled with numerical simulations are used
in this study with the aim of investigating the optimal combination of ART in
HIV/HBV coinfection. Emtricitabine, tenofovir and efavirenz is the optimal
combination that maximises the therapeutic effect of therapy and minimises the
toxic response to medication in HIV/HBV coinfection.
PMID- 29800562
TI - Plague disease model with weather seasonality.
AB - The plague disease model that include the effect of seasonal weather variation in
its transmission is investigated in this paper. The disease is caused by an
extremely virulent bacteria Yersinia pestis named after a French bacteriologist
Alexandre Yersin. The analysis shows that, when the periodic reproduction number
(RT) is greater than one there exist a globally asymptotically stable disease
free equilibrium solution (DFS). Using fundamental existence-uniqueness theorem
we were able to prove the existence of positive periodic solutions. The analysis
further shows that when RT > 1 then there is at least one positive periodic
solution. We additionally establish the conditions for global stability of
periodic solutions of the model and finally using numerical simulation we depict
the behavioral dynamics of plague disease and justify the theoretical solutions.
PMID- 29800564
TI - Sustainable thresholds for cooperative epidemiological models.
AB - In this paper, we introduce a method for computing sustainable thresholds for
controlled cooperative models described by a system of ordinary differential
equations, a property shared by a wide class of compartmental models in
epidemiology. The set of sustainable thresholds refers to constraints (e.g.,
maximal "allowable" number of human infections; maximal "affordable" budget for
disease prevention, diagnosis and treatments; etc.), parameterized by thresholds,
that can be sustained by applying an admissible control strategy starting at the
given initial state and lasting the whole period of the control intervention.
This set, determined by the initial state of the dynamical system, virtually
provides useful information for more efficient (or cost-effective) decision
making by exhibiting the trade-offs between different types of constraints and
allowing the user to assess future outcomes of control measures on transient
behavior of the dynamical system. In order to accentuate the originality of our
approach and to reveal its potential significance in real-life applications, we
present an example relying on the 2013 dengue outbreak in Cali, Colombia, where
we compute the set of sustainable thresholds (in terms of the maximal
"affordable" budget and the maximal "allowable" levels of active infections among
human and vector populations) that could be sustained during the epidemic
outbreak.
PMID- 29800565
TI - Structural Dynamics of the Activation of Elongation Factor 2 Kinase by Ca2+
Calmodulin.
AB - Eukaryotic elongation factor 2 kinase (eEF-2K), the only known calmodulin (CaM)
activated alpha-kinase, phosphorylates eukaryotic elongation factor 2 (eEF-2) on
a specific threonine (Thr-56) diminishing its affinity for the ribosome and
reducing the rate of nascent chain elongation during translation. Despite its
critical cellular role, the precise mechanisms underlying the CaM-mediated
activation of eEF-2K remain poorly defined. Here, employing a minimal eEF-2K
construct (TR) that exhibits activity comparable to the wild-type enzyme and is
fully activated by CaM in vitro and in cells, and using a variety of
complimentary biophysical techniques in combination with computational modeling,
we provide a structural mechanism by which CaM activates eEF-2K. Native mass
analysis reveals that CaM, with two bound Ca2+ ions, forms a stoichiometric 1:1
complex with TR. Chemical crosslinking mass spectrometry and small-angle X-ray
scattering measurements localize CaM near the N-lobe of the TR kinase domain and
the spatially proximal C-terminal helical repeat. Hydrogen/deuterium exchange
mass spectrometry and methyl NMR indicate that the conformational changes induced
on TR by the engagement of CaM are not localized but are transmitted to remote
regions that include the catalytic site and the functionally important phosphate
binding pocket. The structural insights obtained from the present analyses,
together with our previously published kinetics data, suggest that TR, and by
inference, wild-type eEF-2K, upon engaging CaM undergoes a conformational
transition resulting in a state that is primed to efficiently auto-phosphorylate
on the primary activating T348 en route to full activation.
PMID- 29800566
TI - Genome-Wide RNAi Screen Identify Melanoma-Associated Antigen Mageb3 Involved in X
Chromosome Inactivation.
AB - Xist (inactivated X chromosome specific transcript) is a prototype long noncoding
RNA in charge of epigenetic silencing of one X chromosome in each female cell in
mammals. In a genetic screen, we identify Mageb3 and its homologs Mageb1 and
Mageb2 as genes functionally required for Xist-mediated gene silencing. Mageb1-3
are previously uncharacterized genes belonging to the MAGE (melanoma-associated
antigen) gene family. Mageb1-3 are expressed in undifferentiated ES cells and
early stages of in vitro differentiation, a critical time window of X chromosome
inactivation. Mageb3 showed both cytoplasmic and nuclear localization without
enrichment on the inactive X (Xi). Mageb3 interacted with Polycomb group ring
finger 3 (Pcgf3), a RING finger protein involved in recruiting Polycomb
activities onto Xi. Mageb3 overexpression stabilized Pcgf3 protein. Mageb1-3 gene
knockout affected H3K27me3 enrichment and the spreading of gene silencing along
Xi. These data suggested that Mageb3 might regulate the recruitment of the
Polycomb complex onto Xi and subsequent H3K27me3 modification through Pcgf3.
Moreover, the nucleolar enrichment of Mageb3 was diminished when nuclear matrix
factor hnRNP U is overexpressed, implying the interaction between Mageb3 and
nuclear matrix, which is another possible mechanism for Mageb3 to regulate X
chromosome inactivation.
PMID- 29800568
TI - Cell adhesion properties of human defensins.
AB - Effector peptides of innate immunity play an important role in host defense. They
act directly by inactivating microbes but also link innate to adaptive immunity.
A variety of innate immune functions has been described for these peptides,
including chemoattraction and cytokine release. In this study, we describe the
effect on cell morphology and cell adhesion of human defensins. We find that
Human Defensin 5, the major product of specialized gut epithelial cells, causes
changes in cell morphology. HD-5 induces cell adhesion, binds to fibronectin and
facilitates binding of T cells to intestinal epithelial cells. These effects were
found also for a second prominent defensing, termed Human Neutrophil peptide-1,
but not for other human defensins.
PMID- 29800569
TI - Effect of long non-coding RNA H19 on oxidative stress and chemotherapy resistance
of CD133+ cancer stem cells via the MAPK/ERK signaling pathway in hepatocellular
carcinoma.
AB - We explored the ability of a long non-coding RNA H19, to influence oxidative
stress (OS) and chemotherapy resistance of CD133 + cancer stem cells via the
MAPK/ERK signaling pathway in HCC. HCC tissues with corresponding adjacent normal
tissues were collected. CD133 + HuH7 cells were sorted and assigned into five
groups. Quantitative real-time polymerase chain reaction (qRT-PCR) and western
blotting were performed to determine expression levels mRNAs and proteins. Levels
of reactive oxygen species (ROS) and malonaldehyde (MDA), and activity of
superoxide dismutase (SOD) were measured. Cell viability was analyzed by MTT
assay and cell apoptosis by flow cytometry. Compared with adjacent normal
tissues, the H19 expression level was higher and MAPK and ERK protein levels were
lower in HCC tissues. Compared with the blank group, in the pcDNA-H19 group, H19
expression level, MAPK and ERK protein levels, MDR1 and GST-pi expression levels
were increased, ROS and MDA levels were decreased, SOD activity was weakened,
cell viability was promoted, and cell apoptosis was inhibited; in the siH19
group, H19 expression level, MAPK and ERK protein levels, MDR1 and GST-pi
expression levels were reduced, ROS and MDA levels were elevated, SOD activity
was enhanced, cell viability was inhibited, and cell apoptosis was promoted.
There was no significant difference among blank, NC and pcDNA-H19 + PD98059
groups. The study provides evidence that downregulation of H19 may induce OS and
reverse chemotherapy resistance of CD133 + cancer stem cells by blocking the
MAPK/ERK signaling pathway in HCC.
PMID- 29800570
TI - Necrostatin-7 suppresses RANK-NFATc1 signaling and attenuates macrophage to
osteoclast differentiation.
AB - Osteoclasts play a crucial role in osteolytic bone diseases, such as
osteoporosis, rheumatoid arthritis, periodontitis, Paget's disease of bone and
bone metastatic tumors. Therefore, controlling osteoclast differentiation and
function has been considered a promising therapeutic strategy. Here, we show that
necrostatin (Nec)-7, an inhibitor of programmed necrosis, strongly suppressed
receptor activator of nuclear factor (NF)-kappaB ligand (RANKL)-induced
osteoclastogenesis and bone resorption, without compromising macrophage colony
stimulating factor (M-CSF)-supported survival and growth of osteoclast precursor
cells. Accordingly, Nec-7 significantly decreased the levels of RANKL-induced
osteoclastogenic marker genes, such as cathepsin K. Mechanistically, Nec-7
neither affected MAPK nor NF-kappaB activation; however, it strongly inhibited
the RANKL receptor (RANK) to nuclear factor of activated T cells c1 (NFATc1)
signaling. Lentiviral expression of RANK in bone marrow-derived macrophages
significantly restored osteoclastogenesis and NFATc1 amplification in Nec-7
treated cells. In this study, we revealed that Nec-7-sensitive pathways are
crucially involved in osteoclast formation and function. Investigation of the
molecular mechanism(s) through which Nec-7 inhibits RANK-NFATc1 signaling axis
may lead to the development of new therapeutic strategies for bone disease.
PMID- 29800571
TI - Pairwise alignment for very long nucleic acid sequences.
AB - Sequence alignment is one of the fundamental problems in computational biology
and has numerous applications. The Smith-Waterman algorithm generates optimal
local alignment for pairwise alignment task and has become a standard algorithm
in its field. However, the current version of the Smith-Waterman algorithm
demands a significant amount of memory and is not suitable for alignment of very
long sequences. On the hand, the recent DNA sequencing technologies have produced
vast amounts of biological sequences. Some nucleic acid sequences are very long
and cannot employ the Smith-Waterman algorithm. To this end, this study proposes
the PAAVLS algorithm that follows the dynamic programming technique employed by
the Smith-Waterman algorithm and largely reduces the demand of memory. The
proposed PAAVLS algorithm can be employed for alignment of very long sequences,
i.e., sequences contain more than 100,000,000 nucleotides, on a personal
computer. Additionally, the running time of the proposed PAAVLS algorithm is
comparable with the running time of the standard Smith-Waterman algorithm.
PMID- 29800572
TI - DNA binding efficacy with functionalized folic acid-PAMAM nanoparticles.
AB - Functionalized folic-polymers were often used for gene and drug delivery. DNA
binding to folic acid-PAMAM conjugates was studied, using multiple spectroscopic
methods, thermodynamic analysis and transmission electron microscopy (TEM).
Thermodynamic parameters showed DNA-folic acid-PAMAM conjugation occurs via H
bonding, hydrophobic and van der Waals contacts. As nanoparticle size increases
the loading efficacy and the stability of DNA conjugates are enhanced. TEM
analysis showed major DNA morphological changes, upon folic acid-PAMAM
conjugation. Folic acid-PAMAM nanoparticles can transport DNA in vitro.
PMID- 29800567
TI - Keeping Tumors in Check: A Mechanistic Review of Clinical Response and Resistance
to Immune Checkpoint Blockade in Cancer.
AB - Immune checkpoints are a diverse set of inhibitory signals to the immune system
that play a functional role in adaptive immune response and self-tolerance.
Dysregulation of these pathways is a vital mechanism in the avoidance of immune
destruction by tumor cells. Immune checkpoint blockade (ICB) refers to targeted
strategies to disrupt the tumor co-opted immune suppression to enhance anti-tumor
immunity. Cytotoxic T-lymphocyte-associated protein 4 (CTLA-4) and programmed
cell death 1 (PD-1) are two immune checkpoints that have the widest range of
antibody-based therapies. These therapies have gone from promising approaches to
Food and Drug Administration-approved first- and second-line agents for a number
of immunogenic cancers. The burgeoning investigations of ICB efficacy in blood
and solid cancers have underscored the importance of identifying the predictors
of response and resistance to ICB. Identification of response correlates is made
complicated by the observations of mixed reactions, or different responses in
multiple lesions from the same patient, and delayed responses that can occur over
a year after the induction therapy. Factors that can influence response and
resistance in ICB can illuminate underlying molecular mechanisms of immune
activation and suppression. These same response predictors can guide the
identification of patients who would benefit from ICB, reduce off-target immune
relate adverse events, and facilitate the use of combinatorial therapies to
increase efficacy. Here we review the underlying principles of immune checkpoint
therapy and results of single-agent ICB clinical trials, and summarize the
predictors of response and resistance.
PMID- 29800573
TI - Vosaroxin induces mitochondrial dysfunction and apoptosis in cervical cancer HeLa
cells: Involvement of AMPK/Sirt3/HIF-1 pathway.
AB - Vosaroxin is a quinolone-derivative anticancer agent with inhibitory activity on
type II DNA topoisomerases (TOP2). The aim of the present study was to
investigate its cytotoxic effect and potential molecular mechanisms in human
cervical cancer HeLa cells. Vosaroxin decreased cell viability and increased
lactate dehydrogenase (LDH) release in a dose- and time-dependent manner in HeLa
cells, but not in normal cervical epithelial cells. Vosaroxin also induced
apoptosis and increased caspase-3 activity in HeLa cells. These effects were
accompanied by increased mitochondrial reactive oxygen species (ROS) generation,
lipid peroxidation, mitochondrial swelling and reduced ATP production. Western
blot analysis showed that vosaroxin significantly reduced hypoxia-inducible
factor 1alpha (HIF-1alpha) protein levels. However, it had no effect on HIF
1alpha protein degradation and HIF-1alpha mRNA levels. The results showed that
vosaroxin inhibited the synthesis of HIF-1alpha protein and interfered with the
dimerization of HIF-1alpha and aryl hydrocarbon receptor nuclear translocator
(ARNT). In addition, vosaroxin stimulated mitochondrial enzyme activities and
superoxide dismutase 2 (SOD2) deacetylation via activating (Sir2 like protein 3)
Sirt3. More importantly, vosaroxin-induced inhibition on HIF-1alpha and its
cytotoxic effects, as measured by cell viability, LDH release and apoptosis, were
partially prevented by Sirt3 knockdown or the AMP-activated protein kinase (AMPK)
inhibitor compound C. Overall, vosaroxin is demonstrated to be a chemotherapeutic
agent targeting the Sirt3/HIF-1 pathway and could be beneficial for inducing
cytotoxicity in human cervical cancer cells.
PMID- 29800575
TI - Measurement and interpretation of Salmonella typhi Vi IgG antibodies for the
assessment of adaptive immunity.
AB - Response to polysaccharide vaccination can be an invaluable tool for assessing
functionality of the adaptive immune system. Measurement of antibodies raised in
response to Pneumovax(r)23 is the current gold standard test, but there are
significant challenges and constraints in both the measurement and interpretation
of the response. An alternative polysaccharide vaccine approach (Salmonella typhi
Vi capsule (ViCPS)) has been suggested. In the present article, we review current
evidence for the measurement of ViCPS antibodies in the diagnosis of primary and
secondary antibody deficiencies. In particular, we review emerging data
suggesting their interpretation in combination with the response to
Pneumovax(r)23 and comment upon the utility of these vaccines to assess humoral
immune responses while receiving immunoglobulin replacement therapy (IGRT).
PMID- 29800574
TI - Electro-behavioral phenotype and cell injury following exposure to paraoxon-ethyl
in mice: Effect of the genetic background.
AB - Organophosphorus compounds (OP) are irreversible inhibitors of both central and
peripheral cholinesterases (ChE). They still represent a major health issue in
some countries as well as a terrorist and military threat. In order to design
appropriate medical counter-measures, a better understanding of the
pathophysiology of the poisoning is needed. Little to nothing is known regarding
the impact of the genetic background on OP-induced seizures and seizure-related
cell injury. Using two different mouse strains, Swiss and C57BL/6J, exposed to a
convulsing dose of the OP pesticide paraoxon-ethyl (POX), our study focused on
seizure susceptibility, especially the occurrence of SE and related mortality. We
also evaluated the initial neuropathological response and SE-induced cell injury.
Following the administration of 2.4 mg/kg POX, more Swiss mice experienced SE
than C57BL/6J (55.6% versus 17.2%) but the duration of their SE, based on EEG
recordings, was shorter (64.3 +/- 19.5 min versus 180.8 +/- 36.8 min). No
significant difference was observed between strains regarding mortality (33%
versus 14%). In both strains limited cell injury was observed in the medial
temporal cortex, the dentate gyrus and the CA3 field without inter-strain
differences (Fluorojade C-positive cells/mm2). Conversely, only C57BL/6J mice
showed cell injury in the CA1 field. There was no obvious correlation between the
number of Fluorojade C-positive cells and the duration of the EEG discharges. Our
work suggests some differences between Swiss and C57BL/6J mice and lay ground to
further studies on the impact of strains in the development of central nervous
system toxicity of OP.
PMID- 29800576
TI - Evaluating dendritic cells as an in vitro screening tool for immunotherapeutic
formulations.
AB - Immunotherapy approaches targeting dendritic cells (DCs) are being studied as
treatment options in cancer. This project focused on utilizing DCs as a valuable
in vitro screening tool for efficacious microparticle formulations containing
tumor associated antigens (TAAs) and adjuvants as immunotherapy alternatives. The
innate immune system, including DCs, distinctly responds to the particulate
matter and adjuvants in these formulations which stimulates the adaptive immune
system to eliminate resident cancer cells. We formulated microparticles (MPs) co
loaded with TAAs along with the adjuvants, AddaVaxTM and Imiquimod, and measured
their effect on DCs in eliciting a cell-mediated immune response towards tumors.
The MP zeta potential was measured as -24.0 mV and -26.5 mV for blank and
TAA/adjuvant co-loaded microparticles, and the average particle size was 671.2 nm
and 854.4 nm respectively. We determined that nitric oxide (NO) secretion was
significantly higher in the adjuvant MP treated DCs group and was dose dependent
with 1 mg/mL demonstrating the highest secretion levels. TNF-alpha release was
highest in AddaVaxTM/TAA and Imiquimod/TAA MPs treated DCs, while IL-6 secretion
was highest from Imiquimod/TAA MPs as well as from combined AddaVaxTM/TAA and
Imiquimod/TAA MPs. Overall, the cell surface marker expressions of CD80, CD86,
CD40, CD54, MHC-I and MHC-II levels were highest with combined AddaVaxTM/TAA and
Imiquimod/TAA MPs. The results of our experiments suggest that a combination of
adjuvants targeting different DC receptors loaded with TAA MPs creates an
efficient delivery system to T-cells that could improve adaptive immune
responses. Our studies also confirm that DCs are potent innate immune cells that
can be used successfully as an in vitro tool to screen novel delivery
formulations focused on immunotherapy.
PMID- 29800577
TI - Viral peptides-MHC interaction: Binding probability and distance from human
peptides.
AB - Identification of peptides binding to MHC class I complex can play a crucial role
in retrieving potential targets able to trigger an immune response. Affinity
binding of viral peptides can be estimated through effective computational
methods that in the most of cases are based on machine learning approach.
Achieving a better insight into peptide features that impact on the affinity
binding rate is a challenging issue. In the present work we focused on 9-mer
peptides of Human immunodeficiency virus type 1 and Human herpes simplex virus 1,
studying their binding to MHC class I. Viral 9-mers were partitioned into
different classes, where each class is characterized by how far (in terms of
mutation steps) the peptides belonging to that class are from human 9-mers. Viral
9-mers were partitioned in different classes, based on the number of mutation
steps they are far from human 9-mers. We showed that the overall binding
probability significantly differs among classes, and it typically increases as
the distance, computed in terms of number of mutation steps from the human set of
9-mers, increases. The binding probability is particularly high when considering
viral 9-mers that are far from all human 9-mers more than three mutation steps. A
further evidence, providing significance to those special viral peptides and
suggesting a potential role they can play, comes from the analysis of their
distribution along viral genomes, as it revealed they are not randomly located,
but they preferentially occur in specific genes.
PMID- 29800578
TI - Simple limbal epithelial transplantation: a review on current approach and future
directions.
AB - Simple limbal epithelial transplantation is a recently developed technique for
treating limbal stem cell deficiency caused by ocular burns. A small limbal
biopsy from the donor eye, usually from the patient's healthy eye, is excised and
dissected into multiple pieces. An amniotic membrane is atttached using fibrin
glue on the diseased eye after removing the conjunctivalized pannus from the
corneal surface. The limbal biopsy pieces are placed onto the amniotic membrane,
fixed with fibrin glue, followed by bandaging of the ocular surface with a
contact lens. This technique (auto simple limbal epithelial transplantation)
offers easier surgical manipulations and economic advantages over other
techniques for the treatment of limbal stem cell deficiency. We therefore review
simple limbal epithelial transplantation along with recent modifications in the
technique and case studies, including challenges and failures.
PMID- 29800579
TI - Survey analysis on the management of moderately dysplastic nevi among academic
dermatologists across the United States.
PMID- 29800580
TI - Atopic dermatitis is associated with osteoporosis and osteopenia in older adults.
PMID- 29800582
TI - The Potential of Narrow Band UVB to Induce Sustained Durable Complete Remission
off-Therapy in Stage I Mycosis Fungoides.
AB - BACKGROUND: Narrow Band UVB (NB UVB) is a first line therapy for stage I Mycosis
Fungoides (MF) with complete response (CR) in 75%-85% of patients. However, long
term, off-therapy disease free survival (DFS) data are scarce. OBJECTIVE: To
assess the long-term DFS following NB UVB treatment stage I MF. METHODS: An
historic cohort of all stage I MF patients achieving CR with NB UVB and
discontinuing any treatment prior to 2011. Age at the beginning of phototherapy,
gender, stage, skin phototype, number of treatments, total dose and the length of
DFS was collected. RESULTS: Of the 117 patients who started NB UVB, 93 patients
(80%) had CR and 56 of them (60%) were disease free as of March 2017. In a
multivariate analysis only age and disease stage independently affected DFS. The
DFS was longer for patients younger than 50 years old (124 and 91 months
respectively, p=0.01); and for stage IA patients (131 and 87.6 months
respectively, p=0.001). LIMITATIONS: The study was retrospective in nature
CONCLUSIONS: Following a single course of NB UVB, over a half of stage I MF
patients achieve more than 5 years DFS period and potentially cured. Thus NB UVB
can be considered as a disease modifying therapy.
PMID- 29800581
TI - Financial burden of emergency department visits for atopic dermatitis in the
United States.
AB - BACKGROUND: Little is known about the usage and financial burden of emergency
care visits for atopic dermatitis (AD) or eczema (AD-E) in the United States.
OBJECTIVE: To determine the prevalence, risk factors, and cost of emergency care
for AD-E in the United States. METHODS: Cross-sectional study of the 2006-2012
National Emergency Department Sample, including a 20% sample of emergency
department (ED) visits throughout the United States (n = 198,102,435). RESULTS:
The mean annual incidence of ED visits with a primary diagnosis of AD-E was
3368.4-3553.0 cases/1 million persons. The prevalence of ED visits for AD-E
increased significantly during 2006-2012 (survey logistic regression, P < .05).
ED visits with a primary diagnosis of AD-E versus ED visits without were
associated with younger patient age, Medicaid or no insurance, and lower
household income quartile and more likely to occur during weekends and summer
months. The geometric mean and total costs of ED visits for AD-E significantly
increased from $369.07 and $127,275,080, respectively, in 2006 to $642.10 and
$265,541,084, respectively, in 2012. LIMITATIONS: The National Emergency
Department Sample did not include data on AD severity, recurrent ED visits,
race/ethnicity, or treatments provided. CONCLUSION: There is a substantial and
increasing financial burden of ED visits for AD-E in the United States.
Interventions are needed to decrease ED visits for AD.
PMID- 29800583
TI - Comparative study of the effects of cigarette smoke and electronic cigarettes on
human gingival fibroblast proliferation, migration and apoptosis.
AB - In an effort to reduce smoking-related diseases, alternative products such as e
cigarettes have been proposed. However, despite their growing popularity, the
potential toxicity of e-cigarettes remains largely unknown. In this study, human
gingival fibroblasts were repeatedly exposed to cigarette smoke condensate (CSC)
and to nicotine-rich (NR) or nicotine-free (NF) e-vapor condensates for 60 min
once a day for various time periods. They were then used to perform different
analyses. Results indicate that cells exposed to CSC or NR condensates showed an
altered morphology and a reduced proliferation rate, as ascertained by MTT and
BrdU assays. Fibroblast cultures exposed to either CSC or e-vapor condensates
also showed increased levels of TUNEL-positive apoptotic cells, compared to that
recorded in the control. Furthermore, the cell scratch test revealed that
repeated exposures to CSC or to e-vapor condensates delayed both fibroblast
migration and wound healing. It should be noted that CSC was much more damageable
to gingival fibroblasts than were the NR and NF e-vapor condensates. The
representative chain of damage thus translates to CSC > NR e-vapor condensate >
NF e-vapor condensate.
PMID- 29800584
TI - Who is studied in de novo fear conditioning paradigms? An examination of
demographic and stimulus characteristics predicting fear learning.
AB - A common challenge in fear conditioning studies is that a relatively large
proportion of individuals fail to acquire a differential conditioned skin
conductance response (SCR). Researchers have identified demographic factors
associated with poorer fear learning and explored the use of different fear
conditioning paradigms across various populations. However, few studies have
strategically aimed to enhance acquisition by manipulating the unconditioned
stimulus (UCS). In the current manuscript, we examined whether demographic
factors predicted failure to condition (n = 274) and explored whether
modifications to the UCS enhanced fear learning (n = 143). Results indicated that
race, but not age, education, or gender, predicted failure to condition. Stepwise
logistic regression demonstrated that race was the most influential of these
predictors; African Americans were less likely to acquire a conditioned SCR,
compared to non-African Americans. Also, use of a compound UCS (i.e., electric
shock combined with a scream noise) led to nearly double the rate of acquisition
of a conditioned SCR. Hence, use of a compound UCS may provide a way to reduce
the number of excluded individuals in studies of fear-conditioned SCR and thereby
improve the representativeness of research samples.
PMID- 29800585
TI - Fluoride induced tissue hypercalcemia, IL-17 mediated inflammation and apoptosis
lead to cardiomyopathy: Ultrastructural and biochemical findings.
AB - An increased prevalence of cardiac complications has been observed in residents
of fluorosis endemic areas chronically exposed to fluoride. Fluoride induces soft
tissue injury due to oxidative stress, lipid peroxidation (LPO) and
mitochondriopathy. It was hypothesized that chronic fluoride exposure induces
apoptosis in cardiomyocytes due to inflammation, lysis of extra cellular matrix
and altered calcium metabolism. This study was planned to evaluate the effects of
chronic fluoride exposure and the mechanism of action in the cardiac muscle.
Fifteen week old male Wistar rats were administered a human equivalent dose of
fluoride (50 and 100 ppm ad-libitum, HED = 5 & 10 ppm in human) for 75-days.
After 75-days of fluoride exposure, the animals were euthanized and fluoride,
oxidative stress (SOD, GPX, Catalase activities) and LPO were measured.
Histopathological and ultrastructural pathological examinations were conducted on
the cardiac tissues using light, atomic force and electron microscopies. The
cardiac tissues were also assessed for apoptosis (TUNEL/Caspase assays), and
tissue calcium levels (Alizarin-assay and SEM-EDX). Tissue inflammation and
expression of IL-17, MMP-9, Caspase-3 and Bcl-2 were evaluated. In the fluoride
exposed groups, a significant (<=0.05) increase in levels of oxidative stress,
LPO and apoptosis were observed. The IL-17, MMP-9 and Caspase-3 were
significantly (<=0.05) higher in the cardiac muscle after chronic fluoride
exposure. The fluoride seems to have induced inflammation in the cardiac tissues,
as well as an increase in tissue calcium (<=0.05). There was significant damage
to cardiac muscle fibres including, thinning, distortion and neo-vasculogenesis
following chronic fluoride exposure. Mitochondriopathy, lysis of ground
substance, oedema, and hyper-vacuolation was seen in fluoride treated groups.
Remarkable levels of distortion and bending in Z band were observed under the
AFM. Many of these observed changes mimic those occurring in cardiomegaly,
cardiac hypertrophy and cardiomyopathies.
PMID- 29800586
TI - Memantine can improve chronic ethanol exposure-induced spatial memory impairment
in male C57BL/6 mice by reducing hippocampal apoptosis.
AB - Chronic ethanol intake can induce neuronal apoptosis, leading to dementia. We
investigated the protective effects of memantine on spatial memory impairment
induced by chronic ethanol exposure in mice. Male C57BL/6 mice were administered
10% (m/V) or 20% (m/V) ethanol as the only choice of drinking water. Mice were
treated for 60 d, 90 d, or 180 d. Mice were treated with memantine for the same
duration (daily 10 mg/kg oral). The Morris water maze and radial arm maze test
were used to measure spatial memory. Mice were sacrificed after the behavioral
tests. Brains were removed to prepare for paraffin sections, and hippocampi were
isolated for protein and RNA extraction. 4',6-diamidino-2-phenylindole (DAPI)
staining and immunohistochemical staining of cleaved caspase-3 were performed.
Western blot analysis was used to detect the expression of cleaved caspase-3 and
calcium-related proteins, including N-methyl-d-aspartic acid receptor 1 (NR1),
1,4,5-trisphosphate receptor 1 (IP3R1), and sarco/endoplasmic reticulum calcium
adenosine triphosphatase 1 (SERCA1). The changes of NR1, IP3R1 and SERCA1 mRNA
were detected using quantitative polymerase chain reaction (qPCR). The results
revealed that chronic ethanol exposure induced spatial memory impairment in mice,
as well as increasing the expression of NR1, IP3R1 and SERCA1, the activation of
caspase-3 and apoptosis in hippocampus. The effect was particularly prominent in
the 20% ethanol group after 180 d exposure. Memantine decreased ethanol-induced
spatial memory impairment, caspase-3 activation and apoptosis in the mouse
hippocampus. These results suggest that disruption of intracellular calcium
balance by ethanol can induce caspase-3 activation and apoptosis, which underlies
subsequent spatial memory impairment in mice.
PMID- 29800587
TI - Effects of amphipathic profile regularization on structural order and interaction
with membrane models of two highly cationic branched peptides with beta-sheet
propensity.
AB - Antimicrobial peptides attracted increasing interest in last decades due to the
rising concern of multi-drug resistant pathogens. Dendrimeric peptides are
branched molecules with multiple copies of one peptide functional unit bound to
the central core. Compared to linear analogues, they usually show improved
activity and lower susceptibility to proteases. Knowledge of structure-function
relationship is fundamental to tailor their properties. This work is focused on
SB056, the smallest example of dendrimeric peptide, whose amino acid sequence is
WKKIRVRLSA. Two copies are bound to the alpha- and epsilon- nitrogen of one
lysine core. An 8-aminooctanamide was added at the C-terminus to improve membrane
affinity. Its propensity for beta-type structures is also interesting, since
helical peptides were already thoroughly studied. Moreover, SB056 maintains
activity at physiological osmolarity, a typical limitation of natural peptides.
An optimized analogue with improved performance was designed, beta-SB056, which
differs only in the relative position of the first two residues (KWKIRVRLSA).
This produced remarkable differences. Structure order and aggregation behavior
were characterized by using complementary techniques and membrane models with
different negative charge. Infrared spectroscopy showed different propensity for
ordered beta-sheets. Lipid monolayers' surface pressure was measured to estimate
the area/peptide and the ability to perturb lipid packing. Fluorescence
spectroscopy was applied to compare peptide insertion into the lipid bilayer.
Such small change in primary structure produced fundamental differences in their
aggregation behavior. A regular amphipathic peptide's primary structure was
responsible for ordered beta-sheets in a charge independent fashion, in contrast
to unordered aggregates formed by the former analogue.
PMID- 29800588
TI - MiR-503 suppresses hypoxia-induced proliferation, migration and angiogenesis of
endothelial progenitor cells by targeting Apelin.
AB - Endothelial progenitor cells (EPCs) are of great importance in the process of
endogenous blood vessel repair to maintain endothelial integrity and have been
applied in a wide range of models of ischemic diseases. MicroRNAs represent a
class of non-protein coding endogenous RNAs with 19-24 nucleotides in length and
serve an important role in multiple physiological and pathological processes,
including angiogenesis. It has been reported that miR-503 reduces angiogenesis in
tumorigenesis. However, to our knowledge, the precise role of miR-503 in the
regulation of EPCs remains unclear. In the current study, we found that the
expression of miR-503 was decreased in mouse bone marrow derived EPCs under the
hypoxic condition. Importantly, upregulation of miR-503 suppressed the
proliferation, migration and capillary-like tube formation of EPCs induced by
hypoxia. Furthermore, a dual luciferase reporter assay showed that Apelin, an
endogenous ligand of the G protein-coupled receptor APJ, was a direct target of
miR-503 and overexpression of miR-503 significantly inhibited the protein level
of Apelin in EPCs. Moreover, hypoxia treatment enhanced the expression of Apelin
in EPCs. Meanwhile ectopic expression of Apelin promoted cellular proliferation,
migration and tube formation of EPCs in vitro. In summary, our results indicate
that miR-503 regulates proliferation, migration and angiogenesis of EPCs by
targeting Apelin.
PMID- 29800589
TI - Tumor exosomes block dendritic cells maturation to decrease the T cell immune
response.
AB - Tumors can induce the generation and accumulation of immunosuppression in a tumor
microenvironment, contributing to the tumor's escape from immunological
surveillance. Although tumor antigen-pulsed dendritic cell can improve anti-tumor
immune responses, tumor associated regulatory dendritic cells are involved in the
induction of immune tolerance. The current study sought to investigate whether
exosomes produced by tumor cells had any effect on DCs in immune suppression. In
this study, we examined the effect of tumor exosomes on DCs and found that
exosomes from LLC Lewis lung carcinoma or 4T1 breast cancer cell blocked the
differentiation of myeloid precursor cells into CD11c+ DCs and induced cell
apoptosis. Tumor exosome treatment inhibited the maturation and migration of DCs
and promoted the immune suppression of DCs. The treatment of tumor exosomes
drastically decreased CD4+IFN-gamma+ Th1 differentiation but increased the rates
of regulatory T (Treg) cells. The immunosuppressive ability of tumor exosome
treated DCs were partially restored with PD-L1 blockage. These data suggested
that PD-L1 played a role in tumor exosome-induced DC-associated immune
suppression.
PMID- 29800591
TI - Assessing hand hygiene attitudes of inpatient nursing personnel in a US military
hospital.
AB - Between 10% and 40% of hospital-acquired infections have been attributed to cross
contamination of healthcare personnel hands. This study described frequency of
hand hygiene within a fixed US military facility, in participants wearing a
military uniform and hospital-provided scrubs. The median frequency of hand
hygiene reported in both uniforms was 10 per hour. However, two-thirds of staff
indicated that the military uniform decreased their ability to perform hand
hygiene. Stakeholders should re-evaluate policies requiring wear of long-sleeved
military uniforms by nursing staff to facilitate hand hygiene. Non-military
facilities may also consider the impact of long-sleeved garments on hand hygiene.
PMID- 29800590
TI - Heightened expression of HLA-DQB1 and HLA-DQB2 in pre-implantation biopsies
predicts poor late kidney graft function.
AB - BACKGROUND: Accurate pre-transplant prediction of late graft function remains an
unmet need in kidney transplantation. The aim of this study was to evaluate HLA
genes expression levels in pre-implantation biopsies (PIB) of deceased donor
kidneys as markers for long-term graft outcome. METHODS: HLA genes expression
analysis was initially performed using microarray data of 53 PIB, previously
generated by our laboratory. The validation analysis was performed by real-time
PCR in 116 PIB from an independent cohort. RESULTS: The microarray data showed
association between high expression levels of HLA class II genes, especially HLA
DQB1 and -DQB2, in kidneys from young (18 to 49-year-old) donors and poor (eGFR <
45 mL/min/1.73 m2) 1- and 5-year graft function. A subsequent study in an
independent cohort, in which only HLA-DQB2 expression was evaluated, validated
the association between increased HLA-DQB2 expression in PIB of kidneys from
young donors and poor 1-year graft function: expression levels >=0.0025 relative
units conferred an odds ratio of 22.5, with positive and negative predictive
values of 71.4% and 90.0%, respectively. CONCLUSION: Heightened expression of HLA
DQB1 and -DQB2 in PIB are promising tools for pre-transplant risk assessment of
poor late graft function in transplants with kidneys from 18 to 49-year-old
donors.
PMID- 29800593
TI - Determination of Imaging Biomarkers to Decipher Disease Trajectories and
Differential Diagnosis of Neurodegenerative Diseases (DIsease TreND).
AB - BACKGROUND: Understanding disease progression of neurodegenerative diseases (NDs)
is important for better prognosis and decisions on the appropriate course of
treatment to slow down the disease progression. NEW METHOD: We present here an
innovative machine learning framework capable of (1) indicating the trajectory of
disease progression by identifying relevant imaging biomarkers and (2) automated
disease diagnosis. Self-Organizing Maps (SOM) have been used for data
dimensionality reduction and to reveal potentially useful disease-specific
biomarkers, regions of interest (ROIs). These ROIs have been used for automated
disease diagnosis using Least Square Support Vector Machines (LS-SVM) and to
delineate disease progression. RESULTS: A multi-site, multi-scanner dataset
containing 1316 MRIs was obtained from ADNI3 and PPMI. Identified biomarkers have
been used to decipher (1) trajectory of disease progression and (2) identify
clinically relevant ROIs. Furthermore, we have obtained a classification accuracy
of 94.29 +/- 0.08% and 95.37 +/- 0.02% for distinguishing AD and PD from HC
subjects respectively. COMPARISON WITH OTHER EXISTING METHODS: The goal of this
study was fundamentally different from other machine learning based studies for
automated disease diagnosis. We aimed to develop a method that has two-fold
benefits (1) It can be used to understand pathology of neurodegenerative diseases
and (2) It also achieves automated disease diagnosis. CONCLUSIONS: In the absence
of established disease biomarkers, clinical diagnosis is heavily prone to
misdiagnosis. Being clinically relevant and readily adaptable in the current
clinical settings, the developed framework could be a stepping stone to make
machine learning based Clinical Decision Support System (CDSS) for
neurodegenerative disease diagnosis a reality.
PMID- 29800594
TI - The challenge of HPV vaccination uptake and opportunities for solutions: Lessons
learned from Alabama.
AB - The human papillomavirus (HPV) vaccine is an important tool for cancer
prevention. However, vaccination rates in Alabama, a state with high rates of HPV
related cancers, remain below the national average. Our objective was to develop
a comprehensive assessment of HPV vaccination in our state, with the goal to make
recommendations for tailored multilevel interventions. A multimodal approach with
quantitative and qualitative data was used to determine barriers and facilitators
to HPV vaccination in Alabama. This included a survey of pediatric care providers
and structured interviews with pediatricians, parents, nurses and community
stakeholders. Two separate investigators evaluated the interview transcripts for
major themes that occurred in 65% or more interviews. Major barriers included
lack of knowledge, concerns about vaccine safety, and the link between the HPV
vaccine and sexuality. Qualitative interviews further revealed barriers such as
misinformation received from the internet and parental vaccine hesitancy.
Opportunities for increasing vaccination include parental education,
establishment of a reminder system, increasing access to HPV vaccine providers,
and education for providers. Additional facilitators revealed through interviews
included: trust in physicians, using the internet or social media to propagate
positive messaging, physicians and clinical staff education, utilizing existing
technology more effectively, highlighting nurses' roles as partners in HPV
prevention, and the potential of schools as a venue for promotion of the vaccine.
Our data are consistent with prior research showing major barriers to HPV
vaccination. Several recommendations for optimizing HPV vaccination uptake in
Alabama on the patient, provider and system level are given.
PMID- 29800592
TI - Development of an infectious clone and replicon system of norovirus GII.4.
AB - Human norovirus (HuNoV) is one of the main causes of acute gastroenteritis
worldwide and is responsible for at least 20% of all cases. The detailed
molecular mechanism of this norovirus remains unknown due to the lack of a
suitable in vitro culturing system. An infectious clone of HuNoV would be a
useful tool for elucidating the processes of viral infection and the mechanisms
of replication. We developed an infectious cDNA clone of HuNoV using the rapid
technique of Gibson Assembly. The complete genome of the HuNoV GII.4 Sydney
subtype was cloned into a previously modified pcDNA3.1-based plasmid vector
downstream from a cytomegaloviral promoter. We monitored the viral infection in
vitro by inserting the reporter gene of the green fluorescent protein (GFP)
between the NTPase and p22 genes, also by Gibson Assembly, to construct a HuNoV
GFP replicon. Human Caco-2 cells were transfected with the full-length genomic
clone and the replicon containing GFP. The gene encoding the VP1/VP2 capsid
protein was expressed, which was indirect evidence of the synthesis of subgenomic
RNAs and thus the negative strand of the genome. We successfully constructed the
infectious clone and its replicon containing GFP for the HuNoV GII.4 Sydney
subtype, a valuable tool that will help the study of noroviral infection and
replication.
PMID- 29800595
TI - Effects of alpha-7 nicotinic allosteric modulator PNU 120596 on depressive-like
behavior after lipopolysaccharide administration in mice.
AB - Evidence suggests that alpha7 nicotinic acetylcholine receptor (alpha7 nAChR) in
the central nervous system has a critical role in the regulation of microglial
function and neuroinflammation associated with the pathophysiology of major
depressive disorder. The objectives of the present study were to determine the
effects of PNU 120596, an alpha7 nAChR positive allosteric modulator (PAM), on
depressive-like behavior and expression of ionized calcium binding adaptor
molecule 1 (Iba-1), a microglial marker, in male C57BL/6J mice following
lipopolysaccharide (LPS) administration, an animal model for depressive-like
behavior. Forced swim test (FST), tail suspension test (TST), and sucrose
preference test were used to determine the effects of PNU 120596 on depressive
like behavior, measured by increased immobility time or decreased sucrose
preference. We also examined the effects of PNU 120596 on Iba-1 expression by
using Western blot analysis and immunofluorescence staining in the hippocampus
and prefrontal cortex, the brain regions implicated in major depressive disorder.
Administration of LPS (1 mg/kg, i.p.) significantly increased immobility time
during FST and TST and decreased sucrose preference. The PNU 120596 (1 or 4
mg/kg, i.p.) dose-dependently prevented LPS-induced depressive-like behavior
during FST, TST, and sucrose preference test. The PNU 120596 (1 or 4 mg/kg) alone
did not show any significant alteration on immobility time and sucrose
preference. Pretreatment of methyllycaconitine (3 mg/kg, i.p.), an alpha7 nAChR
antagonist, significantly prevented the antidepressant-like effects of PNU (4
mg/kg). Similarly, the PNU 120596 (4 mg/kg, i.p.) significantly reduced LPS
induced increased expression of Iba-1 in the hippocampus or prefrontal cortex.
Overall, these results suggest that PNU 120596 reduces LPS-induced depressive
like behavior and microglial activation in the hippocampus and prefrontal cortex
in mice. Therefore, alpha7 nAChR PAMs could be developed as potential therapeutic
utility for the treatment of major depressive disorder in humans.
PMID- 29800596
TI - Your favorite number is special (to you): Evidence for item-level differences in
retrieval of information from numerals.
AB - Arabic numerals have come to be used for many purposes beyond representing a
particular quantity (e.g., as a label for an athlete on their jersey), but it
remains to be determined how this type of meaningfulness is accessed and utilized
by readers. Motivated by previous work showing that item-level ratings of
personal familiarity can influence traditional indices of memory retrieval, we
recorded ERPs while participants read double-digit Arabic numerals (e.g., "65"),
presented in a list, and rated whether or not each was familiar/personally
meaningful. All numbers repeated after a few intervening trials. The effect of
number repetition on the N400 was not impacted by subjective judgments of
familiarity, suggesting that all numbers (personally meaningful or not) make
initial contact with semantics, facilitating semantic access on second exposure.
However, consistent with findings from prior studies of memory for letter strings
and visual patterns, there was a late positivity (LPC) on second presentation,
selective to numbers rated as familiar. This is the first electrophysiological
evidence that readers can use Arabic numerals to guide explicit retrieval of non
numerical information.
PMID- 29800597
TI - Nuts and Cardiovascular Disease.
AB - There is compelling evidence showing that nut intake confers protection against
cardiovascular disease (CVD). We conducted a review of the literature with
respect to observational studies and randomized trials completed in the past ~25
years that examined nut intake and CVD endpoints. We included findings from major
cohort studies, a large intervention trial, and numerous smaller nut trials.
Collectively, data from observational and intervention studies indicate strong
and significant association between nut intake and decreased risk of fatal and
non-fatal coronary heart disease, myocardial infarction, and sudden death; and
somewhat weak association with stroke. The primary mechanism by which nuts
protect against CVD is through the improvement of lipid and apolipoprotein
profile. Increasing evidence also indicates that nut consumption may confer
protection against CVD via lowering of oxidative stress, inflammation, and
improvement in endothelial function. Nut components, such as unsaturated fatty
acids, l-arginine, beneficial minerals, phenolic compounds and phytosterols,
appear to be of paramount importance for their health effects.
PMID- 29800598
TI - Vegetarian Dietary Patterns and Cardiovascular Disease.
AB - Cardiovascular (CV) disease (CVD) is the leading global cause of mortality, being
responsible for 46% of non-communicable disease deaths. It has been estimated
that about 85.6 million Americans are living with some form of CVD, which
continues to rise. Healthy lifestyle choices may reduce the risk of myocardial
infarction by >80%, with nutrition playing a key role. Vegetarian dietary
patterns reduce CVD mortality and the risk of coronary heart disease (CHD) by
40%. Plant-based diets are the only dietary pattern to have shown reversal of
CHD. Additionally, evidence suggests benefits of vegetarian dietary patterns in
both the prevention and the treatment of heart failure and cerebrovascular
disease. Plant-based diets are associated with lower blood pressure, lower blood
lipids, and reduced platelet aggregation than non-vegetarian diets and are
beneficial in weight management, reduce the risk of developing metabolic
syndrome, and type 2 diabetes. They have also been shown an effective treatment
method in diabetes management. Well planned vegetarian diets provide benefits in
preventing and reversing atherosclerosis and in decreasing CVD risk factors and
should be promoted through dietary guidelines and recommendations.
PMID- 29800600
TI - Directed modification of l-LcLDH1, an l-lactate dehydrogenase from Lactobacillus
casei, to improve its specific activity and catalytic efficiency towards
phenylpyruvic acid.
AB - To improve the specific activity and catalytic efficiency of l-LcLDH1, an NADH
dependent allosteric l-lactate dehydrogenase from L. casei, towards phenylpyruvic
acid (PPA), its directed modification was conducted based on the semi-rational
design. The three variant genes, Lcldh1Q88R, Lcldh1I229A and Lcldh1T235G, were
constructed by whole-plasmid PCR as designed theoretically, and expressed in E.
coli BL21(DE3), respectively. The purified mutant, l-LcLDH1Q88R or l-LcLDH1I229A,
displayed the specific activity of 451.5 or 512.4 U/mg towards PPA, by which the
asymmetric reduction of PPA afforded l-phenyllactic acid (PLA) with an
enantiomeric excess (eep) more than 99%. Their catalytic efficiencies (kcat/Km)
without d-fructose-1,6-diphosphate (d-FDP) were 4.8- and 5.2-fold that of l
LcLDH1. Additionally, the kcat/Km values of l-LcLDH1Q88R and l-LcLDH1I229A with d
FDP were 168.4- and 8.5-fold higher than those of the same enzymes without d-FDP,
respectively. The analysis of catalytic mechanisms by molecular docking (MD)
simulation indicated that substituting I229 in l-LcLDH1 with Ala enlarges the
space of substrate-binding pocket, and that the replacement of Q88 with Arg makes
the inlet of pocket larger than that of l-LcLDH1.
PMID- 29800599
TI - Transcriptome analysis for the scale-down of a CHO cell fed-batch process.
AB - Transcriptome and metabolism analysis were performed to evaluate the scale-down
of a CHO cell fed-batch process from a 10 L bioreactor to an ambr 15(r) (ambr)
system. Two different agitation scale-down principles were applied, resulting in
two different agitation rates in the ambr system: 1300 RPM based on the agitator
tip speed, and 800 rpm based on the volumetric power input (P/V). Culture
performance including cell growth, product titer, glycosylation, and specific
consumption/production rates of metabolites was the same for both agitation rates
in the ambr and was comparable to that of the 10 L system. The initial variation
in gene expression between the inocula for the ambr and 10 L system was no longer
present after three days of culture, indicating comparable culture conditions in
both systems. Based on principal component analysis, changes in gene expression
over time were similar between both scales with less than 6% variation. 2455
genes were uniquely regulated in the ambr system compared to 1604 genes in the 10
L system. Functional analysis of these genes did not reveal their relations with
scale or cellular function. This study further strengthens that the ambr system
gives representative culture performance for the 10 L bench-scale bioreactor.
PMID- 29800601
TI - Porcine epidemic diarrhea virus through p53-dependent pathway causes cell cycle
arrest in the G0/G1 phase.
AB - Porcine epidemic diarrhea virus (PEDV), an enteropathogenic Alphacoronavirus, has
caused enormous economic losses in the swine industry. p53 protein exists in a
wide variety of animal cells, which is involved in cell cycle regulation,
apoptosis, cell differentiation and other biological functions. In this study, we
investigated the effects of PEDV infection on the cell cycle of Vero cells and
p53 activation. The results demonstrated that PEDV infection induces cell cycle
arrest at G0/G1 phase in Vero cells, while UV-inactivated PEDV does not cause
cell cycle arrest. PEDV infection up-regulates the levels of p21, cdc2, cdk2,
cdk4, Cyclin A protein and down-regulates Cyclin E protein. Further research
results showed that inhibition of p53 signaling pathway can reverse the cell
cycle arrest in G0/G1 phase induced by PEDV infection and cancel out the up
regulation of p21 and corresponding Cyclin/cdk mentioned above. In addition, PEDV
infection of the cells synchronized in various stages of cell cycle showed that
viral subgenomic RNA and virus titer were higher in the cells released from G0/G1
phase synchronized cells than that in the cells released from the G1/S phase and
G2/M phase synchronized or asynchronous cells after 18 h p.i.. This is the first
report to demonstrate that the p53-dependent pathway plays an important role in
PEDV induced cell cycle arrest and beneficially contributes to viral infection.
PMID- 29800602
TI - A novel signal sequence negative multimeric glycosomal protein required for cell
cycle progression of Leishmania donovani parasites.
AB - Expression of the intracellular form amastigote specific genes in the Leishmania
donovani parasite plays a major role in parasite replication in the macrophage.
In the current work, we have characterized a novel hypothetical gene, Ld30b that
is specifically transcribed in the intracellular stage of the parasite. The
recombinant Ld30b protein exists as a pentamer in solution as identified by
native-PAGE and size exclusion gel chromatography. Structural analysis using
circular dichroism and molecular modeling indicate that Ld30b belongs to family
of cAMP-dependent protein kinase type I-alpha regulatory subunit. Co-localization
immunofluorescence microscopy and western blot analyses (using anti-Ld30b
antibody and anti-hypoxanthine-guanine phosphoribosyl transferase, a glycosome
marker) on the isolated parasite glycosome organelle fractions show that Ld30b is
localized in glycosome, though lacked a glycosome targeting PTS1/2 signal in the
protein sequence. Episomal expression of Ld30b in the parasite caused the arrest
of promastigotes and amastigotes growth in vitro. Cell cycle analysis using flow
cytometry indicates that these parasites are arrested in 'sub G0/G1' phase of the
cell cycle. Single allele knockout of Ld30b in the parasite similarly attenuated
its growth by accumulation of cells in the S phase of cell cycle, thus confirming
the probable importance of appropriate level of protein in the cells. Studying
such intracellular stage expressing genes might unravel novel regulatory pathways
for the development of drugs or vaccine candidates against leishmaniasis.
PMID- 29800603
TI - Circ-8073 regulates CEP55 by sponging miR-449a to promote caprine endometrial
epithelial cells proliferation via the PI3K/AKT/mTOR pathway.
AB - Circular RNAs (circRNAs) are a large class of endogenous non-coding RNAs that
function as regulators in various cells and tissues. Here, the function and
mechanism of circRNA8073 (Circ-8073) on endometrial epithelial cells (EECs) and
the development of endometrial receptivity were investigated in dairy goats. Circ
8073 could bind to and inhibit miR-449a activity. Circ-8073 binding to the target
site of miR-449a had a negative feedback relationship. Centrosomal protein55
(CEP55) was a direct target gene of miR-449a, and Circ-8073 could increase the
expression levels of CEP55 by sponging miR-449a in EECs in vitro. Circ-8073/miR
449a/CEP55 could promote EECs proliferation via the PI3K/AKT/mTOR pathway. In
addition, CEP55 could regulate the expression levels of vascular endothelial
growth factor (VEGF) and forkhead box M1 (FOXM1) in EECs, which contributed to
the development of endometrial receptivity. These findings showed that Circ-8073
regulated CEP55 by sponging miR-449a to promote EEC proliferation via the
PI3K/AKT/mTOR pathway, suggesting that it could function as a regulator in the
development of endometrial receptivity in dairy goats.
PMID- 29800605
TI - Neuronal excitatory amino acid transporter EAAT3: Emerging functions in health
and disease.
PMID- 29800604
TI - Blockade and reversal of swimming-induced paralysis in C. elegans by the
antipsychotic and D2-type dopamine receptor antagonist azaperone.
AB - The catecholamine neurotransmitter dopamine (DA) exerts powerful modulatory
control of physiology and behavior across phylogeny. Perturbations of DA
signaling in humans are associated with multiple neurodegenerative and behavioral
disorders, including Parkinson's disease, attention-deficit/hyperactivity
disorder, addiction and schizophrenia. In the nematode C. elegans, DA signaling
regulates mating behavior, learning, food seeking and locomotion. Previously, we
demonstrated that loss of function mutations in the dat-1 gene that encodes the
presynaptic DA transporter (DAT-1) results in a rapid cessation of movement when
animals are placed in water, termed Swimming Induced Paralysis (Swip). Loss of
function mutations in genes that support DA biosynthesis, DA vesicular packaging
and DA action at the extrasynaptic D2-type DA receptor DOP-3 suppress Swip in dat
1 animals, consistent with paralysis as arising from excessive DA signaling.
Although animals grown on the vesicular monoamine transporter antagonist
reserpine diminish Swip, the drug must be applied chronically, can impact the
signaling of multiple biogenic amines, and has been reported to have penetrant,
off-target actions. Here, we demonstrate that the antipsychotic drug azaperone
potently and rapidly suppresses Swip behavior in either dat-1 mutants, as well as
in wildtype animals treated with the DAT-1 antagonist nisoxetine, with genetic
experiments consistent with DOP-3 antagonism as the mechanism of Swip
suppression. Reversal of Swip in previously paralyzed dat-1 animals by azaperone
application demonstrates an otherwise functionally-intact swimming circuit in
these mutants. Finally, whereas azaperone suppresses DA-dependent Swip, the drug
fails to attenuate the DA-independent paralysis induced by betaPEA, aldicarb or
genetic disruption of gamma-aminobutyric acid (GABA) signaling. We discuss our
findings with respect to the use of azaperone as a potent and selective tool in
the identification and analysis of presynaptic mechanisms that regulate DA
signaling.
PMID- 29800606
TI - Application of methylation in improving plasmid transformation into Helicobacter
pylori.
AB - Helicobacter pylori is an important gastrointestinal pathogen. Its strains
possess different levels of powerful restriction modification systems, which are
significant barriers to genetic tools used for studying the role of functional
genes in its pathogenesis. Methylating vectors in vitro was reported as an
alternative to overcome this barrier in several bacteria. In this study we used
two H. pylori-E. coli shuttle plasmids and several single/double-crossover
homologous recombination gene-targeting plasmids, to test the role of methylation
in H. pylori transformation. According to our results, transformants could be
obtained only after shuttle plasmids were methylated before transformation. It is
helpful in gene complementation and over-expression although at a low frequency.
The frequency of gene-targeting transformation was also increased after
methylation, especially for the single-crossover recombination plasmids, the
transformants of which could only be obtained after methylation. For the double
crossover recombination targeting plasmids, the initial yield of transformants
was 0.3-0.8 * 102 CFUs per microgram plasmid DNA. With the help of methylation,
the yield was increased to 0.4-1.3 * 102 CFUs per microgram plasmid DNA. These
results suggest that in vitro methylation can improve H. pylori transformation by
different plasmids, which will benefit the pathogenic mechanism research.
PMID- 29800607
TI - Adelmidrol + sodium hyaluronate in IC/BPS or conditions associated to chronic
urothelial inflammation. A translational study.
AB - Interstitial cystitis/painful bladder syndrome (IC/PBS) is a chronic bladder
condition characterized by frequent urination, bladder inflammation and pain. It
is a particular challenging disease and a clear unmet medical need in terms of
identifying new therapeutic strategies. The aim of study was to evaluate the anti
inflammatory effects of intravesical Vessilen(r) (a new formulation of 2%
adelmidrol (the diethanolamide derivative of azelaic acid) + 0.1% sodium
hyaluronate) administration in rodent models of IC/BPS and in IC/BPS patients or
other bladder disorders. Acute and chronic animal models of cystitis were induced
by a single or repetitive intraperitoneal injections of cyclophosphamide (CYP);
patients with IC/BPS or with bladder pain syndrome associated with symptoms of
the lower urinary tract treated once weekly by bladder instillation of
Vessilen(r) for 8 weeks. CYP instillation caused macroscopic and histological
bladder alterations, inflammatory infiltrates, increased mast cell numbers,
bladder pain, increased expression of nitrotyrosine, decreased expression of
endothelial tight junction zonula occludens-1. Intravesical Vessilen(r) treatment
was able to ameliorate CYP induced bladder inflammation and pain by inhibiting
nuclear factor-kappaB pathway and inflammatory mediator levels as well as reduced
mechanical allodynia and nerve growth factor levels. A significant improvement in
quality of life and symptom intensity were evident in patients with IC/BPS or
other bladder disorders treated with Vessilen(r). Vessilen(r) could be a new
therapeutic approach for human cystitis.
PMID- 29800608
TI - Rapid detection of aflatoxin B1 in medicinal materials of radix and rhizome by
gold immunochromatographic assay.
AB - A rapid screening of the most toxic aflatoxin B1 (AFB1) in medicinal materials of
radix and rhizome was performed by an immune chromatography method for the first
time. The colloidal gold immunochromatographic strip was prepared after
optimization of the conjugation of gold particles with monoclonal antibody, the
test line and the control line. Under optimized conditions, the detection limit
of the constructed test strip was as low as 0.1 ng mL-1 and the total analysis
was conducted within 15 min by naked eyes. Four kinds of medicinal materials
(Gastrodia elata, Poria cocos, Bletilla striata and Radix Angelicae Dahuricae)
were investigated by the strip. Various complex matrixes pay a significant
influence on the feasibility and effectiveness of the strip screening in
medicinal materials. Aiming to the characteristics of selected medicinal
materials, the screening was successfully proceeded with extraction by 70%
methanol-water as well as three-fold dilution in Gastrodia elata and Radix
Angelicae Dahuricae, 70% methanol-PBS as well as four-fold dilution in Poria
cocos., and 60% methanol-water as well as four-fold dilution in Bletilla striata.
Among the collected 40 samples, one was found to be positive of AFB1 with level
above 5 MUg kg-1. The result was in a good agreement with those obtained from LC
MS/MS determination (6.12 MUg kg-1). The gold immunochromatographic strip was
demonstrated as a rapid, cost-effective, reliable and on-site screening technique
for mycotoxins in starch and polysaccharides-rich herbal medicines.
PMID- 29800609
TI - Analysis of snake venom composition and antimicrobial activity.
AB - With the threat of a post-antibiotic era looming, the search for new and
effective antibiotics from novel sources is imperative. Not only has crude snake
venom been shown to be effective, but specific components within the venoms, such
as Phospholipase A2s and l-amino acid oxidases have been isolated and
demonstrated to be effective as well. Despite numerous studies being completed on
snake venoms, there is a heavy bias towards utilizing the venoms from the highly
toxic Elapidae and Viperidae species. Very few studies have been conducted on the
less toxic, but taxonomically more diverse, Colubridae. Furthermore, an extensive
review of the literature examining the efficacy and potential specificity of
these venoms has not been completed. Therefore, the aims of this study were to
elucidate any similarities in snake venoms as well as investigate the efficacy of
snake venom antimicrobial properties towards morphologically and metabolically
diverse microbial classes and the prevalence of snake species with antimicrobial
properties within each snake family. The results indicate that snake venoms and
their isolated components are powerful antimicrobial agents but vary in efficacy
towards different microbial classes. Furthermore, due to similarities in venom
composition, and limited preliminary studies, the less toxic Colubridae family
may be a fruitful area of research to find novel antimicrobial agents that are
less harmful to humans.
PMID- 29800610
TI - New cycloartane triterpenes from bioactive extract of propolis from Pitcairn
Island.
AB - Dichloromethane extract of propolis (DCME) originating from Pitcairn Island
demonstrated potent cytotoxicity against triple-negative MDA-MB-231 human breast
carcinoma cells. The results from MTT assay showed that DCME inhibits the growth
of the cancer cells in a dose- and time-dependent manner and upon the cell growth
inhibition propolis extract provoked apoptotic changes in the cell nuclei. A
detailed chemical investigation of DCME led to the isolation of four new
cycloartane triterpenes (1-4), along with 17 known compounds (5-21). The
structures of the new compounds were elucidated by means of extensive analysis of
their spectroscopic data and comparison with those reported for their analogues.
In vitro antimicrobial activity of new compounds (1-4) along with the DCME
against four human pathogens was evaluated. All tested constituents except
compound 2 were highly active against Escherichia coli with MIC 64 MUg/ml.
Compound 1 exhibited high antifungal activity against Candida albicans with
potency close to that of the positive control (amphotericin B). The DCME showed
very good antimicrobial activity against Staphylococcus aureus, Escherichia coli
and Candida albicans. This is the first study on propolis from Pitcairn Island.
PMID- 29800611
TI - Metabolic engineering of Escherichia coli for the production of L-malate from
xylose.
AB - Malate is regarded as one of the key building block chemicals which can
potentially be produced from biomass at a large scale. Although glucose has been
extensively studied as the substrate for malate production, its high price and
potential competition with food production are serious limiting factors. In this
study, Escherichia coli was metabolically engineered to effectively produce
malate from xylose, the second most abundant sugar component of lignocellulosic
biomass. First, the biosynthetic route of malate was constructed by
overexpressing D-tagatose 3-epimerase, L-fuculokinase, L-fuculose-phosphate
aldolase, and aldehyde dehydrogenase A. Second, genes encoding malic enzyme,
malate dehydrogenase, and fumarate hydratase were knocked out to eliminate malate
consumption, resulting in a titer of 1.99 g/l malate and a yield of 0.47 g
malate/g xylose. Third, glycolate oxidase and malate synthase were overexpressed
to strengthen the conversion of glycolate to malate, which led to a titer of 4.33
g/l malate and a yield of 0.83 g malate/g xylose, reaching 93% of the theoretical
yield. Finally, catalase HPII was overexpressed to decompose H2O2 and alleviate
its toxicity, which improved cell growth and further boosted malate titer to 5.90
g/l with a yield of 0.80 g malate/g xylose. To the best of our knowledge, this is
the first study to report efficient malate production from xylose as the carbon
source.
PMID- 29800612
TI - Novel therapeutic drug identification and gene correlation for fatty liver
disease using high-content screening: Proof of concept.
AB - Non-alcoholic fatty liver disease (NAFLD) is a problem in obese people caused by
increasing intake of high-calorie food such as fructose implicated in the
elevated prevalence. It is necessary to identify novel drugs to develop effective
therapies. In this study, we combined LOPAC(r) (The Library of Pharmacologically
Active Compounds) and High-Content screening to identify compounds that
significantly reduced intracellular lipid droplets (LD) after high fat medium
(HFM) treatment. Among 1280 compounds, we identified 239 compounds that reduced
LD by >50%. Of these, 17 maintained cell viability. Nine of them were selected
for validation using normal primary hepatocytes, of which five compounds showed
dose-dependent efficacy. Whole genome transcriptomic network analysis was
performed to construct the underlying regulatory network. There were 831 (711 up
regulated and 120 down-regulated genes) and 3480 (2009 up-regulated and 1471 down
regulated genes) genes that showed a significant change (>2-fold; p < 0.05) after
12 and 24 h HFM treatment, respectively. Gene enrichment and pathway analysis
showed several immune responses mediated by MIF, IL-17, TLR, and IL-6. These
compounds modulate lipogenesis via GSK3beta and CREB1, which is followed by an
alteration in the expression of several downstream genes related to
hepatocellular carcinoma and hepatitis. CREB1 is a core transcription factor and
may be a potential therapeutic target for liver disease. In conclusion, this
proof of concept provides a strategy for identifying novel drugs for treatment of
fatty liver disease as well as elucidates their underlying mechanisms. This
research provides opportunity for developing future pharmaceutical therapeutics.
PMID- 29800613
TI - Implementing fast photochemical oxidation of proteins (FPOP) as a footprinting
approach to solve diverse problems in structural biology.
AB - Fast photochemical oxidation of proteins (FPOP) is a footprinting technique used
in mass spectrometry-based structural proteomics. It has been applied to solve a
variety of problems in different areas of biology. A FPOP platform requires a
laser, optics, and sample flow path properly assembled to enable fast
footprinting. Sample preparation, buffer conditions, and reagent concentrations
are essential to obtain reasonable oxidations on proteins. FPOP samples can be
analyzed by LC-MS methods to measure the modification extent, which is a function
of the solvent-accessible surface area of the protein. The platform can be
expanded to accommodate several new approaches, including dose-response studies,
new footprinting reagents, and two-laser pump-probe experiments. Here, we briefly
review FPOP applications and in a detailed manner describe the procedures to set
up an FPOP protein footprinting platform.
PMID- 29800614
TI - The development and application of a duplex reverse transcription loop-mediated
isothermal amplification assay combined with a lateral flow dipstick method for
Macrobrachium rosenbergii nodavirus and extra small virus isolated in China.
AB - White tail disease (WTD), a major disease prevailing in the larval stage of
Macrobrachium rosenbergii, caused by Macrobrachium rosenbergii nodavirus (MrNV)
associated with extra small virus (XSV), led to the economic loss of shrimp
industry in China. In order to establish a convenient, sensitive and selective
molecular diagnostic method to detect MrNV and XSV for the Chinese shrimp
(MrNV/XSV-chin), a reverse transcription loop-mediated isothermal amplification
(RT-LAMP) assay combined with a lateral flow dipstick (LFD) method were
developed. A set of four specific primers and a labeled probe were designed
according to the six conserved gene sequence regions encoding for the MrNV capsid
protein CP43 and the XSV capsid protein CP17. The detection of MrNV and XSV
simultaneously by RT-LAMP was performed at 61 degrees C in a single reaction for
60 min followed by hybridization with an FITC-labeled probe for 5 min and
visualized by LFD. The RT-LAMP-LFD assay had a sensitivity of approximately 100
fold higher than conventional PCR. In addition, the assay could detect MrNV/XSV
chin from limited amount of RNA extracts as low as 1.0 pg extracted from
Macrobrachium rosenbergii. This assay was simple to use, required little
instrumentation, and exhibited excellent specificity for the MrNV/XSV-chin
compared with other shrimp viruses. In conclusion, a convenient, sensitive and
selective practical molecular diagnostic method was developed with the potential
for diagnosis and prevention of WTD.
PMID- 29800615
TI - Peritransplantation Ruxolitinib Prevents Acute Graft-versus-Host Disease in
Patients with Myelofibrosis Undergoing Allogenic Stem Cell Transplantation.
AB - JAK inhibition by ruxolitinib is approved for treating myelofibrosis and also has
shown efficacy in treating steroid-resistant acute and chronic graft-versus-host
disease (GVHD). In 12 patients with myelofibrosis (median age, 63 years; range,
43 to 71 years) who were treated with ruxolitinib and underwent allogeneic stem
cell transplantation (ASCT), ruxolitinib was continued (2 * 5 mg daily) until
stable engraftment. No graft failure was observed, and leukocyte engraftment was
achieved after a median of 12 days (range, 11 to 18 days). One patient developed
fever of unknown origin after discontinuation of ruxolitinib; otherwise, no
withdrawal syndrome was observed. Overall, only 1 patient each experienced acute
GVHD grade I or II, resulting in an 8% incidence of acute GVHD grade II-IV at day
+100, with no nonrelapse mortality. Complete chimerism was achieved in 11
patients after a median of 40 days, and molecular clearance of the underlying
driver mutation was noted in 10 patients after a median of 32 days.
Cytomegalovirus (CMV) reactivation occurred in 5 patients (41%), 1 of whom had
CMV colitis as well, but all resolved after ganciclovir treatment. In 2 patients,
ruxolitinib had to be discontinued on day 17 and day 18 after ASCT due to
cytopenia after engraftment. Levels of inflammatory cytokines IL-8, IL-10, IL-6,
TNFR2, INF-alpha, and INF-beta were reduced after ruxolitinib treatment. After
day +100, 4 patients developed acute GVHD (1 with grade I, 2 with grade II, and 1
with grade III) after tapering of cyclosporine, and all patients were alive at a
median follow-up of 17 months (range, 12 to 18 months).
PMID- 29800617
TI - Poly (vinyl alcohol) microneedles: Fabrication, characterization, and application
for transdermal drug delivery of doxorubicin.
AB - Poly (vinyl alcohol) microneedles were fabricated, characterized, and applied to
enhance in vitro transdermal delivery of doxorubicin. The microneedles were
fabricated using the micromolding technique with the drug load in different
locations within the needle array. The polymer solution was assessed for
rheological properties, drug dissolution, and chemical structurestudies.
Microneedles (unloaded) and drug-loaded microneedles were characterized by
optical microscopy, fluorescent microscopy, scanning electron microscopy, and
drug release kinetics. Successful microporation of dermatomed human cadaver skin
was demonstrated by dye binding, pore uniformity, histology, confocal laser
microscopy, and skin integrity studies. The microneedles-mediated transdermal
delivery of doxorubicin was investigated using vertical Franz diffusion cells.
The fabricated microneedles were sharp, strong, and uniform. In vitro permeation
studies showed that the microneedle-treated skin (4351.55 +/- 560.87 ng/sq.cm)
provided a significantly greater drug permeability than the untreated group (0.00
+/- 0.00 ng/sq.cm, n = 4, p < 0.01). The drug location within the needle array
was found to affect the drug release profile as well as its permeation into and
across human skin. Skin microporation achieved by poly (vinyl alcohol)
microneedles was found to enhance transdermal delivery of doxorubicin in vitro.
PMID- 29800619
TI - Regulation and function of p53: A perspective from Drosophila studies.
AB - Tp53 is a central regulator of cellular responses to stress and one of the most
frequently mutated genes in human cancers. P53 is activated by a myriad of stress
signals and drives specific cellular responses depending on stress nature, cell
type and cellular context. Additionally to its classical functions in regulating
cell cycle arrest, apoptosis and senescence, newly described non-canonical
functions of p53 are increasingly coming under the spotlight as important
functions not only for its role as a tumour suppressor but also for its non
cancer associated activities. Drosophila melanogaster is a valuable model to
study multiple aspects of normal animal physiology, stress response and disease.
In this review, we discuss the contribution of Drosophila studies to the current
knowledge on p53 and highlight recent evidences pointing to p53 novel roles in
promoting tissue homeostasis and metabolic adaptation.
PMID- 29800618
TI - Does controlled nucleation impact the properties and stability of lyophilized
monoclonal antibody formulations?
AB - This study provides the first systematic investigation of the impact of the
nucleation protocol during freeze-drying on physico-chemical properties and long
term stability of two IgG1 antibodies in sugar formulations. We hypothesized that
the lower specific surface area (SSA) generated by controlled nucleation could be
beneficial for the stability of interface sensitive proteins. The study compares
controlled nucleated (CN) and randomly nucleated (RN) lyophilizates with high and
low antibody concentrations stored at different temperatures. Formulations with
and without polysorbate (PS) were included. In the "high concentration" study the
formulation without PS showed reduced particle formation for CN samples compared
to RN samples. PS containing formulations had an overall lower particle level
with no further advantage of CN on stability. Besides the intended comparison of
CN and RN samples, we observed that PS promoted sucrose crystallization in both
low concentration antibody studies during storage. Additionally, our results
indicate that the nucleation temperature (TN) was not the only determining factor
for the resulting ice crystal size and consequently the product's SSA. Overall,
the application of CN had neither a positive nor a negative impact on the
product's physico-chemical stability. The surfactant had a much higher
stabilizing effect than the reduction of the SSA by CN.
PMID- 29800620
TI - Application of edible paraffin oil for cationic dye removal from water using
emulsion liquid membrane.
AB - Using an emulsion liquid membrane based on edible oils is investigated for
removing cationic dyes from aqueous solutions. There is a great potential for
using edible oils in food industry extraction processes. The parameters affecting
the stability of the emulsion and the extraction rate were studied. These
parameters were the emulsification time, the stirring speed, the surfactant
concentration, the internal phase concentration, the feed phase concentration,
the volume ratio of internal phase to organic phase and the treat ratio. In order
to stabilize the emulsion without using a carrier, edible paraffin oil and
heptane are used at an 80:20 ratio. The optimum conditions for the extraction of
methylene blue (MB), crystal violet and methyl violet (CV and MV) cationic dyes
using edible paraffin oil as an environment friendly solvent are represented. A
removal percentage of 95% was achieved for a mixture of dyes. The optimum
concentration of sodium hydroxide in the internal phase, which results a stabile
emulsion with a high stripping efficiency of 96%, was 0.04 M. An excellent
membrane recovery was observed and the extraction of dyes did not decrease up to
seven run cycles.
PMID- 29800616
TI - Osteoarthritis as a disease of the cartilage pericellular matrix.
AB - Osteoarthritis is a painful joint disease characterized by progressive
degeneration of the articular cartilage as well as associated changes to the
subchondral bone, synovium, and surrounding joint tissues. While the effects of
osteoarthritis on the cartilage extracellular matrix (ECM) have been well
recognized, it is now becoming apparent that in many cases, the onset of the
disease may be initially reflected in the matrix region immediately surrounding
the chondrocytes, termed the pericellular matrix (PCM). Growing evidence suggests
that the PCM - which along with the enclosed chondrocytes are termed the
"chondron" - acts as a critical transducer or "filter" of biochemical and
biomechanical signals for the chondrocyte, serving to help regulate the
homeostatic balance of chondrocyte metabolic activity in response to
environmental signals. Indeed, it appears that alterations in PCM properties and
cell-matrix interactions, secondary to genetic, epigenetic, metabolic, or
biomechanical stimuli, could in fact serve as initiating or progressive factors
for osteoarthritis. Here, we discuss recent advances in the understanding of the
role of the PCM, with an emphasis on the reciprocity of changes that occur in
this matrix region with disease, as well as how alterations in PCM properties
could serve as a driver of ECM-based diseases such as osteoarthritis. Further
study of the structure, function, and composition of the PCM in normal and
diseased conditions may provide new insights into the understanding of the
pathogenesis of osteoarthritis, and presumably new therapeutic approaches for
this disease.
PMID- 29800621
TI - Alterations in quadriceps muscle cellular and molecular properties in adults with
moderate knee osteoarthritis.
AB - OBJECTIVE: Quadriceps muscle weakness is common in knee osteoarthritis (OA).
While pain, disuse, and atrophy are commonly cited causes for muscle weakness in
OA, emerging evidence suggests changes in muscle quality also occur. Alterations
in muscle quality are not well understood, but likely include both cellular and
morphologic adaptions. The purpose of this study was to conduct the first
cellular-level analysis of the vastus lateralis in adults with moderate knee OA.
METHODS: Vastus lateralis biopsies were obtained from 24 subjects with moderate
knee OA and 15 healthy controls. Quadriceps strength, muscle fiber cross
sectional area (CSA), fiber type distribution, extracellular matrix (ECM)
content, satellite cell abundance, and profibrotic gene expression were assessed.
RESULTS: Relative to controls, quadriceps strength was significantly lower in OA
subjects (OA 62.23, 50.67-73.8 Nm vs 91.46, 75.91-107.0 Nm, P = 0.003) despite no
difference in fiber CSA. OA subjects had significantly fewer Type I fibers (OA
41.51, 35.56-47.47% vs 53.07, 44.86-61.29%, P = 0.022) and more hybrid IIa/x
fibers (OA 24.61, 20.61-28.61% vs 16.4, 11.60-21.20%, P = 0.009). Significantly
greater ECM content, lower satellite cell density, and higher profibrotic gene
expression was observed with OA, and muscle collagen content was inversely
correlated to strength and satellite cell (SC) density. CONCLUSION: Lower
quadriceps function with moderate OA may not result from fiber size impairments,
but is associated with ECM expansion. Impaired satellite cell density, high
profibrotic gene expression, and a slow-to-fast fiber type transition may
contribute to reduced muscle quality in OA. These findings can help guide
therapeutic interventions to enhance muscle function with OA.
PMID- 29800622
TI - Who needs more than standard care? Treatment moderators in a randomized clinical
trial comparing addiction treatment alone to addiction treatment plus anxiety
disorder treatment for comorbid anxiety and substance use disorders.
AB - INTRODUCTION: Understanding for whom treatments exert their greatest effects is
crucial for prescriptive recommendations that can improve overall treatment
efficacy. Anxiety and substance use disorder comorbidity is prevalent and a
significant public health concern. Little is known about who should receive
specialized, integrated treatments to address both problems. This study aimed to
examine baseline patient characteristics that predict differential outcome
between typical treatment for substance use disorders (UC) compared to that
treatment combined with cognitive behavioral therapy for anxiety disorders (UC +
CALM ARC). METHODS: We examined several putative treatment moderators in a
dataset of community-based participants (N = 75) from a randomized clinical trial
at an outpatient community substance use disorder (SUD) specialty clinic.
Participants who met criteria for any anxiety disorder and any SUD were
randomized to UC (the Intensive Outpatient Program at the clinic) or UC + CALM
ARC. Outcome measures included anxiety symptoms, drug use, and alcohol use, and
were assessed at pre-treatment, post-treatment, and a 6-month follow-up
assessment. RESULTS: Older age and female gender were associated with greater
improvement on anxiety outcomes in UC + CALM ARC compared to UC. The presence of
an alcohol use disorder was associated with greater improvement in alcohol use in
UC + CALM ARC compared to UC. Higher opiate-related withdrawal symptoms and the
presence of more SUDs were associated with greater improvement in drug use
outcomes in UC + CALM ARC compared to UC. CONCLUSIONS: Several pre-treatment
characteristics are associated with a return of symptoms for those who receive
only UC, whereas the addition of CALM ARC prevented the return of symptoms.
Implications for future research and preliminary clinical recommendations are
discussed.
PMID- 29800623
TI - Treatment processes and demographic variables as predictors of dropout from
trauma-focused cognitive behavioral therapy (TF-CBT) for youth.
AB - OBJECTIVE: Premature dropout is a significant concern in trauma-focused
psychotherapy for youth. Previous studies have primarily examined pre-treatment
demographic and symptom-related predictors of dropout, but few consistent
findings have been reported. The current study examined demographic, symptom, and
in-session process variables as predictors of dropout from Trauma-Focused
Cognitive Behavioral Therapy (TF-CBT) for youth. METHOD: Participants were a
diverse sample of Medicaid-eligible youth (ages 7-17; n = 108) and their
nonoffending caregivers (n = 86), who received TF-CBT through an effectiveness
study in a community setting. In-session process variables were coded from audio
recorded sessions, and these and pre-treatment demographic variables and symptom
levels were examined as predictors of dropout prior to receiving an adequate dose
of TF-CBT (<7 sessions). Twenty-nine children were classified as dropouts and 79
as completers. RESULTS: Binary logistic regression analyses revealed that higher
levels of child and caregiver avoidance expressed during early sessions, as well
as greater relationship difficulties between the child and therapist, predicted
dropout. Those children who were in foster care during treatment were less likely
to drop out than children living with parents or relatives. No other demographic
or symptom-related factors predicted dropout. CONCLUSIONS: These findings
highlight the importance of addressing avoidance and therapeutic relationship
difficulties in early sessions of TF-CBT to help reduce dropout, and they have
implications for improving efforts to disseminate evidence-based trauma-focused
treatments.
PMID- 29800624
TI - A novel early truncation mutation in OTOG causes prelingual mild hearing loss
without vestibular dysfunction.
AB - OTOG was identified as a nonsyndrmoic hearing loss gene in 2012 in two families
with nonprogressive mild-to-moderate hearing loss. However, no further literature
have this gene for nonsyndromic hearing loss. Furthermore, it is still unclear
whether vestibular impairment is involved or not in patients with mutations in
OTOG. This study presents a validated second report for homozygous causative
mutations in OTOG of mild hearing loss. Whole exome sequencing (WES) was
performed in a five-year-old male proband with mild hearing loss. The analysis of
WES revealed a homozygous truncating mutation (c.330C > G; p.Tyr110*) in OTOG.
The identified novel mutation, p.Tyr110*, leads to a null allele based on the
fact that early truncated protein contains no functional domain of otogelin.
While defects in otogelin previously reported to result in hearing loss and
vestibular dysfunction, p.Tyr110* only caused nonsydromic and nonprogressive
hearing loss without any vestibular impairment, indicating that vestibular
phenotype would be variable. Given that mild hearing loss is not easy to be
detected early, mutations of OTOG may be more prevalent than reported. Therefore,
genetic evaluation for OTOG should be considered in children with mild hearing
loss with/without vestibular dysfunction.
PMID- 29800625
TI - The prevalence of pseudoxanthoma elasticum: Revised estimations based on
genotyping in a high vascular risk cohort.
AB - BACKGROUND: Pseudoxanthoma elasticum (PXE), an autosomal recessive systemic
calcification disorder, is caused by mutations in the ABCC6-gene and associated
with severe visual impairment and peripheral arterial disease. Given the progress
in development of a therapy for PXE, more precise estimations of its prevalence
are warranted. METHODS: We genotyped the four most common ABCC6 mutations
(c.3421C > T, c.4182delG, c.3775delT, c.2787+1G > T), together accounting for
half of all ABCC6 mutations identified in PXE patients from the Dutch population,
in a Dutch high vascular risk cohort (n = 7893). The obtained allele frequencies
were used to estimate the prevalence of PXE using the Hardy-Weinberg equilibrium.
RESULTS: The carrier frequency of ABCC6 was 0.60% for c.3421C > T, 0.17% for
c.4182delG, 0.05% for c.3775delT and 0.03% for c.2787+1G > T. The prevalence of
PXE based upon the allele frequencies of these four mutations was estimated as 1
per 56,000 (95%CI 1 per 35,000-97,000). CONCLUSION: The prevalence of PXE is at
least 1 per 56,000 meaning that there would be at least 307 affected individuals
in the Netherlands that may benefit from a potential upcoming treatment. Since
this estimate is based on mutations together accounting for half of all ABCC6
mutations identified among PXE patients, the actual prevalence will probably be
higher.
PMID- 29800628
TI - Ethical issues in genetic modification and why application matters.
AB - Advances in genome editing techniques have generated renewed interest in the
ethical implications of genetic modification. In this article, we review the
recent literature and discuss in detail ethical issues pertaining to the
application of this technology to five areas; human embryo research, organoid
research, the prospect of genetically modified babies, mitochondrial replacement
therapy and the creation of chimeric organisms. We point to a central issue which
cuts through these different areas: the need to clearly frame how using the
technology provides benefit that cannot be met by other means. Failure to provide
reasonable justification, and address how risks-if any-will be mitigated, is
likely to erode public trust and undermine progress in medical research and its
clinical translation.
PMID- 29800627
TI - Prediction of antimicrobial activity of large pool of peptides using quasi
SMILES.
AB - The purpose of this study was the estimation of ability of the so-called optimal
descriptors calculated to be a tool to predict the antimicrobial activity of
large pool of peptides. Traditional simplified molecular input-line entry system
(SMILES) is an efficient tool to represent the molecular structure of different
compounds. Quasi-SMILES represents an extension of traditional SMILES. This
approach provides the possibility to involve different eclectic conditions
related to analyzed endpoint in the modelling process. In addition, the quasi
SMILES can be used to represent structure of peptides via abbreviations of
corresponding amino acids. In this study, quasi-SMILES represents sequences of
amino acids in peptides that were tested as the basis to predict antimicrobial
activity of 1581 peptides. Predictive potential of binary classification for
antimicrobial activity for different splits is quite good when it comes to the
training, invisible training, calibration, and validation sets. For the external
validation sets, the statistical criteria are ranged: (i) sensitivity 0.82-097;
(ii) specificity 0.88-0.99; (iii) accuracy 0.87-0.98; and (iv) Matthews
correlation coefficient 0.73-0.97. The suggested optimal descriptors calculated
with data on composition of amino acids in peptides can be a tool to predict
antimicrobial activity of peptides.
PMID- 29800626
TI - Rag1 and rag2 gene expressions identify lymphopoietic tissues in juvenile and
adult Chinese giant salamander (Andrias davidianus).
AB - Rag1 and rag2 are two closely linked recombination activating genes required for
V(D)J recombination of antigen receptors in immature lymphocytes, whose
expression can serve as marker to identify the lymphopoietic tissues. To study
the development of lymphopoietic tissues in Chinese giant salamander (Andrias
davidianus), the Chinese giant salamander rag1 and rag2 coding sequences were
cloned and determined. High transcript levels of rag1 and rag2 were co-detected
in the thymus before 14 months of age, whereas levels were lower in spleen, liver
and kidney at all stage of development. The spatial expression patterns of rag1
and rag2 were studied in combination with igY and tcrbeta gene expression using
in situ hybridization. Significant transcript signals for rag1, rag2, tcrbeta and
igY were detected not only in the thymus and spleen but also the liver and kidney
of juvenile and adult Chinese giant salamanders, which suggests that cells of
lymphocyte lineage are present in multiple tissues of the Chinese giant
salamander. This implies that lymphopoiesis may take place in these tissues. The
tissue morphology of thymus suggested that the branched thymic primordium
developed into mature organ with the development of thymocyte from juvenile to
adult. These results not only confirm that as expected the thymus and spleen are
primordial lymphopoietic tissues but also suggest that the liver and kidney
provide site of lymphocyte differentiation in Chinese giant salamander.
PMID- 29800629
TI - Confirmation of endotracheal tube placement with ultrasound - direct
visualisation with anterior neck compression and continued surveillance.
PMID- 29800630
TI - New virological tools for screening, diagnosis and monitoring of hepatitis B and
C in resource-limited settings.
AB - Worldwide, the increasingly dominant model of laboratory testing is the
centralised laboratory, in which automation of analytical processes increases,
enabling the analysis of large numbers of samples at a relatively low cost.
However, this trend does not fulfil the requirements for care of patients with
chronic hepatitis B and C in resource-limited settings. Alternative models using
point-of-care (POC) tests and dried blood spots (DBSs) are increasingly being
considered for viral hepatitis screening, diagnosis and monitoring. POC tests are
small devices providing qualitative and/or quantitative determination of viral
antibodies and/or antigens. They can use original specimen matrices, such as oral
fluid or blood collected from a fingerstick. POC tests are particularly useful
for large-scale screening, and to improve access to care in regions where
laboratory access is limited. New POC devices that detect and quantify viral
nucleic acids are at the developmental stage. DBSs offer the main advantage of
enabling storage of desiccated blood that can be easily transported to reference
centres, where state-of-the-art molecular and serological diagnostic tests are
available. However, standardisation and better automation of DBS handling are
needed. Herein, we review alternatives to classical hepatitis B and C virological
tests, examining POC tests and DBSs, as well as alternatives to nucleic acid
testing. Innovations in testing approaches resulting from the availability of
these new assays are also discussed.
PMID- 29800631
TI - Extra-adrenal Pheochromocytoma Associated With Segmental Renal Artery Compression
and Pseudostenosis.
AB - Classically, pheochromocytomas and paragangliomas result in hypertension
secondary to an excess release of catecholamines. However, when the tumor arises
near the renal hilum, hypertension may also be secondary to renal artery
stenosis, which can occur via several purported mechanisms. We describe an
unusual case of a hereditary, extra-adrenal pheochromocytoma causing right lower
pole renal artery pseudostenosis, pertinent radiologic signs, relevant surgical
findings, and subsequent resolution after extirpative surgery.
PMID- 29800633
TI - A Rare Case of Ureteral IgG4 Disease Masquerading as Urothelial Carcinoma.
AB - The present paper described a rare case of ureteral IgG4-related disease (IgG4
RD) that mimicked urothelial carcinoma. An otherwise healthy patient presented
with computed tomography, ureteroscopic, and biopsy findings that were suspicious
of urothelial carcinoma. The patient received a right nephroureterectomy.
Histopathology showed ureteral IgG4-RD, without evidence of urothelial carcinoma.
Accurate diagnosis of this rare entity should be based on clinical, biochemical,
and histopathological findings.
PMID- 29800632
TI - Characterization of Septal and Punctate Scarring in Peyronie's Disease.
AB - OBJECTIVE: To characterize patients with isolated septal scarring (ISS) and
punctate scarring (PS) in Peyronie's disease (PD) and to highlight the importance
of duplex ultrasonography in the workup of patients with PD. MATERIALS AND
METHODS: Data from all men undergoing duplex ultrasonography at London Health
Sciences Center for PD or erectile dysfunction over a 3-year period were
retrospectively reviewed. RESULTS: Our cohort included 722 patients with duplex
ultrasound. ISS were demonstrated in 217 patients (30%), and PS in 197 (27%),
with 72 (33%) and 148 (75%), respectively, having a normal physical examination.
In both ISS and PS groups, more than half of patients also had associated
erectile dysfunction. Predictive factors for ISS on multivariate analysis
included trauma history (odds ratio [OR] 1.90, P = .04) and age (OR 1.02, P =
.01). Patients with ISS were more likely to have dorsal curvature (OR 3.81, P
<.01). CONCLUSION: Limited data exist in the literature regarding ISS and PS in
PD. Our study illustrates that one-third of ISS and three-quarters of PS would
have remained unrecognized without duplex ultrasonography, and it highlights its
importance in the workup and subsequent management of these patients. Further
studies are needed to better understand and to determine the at-risk patient and
the associated clinical implications of ISS and PS, and to ultimately provide
optimized and individualized management strategies.
PMID- 29800634
TI - Robotic Management of Rectourethral Fistulas After Focal Treatment for Prostate
Cancer.
AB - OBJECTIVE: To describe our management strategy for rectourethral fistula (RUF)
after focal treatment for prostate cancer (PCa) using 2 cases as an example.
Almost 50% of RUFs are associated with energy treatment modalities for PCa. The
adjacent damage to healthy tissue along with limited pliability of it makes the
success of the repair troublesome. There is no standardized approach for these
scenarios. MATERIALS AND METHODS: For case 1, an 83-year-old man underwent
cryotherapy for PCa. On postoperative day 14, he presented with urine per rectum.
Cystoscopy confirmed the presence of an RUF. Urinary and fecal diversions were
unsuccessful. Three months later, robotic surgical repair was performed. For case
2, an 85-year-old man underwent salvage therapy for PCa with high-intensity
focused ultrasound after previous treatment with external beam radiation therapy.
Two months postoperatively, he presented with urine per rectum. A computed
tomography scan confirmed the presence of an RUF. Robotic surgical repair was
subsequently performed. RESULTS: Both patients underwent robotic-assisted RUF
repair, including salvage prostatectomy , rectal defect closure, and omental flap
placement. In the first case, healthy urethra was present after the salvage
prostatectomy, and the next step was completion of a vesicourethral anastomosis.
In the second case, the next step was closure of the bladder neck and suprapubic
tube placement due to the extensive tissue destruction the residual urethra.
Success was confirmed with imaging studies and no reported symptoms at 9 and 4
months, respectively. CONCLUSION: The robotic system is useful for the treatment
of a complicated RUF. The optimal reconstruction strategy depends on the ability
to reach the distal urethra, the patients' characteristics, and preferences.
PMID- 29800635
TI - Hunter-gatherer diets and human behavioral evolution.
AB - Human behavior and physiology evolved under conditions vastly different from
those which most humans inhabit today. This paper summarizes long-term dietary
studies conducted on contemporary hunter-gatherer populations (sometimes referred
to as foragers). Selected studies for the most part that use evolutionary
theoretical perspectives and data collection methods derived from the academic
field of human behavioral ecology, which derives relatively recently from the
fields of evolutionary biology, ethology, population biology and ecological
anthropology. I demonstrate how this body of research illuminates ancestral
patterns of food production, consumption and sharing, infant feeding, and
juvenile subsistence contributions in hunter-gatherer economies. Insights from
hunter-gatherer studies are then briefly discussed within the context of better
studied human populations that are Westernized, Educated, Industrialized, Rich,
and Democratic (WEIRD).
PMID- 29800636
TI - Effects of AMPA receptor antagonist, NBQX, and extrasynaptic GABAA agonist, THIP,
on social behavior of adolescent and adult rats.
AB - Adolescence is characterized by high significance of social interactions, along
with a propensity to exhibit social facilitating effects of ethanol while being
less sensitive than adults to the inhibition of social behavior that emerges at
higher doses of ethanol. Among the neural characteristics of adolescence are
generally enhanced levels of glutamatergic (especially NMDA receptor) activity
relative to adults, whereas the GABA system is still developmentally immature.
Activation of NMDA receptors likely plays a role in modulation of social behavior
in adolescent animals as well as in socially facilitating and suppressing effects
of ethanol. For instance, adolescent and adult rats differ in their sensitivities
to the effects of NMDA antagonists and ethanol on social behavior, with
adolescents but not adults demonstrating social facilitation at lower doses of
both drugs and adults being more sensitive to the socially suppressing effects
evident at higher doses of each. The roles of AMPA and extrasynaptic GABAA
receptors in modulation of social behavior during adolescence and in adulthood
are still unknown. The present study was designed to assess whether
pharmacological blockade of AMPA receptors and/or activation of extrasynaptic
GABAA receptors results in age-dependent alterations of social behavior.
Adolescent and adult male and female Sprague-Dawley rats were injected with an
assigned dose of either a selective AMPA antagonist, NBQX (Experiment 1) or
extrasynaptic GABAA agonist, THIP (Experiment 2) and placed into a modified
social interaction chamber for a 30-min habituation period prior to a 10-min
social interaction test with a novel age- and sex-matched partner. Behaviors such
as social investigation, contact behavior and play behavior were scored from
video recordings of the interaction tests. In Experiment 1, NBQX produced similar
social inhibition at higher doses in both age groups. In Experiment 2, THIP
induced inhibition in adolescents, but not adults. No social facilitation was
evident following low doses of either drug. Therefore, AMPA and extrasynaptic
GABAA receptors appear to play little role if any in modulation of peer-directed
social behavior in adolescence and adulthood and not likely to contribute to
previously observed age differences in the social effects of acute ethanol.
PMID- 29800637
TI - Efficacy of sealing occlusal caries with a flowable composite in primary molars:
A 2-year randomized controlled clinical trial.
AB - OBJECTIVES: This randomized controlled clinical trial evaluated the efficacy of
sealing carious dentin in controlling the progression of lesions in primary
molars for 2-year follow-up. MATERIALS AND METHODS: Children (6.79 +/- 1.81
years, n = 28) presenting primary molars with occlusal caries in the outer half
of dentine were randomized and allocated into 2 groups: test (sealing caries with
a flowable resin - SC) and control (partial removal of caries followed by
restoration - PRC). The primary outcomes were: the clinical success of
restorations evaluated by USPHS criteria and the radiographic analysis of caries
progression. The children anxiety was evaluated by a Facial Image Scale; and the
time required to perform the treatments was registered. RESULTS: In 21 patients
evaluated after 2 years, 48 primary molars were analyzed. Clinically, there was
no difference between the groups. There was no difference between treatments (p =
0.848) considering lesion progression. The anxiety level did not change after the
two interventions (p = 0.650). The treatment time of SC (9.03 +/- 1.91 min) was
lower (p = 0.002) than the PRC time (17.13 +/- 5.26 min). CONCLUSION: Sealing
carious dentin may be used in dentistry since it did not alter the children
anxiety, reduced the chair time and demonstrated clinical success rate and no
radiographic difference in relation to the partial caries removal followed by
restoration.
PMID- 29800638
TI - A practice based longevity study on single-unit crowns.
AB - OBJECTIVES: This retrospective longitudinal study aimed to assess the longevity
of single unit crowns placed by several dentists and to investigate risk factors
associated with crown failures. METHODS: From patient files, longevity of 3404
full crown restorations placed in 1557 patients by 8 Dutch dentists between 1996
and 2011 were analyzed. Annual failure rates (AFRs) were calculated and variables
associated with failure (success and survival of crowns) were assessed by
multivariate Cox-regressions analysis with shared frailty for patients. RESULTS:
Most of crowns were PFM (63.8%) placed in molars (58.1%) and non endodontically
treated teeth (65.4%). The observation time of restorations varied from 3 weeks
to 11 years with a mean of 7 years, resulting in a mean AFR at 11 years of 2.1%
and 0.7% for success and survival of crowns, respectively. Among dentists a
relevant variation for type of interventions was observed with AFR varying
between 1.2% and 3.5%. The most significant risk factor for failure of crowns was
the presence of an endodontic treatment, resulting in Hazard ratios of 1.31 for
success [95%CI 1.07-1.61] and 1.89 [95%CI 1.35-2.65] for survival of crowns.
Tooth type, tooth position (jaw) and gender showed also a significant influence
on success of crowns. For survival, increase in patients' age results in a higher
risk for failure. CONCLUSIONS: Overall, crowns placed by a selected group of
dentist showed a good to acceptable success and survival rates, mainly dependent
from the practice. The presence of an endodontically treated tooth was a
significant risk factor leading to more failures.
PMID- 29800639
TI - Trend-analysis of dental hard-tissue conditions as function of tooth age.
AB - OBJECTIVE: This retrospective in-vitro study investigated tooth age effect on
dental hard-tissue conditions. METHODS: Unidentified extracted premolars (n =
1500) were collected and their individual age was estimated (10-100 (+/-10) years
old (yo)) using established dental forensic methods Dental caries, fluorosis and
tooth wear (TW) were assessed using the International Caries Detection and
Assessment System (ICDAS; 0-5 for crown and 0-2 for root), Thylstrup-Fejerskov
(TFI; 0-9) and Basic Erosive Wear Examination (BEWE; 0-3) indices, respectively.
Staining and color were assessed using the modified-Lobene (MLI) (0-3) and VITA
shade (B1-C4) indices, respectively. Relationships between indices and age were
tested using regression models. RESULTS: Starting at age ~10yo, presence of
caries increased from 35% to 90% at ~50yo (coronal), and from 0% to 35% at ~80yo
(root). Caries severity increased from ICDAS 0.5 to 2 at ~40yo and from ICDAS 0
to 0.5 at ~60yo for coronal and root caries, respectively. Presence of TW
increased from 25% (occlusal) and 15% (smooth-surfaces) to 100% at ~80yo. TW
severity increased from BEWE 0.5 to 2 at ~50yo (occlusal) and ~0.3 to 1.5 at
~50yo (smooth-surfaces). Percentage and severity of fluorosis decreased from 70%
to 10% at ~80yo, and from TFI 1 to 0 at ~90yo, respectively. Percentage of
extrinsic staining increased from 0% to 85% at ~80yo and its severity increased
from MLI 0 to 2 at ~70yo. Color changed from A3 to B3 at ~50yo (crown), and from
C2 to A4 at ~85yo (root). CONCLUSIONS: Aging is proportionally related to the
severity of caries, TW, staining, and inversely to dental fluorosis. Teeth become
darker with age.
PMID- 29800641
TI - Isoalantolactone induces apoptosis through reactive oxygen species-dependent
upregulation of death receptor 5 in human esophageal cancer cells.
AB - Esophageal cancer is the eighth most prevalent cancer and has high mortality in
our society. Isoalantolactone, extracted from Inula helenium L, has shown potent
anticancer effects on a variety of cancers. However, its effect on human
esophageal cancer, and the underlying molecular mechanism, remain to be
investigated. In the present study, we demonstrated that isoalantolactone induced
apoptosis in esophageal cancer cells. Treatment with isoalantolactone activated
caspases-3, -7, and -10, and upregulated death receptor (DR)5. Furthermore, DR5
knockdown partially reversed the effect of isoalantolactone. These results
indicated the extrinsic apoptosis was induced by isoalantolactone. In addition,
intracellular reactive oxygen species (ROS) were significantly elevated after
treatment with isoalantolactone. N-Acetylcysteine, an ROS scavenger, blocked both
the apoptosis and decreased cell viability caused by isoalantolactone. In vivo,
significant suppression of tumor growth by isoalantolactone was observed in an
ECA109 cell xenograft mouse model. Isoalantolactone showed no obvious adverse
effects on mouse weight and histology of heart, liver, spleen, lung, and kidney.
In conclusion, our results revealed that isoalantolactone induced apoptosis
through the extrinsic pathway via upregulation of DR5 and elevation of ROS in
human esophageal cancer cells. Isoalantolactone, therefore, could be a potential
candidate in developing anticancer agents for esophageal cancer patients.
PMID- 29800640
TI - Glutathione deficiency sensitizes cultured embryonic mouse ovaries to
benzo[a]pyrene-induced germ cell apoptosis.
AB - Mice lacking the modifier subunit of glutamate cysteine ligase (Gclm), the rate
limiting enzyme in glutathione (GSH) synthesis, have decreased tissue GSH. We
previously showed that Gclm-/- embryos have increased sensitivity to the prenatal
in vivo ovarian toxicity of the polycyclic aromatic hydrocarbon benzo[a]pyrene
(BaP) compared with Gclm+/+ littermates. We also showed that BaP-induced germ
cell death in cultured wild type embryonic ovaries is caspase-dependent. Here, we
hypothesized that GSH deficiency increases sensitivity of cultured embryonic
ovaries to BaP-induced germ cell death. 13.5 days post coitum (dpc) embryonic
ovaries of all Gclm genotypes were fixed immediately or cultured for 24 h in
media supplemented with DMSO vehicle or 500 ng/ml BaP. The percentage of
activated caspase-3 positive germ cells varied significantly among groups. Within
each genotype, DMSO and BaP-treated groups had increased germ cell caspase-3
activation compared to uncultured. Gclm+/- ovaries had significantly increased
caspase-3 activation with BaP treatment compared to DMSO, and caspase-3
activation increased non-significantly in Gclm-/- ovaries treated with BaP
compared to DMSO. There was no statistically significant effect of BaP treatment
on germ cell numbers at 24 h, consistent with our prior observations in wild type
ovaries, but Gclm-/- ovaries in both cultured groups had lower germ cell numbers
than Gclm+/+ ovaries. There were no statistically significant BaP-treatment or
genotype-related differences among groups in lipid peroxidation and germ cell
proliferation. These data indicate that Gclm heterozygous or homozygous deletion
sensitizes embryonic ovaries to BaP- and tissue culture-induced germ cell
apoptosis.
PMID- 29800642
TI - The roles of bone morphogenetic protein 2 in perfluorooctanoic acid induced
developmental cardiotoxicity and l-carnitine mediated protection.
AB - Perfluorooctanoic acid (PFOA), a wide spread environmental pollutant, was
associated with developmental cardiotoxicity in chicken embryo, while the
underlying molecular mechanism had not been fully elucidated. In the current
study, 2 mg/kg (egg weight) PFOA and/or 100 mg/kg (egg weight) l-carnitine were
exposed to embryonic day zero (ED0) chicken embryo via air cell injection, and
then bone morphogenic protein 2 (BMP2) silencing lentivirus or BMP2 recombinant
protein were introduced into ED2 embryo. Electrocardiography and histological
methods were utilized to assess the cardiac function and morphology in hatchling
chickens, respectively. Consistent with previous results, 2 mg/kg PFOA exposure
at ED0 significantly elevated heart rate and thinned right ventricular wall in
hatchling chickens, while l-carnitine co-treatment reverted such changes. BMP2
silencing induced very similar changes in hatchling chicken hearts as PFOA
exposure, while co-exposure of recombinant BMP2 protein alleviated PFOA-induced
changes. l-carnitine exposure alleviated the BMP2-silencing induced changes as
well. Western blotting revealed that PFOA exposure enhanced BMP2 expression and
suppressed pSMAD1 expression in ED15 chicken embryo hearts, while both changes
were reverted by l-carnitine co-exposure. Furthermore, silencing of BMP2
significantly increased the expression level of PPAR alpha in ED15 chicken embryo
hearts, while silencing of PPAR alpha did not have significant impact on BMP2
expression. In conclusion, BMP2/pSMAD1 signaling participates in the PFOA-induced
developmental cardiotoxicity in chicken embryo, which is likely located upstream
of PPAR alpha for this particular endpoint. Protection of BMP2 signaling might
contribute to l-carnitine mediated protection against PFOA-induced developmental
cardiotoxicity.
PMID- 29800643
TI - Evaluation of kidney injury biomarkers in an adult Mexican population
environmentally exposed to fluoride and low arsenic levels.
AB - Fluoride (F) is a toxicant widely distributed in the environment. Experimental
studies have shown kidney toxicity from F exposure. However, co-exposure to
arsenic (As) has not been considered, and epidemiological information remains
limited. We evaluated the association between F exposure and urinary kidney
injury biomarkers and assessed As co-exposure interactions. A cross-sectional
study was conducted in 239 adults (18-77 years old) from three communities in
Chihuahua, Mexico. Exposure to F was assessed in urine and drinking water, and As
in urine samples. We evaluated the urinary concentrations of albumin (ALB),
cystatin-C (Cys-C), kidney injury molecule 1 (KIM-1), clusterin (CLU),
osteopontin (OPN), and trefoil factor 3 (TFF-3). The estimated glomerular
filtration rate (eGFR) was calculated using serum creatinine (Creat) levels. We
observed a positive correlation between water and urine F concentrations (rho =
0.7419, p < 0.0001), with median values of 1.5 mg/L and 2 MUg/mL, respectively,
suggesting that drinking water was the main source of F exposure. The geometric
mean of urinary As was 18.55 ng/mL, approximately 39% of the urine samples had As
concentrations above the human biomonitoring value (15 ng/mL). Multiple linear
regression models demonstrated a positive association between urinary F and ALB
(beta = 0.56, p < 0.001), Cys-C (beta = 0.022, p = 0.001), KIM-1 (beta = 0.048, p
= 0.008), OPN (beta = 0.38, p = 0.041), and eGFR (beta = 0.49, p = 0.03);
however, CLU (beta = 0.07, p = 0.100) and TFF-3 (beta = 1.14, p = 0.115) did not
show significant associations. No interaction with As exposure was observed. In
conclusion, F exposure was related to the urinary excretion of early kidney
injury biomarkers, supporting the hypothesis of the nephrotoxic role of F
exposure.
PMID- 29800644
TI - Sex-specific effects of Cacna1c haploinsufficiency on object recognition, spatial
memory, and reversal learning capabilities in rats.
AB - The CACNA1C gene is strongly implicated in the etiology of multiple major
neuropsychiatric disorders, such as bipolar disorder, major depression, and
schizophrenia, with cognitive deficits being a common feature. It is unclear,
however, by which mechanisms CACNA1C variants advance the risk of developing
neuropsychiatric disorders. This study set out to investigate cognitive
functioning in a newly developed genetic Cacna1c rat model. Specifically, spatial
and reversal learning, as well as object recognition memory were assessed in
heterozygous Cacna1c+/- rats and compared to wildtype Cacna1c+/+ littermate
controls in both sexes. Our results show that both Cacna1c+/+ and Cacna1c+/-
animals were able to learn the rewarded arm configuration of a radial maze over
the course of seven days. Both groups also showed reversal learning patterns
indicative of intact abilities. In females, genotype differences were evident in
the initial spatial learning phase, with Cacna1c+/- females showing hypo-activity
and fewer mixed errors. In males, a difference was found during probe trials for
both learning phases, with Cacna1c+/- rats displaying better distinction between
previously baited and non-baited arms; and regarding cognitive flexibility in
favor of the Cacna1c+/+ animals. All experimental groups proved to be sensitive
to reward magnitude and fully able to distinguish between novel and familiar
objects in the novel object recognition task. Taken together, these results
indicate that Cacna1c haploinsufficiency has a minor, but positive impact on
(spatial) memory functions in rats.
PMID- 29800645
TI - Aerobic exercise upregulates the BDNF-Serotonin systems and improves the
cognitive function in rats.
AB - Aerobic exercise (AE) benefits brain health and behavior. Serotonin (5-HT) and
brain-derived neurotrophic factor (BDNF) are known to mediate and shape cognitive
processes. Both systems share some actions: BDNF is involved in the maturation
and function of 5-HT neurons. In turn, 5-HT is involved in neuroplasticity
phenomena mediated by BDNF and stimulated by exercise. The aim of this work was
to study the long-term effects of AE on BDNF- 5-HT systems and cognitive function
in rats at different ages. A lifelong moderate-intensity aerobic training program
was designed, in which aerobically exercised (E) and sedentary control (C) rats
were studied at middle (8 months) and old age (18 months) by means of
biochemical, immunohistochemical and behavioral assays. The levels and expression
of BDNF, 5-HT, serotonin transporter (SERT) and 5-HT1A receptor were determined
in selected brain areas involved in memory and learning. Immunopositive cells to
neuronal nuclear protein (NeuN) in the hippocampus CA1 area were also quantified.
The cognitive function was evaluated by the object recognition test (ORT).
Results indicate that AE enhanced spatial and non-spatial memory systems,
modulated by age. This outcome temporarily correlated with a significant
upregulation of cortical, hippocampal and striatal BDNF levels in parallel with
an increase in the number of hippocampal CA1-mature neurons. AE also increased
brain and raphe 5-HT levels, as well as the expression of SERT and 5-HT1A
receptor in the cortex and hippocampus. Old AE rats showed a highly conserved
response, indicating a remarkable protective effect of exercise on both systems.
In summary, lifelong AE positively affects BDNF-5-HT systems, improves cognitive
function and protects the brain against the deleterious effects of sedentary life
and aging.
PMID- 29800646
TI - Cognitive deficits in the Snord116 deletion mouse model for Prader-Willi
syndrome.
AB - Prader-Willi syndrome (PWS) is an imprinted neurodevelopmental disease caused by
a loss of paternal genes on chromosome 15q11-q13. It is characterized by
cognitive impairments, developmental delay, sleep abnormalities, and hyperphagia
often leading to obesity. Clinical research has shown that a lack of expression
of SNORD116, a paternally expressed imprinted gene cluster that encodes multiple
copies of a small nucleolar RNA (snoRNA) in both humans and mice, is most likely
responsible for many PWS symptoms seen in humans. The majority of previous
research using PWS preclinical models focused on characterization of the
hyperphagic and metabolic phenotypes. However, a crucial understudied clinical
phenotype is cognitive impairments and thus we investigated the learning and
memory abilities using a model of PWS, with a heterozygous deletion in Snord116.
We utilized the novel object recognition task, which doesn't require external
motivation, or exhaustive swim training. Automated findings were further
confirmed with manual scoring by a highly trained blinded investigator. We
discovered deficits in Snord116+/- mutant mice in the novel object recognition,
location memory and tone cue fear conditioning assays when compared to age-, sex-
matched, littermate control Snord116+/+ mice. Further, we confirmed that despite
physical neo-natal developmental delays, Snord116+/- mice had normal exploratory
and motor abilities. These results show that the Snord116+/- deletion murine
model is a valuable preclinical model for investigating learning and memory
impairments in individuals with PWS without common confounding phenotypes.
PMID- 29800647
TI - Severe combined immunodeficiency in stimulator of interferon genes (STING)
V154M/wild-type mice.
AB - BACKGROUND: Autosomal dominant gain-of-function mutations in human stimulator of
interferon genes (STING) lead to a severe autoinflammatory disease called STING
associated vasculopathy with onset in infancy that is associated with enhanced
expression of interferon-stimulated gene transcripts. OBJECTIVE: The goal of this
study was to analyze the phenotype of a new mouse model of STING hyperactivation
and the role of type I interferons in this system. METHODS: We generated a knock
in model carrying an amino acid substitution (V154M) in mouse STING,
corresponding to a recurrent mutation seen in human patients with STING
associated vasculopathy with onset in infancy. Hematopoietic development and
tissue histology were analyzed. Lymphocyte activation and proliferation were
assessed in vitro. STING V154M/wild-type (WT) mice were crossed to IFN-alpha/beta
receptor (IFNAR) knockout mice to evaluate the type I interferon dependence of
the mutant Sting phenotype recorded. RESULTS: In STING V154M/WT mice we detected
variable expression of inflammatory infiltrates in the lungs and kidneys. These
mice showed a marked decrease in survival and developed a severe combined
immunodeficiency disease (SCID) affecting B, T, and natural killer cells, with an
almost complete lack of antibodies and a significant expansion of monocytes and
granulocytes. The blockade in B- and T-cell development was present from early
immature stages in bone marrow and thymus. In addition, in vitro experiments
revealed an intrinsic proliferative defect of mature T cells. Although the
V154M/WT mutant demonstrated increased expression of interferon-stimulated genes,
the SCID phenotype was not reversed in STING V154M/WT IFNAR knockout mice.
However, the antiproliferative defect in T cells was rescued partially by IFNAR
deficiency. CONCLUSIONS: STING gain-of-function mice developed an interferon
independent SCID phenotype with a T-cell, B-cell, and natural killer cell
developmental defect and hypogammaglobulinemia that is associated with signs of
inflammation in lungs and kidneys. Only the intrinsic proliferative defect of T
cells was partially interferon dependent.
PMID- 29800648
TI - Activating PIK3CD mutations impair human cytotoxic lymphocyte differentiation and
function and EBV immunity.
AB - BACKGROUND: Germline gain-of function (GOF) mutations in PIK3CD, encoding the
catalytic p110delta subunit of phosphoinositide 3-kinase (PI3K), result in
hyperactivation of the PI3K-AKT-mechanistic target of rapamycin pathway and
underlie a novel inborn error of immunity. Affected subjects exhibit perturbed
humoral and cellular immunity, manifesting as recurrent infections, autoimmunity,
hepatosplenomegaly, uncontrolled EBV and/or cytomegalovirus infection, and
increased incidence of B-cell lymphoproliferation, lymphoma, or both. Mechanisms
underlying disease pathogenesis remain unknown. OBJECTIVE: Understanding the
cellular and molecular mechanisms underpinning inefficient surveillance of EBV
infected B cells is required to understand disease in patients with PIK3CD GOF
mutations, identify key molecules required for cell-mediated immunity against
EBV, and develop immunotherapeutic interventions for the treatment of this and
other EBV-opathies. METHODS: We studied the consequences of PIK3CD GOF mutations
on the generation, differentiation, and function of CD8+ T cells and natural
killer (NK) cells, which are implicated in host defense against infection with
herpesviruses, including EBV. RESULTS: PIK3CD GOF total and EBV-specific CD8+ T
cells were skewed toward an effector phenotype, with exaggerated expression of
markers associated with premature immunosenescence/exhaustion and increased
susceptibility to reactivation-induced cell death. These findings were
recapitulated in a novel mouse model of PI3K GOF mutations. NK cells in patients
with PIK3CD GOF mutations also exhibited perturbed expression of differentiation
associated molecules. Both CD8+ T and NK cells had reduced capacity to kill EBV
infected B cells. PIK3CD GOF B cells had increased expression of CD48, programmed
death ligand 1/2, and CD70. CONCLUSIONS: PIK3CD GOF mutations aberrantly induce
exhaustion, senescence, or both and impair cytotoxicity of CD8+ T and NK cells.
These defects might contribute to clinical features of affected subjects, such as
impaired immunity to herpesviruses and tumor surveillance.
PMID- 29800650
TI - How many names for a beloved genus? - Coalescent-based species delimitation in
Xanthium L. (Ambrosiinae, Asteraceae).
AB - The species category is the fundamental unit in biology. In the last decades,
several studies have been carried out, using sequence information and phylogenies
to resolve issues in taxonomically problematic groups. The multispecies
coalescent theory, and the species-delimitation methods developed in the last
years based on that, offer powerful and objective tools to determine species
boundaries using sequence data. The genus Xanthium is a morphologically variable
complex with several local forms, nowadays become cosmopolitan due to human
mediated dispersal. Past taxonomic treatments of the genus were based essentially
on the burr morphology. They varied considerably in number of recognized species,
depending on the importance that the different authors gave to burr traits such
as burr size, pubescence, number and length of spines in the burrs, and the
degree to which those are hooked. We used sequence information from two plastid
regions (the intergenic spacer regions psbA-trnH and trnQ-rps16) and two nuclear
ones (ITS1-5.8 S-ITS2 rDNA, and the single-copy nuclear marker D35). Two of the
most advanced coalescent-based species delimitation methods (BP&P and STACEY)
were applied, in order to objectively determine species boundaries in Xanthium.
Results from the species-delimitation methods strongly support scenarios with a
reduced number of species. Prior on the effective population size parameter
(theta) had a strong influence on BP&P results. Analyses with large and small
theta prior supported species delimitation scenarios with four and five species,
respectively. STACEY recognized five cluster in the dataset, all supported by
high posterior probability values. Five species are identified in Xanthium, in a
great extent corresponding to the infrageneric classification given for the genus
in past taxonomic revisions.
PMID- 29800649
TI - Hierarchical biogeographical processes largely explain the genomic divergence
pattern in a species complex of sea anemones (Metridioidea: Sagartiidae:
Anthothoe).
AB - The phylogenetic resolution provided by genome-wide data has demonstrated the
usefulness of RAD sequencing to tackle long-standing taxonomic questions.
Cnidarians have recently become a model group in this regard, yet species
delimitation analyses have been mostly performed in octocorals. In this study, we
used RAD sequencing to test the species hypotheses in a wide-spread complex of
sea anemones (genus Anthothoe), contrasting this new line of evidence with their
current classification. The alternative hypotheses were tested using a Bayes
Factors delimitation method, and the most probable species tree was then
evaluated under different biogeographic scenarios. Our results decisively
rejected the current morphology-informed delimitation model and infer the
presence of several cryptic species associated with distinct marine ecoregions.
This spatial pattern was remarkably consistent throughout the study, highlighting
the role of geographic distribution as a powerful explanatory variable of
lineages diversification. The southern Gondwana pattern with episodic, jump
dispersal events is the biogeographic historical representation that best fits
the Anthothoe species tree. The high population differentiation possibly
amplified by the occurrence of asexual reproduction makes it difficult to
identify genes responsible for local adaptation, however, these seem to be mainly
associated with cellular and metabolic processes. We propose a new set of species
hypotheses for the Southern Hemispheric Anthothoe clade, based on the pronounced
genomic divergence observed among lineages. Although the link between the genetic
and phenotypic differentiation remains elusive, newer sequencing technologies are
bringing us closer to understanding the evolution of sea anemone diversity and,
therefore, how to appropriately classify them.
PMID- 29800651
TI - ORDER within the chaos: Insights into phylogenetic relationships within the
Anomura (Crustacea: Decapoda) from mitochondrial sequences and gene order
rearrangements.
AB - The infraorder Anomura consists of a morphologically and ecologically
heterogeneous group of decapod crustaceans, and has attracted interest from
taxonomists for decades attempting to find some order out of the seemingly
chaotic diversity within the group. Species-level diversity within the Anomura
runs the gamut from the "hairy" spindly-legged yeti crab found in deep-sea
hydrothermal vent environments to the largest known terrestrial invertebrate, the
robust coconut or robber crab. Owing to a well-developed capacity for parallel
evolution, as evidenced by the occurrence of multiple independent carcinization
events, Anomura has long tested the patience and skill of both taxonomists
attempting to find order, and phylogeneticists trying to establish stable
hypotheses of evolutionary inter-relationships. In this study, we performed
genome skimming to recover the mitogenome sequences of 12 anomuran species
including the world's largest extant invertebrate, the robber crab (Birgus
latro), thereby over doubling these resources for this group, together with 8 new
brachyuran mitogenomes. Maximum-likelihood (ML) and Bayesian-inferred (BI)
phylogenetic reconstructions based on amino acid sequences from mitogenome
protein-coding genes provided strong support for the monophyly of the Anomura and
Brachyura and their sister relationship, consistent with previous studies. The
majority of relationships within families were supported and were largely
consistent with current taxonomic classifications, whereas many relationships at
higher taxonomic levels were unresolved. Nevertheless, we have strong support for
a polyphyletic Paguroidea and recovered a well-supported clade of a subset of
paguroids (Diogenidae + Coenobitidae) basal to all other anomurans, though this
requires further testing with greater taxonomic sampling. We also introduce a new
feature to the MitoPhAST bioinformatics pipeline
(https://github.com/mht85/MitoPhAST) that enables the extraction of mitochondrial
gene order (MGO) information directly from GenBank files and clusters groups
based on common MGOs. Using this tool, we compared MGOs across the Anomura and
Brachyura, identifying Anomura as a taxonomic "hot spot" with high variability in
MGOs among congeneric species from multiple families while noting the broad
association of highly-rearranged MGOs with several anomuran lineages inhabiting
extreme niches. We also demonstrate the value of MGOs as a source of novel
synapomorphies for independently reinforcing tree-based relationships and for
shedding light on relationships among challenging groups such as the Aegloidea
and Lomisoidea that were unresolved in phylogenetic reconstructions. Overall,
this study contributes a substantial amount of new genetic material for Anomura
and attempts to further resolve anomuran evolutionary relationships where
possible based on a combination of sequence and MGO information. The new feature
in MitoPhAST adds to the relatively limited number of bioinformatics tools
available for MGO analyses, which can be utilized widely across animal groups.
PMID- 29800652
TI - A global plastid phylogeny uncovers extensive cryptic speciation in the fern
genus Hymenasplenium (Aspleniaceae).
AB - The fern genus Hymenasplenium (Aspleniaceae) is one of the two genera in the
family. It is generally recognized among modern pteridologists. However, its
infrageneric relationships and species diversity have been unclear and
controversial. The molecular studies so far have had small taxon and character
sampling. In the present study, DNA sequences of six plastid markers of 158
accessions representing ca. 40 out of ca. 50 known species of Hymenasplenium, and
16 species of Asplenium were used to infer a phylogeny with maximum likelihood,
Bayesian inference, and maximum parsimony approaches. Our major results include:
(1) Hymenasplenium as currently defined is strongly supported as monophyletic;
(2) three major clades representing early splits in Hymenasplenium are
identified, with the Old World species being strongly supported as monophyletic;
it is ambiguous if the New World species are monophyletic; (3) extensive cryptic
speciation in the Old World is discovered demonstrating the complexity of
evolution of the genus; and (4) six strongly or moderately supported subclades in
the Old World clade are revealed, differing from one another in molecular,
morphological, and geographical features.
PMID- 29800653
TI - Selenoprotein T is a key player in ER proteostasis, endocrine homeostasis and
neuroprotection.
AB - Selenoprotein T (SELENOT, SELT) is a thioredoxin-like enzyme anchored at the
endoplasmic reticulum (ER) membrane, whose primary structure is highly conserved
during evolution. SELENOT is abundant in embryonic tissues and its activity is
essential during development since its gene knockout in mice is lethal early
during embryogenesis. Although its expression is repressed in most adult tissues,
SELENOT remains particularly abundant in endocrine organs such as the pituitary,
pancreas, thyroid and testis, suggesting an important role of this selenoprotein
in hormone production. Our recent studies showed indeed that SELENOT plays a key
function in insulin and corticotropin biosynthesis and release by regulating ER
proteostasis. Although SELENOT expression is low or undetectable in most cerebral
structures, its gene conditional knockout in brain provokes anatomical
alterations that impact mice behavior. This suggests that SELENOT also plays an
important role in brain development and function. In addition, SELENOT is induced
after injury in brain or liver and exerts a cytoprotective effect. Thus, the data
gathered during the last ten years of intense investigation of this newly
discovered thioredoxin-like enzyme point to an essential function during
development and in adult endocrine organs or lesioned brain, most likely by
regulating ER redox circuits that control homeostasis and survival of cells with
intense metabolic activity.
PMID- 29800656
TI - Influencing factors, underlying mechanism and interactions affecting
hypercholesterolemia in adult offspring with caffeine exposure during pregnancy.
AB - Epidemiological surveys suggest that adult hypercholesterolemia has an
intrauterine origin and exhibits gender differences. Our previous study
demonstrated that adult rats with intrauterine growth retardation (IUGR)
offspring rats induced by prenatal caffeine exposure (PCE) had a higher serum
total cholesterol (TCH) level. In this study, we aimed to analyze the influencing
factors, underlying mechanism and interactions affecting hypercholesterolemia in
adult offspring with caffeine exposure during pregnancy. Pregnant rats were
administered caffeine (120 mg/kg d) from gestational day 11 until delivery.
Offspring rats fed a normal diet or a high-fat diet (HFD) were euthanized at
postnatal week 24, and blood and liver samples were collected. The results showed
that PCE could increase the serum levels of TCH and low-density lipoprotein
cholesterol (LDL-C), and the hepatic expression of HMG CoA reductase (HMGCR) and
apolipoprotein B (ApoB), but decreased the high-density lipoprotein-cholesterol
(HDL-C) level and the hepatic expression of scavenger receptor B1 (SR-B1) and LDL
receptor (LDLR). Furthermore, PCE, HFD and gender interact with each other to
influence the serum cholesterol phenotype and expression of hepatic cholesterol
metabolic genes. These results suggest that the hypercholesterolemia in adult
offspring rats induced by PCE mainly resulted from enhanced synthesis and the
weakened reverse transport of cholesterol in the liver, furthermore HFD could
aggravate this effect, which is caused by hepatic cholesterol metabolic
disorders. Moreover, cholesterol metabolism in female rats was more sensitive to
neuroendocrine changes and HFD than that in males. This study confirmed the
influencing factors (such as a HFD and female gender) of hypercholesterolemia in
IUGR offspring providing theoretical and experimental bases for the effective
prevention of fetal-originated hypercholesterolemia.
PMID- 29800654
TI - Role of glutathione peroxidase 1 in glucose and lipid metabolism-related
diseases.
AB - Glutathione peroxidase 1 (GPX1) is a selenium-dependent enzyme that reduces
intracellular hydrogen peroxide and lipid peroxides. While past research explored
regulations of gene expression and biochemical function of this selenoperoxidase,
GPX1 has recently been implicated in the onset and development of chronic
diseases. Clinical data have shown associations of human GPX1 gene variants with
elevated risks of diabetes. Knockout and overexpression of Gpx1 in mice may
induce types 1 and 2 diabetes-like phenotypes, respectively. This review
assembles the latest advances in this new field of selenium biology, and attempts
to postulate signal and molecular mechanisms mediating the role of GPX1 in
glucose and lipid metabolism-related diseases. Potential therapies by harnessing
the beneficial effects of this ubiquitous redox-modulating enzyme are briefly
discussed.
PMID- 29800655
TI - The tumor suppressor protein p53 and the ferroptosis network.
AB - Ferroptosis is a form of lipid peroxidation-induced cell death that can be
regulated in many ways, from altering the activity of antioxidant enzymes to the
level of transcription factors. The p53 tumor suppressor is 'the guardian of the
genome' that participates in the control of cell survival and division under
various stresses. Beyond its effects on apoptosis, autophagy, and cell cycle, p53
also regulates ferroptosis either through a transcriptional or posttranslational
mechanism. On one hand, p53 can enhance ferroptosis by inhibiting the expression
of SLC7A11 (solute carrier family 7 member 11) or by enhancing that of SAT1
(spermidine/spermine N1-acetyltransferase 1) and GLS2 (glutaminase 2). On the
other hand, p53 suppresses ferroptosis through the direct inhibition of DPP4
(dipeptidyl peptidase 4) activity or by the induction of CDKN1A/p21 (cyclin
dependent kinase inhibitor 1 A) expression. Here, we review recent discoveries
and emerging trends in the study of the ferroptosis network and highlight the
context-dependent impact of p53 on ferroptosis and oxidative stress.
PMID- 29800657
TI - Recent advances in flavonoid-grafted polysaccharides: Synthesis, structural
characterization, bioactivities and potential applications.
AB - Plant derived flavonoids have been demonstrated to possess many valuable
biological functions. In recent years, flavonoids have been successfully
conjugated with polysaccharides through different graft copolymerization methods
including chemical coupling, enzyme catalysis, free radical mediated grafting,
and acid catalyzed condensation reactions. The successful grafting of flavonoids
onto polysaccharides can be confirmed by several instrumental methods. The
conjugation of flavonoids can significantly improve the antioxidant,
antimicrobial, antitumor, hepatoprotective and enzyme inhibition properties of
polysaccharides. Moreover, the applications of polysaccharides in food and
pharmaceutical industries can be greatly broadened by grafting with flavonoids.
Flavonoid-grafted polysaccharides can be developed as films for active packaging,
hydrogels for controlled drug release, micelles for oral drug delivery, and
emulsions for nutraceutical delivery. In general, the bioactivities and
applications of conjugates are closely related to the type of flavonoid grafted,
the grafting method used as well as the grafting efficiency. Recent advances in
the synthesis, structural characterization, bioactivities and potential
applications of flavonoid-grafted polysaccharides are summarized in this review.
PMID- 29800658
TI - Modifing Aspergillus Oryzae S2 amylase substrate specificity and thermostability
through its tetramerisation using biochemical and in silico studies and
stabilization.
AB - We previously reported that Aspergillus oryzae S2 had produced an amylase called
AmyC formed by a tetramer of AmyB subunits under solid state fermentation. In
this work, we demonstrated that the half-life time of AmyC at 75 degrees C and
80 degrees C were remarkably enhanced to reach 53 min and 41 min compared to 6
min and 4 min for AmyB. The Km values of AmyC for maltoheptaose, maltopentaose,
and maltotetraose were 2-fold lower than AmyB. AmyC showed a 6.5 fold higher exo
type activity and hydrolyzed the short oligosaccharides more efficiently than
AmyB. The AmyC-3D model was generated and showed that a region named T1 was
involved in the oligomerization process. The subunits and the RING network
interactions insight suggested that AmyC sub-units were bounded by 20 hydrogen
bonds, 4 electrostatic interactions, 16 nodes and 836 edges leading to a higher
thermal stability. The disordered (beta3-beta4) and (beta7-beta8) loops contained
in the AmyC active cleft were presumed to be the recognition sites of the non
reducing end substrate. The docking studies strongly suggested that AmyC easily
accommodated the short substrates as it was exhibited in vitro and seemed to look
like maltogenic amylases. The Box-Behnken Response Surface Methodology was
applied for Amy C immobilization for efficient use. An optimum condition of an
aluminum oxide content of 0.25 g, a carrageenan content of 0.1 g, and a
glutaraldehyde content of 0.5%/g of carrier resulted in 76.2% of covalent
immobilization yield. The immobilized AmyC kept its total activity for three
cycles, shifted the optimum temperature from 60 degrees C to 65 degrees C, and
had two-fold half-life at 85 degrees C compared to the free enzyme.
PMID- 29800659
TI - Interaction of Yarrowia lipolytica lipase with dithiocarbamate modified magnetic
carbon Fe3O4@C-NHCS2H core-shell nanoparticles.
AB - Fe3O4@C core-shell nanoparticles were modified by (3-aminopropyl)triethoxysilane
(APTES) to generate amine functionality in the surface. Then, the amine
functional groups were converted to dithiocarbamate via post-modification with
carbon disulfide. This nanostructure with new functional property was used to
immobilize lipase (obtained from Yarrowia lipolytica U6). Biocatalytic activity
of the Fe3O4@C-NHCS-LIP was studied in this project. The interaction of lipase
and support though dithiocarbamate binder was examined in the hydrolysis of p
nitrophenyl laurate. In this paper, support showed a unique feature in the
immobilization of lipase by maintaining the lipase activity, raising the
stability of lipase, and reusability.
PMID- 29800661
TI - Kinetics, structure, and dynamics of Renilla luciferase solvated in binary
mixtures of glycerol and water and the mechanism by which glycerol obstructs the
enzyme emitter site.
AB - Renilla Luciferase is a bioluminescent enzyme which is broadly implemented as
protein reporter in biology-related researches. In this study, new evidences on
the kinetics, structure, and dynamics of Renilla luciferase solvated in binary
mixtures of glycerol and water using MD simulation along with experimental
procedures including fluorescence and CD spectroscopy were obtained. The results
indicated that the Renilla luciferase activity decreased at 0.8 and 1.2 M of
glycerol through the obstruction of enzyme emitter site. The present study may
describe a new molecular mechanism of decreasing enzyme activity in the presents
of glycerol.
PMID- 29800660
TI - Lactose-crosslinked fish gelatin-based porous scaffolds embedded with
tetrahydrocurcumin for cartilage regeneration.
AB - Tetrahydrocurcumin (THC) is one of the major colourless metabolites of curcumin
and shows even greater pharmacological and physiological benefits. The aim of
this work was the manufacturing of porous scaffolds as a carrier of THC under
physiological conditions. Fish-derived gelatin scaffolds were prepared by freeze
drying by two solutions concentrations (2.5% and 4% w/v), cross-linked via
addition of lactose and heat-treated at 105 degrees C. This cross-linking
reaction resulted in more water resistant scaffolds with a water uptake capacity
higher than 800%. Along with the cross-linking reaction, the gelatin
concentration affected the scaffold morphology, as observed by scanning electron
microscopy images, by obtaining a reduced porosity but larger pores sizes when
the initial gelatin concentration was increased. These morphological changes led
to a scaffold's strength enhancement from 0.92 +/- 0.22 MPa to 2.04 +/- 0.18 MPa
when gelatin concentration was increased. THC release slowed down when gelatin
concentration increased from 2.5 to 4% w/v, showing a controlled profile within
96 h. Preliminary in vitro test with chondrocytes on scaffolds with 4% w/v
gelatin offered higher metabolic activities and cell survival up to 14 days of
incubation. Finally the addition of THC did not influence significantly the
cytocompatibility and potential antibacterial properties were demonstrated
successfully against Staphylococcus aureus.
PMID- 29800662
TI - Study on antitumor molecular mechanism of Alisols based on p53DNA.
AB - Methyl thiazolyl tetrazolium (MTT) assay, UV-vis absorption spectroscopy,
fluorescence spectroscopy and molecular simulation were used to investigate the
antitumor activity of alisol A, alisol B and an 1:1 mixture of both compounds,
the mechanism of its interaction with anti-cancer target p53DNA and explored the
antitumor mechanism of alisols. MTT assay showed that the order of antitumor
activity was:alisol B > alisol A > alisol A-alisol B(1:1). Spectroscopic
experiments and molecular simulation suggested that alisol A, alisol B and their
mixture interact with p53DNA in by partial insertion and the strength of binding
affinity was consistent with the MTT assay. The Ksv of alisol A was 9.35 * 104
L.mol-1, Kq was 9.35 * 1012 L.mol-1.s-1 and the Ksv and Kq of alisol B were 11.61
* 104 L.mol-1 and 11.61 * 1012 L.mol-1.s-1. The molecular simulation revealed
that competitive antagonism was observed in the interaction between the alisol
mixture and p53DNA. The critical groups and significant binding sites for the
interaction between alisol monomers and p53DNA include C19-OH and C22-OH of the
alisols; N2 and H21 of the guanine deoxynucleotide (DG8), N2-H21 of the DG7, O4'
of the DG9 in the f-chain of p53DNA; and C2-O2 of the cytosine deoxynucleotide
(DC16) in the e-chain of p53DNA. Also, the C-22 and C23- of the alisols and the
DA18-DT5 base pairs of p53DNA were key factors in the interaction of the mixture
with p53DNA.
PMID- 29800663
TI - Anti-oxidant enriched hybrid nanofibers: Effect on mechanical stability and
biocompatibility.
AB - Despite being a favorable candidate in wound dressing, collagen based
biomaterials possess inferior mechanical properties which limit their usage.
Collagen based hybrid nanofibers with other polymers can enhance their mechanical
strength as well as their biological properties. Herein, we report collagen-silk
fibroin hybrid nanofibers incorporated with fenugreek, an antioxidant, as a
bioactive wound dressing material. The nanofiber mats were characterized using
various experimental techniques. From the results, it was found that an increase
in silk fibroin content in nanofibers improves the fiber diameter and tensile
strength. The nanofibers also showed good antioxidant properties estimated using
1,1-diphenyl-2-picrylhydrazyl (DPPH) scavenging assay. Presence of collagen in
the nanofibers enhanced the biocompatibility of the nanofibers. Fenugreek
released from the matrix enhanced the migration of fibroblasts in vitro. In vivo
studies showed that collagen-silk fibroin-fenugreek nanofibers enhanced the wound
closure via minimal inflammation and early epithelialization than the untreated
and silk fibroin-fenugreek nanofibers treated wounds. Our study suggests that the
fenugreek incorporated collagen-silk fibroin nanofibers is a potential candidate
for wound dressings in clinical applications.
PMID- 29800664
TI - Combination of aztreonam and cefotaxime against CTX-M-15 type beta-lactamases: A
mechanism based effective therapeutic approach.
AB - CTX-M-15 type beta-lactamases are a class of enzymes which hydrolyzes cefotaxime
and aztreonam (a monobactam) antibiotics. The emergence of CTX-M-15 producing
Enterobacteriaceae member is a major threat to public health. The objective of
the study was to check the potency of aztreonam and cefotaxime in combination
against beta-lactamase producing strains and to monitor the mechanism behind
their interaction. FICI results showed the synergistic effect of aztreonam
cefotaxime against CTX-M-15 producing strain. The expressed and purified CTX-M-15
protein was used as the source of enzyme. Kinetic studies confirmed that the
catalytic efficiency of the CTX-M-15 enzyme was decreased to about 78% when it
was treated with aztreonam then with cefotaxime in 5 and 10 times molar ratio,
respectively, in comparison to the studies where efficiency was enhanced by 33%
when cefotaxime was taken alone. Fluorescence study showed that aztreonam binding
with CTX-M-15 was an endothermic and spontaneous process with Ka of the order of
104 M-1. CD spectroscopic study showed conformational changes upon
aztreonam/aztreonam-cefotaxime binding with CTX-M-15. The study concludes that
aztreonam in combination with cefotaxime synergistically inhibits CTX-M-15
efficiency significantly. Hence the combination of a monobactam and cephalosporin
can be used as the potential therapeutic candidates against beta-lactamase
producing CTX-M-15 strains.
PMID- 29800665
TI - Nano-magnetic cross-linked enzyme aggregates of naringinase an efficient
nanobiocatalyst for naringin hydrolysis.
AB - In this research, the preparation and characterization of a novel biocatalyst
comprising nano-magnetic cross-linked enzyme aggregates of naringinase (NM-NGase
CLEAs), which was covalently bounded to lysine-assisted magnetic nanoparticles,
were studied. The Schiff base formed between E-amino groups of the lysine
residues and aldehyde groups of glutaraldehyde was reduced by ascorbic acid.
Among the six different precipitants, tert-butanol performed the best for
naringin hydrolysis. The optimal conditions for the immobilization process
required 10 mM glutaraldehyde, 1:10 ratio of lysine/enzyme, and 3 h crosslinking
at 3-4 degrees C. The morphology of the NM-NGase-CLEAs implied a non-uniform,
semi-pyramid and semi-cubic rods. The dynamic light scattering (DLS) results
showed that the nanomagnetite particle size was around 81.9-96.5 nm, with a
polydispersity index (PDI) of 0.238. After NM-NGase-CLEAS formation, the particle
size was reduced to around 13.2-15.3 nm, with PDI of 0.177, respectively.
Moreover, the Z-potential of -28 mV also confirms the improvement of CLEAs
stability. The NM-NGase-CLEAs kept 73% of its original activity after 10 cycles,
which proposes strong operational stability. In conclusion, the NM-NGase-CLEAs
are thermo-stable, reusable, and efficient nanobiocatalyst for debittering of
citrus juices.
PMID- 29800666
TI - A novel Vip3Aa16-Cry1Ac chimera toxin: Enhancement of toxicity against Ephestia
kuehniella, structural study and molecular docking.
AB - Bacillus thuringiensis Vip3A protein has been widely used for crop protection and
for delay resistance to existing insecticidal Cry toxins. During current study, a
fusion between vip3Aa16 and the toxic core sequence of cry1Ac was constructed in
pHT Blue plasmid. Vip3Aa16-Cry1Ac protein was expressed in the supernatant of B.
thuringiensis with a size of about 150 kDa. Bioassays tested on Ephestia
kuehniella showed that the use of the chimera toxin as biopesticide improved the
toxicity to reach 90% +/- 2 with an enhancement of 20% compared to the single
Vip3Aa16 protein. The findings indicated that the fusion protein design opens new
ways to enhance Vip3A toxicity against lepidopteran species and could avoiding
insect tolerance of B. thuringiensis delta-endotoxins. Through computational
study, we have predicted for the first time the whole 3D structure of a Vip3A
toxin. We showed that Vip3Aa16 structure is composed by three domains like Cry
toxins: an N-terminal domain containing hemolysin like fold as well as two others
Carbohydrate Binding Module (CBM)-like domains. Molecular docking analysis of the
chimera toxin and the single Vip3Aa16 protein against specific insect receptors
revealed that residues of CBM like domains are clearly involved in the binding of
the toxin to receptors.
PMID- 29800667
TI - Increase in anti-inflammatory activities of radical-degraded porphyrans isolated
from discolored nori (Pyropia yezoensis).
AB - The anti-inflammatory properties of porphyrans (D1-D4) obtained from four
discolored nori (Pyropia yezoensis) with different growth backgrounds were
studied to examine possible variations in their bioactivities. Elution profiles
of the porphyrans on Sepharose 4B indicated that D2-porphyran had relatively
lower-molecular-size porphyrans than the other porphyrans. Inhibitory activities
of the four porphyrans against nitric oxide (NO) and tumor necrosis factor-alpha
(TNF-alpha) secretion by lipopolysaccharide (LPS)-stimulated RAW264.7 cells were
different, whereas no significant differences were observed in the sulfate and
anhydrogalactose levels. D2-porphyran showed the highest inhibitory activity
against NO and TNF-alpha secretion by LPS-stimulated RAW264.7 cells, whereas D3-
and D4-porphyrans had almost no activity. All porphyrans were efficiently
degraded by free radical generated with ascorbate and hydrogen peroxide. The free
radical degradation resulted in a significant increase in the inhibitory
activities of the four porphyrans against NO and TNF-alpha secretion, with
varying rates depending on the porphyrans. The ability of D2-porphyran to
suppress the receptor activator of nuclear factor kappaB ligand (RANKL)-induced
osteoclastogenesis in RAW264.7 cells was also significantly enhanced after
degradation. Our results suggest that molecular size is an important factor
affecting the anti-inflammatory activity of porphyrans, and radical degradation
might be a promising procedure to obtain active low-molecular-size porphyrans.
PMID- 29800668
TI - Biochemical characterization of a thermostable endonuclease V from the
hyperthermophilic euryarchaeon Thermococcus barophilus Ch5.
AB - Endonuclease V (Endo V) is an important enzyme for repairing deoxyinosine in DNA.
While bacterial and eukaryotic endo Vs have been well studied, knowledge of
archaeal endo Vs is limited. Here, we first presented biochemical
characterization of a thermostable endonuclease V from the hyperthermophilic
euryarchaeon Thermococcus barophilus Ch5 (Tba endo V). The recombinant enzyme
possessed optimal endonuclease activity for cleaving deoxyinosine-containing DNA
at 70-90 degrees C. Furthermore, Tba endo V can withstand 100 degrees C for 120
min without significant loss of its activity, suggesting the enzyme is
thermostable. Tba endo V exhibited varying cleavage efficiencies at various pH
levels from 6.0 to 11.0, among which an optimal pH for the enzyme was 8.0-9.0. In
addition, a divalent metal ion was required for the enzyme to cleave DNA. Mn2+
and Mg2+ were optimal ions for the enzyme's activity whereas Ca2+, Zn2+ and Co2+
inhibited the enzyme activity. Moreover, the enzyme activity was suppressed by
high NaCl concentration. Tba endo V bound to all DNA substrates; however, the
enzyme exhibited a higher affinity for binding to deoxyinosine-containing DNA
than normal DNA. Our work provides valuable information for revealing the role of
Tba endo V in the base excision repair pathway for deoxyinosine repair in
Thermococcus.
PMID- 29800669
TI - Isolation and functional characterization of the pheromone biosynthesis
activating neuropeptide receptor of Chinese oak silkworm, Antheraea pernyi.
AB - Insect pheromone biosynthesis activating neuropeptide (PBAN) controls the
synthesis and actuating of sex pheromones of female adult. In the current
examination, the full-length cDNA encoding the PBAN receptor was cloned from the
pheromone gland (PG) of Antheraea pernyi (AntpePBANR). The AntpePBANR displayed
the characteristic seven transmembrane areas of the G protein-coupled receptor
(GPCR) and was closely related to the PBANR from Bombyx mori and Manduca sexta in
the phylogenetic tree. The AntpePBANR expressed in mammalian cell lines were
enacted by AntpePBAN in a concentration-dependent manner. AntpePBANR activation
resulted in the calcium mobilization but did not activate the cAMP elevation
pathway. Cells expressing AntpePBANR were profoundly responsive to Antpe-gamma
SGNP (suboesophageal ganglion neuropeptides) and Antpe-DH (diapause hormone),
different individuals from FXPRLamide (X = T, S or V) family in A. pernyi.
Deletion of residues in the C-terminal hexapeptide (FSPRLamide) proved that P, R
and L played the key parts in initiating the AntpePBANR, the amination to the
last C terminal residues which can also likewise impact the activation of
AntpePBAN receptor altogether. The mRNA of the AntpePBANR gene demonstrated the
most noteworthy transcript levels in pheromone gland followed by fat body.
PMID- 29800671
TI - Laponite crosslinked starch/polyvinyl alcohol hydrogels by freezing/thawing
process and studying their cadmium ion absorption.
AB - In this study, Laponite RD (LRD) cross-linked hydrogels consisting of starch,
polyvinyl alcohol (PVA) were prepared by freezing/thawing process and the
influence of LRD content on structure and properties of hydrogels was
investigated. FTIR showed a new structure of hydrogen bonding might result from
cross-linking reactions between LRD and polymers. X-ray diffraction (XRD)
analysis showed that high degree of exfoliation of LRD clay layers had occurred
during the preparation of hydrogels. The synergistic effect of physical cross
linking by freeze/thaw cycles and by LRD led to more porous, uniform and stable
network, which was shown in SEM images. The melting temperature decreased and
thermal stability got improved with the increase of LRD content. Reswelling
ratios of hydrogels had the highest value when LRD content was 10%. Additionally,
cadmium ion absorption capacity of the hydrogel was studied and the results
showed that increasing the concentration of LRD increased absorption ratio and
amount of Cd2+ ion in the solution. In a word, LRD could be used as a physical
crosslinker and reinforced agent for starch-PVA based hydrogels and the formed
hydrogels could be used as novel type and high capacity absorbent materials in
heavy metal removing processes.
PMID- 29800670
TI - GH43 endo-arabinanase from Bacillus licheniformis: Structure, activity and
unexpected synergistic effect on cellulose enzymatic hydrolysis.
AB - The hydrolysis of the plant biomass provides many interesting opportunities for
the generation of building blocks for the green chemistry industrial
applications. An important progress has been made for the hydrolysis of the
cellulosic component of the biomass while, for the hemicellulosic components, the
advances are less straightforward. Here, we describe the cloning, expression and
biochemical and structural characterization of BlAbn1, a GH43 arabinanase from
Bacillus licheniformis. This enzyme is selective for linear arabinan and
efficiently hydrolyzes this substrate, with a specific activity of 127 U/mg. The
enzyme has optimal conditions for activity at pH 8.0 and 45 degrees C and its
activity is only partially dependent of a bound calcium ion since 70% of the
maximal activity is preserved even when 1 mM EDTA is added to the reaction
medium. BlAbn1 crystal structure revealed a typical GH43 fold and narrow active
site, which explains the selectivity for linear substrates. Unexpectedly, the
enzyme showed a synergic effect with the commercial cocktail Accellerase 1500 on
cellulose hydrolysis. Scanning Electron Microscopy, Solid-State NMR and
relaxometry data indicate that the enzyme weakens the interaction between
cellulose fibers in filter paper, thus providing an increased access to the
cellulases of the cocktail.
PMID- 29800672
TI - Skin protectant textiles loaded with fish collagen, chitosan and oak galls
extract composite.
AB - Skin protection and control of its microbial pathogens are highly important
demands; natural biological agents are the ideals for that. Collagen (Cg) was
extracted and characterized from skin and scales of Nile tilapia fish
(Oreochromis niloticus), chitosan (Cts) was extracted from shrimp shells and
extract of oak (Quercus infectoria) galls (OGE) was prepared. The antimicrobial
potentialities of extracted agents, Cts and OGE, were qualitatively proved
against skin pathogens, Staphylococcus aureus and Candida albicans, including
both antibiotic sensitive and resistant strains, neither Cg nor negative control
exhibited antimicrobial actions toward examined strain. The entire agents were
loaded onto cotton fabrics and evaluated for antimicrobial actions and
durability. Loaded textiles with the combined extracts' composite were the most
effectual followed by individual treatments with OGE and Cts, respectively.
Treated textiles upheld most of their antimicrobial activity after 2 laundering
cycles toward all microbial pathogens. This invention could be consequently
applied for production of skin protectant and hygienic fabrics.
PMID- 29800673
TI - Long-term follow-up of de novo chronic phase chronic myelogenous leukemia
patients on front-line imatinib.
AB - For the last 15years, imatinib mesylate (IM) has represented the gold standard
treatment for chronic-phase chronic myelogenous leukemia (CP-CML); however,
outcomes in the very long term remain unknown. We retrospectively analyzed the
outcome of 418 IM first-line treated CP-CML patients followed in three reference
centers over 15years in and outside of clinical trials, which is believed to
represent the "real-life" care of such patients. Molecular analyses were
standardized over the years. In case of intolerance or resistance or IM cessation
and progression, all clinical data were collected and analyzed. After a median
follow-up of 83 months (range 1-194), the overall survival (OS) rates were 91%
and 82%, the progression-free survival (PFS) rates were 88.5% and 81%, and the
event-free survival rates, including switching to another tyrosine kinase
inhibitor, were 65% and 51%, respectively, at 5 and 10years. Thirteen patients
(3%) entered blast crisis (BC) with a median survival of 2.2years after BC onset.
Forty-nine percent of patients were in major molecular response at 1 year.
Univariate analysis failed to detect any impact on survival of molecular response
at 3 and 6 months. Sokal score had a significant impact on OS and PFS in a Cox
model. Age had a significant impact on OS and PFS, mainly due to deaths in
elderly patients unrelated to CML. Overall, 21% of patients reached a stable (>=1
year) molecular response 4 (MR4) and 6.5% reached MR4.5. At last follow-up, 63%
of patients were still on IM and 19% were in treatment-free remission. We
conclude that IM is an excellent therapeutic option providing impressive long
term OS rates.
PMID- 29800675
TI - Intra-accumbal administration of AMN082, a metabotropic glutamate receptor type 7
allosteric agonist, inhibits the acquisition but not the expression of morphine
induced conditioned place preference in rats.
AB - The nucleus accumbens (NAc) plays a primary role in opioid reward. The actions of
glutamate are mediated by the activation of ionotropic and metabotropic glutamate
receptors (mGluRs). Previous documents have shown the extensive distributions of
the different types of mGluRs, including mGluR7, in regions that are involved in
opioid reward, such as the NAc. In this study, seventy male Wistar rats were used
to investigate the role of mGluR7 receptors in the NAc on the acquisition and
expression of morphine-induced conditioned place preference (CPP). In Experiment
1, to determine the effect of AMN082, a selective mGluR7 allosteric agonist, on
the acquisition of morphine-induced conditioned place preference (CPP), the rats
bilaterally received AMN082 (1, 3 and 5 MUg/0.5 MUL DMSO) during three-day
conditioning by morphine (5 mg/kg). In Experiment 2, the rats bilaterally
received AMN082 (5 MUg/0.5 MUL DMSO) 5 min prior to the post-conditioning test to
investigate the effect of AMN082 on the expression of morphine-induced CPP. The
results showed that the intra-accumbal injection of AMN082 prevents the
acquisition of morphine-induced CPP in a dose-dependent manner. However, intra
accumbal injection of AMN082 had no effect on the expression of morphine-induced
CPP. The findings propose that the mGluR7 in the NAc inhibits the acquisition of
morphine-induced CPP that could be mediated by inhibition of NMDA receptors in
the NAc.
PMID- 29800676
TI - Chaperone-mediated autophagy: Advances from bench to bedside.
AB - Protein homeostasis or proteostasis is critical for proper cellular function and
survival. It relies on the balance between protein synthesis and degradation.
Lysosomes play an important role in degrading and recycling intracellular
components via autophagy. Among the three types of lysosome-based autophagy
pathways, chaperone-mediated autophagy (CMA) selectively degrades cellular
proteins with KFERQ-like motif by unique machinery. During the past several
years, significant advances have been made in our understanding of how CMA itself
is modulated and what physiological and pathological processes it may be involved
in. One particularly exciting discovery is how other cellular stress organelles
such as ER signal to CMA. As more proteins are identified as CMA substrates, CMA
function has been associated with an increasing number of important cellular
processes, organelles, and diseases, including neurodegenerative diseases. Here
we will summarize the recent advances in CMA biology, highlight ER stress-induced
CMA, and discuss the role of CMA in diseases.
PMID- 29800674
TI - Colonization and infection due to carbapenemase-producing Enterobacteriaceae in
liver and lung transplant recipients and donor-derived transmission: a
prospective cohort study conducted in Italy.
AB - OBJECTIVES: A prospective cohort study was conducted in Italy in order to
describe the microbiologic aspects of colonization/infection by carbapenemase
producing Enterobacteriaceae (CPE) in donors and recipients of lung and liver
transplants and the possible CPE transmission from donors to recipients. METHODS:
Between 15 January 2014 and 14 January 2015, all recipients of solid organ
transplants (SOT) at ten lung and eight liver transplantation centres and the
corresponding donors were enrolled. Screening cultures to detect CPE were
performed in donors, and screening and clinical cultures in recipients with a 28
day microbiologic follow-up after receipt of SOT. Detection of carbapenemase
genes by PCR, genotyping by multilocus sequence typing, and pulsed-field gel
electrophoresis and whole-genome sequencing were performed. RESULTS: Of 588
screened donors, 3.4% were colonized with CPE. Of the liver first transplant
recipients (n = 521), 2.5% were colonized before receipt of SOT and 5% acquired
CPE during follow-up. CPE colonization was higher in lung first transplant
recipients (n = 111, 2.7% before SOT and 14.4% after SOT). CPE infections
occurred in 1.9% and 5.3% of liver or lung recipients, respectively. CPE isolates
were mostly Klebsiella pneumoniae carbapenemase (KPC)-producing K. pneumoniae
belonging to CG258. Three events of donor-recipient CPE transmission, confirmed
by whole-genome sequencing and/or pulsed-field gel electrophoresis, occurred in
lung recipients: two involving K. pneumoniae sequence type 512 and one Verona
integron-encoded metallo-beta-lactamase (VIM)-producing Enterobacter aerogenes.
CONCLUSIONS: This study showed a low risk of donor-recipient CPE transmission,
indicating that donor CPE colonization does not necessarily represent a
contraindication for donation unless colonization regards the organ to be
transplanted. Donor and recipient screening remains essential to prevent CPE
transmission and cross-infection in transplantation centres.
PMID- 29800677
TI - Manduca sexta serpin-12 controls the prophenoloxidase activation system in larval
hemolymph.
AB - Insect prophenoloxidase activation is coordinated by a serine protease network,
which is regulated by serine protease inhibitors of the serpin superfamily. The
enzyme system also leads to proteolytic processing of a Spatzle precursor.
Binding of Spatzle to a Toll receptor turns on a signaling pathway to induce the
synthesis of defense proteins. Previous studies of the tobacco hornworm Manduca
sexta have revealed key members of the protease cascade, which generates
phenoloxidase for melanogenesis and Spatzle to induce immunity-related genes.
Here we provide evidence that M. sexta serpin-12 regulates hemolymph protease-14
(HP14), an initiating protease of the cascade. This inhibitor, unlike the other
serpins characterized in M. sexta, has an amino-terminal extension rich in
hydrophilic residues and an unusual P1 residue (Leu429) right before the scissile
bond cleaved by a target protease. Serpins with similarities to serpin-12,
including Drosophila Necrotic, were identified in a wide range of insects
including flies, moths, wasps, beetles, and two hemimetabolous species. The
serpin-12 mRNA is present at low, constitutive levels in larval fat body and
hemocytes and becomes more abundant after an immune challenge. We produced the
serpin-12 core domain (serpin-12DeltaN) in insect cells and in Escherichia coli
and demonstrated its inhibition of human cathepsin G, bovine alpha-chymotrypsin,
and porcine pancreatic elastase. MALDI-TOF analysis of the reaction mixtures
confirmed the predicted P1 residue of Leu429. Supplementation of larval plasma
samples with the serpin-12DeltaN decreased prophenoloxidase activation elicited
by microbial cells and reduced the proteolytic activation of the protease
precursors of HP6, HP8, PAPs, and other serine protease-related proteins. After
incubation of plasma stimulated with peptidoglycan, a 72 kDa protein appeared,
which was recognized by polyclonal antibodies against both serpin-12 and HP14,
suggesting that a covalent serpin-protease complex formed when serpin-12
inhibited HP14. Together, these data suggest that M. sexta serpin-12 inhibits
HP14 to regulate melanization and antimicrobial peptide induction.
PMID- 29800678
TI - Genome-wide analysis of ionotropic receptor gene repertoire in Lepidoptera with
an emphasis on its functions of Helicoverpa armigera.
AB - The functions of the Ionotropic Receptor (IR) family have been well studied in
Drosophila melanogaster, but only limited information is available in
Lepidoptera. Here, we conducted a large-scale genome-wide analysis of the IR gene
repertoire in 13 moths and 16 butterflies. Combining a homology-based approach
and manual efforts, totally 996 IR candidates are identified including 31
pseudogenes and 825 full-length sequences, representing the most current
comprehensive annotation in lepidopteran species. The phylogeny, expression and
sequence characteristics classify Lepidoptera IRs into three sub-families:
antennal IRs (A-IRs), divergent IRs (D-IRs) and Lepidoptera-specific IRs (LS
IRs), which is distinct from the case of Drosophila IRs. In comparison to LS-IRs
and D-IRs, A-IRs members share a higher degree of protein identity and are
distinguished into 16 orthologous groups in the phylogeny, showing conservation
of gene structure. Analysis of selective forces on 27 orthologous groups reveals
that these lepidopteran IRs have evolved under strong purifying selection
(dN/dS?1). Most notably, lineage-specific gene duplications that contribute
primarily to gene number variations across Lepidoptera not only exist in D-IRs,
but are present in the two other sub-families including members of IR41a, 76b,
87a, 100a and 100b. Expression profiling analysis reveals that over 80% (21/26)
of Helicoverpa armigera A-IRs are expressed more highly in antennae of adults or
larvae than other tissues, consistent with its proposed function in olfaction.
However, some are also detected in taste organs like proboscises and legs. These
results suggest that some A-IRs in H. armigera likely bear a dual function with
their involvement in olfaction and gustation. Results from mating experiments
show that two HarmIRs (IR1.2 and IR75d) expression is significantly up-regulated
in antennae of mated female moths. However, no expression difference is observed
between unmated female and male adults, suggesting an association with female
host-searching behaviors. Our current study has greatly extended the IR gene
repertoire resource in Lepidoptera, and more importantly, identifies potential IR
candidates for olfactory, gustatory and oviposition behaviors in the cotton
bollworm.
PMID- 29800679
TI - Evaluating the use of diversity indices to distinguish between microbial
communities with different traits.
AB - Several measures of biodiversity are commonly used to describe microbial
communities, analyzed using 16S gene sequencing. A wide range of available
experiments on 16S gene sequencing allows us to present a framework for a
comparison of various diversity indices. The criterion for the comparison is the
statistical significance of the difference in index values for microbial
communities with different traits, within the same experiment. The results of the
evaluation indicate that Shannon diversity is the most effective measure among
the commonly used diversity indices. The results also indicate that, within the
present framework, the Gini coefficient as a diversity index is comparable to
Shannon diversity, despite the fact that the Gini coefficient, as a diversity
estimator, is far less popular in microbiology than several other measures.
PMID- 29800680
TI - New cblA gene participates in regulation of cobalt-dependent transcription of
nitrile hydratase genes in Rhodococcus rhodochrous.
AB - Rhodococcus strains are important biocatalysts used for biotechnological
production of acrylamide catalysed by a nitrile hydratase (NHase) containing
cobalt. This metalloenzyme is present at high intracellular concentrations
representing up to 50% of the soluble proteins in Rhodococcus rhodochrous M8
strain. Cobalt ions were formerly reported to be essential for the synthesis of
the NHase subunits, encoded by nhmBA structural genes in R. rhodochrous M8. To
understand the regulatory mechanisms enabling high expression of the NHase
structural genes by cobalt, two reporter genes coding for an acylamidase from
Rhodococcus erythropolis TA37 and a nitrilase from Alcaligenes denitrificans C-32
were fused to the nhmBA promoter. It was shown that cobalt-dependent regulation
of transcription occurs independently of another regulatory genes, nhmCD,
involved in substrate-dependent regulation of transcription. Cobalt ions led to
an increase (up to five-fold) in transcription of reporter genes correlated with
synthesis of corresponding enzymes in R. rhodochrous recombinant strains. This
led to identification of a new transcriptional regulator from the ArsR family,
named CblA. Using a cblA mutant strain, it was established that CblA acted as a
repressor by preventing transcription of the NHase operon promoter in the absence
of cobalt ions.
PMID- 29800681
TI - Prevalence and dynamics of Lactobacillus sp. in the lower respiratory tract of
patients with cystic fibrosis.
AB - No prevalence or dynamics analysis of Lactobacilli in the lung of cystic fibrosis
(CF) patients has yet been conducted. In order to use them as probiotics in the
treatment of Pseudomonas aeruginosa infection, we describe their lung
epidemiology. Over a period of 8 months, we analyzed 279 sputum samples from 124
CF patients classified according to their P. aeruginosa Leeds status of
colonization. A total of 137 strains belonging to 11 species were isolated. The
prevalence of carriage was 61%. No difference in species diversity or frequency
was observed according to Leeds criteria. The next step will be to focus on the
strain level.
PMID- 29800682
TI - Pancreatic cancer-derived exosomes suppress the production of GIP and GLP-1 from
STC-1 cells in vitro by down-regulating the PCSK1/3.
AB - One hallmark of pancreatic cancer (PC) is the high prevalence of pancreatic
cancer-associated diabetes mellitus (PC-DM), but the mechanisms remain to be
elucidated. Patients with PC who are diagnosed with new-onset
diabetes/prediabetes have recently been shown to display significantly lower
levels of glucose-dependent insulinotropic peptide (GIP) secreted mainly by
enteroendocrine cells. We hypothesized that PC-derived exosomes are responsible
for the decreased levels of incretins in patients with PC-DM. In this study,
exosomes were successfully isolated from PANC-1, MIA PaCa-2 and SW620 cells and
characterized. Only the exosomes from MIA PaCa-2 cells (Exo-Mia) reduce the
production of GIP and glucagon-like peptide-1 (GLP-1) from STC-1 cells in vitro
in a concentration- and time-dependent manner. Moreover, Exo-Mia increased the
levels of the Gip and proglucagon mRNAs and decreased the expression of
proprotein convertase subtilisin/kexin type 1/3 (PCSK1/3), which is responsible
for the post-translational processing of Gip and proglucagon. Furthermore,
differentially expressed exosomal miRNAs (miR-6796-3p, miR-6763-5p, miR-4750-3p
and miR-197-3p) were identified and considered to be responsible for the
inhibitory effects on GIP and GLP-1 production. To further determine the approach
of cancer-derived exosomes reaching enteroendocrine cells, we analyzed the uptake
and distribution of exosomes in animal model. It was observed that exosomes
infused into the intestinal cavity were more easily internalized by the
intestinal epithelium than exosomes injected into blood. In conclusion,
pancreatic cancer-derived exosomes (Exo-Mia) suppress the synthesis of GIP and
GLP-1 from STC-1 cells in vitro by down-regulating the PCSK1/3. Moreover, it may
be the pancreatic juice that transport cancer-derived exosomes to target cells (K
and L cells) in the gut.
PMID- 29800683
TI - MicroRNAs as modulators of T cell functions in cancer.
AB - MicroRNAs (miRNAs) are short RNA molecules that regulate gene expression post
transcriptionally. They have emerged as important modulators of T lymphocyte
biology, influencing cell activation, differentiation and proliferation in
response to environmental signals. Here, we will discuss how miRNAs expressed by
T cells can influence two key aspects of tumorigenesis, namely the direct, cell
intrinsic oncogenic transformation of T lymphocytes, as well as the indirect
effects on tumor growth mediated by altered immune surveillance. We will
specifically focus on three miRNAs that have been shown to regulate different
aspects of T cell biology in both physiological and pathological conditions,
namely miR-155, miR-146a and miR-181a. We aim at providing examples of the
fundamental importance of miRNA-regulated networks in determining the fate of T
lymphocytes during oncogenic transformation and in the control of tumor growth.
PMID- 29800684
TI - MiRNAs: dynamic regulators of immune cell functions in inflammation and cancer.
AB - MicroRNAs (miRNAs), small noncoding RNA molecules, have emerged as important
regulators of almost all cellular processes. By binding to specific sequence
motifs within the 3'- untranslated region of their target mRNAs, they induce
either mRNA degradation or translational repression. In the human immune system,
potent miRNAs and miRNA-clusters have been discovered, that exert pivotal roles
in the regulation of gene expression. By targeting cellular signaling hubs, these
so-called immuno-miRs have fundamental regulative impact on both innate and
adaptive immune cells in health and disease. Importantly, they also act as
mediators of tumor immune escape. Secreted by cancer cells and consecutively
taken up by immune cells, immuno-miRs are capable to influence immune functions
towards a blunted anti-tumor response, thus shaping a permissive tumor
environment. This review provides an overview of immuno-miRs and their functional
impact on individual immune cell entities. Further, implications of immuno-miRs
in the amelioration of tumor surveillance are discussed.
PMID- 29800685
TI - Regulation of cancer immune escape: The roles of miRNAs in immune checkpoint
proteins.
AB - Immune checkpoint proteins (ICPs) are regulators of immune system. The ICP
dysregulation silences the host immune response to cancer-specific antigens,
contributing to the occurrence and progress of various cancers. MiRNAs are
regulatory molecules and function in mRNA silencing and post-transcriptional
regulation of gene expression. MiRNAs that modulate the immunity via ICPs have
received increasing attention. Many studies have shown that the expressions of
ICPs are directly or indirectly repressed by miRNAs in multiple types of cancers.
MiRNAs are also subject to regulation by ICPs. In this review, recent studies of
the relationship between miRNAs and ICPs (including the PD-1, PD-L1, CTLA-4,
ICOS, B7-1, B7-2, B7-H2, B7-H3, CD27, CD70, CD40, and CD40L) in cancer immune
escape are comprehensively discussed, which provide critical detailed mechanistic
insights into the functions of the miRNA-ICP axes and their effects on immune
escape, and will be beneficial for the potential applications of immune
checkpoint therapy and miRNA-based guidance for personalized medicine as well as
for predicting the prognosis.
PMID- 29800686
TI - Beyond Gross Total and Subtotal: Does Volumetric Resection Matter in
Nonfunctioning Pituitary Macroadenomas?
AB - INTRODUCTION: No study has volumetrically examined resection degree and
recurrence in pituitary macroadenoma (PMA). We analyzed the impact of volumetric
tumor resection on prediction of tumor recurrence and retreatment in a cohort of
patients with nonfunctioning PMA >=2 cm. METHODS: Records were reviewed from 1998
2008 for patients with null cell or nonsecreting PMA >=2 cm. Inclusion criteria
were surgically resected PMA and >=4 years' follow-up or recurrence before 4
years. Seventy-eight patients were found. PMA tissue volume preoperatively and
postoperatively was quantified by a board-certified neuroradiologist. Extent of
resection (EOR) was calculated. The primary end point was tumor recurrence with a
secondary end point of treated tumor recurrence. RESULT: Median age was 58 (20
85). Forty-one (53%) had no tumor recurrence at a median of 113 (48-203) months.
Thirty-seven (47%) patients had tumor recurrence with a median time of 55 (9-176)
months. On univariate analysis, increasing age, decreasing preoperative and
postoperative volumes, and increasing EOR were statistically significant for
decreasing the risk of recurrence or treated recurrence. On multivariate
analysis, only age and EOR remained significant. Receiver operating
characteristic showed EOR <86% was associated with PMA regrowth. Kaplan-Meier
analysis demonstrated a statistically significant difference for recurrence
comparing groups by EOR >=86% or <85%. CONCLUSIONS: We found younger age and
increasing EOR are significant predictors of tumor regrowth and retreatment.
These results indicate EOR assessment may have a role in large PMA. Further study
with volumetric analysis is needed in a larger cohort of patients.
PMID- 29800688
TI - Off-label prescription: experience is a gloomy lantern that does not even
illuminate its bearer. Author response.
PMID- 29800687
TI - GRADE guidelines: 20. Assessing the certainty of evidence in the importance of
outcomes or values and preferences-inconsistency, imprecision, and other domains.
AB - OBJECTIVE: To provide Grading of Recommendations, Assessment, Development, and
Evaluation (GRADE) guidance for assessing inconsistency, imprecision, and other
domains for the certainty of evidence about the relative importance of outcomes.
STUDY DESIGN AND SETTING: We applied the GRADE domains to rate the certainty of
evidence in the importance of outcomes to several systematic reviews, iteratively
reviewed draft guidance, and consulted GRADE members and other stakeholders for
feedback. RESULTS: We describe the rationale for considering the remaining GRADE
domains when rating the certainty in a body of evidence for the relative
importance of outcomes. As meta-analyses are not common in this context,
inconsistency and imprecision assessments are challenging. Furthermore, confusion
exists about inconsistency, imprecision, and true variability in the relative
importance of outcomes. To clarify this issue, we suggest that the true
variability is neither equivalent to inconsistency nor imprecision. Specifically,
inconsistency arises from population, intervention, comparison and outcome and
methodological elements that should be explored and, if possible, explained. The
width of the confidence interval and sample size inform judgments about
imprecision. We also provide suggestions on how to detect publication bias and
discuss the domains to rate up the certainty. CONCLUSION: We provide guidance and
examples for rating inconsistency, imprecision, and other domains for a body of
evidence describing the relative importance of outcomes.
PMID- 29800689
TI - Applying GRADE to a network meta-analysis of antidepressants led to more
conservative conclusions.
AB - OBJECTIVE: To explore the impact of applying the Grading of Recommendations and
Assessment, Development, and Evaluation (GRADE) approach to assess the certainty
of the evidence in a published network meta-analysis (NMA) of antidepressant
therapies. STUDY DESIGN AND SETTINGS: We applied the GRADE approach to rate the
certainty of the evidence for two outcomes, efficacy and acceptability, in each
of the 66 paired comparisons within a previously published NMA assessing the
relative efficacy and acceptability of 12 new-generation antidepressants.
RESULTS: For the outcome of efficacy, of the 25 comparisons in which the 95% CrI
of OR excluded 1, 18 had certainty of evidence rated high or moderate. For the
outcome of acceptability, of the 13 comparisons whose 95% CrI excluded 1, 10 had
certainty of evidence rated high or moderate. Of the 11 comparisons involving
sertraline, the antidepressants that the authors of the NMA suggested to be best,
only 3 demonstrated it to be more effective and only 3 showed better tolerance,
based on a 95% CrI excluding 1 and a high or moderate rating of certainty.
CONCLUSIONS: In this example, application of GRADE highlighted varying evidence
certainty, led to more conservative conclusions, and potentially avoided
unwarranted strong inferences based on low certainty evidence.
PMID- 29800690
TI - Cochrane acute respiratory infections group's stakeholder engagement project
identified systematic review priority areas.
AB - OBJECTIVE: Cochrane acute respiratory infections (ARIs) group conducts systematic
reviews of the evidence for treatment and prevention of ARIs. We report the
results of a prioritization project, aiming to identify highest priority
systematic review topics. STUDY DESIGN/SETTING: The project consisted of two
phases. Phase 1 analyzed the gap between existing randomized controlled trials
and Cochrane systematic reviews (reported previously). Phase 2 (reported here)
consisted of a two-round survey. In round 1, respondents prioritized 68 topics
and suggested up to 10 additional topics; in round 2, respondents prioritized top
25 topics from round 1. RESULTS: Respondents included clinicians, researchers,
systematic reviewers, allied health, patients, and carers, from 33 different
countries. In round 1, 154 respondents identified 20 priority topics, most
commonly selecting topics in nonspecific ARIs, influenza, and common cold. Fifty
respondents also collectively suggested 134 additional topics. In round 2, 78
respondents prioritized top 25 topics, most commonly in the areas of nonspecific
ARIs, pneumonia, and influenza. CONCLUSION: We generated a list of priority
systematic review topics to guide the Cochrane ARI group's systematic review work
for the next 24 months. Stakeholder involvement enhanced the transparency of the
process and will increase the usability and relevance of the group's work to
stakeholders.
PMID- 29800692
TI - Mechanical properties of infant bone.
AB - Although an understanding of bone material properties is crucial for interpreting
and predicting fracture patterns due to injury or defining the effects of disease
on bone strength, information about infant bone properties is scant in the
literature. In this study we present the mechanical testing results from 47 tibia
and 52 rib specimens taken from 53 infant decedents in order to further our
understanding of infant bone strength. Bone specimens were imaged using microCT
and tested in three-point bending until failure. Extrinsic and intrinsic
properties demonstrated an increase in strength and stiffness over the first year
of life, while ductility measures remained largely unchanged. Donor race had no
effect on the material properties, but tibia bone specimens showed significant
sex differences, with the elastic modulus from females being larger than males.
When compared to properties from adolescent and adult donors, infant bone is less
strong, less stiff, and more ductile.
PMID- 29800693
TI - Preservation of type H vessels and osteoblasts by enhanced preosteoclast platelet
derived growth factor type BB attenuates glucocorticoid-induced osteoporosis in
growing mice.
AB - Survival of chronic diseases in childhood is often achieved utilizing
glucocorticoids, but comes with significant side effects, including
glucocorticoid-induced osteoporosis (GIO). Knowledge of the mechanism of GIO is
limited to the adult skeleton. We explored the effect of genetic loss and
inhibition of cathepsin K (Ctsk) as a potential treatment target in a young GIO
mouse model as genetic loss of cathepsin K results in a mild form of
osteopetrosis secondary to impaired osteoclast bone resorption with maintenance
of bone formation. We first characterized the temporal osteoclast and osteoblast
progenitor populations in Ctsk-/- and wild type (WT) mice in the primary and
secondary spongiosa, as sites representative of trabecular bone modeling and
remodeling, respectively. In the primary spongiosa, Ctsk-/- mice had decreased
numbers of osteoclasts at young ages (2 and 4 weeks) and increased osteoblast
lineage cells at later age (8 weeks) relative to WT littermates. In the secondary
spongiosa, Ctsk-/- mice had greater numbers of osteoclasts and osteoblast lineage
cells relative to WT littermates. We next developed a young GIO mouse model with
prednisolone 10 mg/m2/day injected intraperitoneally daily from 2 through 6 weeks
of age. Overall, WT-prednisolone mice had lower bone volume per tissue volume,
whereas Ctsk-/--prednisolone mice maintained a similar bone volume relative to
Ctsk-/--vehicle controls. WT-prednisolone mice exhibited a decreased number of
osteoclasts, tartrate-resistant acid phosphatase and platelet-derived growth
factor type BB (PDGF-BB) co-positive cells, type H endothelial cells, and
osteoblasts relative to WT-vehicle mice in both the primary and secondary
spongiosa. Interestingly, Ctsk-/--prednisolone mice demonstrated a paradoxical
response with increased numbers of all parameters in primary spongiosa and no
change in secondary spongiosa. Finally, treatment with a cathepsin K inhibitor
prevented WT-prednisolone decline in osteoclasts, osteoblasts, type H vessels,
and bone volume. These data demonstrate that cells in the primary and secondary
spongiosa respond differently to glucocorticoids and genetic manipulation.
Inhibition of osteoclast resorption that preserves osteoclast coupling factors,
such as through inhibition of cathepsin K, may be a potential preventive
treatment strategy against GIO in the growing skeleton.
PMID- 29800691
TI - Relative contributions of lean and fat mass to bone strength in young Hispanic
and non-Hispanic girls.
AB - BACKGROUND: With the high prevalence of childhood obesity, especially among
Hispanic children, understanding how body weight and its components of lean and
fat mass affect bone development is important, given that the amount of bone
mineral accrued during childhood can determine osteoporosis risk later in life.
The aim of this study was to assess the independent contributions of lean and fat
mass on volumetric bone mineral density (vBMD), geometry, and strength in both
weight-bearing and non-weight-bearing bones of Hispanic and non-Hispanic girls.
METHODS: Bone vBMD, geometry, and strength were assessed at the 20% distal femur,
the 4% and 66% distal tibia, and the 66% distal radius of the non-dominant limb
of 326, 9- to 12-year-old girls using peripheral quantitative computed tomography
(pQCT). Total body lean and fat mass were measured by dual-energy x-ray
absorptiometry (DXA). Multiple linear regression was used to assess the
independent relationships of fat and lean mass with pQCT bone measures while
adjusting for relevant confounders. Potential interactions between ethnicity and
both fat and lean mass were also tested. RESULTS: Lean mass was a significant
positive contributor to all bone outcomes (p < 0.05) with the exception of vBMD
at diaphyseal sites. Fat mass was a significant contributor to bone strength at
weight bearing sites, but did not significantly contribute to bone strength at
the non-weight bearing radius and was negatively associated with radius cortical
content and thickness. Bone measures did not significantly differ between
Hispanic and non-Hispanic girls, although there was a significant interaction
between ethnicity and fat mass with total bone area at the femur (p = 0.02) and
66% tibia (p = 0.005) as well as bone strength at the femur (p = 0.03).
CONCLUSION: Lean mass is the main determinant of bone strength for appendicular
skeletal sites. Fat mass contributes to bone strength in the weight-bearing
skeleton but does not add to bone strength in non-weight-bearing locations and
may potentially be detrimental. Bone vBMD, geometry, and strength did not differ
between Hispanic and non-Hispanic girls; fat mass may be a stronger contributor
to bone strength in weight-bearing bones of Hispanic girls compared to non
Hispanic.
PMID- 29800694
TI - Withdrawal of parathyroid hormone after prolonged administration leads to
adipogenic differentiation of mesenchymal precursors in vivo.
AB - Intermittent PTH-like drugs are the only approved so-called anabolic agent that
increases bone mass in both mice and humans. It is well documented that PTH
targets mature cells of the osteoblast lineage, with only indirect evidence of
its actions on early cells of the osteoblast lineage. Using a triple transgenic
mouse model that allowed labeling of very early cells of the osteoblast lineage,
we traced the progeny of these into osteoblast lineage in adult mice. These early
cells expressed PTH1R and multiplied when PTH (1-34) was administered daily. We
also showed that the early mesenchymal cells showed accelerated differentiation
into mature osteocalcin-positive osteoblasts and osteocytes. Rather surprisingly,
when teriparatide administration was stopped, these early mesenchymal precursors
differentiated into adipocytes. We showed that the adipogenic differentiation is
accompanied by a decrease in wnt signaling in osteoblast precursors. In this
review, we discuss the possible clinical relevance of this finding and the
possible molecular mechanisms that contribute to this phenotype in vivo.
PMID- 29800695
TI - miR-20a inhibition using locked nucleic acid (LNA) technology and its effects on
apoptosis of human macrophages infected by Toxoplasma gondii RH strain.
AB - Toxoplasma gondii is a ubiquitous and infectious parasite that multiplies in any
nucleated cell of warm-blooded animals and humans worldwide. This parasite has
intricate mechanisms to reciprocate host-cell apoptosis to exist in the host
cell. So far, the details of the parasite interactions with host cells are not
well known. MicroRNAs (miRNAs) are one of the small noncoding RNAs that are now
considered as a key mechanism of gene regulation. They are important in
physiological and pathological processes such as apoptosis. In this study a Real
Time quantitative PCR technique was used to evaluate the levels of miR-20a of
miRNAs family in human macrophage during T. gondii infection to determine the
role of miR-20a in apoptosis. Then, the inhibition of miR-20a function through
interaction with transfection of Locked Nucleic Acid (LNA) antisense oligomer was
studied. Furthermore, it was examined whether miR-20a is involved in apoptosis of
human macrophages with T. gondii infected cells using flow cytometry. We found
that miR-20a expression is up-regulated in human macrophages following T. gondii
infection. After LNA anti miR-20a oligomer transfection, miR-20a inhibition was
evaluated by quantitative reverse transcriptase polymerase chain reaction. Flow
cytometry results showed that LNA anti-miR20a oligomer increased apoptosis. In
agreement with this result, we found that specific LNA oligonucleotides prevent
the functional activity of miR-20a and promotion of human macrophages apoptosis
with T. gondii infection by inhibition of this miRNAs gene. Also, the results
support the concept that LNA oligomer antisense may be used as a therapeutic
implement for blocking detrimental miRNAs overexpressed in infections.
PMID- 29800697
TI - Are chitosan natural polymers suitable as adjuvant/delivery system for anti
tuberculosis vaccines?
AB - Today, the effectiveness of the only approved tuberculosis (TB) vaccine, bacillus
Calmette-Guerin (BCG), has encountered several serious problem in the control of
TB infections including variable protection in adolescents and adults, the
emergence of drug-resistant strains of Mycobacterium tuberculosis (Mtb) as well
as HIV/AIDS co-infection. Various studies have shown that chitosan, a natural
polymer, can serve as a potent carrier for the delivery of various hydrophilic
molecules such as peptide, protein and drug agents due to some of its excellent
characteristics including low toxicity, biodegradable and biocompatible
properties and stability. Currently, these polysaccharide polymers have gained
more attention as candidates for the adjuvant/delivery of anti-TB vaccines due to
better cellular uptake, muco-adhesive characteristics, prolonged control release,
persistent stimulation of the immune system, more efficient uptake by antigen
processing cells (APCs), adjuvant/immunopotentiator function, and preventing
antigen degradation in-vivo. The present study showed that the new generation of
TB vaccine candidates when used in combination with chitosan and its derivatives
as adjuvant or delivery system, could potently induce both protective and cell
mediated (CD4 and CD8) immune responses in animal models. In addition, they could
also enhance protection against Mtb infection in TB-challenged mice and act as
booster-vaccines to improve BCG-primed immunity and excellent prime-vaccines. The
results of this study showed that parenteral and non-parenteral immunization of
chitosan-based TB vaccines can induce appropriate immune responses; however, we
suggest that based on some advantages of chitosan polymers and mucosal delivery
route, non-parenteral immunization may be a better administration route for
chitosan-based TB vaccines.
PMID- 29800696
TI - An in silico study: Novel targets for potential drug and vaccine design against
drug resistant H. pylori.
AB - Gastric cancer risk and adverse ramifications by augmented multi-drug resistance
(MDR) of Helicobacter pylori are alarming serious health concern. Combating
through available drugs is a difficult task due to lack of appropriate common
targets against genetically diverse strains. To improve efficacy, the effective
targets should be identified and critically assessed. In the present study, we
aim to predict the potential novel targets against H. pylori strains by employing
computer aided approach. The genomic dataset of 53 H. pylori strains was
comparatively processed and eventually predicted 826 'conserved gene products'.
Further, we performed subtractive genomic approach in search of promising crucial
targets through the combination of in silico analyses. Codon adaptation index
(CAI) value calculation and literature surveys were also done in order to find
highly expressed gene products with novelty. Consequently, four enzymes and three
membrane proteins were prioritized as new therapeutic and vaccine targets
respectively which found to have more interactors in network with high-confidence
score, druggability, antigenicity and molecular weight <110 kDa. Therefore, our
results underpin the importance of new targets may counteract with false
positive/negatives and facilitate appropriate potential targets for a new insight
of reliable therapeutic development.
PMID- 29800698
TI - Surface immunoglobulins of erythrocytes and platelets in dogs naturally infected
by Rangelia vitalii.
AB - Rangelia vitalii is a protozoan of the Babesiidae family that parasitizes
domestic and wild dogs in South American countries. The main laboratory findings
in blood samples from animals infected by R. vitalii are anemia and
thrombocytopenia. The aim of this study was to detect IgM and IgG immunoglobulins
on the surface of red blood cells and platelets, as well as to determine the
percentage of reticulated platelets and reticulocytes in dogs naturally infected
by R. vitalii. Blood samples from twenty dogs seen at the Veterinary Hospital of
the Federal University of Santa Maria (UFSM) were divided into two groups: the
diseased group consisted of blood samples from 10 animals with the diagnosis of
rangeliosis, and the healthy group (control) consisted of samples from 10 healthy
animals. All diseased dogs showed normocytic normochromic anemia but showed no
differences (p > 0.05) in reticulocyte counts compared to healthy dogs. Moreover,
IgM and IgG immunoglobulins were detected on the surface of the plasma membrane
of red blood cells from both groups, but the amounts did not differ between
groups (p > 0.05). Thrombocytopenia in infected animals was classified as severe.
The percentage of reticulated platelets was higher (p < 0.001) in diseased dogs
than in healthy animals. Diseased animals showed more IgM immunoglobulins bound
to the surface of platelets than did the healthy group (p < 0.001). However, the
amount of IgG bound to the surface of platelets was not different between groups.
In conclusion, we showed that R. vitalii caused immune-mediated thrombocytopenia
since IgM immunoglobulins were found on the surface of platelets of diseased
dogs. We suggest that the binding of immunoglobulins on platelet surfaces
contributes to early destruction of these cells and, consequently, alterations in
hemostasis. An increase in reticulated platelets was noted in response to
thrombocytopenia, indicating active thrombopoiesis.
PMID- 29800699
TI - Phenotypic characterization of pathogenic Cronobacter spp. strains.
AB - BACKGROUND: Cronobacter species are Gram-negative opportunistic foodborne
pathogens that may cause enterocolitis, bacteremia and meningitis in neonates and
premature neonates. Lipopolysaccharide (LPS) serves as the major component of the
outer membrane of cell, is a potential virulence factor for Cronobacter. METHODS:
Given the potential importance of this molecule in infection and virulence, SDS
PAGE of LPS, MS and TLC characterization of phospholipids and phenotypic
characterization of Cronobacter spp. strains were carried out. RESULT: The
phospholipids from Cronobacter yielded four major peaks at m/z 719.9, 733.9,
747.9 and 773.9 in the spectrum. All Cronobacter showed O-antigen bands except C.
muytjensii ATCC 51329. When Cronobacter defect O-antigen, the outer membrane
permeability and cell surface hydrophobicities are increased. All Cronobacter are
able to grow under pH 5.0 condition and able to grow under 6% NaCl concentration.
C. dublinensis DSM 18705 has a higher infection rate to Caco-2 cells than other
Cronobacter. CONCLUSION: Invasion of pathogens into a host cell is critical
component to an infectious case. And C. dublinensis DSM 18705 has a higher
infection rate to Caco-2 cells than other Cronobacter.
PMID- 29800700
TI - Antibacterial activity of the bioactive compounds identified in three woody
plants against some pathogenic bacteria.
AB - Three bacterial isolates were identified from infected potato tubers showing soft
and blackleg like symptoms as well as one isolate from infected pear tree showing
crown gall symptom. Conventional and molecular identification proved that
bacterial isolates belonging to Pectobacterium carotovorum subsp. carotovorum,
Pectobacterium atrosepticum, Dickeya solani and Agrobacterium tumefaciens. The
above plant bacterial isolates and human pathogenic bacteria Escherichia coli,
Sarcina lutea, and Staphylococcus aureus were used for the bioassay. The
chloroform leaf extracts from Duranta plumieri variegata, Lantana camara, and
Citharexylum spinosum were assayed for their antibacterial activity by measuring
the inhibition zones and minimum inhibitory concentrations (MICs). The suggested
chemical compositions of extracts were analyzed using GC/MS apparatus. The main
compounds in leaf extract of L. camara were 5,8-diethyl-dodecane, pyrimidin-2
one, 4-[N-methylureido]-1-[4-methylaminocarbonyloxymethyl, oleic acid,3
(octadecyloxy)propyl ester; in D. plumieri were 4,7-dimethoxy-2-methylindan-1-one
and 5-(hexadecyloxy)-2-pentadecyl-,trans-1,3-dioxane; and in C. spinosum were N
[5-(3-hydroxy-2-methylpropenyl)-1,3,4,5-tetrahydrobenzo[cd]indol-3-yl]-N
methylacetamide. Promising activity was found against A. tumefaciens, E. coli, P.
carotovorum, Sar. lutea, and Staph. aureus with MIC values of 8, 128, 64, 500 and
500 MUg/mL, respectively, as L. camara leaf extract was applied. D. plumieri leaf
extract showed good activity against D. solani and P. atrosepticum with MIC
values of 16 MUg/mL and 128 MUg/mL, respectively. On the other hand, weak
bioactivity was found with leaf extract from C. spinosum. It could be concluded
that leaf extracts from D. plumieri and L. camara have a promising antibacterial
agents.
PMID- 29800701
TI - A prophylactic protocol to stimulate the immune response also controls infectious
disease and, consequently, minimizes diarrhea in newborn heifers.
AB - The immunostimulatory and immunomodulatory properties of selenium (Se), an
essential trace element for animals, has increase its use because may prevent/or
reduce the occurrence of infectious diseases. Thus, the aim of this study was to
verify whether Se and vitamins (A and E) applied via subcutaneous associated with
secnidazole via oral exert positive effects in the antioxidant and immune
systems, as well as whether prevent infections caused by protozoan and bacteria,
and consequently, reduce the number of cases of diarrhea in heifers. Thirty-two
newborn Holstein heifers were divided into two groups with sixteen animals each:
the control group and the treated group that received sodium selenite (0.2 mg/kg)
and vitamins A (35 mg/kg) and E (1 mg/kg) with one day of life, and a second
application associated with secnidazole (400 mg/animal) on day 10 of life. Sample
collection (blood and feces) were performed on days 1, 15, 30, 45 and 60 of life.
Heifers from the treated group showed higher hematocrit values compared to the
control group on day 60 of life, while total serum protein levels were higher on
days 15 and 30. The ceruloplasmin (days 15, 30 and 60), IgG of heavy chain (days
15, 30, 45 and 60), IgG of light chain (days 45 and 60) and haptoglobin (days 15,
30, 45 and 60) were higher in the treated group compared to the control group.
Serum levels of glucose decreased in treated animals on day 60 of life, while
serum levels of albumin, triglycerides, urea, cholesterol, thiobarbituric acid
reactive substances, reactive oxygen species and glutathione S-transferase
activity did not differ between groups. Secnidazole was able to prevent
infections caused by Giardia duodenalis in the first few days of life, but no
difference was observed between groups. Moreover, there was no difference on
total bacteria count and the incidence of diarrhea between groups. No difference
on weight gain was observed on day 60 of life, but on day 210 of life treated
animals had higher weight gain compared to the control group. Based on these
evidences, we concluded that the injectable application of Se and vitamins (A and
E) associated to secnidazole can improve the immunological system, and
consequently, favor animal's performance.
PMID- 29800702
TI - Interactome analysis of Rv0148 to predict potential targets and their pathways
linked to aminoglycosides drug resistance: An insilico approach.
AB - Failure of multi drug resistant tuberculosis (MDR-TB) treatment has increased the
risk of aminoglycosides resistance, disease transmission, morbidity and
mortality. Aminoglycosides are commonly used in multi drug resistant tuberculosis
(MDR-TB) treatment. They inhibit protein synthesis by interacting with
translationary steps. Apart from gene mutations various mechanisms of
aminoglycosides resistance have been reported but still our knowledge regarding
aminoglycosides resistance is fragmentary. Proteomics and bioinformatics
approaches are the most accepted approaches to explore the unrevealed mechanisms
of aminoglycosides resistance. Our previous studies suggested that over
expression of Rv0148 in aminoglycosides resistant M. tuberculosis clinical
isolates potentially leads to aminoglycosides resistance. In this study we have
analyzed the protein-protein interactions of putative short-chain type
dehydrogenase/reductase (Rv0148) and predicted the proteins target linked to the
aminoglycosides drug resistance. Interactome predicted that fatty acid synthase
(fas), dehydrogenase (htdY), dehydrogenase (MT3642), quinine oxidoreductase
(MT0157), phenyloxazoline synthase (mbtB), hypothetical protein (Rv0130), 3
oxoacyl-ACP synthase (kasA), 3-oxoacyl-ACP synthase (kasB) aldehyde dehydrogenase
(MT0155) and hypothetical protein (Rv1867) were the interactive partners of
Rv0148. We have suggested that Rv0148, its predictive interactive protein
partners and their pathways (via lipid metabolism as well as intermediary
metabolism and respiration) cumulatively unlock the mystery of aminoglycosides
resistance in M. tuberculosis.
PMID- 29800703
TI - Perioperative inhibition of beta-adrenergic and COX2 signaling in a clinical
trial in breast cancer patients improves tumor Ki-67 expression, serum cytokine
levels, and PBMCs transcriptome.
AB - Catecholamines and prostaglandins are secreted abundantly during the
perioperative period in response to stress and surgery, and were shown by
translational studies to promote tumor metastasis. Here, in a phase-II biomarker
clinical trial in breast cancer patients (n = 38), we tested the combined
perioperative use of the beta-blocker, propranolol, and the COX2-inhibitor,
etodolac, scheduled for 11 consecutive perioperative days, starting 5 days before
surgery. Blood samples were taken before treatment (T1), on the mornings before
and after surgery (T2&T3), and after treatment cessation (T4). Drugs were well
tolerated. Results based on a-priori hypotheses indicated that already before
surgery (T2), serum levels of pro-inflammatory IL-6, CRP, and IFNgamma, and anti
inflammatory, cortisol and IL-10, increased. At T2 and/or T3, drug treatment
reduced serum levels of the above pro-inflammatory cytokines and of TRAIL, as
well as activity of multiple inflammation-related transcription factors
(including NFkappaB, STAT3, ISRE), but not serum levels of cortisol, IL-10, IL
18, IL-8, VEGF and TNFalpha. In the excised tumor, treatment reduced the
expression of the proliferation marker Ki-67, and positively affected its
transcription factors SP1 and AhR. Exploratory analyses of transcriptome
modulation in PBMCs revealed treatment-induced improvement at T2/T3 in several
transcription factors that in primary tumors indicate poor prognosis (CUX1, THRa,
EVI1, RORa, PBX1, and T3R), angiogenesis (YY1), EMT (GATA1 and deltaEF1/ZEB1),
proliferation (GATA2), and glucocorticoids response (GRE), while increasing the
activity of the oncogenes c-MYB and N-MYC. Overall, the drug treatment may
benefit breast cancer patients through reducing systemic inflammation and pro
metastatic/pro-growth biomarkers in the excised tumor and PBMCs.
PMID- 29800704
TI - Prophylactic perioperative dexamethasone decreases the incidence of postoperative
C5 palsies after a posterior cervical laminectomy and fusion.
AB - BACKGROUND CONTEXT: Postoperative C5 palsy is a well-known complication of
cervical decompression procedures. Studies have shown that posterior laminectomy
and fusions confer the greatest risk of C5 palsy. Despite this, pharmacologic
preventive measures remain unknown. We hypothesize that prophylactic
perioperative dexamethasone (DEX) will decrease the rate of postoperative C5
palsy in patients undergoing a multilevel posterior cervical laminectomy and
fusion. PURPOSE: The purpose of this study was to assess the safety and efficacy
of prophylactic perioperative DEX in decreasing the rate of postoperative C5
palsy. DESIGN: This is a retrospective, single-institution clinical study.
PATIENT SAMPLE: The patient population included all patients undergoing
multilevel posterior cervical laminectomy and instrumented fusion procedures for
myeloradiculopathy or myelopathy, who also received a course of perioperative
dexamethasone. Surgeries occurred between 2012 and 2017 at a single tertiary care
center by a single surgeon with at least 1 year of follow-up. Patients who
underwent decompression procedures other than multilevel posterior cervical
laminectomy and instrumented fusions; had trauma, fracture; underwent
decompression not including C5-level, insulin-dependent diabetes mellitus; and
had documented adverse reactions to steroids were excluded. OUTCOME MEASURES:
Preoperative demographics and postoperative complications, including development
of postoperative C5 palsy, were considered as outcome measures. MATERIALS AND
METHODS: A total of 189 consecutive patients who underwent multilevel posterior
cervical laminectomy and instrumented fusion and received prophylactic
perioperative DEX were reviewed. The rate of C5 palsy was investigated and
compared with our historical control rate of C5 palsy before the institutional
implementation of perioperative DEX. Demographics were reviewed, and risk factor
stratification was analyzed. The safety of using DEX was investigated by
examining postoperative complications. The clinical course of patients who
developed C5 palsy was then reported. RESULTS: Postoperative C5 palsy occurred in
5 of the 138 patients (3.6%) meeting the inclusion criteria. Patients receiving
perioperative DEX had a significantly decreased rate of postoperative C5 palsy
compared with those who did not (3.6% vs. 9.5%, p=.01). Age was the only risk
factor that was significantly correlated with development of C5 palsy (72.71+/
7.76 vs. 61.07+/-10.59, p=.02). Infection, seroma, and wound complication rates
were 2.8%, 2.17%, and 1.44%, respectively, in patients receiving prophylactic
DEX. All five patients receiving DEX who developed C5 palsy recovered with no
residual deficits at an average of 16.8 weeks postoperatively. CONCLUSIONS:
Perioperative prophylactic DEX therapy is a safe and effective way to decrease
the incidence of C5 palsies in patients who undergo multilevel posterior
laminectomy and fusion for myeloradiculopathy or myelopathy.
PMID- 29800705
TI - Return to work following surgery for lumbar radiculopathy: a systematic review.
AB - BACKGROUND CONTEXT: Informing patients about postoperative return to work (RTW)
expectations is of utmost importance because of the influence of realistic
expectations on RTW outcomes. PURPOSE: We aimed to give an overview of the
duration of sick leave and RTW rates after surgery for lumbar radiculopathy and
to list predictors of and factors related to RTW. STUDY DESIGN: A systematic
review was carried out. METHODS: A systematic literature search was conducted in
PubMed, Web of Science, EMBASE, and SCOPUS. Full-text articles on RTW following
surgery for lumbar radiculopathy were included through double-blind screening.
Risk of bias was assessed using a modified version of the Downs and Black
checklist. RESULTS: Sixty-three full-text articles (total sample size: 7,100
patients) were included. Risk of bias was scored low to high. Mean duration of
sick leave ranged from 0.8 to 20 weeks. Within 0.1-240 months post surgery, 3%
100% of patients resumed work. Most important predictors for work resumption were
preoperative work status, presence of comorbidities, age, sex and duration of
preoperative symptoms. Duration of sick leave can be predicted by the
preoperative level of pain or disability and presence of symptoms of depression,
occupational mental stress, and lateral disc prolapse. Furthermore, less invasive
surgical techniques were found to result in better RTW outcomes compared with
more invasive techniques. CONCLUSIONS: Diverse results were found for RTW rates
and duration of sick leave. Preoperative work status, presence of comorbidities,
and several demographic factors were retrieved as predictors of RTW and duration
of sick leave.
PMID- 29800707
TI - Patient-reported allergies predict postoperative outcomes and psychosomatic
markers after spine surgery.
AB - BACKGROUND CONTEXT: Prior studies have shown that patient-reported allergies can
be prognostic of poorer postoperative outcomes. PURPOSE: The objective of this
study was to investigate the correlation between self-reported allergies and
outcomes after cervical or lumbar spine surgery. STUDY DESIGN/SETTING: This is a
retrospective cohort study at a single tertiary care institution. PATIENT SAMPLE:
The patient sample included all patients undergoing cervical or lumbar spine
surgery from 2009 to 2014. OUTCOME MEASURES: The primary outcome measure was
change in the EuroQol-5 Dimensions (EQ-5D) after surgery. Secondary outcomes
included changes in the Pain Disability Questionnaire (PDQ) and in the Patient
Health Questionnaire-9 (PHQ-9), achievement of the minimal clinically important
difference (MCID) in these measures, and cost of admission. METHODS: Before and
after surgery, EQ-5D, PDQ, and PHQ-9 were recorded for patients with available
data. Paired Student t tests were used to compare changes in these measures after
surgery. Multivariable linear and logistic regressions were used to assess the
relationship between the log transformation of the total number of allergies and
outcomes. RESULTS: A total of 592 cervical patients and 4,465 lumbar patients
were included. The median number of reported allergies was two. The EQ-5D index
increased from 0.539 to 0.703 for cervical patients and from 0.530 to 0.676 for
lumbar patients (p<.01 for both). Patients experienced significant pain
improvement by the PDQ (80.1-58.2 for cervical patients and 79.4-58.1 for lumbar
patients, p<.01). Using multivariable logistic regression, the log transformation
of the number of allergies predicted significantly higher odds of achieving the
PDQ MCID (odds ratio [OR]=2.09, 95% confidence interval [CI] 1.05-4.15, p=.02,
for cervical patients; OR=1.30, 95% CI 1.03-1.68, p=.03, for lumbar patients).
However, this relationship was not durable for patients with follow-up exceeding
1 year. The log transformation of the number of allergies for lumbar patients
predicted a significantly increased cost of admission (beta=$3,597, p<.01) and
trended toward significance among cervical patients (beta=$1,842, p=.10).
CONCLUSIONS: Patient-reported allergies correlate with subjective improvement in
pain and disability after spine surgery and may serve as a marker of
postoperative outcomes. The relationship between allergies and PDQ improvement
may be secondary to the short-term expectation-actuality discrepancy, as this
relationship was not durable beyond 1 year.
PMID- 29800706
TI - Surgical treatment for severe and rigid scoliosis: a case-matched study between
idiopathic scoliosis and syringomyelia-associated scoliosis.
AB - BACKGROUND CONTEXT: Treatment guidelines for severe and rigid syringomyelia
associated scoliosis (SRSMS) are limited. Typically, surgeons apply practice
guidelines for severe and rigid idiopathic scoliosis (SRIS) to treat SRSMS. No
study has directly compared the results of surgical treatment between patients
with SRSMS and those with SRIS. PURPOSE: The present study was performed to
compare the outcomes of surgical correction of SRSMS and SRIS from clinical and
radiographic perspectives. STUDY DESIGN: This is a retrospective, case-matched,
single-center, institutional review board-approved study. PATIENT SAMPLE: A total
of 26 patients with SRSMS or SRIS treated by an anterior and posterior vertebral
column resection approach or an internal distraction approach were enrolled.
OUTCOME MEASURES: The SRSMS and SRIS groups were compared on the following
variables: fusion length, screw number, operation time, estimated blood loss,
follow-up duration, different radiological parameters (including main thoracic
curve, cranial compensatory curve, caudal compensatory curve, thoracic kyphosis,
lumbar lordosis, thoracic apical vertebral translation, coronal balance, and
sagittal vertical axis), Scoliosis Research Society (SRS)-22 scores, and
complication rate. METHODS: Thirteen patients with SRSMS were matched with
patients with SRIS on curve magnitude, the flexibility of the main curve,
surgical procedure, age, and gender. All patients had a minimum of 2 years of
follow-up. The radiographic parameters and demographic data from patients were
evaluated before surgery, immediately after surgery, and at the latest follow-up.
RESULTS: The case matches were relatively ideal except one pair with the main
curve in the opposite direction. There was no significant difference in fusion
length, screw number, operation time, estimated blood loss, or follow-up duration
between the two groups. No significant differences were found between the two
groups in the main curve or caudal compensatory curve before surgery, immediately
after the operation, or at the final follow-up. The correction of thoracic apical
vertebral translation in the SRIS group was better than that in the SRSMS group.
The SRSMS group had a larger preoperative, postoperative, and final follow-up
cranial compensatory curve and a lower correction rate than did the SRIS group.
There was no significant difference in preoperative coronal balance between the
two groups. After surgery, the coronal balance in the SRSMS and SRIS groups
averaged 24.4+/-13.2 mm and 12.1+/-7.9 mm, respectively, which was significantly
different (p=.04). At the most recent follow-up, the coronal balance in the SRSMS
group improved to 14.8+/-12.6 mm, and it was 11.8+/-8.6 mm in the SRIS group. No
significant difference was found between the two groups (p=.56). There was no
significant difference in thoracic kyphosis, lumbar lordosis, or sagittal
vertical axis before surgery, immediately after the operation, or at the final
follow-up. Before surgery and at the final follow-up, the two groups had similar
scores on function, pain, self-image, mental health, and satisfaction. There was
no significant difference in complication rates between the two groups.
CONCLUSIONS: Typically, surgical correction outcomes are similar in patients with
SRSMS and SRIS. Patients with SRIS tended to have a smaller cranial compensatory
curve and better correction of the cranial compensatory curve and thoracic apical
vertebral translation. Patients with SRSMS tended to have a higher proportion and
greater amount of postoperative coronal imbalance, which may be improved during
follow-up.
PMID- 29800708
TI - Five-year follow-up of clinical and radiological outcomes of LP-ESP elastomeric
lumbar total disc replacement in active patients.
AB - BACKGROUND CONTEXT: The surgical treatment of degenerative disc disease at the
lumbar spine may involve fusion. Total disc replacement (TDR) is an alternative
treatment to avoid fusion-related adverse events, specifically adjacent segment
disease. New generation of elastomeric non-articulating devices has been
developed to more effectively replicate the shock absorption and flexural
stiffness of native disc. PURPOSE: To report 5 years clinical and radiographic
outcomes, range of motion (ROM), and position of the center of rotation after a
viscoelastic lumbar TDR. STUDY DESIGN: Prospective observational cohort study
PATIENT SAMPLE: Sixty-one patients OUTCOME MEASURES: The clinical evaluation was
based on visual analog scale (VAS) for pain, Oswestry disability index (ODI)
score, short form-36 (SF-36) including the physical component summary (PCS) and
the mental component summary (MCS), and general health questionnaire-28 (GHQ28).
The radiological outcomes were ROM and position of the center of rotation at the
index and the adjacent levels and the adjacent disc height changes. METHODS: Our
study group included 61 consecutive patients with monosegmental disc replacement.
We selected patients who could provide a global lumbar spine mobility analysis
(intermediate functional activity according to the Baecke score). Hybrid
constructs had been excluded. Only the cases with complete clinical and
radiological follow-up at 3, 6, 12, 24, and 60 months were included. RESULTS:
There was a significant improvement in VAS (3.3+/-2.5 vs. 6.6+/-1.7, p<.001), in
ODI (20+/-17.9 vs. 51.2+/-14.6, p<.001), GHQ28 (52.6+/-15.5 vs. 64.2+/-15.6,
p<.001), SF-36 PCS (58.8+/-4.8 vs. 32.4+/-3.4, p<.001), and SF-36 MCS (60.7+/-6
vs. 42.3+/-3.4, p<.001). The mean location centers of the index level and
adjacent discs were comparable to those previously published in asymptomatic
patients. According to the definition of Zigler and Delamarter, all of our cases
remained grade 0 for adjacent level disc height (within 25% of normal).
CONCLUSIONS: This series reports significant improvement in midterm follow-up
after TDR, which is consistent with previously published studies but with a lower
rate of revision surgery and no adjacent level disease pathologies. The
radiographic assessment of the patients demonstrated the quality of functional
reconstruction of the lumbar spine after LP-ESP viscoelastic disc replacement.
PMID- 29800709
TI - A novel in vivo large animal model of lumbar spinal joint degeneration.
AB - BACKGROUND CONTEXT: Degenerative disc disease (DDD) is a common, widespread
socioeconomic problem. Appropriate large animal models of DDD are required for
improved understanding and to serve as preclinical test beds for therapeutic
strategies. PURPOSE: To evaluate the effects of short and medium duration
immobilization on the sheep lumbar intervertebral disc (IVD) and facet joints
(FJs), and to establish a large animal model for DDD research. STUDY DESIGN: An
in vivo sheep model evaluating the effect of short- and medium-term
immobilization on disc degeneration. METHODS: Eighteen sheep were equally
randomized into three groups: short-term (6-week) immobilization (n=6), medium
term (26-week) immobilization (n=6), and control (no surgery) (n=6).
Immobilization of L3-L4 was achieved with pedicle screw and rod implantation, the
IVD was kept intact, and the annulus and end plates were not disrupted. The IVD
and FJs were assessed with planar radiography, computerized tomography (CT),
magnetic resonance imaging (MRI), pure moment biomechanical testing, and
histologic analysis. RESULTS: Disc height was reduced for 6- and 26-week
immobilization groups. The MRI and histologic analysis demonstrated significant
disc degeneration for both immobilized groups compared with control, but no
statistical difference was detected between short- and medium-term duration.
Progressive degenerative changes in FJs were observed with micro-CT and
histologic end points. Immobilization significantly reduced lateral bending and
flexion-extension range of motion. CONCLUSIONS: The mechanical environment set up
by immobilization alone is capable of inducing lumbar disc degeneration at both 6
and 26 weeks in sheep. Longer duration immobilization did not advance disc
degeneration process beyond of that found with short duration. The present model
produces a degenerative disc with intact annulus and without acute injury, more
closely representing the scenario common in human disc degeneration. This
provides a suitable large animal in vivo model for the evaluation of the new
therapies for disc degeneration. Further studies would do well to examine the
effect of remobilization after immobilization in this model.
PMID- 29800711
TI - Breast involvement in granulomatosis with polyangiitis.
PMID- 29800710
TI - Induced lumbosacral radicular symptom referral patterns: a descriptive study.
AB - BACKGROUND CONTEXT: Lumbosacral radicular symptoms are commonly evaluated in
clinical practice. Level-specific diagnosis is crucial for management. Clinical
decisions are often made by correlating a patient's symptom distribution and
imaging with sensory dermatomal maps. It is common for patients to describe non
dermatomal symptom patterns and for imaging to demonstrate pathology at levels
not predicted by a dermatomal map. These observations suggest that the referred
symptom distribution from lumbosacral nerve root provocation is different from
dermatomal maps. This phenomenon has been demonstrated in the cervical spine but
not in the lumbosacral spine. PURPOSE: The objective of this study was to
characterize potential lumbosacral radicular symptom referral patterns induced
during transforaminal epidural injections. STUDY DESIGN/SETTING: This is an
observational descriptive study. PATIENT SAMPLE: The patient sample included 71
consecutive patients with lumbosacral radicular pain undergoing lumbosacral
transforaminal epidural injections at an outpatient interventional spine
practice. OUTCOME MEASURES: Each subject drew the location of provoked
lumbosacral radicular symptoms on a pain diagram. MATERIALS AND METHODS: Seventy
one consecutive patients undergoing 125 fluoroscopically guided lumbosacral
transforaminal epidural injections at an outpatient interventional spine practice
were included in the study. The described location of provoked symptoms was
recorded (1) after final needle positioning, (2) after injection of up to 0.5 mL
of contrast solution, and (3) after injection of up to a 1 mL test dose of 1%
lidocaine. Each subject drew the location of provoked symptoms on a diagram. The
provoked symptom diagrams for each lumbosacral segmental level were combined to
create composite nerve root, level-specific, symptom referral pattern maps.
RESULTS: Of the 125 injections, 87 provoked referred symptoms and were included
in the analysis. Thirty-eight injections did not provoke referred pain symptoms
and were excluded from further analysis. Four nerve roots were tested at L1 and
eight were tested at L2. Because of the small number of subjects, composite
diagrams and statistical analysis were not completed for these levels. Eleven
nerve roots were analyzed at L3, 28 at L4, 34 at L5, and 11 at S1. Composite
symptom referral pattern maps were created for levels L3, L4, L5, and S1.
Although the symptom distribution occasionally followed the expected dermatomal
maps, most often the referral was outside of the patterns expected for each
level. The most common symptom referral pattern for levels L3-S1 was the buttock,
the posterior thigh, and the posterior calf. CONCLUSIONS: The level-specific
provoked symptom distribution during lumbosacral transforaminal epidural
injections is frequently different from that predicted by classic lumbosacral
dermatomal maps. Referred pain to the buttock, the posterior thigh, or the
posterior calf may come from L3, L4, L5, or S1 nerve root segmental irritation.
PMID- 29800712
TI - A study for the detection of kidney cancer using fluorescence emission spectra
and synchronous fluorescence excitation spectra of blood and urine.
AB - In this study, we compared different types of biomolecular markers in kidney
cancer patients and in normal healthy controls, using fluorescence emission
spectra and synchronous fluorescence excitation spectra. We were able to provide
an accurate classification of the spectral features of kidney cancer patients
relative to that of normal controls, in terms of the concentration ratios of
biomolecules (viz., tryptophan, NADH, FAD, basic porphyrin, and acidic porphyrin)
based on the intensity of their spectral peaks. The specificity and sensitivity
of the method were 90%. The rationale of our current approach is to evolve an
innovative protocol for the spectral characterization of in vitro optical
analyses suitable for both small clinics and hospitals.
PMID- 29800713
TI - Antimicrobial photodynamic therapy associated with partial removal of carious
tissue in a patient with amyotrophic lateral sclerosis.
PMID- 29800714
TI - Proteomic analysis reveals that pheophorbide a-mediated photodynamic treatment
inhibits prostate cancer growth by hampering GDP-GTP exchange of ras-family
proteins.
AB - BACKGROUND: We previously reported that pheophorbide a (PhA), excited by 630 nm
light, significantly inhibited the growth of prostate cancer cells. In this
study, we employed whole-cell proteomics to investigate photodynamic treatment
(PDT)-related proteins. METHODS: Two-dimensional gel electrophoresis (2-DE)
coupled with tandem mass spectrometry was employed to reveal the proteins
involved in PhA-mediated PDT in LNCaP and PC-3 prostate cancer cells. RESULTS:
After PhA-PDT treatment, decreased expression of translationally-controlled tumor
protein (TCTP) was found in both PC-3 and LNCaP whole-cell proteomes. In
contrast, human rab GDP dissociation inhibitor (GDI) in LNCaP cells and ras
related homologs GDI in PC-3 cells were up-regulated. CONCLUSIONS: GDP-GTP
exchange is an underlying target of photodynamic treatment in prostate cancer
cells.
PMID- 29800715
TI - Occupational exposure of cashiers to bisphenol S via thermal paper.
AB - PURPOSE: In thermal paper, Bisphenol S (BPS) is one of the alternatives for
bisphenol A (BPA). Due to its structural similarity to BPA, concern has been
raised about the safety of BPS. Indeed, handling thermal paper receipts could be
a source of occupational exposure to BPS among cashiers, as it was previously
described for BPA. In this study, we investigated whether frequent contacts with
thermal paper are associated with an increase in urinary BPS levels in cashiers.
METHOD: Total (unconjugated and conjugated forms) and free (unconjugated) BPS
were measured in urine samples from 17 cashiers and 15 controls, using LC-MS/MS.
Spot urine samples, including pre-shift and post-sift samples and first morning
void were collected from each volunteer. BPS concentration in thermal paper was
determined and the number of receipts handled by cashiers was estimated as well.
RESULTS: The median urinary total BPS concentration was 0.67 MUg/L (0.52 MUg/g
creatinine) for controls and 2.53 MUg/L (2.07 MUg/g creatinine) for cashiers.
Total BPS concentration was significantly higher in cashiers than in controls.
Free BPS was detected in less than 20% of urine samples collected from controls
and in less than 50% of urine samples collected from cashiers. CONCLUSION: The
detectable levels of BPS in urine of controls suggest an exposure to BPS of the
general population. In addition, frequent contact with thermal paper could be
responsible for an increase in urinary concentration of total BPS in cashiers.
PMID- 29800716
TI - Peak AAA fatty acid homolog contaminants present in the dietary supplement l
Tryptophan associated with the onset of eosinophilia-myalgia syndrome.
AB - The eosinophilia-myalgia syndrome (EMS) outbreak that occurred in the USA and
elsewhere in 1989 was caused by the ingestion of Showa Denko K.K. (SD) L
tryptophan (L-Trp). "Six compounds" detected in the L-Trp were reported as case
associated contaminants. Recently the final and most statistically significant
contaminant, "Peak AAA" was structurally characterized. The "compound" was
actually shown to be two structural isomers resulting from condensation reactions
of L-Trp with fatty acids derived from the bacterial cell membrane. They were
identified as the indole C-2 anteiso (AAA1-343) and linear (AAA2-343) aliphatic
chain isomers. Based on those findings, we utilized a combination of on-line HPLC
electrospray ionization mass spectrometry (LC-MS), as well as both precursor and
product ion tandem mass spectrometry (MS/MS) to facilitate identification of a
homologous family of condensation products related to AAA1-343 and AAA2-343. We
structurally characterized eight new AAA1-XXX/AAA2-XXX contaminants, where XXX
represents the integer molecular ions of all the related homologs, differing by
aliphatic chain length and isomer configuration. The contaminants were derived
from the following fatty acids of the bacterial cell membrane, 5-methylheptanoic
acid (anteiso-C8:0) for AAA1-315; n-octanoic acid (n-C8:0) for AAA2-315; 6
methyloctanoic acid (anteiso-C9:0) for AAA1-329; n-nonanoic acid (n-C9:0) for
AAA2-329; 10-methyldodecanoic acid (anteiso-C13:0) for AAA1-385; n-tridecanoic
acid (n-C13:0) for AAA2-385; 11-methyltridecanoic acid (anteiso-C14:0) for AAA1
399; and n-tetradecanoic acid (n-C14:0) for AAA2-399. The concentration levels
for these contaminants were estimated to be 0.1-7.9 MUg / 500 mg of an individual
SD L-Trp tablet or capsule The structural similarity of these homologs to case
related contaminants of Spanish Toxic Oil Syndrome (TOS) is discussed.
PMID- 29800718
TI - Alterations of Gray Matter Volume and White Matter Integrity in Maternal
Deprivation Monkeys.
AB - Maternal deprivation (MD) in rhesus monkeys has been demonstrated to be an
effective model to mimic early adversity in humans because of the close
phylogenetic similarity affinity. Although behavioral and hormonal abnormalities
have been observed in MD monkeys, the neurobiological underpinning of the long
term deleterious effect of MD on monkeys is still unclear. In this study, we
assessed emotional changes and socio-behavioral abnormalities induced by long
term MD and assessed structural alterations of gray matter volume (GMV) and white
matter integrity (WMI) in 15 MD rhesus monkeys and in 15 age-, gender-matched
normal controls (NC) using voxel-based morphology and voxel-based analysis
methods. We found increased stereotypical behavioral durations and decreased
social grooming durations in MD monkeys. Reduced GMV in the primary visual cortex
(V1) and increased fractional anisotropy (FA) in the left posterior superior
temporal sulcus (pSTS) was also found in MD monkeys. Moreover, the mean FA values
in pSTS showed positive correlation with the stereotypical behavioral durations
in MD monkeys and negative correlation with social grooming durations in NC
monkeys. Our findings indicated that the deleterious effects of MD on rhesus
monkeys resulted in structural abnormalities in the visual cortex and premature
myelination in the pSTS. These findings provide new insights into understanding
the impact of maternal deprivation on the neurological basis of brain
development.
PMID- 29800719
TI - Sex Differences and Estrous Cycle Effects of Peripheral Serotonin-Evoked Rodent
Pain Behaviors.
AB - Many persistent pain conditions occur predominantly in women making pain a major
women's health issue. One theory for the prevalence in females is hormone
modulation of pain mechanisms. The peripheral release of the neurotransmitter
serotonin (5HT) has been implicated in various sexually dimorphic pain
conditions; yet no studies have examined the effect of ovarian hormones on
peripheral 5HT-evoked pain behaviors. We hypothesized that peripheral 5HT evokes
greater pain behaviors in female rodents during estrus and/or proestrus, stages
of the estrous cycle where ovarian hormones are greatly fluctuating. Female
Sprague-Dawley rats (250-350 g) from each stage of the estrous cycle,
ovariectomized females, and intact males received an intraplantar hindpaw
injection of 5HT (2 MUg/100 MUL) or saline (n = 6 per group) and thermal
hyperalgesia, mechanical allodynia, or edema was measured at 0, 10, 20 and 30 min
post-injection. A separate group of rats received an ipsilateral injection of the
selective 5HT2A antagonist, M100907, 15 min prior to 5HT injection. We report
that females in proestrus and estrus exhibited significantly greater and/or
longer lasting pain behaviors compared to males, females in diestrus, and
ovariectomized females. There were no significant sex differences or estrous
cycle effects on 5HT-evoked edema or 5HT content in inflamed hindpaws. Local
pretreatment with the 5HT2A receptor antagonist blocked 5HT-evoked thermal
hyperalgesia and edema. These data provide evidence of a modulatory role of
hormones on peripheral 5HT-evoked pain occurring via the 5HT2A receptor.
PMID- 29800717
TI - A-Kinase-Anchoring Protein (AKAP150) is expressed in Astrocytes and Upregulated
in Response to Ischemia.
AB - A-kinase-anchoring proteins, AKAPs, are scaffolding proteins that associate with
kinases and phosphatases, and direct them to a specific submembrane site to
coordinate signaling events. AKAP150, a rodent ortholog of human AKAP79, has been
extensively studied in neurons, but very little is known about the localization
and function of AKAP150 in astrocytes, the major cell type in brain. Thus, in
this study, we assessed the localization of AKAP150 in astrocytes and elucidated
its role during physiological and ischemic conditions. Herein, we demonstrate
that AKAP150 is localized in astrocytes and is up-regulated during ischemia both
in vitro and in vivo. Knock-down of AKAP150 by RNAi depolarizes the astrocytic
membrane potential and substantially reduces by 80% the ability of astrocytes to
take up extracellular potassium during ischemic conditions. Therefore,
upregulation of AKAP150 during ischemia preserves potassium conductance and the
associated hyperpolarized membrane potential of astrocytes; properties of
astrocytes needed to maintain extracellular brain homeostasis. Taken together,
these data suggest that AKAP150 may play a pivotal role in the neuroprotective
mechanism of astrocytes during pathological conditions.
PMID- 29800720
TI - Transcriptional brakes on the road to adipocyte thermogenesis.
AB - White adipocytes represent the principle site for energy storage whereas
brown/beige adipocytes emerge from seemingly distinct cellular lineages and burn
chemical energy to produce heat. Thermogenic adipocytes utilize cell-type
selective master regulatory transcription factors to drive the expression of
their adipocyte thermogenic gene program. White adipocytes harbor transcriptional
mechanisms to suppress the thermogenic gene program and maintain an energy
storing function. Here, we summarize some of the key developmental and
transcriptional mechanisms leading to the postnatal recruitment of thermogenic
adipocytes under physiological conditions, with a particular emphasis on the
transcriptional "brakes" on the thermogenic gene program. We highlight a number
of recent studies, including our own work on the transcription factor, ZFP423,
that illustrate the potential to engineer the subcutaneous and visceral white fat
lineages to adopt a thermogenic fat cell fate by releasing the inhibition of the
adipocyte thermogenic gene program. These transcriptional brakes on adipocyte
thermogenesis may represent potential targets of therapeutic interventions
designed to combat obesity and associated metabolic disorders.
PMID- 29800721
TI - Preliminary report of histopathology associated with infection with tongue worms
in Australian dogs and cattle.
AB - Tongue worms utilise herbivorous mammals as intermediate hosts and reside in the
nasopharynx of carnivores as their definitive hosts. A recent study in south
eastern Australia showed an unexpectedly high infection (67%) of wild dogs with
these parasites. The present study aimed at determining the pathogenicity of the
parasite in both definitive (dog) and intermediate (cattle) hosts by
histopathology. The definitive host showed multifocal haemorrhage of the
interstitium of the nasal mucosa, multifocal mucosal erosion, congestion and
haemorrhage, with haemosiderin laden macrophages present in those foci and
distortion and destruction of the nasal mucosa. Histopathologic examination of
lymph nodes from an infected cow showed diffuse eosinophilic granulomatous
necrotising lymphadenitis and perinodal panniculitis with intralesional parasitic
remnants and comparatively large numbers of eosinophils. A large, ~300-500 MUm
diameter, area of necrosis was also observed in one lymph node. This is the first
time a study has been undertaken in Australia to determine the pathogenicity of
tongue worms in both their definitive and intermediate hosts. This is a
preliminary study and to properly estimate the health impact of infection with
this pathogenic parasites on Australian production and companion animals more
studies are necessary.
PMID- 29800722
TI - Molecular detection and genotyping of Toxoplasma gondii in free-ranging pigs in
Northeastern China.
AB - Pig is the well-known intermediate host of T. gondii, a ubiquitous and obligate
intracellular zoonotic pathogen. However, information about prevalence and
genotypes of T. gondii infection in free-ranging pigs is scarce. Therefore a
total of 186 hilar lymph nodes specimens were collected from free-ranging pigs
from rural regions from Jilin (n = 119) and Liaoning (n = 67) provinces,
northeastern China, and were investigated from T. gondii infection by semi-nested
PCR of the B1 gene. Positive testing samples were genotyped by using polymerase
chain reaction followed by restriction-fragment length polymorphism technology
(PCR-RFLP) applied to 11 loci. The overall prevalence of T. gondii in
investigated free-ranging pigs was 18.3% (34/186), with 16.4% (11/67) in Liaoning
Province and 19.3% (23/119) in Jilin Province. Moreover, two genotypes, namely
ToxoDB #9 and ToxoDB #10, were detected in investigated pigs. The present study
showed a high T. gondii prevalence in free-ranging compared to farmed pigs as
reported in previous studies by others, raising a major public health concern. It
is essential to establish efficient strategies to prevent and control T. gondii
infection in free-ranging pigs, other animals and humans in investigated regions.
PMID- 29800723
TI - Dogs as sentinels for distribution of spotted-fever group rickettsiae in
Slovakia.
PMID- 29800724
TI - Assessment of Quality and Readability of Internet Dietary Information on
Irritable Bowel Syndrome.
PMID- 29800725
TI - MEL-pep, an analog of melittin, disrupts cell membranes and reverses 5
fluorouracil resistance in human hepatocellular carcinoma cells.
AB - Chemotherapy resistance represents a major obstacle in the treatment of patients
with hepatocellular carcinoma (HCC). The purpose of this study was to investigate
the anti-cancer effect of MEL-pep, a novel analog of the natural antibacterial
peptide melittin (MEL), on human 5-fluorouracil-resistant HCC cells (BEL-7402/5
FU) and to clarify the molecular mechanisms involved in these effects. We found
that MEL-pep inhibited the proliferation of BEL-7402/5-FU cells and reversed 5-FU
resistance in vitro. MEL-pep directly bound to BEL-7402/5-FU cells and disrupted
the cell membrane. P-glycoprotein (P-gp) plays an important role in the
development of resistance to anticancer drugs. We found that MEL-pep inhibited P
gp expression and increased the intracellular accumulation of the P-gp substrate
rhodamine-123 in BEL-7402/5-FU cells. Additionally, the phosphorylation of Akt
and NF-kappaB/p65 nuclear translocation was all inhibited by MEL-pep. Insulin -
like growth factor I, a phosphatidylinositol 3 kinase(PI3K) /protein kinase
B(AKT) agonist, reversed MEL-pep induced P-gp suppression. Therefore, MEL-pep
inhibited P-gp expression by deactivating the PI3K/Akt signaling pathway.
Finally, in a BEL-7402/5-FU cell-derived xenograft tumor model in mice, we found
that the intratumoral administration of MEL-pep inhibited tumor growth in a dose
dependent manner. Thus, MEL-pep could be a promising candidate in the treatment
of chemotherapy resistant HCC.
PMID- 29800726
TI - Cellular fluorescein hyperfluorescence is dynamin-dependent and increased by
Tetronic 1107 treatment.
AB - Sodium fluorescein ('fluorescein') staining of the ocular surface is frequently
an indicator of compromised ocular health, and increases in the presence of
certain contact lens multi-purpose solutions (MPS), a phenomenon known as
solution induced corneal staining (SICS). The mechanism(s) underpinning
fluorescein hyperfluorescence are uncertain, though may reflect increased
cellular uptake of fluorescein by corneal epithelial cells. We have developed an
in vitro model to study fluorescein uptake in both 'generic' mammalian cells
(murine fibroblasts) and human corneal cells. Fluorescein hyperfluorescence
increased after treatment with two MPS associated with clinical corneal
fluorescein staining, yet there was no cellular hyperfluorescence for two MPS
that do not cause this staining. Increased fluorescein uptake did not correlate
with presence of a necrotic or an apoptotic marker (propidium iodide and caspase
3 respectively). Incubation of MPS-treated cells with dynasore (an inhibitor of
dynamin, implicated in endocytic pathways) reduced fluorescein uptake
irrespective of MPS treatment. The non-ionic surfactant Tetronic 1107 (present in
both MPS associated with corneal fluorescein staining) increased uptake of
fluorescein for both cell types, whereas an unrelated surfactant (Triton X-100)
did not. We conclude that the clinical hyperfluorescence profile observed after
exposure to four MPS can be reproduced using a simple model of cellular
fluorescein uptake, suggesting this is the biological basis for SICS. Fluorescein
entry does not correlate with necrosis or apoptosis, but instead involves a
dynamin-dependent active process. Moreover the surfactant Tetronic 1107 appears
to be a key MPS constituent triggering increased fluorescein entry, and may be
the major factor responsible for SICS.
PMID- 29800727
TI - Identification and application of self-binding zipper-like sequences in SARS-CoV
spike protein.
AB - Self-binding peptides containing zipper-like sequences, such as the Leu/Ile
zipper sequence within the coiled coil regions of proteins and the cross-beta
spine steric zippers within the amyloid-like fibrils, could bind to the protein
of-origin through homophilic sequence-specific zipper motifs. These self-binding
sequences represent opportunities for the development of biochemical tools and/or
therapeutics. Here, we report on the identification of a putative self-binding
beta-zipper-forming peptide within the severe acute respiratory syndrome
associated coronavirus spike (S) protein and its application in viral detection.
Peptide array scanning of overlapping peptides covering the entire length of S
protein identified 34 putative self-binding peptides of six clusters, five of
which contained octapeptide core consensus sequences. The Cluster I consensus
octapeptide sequence GINITNFR was predicted by the Eisenberg's 3D profile method
to have high amyloid-like fibrillation potential through steric beta-zipper
formation. Peptide C6 containing the Cluster I consensus sequence was shown to
oligomerize and form amyloid-like fibrils. Taking advantage of this, C6 was
further applied to detect the S protein expression in vitro by fluorescence
staining. Meanwhile, the coiled-coil-forming Leu/Ile heptad repeat sequences
within the S protein were under-represented during peptide array scanning, in
agreement with that long peptide lengths were required to attain high helix
mediated interaction avidity. The data suggest that short beta-zipper-like self
binding peptides within the S protein could be identified through combining the
peptide scanning and predictive methods, and could be exploited as biochemical
detection reagents for viral infection.
PMID- 29800729
TI - Is it still speech? Different processing strategies in learning to discriminate
stimuli in the transition from speech to non-speech including feedback
evaluation.
AB - Processing of speech was investigated by using stimuli gradually changing from
speech (vowels) to non-speech (spectral rotated vowels). Stimuli were presented
in descending levels of vocalization blends, from pure speech to non-speech,
through step-wise combinations, resulting in ambiguous versions of the sounds.
Participants performed a two-alternative forced choice task: categorization of
sounds were made according to whether they contained more speech or non-speech.
Performance feedback was presented visually on each trial. Reaction times (RT)
after sound presentation, and functional magnetic resonance imaging (fMRI) data
during auditory and visual processing, were analyzed. RT data suggested
individual differences with a distinct group, good performers, functioning better
in distinguishing stimuli with a higher degree of ambiguous blends compared to
poor performers, who were not able to distinguish these stimuli correctly. fMRI
data confirmed this finding. During auditory stimulation, good performers showed
neural activation in the ventral auditory pathway, including the primary auditory
cortex and the anterior superior temporal sulcus (responsible for speech
processing). Poor performers, in contrast, showed neural activation in the dorsal
auditory pathway, including the bilateral superior temporal gyrus. Group
differences were also found for visual feedback processing. Differences observed
between the groups were interpreted as reflecting different neural processing
strategies.
PMID- 29800730
TI - The ADRA2A rs553668 variant is associated with type 2 diabetes and five variants
were associated at nominal significance levels in a population-based case-control
study from Mexico City.
AB - Type 2 diabetes (T2D) is a disease with a prevalence of 9.4% in Mexicans. Its
etiology is complex involving environmental and genetic factors. The aim of this
study was to analyse the association between PPARG rs1801282, PPARGC1A rs8192678,
VEGFA rs2010963, ADRA2A rs553668, KCNQ1 rs2237892, SIRT1 rs7896005, IGF2BP2
rs4402960, and UCP3 rs3781907 single nucleotide variants (SNVs) with T2D and
metabolic traits in a case-control study of a population from Mexico City. A
total of 831 blood samples of non-diabetic, with healthy control participants
(416) and individuals with T2D (415) were collected over a five-year period.
After DNA extraction, genotyping was performed with TaqMan probes using real-time
PCR. The genotypes were analysed for association with T2D in linear and logistic
regressions adjusting for age, sex, and body mass index using the dominant,
recessive, and additive models with a Bonferroni correction for multiple
comparisons p < 0.001 and for association with related T2D traits fixed with a p
< 2.3 * 10-4. The univariate analysis gives a significant (p < 1 * 10-4) for sex,
triglycerides, and HOMA-IR. Significant association with T2D was found for ADRA2A
rs553668 under the recessive model (OR = 3.640 and 95% CI of 2.330-5.690 (p < 1 *
10-4); statistical power 0.999) and under the additive model (OR = 1.640 and 95%
CI of 1.340-2.000 (p < 1 * 10-4); statistical power 0.997). Variants PPARG
rs1801282, PPARGC1A rs8192678, SIRT1 rs7896005, IGF2BP2 rs4402960 and UCP3
rs3781907 were nominally associated (p > 0.001 and <0.050). Results describe
association of ADRA2A rs553668 with T2D in a Mexican population. Variants with
nominal association with T2D require to be replicated in additional Mexican
populations.
PMID- 29800728
TI - Characterization of designed, synthetically accessible bryostatin analog HIV
latency reversing agents.
AB - HIV latency in resting CD4+ T cell represents a key barrier preventing cure of
the infection with antiretroviral drugs alone. Latency reversing agents (LRAs)
can activate HIV expression in latently infected cells, potentially leading to
their elimination through virus-mediated cytopathic effects, host immune
responses, and/or therapeutic strategies targeting cells actively expressing
virus. We have recently described several structurally simplified analogs of the
PKC modulator LRA bryostatin (termed bryologs) designed to improve synthetic
accessibility, tolerability in vivo, and efficacy in inducing HIV latency
reversal. Here we report the comparative performance of lead bryologs, including
their effects in reducing cell surface expression of HIV entry receptors,
inducing proinflammatory cytokines, inhibiting short-term HIV replication, and
synergizing with histone deacetylase inhibitors to reverse HIV latency. These
data provide unique insights into structure-function relationships between A- and
B-ring bryolog modifications and activities in primary cells, and suggest that
bryologs represent promising leads for preclinical advancement.
PMID- 29800731
TI - Genome-wide identification of genes involved in polyamine biosynthesis and the
role of exogenous polyamines in Malus hupehensis Rehd. under alkaline stress.
AB - Polyamines (PAs) in plants are growth substrates with functions similar to
phytohormones. Although they contribute to diverse processes, little is known
about their role in stress responses, especially for perennial woody plants. We
conducted a genome-wide investigation of 18 sequences involved in PA biosynthesis
in the genome of apple (Malus domestica). Further analysis was performed to
construct a phylogenetic tree, analyze their protein motifs and gene structures.
In addition, we developed their expression profiles in response to stressed
conditions. Both MDP0000171041 (MdSAMDC1) and MDP0000198590 (MdSPDS1) were
induced by alkaline, salt, ABA, cold, and dehydration stress treatments,
suggesting that these genes are the main contributors to activities of S
adenosylmethionine decarboxylase (EC 4.1.1.50) and spermidine synthase (EC
2.5.1.16) in apple. Changes in PA biosynthesis under stress conditions indicated
that spermidine and spermine are more essential than putrescine for apple,
especially when responding to alkaline or salt stress. When seedlings of M.
hupehensis Rehd. were supplied with exogenous PAs, their leaves showed less
chlorosis under alkaline stress when compared with untreated plants. This
application also inhibited the decline in SPAD levels and reduced relative
electrolyte leakage in those stressed seedlings, while increasing their
concentration of active iron. These results suggest that the alteration in PA
biosynthesis confers enhanced tolerance to alkaline stress in M. hupehensis Rehd.
PMID- 29800732
TI - Bovine pituitary homeobox 2 (PITX2): mRNA expression profiles of different
alternatively spliced variants and association analyses with growth traits.
AB - Pituitary homeobox 2 (PITX2) plays crucial roles in embryogenesis, ontogenesis,
growth, and development via the Wnt/beta-catenin and POU1F1 pathways. To better
understand the characteristics and genetic effects of the cattle PITX2 gene, we
identified alternative PITX2 splicings, examined the effects of the spliced
variants on mRNA expression levels in tissues, and then used association analyses
to explore the relationships between a PITX2 deletion genetic variant and growth
traits in 750 native Chinese cattle. An unreported spliced variant of PITX2,
designated here as PITX2-V1, was identified in cattle using in silico cloning and
RT-PCR. The entire coding sequence of PITX2 is 978 bp, encoding 325 amino acids,
whereas that of PITX2-V1 is 357 bp encoding 118 amino acids. Cattle PITX2
exhibited both a perfect homeodomain and an OAR domain, but PITX2-V1 lacked the
homeodomain. Analyses with qRT-PCR showed that the expression level of PITX2 in
cattle testis was very low, and PITX2-V1 was only very slightly expressed in the
brain and testis. Furthermore, a 24 bp deletion was detected within PITX2 intron,
and the different genotypes were significantly associated with growth traits
(e.g., body height, body length, heart girth) in four cattle breeds (P < 0.05).
These results are of direct benefit to future cattle breeding, and provide new
insights into the characteristics and functions of cattle PITX2 gene.
PMID- 29800733
TI - Dissecting metabolic behavior of lipid over-producing strain of Mucor
circinelloides through genome-scale metabolic network and multi-level data
integration.
AB - Lipid accumulation is an important cellular process of oleaginous microorganisms.
To dissect metabolic behavior of oleaginous Zygomycetes, the lipid over-producing
strain, Mucor circinelloides WJ11, was subjected for omics-scale analysis. The
genome annotation was improved and used for construction of genome-scale
metabolic network of WJ11 strain. Then, the quality of the metabolic network was
enhanced by incorporating gene and protein expression data. In addition to the
known oleaginous genes, our results showed a number of newly identified unique
genes of WJ11 strain, which involved in central carbon metabolism, lipid, amino
acid and nitrogen metabolisms. The systematic compilations indicated the
additional metabolic routes with the involvement in supplying precursors (acetyl
CoA, NADPH and fatty acyl substrate) for fatty acid and lipid biosynthesis.
Interestingly, amino acid metabolism played a substantial role in responsive
mechanism of the fungal cells to nutrient imbalance circumstance through
lipogenesis as the finding of reporter metabolites (l-methionine, l-glutamate, l
aspartate, l-asparagine and l-glutamine) at lipid-accumulating stage. The
cooperative function of certain lipid-degrading enzymes at the particular growth
stage was elucidated by integrating the metabolic networks with gene expression
data. The unique feature of carotenoid biosynthetic route in WJ11 strain was also
identified by protein domain analysis. Taken together, there were cross
functional metabolisms in regulating lipid biosynthesis and retaining high level
of cellular lipids in the representative of lipid over-producing strains.
PMID- 29800734
TI - Gender-specific association between Apelin/APJ gene polymorphisms and
hypertension risk in Southeast China.
AB - To explore the role of genetic factors in the pathogenesis of hypertension, our
study investigated the gender-specific association between four polymorphisms in
the Apelin/APJ gene and hypertension risk in southeastern Chinese population. All
participants including 645 hypertensive patients and 362 normotensive controls
were genotyped for 4 gene polymorphisms associated with hypertension
susceptibility including Apelin (rs909656, rs5975126) and APJ (rs10501367,
rs11544374). According to genotype analysis, for male subjects, the frequencies
of genotypes (P = 0.046 and 0.046, respectively) of rs10501367 and rs11544374
revealed significant differences between the hypertension and control groups.
Moreover, for female subjects, there was significant difference on the genotype
distribution of rs11544374 between two groups (P = 0.046). The association of
rs10501367 with hypertension was significant for males under additive models and
recessive models, even after adjusting for age, BMI, fasting glucose and
waistline. Besides, significant association was observed for rs11544374 in
females under additive models. As for haplotype analysis, haplotype T-A (in order
of rs10501367 and rs11544374) in APJ gene was marginally overrepresented in
controls (17.9%) compared to patients with hypertension (11.6%) in males (P =
0.003). The mutation of polymorphism rs10501367 in APJ gene decreased risk of
hypertension in Chinese males.
PMID- 29800735
TI - Increased expression of FHL2 promotes tumorigenesis in cervical cancer and is
correlated with poor prognosis.
AB - PURPOSE: Increasing evidence demonstrates that the four and a half LIM domain
(FHL) gene and its protein products have different functions in the progression
of various malignancies. However, the role of FHL protein 2 (FHL2) in cervical
cancer (CC) has not been fully elucidated. In this study, we investigated the
prognostic value of FHL2 expression in human CC tissues and the potential
molecular mechanisms through which FHL2 modulates CC cell proliferation and
apoptosis. MATERIALS AND METHODS: We measured FHL2 expression in CC cell lines
and tissues by quantitative real-time polymerase chain reaction and Western blot
assays. The effects of FHL2 knockdown on cell proliferation and apoptosis in two
CC cell lines were examined using RNA interference, cell counting kit-8, Western
blot and flow cytometry assays. Furthermore, we assessed phosphorylated protein
kinase B (p-AKT) and phosphorylated mammalian target of rapamycin (p-mTOR)
expression in two CC cell lines to determine whether the AKT/mTOR pathway is
involved in the effects of FHL2 silencing on cell proliferation and apoptosis.
Nude mice tumorigenicity experiments were also performed to evaluate the effects
of FHL2 on HeLa cell growth in vivo. RESULTS: We found that FHL2 was
significantly upregulated in CC cell lines and tissues. According to survival
curves, high FHL2 expression levels in patients were correlated with poor
prognosis. Moreover, by decreasing p-AKT and p-mTOR protein levels, silencing
FHL2 significantly inhibited cell proliferation and induced apoptosis. FHL2
knockdown also induced apoptosis by increasing the Bax-to-Bcl2 ratio. By
contrast, FHL2 overexpression significantly promoted cell proliferation. Finally,
decreased tumour growth in an in vivo animal model also demonstrated the tumour
suppressing effects of FHL2 knockdown. CONCLUSION: Our findings indicate that
FHL2 is an important prognostic factor in CC and that it plays a crucial
oncoprotein role by promoting cell proliferation and inhibiting apoptosis in CC,
possibly by targeting the AKT/mTOR pathway.
PMID- 29800736
TI - Novel insights into TOR signalling in Saccharomyces cerevisiae through Torin2.
AB - Target of rapamycin (TOR) regulates cellular homeostasis by coordinating cellular
growth pathways in response to different environmental signals. Rapamycin, an
allosteric TOR complex 1 (TORC1) inhibitor, has proven to be invaluable for
elucidating various aspects of the TOR signalling pathway; however, its
applications are limited due to its inability to completely suppress TORC2. In
the present study, we examined the effects of a newly discovered potent TOR
inhibitor, Torin2, which inhibits both TORC1 and TORC2, on Saccharomyces
cerevisiae growth. Genome-scale expression profiling of Torin2 treated yeast
cells showed an expression profile similar to that of other TOR inhibitors such
as rapamycin and caffeine. Distinct inhibition of cell growth by Torin2 treatment
is indicated by the fact that a smaller number of transcripts are altered,
compared to the changes after rapamycin and caffeine treatments. Our results
revealed that Torin2 leads to increased expression of the calcineurin pathway
genes favouring a synergistic therapeutic response of Torin2 in combination with
calcineurin inhibitors. Further, Torin2 causes defective bud site selection
during asymmetric cell division, indicating a role of TOR signalling in
regulation of the budding pattern. Torin2 treated yeast cells exhibit increased
expression of metalloreductases which affects iron homeostasis leading to iron
toxicity. Notably, the enhanced expression of TOR1 and TOR2 rescue the Torin2
augmented iron toxicity of yeast cell. This study has revealed novel conduits and
our results suggest that using Torin2 will enable the dissection of TORC2
mediated functions of the TOR signalling pathway.
PMID- 29800737
TI - Nanoemulsion containing 8-methoxypsoralen for topical treatment of dermatoses:
Development, characterization and ex vivo permeation in porcine skin.
AB - Oral therapy with 8-methoxypsoralen (8-MOP) may cause major side effects, whereas
the topical treatment might not be much effective due to the low penetration
induced by typical formulations. Therefore, the objectives of this work are the
development and characterization of a nanoemulsion (NE) containing 8-MOP together
with an ex vivo permeation study, monitored by a validated HPLC-Fluo method, to
determine the amount of drug retained in viable skin (epidermis (E) and dermis
(D)) and in stratum corneum (SC). The optimized conditions for NE formulation
were achieved by full factorial designs (25 and 32): 60 s and 60% of ultrasound
time and potency, respectively; 10 mL of final volume; 2% v/v of oil phase (clove
essential oil); and 10% m/v of Poloxamer 407. The NE showed mean droplet diameter
of 24.98 +/- 0.49 nm, polydispersity index (PDI) of 0.091 +/- 0.23, pH values of
6.54 +/- 0.06, refractive index of 1.3525 +/- 0.0001 and apparent viscosity of
51.15 +/- 3.66 mPa at 20 degrees C. Droplets with nanospherical diameters were
also observed by transmission electron microscopy (TEM). Ex vivo permeation study
showed that 8.5% of the applied 8-MOP dose permeated through the biological
membranes, with flux (J) of 1.35 MUg cm-2 h-1. The drug retention in E + D and in
SC was 10.15 +/- 1.36 and 1.95 +/- 0.71 ug cm-2, respectively. Retention in
viable skin induced by the NE was almost two-fold higher than a compounded cream
(5.04 +/- 0.30 MUg cm-2). These results suggested that the developed NE is a
promising alternative for 8-MOP topical therapy when compared to commercial
formulations.
PMID- 29800738
TI - Improving the therapeutic efficacy of prilocaine by PLGA microparticles:
Preparation, characterization and in vivo evaluation.
AB - A delivery system based on poly(lactic-co-glycolic acid) polymer (PLGA)
microparticles has been developed for parenteral administration of the local
anesthetic prilocaine in its free base form. Both drug-free and drug-loaded
microparticles, prepared by a double-emulsion-evaporation method, were
characterized for mean size by Laser Diffraction Analysis, while their morphology
was investigated by scanning electron microscopy. The preparation technique
allowed obtainment of homogeneous microparticles of about 25 um diameter,
suitable for subcutaneous administration. The encapsulation efficiency,
determined by both direct and indirect methods, was around 36-38%. Differential
Scanning Calorimetry was used to characterize the solid state of the raw
materials, assess drug-polymer compatibility and miscibility and highlight
possible modifications of the components induced by the preparation method. In
vitro release studies showed a sustained release profile, with about 80% of drug
released after the first 24 h. The anesthetic effect of the formulation was
evaluated in vivo on rats, according to the test of cutaneous trunci muscle
reflex. Administration of prilocaine base as PLGA microparticles allowed to
significantly enhance both extent (60% AUC increase) and duration (100% increase)
of the anesthetic effect in the animal model, in comparison with the equivalent
dose of prilocaine hydrochloride aqueous solution.
PMID- 29800740
TI - Combination of PLGA nanoparticles with mucoadhesive guar-gum films for buccal
delivery of antihypertensive peptide.
AB - Oral administration of proteins and peptides still is a challenging task to
overcome due to low permeability through absorptive epithelia, degradation and
metabolism that lead to poor bioavailability. Attempting to overcome such
limitations, an antihypertensive peptide derived from whey protein, with
KGYGGVSLPEW sequence, was incorporated for the first time into polymeric
nanoparticles. An experimental design was followed in order to optimize drug
loading, association efficiency, mean particle size, zeta-potential and
polydispersity index of a formulation of poly(lactic-co-glycolic acid) (PLGA)
nanoparticles as carriers for bioactive peptides. In sequence, peptide-loaded
PLGA nanoparticles were incorporated in a guar-gum film matrix, resulting in a
combined delivery system aiming to promote slow release and permeation across
buccal epithelium. Neither PLGA nanoparticles, guar-gum films nor the conjugation
of PLGA nanoparticles and guar-gum films (GfNp) significantly compromised in
vitro TR146 human buccal carcinoma cell line viability after 12 h contact, as
assessed by 3-(4,5-Dimethylthiazol-2-yl)-2,5-Diphenyltetrazolium Bromide
reduction assay (MTT). In vitro release assay for developed formulations allowed
to conclude that the combination of orodispersible film and nanoparticles granted
a slower release of AhP when compared with PLGA or guar-gum films alone or with
control. GfNp offered more effective, synergistic, in vitro permeation of TR146
cell multilayer in comparison with guar-gum films or PLGA nanoparticles alone.
The combination of PLGA nanoparticles with guar-gum films represent a suitable
alternative to conventional per os delivery systems, leading to an increased
buccal permeability of carried antihypertensive peptide.
PMID- 29800739
TI - The use of low molecular weight protamine to enhance oral absorption of
exenatide.
AB - Although oral delivery of exenatide has significant advantages, its poor
permeability through intestinal epithelial membranes and rapid digestion by
pepsin and ereptase in the gastrointestinal tract make effective oral delivery of
exenatide a formidable challenge. In this study, we constructed a zinc ion (Zn2+)
and exenatide complex functionalized nanoparticle (NP) oral delivery system to
overcome the above-mentioned issue. Polyethylene glycol-poly(lactic-co-glycolic
acid) (PEG-PLGA) was used as a drug carrier to escape enzymatic degradation in
the gastrointestinal tract, and low molecular weight protamine (LMWP) was used as
a functional group to increase penetration of NPs into the intestinal epithelium.
The functionalized NPs exhibited significantly improved penetration across the
intestinal epithelium, as shown by cell uptake and transmembrane transport
experiments. Moreover, a significant hypoglycemic effect was observed in diabetic
rats. The relative bioavailability of the orally administered functionalized NPs
vs. subcutaneous injection was 7.44%, 29-fold that of the exenatide-Zn2+ solution
group. These findings indicate that our modification could effectively improve
exenatide treatment.
PMID- 29800741
TI - Development of fluorometholone-loaded PLGA nanoparticles for treatment of
inflammatory disorders of anterior and posterior segments of the eye.
AB - The main objective of this study was the development and optimization of
fluorometholone-loaded PLGA nanoparticles for the treatment of inflammatory
conditions of the eye. Design of experiments was used to obtain nanoparticles
with the best physicochemical characteristics. The optimized nanoparticles
containing 1.5 mg.mL-1 of fluorometholone showed a negative surface charge (-30
mV) and an average size below 200 nm being suitable for ocular administration.
Drug-polymer interaction studies confirmed no new bonds were formed during the
synthesis. Nanoparticles performance was assessed with biopharmaceutical behavior
studies, ocular tolerance, anti-inflammatory efficacy and bioavailability. The
biopharmaceutical behavior of the drug from nanoparticles was adjusted to
hyperbola order showing a significantly greater permeation in the cornea than in
the sclera. The optimized formulation had significantly greater anti-inflammatory
effects than the commercial formulation. In addition, nanoparticles increased
drug penetration toward the vitreous. Polymeric nanoparticles of fluorometholone
could provide a suitable alternative for the treatment of inflammatory disorders
of the anterior and posterior segments of the eye against of conventional topical
formulations.
PMID- 29800742
TI - Bioactivity-based analysis and chemical characterization of cytotoxic
constituents from Chaga mushroom (Inonotus obliquus) that induce apoptosis in
human lung adenocarcinoma cells.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Inonotus obliquus, also known as Chaga mushroom,
is one of the most widely appreciated wild edible mushrooms in Russia and
northern European countries and is renowned for its use in cancer treatment.
Indeed, recently published in vitro and in vivo studies have demonstrated its
anticancer activity in various types of cancer and support its potential
application for therapeutic intervention in cancer. However, its activity against
lung cancer, the most commonly diagnosed cancer and the leading cause of cancer
death worldwide, and the underlying molecular basis of its action remain to be
fully elucidated. OBJECTIVE: This study aimed to evaluate the cytotoxic activity
of I. obliquus in four human lung adenocarcinoma cell lines with different p53
status (A549, H1264, H1299, and Calu-6) and identify its active constituents by
bioactivity-based analysis and the underlying molecular basis of their
cytotoxicity on lung cancer cells. MATERIALS AND METHODS: Bioactivity-guided
fractionation and preparative/semi-preparative HPLC purification were used with
LC/MS analysis to separate the bioactive constituents. Cell viability and
apoptosis in human lung cancer cell lines (A549, H1264, H1299, and Calu-6) were
assessed using the WST-1 assay and TUNEL staining, respectively. Caspase
activation was assessed by detecting its surrogate markers, cleaved poly (ADP
ribose) polymerase (PARP) and caspase-3, using an immunoblot assay. RESULTS: The
MeOH extract of I. obliquus reduced cell viability in all lung cancer cell lines
tested through induction of apoptosis accompanied by caspase-3 cleavage.
Bioactivity-guided fractionation of the MeOH extract and chemical investigation
of its cytotoxic hexane-soluble and CH2Cl2-soluble fractions led to the isolation
of eight triterpenoids (1-8), including a new lanostane-type triterpenoid named
chagabusone A (7). The structures of the isolates were elucidated based on
spectroscopic analysis, including 1D and 2D NMR and high-resolution ESIMS. Among
isolated compounds, compounds 1, 6, and 7 showed the most potent cytotoxic
activity in all human lung cancer cell lines examined, with IC50 values ranging
from 75.1 to 227.4 MUM. Cytotoxicity of these compounds was mediated by apoptosis
with caspase-3 activation. CONCLUSION: These findings provide experimental
evidence supporting the potential application of I. obliquus in lung cancer
treatment and reveal the molecular basis underlying its cytotoxic activity
against human lung cancer cells.
PMID- 29800743
TI - Plants for health: An ethnobotanical 25-year repeat survey of traditional
medicine sold in a major marketplace in North-west Yunnan, China.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Although quantitative surveys of traditional
medicine markets are widely used to gain insights into the economic value,
popularity or conservation status of traditional medicinal species, there have
been very few repeat surveys. This study is an exception. The research was
carried out in Sanyuejie Market in North-west Yunnan Province in China for three
reasons. Firstly, Sanyuejie Market is large and historically significant, having
operated since the Tang Dynasty (794 CE). Secondly, Sanyuejie Market is located
along the "Tea-Horse Road" and "Southern Silk Road", and as such the trade from
this market radiates widely throughout China and into neighboring countries such
as Myanmar, Nepal and India. Thirdly, a comprehensive and systematic survey of
the market was completed in 1987/88 and so this provided a unique opportunity to
conduct a repeat quantitative survey. The findings, highlighting changes in
species sold and the reasons why the changes have occurred, are highly relevant
to ethnopharmacology at a time of substantial socio-economic and cultural change
throughout China. AIM OF THE STUDY: To understand the changes in the medicinal
plants trade at Sanyuejie Market between 1987/88 and 2012/13. MATERIALS AND
METHODS: A quantitative ethnobotanical re-surveying involving literature review,
key informant interviews and visual observations of vendor booths at the market
and village investigations, was carried out in Sanyuejie. RESULTS: In 1987/88,
401 types of herbal preparations derived from 505 species in 111 families and 315
genera were sold in the market. In 2012/13, 623 herbal preparations derived from
709 species in 173 families and 451 genera were being sold. There were 105 types
of herbal preparations originating from 115 species that were recorded in 1987/88
but are no longer sold at the market 25 years later. In addition, 326 types of
herbal medicine preparations originating from 336 species have been added to the
market since the original survey. Labor divisions have also appeared in the
market. CONCLUSION: This study validates that the diversity of herbal medicines
sold at Sanyuejie Market has increased and changed greatly. The growing demands
for traditional herbal medicines combined with government initiatives to develop
the local economy are drivers of the observed changes.
PMID- 29800744
TI - Detection of the antimicrobial resistance genes blaTEM-1, cfxA, tetQ, tetM, tetW
and ermC in endodontic infections of a Mexican population.
AB - OBJECTIVES: The aim of this study was to identify the prevalence of genes
encoding resistance to three groups of antimicrobial agents in root canal samples
from primary infection or post-treatment disease in Mexico. METHODS: A total of
64 subjects requiring root canal treatment because of primary infection or post
treatment disease were enrolled in this cross-sectional analytical study. Root
canal samples were obtained and DNA was isolated. Specific primers for six
antimicrobial resistance genes (ARGs) and seven bacterial taxa (five genera and
two species) were used. Student's t-test, chi2 test and Fisher's exact test were
applied where appropriate to detect statistical differences. RESULTS: The blaTEM
1, ermC and tetM genes were found more frequently in the post-treatment disease
group compared with the primary infection group. The occurrence of assessed
bacteria was similar in both groups, except for Enterococcus spp. and
Porphyromonas endodontalis, which were found at a significantly higher frequency
in the post-treatment disease group. It was observed that the post-treatment
disease group harboured more ARGs. The most frequent ARG was tetW, whereas tetQ
and cfxA were not detected in any case. With respect to bacterial taxa,
Fusobacterium spp. was present in 100% of samples, whereas Porphyromonas
gingivalis was not observed in any of the samples. CONCLUSIONS: At least one ARG
was detected in all cases; moreover, 32.8% of samples were positive for four
ARGs, 54.7% for three ARGs, 9.4% for two ARGs and only 3.1% for one ARG. This
indicates a high prevalence and diversity of ARGs in these root canal samples.
PMID- 29800745
TI - Draft genome of a ST443 mcr-1- and blaCTX-M-2-carrying Escherichia coli from
cattle in Brazil.
AB - OBJECTIVES: Colistin is used in Brazil for the treatment of food-producing
animals. The colistin resistance gene mcr-1 has already been reported from
chicken and swine in this country. Here we report the draft genome of an
Escherichia coli isolate presenting both an extended-spectrum beta-lactamase
(ESBL) gene and the mcr-1 gene in a healthy cow in Brazil. METHODS: Whole genomic
DNA from E. coli E12 was extracted and 2* 150-bp paired-end reads were generated
using Illumina sequencing technology. De novo genome assembly was performed using
SPAdes v.3.11 and the draft genome was annotated using the NCBI Prokaryotic
Genome Annotation Pipeline (PGAP). Further analyses were performed using Center
for Genomic Epidemiology databases. Southern blots were performed to characterise
plasmid location. RESULTS: The 5024393-bp genome displayed several resistance
genes, including the mcr-1 and blaCTX-M-2 genes. These two genes were located on
different plasmids (mcr-1 on an IncX4 plasmid and blaCTX-M-2 on an IncF plasmid).
CONCLUSION: The genome sequence reported here can be compared with previously
published genomes for mcr-1-producing isolates. This will ultimately help to
understand the routes of dissemination of the resistance genes.
PMID- 29800746
TI - Multilevel Opportunities to Address Lung Cancer Stigma across the Cancer Control
Continuum.
AB - The public health imperative to reduce the burden of lung cancer has seen
unprecedented progress in recent years. Fully realizing the advances in lung
cancer treatment and control requires attention to potential barriers in their
momentum and implementation. In this analysis, we present and evaluate the
argument that stigma is a highly significant barrier to fulfilling the clinical
promise of advanced care and reduced lung cancer burden. This evaluation of the
stigma of lung cancer is based on a multilevel perspective that incorporates the
individual, persons in the individual's immediate environment, the health care
system, and the larger societal structure that shapes perceptions and decisions.
We also consider current interventions and interventional needs within and across
aspects of the lung cancer continuum, including prevention, screening, diagnosis,
treatment, and survivorship. Current evidence suggests that stigma detrimentally
affects psychosocial, communication, and behavioral outcomes over the entire lung
cancer control continuum and across multiple levels. Interventional efforts to
alleviate stigma in the context of lung cancer show promise, yet more work is
needed to evaluate their impact. Understanding and addressing the multilevel role
of stigma is a crucial area for future study to realize the full benefits offered
by lung cancer prevention, control, and treatment. Coordinated,
interdisciplinary, and well-conceptualized efforts have the potential to reduce
the barrier of stigma in the context of lung cancer and facilitate demonstrable
improvements in clinical care and quality of life.
PMID- 29800748
TI - Cationic Au(I) complexes with aryl-benzothiazoles and their antibacterial
activity.
AB - Two cationic Au(I) complexes derived from aryl-benzothiazoles, namely
[(PPh3)Au(pbt)](OTf) (1) and [(PPh3)Au(qbt)](OTf) (2) (where pbt = 2
(pyridyl)benzothiazole and qbt = (quinolyl)benzothiazole, and OTf- =
trifluoromethanesulfonate anion), have been synthesized and structurally
characterized by X-ray crystallography. Both complexes exhibit strong
antibacterial effects against Gram-negative bacteria such as Acinetobacter
baumannii and Pseudomonas Aeruginosa. Results of examination of the reactions of
1 and 2 indicate that these cationic Au(I) complexes rapidly cross the bacterial
membrane and exert drug action by disrupting cellular function(s) through binding
of cytosolic thiol-containing peptides (such as glutathione) and proteins to the
highly reactive (PPh3)Au+ intermediate formed upon in situ dissociation of pbt or
qbt.
PMID- 29800747
TI - PD-L1 Immunohistochemistry Comparability Study in Real-Life Clinical Samples:
Results of Blueprint Phase 2 Project.
AB - OBJECTIVES: The Blueprint (BP) Programmed Death Ligand 1 (PD-L1)
Immunohistochemistry Comparability Project is a pivotal academic/professional
society and industrial collaboration to assess the feasibility of harmonizing the
clinical use of five independently developed commercial PD-L1
immunohistochemistry assays. The goal of BP phase 2 (BP2) was to validate the
results obtained in BP phase 1 by using real-world clinical lung cancer samples.
METHODS: BP2 were conducted using 81 lung cancer specimens of various
histological and sample types, stained with all five trial-validated PD-L1 assays
(22C3, 28-8, SP142, SP263, and 73-10); the slides were evaluated by an
international panel of pathologists. BP2 also assessed the reliability of PD-L1
scoring by using digital images, and samples prepared for cytological
examination. PD-L1 expression was assessed for percentage (tumor proportional
score) of tumor cell (TC) and immune cell areas showing PD-L1 staining, with TCs
scored continuously or categorically with the cutoffs used in checkpoint
inhibitor trials. RESULTS: The BP2 results showed highly comparable staining by
the 22C3, 28-8 and SP263 assays; less sensitivity with the SP142 assay; and
higher sensitivity with the 73-10 assay to detect PD-L1 expression on TCs. Glass
slide and digital image scorings were highly concordant (Pearson correlation
>0.96). There was very strong reliability among pathologists in TC PD-L1 scoring
with all assays (overall intraclass correlation coefficient [ICC] = 0.86-0.93),
poor reliability in IC PD-L1 scoring (overall ICC = 0.18-0.19), and good
agreement in assessing PD-L1 status on cytological cell block materials (ICC =
0.78-0.85). CONCLUSION: BP2 consolidates the analytical evidence for
interchangeability of the 22C3, 28-8, and SP263 assays and lower sensitivity of
the SP142 assay for determining tumor proportion score on TCs and demonstrates
greater sensitivity of the 73-10 assay compared with that of the other assays.
PMID- 29800750
TI - Acute biventricular hemodynamic effects of cardiac resynchronization therapy in
right bundle branch block.
AB - BACKGROUND: Controversy remains regarding the use of cardiac resynchronization
therapy (CRT) in patients with heart failure with right bundle branch block
(RBBB) and reduced left ventricular (LV) ejection fraction. Moreover, little is
known about acute hemodynamic changes with CRT in this subgroup as compared with
patients with left bundle branch block (LBBB). OBJECTIVE: The purpose of this
study was to evaluate the acute biventricular hemodynamic response of CRT and
other pacing configurations, including the effects of atrioventricular (AV) delay
and atrial pacing, to understand the effects of CRT in RBBB. METHODS: Forty
patients (9 with RBBB and 31 with LBBB) undergoing CRT implantation underwent
temporary pacing with varying configurations and AV delay. The acute hemodynamic
response was assessed via invasive measurements of dP/dtmax (maximal rate of
change in pressure) in the left ventricle (LV) as well as the right ventricle
(RV) in patients with RBBB. RESULTS: Patients with LBBB had a greater LV dP/dtmax
response to CRT than did patients with RBBB. In patients with RBBB, single- or
dual-site RV pacing configurations resulted in greater increases in RV dP/dtmax
than did biventricular pacing. Optimal AV delays that maximized RV dP/dtmax were
shorter than optimal AV delays for LV dP/dtmax. Furthermore, AV delays chosen to
maximize improvement in RV dP/dtmax frequently resulted in negative effects on LV
dP/dtmax. CONCLUSION: These findings demonstrate a complex relationship between
pacing configuration, AV delay, and hemodynamic responses. The biventricular
hemodynamic response in patients with heart failure with RBBB might be improved
by optimizing pacing modalities and AV delays. This may be particularly important
in patients with diseases in whom RV failure predominates, such as patients with
pulmonary hypertension and LV assist device.
PMID- 29800751
TI - How a drug developer thinks about a new ophthalmic product.
PMID- 29800749
TI - The electrophysiological effects of nicotinic and electrical stimulation of
intrinsic cardiac ganglia in the absence of extrinsic autonomic nerves in the
rabbit heart.
AB - BACKGROUND: The intrinsic cardiac nervous system (ICNS) is a rich network of
cardiac nerves that converge to form distinct ganglia and extend across the heart
and is capable of influencing cardiac function. OBJECTIVE: To provide a picture
of the neurotransmitter/neuromodulator profile of the rabbit ICNS and determine
the action of spatially divergent ganglia on cardiac electrophysiology. METHODS:
Nicotinic or electrical stimulation was applied at discrete sites of the
intrinsic cardiac nerve plexus in the Langendorff perfused rabbit heart.
Functional effects on sinus rate and atrioventricular conduction were measured.
Immunohistochemistry for choline acetyltransferase (ChAT), tyrosine hydroxylase
(TH) and/or neuronal nitric oxide synthase (nNOS) was performed on whole-mount
preparations. RESULTS: Stimulation within all ganglia produced either
bradycardia, tachycardia or a biphasic brady-tachycardia. Electrical stimulation
of the right atrial (RA) and right neuronal cluster (RNC) regions produced the
greatest chronotropic responses. Significant prolongation of atrioventricular
conduction (AVC) was predominant at the pulmonary vein-caudal vein region (PVCV).
Neurons immunoreactive (IR) only for ChAT, or TH or nNOS were consistently
located within the limits of the hilum and at the roots of the right cranial and
right pulmonary veins. ChAT-IR neurons were most abundant (1946+/-668 neurons).
Neurons IR solely for nNOS were distributed within ganglia. CONCLUSION:
Stimulation of intrinsic ganglia, shown to be of phenotypic complexity but
predominantly of cholinergic nature, indicates that clusters of neurons are
capable of independent selective effects on cardiac electrophysiology, therefore
providing a potential therapeutic target for the prevention and treatment of
cardiac disease.
PMID- 29800752
TI - Omalizumab Effectiveness by Biomarker Status in Patients with Asthma: Evidence
From PROSPERO, A Prospective Real-World Study.
AB - BACKGROUND: Omalizumab has demonstrated efficacy in clinical trials of patients
with asthma, but real-world data are needed. OBJECTIVE: To assess outcomes after
omalizumab initiation in patients with asthma in a real-world setting. METHODS:
Patients aged 12 years and older with allergic asthma who were candidates for
omalizumab on the basis of physician-assessed need were enrolled in a US-based,
prospective, single-arm, 48-week multicenter study, the Prospective Observational
Study to Evaluate Predictors of Clinical Effectiveness in Response to Omalizumab.
Monthly assessments included exacerbations, health care utilization, asthma
control test (ACT), and adverse events. At baseline, 6 months, and end of study,
biomarkers (blood eosinophils and fractional exhaled nitric oxide) were collected
and spirometry performed. RESULTS: Of 806 enrollees, 801 (99.4%) received
omalizumab and 622 (77.2%) completed the study. The exacerbation rate
significantly improved from a mean of 3.00 +/- 3.28 in the 12 months before
baseline to 0.78 +/- 1.37 through month 12 (P < .001) and was similar in adults
and adolescents; there was a reduction of 81.9% in the percentage of patients
with 1 or more hospitalizations. Lung function remained generally unchanged. A
mean improvement of 4.4 +/- 4.9 in ACT scores was observed. Eighty-seven percent
of patients were responders on the basis of clinical improvement in
exacerbations, lung function, or ACT scores. Baseline biomarker status was
associated with ACT scores and lung function improvement, but the magnitude of
this improvement was not clinically relevant. No new safety signals emerged.
CONCLUSIONS: Omalizumab initiation in patients with asthma resulted in improved
exacerbation rates, reduced hospitalizations, and improved ACT scores compared
with pretreatment values, regardless of biomarker status.
PMID- 29800753
TI - Analysis of HLA-B Allelic Variation and IFN-gamma ELISpot Responses in Patients
with Severe Cutaneous Adverse Reactions Associated with Drugs.
AB - BACKGROUND: The prevention and confirmation of drug-induced severe cutaneous
adverse reactions (SCARs) are difficult. OBJECTIVE: To determine the benefit of
HLA-B allele prescreening and the measurement of drug-specific IFN-gamma
releasing cells in the prevention and identification of the culprit drug in
patients with SCARs. METHODS: A total of 160 patients with SCARs were recruited
from 6 university hospitals in Thailand over a 3-year period. HLA-B alleles were
genotypically analyzed. The frequencies of drug-specific IFN-gamma-releasing
cells in patients with SCARs were also measured. RESULTS: The drugs commonly
responsible for SCARs were anticonvulsants, allopurinol, beta-lactams,
antituberculosis agents, and sulfonamides. If culprit drugs had been withheld in
patients carrying known HLA-B alleles at risk, it would have prevented 21.2% of
SCAR cases, mainly allopurinol- and carbamazepine-related SCARs. Culprit drug
specific IFN-gamma-releasing cells could be identified in 45.7% (53 of 116) of
patients with SCARs caused by 5 major drug groups, particularly in patients
diagnosed with drug reactions with eosinophilia and systemic symptoms (DRESS)
(50.0%), followed by Stevens-Johnson syndrome/toxic epidermal necrolysis (46.0%),
and acute generalized exanthematous pustulosis (31.3%). According to our study,
high frequencies of drug-specific IFN-gamma-releasing cells were significantly
demonstrated in patients who suffered from DRESS phenotype, having
anticonvulsants or the drugs belonging to the "probable" category based on the
Naranjo algorithm scale, as the culprit drugs. CONCLUSIONS: HLA-B prescreening
would succeed in preventing only a minority of SCAR victims. Drug-specific IFN
gamma-releasing cells are detectable in almost half of patients. Better
strategies are required for better SCAR prevention and culprit drug confirmation.
PMID- 29800754
TI - Long-Term Follow-Up After Penicillin Allergy Delabeling in Ambulatory Patients.
AB - BACKGROUND: Unverified penicillin allergy label has negative health implications.
To address this, several delabeling methods have been proposed. OBJECTIVES: To
appraise the long-term outcomes of the penicillin allergy evaluation in
ambulatory patients, focusing on subsequent use of penicillins in individuals
found not allergic. A secondary objective was to examine the consistency between
the evaluation's recommendations and the allergy label. METHODS: A retrospective
medical records review and phone survey were carried out in ambulatory patients
who were evaluated for suspected penicillin allergy in our allergy unit. Patients
with an uneventful oral challenge test (OCT) were interviewed regarding
subsequent use of penicillins. Medical records were examined for antibiotic
prescriptions and purchases. The records were also investigated for
existing/erased penicillin allergy label and its consistency with the allergy
evaluation. RESULTS: Six hundred thirty-nine patients with an uneventful OCT were
available for the survey. During a 56-month follow-up, 70% (447 patients) had
used penicillins at least once. One hundred ninety-two patients (30%) did not use
penicillins. The main reason for not using penicillins was lack of a clinical
indication. Three hundred thirty-five patients (51.22%) carried a penicillin
allergy label in their electronic medical file in spite of an uneventful OCT.
CONCLUSIONS: Penicillin allergy annulling via OCT has proven to be effective.
Most of the patients who previously avoided penicillins have reused penicillins
safely.
PMID- 29800755
TI - Delayed hypersensitivity skin reaction to hydroxychloroquine: Successful short
desensitization.
PMID- 29800756
TI - Ultra-small nanocluster mediated synthesis of Nd3+-doped core-shell nanocrystals
with emission in the second near-infrared window for multimodal imaging of tumor
vasculature.
AB - In-vivo intravital short wavelength infrared (SWIR, 1000-2300 nm) fluorescence
imaging has attracted considerable attention in the imaging of tumor vasculature
due to its low background, high sensitivity, and deep penetration. It can
noninvasively provide dynamic feedback on the tumorigenesis, growth, necrosis and
metastasis. Herein, monodisperse Nd3+-doped core-shell downconversion luminescent
nanocrystals with strong emission in the second near-infrared (NIR II) window,
strong temperature-dependent paramagnetism and fast attenuation to X-rays were
prepared from ultra-small nanoclusters. The use of nanoclusters resulted in very
uniform bright nanocrystals with a relative quantum yield comparable to the
standard dye IR-26. These bright NIR nanocrystals were modified with 1,2
distearoyl-sn-glycero-3-phosphoethanolamine-N-[methoxy(polyethylene glycol)-2000]
to endow with excellent water-solubility, biocompatibility and a blood
circulation half-life of 5.9 h. They were then successfully used to demonstrate
the variation of tumor vasculature with tumor progression from tumorigenesis,
growth, to necrosis in the subcutaneous breast tumor through the NIR II
fluorescence imaging. They were also used as contrast agent of magnetic resonance
imaging (MRI) and X-ray computed tomography (CT) imaging of tumor to provide
complementary anatomic structure. Their great potential in NIR II imaging of
tumor was further demonstrated with an orthotopic breast tumor. Their in-vivo
biosafety was also investigated by hemanalysis and histological analyses.
PMID- 29800757
TI - Development of a centrally vascularized tissue engineering bone graft with the
unique core-shell composite structure for large femoral bone defect treatment.
AB - Great effort has been spent to promote the vascularization of tissue engineering
bone grafts (TEBG) for improved therapeutic outcome. However, the thorough
vascularization especially in the central region still remained as a major
challenge for the clinical translation of TEBG. Here, we developed a new strategy
to construct a centrally vascularized TEBG (CV-TEBG) with unique core-shell
composite structure, which is consisted of an angiogenic core and an osteogenic
shell. The in vivo evaluation in rabbit critical sized femoral defect was
conducted to meticulously compare CV-TEBG to other TEBG designs (TEBG with
osteogenic shell alone, or angiogenic core alone or angiogenic core+shell).
Microfil-enhanced micro-CT analysis has been shown that CV-TEBG could outperform
TEBG with pure osteogenic or angiogenic component for neo-vascularization. CV
TEBG achieved a much higher and more homogenous vascularization throughout the
whole scaffold (1.52-38.91 folds, p < 0.01), and generated a unique burrito-like
vascular network structure to perfuse both the central and peripheral regions of
TEBG, indicating a potential synergistic effect between the osteogenic shell and
angiogenic core in CV-TEBG to enhance neo-vascularization. Moreover, CV-TEBG has
generated more new bone tissue than other groups (1.99-83.50 folds, p < 0.01),
achieved successful bridging defect with the formation of both cortical bone like
tissue externally and cancellous bone like tissue internally, and restored
approximately 80% of the stiffness of the defected femur (benchmarked to the
intact femur). It has been further observed that different bone regeneration
patterns occurred in different TEBG implants and closely related to their
vascularization patterns, revealing the potential profound influence of
vascularization patterns on the osteogenesis pattern during defect healing.
PMID- 29800758
TI - Personal and perceived public mental-health stigma as predictors of help-seeking
intentions in adolescents.
AB - This study aimed to determine predictors of help-seeking intentions for symptoms
of depression/anxiety and self-harm in adolescents. It focused on personal and
perceived public stigma to gather data of value for the design of anti-stigma
interventions. Participants (n = 722; 368 girls) were recruited from three
cohorts of secondary school students in Ireland (mean ages: 1st = 12.9 years; 3rd
= 14.9 years; 5th = 16.6 years). Hierarchical regression models indicated that
perceived public stigma is a significant unique predictor of help-seeking
intentions for depression [F(4, 717) = 13.4, p < .001] and self-harm [F(4, 717) =
13.5, p < .001]. This indicates that young people's beliefs about other people's
stigma towards mental health problems was a stronger predictor of help-seeking
intentions than their own stigma beliefs. These findings highlight the importance
of looking separately at different types of stigma when investigating the role of
stigma in predicting help-seeking intentions.
PMID- 29800760
TI - Discovery and functional characterization of microRNAs and their potential roles
for gonadal development in spotted knifejaw, Oplegnathus punctatus.
AB - The spotted knifejaw (Oplegnathus punctatus) is a newly emerging economical
fishery species in China. Studies focused on the regulation of gonadal
development and gametogenesis of spotted knifejaw are still insufficient. As a
key post-transcriptional regulator, miRNAs have been shown to play important
roles in development and reproduction systems. In this study, small RNA deep
sequencing in ovary and testis of spotted knifejaw were performed to screen miRNA
expression patterns. After sequencing and bioinformatics analysis, a total of 247
conserved known miRNAs and 41 novel miRNAs were identified in spotted knifejaw
gonads for the first time. In addition, 36 miRNAs were differentially expressed
between testis and ovary. The putative target genes of differentially expressed
(DE) miRNAs were significantly enriched in several pathways related to sexual
differentiation and gonadal development, such as steroid hormone biosynthesis.
Sequencing data was validated through qRT-PCR analysis of selected DE miRNAs.
Dual-luciferase reporter analyses of filtered miRNA-target gene pairs confirmed
that opu-miR-27b-3p targeted in piwi2 and mov10l1 3' UTRs and down-regulated
their expressions in spotted knifejaw. The notion that mov10l1 and piwi2 enhance
germ cells proliferation and regulate gonadal development and gametogenesis
suggests that opu-miR-27b-3p may attenuated this process in the gonads of spotted
knifejaw. These findings provided insights into regulatory roles of gonadal
miRNAs and supplied fundamental resources for further studies on miRNA-mediated
post-transcriptional regulation in reproductive system of spotted knifejaw.
PMID- 29800759
TI - Synergistic tumor microenvironment targeting and blood-brain barrier penetration
via a pH-responsive dual-ligand strategy for enhanced breast cancer and brain
metastasis therapy.
AB - Cancer associated fibroblasts (CAFs) which shape the tumor microenvironment (TME)
and the presence of blood brain barrier (BBB) remain great challenges in
targeting breast cancer and its brain metastasis. Herein, we reported a strategy
using PTX-loaded liposome co-modified with acid-cleavable folic acid (FA) and BBB
transmigrating cell penetrating peptide dNP2 peptide (cFd-Lip/PTX) for enhanced
delivery to orthotopic breast cancer and its brain metastasis. Compared with
single ligand or non-cleavable Fd modified liposomes, cFd-Lip exhibited
synergistic TME targeting and BBB transmigration. Moreover, upon arrival at the
TME, the acid-cleavable cFd-Lip/PTX showed sensitive cleavage of FA, which
reduced the hindrance effect and maximized the function of both FA and dNP2
peptide. Consequently, efficient targeting of folate receptor (FR)-positive tumor
cells and FR-negative CAFs was achieved, leading to enhanced anti-tumor activity.
This strategy provides a feasible approach to the cascade targeting of TME and
BBB transmigration in orthotopic and metastatic cancer treatment.
PMID- 29800762
TI - Reforming the undergraduate nursing clinical curriculum through clinical
immersion: A literature review.
AB - Clinical immersion is a method used by various academic programs to narrow the
theory-to-practice gap and assist students to transition from school to a new
work environment. In the clinical immersion model, students embark upon a
concentrated and intensive clinical experience, typically at the end of a
semester or program. This literature review explored the various methods by which
programs carry out the immersion clinical experience model and if the experience
improved students' readiness for entry level positions. Findings from students,
faculty, and preceptors showed that immersion experiences are successful in
increasing student confidence and nursing skills; however, additional objective
evidence is needed to show that the use of immersion experiences can improve
graduate readiness for practice. Research is also needed to explore if any
differences in student performance outcomes exist between clinical immersion at
the end of each semester versus one in a capstone course.
PMID- 29800761
TI - Soluble inflammatory mediators induce transcriptional re-organization that is
independent of dna methylation changes in cultured human chorionic villous
trophoblasts.
AB - The studies proposed here were undertaken to test the hypothesis that, under
specific circumstances (e.g., a strong enough inflammatory stimulus), genes that
are repressed at the maternal-fetal interface via DNA methylation might be de
methylated, allowing either a maternal immune response to the semi-allogenic
fetus or the onset of early labor. Chorionic trophoblasts (CT) were isolated from
fetal membranes, followed by incubation with medium from LPS-activated PBMC or
resting PBMC medium for 2 h. RNA and DNA were isolated from the cells for RNA-seq
and DNA methylation studies. Two hrs after being exposed to conditioned medium
from LPS-activated PBMC, CT showed differential expression of 114 genes, all but
2 of which showed higher expression in the stimulated cells than is the
unstimulated cells. We also identified 318 differentially methylated regions
(DMRs) that associated with 306 genes (155 protein coding genes) in the two
groups, but the observed methylation changes had negligible impact on the
observed transcriptional changes in CT. CT display complex patterns of
transcription in response to inflammation. DNA methylation does not appear to be
an important regulator of the observed transcriptional changes.
PMID- 29800763
TI - Development and psychometric pilot-testing of a questionnaire for the evaluation
of satisfaction with continuing education in infection control nurses.
AB - Satisfaction with continuing education can be defined as positive attitudes
towards educational programs, which has potential to strengthen learning
outcomes. A multi-dimensional construct may enhance continuing education program
evaluation processes. The objective is to describe the development and
psychometric testing of the 'affective - behavioral - cognitive - satisfaction
questionnaire' (ABC-SAT) for assessing participants' satisfaction with a
continuing education program for nurses in infection control. The multi-staged
development of a satisfaction questionnaire comprised of three subscales. The
pilot tool was administered to a nationwide sample of 126 infection control
nurses to assess satisfaction after participating in a continuing education
program. Satisfaction scores were calculated and psychometric testing was
performed to determine reliability, using Cronbach's alpha, face validity,
objectivity, and economy. A principle component analysis using varimax rotation
and Kaiser normalization was performed. The analysis led to a three-factor
solution of the questionnaire with 11 items, explaining 61.4% of the variance.
Internal consistency of three scales using Cronbach's alpha was 0.83, 0.60, and
0.66, respectively. Selectivity coefficients varied between 0.39 and 0.70.
Participants needed approximately three minutes to complete the questionnaire.
Initial findings refer to a satisfying scale structure and internal consistency
of the 3-dimensional ABC-SAT questionnaire. Further research is required to
confirm the questionnaires' psychometric properties.
PMID- 29800764
TI - Virtual gaming simulation of a mental health assessment: A usability study.
AB - Providing safe and realistic virtual simulations could be an effective way to
facilitate the transition from the classroom to clinical practice. As nursing
programs begin to include virtual simulations as a learning strategy; it is
critical to first assess the technology for ease of use and usefulness. A virtual
gaming simulation was developed, and a usability study was conducted to assess
its ease of use and usefulness for students and faculty. The Technology
Acceptance Model provided the framework for the study, which included expert
review and testing by nursing faculty and nursing students. This study
highlighted the importance of assessing ease of use and usefulness in a virtual
game simulation and provided feedback for the development of an effective virtual
gaming simulation. The study participants said the virtual gaming simulation was
engaging, realistic and similar to a clinical experience. Participants found the
game easy to use and useful. Testing provided the development team with ideas to
improve the user interface. The usability methodology provided is a replicable
approach to testing virtual experiences before a research study or before
implementing virtual experiences into curriculum.
PMID- 29800765
TI - The associations between peer caring behaviors and social support to nurse
students' caring perceptions.
AB - Caring is seen as an essential part of nursing and as a desirable competency
expected of nursing students. Yet, students have difficulties in understanding
the meaning and practice of caring relationships. The aim of this study was to
explore the relationship between perceived social support and peer caring
behaviors to nurse students' caring perceptions. A cross-sectional study was
conducted among first and fourth-year nursing students (n = 246) attending a
Baccalaureate nursing education program at a major university in Israel. The
findings revealed first-year students significantly received more social support
from family and friends than fourth-year students. Moreover, first-year students
reported an increase in the use of social support through social media platforms
during their first semester of studies. Social support from family, peers and
social media platforms was associated to caring perception. Fourth-year students
scored higher than first-year students in their caring perceptions and peer
caring behaviors. Educators should consider the growing potential role of social
media technologies as an accessible source of social support and as a learning
tool. Moreover, nurse educators should encourage the use and practice of peer
caring behaviors among students as professional means of facilitating future
caring relationships with patients and their families.
PMID- 29800766
TI - Emotional arousal and memory after deep encoding.
AB - Emotion often enhances long-term memory. One mechanism for this enhancement is
heightened arousal during encoding. However, reducing arousal, via emotion
regulation (ER) instructions, has not been associated with reduced memory. In
fact, the opposite pattern has been observed: stronger memory for emotional
stimuli encoded with an ER instruction to reduce arousal. This pattern may be due
to deeper encoding required by ER instructions. In the current research, we
examine the effects of emotional arousal and deep-encoding on memory across three
studies. In Study 1, adult participants completed a writing task (deep-encoding)
for encoding negative, neutral, and positive picture stimuli, whereby half the
emotion stimuli had the ER instruction to reduce the emotion. Memory was strong
across conditions, and no memory enhancement was observed for any condition. In
Study 2, adult participants completed the same writing task as Study 1, as well
as a shallow-encoding task for one-third of negative, neutral, and positive
trials. Memory was strongest for deep vs. shallow encoding trials, with no
effects of emotion or ER instruction. In Study 3, adult participants completed a
shallow-encoding task for negative, neutral, and positive stimuli, with findings
indicating enhanced memory for negative emotional stimuli. Findings suggest that
deep encoding must be acknowledged as a source of memory enhancement when
examining manipulations of emotion-related arousal.
PMID- 29800767
TI - Negative social emotions and cognition: Shame, guilt and working memory
impairments.
AB - Negative emotions can have an impact on a variety of cognitive domains, including
Working Memory (WM). The present work investigated whether shame and guilt
modulate WM performance in a dual-task test both in a non-clinical and a clinical
population. In Experiment 1, 76 non-clinical participants performed a dual-task
before and after being randomly assigned to shame, guilt or neutral inductions
elicited by the writing of autobiographical past experiences. Shame and guilt
elicitations were related to impaired WM performances. In Experiment 2, 65
clinical inpatients with eating disorders were assigned to the same procedure.
The negative relationship of self-conscious emotions and WM was confirmed. Taken
together these results suggest that shame and guilt are related to impairments of
WM in both clinical and non-clinical participants.
PMID- 29800768
TI - Short-term effects of airport-associated ultrafine particle exposure on lung
function and inflammation in adults with asthma.
AB - BACKGROUND: Exposure to ultrafine particles (UFP, particles with aerodynamic
diameter < 100 nm) is associated with reduced lung function and airway
inflammation in individuals with asthma. Recently, elevated UFP number
concentrations (PN) from aircraft landing and takeoff activity were identified
downwind of the Los Angeles International Airport (LAX) but little is known about
the health impacts of airport-related UFP exposure. METHODS: We conducted a
randomized crossover study of 22 non-smoking adults with mild to moderate asthma
in Nov-Dec 2014 and May-Jul 2015 to investigate short-term effects of exposure to
LAX airport-related UFPs. Participants conducted scripted, mild walking activity
on two occasions in public parks inside (exposure) and outside (control) of the
high UFP zone. Spirometry, multiple flow exhaled nitric oxide, and circulating
inflammatory cytokines were measured before and after exposure. Personal UFP PN
and lung deposited surface area (LDSA) and stationary UFP PN, black carbon (BC),
particle-bound PAHs (PB-PAH), ozone (O3), carbon dioxide (CO2) and particulate
matter (PM2.5) mass were measured. Source apportionment analysis was conducted to
distinguish aircraft from roadway traffic related UFP sources. Health models
investigated within-subject changes in outcomes as a function of pollutants and
source factors. RESULTS: A high two-hour walking period average contrast of
~34,000 particles.cm-3 was achieved with mean (std) PN concentrations of 53,342
(25,529) and 19,557 (11,131) particles.cm-3 and mean (std) particle size of 28.7
(9.5) and 33.2 (11.5) at the exposure and control site, respectively. Principal
components analysis differentiated airport UFPs (PN), roadway traffic (BC, PB
PAH), PM mass (PM2.5, PM10), and secondary photochemistry (O3) sources. A
standard deviation increase in the 'Airport UFPs' factor was significantly
associated with IL-6, a circulating marker of inflammation (single-pollutant
model: 0.21, 95% CI = 0.08-0.34; multi-pollutant model: 0.18, 0.04-0.32). The
'Traffic' factor was significantly associated with lower Forced Expiratory Volume
in 1 s (FEV1) (single-pollutant model: -1.52, -2.28 to -0.77) and elevated
sTNFrII (single-pollutant model: 36.47; 6.03-66.91; multi-pollutant model: 64.38;
6.30-122.46). No consistent associations were observed with exhaled nitric oxide.
CONCLUSIONS: To our knowledge, our study is the first to demonstrate increased
acute systemic inflammation following exposure to airport-related UFPs. Health
effects associated with roadway traffic exposure were distinct. This study
emphasizes the importance of multi-pollutant measurements and modeling techniques
to disentangle sources of UFPs contributing to the complex urban air pollution
mixture and to evaluate population health risks.
PMID- 29800769
TI - Psychological well-being and restorative biological processes: HDL-C in older
English adults.
AB - RATIONALE: Psychological well-being is associated with better cardiovascular
health, but the underlying mechanisms are unclear. OBJECTIVE: This study
investigates one possible mechanism by examining psychological well-being's
prospective association with lipid levels, focusing on high-density lipoprotein
cholesterol (HDL-C). METHODS: Participants were 4757 healthy men and women ages
>=50 from the English Longitudinal Study of Ageing with clinical data from three
times, three to five years apart. Psychological well-being was assessed at
baseline using the Control, Autonomy, Satisfaction, and Pleasure scale; HDL-C,
triglycerides, and total cholesterol were assayed from blood samples. Descriptive
statistics and linear mixed models were used to examine associations between
psychological well-being and lipid levels over time; the latter controlled for
confounders and health behaviours. RESULTS: In descriptive analyses, HDL-C levels
were initially higher in people with greater psychological well-being. Among
those who met recommended levels of HDL-C at baseline, fewer individuals with
higher versus lower psychological well-being dropped below HDL-C recommendations
over time. Mixed models indicated that HDL-C increased over time (beta = 0.64;
95% CI = 0.58 to 0.69) and higher baseline psychological well-being was
associated with higher baseline HDL-C (beta = 0.51; 95% CI = 0.03 to 0.99). A
significant well-being by time interaction indicated individuals with higher
versus lower well-being exhibited a more rapid rate of increase in HDL-C over
follow-up. Higher psychological well-being was also significantly associated with
lower triglycerides, but main effects for both HDL-C and triglycerides were
attenuated after accounting for health behaviours. CONCLUSION: Higher
psychological well-being is associated with healthier HDL-C levels; these effects
may compound over time. This protective effect may be partly explained by health
behaviours.
PMID- 29800770
TI - Ethnic enclaves and birth outcomes of immigrants from India in a diverse U.S.
state.
AB - Sociological theory suggests that ethnic enclaves promote immigrant health.
Existing studies of ethnic enclaves and immigrant birth outcomes have generally
focused on blacks and Hispanics, while few have focused on immigrants from India
the second largest immigrant group in the U.S., after Mexicans. Paradoxically,
this group generally exhibits worse birth outcomes than non-Hispanic whites,
despite their high levels of education. This study investigates associations
between residence in South Central Asian ethnic enclaves and both birth outcomes
and prenatal behaviors of immigrant mothers from India, using population-level
birth record data from the state of New Jersey in the U.S. (1999-2012; n =
64,375). Results indicate that residence in a South Central Asian enclave is
associated with less prenatal smoking and earlier prenatal care, but not with
birthweight- or gestational-age related outcomes, among immigrant mothers from
India. These findings are consistent with theory suggesting that social support,
social capital, and social norms transmitted through the social networks present
in ethnic enclaves foster health-promoting behaviors. Notably, the prenatal
behaviors of non-Hispanic white mothers were not associated to a large degree
with living in South Central Asian enclaves, which is also consistent with theory
and bolsters our confidence that the observed associations for immigrant mothers
from India are not spurious.
PMID- 29800771
TI - Nitrogen loss reduction by adding KH2PO4-K2HPO4 buffer solution during composting
of sewage sludge.
AB - Nitrogen loss through gaseous emission, mainly ammonia emission, was an
inevitable problem during sewage sludge composting. In this study, MgSO4 + K3PO4
(Run A), K2SO4 + KH2PO4-K2HPO4 (Run B) and MgSO4 + KH2PO4-K2HPO4 (Run C) were
mixed with mixtures before composting, aiming at researching the effects of
buffer solution on reducing nitrogen loss during composting. Ammonia loss of Run
C was reduced by 53.8% and 45.5%, and nitrogen loss of Run C was decreased by
61.2% and 67.1%, compared to that of Run A and Run B, respectively. Besides,
organic matter degradation of Run C was 36.8%. Among the three amended
treatments, nitrogen loss in Run C was effectively reduced and organic matter
degradation was slightly improved. The addition of MgSO4 and KH2PO4-K2HPO4 was
confirmed to be effective to maintain a desired pH range for struvite
precipitation as well as to reserve more ammonia in the compost to promote the
formation of struvite.
PMID- 29800772
TI - The effects of fibrolytic enzymes, cellulolytic fungi and bacteria on the
fermentation characteristics, structural carbohydrates degradation, and enzymatic
conversion yields of Pennisetum sinese silage.
AB - Biological inoculants were tested on Pennisetum sinese for their effects on
fermentation characteristics, structural carbohydrates degradation, and enzymatic
conversion yields. Pennisetum sinese was ensiled without additive, Lactobacillus
plantarum (Lp), Trichoderma reesei (Tr), fibrolytic enzymes (E), and Enterococcus
faecium (Y83) for 90 days. Y83 silages had higher LA and lower AA, ammonia-N and
DM loss as compared to E and Tr silages. Tr and E had superior effects for
degrading lignocellulose while Y83 had intermediate effects. The first-order
exponential decay models (R2 = 0.928-0.998) predicted nonstructural carbohydrates
kinetics and demonstrated high water soluble carbohydrate (g/kg DM) preservation
potential in Y83 (21.40), followed by Tr (18.94) and E (16.74). Addition of Y83
improved the conversion efficiency of P. sinese silage than Tr and E, indicated
by higher glucose and total reducing sugars yield (22.49 and 36.89 w/w % DM,
respectively). In conclusion, Y83 can be exploited for the ensiling
lignocellulosic biomass before grass processing.
PMID- 29800773
TI - Drying and thermal decomposition kinetics of sugarcane straw by nonisothermal
thermogravimetric analysis.
AB - This work aims the study of the drying (25 +/- 3 degrees C-150 degrees C) and
thermal decomposition (150-900 degrees C) of sugarcane straw kinetics in inert
and oxidative atmospheres by nonisothermal thermogravimetry (TG) analysis using
heating rates of 2.5, 5 and 10 degrees C/min. The drying kinetic analysis was
carried out using five models, Lewis, Page, Henderson and Pabis, Midilli and
Logaritmic, obtaining the activation energy of 1.25 kJ/mol, in which the Page's
model showed to be the most accurate description for both atmospheres. The
thermal decomposition kinetics was analyzed through three consecutive reactions
scheme, obtaining activation energies of 130, 200 and 56 kJ/mol as well as 200,
350 and 100 kJ/mol for both atmospheres, respectively. The consecutive reaction
scheme allowed an excellent agreement between experimental and modeled data,
providing a quality of fit similar than the obtained with independent parallel
reactions scheme.
PMID- 29800774
TI - Two-year microbial adaptation during hydrogen-mediated biogas upgrading process
in a serial reactor configuration.
AB - Microbial dynamics in an upgrading biogas reactor system undergoing a more than
two years-period at stable operating conditions were explored. The carbon dioxide
generated during biomass degradation in the first reactor of the system was
converted to methane into the secondary reactor by addition of external hydrogen.
Considering the overall efficiency, the long-term operation period resulted in an
improved biogas upgrading performance (99% methane content). However, a
remarkable accumulation of acetate was revealed, indicating the enhancement of
homoacetogenic activity. For this reason, a shift in the anaerobic digestion
microbiome was expected and evaluated by 16S rRNA amplicon analysis. Results
demonstrated that the most abundant archaeal species identified in the first time
point, Candidatus Methanoculleus thermohydrogenotrophicum, was replaced by
Methanothermobacter thermautotrophicus, becoming dominant after the community
adaptation. The most interesting taxonomic units were clustered by relative
abundance and six main long-term adaptation trends were found, characterizing
functionally related microbes (e.g. homoacetogens).
PMID- 29800775
TI - Consolidated processing of biobutanol production from food wastes by
solventogenic Clostridium sp. strain HN4.
AB - In this study, biobutanol production from glucose, starch and food waste by newly
identified Clostridium sp. strain HN4 was comprehensively investigated, which is
capable of secreting amylase indigenously for the following acetone-butanol
ethanol fermentation. With pH adjustment, strain HN4 could produce 5.23 g/L of
butanol from 60 g/L of starch with secretion of 1.95 U/mL amylase through
consolidated bioprocessing. Further supplementation of 3 g/L of CaCO3 and 0.5%
non-ionic surfactant of Tween 80 could stimulate both amylase activities and the
final butanol titer, leading to 17.64 g/L of butanol with yield of 0.15 g/g. Fed
batch fermentation integrated with in situ removal could further improve the
butanol titer to 35.63 g/L with yield of , representing the highest butanol
production and yield from food waste. These unique features of Clostridium sp.
strain HN4 could open the door to the possibility of cost-effective biobutanol
production from food waste on a large scale.
PMID- 29800776
TI - Prevalence of falls in adult and older adult psychiatric patients in China: A
systematic review and comprehensive meta-analysis of observational studies.
AB - Falls have significant health consequences and are common in psychiatric
patients. Findings on the prevalence of falls in Chinese adult and older adult
psychiatric inpatients have been inconsistent. This meta-analysis examined the
pooled prevalence of falls in adult and older adult psychiatric inpatients in
China. Both English (PubMed, EMBASE, Web of Science, PsycINFO, Cochrane Library)
and Chinese (China National Knowledge Interne, WanFang Data and SinoMed)
databases were searched independently by three reviewers. The pooled prevalence
of falls and its 95% confidence intervals (CIs) using the random effects model
were calculated. A total of 39 studies covering 204,234 inpatients were analyzed.
The pooled prevalence of falls in adult and older adult (>=60 years) patients was
3% (95% CI: 1.8%-5%) and 7.3% (95%CI: 5.0%-10.6%), respectively. Subgroup
analyses revealed that the prevalence of falls was significantly associated with
the psychiatric diagnostic criteria and study sample size. This meta-analysis
found that the prevalence of falls among adult and older adult psychiatric
patients in China was significantly high, although less than that was reported
from Western psychiatric inpatient settings.
PMID- 29800777
TI - Personality profiles are different in musician's dystonia and other isolated
focal dystonias.
AB - Psychological abnormalities have been reported in patients with musician's
dystonia. To further differentiate these abnormalities, we evaluated personality
traits in musician's dystonia and compared them to those in other isolated focal
dystonias. Therefore patients with musician's dystonia (n = 101) and other
isolated focal dystonias (n = 85) underwent the Neuroticism Extraversion Openness
Five-Factor Inventory (NEO-FFI). Women with musician's dystonia had higher NEO
FFI neuroticism scores, and men significantly higher openness scores compared to
women and men with other isolated focal dystonias, respectively. There were
negative correlations in men with musician's dystonia between duration of
dystonia and the NEO-FFI openness and extraversion scores and between age and
extraversion scores. Women with other isolated focal dystonias showed
correlations between age and agreeableness and conscientiousness scores. Patients
with musician's dystonia are characterized by a specific personality profile with
increased neuroticism and openness compared to other isolated focal dystonias.
Whether this profile can be traced back to specific underlying disease mechanisms
should be further investigated.
PMID- 29800778
TI - Is familial risk for depression confounded by individual and familial
socioeconomic factors and neighborhood environmental factors? A 7-year follow-up
study in Sweden.
AB - Family history of depression is an important risk factor for depression. The aim
of this study was to examine whether the effect of family history of depression
is confounded by individual and familial socioeconomic factors (i.e., country of
origin, educational attainment, family income and mobility) and neighborhood
environmental factors (i.e., neighborhood deprivation and neighborhood social
capital). The study population comprised 188,907 individuals aged 20-44 years
from a nationwide sample of primary care centers in Sweden. Among these
individuals, 22,014 with a first event of depression (6,486 men and 15,528 women)
were identified during the 7-year follow-up period. Family history of depression
was defined as depression in at least one parent. Cross-classified multilevel
logistic regression models were used to calculate odds ratios with 95% credible
intervals. Increased familial odds were observed after adjustment for individual
and familial socioeconomic factors and neighborhood environmental factors for
both men and women. Our results suggest that family history of depression is an
independent risk factor for depression. Offspring of parents with depression are
important targets for disease prevention, regardless of individual and familial
socioeconomic factors and neighborhood environmental factors.
PMID- 29800779
TI - Antidepressant treatment resistance is associated with increased inflammatory
markers in patients with major depressive disorder.
AB - BACKGROUND: One third of patients with major depressive disorder (MDD) fail to
respond to currently available antidepressant medications. Inflammation may
contribute to treatment non-response through effects on neurotransmitter systems
relevant to antidepressant efficacy. In post-hoc analyses, increased
concentrations of inflammatory markers prior to treatment predict poor
antidepressant response. However, limited data exists on whether depressed
patients with multiple failed treatment trials in their current episode of
depression exhibit increased inflammation. METHODS: Plasma concentrations of
inflammatory markers were measured in unmedicated, medically stable patients with
MDD (n = 98) and varying numbers of adequate antidepressant treatment trials in
the current depressive episode as measured by the Massachusetts General Hospital
Antidepressant Treatment Response Questionnaire. Covariates including age, sex,
race, education, body mass index (BMI) and severity of depression were included
in statistical models where indicated. RESULTS: A significant relationship was
found between number of failed treatment trials and tumor necrosis factor (TNF),
soluble TNF receptor 2 (sTNF-R2) and interleukin (IL)-6 (all p < 0.05 in
multivariate analyses). Post hoc pairwise comparisons with correction for
multiple testing revealed that patients with 3 or more failed trials in the
current episode had significantly higher plasma TNF, sTNF-R2 and IL-6 compared to
individuals with 0 or 1 trial (all p < 0.05). High sensitivity c-reactive protein
was also associated with a greater number of treatment failures, but only in
models with BMI excluded. CONCLUSIONS: Measuring inflammatory markers and
targeting inflammation or its downstream mediators may be relevant for depressed
patients with multiple failed antidepressant treatment trials in their current
depressive episode.
PMID- 29800780
TI - Basal cortisol levels and metabolic syndrome: A systematic review and meta
analysis of observational studies.
AB - OBJECTIVE: To perform a qualitative synthesis (systematic review) and
quantitative analysis (meta-analysis) to summarize the evidence regarding the
relationship between basal cortisol levels and metabolic syndrome (MetS) in
adults. METHODS: A systematic search was performed in the PubMed, Embase, and
PsycINFO databases for observational studies on the association between basal
cortisol levels and MetS. The quality of individual studies was assessed by the
Newcastle-Ottawa score. A random effects model was used to report pooled
quantitative results and the I2 statistic was used to assess heterogeneity.
Egger's and Begg's tests were used to evaluate publication bias. RESULTS: Twenty
six studies (19 cross-sectional and seven case-control) met the inclusion
criteria for the systematic review. The majority was classified as having a low
risk of bias and used established criteria for the diagnosis of MetS. Twenty-one
studies provided data on basal cortisol levels as continuous values and were
included in the meta-analysis; they comprised 35 analyses and 11,808 subjects.
Pooled results showed no significant difference in basal cortisol levels between
subjects with and without MetS (standardized mean difference [SMD] = 0.02, 95%
confidence interval [CI]=-0.11 to 0.14). There was high heterogeneity between the
studies when all comparisons were considered (I2 = 83.1%;p < 0.001).
Paradoxically, meta-analysis of studies evaluating saliva samples showed no
significantly lower basal cortisol levels among subjects with MetS (SMD=-0.18,
95% CI=-0.37 to 0.01), whereas those studies that evaluated serum samples (SMD =
0.11, 95% CI=-0.02 to 0.24) and urine samples (SMD = 0.73, 95% CI=-0.40 to 1.86)
showed no significantly higher basal cortisol levels among subjects with MetS. In
the subgroup and meta-regression analyses, a significant difference in basal
cortisol levels was observed according to study design, population base, age,
gender, cortisol level assessment method, and study quality. CONCLUSION: This
systematic review and meta-analysis does not reveal any association between basal
cortisol levels and MetS based on results of observational studies. The results
of a random-effect meta-analysis showed no significant difference in basal
cortisol levels between subjects with and without MetS. The present findings
should be considered in order to help future studies.
PMID- 29800781
TI - Differential cognitive profiles of intimate partner violence perpetrators based
on alcohol consumption.
AB - Despite extensive evidence of heterogeneity in intimate partner violence (IPV)
perpetrator profiles, there has been little research into neuropsychological
deficits that might help us understand differences within this violent
population. Moreover, studies on this topic have not paid much attention to the
role of alcohol abuse in neuropsychological domains of IPV perpetrators. Hence,
the current study was designed to examine neuropsychological differences among
individuals who have committed domestic violence with high (n = 28, HA) and low
(n = 35, LA) levels of alcohol consumption, and non-violent individuals (n = 37)
to establish differential neuropsychological profiles. An exhaustive
neuropsychological assessment battery was employed which combined the computer
based Cambridge Neuropsychological Test Automated Battery with pencil-and-paper
measures. Compared to controls, HA IPV perpetrators had slower processing speed
and significantly more impairments in attentional set-shifting or switch
attention, working and long-term memory, cognitive flexibility, planning,
decision-making, emotion decoding skills, and perspective taking. Furthermore,
there were differences between IPV perpetrator subgroups in attentional set
shifting or switch attention and cognitive empathy, with HA IPV perpetrators
displaying more severe impairments in both cognitive domains than LA IPV
perpetrators. Finally, the LA IPV perpetrators had significantly more impairments
in working and long-term memory, executive functioning, and emotion decoding
skills than controls, but they did not differ in processing speed, attentional
set-shifting or switch attention, decision making, or perspective taking. Thus,
the current findings suggest that IPV perpetrators with neuropsychological
difficulties, especially those who are heavy drinkers, may have the greatest need
for cognitive interventions. These cognitive deficits could be employed as
targets for developing specific cognitive rehabilitation programs adjuvant to
psychotherapeutic intervention for IPV perpetrators.
PMID- 29800782
TI - Motor neuron differentiation of iPSCs obtained from peripheral blood of a mutant
TARDBP ALS patient.
AB - Amyotrophic lateral sclerosis (ALS) is a severe neurodegenerative disease, mainly
affecting the motor neurons (MNs) and without effective therapy. Drug screening
is hampered by the lack of satisfactory experimental and pre-clinical models.
Induced pluripotent stem cells (iPSCs) could help to define disease mechanisms
and therapeutic strategies as they could be differentiated into MNs, otherwise
inaccessible from living humans. In this study, given the seminal role of TDP-43
in ALS pathophysiology, MNs were obtained from peripheral blood mononuclear cells
derived iPSCs of an ALS patient carrying a p.A382T TARDBP mutation and a healthy
donor. Venous samples were preferred to fibroblasts for their ease of collection
and no requirement for time consuming extended cultures before experimentation.
iPSCs were characterized for expression of specific markers, spontaneously
differentiated into primary germ layers and, finally, into MNs. No differences
were observed between the mutated ALS patient and the control MNs with most of
the cells displaying a nuclear localization of the TDP-43 protein. In conclusion,
we here demonstrated for the first time that human TARDBP mutated MNs can be
successfully obtained exploiting the reprogramming and differentiation ability of
peripheral blood cells, an easily accessible source from any patient.
PMID- 29800783
TI - Analysis of clinical and candidate genetic risk factors for postoperative atrial
tachycardia after congenital heart surgery in infants.
AB - BACKGROUND: Atrial tachycardia (AT) after infant congenital heart disease (CHD)
surgery is associated with increased mortality. Polymorphisms in PITX2
(rs2200733) and IL6 (rs1800795) are associated with postoperative atrial
fibrillation in adults but have not been studied in CHD. The objective was to
test the hypothesis that clinical factors and variants in PITX2 and IL6 are
associated with postoperative AT in infants with CHD. METHODS: Infants (<1 year
of age) undergoing CHD surgery between September 2007 and May 2016 were included.
Subjects had daily assessment of telemetry and were genotyped for the 2 variants.
Univariate and multivariate analyses were performed to test for factors
independently associated with AT. RESULTS: Of 1,067 enrolled infants, 164 had
postoperative AT (15.4%); 95 required treatment (8.9%). AT was associated with
risk for extracorporeal membrane oxygenation, operative mortality, and longer
duration of ventilation, as well as intensive care unit and hospital stays. PITX2
and IL6 genotypes were not associated with AT or AT requiring treatment. In
multivariate analysis, use of 2 or more inotropes, age <= 28 days; Risk Adjusted
classification for Congenital Heart Surgery, Version 1, score >= 3; and bypass
time were all independently associated with AT. Factors independently associated
with treated AT include use of 2 or more inotropes; age <= 28 days; and Risk
Adjusted classification for Congenital Heart Surgery, Version 1, score >= 3.
CONCLUSION: AT occurs in 15% of infants after CHD surgery and is associated with
increased morbidity and mortality. Risk factors include use of 2 or more
inotropes, neonatal age, and higher surgical complexity score. We observed no
association between common genetic variants in PITX2 and IL6 and AT in infants
after CHD surgery.
PMID- 29800784
TI - Rationale and design of the comparison of 3 combination therapies in lowering
blood pressure in black Africans (CREOLE study): 2 * 3 factorial randomized
single-blind multicenter trial.
AB - BACKGROUND: Current hypertension guidelines recommend the use of combination
therapy as first-line treatment or early in the management of hypertensive
patients. Although there are many possible combinations of blood pressure(BP)
lowering therapies, the best combination for the black population is still a
subject of debate because no large randomized controlled trials have been
conducted in this group to compare the efficacy of different combination
therapies to address this issue. METHODS: The comparison of 3 combination
therapies in lowering BP in the black Africans (CREOLE) study is a randomized
single-blind trial that will compare the efficacy of amlodipine plus
hydrochlorothiazide versus amlodipine plus perindopril and versus perindopril
plus hydrochlorothiazide in blacks residing in sub-Saharan Africa (SSA). Seven
hundred two patients aged 30-79 years with a sitting systolic BP of 140 mm Hg and
above, and less than 160 mm Hg on antihypertensive monotherapy, or sitting
systolic BP of 150 mm Hg and above, and less than 180 mm Hg on no treatment, will
be centrally randomized into any of the 3 arms (234 into each arm). The CREOLE
study is taking place in 10 sites in SSA, and the primary outcome measure is
change in ambulatory systolic BP from baseline to 6 months. The first patient was
randomized in June 2017, and the trial will be concluded by 2019. CONCLUSIONS:
The CREOLE trial will provide unique information as to the most efficacious 2
drug combination in blacks residing in SSA and thereby inform the development of
clinical guidelines for the treatment of hypertension in this subregion.
PMID- 29800785
TI - Background correction in rapid scan EPR spectroscopy.
AB - In rapid scan EPR the rapidly-changing magnetic field induces a background signal
that may be larger than the EPR signal. A method has been developed to correct
for that background signal by acquiring two sets of data, denoted as scan 1 and
scan 2. In scan 2 the external field B0 is reversed and the data acquisition
trigger is offset by one half cycle of the scan field relative to the settings
used in scan 1. For data acquired with a cross-loop resonator subtraction of scan
2 from scan 1 cancels the background and enhances the EPR signal. Experiments
were performed at an EPR frequency of about 258 MHz, which is in the range that
is commonly used for in vivo imaging. Samples include nitroxide radicals, a
trityl radical, a dinitroxide, and a nitroxide in the presence of a magnetic
field gradient. This method has the advantage that no assumption is made about
the shape of the background signal, and it provides an approach to automating the
background correction.
PMID- 29800786
TI - Refocused Out-Of-Phase (ROOPh) DEER: A pulse scheme for suppressing an
unmodulated background in double electron-electron resonance experiments.
AB - EPR pulsed dipolar spectroscopy (PDS) is indispensable for measurements of nm
scale distances between electronic spins in biological and other systems. While
several useful modifications and pulse sequences for PDS have been developed in
recent years, DEER experiments utilizing pump and observer pulses at two
different frequencies remain the most popular for practical applications. One of
the major drawbacks of all the available DEER approaches is the presence of a
significant unmodulated fraction in the detected signal that arises from an
incomplete inversion of the coupled spins by the pump pulse. The latter fraction
is perceived as one of the major sources of error for the reconstructed distance
distributions. We describe an alternative detection scheme - a Refocused Out-Of
Phase DEER (ROOPh-DEER) - to acquire only the modulated fraction of the dipolar
DEER signal. When Zeeman splitting is small compared to the temperature, the out
of-phase magnetization components cancel each other and are not observed in 4
pulse DEER experiment. In ROOPh-DEER these components are refocused by an
additional pump pulse while the in-phase component containing an unmodulated
background is filtered out by a pulse at the observed frequency applied right at
the position of the refocused echo. Experimental implementation of the ROOPh-DEER
detection scheme requires at least three additional pulses as was demonstrated on
an example of a 7-pulse sequence. The application of 7-pulse ROOPh-DEER sequence
to a model biradical yielded the interspin distance of 1.94 +/- 0.07 nm identical
to the one obtained with the conventional 4-pulse DEER, however, without the
unmodulated background present as a dominant fraction in the latter signal.
PMID- 29800787
TI - APOE genotype and cognition in healthy individuals at risk of Alzheimer's
disease: A review.
AB - APOE-epsilon4 is best known as a risk factor for Alzheimer's disease (AD).
Consequently, there is considerable research interest in understanding whether
APOE-epsilon4 influences cognition in healthy adults. Despite a substantial
literature reporting effects of APOE genotype on cognition, findings are
inconsistent. In particular, it is challenging to separate whether cognitive
deficits in APOE-epsilon4 carriers reflect the influence of prodromal dementia
pathology ("prodromal hypothesis"), or a direct contribution of APOE genotype to
individual differences ("phenotype hypothesis"). Variable methodology across
studies further complicates the issue. These challenges have limited what can be
learnt about the processes underlying cognitive ageing and dementia by studying
the influence of APOE genotype on cognition. In this review, we focus on the two
compatible neurobiological mechanisms by which APOE genotype may influence
cognition in healthy adults (prodromal and phenotype). We summarise the
behavioural evidence for the influence of APOE on cognition in non-demented
adults and explore key methodological challenges for disentangling the cognitive
effects of different neurobiological mechanisms of APOE. Evidence suggests that
at least some APOE-epsilon4 cognitive deficits are due to early AD pathology,
whilst sensitive measures of cognition are beginning to reveal subtle cognitive
differences between APOE genotypes in mid-adulthood, prior to the onset of the AD
prodromal period. We conclude with recommendations for future research to
investigate the cognitive consequences of neurobiological processes affected by
APOE and maximise the translational potential of this research.
PMID- 29800788
TI - The effect of natural antioxidants in cyclophosphamide-induced hepatotoxicity:
Role of Nrf2/HO-1 pathway.
AB - Hepatotoxicity induced by cyclophosphamide (Cyclo) is a major concern in clinical
practice. This study was designed to investigate the possible cytoprotective
effect of natural antioxidants as oleuropein and quercetin against Cyclo induced
hepatotoxicity via the nuclear factor erythroid 2-related factor 2 (Nrf2)/heme
oxygenase-1 (HO-1) signaling pathway. Male Wistar rats were randomly divided into
six groups and treated for 10 days as follow: Group I (Normal control) received
saline, group II (Oleu control): received orally oleuropein 30 mg/kg/day, group
III (Quer control): administered orally quercetin 50 mg/kg/day, group IV (Cyclo):
received saline and injected with single intraperitoneal (i.p) dose of Cyclo 200
mg/kg at day 5, group V (Oleu ttt): treated with oleuropein plus Cyclo i.p.
injection at day 5, and group VI (Quer ttt): treated with quercetin plus Cyclo
i.p. injection at day 5. Injection of Cyclo showed marked increase in serum
transaminases and alkaline phosphatase, hepatic malondialdehyde (MDA) and tumor
necrosis factor-alpha (TNF-?) levels along with significant reduction in hepatic
reduced glutathione (GSH), superoxide dismutase (SOD), and catalase levels in
addition to downregulation of hepatic Nrf2 and HO-1 expressions and reduction in
hepatic nuclear Nrf2 binding activity when compared with normal group.
Histopathological examination of Cyclo treated rats revealed hepatic damage. Both
oleuropein and quercetin exhibited an improvement in the biochemical and
histopathological findings. In conclusion, the natural antioxidants oleuropein
and quercetin counteract the Cyclo induced hepatotoxicity through activation of
Nrf2/HO-1 signaling pathway with subsequent suppression of oxidative stress and
inflammation.
PMID- 29800789
TI - Myeloid peroxisome proliferator-activated receptor gamma deficiency aggravates
myocardial infarction in mice.
AB - BACKGROUND AND AIMS: Agonists of peroxisome proliferator-activated receptor gamma
(Ppargamma) have been demonstrated to reduce the risk of myocardial infarction
(MI) in clinical trials and animal experiments. However, the cellular and
molecular mechanisms are not completely understood. We aimed to reveal the
functions of myeloid Ppargamma in MI and explore the potential mechanisms in this
study. METHODS: Myeloid Ppargamma knockout (MPGKO) mice (n = 12) and control mice
(n = 8) underwent coronary artery ligation to induce MI. Another cohort of MPGKO
mice and control mice underwent coronary artery ligation and were then treated
with IgG or neutralizing antibodies against interleukin (IL)-1beta. Infarct size
was determined by TTC staining and cardiac function was measured using
echocardiography. Conditioned media from GW9662- or vehicle-treated macrophages
were used to treat H9C2 cardiomyocyte cell line. Gene expression was analyzed
using quantitative PCR. Reactive oxygen species were measured using flow
cytometry. RESULTS: Myeloid Ppargamma deficiency significantly increased
myocardial infarct size. Cardiac hypertrophy was also exacerbated in MPGKO mice,
with upregulation of beta-myosin heavy chain (Mhc) and brain natriuretic peptide
(Bnp) and downregulation of alpha-Mhc in the non-infarcted zone. Conditioned
media from GW9662-treated macrophages increased expression of beta-Mhc and Bnp in
H9C2 cells. Echocardiographic measurements showed that MPGKO mice had worsen
cardiac dysfunction after MI. Myeloid Ppargamma deficiency increased gene
expression of NADPH oxidase subunits (Nox2 and Nox4) in the non-infarcted zone
after MI. Conditioned media from GW9662-treated macrophages increased reactive
oxygen species in H9C2 cells. Expression of inflammatory genes such as IL-1beta
and IL-6 was upregulated in the non-infarcted zone of MPGKO mice after MI. With
the injection of neutralizing antibodies against IL-1beta, control mice and MPGKO
mice had comparable cardiac function and expression of inflammatory genes after
MI. CONCLUSIONS: Myeloid Ppargamma deficiency exacerbates MI, likely through
increased oxidative stress and cardiac inflammation.
PMID- 29800790
TI - Left ventricular hypertrophy assessed by electrocardiogram is associated with
more severe stroke and with higher in-hospital mortality in patients with acute
ischemic stroke.
AB - BACKGROUND AND AIMS: Left ventricular hypertrophy (LVH), assessed by
electrocardiogram (ECG), is associated with increased risk for stroke. However,
few studies that evaluated whether ECG-detected LVH predicts ischemic stroke
severity and outcome. We aimed to evaluate these associations. METHODS: We
prospectively studied 922 patients consecutively admitted with acute ischemic
stroke (age 79.6 +/- 6.9 years). Stroke severity was assessed at admission with
the National Institutes of Health Stroke Scale (NIHSS). Severe stroke was defined
as NIHSS>=5. LVH was evaluated with the Sokolow-Lyon index and the Cornell
voltage-duration product criteria in an ECG obtained at admission. The outcome
was assessed with dependency at discharge (modified Rankin scale 2-5) and in
hospital mortality. RESULTS: Independent predictors of severe stroke were age
(relative risk (RR) per year 1.07, 95% confidence interval (CI) 1.03-1.11,
p<0.001), female gender (RR 0.36, 95% CI 0.17-0.76, p<0.01), atrial fibrillation
(RR 2.07, 95% CI 1.30-3.29, p<0.005), chronic kidney disease (RR 2.38, 95% CI
1.04-5.44, p<0.05), heart rate (RR per 1/min 1.02, 95% CI 1.01-1.04, p<0.005),
glucose levels (RR 1.012, 95% CI 1.006-1.018, p<0.001), high-density lipoprotein
cholesterol levels (RR 0.976, 95% CI 0.960-0.993, p<0.005) and LVH defined
according to the Cornell voltage-duration product criteria (RR 2.08, 95% CI 1.12
3.86, p<0.05). Independent predictors of dependency at discharge were age (RR per
year 1.08, 95% CI 1.03-1.13, p<0.001), past smoking (RR versus no smoking 0.42,
95% 0.19-0.89, p<0.05), history of ischemic stroke (RR 2.13, 95% CI 1.23-3.71,
p<0.01) and NIHSS at admission (RR 1.48, 95% CI 1.35-1.63, p<0.001). Independent
predictors of in-hospital mortality were glucose levels (RR 1.014, 95% CI 1.003
1.025, p<0.05), NIHSS at admission (RR 1.29, 95% CI 1.19-1.41, p<0.001) and LVH
according to the Cornell voltage-duration product criteria (RR 4.95, 95% CI 1.09
22.37, p<0.05). CONCLUSIONS: LVH according to the Cornell voltage-duration
product criteria appears to be associated with more severe stroke and with higher
in-hospital mortality in patients with acute ischemic stroke.
PMID- 29800791
TI - Investigating the level of glaucoma awareness and perception of its risk factors
in Anambra State, Nigeria.
AB - OBJECTIVES: The purpose of this study was to investigate the level of awareness
of glaucoma and perception of its risk factors in Anambra State, and to examine
and evaluate the health seeking behaviour of this target population and its
relationship with the development glaucoma. STUDY DESIGN: This is a qualitative
study that utilised face-to-face semi-structured interviews to investigate the
level of glaucoma awareness in the state. METHODS: Purposive, non-random sampling
technique was used to recruit the participants, and data were collected from 28
participants [aged 21-73 years] using semi-structured interview. The resulting
data were analysed using Nvivo 10 software and Interpretative phenomenological
analysis framework. RESULTS: Of the 28 interviewees, 15 (53.6%) live in urban
areas, and 13 (46.4%) live in the rural areas; 11 (39.3%) of the participants
were male and 17 (60.7%) were females. In this study, people with more education,
and people that live in the urban areas tend to have heard about glaucoma
compared with people with less education, and who live in the rural areas;
although this sample was too small to make substantive claims. Glaucoma was
perceived as 'a dangerous eye disease that can cause blindness if not treated
early; serious eye problem; an incurable eye problem that can eventually result
to blindness, and a dangerous eye problem that can easily render a person blind'.
Four a priori themes and ten emergent themes were identified. CONCLUSIONS: There
is low awareness of glaucoma in this population, and this encourages people to
indulge in certain risk behaviours that could predispose them to glaucoma.
Therefore, providing sustained health promotion programmes and improved eye care
services could help this population immensely in the current struggle against
glaucoma blindness.
PMID- 29800792
TI - Motivational interviewing-based training vs traditional training on the uptake of
cervical screening: a quasi-experimental study.
AB - OBJECTIVES: Cervical cancer, a major health issue affecting women, is preventable
and can be successfully treated. It is essential that measures are taken to
improve the uptake of screening for this cancer. The aim of this study was to
compare the effects of motivational interviewing (MI)-based training and
traditional training on the frequency of cervical cancer screening tests in a
group of working female teachers. STUDY DESIGN: This is a quasi-experimental
study. METHODS: This research was conducted in 2017 among 134 teachers (aged 30
60 years) working in southeastern Iran. The participants were selected from among
the eligible individuals and subsequently divided into MI-based training and
traditional training groups (n = 67 for each group). Each group received a three
session training program, and 20 weeks after the end of the last training
session, the information obtained from cervical cancer screening tests was
documented. To analyze the data, independent t-test and Chi-squared test were run
in SPSS, version 21. RESULTS: There was no significant difference between the two
groups in terms of demographic characteristics such as age, age at the first
pregnancy, age of marriage, the number of parities, and educational level. Twenty
weeks after intervention, 20.9% of the MI-based training group underwent Pap
smear screening test, while 9% of the women in the traditional training group
took the test, indicating a statistically significant difference between the two
groups (P < 0.0.5). CONCLUSION: MI-based training has a significant positive
effect on women's compliance with cervical cancer screening tests. Therefore, it
is recommended that this technique be adopted in women's health centers. TRIAL
REGISTRATION NUMBER: IRCT2017100729954N4.
PMID- 29800793
TI - Do eye movements reveal differences between monolingual and bilingual children's
first-language and second-language reading? A focus on word frequency effects.
AB - An extensive body of research has examined reading acquisition and performance in
monolingual children. Surprisingly, however, much less is known about reading in
bilingual children, who outnumber monolingual children globally. Here, we address
this important imbalance in the literature by employing eye movement recordings
to examine both global (i.e., text-level) and local (i.e., word-level) aspects of
monolingual and bilingual children's reading performance across their first
language (L1) and second-language (L2). We also had a specific focus on lexical
accessibility, indexed by word frequency effects. We had three main findings.
First, bilingual children displayed reduced global and local L1 reading
performance relative to monolingual children, including larger L1 word frequency
effects. Second, bilingual children displayed reduced global and local L2 versus
L1 reading performance, including larger L2 word frequency effects. Third, both
groups of children displayed reduced global and local reading performance
relative to adult comparison groups (across their known languages), including
larger word frequency effects. Notably, our first finding was not captured by
traditional offline measures of reading, such as standardized tests, suggesting
that these measures may lack the sensitivity to detect such nuanced between-group
differences in reading performance. Taken together, our findings demonstrate that
bilingual children's simultaneous exposure to two reading systems leads to eye
movement reading behavior that differs from that of monolingual children and has
important consequences for how lexical information is accessed and integrated in
both languages.
PMID- 29800795
TI - Endothelial cell surface limits coagulation without modulating the antithrombin
potency.
AB - Antithrombin (AT) binds in vitro and in vivo to endothelial cells through various
receptors, including heparan sulphate glycosaminoglycan (HSPG) that could
modulate the AT activity. A thrombin generation assay (TGA) was set up at the
surface of HUVEC and HMVEC evaluating their participation in the coagulation
anticoagulation processes. TGA induced by 0.5 pM Tissue Factor was performed in
normal or AT-deficient plasma spiked with various amounts of recombinant or
plasma-derived AT (0, 0.1, 0.5 and 1.0 U/ml). To evaluate the role of HSPG or
cellular anticoagulant receptors, cells were treated or not with heparin, a mix
of heparanase I, II and III, a neutralizing anti-Endothelial Protein C Receptor
(EPCR) or with an anti-Tissue Factor Pathway Inhibitor (TFPI) antibody. The
presence of the cells diminished the TG in normal plasma and maintained
anticoagulation in AT-deficient plasma. Spiking the AT-deficient plasma with
different doses of AT demonstrated that the cells did not amplify the
anticoagulant activity of AT. The recombinant AT binds the cells with a higher
avidity than the plasma-derived one but this did not affect its anticoagulant
potency. Moreover both bindings are independent of the HSPG. The antithrombotic
activity kept in absence of AT was not inhibited by blocking antibodies directed
against EPCR or TFPI. Our data did not reveal a major co-factor activity for AT
from endothelial cells that could have been mediated by HSPG. In contrast, it
reveals the presence of alternative anti-coagulant system(s) in two venous cell
types that maintain an antithrombotic activity.
PMID- 29800796
TI - Differences in lower limb biomechanics between ballet dancers and non-dancers
during functional landing tasks.
AB - OBJECTIVES: To determine the differences in the lower limb landing biomechanics
of adolescent ballet dancers compared to non-dancers when performing a hop and a
stop jump task. DESIGN: Cross-sectional. SETTING: Laboratory. PARTICIPANTS:
Thirteen adolescent female ballet dancers (11.8 +/- 1.1 years) and 17 non-dancers
(10.9 +/- 0.8 years) performed hop and stop jump tasks. MAIN OUTCOME MEASURES:
Vertical ground reaction force, and three-dimensional ankle, knee and hip joint
angles and moments during the landing phase. RESULTS: Dancers displayed greater
sagittal plane joint excursions during the hop and stop jump at the ankle (mean
difference = 22.0 degrees , P < 0.001, 14.8 degrees , P < 0.001 respectively),
knee (mean difference = 18.1 degrees , P = 0.001, 9.8 degrees , P = 0.002
respectively) and hip (stop jump task; mean difference = 8.3 degrees , P =
0.008). Dancers displayed a larger hip extensor moment compared to non-dancers (P
< 0.001) during the stop jump task only. Dancers also took longer to reach peak
vGRF and jumped three times higher than non-dancers (P < 0.001) during the stop
jump task. No difference in peak vGRF between groups was displayed for either
task. CONCLUSIONS: Adolescent dancers demonstrate a transfer of landing technique
to non-ballet specific tasks, reflective of the greater jump height and sagittal
plane joint excursions. This landing strategy may be associated with the low rate
of non-contact ACL injuries in female dancers.
PMID- 29800794
TI - Comment on "The optimal timing of post-treatment sampling for the assessment of
anthelminthic drug efficacy against Ascaris infections in humans".
AB - A recent publication by Levecke et al. (Int. J. Parasitol, 2018, 8, 67-69)
provides important insights into the kinetics of worm expulsion from humans
following treatment with albendazole. This is an important aspect of determining
the optimal time-point for post treatment sampling to examine anthelmintic drug
efficacy. The authors conclude that for the determination of drug efficacy
against Ascaris, samples should be taken not before day 14 and recommend a period
between days 14 and 21. Using this recommendation, they conclude that previous
data (Krucken et al., 2017; Int. J. Parasitol, 7, 262-271) showing a reduction of
egg shedding by 75.4% in schoolchildren in Rwanda and our conclusions from these
data should be interpreted with caution. In reply to this, we would like to
indicate that the very low efficacy of 0% in one school and 52-56% in three other
schools, while the drug was fully efficient in other schools, cannot simply be
explained by the time point of sampling. Moreover, there was no correlation
between the sampling day and albendazole efficacy. We would also like to indicate
that we very carefully interpreted our data and, for example, nowhere claimed
that we found anthelmintic resistance. Rather, we stated that our data indicated
that benzimidazole resistance may be suspected in the study population. We
strongly agree that the data presented by Levecke et al. suggests that
recommendations for efficacy testing of anthelmintic drugs should be revised.
PMID- 29800798
TI - Impact of structural alterations on the radiopharmacological profile of 18F
labeled pyrimidines as cyclooxygenase-2 (COX-2) imaging agents.
AB - INTRODUCTION: Non-invasive imaging of COX-2 in cancer represents a powerful tool
for assessing COX-2-mediated effects on chemoprevention and radiosensitization
using potent and selective COX-2 inhibitors as an emerging class of anticancer
drugs. Careful assessment of the pharmacokinetic profile of radiolabeled COX-2
inhibitors is of crucial importance for the development of suitable radiotracers
for COX-2 imaging in vivo. The delicate balance between the selection of typical
COX-2 pharmacophores and the resulting physicochemical characteristics of the COX
2 inhibitor represents a formidable challenge for the search of radiolabeled COX
2 imaging agents. Several pyrimidine-based COX-2 inhibitors demonstrated
favorable in vitro and in vivo COX-2 imaging properties in various COX-2
expressing cancer cell lines. Here, we describe a comparative
radiopharmacological study of three 18F-labeled COX-2 inhibitors based on a
pyrimidine scaffold. The objective of this study was to investigate how subtle
structural alterations influence the pharmacokinetic profile of lead compound
[18F]1a ([18F]Pyricoxib) to afford 18F-labeled pyrimidine-based COX-2 inhibitors
with improved COX-2 imaging properties in vivo. METHODS: Radiosynthesis of
radiotracers was accomplished through reaction with 4-[18F]fluorobenzyl amine on
a methyl-sulfone labeling precursor ([18F]1a and [18F]2a) or late-stage
radiofluorination using a iodyl-containing labeling precursor ([18F]3a).
Radiopharmacological profile of 18F-labeled pyrimidine-based COX-2 inhibitors
[18F]1a, [18F]2a and [18F]3a was studied in COX-2-expressing human HCA-7
colorectal cancer cell line, including cellular uptake studies in HCA-7 cells and
dynamic PET imaging studies in HCA-7 xenografts. RESULTS: Cellular uptake of
radiotracers [18F]2a and [18F]3a in HCA-7 cells was 450% and 300%
radioactivity/mg protein, respectively, after 90 min incubation, compared to 600%
radioactivity/mg protein for radiotracer [18F]1a. Dynamic PET imaging studies
revealed a tumor SUV of 0.53 ([18F]2a) and 0.54 ([18F]3a) after 60 min p.i. with
a tumor-to-muscle ratio of ~1. Tumor SUV for [18F]1a (60 min p.i.) was 0.76 and a
tumor-to-muscle ratio of ~1.5. Pyricoxib analogues [18F]2a and [18F]3a showed
distinct pharmacokinetic profiles in comparison to lead compound [18F]1a with a
significantly improved lung clearance pattern. Replacing the 4-[18F]fluorobenzyl
amine motif in radiotracer [18F]1a with a 4-[18F]fluorobenzyl alcohol motif in
radiotracer [18F]3a resulted in re-routing of the metabolic pathway as
demonstrated by a more rapid liver clearance and higher initial kidney uptake and
more rapid kidney clearance compared to radiotracers [18F]1a and [18F]2a.
Moreover, radiotracer [18F]3a displayed favorable rapid brain uptake and
retention. CONCLUSION: The radiopharmacological profile of three 18F-labeled COX
2 inhibitors based on a pyrimidine scaffold were evaluated in COX-2 expressing
human colorectal cancer cell line HCA-7 and HCA-7 xenografts in mice. Despite the
overall structural similarity and comparable COX-2 inhibitory potency of all
three radiotracers, subtle structural alterations led to significantly different
in vitro and in vivo metabolic profiles. ADVANCES IN KNOWLEDGE: Among all tested
pyrimidine-based 18F-labeled COX-2 inhibitors, lead compound [18F]1a remains the
most suitable radiotracer for assessing COX-2 expression in vivo. Radiotracer
[18F]3a showed significantly improved first pass pulmonary passage in comparison
to radiotracer [18F]1a and might represents a promising lead compound for the
development of radiotracers for PET imaging of COX-2 in neuroinflammation.
PMID- 29800797
TI - The impact of age on radium-223 distribution and an evaluation of molecular
imaging surrogates.
AB - INTRODUCTION: Radium-223 dichloride is the first alpha-particle emitting
therapeutic agent approved by FDA and EMA for bone metastatic castration
resistant prostate cancer. We studied its age-dependent biodistribution in mice,
and compared it with [99mTc]Tc-MDP and [18F]NaF aiming to identify a potential
imaging surrogate to predict [223Ra]RaCl2 whole-body localization. METHODS: Male
C57Bl/6 mice dosed with [223Ra]RaCl2 were sacrificed at different time points to
explore [223Ra]RaCl2 whole-body distribution. In another experiment, mice at
different ages were dosed with [223Ra]RaCl2 to evaluate the aging impact.
Finally, [99mTc]Tc-MDP and [18F]NaF were administered to mice, and we compared
their biodistributions with [223Ra]RaCl2. Detailed micro-localization of each
tracer was visualized using autoradiography and histochemical staining. RESULTS:
[223Ra]RaCl2 uptake in bone was rapid and stable. We observed persistent
localization at bone epiphyses, as well as the red pulp of the spleen, while its
uptake in most soft tissues cleared within 24 h. [223Ra]RaCl2 distribution in
soft tissues is similar in all age groups tested, while bone activity
significantly decreased with aging. Although the diagnostic tracers cleared much
faster from soft tissues than the therapeutic radionuclide, [99mTc]Tc-MDP and
[18F]NaF both co-localized with [223Ra]RaCl2 in the skeletal compartment.
CONCLUSIONS: Radium-223 localization to the bone is dependent on age-varying
factors, which implies that radium-223 dosimetry should take patient age into
account. [99mTc]Tc-MDP shows a different biodistribution from [223Ra]RaCl2, both
in soft tissues and in bone. [18F]NaF presents a high similarity with
[223Ra]RaCl2 in skeletal uptake, which validates the potential of [18F]NaF as an
imaging surrogate to predict radium-223 radiotherapeutic distribution in bone.
PMID- 29800799
TI - Cognitive functions, electroencephalographic and diffusion tensor imaging changes
in children with active idiopathic epilepsy.
AB - INTRODUCTION: Neurocognitive impairment represents one of the most common
comorbidities occurring in children with idiopathic epilepsy. Diagnosis of the
idiopathic form of epilepsy requires the absence of any macrostructural
abnormality in the conventional MRI. Though changes can be seen at the
microstructural level imaged using advanced techniques such as the Diffusion
Tensor Imaging (DTI). AIM OF THE WORK: The aim of this work is to study the
correlation between the microstructural white matter DTI findings, the
electroencephalographic changes and the cognitive dysfunction in children with
active idiopathic epilepsy. METHODS: A comparative cross-sectional study,
included 60 children with epilepsy based on the Stanford-Binet 5th Edition Scores
was conducted. Patients were equally assigned to normal cognitive function or
cognitive dysfunction groups. The history of the epileptic condition was gathered
via personal interviews. All patients underwent brain Electroencephalography
(EEG) and DTI, which was analyzed using FSL. RESULTS: The Fractional Anisotropy
(FA) was significantly higher whereas the Mean Diffusivity (MD) was significantly
lower in the normal cognitive function group than in the cognitive dysfunction
group. This altered microstructure was related to the degree of the cognitive
performance of the studied children with epilepsy. The microstructural
alterations of the neural fibers in children with epilepsy and cognitive
dysfunction were significantly related to the younger age of onset of epilepsy,
the poor control of the clinical seizures, and the use of multiple antiepileptic
medications. CONCLUSION: Children with epilepsy and normal cognitive functions
differ in white matter integrity, measured using DTI, compared with children with
cognitive dysfunction. These changes have important cognitive consequences.
PMID- 29800800
TI - Cumulative effect of transcranial direct current stimulation in patients with
partial refractory epilepsy and its association with phase lag index-A
preliminary study.
AB - There is an urgent need for alternative treatments for refractory epilepsy. We
investigated the effect of two courses of cathodal transcranial direct current
stimulation (tDCS) in nine patients with partial refractory epilepsy. A two
course treatment (1 month per course, with six sessions of stimulation per course
within the first 2 weeks by 2-mA cathodal tDCS for 20 min) was administered to
each patient. After the first course of tDCS, the average seizure frequency had
decreased by 37.8 +/- 21.9% compared with baseline (p = 0.001). After the second
course, the average seizure frequency had decreased by 48.9 +/- 31.2% compared
with baseline (p = 0.002). Only seven of the nine patients maintained the same
state of wakefulness in three electroencephalogram (EEG) recordings. We analyzed
the EEG recordings of these seven patients on day 0 immediately posttreatment and
on days 4 and 9 in the first course of tDCS. When compared with baseline, no
significant change in the number of epileptiform discharges was observed. The day
9 phase lag index (PLI) decreased in five patients with seizure reduction after
tDCS but increased in two patients without seizure reduction after tDCS. A
significant negative correlation was observed between the day 9 PLI of alpha band
and first-course seizure reduction (R2 = 0.6515) (p = 0.028). The results
revealed that tDCS may be considered as an alternative treatment option for
patients with refractory epilepsy, and its effect might be cumulative after
repeated stimulations and associated with a decrease in PLI.
PMID- 29800801
TI - Biomarkers of alveolar bone resorption in gingival crevicular fluid: A systematic
review.
AB - BACKGROUND: Periodontitis is a prevalent oral disease with bone loss being it's
hallmark. Clinical parameters used to measure periodontitis are retrospective and
do not indicate active inflammation nor prognosis. GCF can be easily collected
chairside and bone turnover biomarkers found in GCF can be evaluated to check for
active inflammation and disease progression. This systematic review aims to
evaluate the literature for association and predictive value of bone turnover
biomarkers in GCF during periodontal disease. MATERIALS AND METHODS: This review
was conducted and reported according to the PRISMA guidelines. The online
databases Google Scholar and PubMed were used for data search. MeSH terms were
used for PubMed search. All original studies from 1990 to 2017 conducted on human
subjects in the English language were included in the review. Studies on non
human subjects, reviews and studies conducted in languages other than English
were not considered. Reference lists of qualified articles were also searched.
RESULTS: The search generated 2300 results whose titles were screened and 1571
articles were retreived. 23 articles were accepted in the review and full texts
were accessed. These included 1 randomized controlled trial, 12 cross-sectional
studies, five pre-post interventional studies, 4 longitudinal and 1 in-vitro in
vivo experimental study. The studies were conducted on patients of both genders
ranging from 10 to 81 years in age. A total of 37 biomarkers were evalueted in
the studies included in this review. Majority of the studies reported interleukin
1beta (IL-1beta) while receptor activated nuclear factor-kappa B ligand (RANKL)
and matrix metalloproteinase-8 (MMP-8) were the other frequently reported
biomarkers. Most of the studies evaluated more than two biomarkers. ELISA was the
most commonly used biochemical test used for detection. CONCLUSION: A wide range
of biomarkers have been established as indicators of alveolar bone resorption.
Few of the biomarkers have also shown positive correlation with disease
progression and outcome of periodontal therapies thus underscoring their
predictive value in periodontal diagnosis and prognosis. Not one single biomarker
has been reported to have a predictive advantage over another and a combination
of two or more biomarkers along with clinical evaluation is recommended.
PMID- 29800802
TI - The association between interleukin polymorphism and recurrent aphthous
stomatitis: A meta-analysis.
AB - OBJECTIVE: To assess the association between interleukin gene polymorphism and
recurrent aphthous stomatitis (RAS). DESIGNS: Two electronic databases, PubMed
and Embase, were utilized to assemble potentially relevant studies meeting the
inclusion criteria. A meta-analysis was conducted using Revman 5.3 software
(London, UK), and the pooled odds ratio (OR) and 95% confidence interval (CI)
were then used to evaluate the strength of the relationship between the gene
polymorphisms of IL-1beta(-511C/T), IL-1beta(+3954C/T), IL-6(-174G/C) and IL-10(
1082G/A) and the risk of RAS. RESULTS: Ten studies were included in the final
meta-analysis, with 884 cases and 1104 controls participating. The results
demonstrated that the polymorphism of IL-1beta(-511C/T) significantly increased
the probability of the development of RAS in Europeans. (T vs. C: OR = 1.35,
95%CI = 1.09-1.67; CC vs. CT + TT: OR = 1.77, 95%CI = 1.24-2.53; CC vs. TT: OR =
1.86, 95%CI = 1.18-2.95). Furthermore, the C allele in IL-1beta(+3954C/T) was
determined to be related to the risk of RAS in Americans (C vs. T: OR = 1.52,
95%CI = 1.07-2.17) and the presence of the C gene was considered a risk variant
(CC + CT vs. TT: OR = 1.46, 95%CI = 1.01-2.11), but no relationship was found
between the polymorphism of IL-10(-1082G/A) and the risk of RAS. CONCLUSIONS: The
meta-analysis suggested that the mutation of IL-1beta(-511C/T) in Europe and IL
1beta(+3954C/T) in America tend to increase the risk of RAS, but the polymorphism
of IL-10(-1082G/A) appears to have no association with RAS risk in America.
Further study is required to confirm the above conclusions.
PMID- 29800804
TI - Environmental effects on chromatin repression at imprinted genes and endogenous
retroviruses.
AB - Environmental factors can perturb epigenetic regulation. In mammals, most studies
have focused on repressive DNA methylation. Two attractive model systems to
monitor environmentally triggered drifts in DNA methylation are genomic
imprinting and endogenous retroviruses (ERVs), particularly intracisternal-A
particles (IAPs). These systems show mechanistic similarities in their repressive
chromatin organization, which in somatic cells is comparable between the DNA
methylated alleles of imprinted differentially methylated regions (DMRs) and
repressed ERVs. Here, we present how during development, nutrition and chemical
components can perturb DNA methylation at imprinted genes and ERVs, and discuss
the still poorly understood underlying mechanisms.
PMID- 29800803
TI - Epha2 and Efna5 participate in lens cell pattern-formation.
AB - Ephrin type-A receptor 2 (EPHA2) and one of its ligands, ephrin-A5 (EFNA5), have
been associated with loss of eye lens transparency, or cataract, - an important
cause of visual impairment. Here we show that mice functionally lacking EPHA2
(Epha2-null), EFNA5 (Efna5-null), or both receptor and ligand (Epha2/Efna5-null)
consistently develop mostly transparent lenses with an internal refractive
disturbance and a grossly disturbed cellular architecture. In situ hybridization
localized Epha2 and Efna5 transcripts to lens epithelial cells and nascent fiber
cells at the lens equator. In vivo labeling of Epha2-null lenses with a thymidine
analog detected a significant decrease in lens epithelial cell proliferation
within the germinative zone resulting in impaired early lens growth. Ex vivo
imaging of Epha2-null, Efna5-null, and Epha2/Efna5-null lenses labelled in vivo
with a membrane-targeted red fluorescent protein revealed misalignment of
elongating fiber cells at the lens equator and loss of Y-suture pattern formation
near the anterior and posterior poles of the lens. Immuno-fluorescent labeling of
lens major intrinsic protein or aquaporin-0 (MIP/AQP0) showed that the precise,
radial column patterning of hexagonal fiber cells throughout the cortex region
was disrupted in Epha2-null, Efna5-null and Epha2/Efna5-null lenses.
Collectively, these data suggest that Epha2 and Efna5 participate in the complex,
global patterning of lens fiber cells that is necessary for maximal optical
quality.
PMID- 29800805
TI - Inhibition of cathepsin B activity prevents deterioration in the quality of in
vitro aged porcine oocytes.
AB - The activity of cathepsin B, a member of the lysosomal protease family, directly
correlates with oocyte quality and subsequent embryonic development. However, its
biological function during the progression of in vitro aging of oocytes in pigs
has not been demonstrated. Here, we showed that cathepsin B activity was
dramatically increased during in vitro aged oocytes. The inhibition of cathepsin
B activity prevented the decline of the quality of aged oocytes and improved
their subsequent developmental competence. Moreover, the inhibition of cathepsin
B activity reduced aging-induced mitochondrial dysfunction and attenuated
oxidative stress. The inhibition of cathepsin B activity also markedly decreased
early apoptosis levels and the frequency of spindle anomalies during in vitro
aging of oocytes. These results demonstrate that in vitro aging of oocytes
induces cathepsin B activity, which is associated with a decline in oocyte
quality. The inhibition of cathepsin B activity has a beneficial effect on
oocytes during the process of in vitro aging.
PMID- 29800807
TI - Comparison of posterolateral fusion and posterior lumbar interbody fusion for
treatment of degenerative spondylolisthesis: Analysis of spino-pelvic sagittal
balance and postoperative chronic low back pain.
AB - OBJECTIVE: This study aims to compare the spino-pelvic sagittal balance and
clinical outcomes of patients treated with posterolateral fusion (PLF) and
posterior lumbar interbody fusion (PLIF) for degenerative spondylolisthesis.
PATIENTS AND METHODS: A total of 72 patients (28 patients treated with PLF and 44
patients treated with PLIF) were studied. The spino-pelvic sagittal balance,
Numerical Rating Scale (NRS), and Oswestry Disability Index (ODI) of the PLF and
PLIF groups were compared. The incidence of postoperative chronic low back pain
(improvement of NRS less than 50%) in the two groups was also recorded. RESULTS:
Significant restoration of spino-pelvic sagittal balance was observed in the PLIF
group after surgery. Both groups achieved significant improvements in NRS and ODI
postoperatively. Significant differences in postoperative lumbar lordosis and
pelvic tilt were found between the PLF and PLIF groups. Significant difference in
the incidence of postoperative chronic low back pain was also detected between
the two groups. CONCLUSION: PLF and PLIF can improve the clinical outcomes of
patients with degenerative spondylolisthesis. PLIF can achieve better restoration
of spino-pelvic sagittal balance parameters and less incidence of postoperative
chronic low back pain than PLF.
PMID- 29800806
TI - Anti-Mullerian hormone as a biomarker for acute testicular degeneration caused by
toxic insults to stallion testes.
AB - Recently, anti-Mullerian hormone (AMH) was validated as a reliable marker of
testicular damage caused by various chemotherapy drugs in humans and in mice. In
horses, the reference values of AMH concentrations in normal stallions, during
different seasons of a year, have been recently reported. However, this hormone
was not evaluated in subfertile or infertile stallions with testicular damage.
Therefore, the objective of this study was to investigate the effects of
experimentally induced testicular degeneration on the concentration of AMH in
stallions. Severe but transient testicular degeneration was induced in six
Miniature horse stallions, in two, separate experiments (three stallions in each
experiment), by the administration of a single dose of the contraceptive compound
RTI-4587-073(l). Six different stallions served as controls (three stallions in
each experiment). Treated and control stallions were switched between the
experiments. Concentrations of AMH were determined in 78 samples of blood plasma
collected during the first experiment and in 24 samples collected during the
second experiment. Furthermore, the expression of AMH in 30 samples of testicular
parenchyma, collected from these stallions during the second experiment, was also
evaluated, using immunohistochemistry (IHC) and objectively analyzed using
computerized methods. During the first experiment, the concentrations of AMH in
blood increased significantly in treated stallions (P < 0.05), reaching a 62-151%
change from the baseline by day 10 after treatment, before gradually decreasing
to the pretreatment levels. There was no change in blood AMH concentration in
control stallions. Only a trend to increase AMH concentration was observed in
treated stallions during the second experiment (P = 0.055). The labeling for
immunoreactive AMH in the Sertoli cells gradually increased after treatment,
which was confirmed by the significantly increased IHC optic density score value
(P < 0.05) and significantly decreased percentage contribution of negative pixels
at fourth week after treatment (P < 0.05). We concluded that AMH is a promising
candidate as a biomarker of testicular damage in stallions caused by toxic
insults that lead to testicular degeneration.
PMID- 29800808
TI - Comparative metabolic and ionomic profiling of two cultivars of Stevia rebaudiana
Bert. (Bertoni) grown under salinity stress.
AB - This study provides a comprehensive investigation on the impact of increasing
NaCl concentrations on hydroponically grown Stevia rebaudiana cultivars (Shoutian
2 and Fengtian). Growth parameters including plant height, biomass and
physiological responses including osmotic potential were measured. In addition,
the levels of steviol glycosides, elements and primary metabolites were measured
and statistically evaluated. The cultivar Fengtian grew faster, accumulated less
Na+ and compatible organic solutes, and more K+ in the leaves, as compared to the
cv. Shoutian-2. Metabolite analysis identified 81 differentially accumulated
metabolites, indicating an alteration in the metabolite phenotype of both
cultivars upon exposure to salinity A general increase in many amino acids,
amines, sugars and sugar phosphates with a concurrent decrease in most organic
acids; including tricarboxylic acid (TCA) cycle intermediates, was observed. In
the more salt tolerant cv. Fengtian, the levels of hexose phosphates and
metabolites involved in cellular protection increased in response to salinity.
These metabolites remained unchanged in the sensitive cv. Shoutian-2.
Interestingly, salt treatment notably increased the rebaudioside A concentration
by 53% while at the same time stevioside decreased by 38% in Fengtian which has
important implications for controlling the relative amounts of reboudioside A and
stevioside. The findings of this study leads to the conclusion that mild salinity
stress can increase the yield of sweetener compounds, which is dependent on the
cultivar and the level of salinity stress.
PMID- 29800809
TI - Nanomaterials for facilitating microbial extracellular electron transfer: Recent
progress and challenges.
AB - Nanomaterials for facilitating the microbial extracellular electron transfer
(EET) process have drawn increasing attention due to their specific physical,
chemical and electrical properties. This review summarizes the research advances
of nanomaterials for accelerating the EET process. Nanostructured materials,
including oligomer, carbon nanotube (CNT), graphene, metal, metal oxides, and
polymer, exhibit numerous admirable properties such as large surface area, high
electrical conductivity, and excellent catalytic activity. In this review,
depending on the exact site where the nanomaterials work, the nanomaterials are
classified into four groups: inside-membrane, interface, inside-biofilm and
interspecies. Synthesis of the nanomaterials, EET enhancement performance, and
corresponding enhancement mechanisms are also discussed. In spite of the
challenges, nanomaterials will be extremely promising for promoting the EET
process application in the future.
PMID- 29800810
TI - Consumption of conjugated linoleic acid (CLA)-supplemented diet during colitis
development ameliorates gut inflammation without causing steatosis in mice.
AB - Dietary supplementation with conjugated linoleic acid (CLA) has been proposed for
weight management and to prevent gut inflammation. However, some animal studies
suggest that supplementation with CLA leads to the development of nonalcoholic
fatty liver disease. The aims of this study were to test the efficiency of CLA in
preventing dextran sulfate sodium (DSS)-induced colitis, to analyze the effects
of CLA in the liver function, and to access putative liver alterations upon CLA
supplementation during colitis. So, C57BL/6 mice were supplemented for 3 weeks
with either control diet (AIN-G) or 1% CLA-supplemented diet. CLA content in the
diet and in the liver of mice fed CLA containing diet were accessed by gas
chromatography. On the first day of the third week of dietary treatment, mice
received ad libitum a 1.5%-2.5% DSS solution for 7 days. Disease activity index
score was evaluated; colon and liver samples were stained by hematoxylin and
eosin for histopathology analysis and lamina propria cells were extracted to
access the profile of innate cell infiltrate. Metabolic alterations before and
after colitis induction were accessed by an open calorimetric circuit. Serum
glucose, cholesterol, triglycerides and alanine aminotransaminase were measured;
the content of fat in liver and feces was also accessed. CLA prevented weight
loss, histopathologic and macroscopic signs of colitis, and inflammatory
infiltration. Mice fed CLA-supplemented without colitis induction diet developed
steatosis, which was prevented in mice with colitis probably due to the higher
lipid consumption as energy during gut inflammation. This result suggests that
CLA is safe for use during gut inflammation but not at steady-state conditions.
PMID- 29800812
TI - Identification of activation of tryptophan-NAD+ pathway as a prominent metabolic
response to thermally oxidized oil through metabolomics-guided biochemical
analysis.
AB - Consumption of thermally oxidized oil is associated with metabolic disorders, but
oxidized oil-elicited changes in the metabolome are not well defined. In this
study, C57BL/6 mice were fed the diets containing either control soybean oil or
heated soybean oil (HSO) for 4 weeks. HSO-responsive metabolic events were
examined through untargeted metabolomics-guided biochemical analysis. HSO
directly contributed to the presence of new HSO-derived metabolites in urine and
the decrease of polyunsaturated fatty acid-containing phospholipids in serum and
the liver. HSO disrupted redox balance by decreasing hepatic glutathione and
ascorbic acid. HSO also activated peroxisome proliferator-activated receptors,
leading to the decrease of serum triacylglycerols and the changes of cofactors
and products in fatty acid oxidation pathways. Most importantly, multiple
metabolic changes, including the decrease of tryptophan in serum; the increase of
NAD+ in the liver; the increases of kynurenic acid, nicotinamide and nicotinamide
N-oxide in urine; and the decreases of the metabolites from pyridine nucleotide
degradation in the liver indicated that HSO activated tryptophan-NAD+ metabolic
pathway, which was further confirmed by the upregulation of gene expression in
this pathway. Because NAD+ and its metabolites are essential cofactors in many
HSO-induced metabolic events, the activation of tryptophan-NAD+ pathway should be
considered as a central metabolic response to the exposure of HSO.
PMID- 29800813
TI - Postnatal high-fat diet sex-specifically exacerbates prenatal dexamethasone
induced hypertension: Mass spectrometry-based quantitative proteomic approach.
AB - Hypertension can originate from pre- and post-natal insults. High-fat (HF) diet
and prenatal dexamethasone (DEX) exposure are both involved in hypertension of
developmental origins. We examined whether postnatal HF diet sex-specifically
increases the vulnerability to prenatal DEX exposure-induced programmed
hypertension in adult offspring. Additionally, we sought to identify candidate
proteins involved in programmed hypertension through a mass spectrometry-based
quantitative proteomic approach. Male and female offspring were studied
separately: control, DEX, HF, and DEX + HF (n=8/group). Pregnant Sprague-Dawley
rats received dexamethasone (0.1 mg/kg body weight) or vesicle from gestational
day 16-22. Offspring received high-fat diet (D12331, Research Diets) or regular
diet from weaning to 4 months of age. Rats were sacrificed at 4 months of age. We
found that postnatal HF diet increased vulnerability of prenatal DEX-induced
hypertension in male but not in female adult offspring. Additionally, HF and DEX
elicited renal programming in a sex-specific fashion. In males, DEX + HF
increased renal parvalbumin (PVALB) and carbonic anhydrase III (CA III) protein
levels. While prenatal DEX down-regulated PVALB and CA III protein abundance in
female offspring kidneys. Moreover, DEX + HF increased renal protein level of
type 3 sodium hydrogen exchanger (NHE3) in males but not in females. In
conclusion, postnatal HF diet and prenatal DEX exposure synergistically induced
programmed hypertension in male-only offspring. DEX + HF induced sex-specific
alterations of protein profiles in offspring kidneys. By identifying candidate
proteins underlying sex-specific mechanisms, our results could lead to novel
offspring sex-specific interventions to prevent hypertension induced by antenatal
corticosteroids and postnatal HF intake in both sexes.
PMID- 29800811
TI - Synbiotics Bifidobacterium infantis and milk oligosaccharides are effective in
reversing cancer-prone nonalcoholic steatohepatitis using western diet-fed FXR
knockout mouse models.
AB - Milk oligosaccharides (MO) selectively increase the growth of Bifidobacterium
infantis (B. infantis). This study examines the effects of bovine MO and B.
infantis in preventing nonalcoholic steatohepatitis (NASH) in Western diet (WD)
fed bile acid (BA) receptor FXR (farnesoid x receptor) knockout (KO) mice. WD-fed
FXR KO mice have cancer-prone NASH and reduced B. infantis. MO and/or B. infantis
supplementation improved their insulin sensitivity and reduced hepatic
inflammation. Additionally, B. infantis, but not MO, decreased hepatic
triglyceride and cholesterol. A combination of both further reduced hepatic
cholesterol, the precursor of BAs. All three treatments modulated serum and
hepatic BA profile. Moreover, B. infantis and MO decreased hepatic CYP7A1 and
induced Sult2a1, Sult2a2, and Sult2a3 suggesting reduced BA synthesis and
increased detoxification. Furthermore, B. infantis and MO increased ileal BA
membrane receptor TGR5-regulated signaling. Together, via BA-regulated signaling,
synbiotics B. infantis and MO have their unique and combined effects in reversing
NASH.
PMID- 29800814
TI - Piperine potentiates curcumin-mediated repression of mTORC1 signaling in human
intestinal epithelial cells: implications for the inhibition of protein synthesis
and TNFalpha signaling.
AB - Persistent activation of the mechanistic target of rapamycin complex 1 (mTORC1)
is linked to sustained inflammation and progression of colorectal cancer. Widely
available dietary phenolics, curcumin and piperine are purported to have
antiinflammatory and anticarcinogenic activities through yet-to-be-delineated
multitarget mechanisms. Piperine is also known to increase the bioavailability of
dietary components, including curcumin. The objective of the study was to
determine whether curcumin and piperine have individual and combined effects in
the setting of gut inflammation by regulating mTORC1 in human intestinal
epithelial cells. Results show that curcumin repressed (a) mTORC1 activity
(measured as changes in the phosphorylation state of p70 ribosomal protein S6
kinase B1 and 40S ribosomal protein S6) in a dose-dependent manner (2.5-20 MUM,
P<.007) and (b) synthesis of nascent proteins. Piperine inhibited mTORC1 activity
albeit at comparatively higher concentrations than curcumin. The combination of
curcumin + piperine further repressed mTORC1 signaling (P<.02). Mechanistically,
curcumin may repress mTORC1 by preventing TSC2 degradation, the conserved
inhibitor of mTORC1. Results also show that a functional mTORC1 was required for
the transcription of TNFalpha as Raptor knockdown abrogated TNFalpha gene
expression. Curcumin, piperine and their combination inhibited TNFalpha gene
expression at baseline but failed to do so under conditions of mTORC1
hyperactivation. TNF?-induced cyclooxygenase-2 expression was repressed by
curcumin or curcumin + piperine at baseline and high mTORC1 levels. We conclude
that curcumin and piperine, either alone or in combination, have the potential to
down-regulate mTORC1 signaling in the intestinal epithelium with implications for
tumorigenesis and inflammation.
PMID- 29800816
TI - Making seconds count: when valuing time promotes subjective well-being.
AB - Time is a finite and precious resource, and the way that we value our time can
critically shape happiness. In this article, we present a conceptual framework to
explain when valuing time can enhance versus undermine wellbeing. Specifically,
we review the emotional benefits of valuing time more than money, and discuss the
emotional costs of valuing time like money. Lastly, we suggest directions for
future research examining the causes and consequences of the value that we place
on our time.
PMID- 29800815
TI - Redefining Perineural Invasion: Integration of Biology With Clinical Outcome.
AB - A diagnosis of perineural invasion (PNI), defined as cancer within or surrounding
at least 33% of the nerve, leads to selection of aggressive treatment in squamous
cell carcinoma (SCC). Recent mechanistic studies show that cancer and nerves
interact prior to physical contact. The purpose of this study was to explore
cancer-nerve interactions relative to clinical outcome. Biopsy specimens from 71
patients with oral cavity SCC were stained with hematoxylin and eosin and
immunohistochemical (IHC; cytokeratin, S100, GAP43, Tuj1) stains. Using current
criteria, PNI detection was increased with IHC. Overall survival (OS) tended to
be poor for patients with PNI (P = .098). OS was significantly lower for patients
with minimum tumor-nerve distance smaller than 5 MUm (P = .011). The estimated
relative death rate decreased as the nerve-tumor distance increased; there was a
gradual drop off in death rate from distance equal to zero that stabilized around
500 MUm. In PNI-negative patients, nerve diameter was significantly related to OS
(HR 2.88, 95%CI[1.11,7.49]). Among PNI-negative nerves, larger nerve-tumor
distance and smaller nerve diameter were significantly related to better OS, even
when adjusting for T-stage and age (HR 0.82, 95% CI[0.72,0.92]; HR 1.27, 95%
CI[1.00,1.62], respectively). GAP43, a marker for neuronal outgrowth, stained
less than Tuj1 in nerves at greater distances from tumor (OR 0.76, 95%
CI[0.73,0.79]); more GAP43 staining was associated with PNI. Findings from a
small group of patients suggest that nerve parameters other than presence of PNI
can influence outcome and that current criteria of PNI need to be re-evaluated to
integrate recent biological discoveries.
PMID- 29800817
TI - Acidic domain of WRNp is critical for autophagy and up-regulates age associated
proteins.
AB - Impaired autophagy may be associated with normal and pathological aging. Here we
explore a link between autophagy and domain function of Werner protein (WRNp).
Werner (WRN) mutant cell lines AG11395, AG05229 and normal aged fibroblast
AG13129 display a deficient response to tunicamycin mediated endoplasmic
reticulum (ER) stress induced autophagy compared to clinically unaffected GM00637
and normal young fibroblast GM03440. Cellular endoplasmic reticulum (ER) stress
mediated autophagy in WS and normal aged cells is restored after transfection
with wild type full length WRN, but deletion of the acidic domain from wild type
WRN fails to restore autophagy. The acidic domain of WRNp was shown to regulate
its transcriptional activity, and here, we show that it affects the transcription
of certain proteins involved in autophagy and aging. Furthermore, siRNA mediated
silencing of WRN in normal fibroblast WI-38 resulted in decrease of age related
proteins Lamin A/C and Mre11.
PMID- 29800818
TI - Fructose furoic acid ester: An effective quorum sensing inhibitor against
uropathogenic Escherichia coli.
AB - Uropathogenic Escherichia coli (UPEC) are the most common cause of UTI,
accounting for more than 90% infections in the normal and unobstructed urinary
tracts. Multi-drug resistance (MDR) is an emerging threat to the mankind and
hence, there is an urge to develop alternative therapies. Targeting quorum
sensing (QS), a cell-cell communication process regulates various biofilm and
virulence factors would be a most promising alternate which curbs the
pathogenesis without killing the bacteria, unlike antibiotics. SdiA, a quorum
regulator is well-known to control the behavioural changes of UPEC in
establishing biofilm and virulence. Therefore, we have hypothesized that the SdiA
selective inhibitors derived from the plant, Melia dubia using the molecular
docking would be a remarkable therapeutic candidate to down regulate the UPEC
biofilm and virulence phenotypes. In this study, we have designed, synthesized
and characterized the fructose-furoic acid ester by NMR and ESI-MS. In vitro
studies revealed that the QSI-MD selectively inhibits UPEC adherence and confocal
laser scanning microscopy (CLSM) analysis showed the effectiveness of QSI-MD to
inhibit the UPEC biofilm. Genetic studies using qRT-PCR revealed the down
regulation of quorum sensing regulated genes (fimA, csgA, espA). Based on the
findings, we could propose that the QSI-MD could possibly act through SdiA and
show target-specific inhibition of biofilm and virulence. It is notable that more
than 70 bacterial species execute their communication through the SdiA homologues
(LuxIR system). Hence, the QSI-MD could be further developed as a broad-spectrum
anti-infective drug.
PMID- 29800819
TI - Patterns of toxic metals bioaccumulation in a cross-border freshwater reservoir.
AB - In freshwater ecosystems toxic metals can follow different routes of
bioaccumulation in the organisms' body mass, routes that are similar to
electronic circuits, but far more complex due to their hierarchy levels.
Reservoirs located on river courses have positive impacts on economic and social
development because they concentrate large water volumes used for electrical
energy production, water supply, irrigation, industry, aquaculture, providing
ecosystems for migratory birds and aquatic species. The aim of the study was to
assess the contamination with copper (Cu), cadmium (Cd), lead (Pb), chromium (Cr)
and nickel (Ni) of a cross-border reservoir located on River Prut (border between
Romania and Republic of Moldova) in a temperate climate zone. Several aspects
were considered: seasonal variation of water parameters and toxic metals,
bioconcentration in eight fish species and transfer from prey to predator through
the food chain, bioaccumulation by two freshwater molluscs separated in size
groups and their role as bioindicators of toxic metals pollution. Metals
concentrations were measured with an atomic absorption spectrometer (HR-CS GF
AAS). Cadmium, lead, nickel and chromium concentrations limits in water samples
were below the detection limits (0.005 MUg L-1Cd, 0.013 MUg L-1Pb and 0.011 MUg L
1Cr) during the monitoring period, suggesting that anthropogenic contamination
was insignificant in the studied ecosystem. Fish liver and kidney had specific
selectivity for copper (0.9-55.56 MUg g-1) and cadmium (0.097-1.031 MUg g-1) in
case of pike-perch and bighead carp. The separation of molluscs in size groups
did not prove that toxic metals increase in concentration with the organism age.
PMID- 29800820
TI - Synthesis of Na-A zeolite from Jeju Island scoria using fusion/hydrothermal
method.
AB - Na-A zeolite (Z-S1) was synthesized from scoria found on Jeju Island, Korea using
the fusion/hydrothermal method. The influences of NaOH/scoria ratio, SiO2/Al2O3
molar ratio, and particle sizes on the synthesis of zeolite were studied by
analyzing crystals morphology and crystallinity. According to XRD analysis, it
was confirmed that the zeolitic materials were synthesized in the range of
NaOH/Scoria ratio from 0.6 to 2.4. As the ratio of NaOH/Scoria increased from 0.6
to 1.2, the crystallinity of Z-S1 gradually increased from 8.85% to 57.53%, then
became almost constant at 61.80% as the ratio of NaOH/Scoria exceeded 1.8. The
particle size of the zeolite crystals tended to decrease with increasing the
alkali content of NaOH/Scoria from 0.6 to 1.8. It was possible to synthesize tine
crystals having the particle size about 1.0 ? or less at a NaOH/Scoria ratio of
1.8. This study has shown that the fusion/hydrothermal method is a very effective
technique for synthesizing Z-S1 from scoria and provides a potential application
for obtaining commercial products from natural materials.
PMID- 29800821
TI - Analysis of bauxite residue components responsible for copper removal and related
reaction products.
AB - Bauxite residue is a solid waste produced during alumina production process, and
the storage of that in China reached 0.6 billion tons with an increase of more
than 70 million annually. Bauxite residue can be used to remove heavy metals from
water. This study analyzed components of bauxite residue responsible for copper
removal, removal process and accompanying reaction products. Calcite (CaCO3),
hematite (Fe2O3) and sulfur-Fe are main components contributing to copper
removal. Sulfur in bauxite residue works with iron to remove copper. All these
components reacted with copper immediately as bauxite residue was added. Reaction
time of sulfur-Fe and carbonate was 5 min and 1 h, respectively. And hematite
reacted until complete removal of copper (>2 h). Sulfur quickly reacted with
coexisting iron to remove copper, producing chalcopyrite (CuFeS2), cubanite
(CuFe2S3) and bornite (Cu5FeS4). Carbonate in bauxite residue reacted with
copper, producing tenorite (CuO), copper hydroxide (Cu(OH)2), malachite
(Cu2(OH)2CO3), carbonate cyanotrichite (Cu4Al2(CO3,SO4)(OH)12.2H2O),
chalconatronite (Na2Cu(CO3)2.3H2O), nakauriite (Cu8(SO4)4(CO3)(OH)6.48H2O) and
callaghanite (Cu2Mg2(CO3)(OH)6.2H2O). Copper precipitated through reaction with
hematite to produce delafossite (CuFeO2). After removal reaction, the existing
forms of copper in bauxite residue comprised carbonate-bound (73.6%-85.7%), iron
oxide-bound (5.6%-23.8%), organic matter/sulfide-bound (0.5%-9.0%) and residual
forms (0.9%-2.0%). In conclusion, removal of copper using bauxite residue
features a more complex reaction than adsorption.
PMID- 29800823
TI - 25 years monitoring of PAHs and petroleum hydrocarbons biodegradation in soil.
AB - Biodegradation of polycyclic aromatic hydrocarbons (PAHs) and total petroleum
hydrocarbons (TPH) in sediment and soil has been monitored on seven experimental
fields during periods up to 25 years. With this unique dataset, we investigated
long-term very slow biodegradation under field conditions. . The data show that
three biodegradation rates can be distinguished for PAHs: 1) rapid degradation
during the first year, 2) slow degradation during the following 6 years and 3),
subject of this paper, a very slow degradation after 7 years until at least 25
years. Beside 2-, 3- and 4-ring PAHs, also 5- and 6-ring PAHs (aromatic rings)
were degraded, all at the same rate during very slow degradation. In the period
of very slow degradation, 6% yr-1 of the PAHs present were removed in five fields
and 2% yr-1 in two other fields, while in the same period no very slow
degradation of TPH could be observed. The remaining petroleum hydrocarbons were
high boiling and non-toxic. Using the calculated degradation rates and the
independently measured bioavailability of the PAHs (Tenax-method), the PAHs
degradation curves of all seven monitored fields could be modelled. Applying the
model and data obtained with the Tenax-method for fresh contaminated material,
results of long-term biodegradation can be predicted, which can support the use
of bioremediation in order to obtain a legally acceptable residual concentration.
PMID- 29800822
TI - Distribution and source analysis of heavy metal pollutants in sediments of a
rapid developing urban river system.
AB - Heavy metal pollution of aquatic environments in rapidly developing industrial
regions is of considerable global concern due to its potential to cause serious
harm to aquatic ecosystems and human health. This study assessed heavy metal
contamination of sediments in a highly industrialized urban watershed of eastern
China containing several historically unregulated manufacturing enterprises.
Total concentrations and solid-phase fractionation of Cu, Zn, Pb, Cr and Cd were
investigated for 39 river sediments using multivariate statistical analysis and
geographically weighted regression (GWR) methods to quantitatively examine the
relationship between land use and heavy metal pollution at the watershed scale.
Results showed distinct spatial patterns of heavy metal contamination within the
watershed, such as higher concentrations of Zn, Pb and Cd in the southwest and
higher Cu concentration in the east, indicating links to specific pollution
sources within the watershed. Correlation and PCA analyses revealed that Zn, Pb
and Cd were dominantly contributed by anthropogenic activities; Cu originated
from both industrial and agricultural sources; and Cr has been altered by recent
pollution control strategies. The GWR model indicated that several heavy metal
fractions were strongly correlated with industrial land proportion and this
correlation varied with the level of industrialization as demonstrated by
variations in local GWR R2 values. This study provides important information for
assessing heavy metal contaminated areas, identifying heavy metal pollutant
sources, and developing regional-scale remediation strategies.
PMID- 29800824
TI - Endocannabinoid CB1 receptors are involved in antiepileptogenic effect of low
frequency electrical stimulation during perforant path kindling in rats.
AB - INTRODUCTION: Administration of low-frequency electrical stimulation (LFS) at the
kindling site has an antiepileptogenic effect. In the present study, we
investigated the role of cannabinoid receptors type 1 (CB1) in mediating the
inhibitory effects of LFS on the development of perforant path kindled seizures.
METHODS: For seizure generation, rats were kindled by electrical stimulation of
perforant path in semi-rapid kindling manner (12 stimulations per day at 10 min
intervals at afterdischarge threshold intensity).To determine the effect of LFS
(0.1 ms pulse duration at 1 Hz, 800 pulses) on seizure generation, LFS was
applied to the perforant path 5 min after the last kindling stimulation daily.
AM281, a CB1 receptor antagonist, was microinjected into the lateral ventricle
immediately after the last kindling stimulation (before LFS application) at the
doses of 0.5 and 2 MUg/MUl during kindling procedure. The expression of
cannabinoid receptors in the dentate gyrus was also investigated using
immunohistochemistry. RESULTS: Application of LFS had inhibitory effect on
development of kindled seizures (kindling rate). Microinjection of AM281 (0.5
MUg/MUl) immediately after the last kindling stimulation (before LFS application)
reduced the inhibitory effect of LFS on the kindling rate and suppressed the
effects of LFS on potentiation (increasing the magnitude) of both population
spike amplitude and population excitatory postsynaptic potential slope during
kindling acquisition. AM281 pretreatment also prevented the effects of LFS on
kindling-induced increase in early and late paired pulse depression. The higher
dose of AM281 (2 MUg/MUl) failed to exert the effects observed with its lower
dose (0.5 MUg/MUl). In addition, there was a decreased CB1 receptors
immunostaining in kindled animals compared to control. However, application of
LFS following kindling stimulations led to overexpression of CB1 receptors in the
dentate gyrus. CONCLUSION: Obtained results showed that activation of
overexpressed cannabinoid CB1 receptors by endogenous cannabinoids may have a
role in mediating the inhibitory effect of LFS on perforant path kindled
seizures.
PMID- 29800825
TI - Isolation and identification of histamine-producing Enterobacteriaceae from Qu
fermentation starter for Chinese rice wine brewing.
AB - Histamine (HIS) producers in fermented wines are generally believed to be lactic
acid bacteria (LAB), and other microorganisms have received little or no
attention. In this work, HIS-producing bacteria were isolated from Qu
fermentation starter for Chinese rice wine brewing by decarboxylase medium, and
their identity was confirmed by RP-HPLC and PCR. Surprisingly, the histidine
decarboxylase gene (hdc) was present in only 2 out of 26 isolates. All 26
isolates were genotyped using the randomly amplified polymorphic DNA (RAPD)-PCR
assay, which revealed the presence of 21 biotypes. Single type isolates were
identified via 16S rRNA sequence analysis, in some cases coupled with partial
sequencing of the rpoB or dnaJ gene. All isolates belonged to the
Enterobacteriaceae, and included Enterobacter asburiae, Enterobacter cloacae,
Enterobacter hormaechei, Citrobacter amalonaticus and Cronobacter sakazakii. All
these strains were capable of producing >3.5 mg/L of HIS in TS medium without
ethanol, but did not grow in TS medium with 8% ethanol. Small-scale Chinese rice
wine fermentation revealed that HIS contents exhibited the same trend as the LAB
and ethanol no matter what kinds of Qu were used. However, in the early stages of
fermentation (from day 2 to day 4), the HIS contents had a stronger correlation
with Enterobacteriaceae (0.943) than with LAB (0.369) when the Qu fermented
samples are analyzed as a whole. Moreover, the lowest HIS content was measured in
Xiao Qu (Q) fermented sample at the end of fermentation, which suggests that the
formation of HIS in the early stages of fermentation has a decisive effect on HIS
content in the final product. Our results demonstrate that Enterobacteriaceae
from Qu are an important cause for HIS formation in Chinese rice wine.
Consequently, selecting Qu with a low content of Enterobacteriaceae contaminants
and inhibiting the growth of Enterobacteriaceae in the early stages of
fermentation are useful approaches for preventing excessive amounts of HIS
formation in Chinese rice wine brewing.
PMID- 29800828
TI - Intra-cerebrospinal fluid antibiotics to treat central nervous system infections:
A review and update.
AB - Central nervous system infections can be complications of neurosurgical
procedures or can occur spontaneously, and occasionally lead to devastating
neurological complications, increased rate of mortality, and lengthier stays in
the hospital, subsequently increasing costs. The use of intrathecal antibiotics
to bypass the blood brain barrier and provide effective concentrations to the
central nervous system has been described as an adjunct treatment option.
However, the regimens of antibiotics utilized intrathecally have not been
standardized. Our review of the literature included all articles from
MEDLINE/PubMed and Ovid from inception to 2017 and after removing duplicates and
checking for relevancy, the final number of articles yielded was 200. This review
summarizes the use of antibiotics intrathecally to treat CNS infections, the
dosages, therapeutic efficacies, and highlights significant side effects. The
current rates of mortality in patients suffering from CNS infections is high,
thus intrathecal antibiotic therapy should be considered as a potential
therapeutic strategy in this patient population. Multiple antibiotics have
demonstrated safety and efficacy when used intrathecally, and further studies,
including clinical trials, need to be performed to elucidate their full
therapeutic potential and outline proper dosing regimens.
PMID- 29800827
TI - Enhanced antimalarial activity of plasmepsin V inhibitors by modification of the
P2 position of PEXEL peptidomimetics.
AB - Plasmepsin V is an aspartyl protease that plays a critical role in the export of
proteins bearing the Plasmodium export element (PEXEL) motif (RxLxQ/E/D) to the
infected host erythrocyte, and thus the survival of the malaria parasite.
Previously, development of transition state PEXEL mimetic inhibitors of
plasmepsin V have primarily focused on demonstrating the importance of the P3 Arg
and P1 Leu in binding affinity and selectivity. Here, we investigate the
importance of the P2 position by incorporating both natural and non-natural amino
acids into this position and show disubstituted beta-carbon amino acids convey
the greatest potency. Consequently, we show analogues with either
cyclohexylglycine or phenylglycine in the P2 position are the most potent
inhibitors of plasmepsin V that impair processing of the PEXEL motif in exported
proteins resulting in death of P. falciparum asexual stage parasites.
PMID- 29800826
TI - Effect of urine contamination on stallion semen freezing ability.
AB - Urospermia is a common ejaculatory dysfunction of stallions. Current practice
suggests that urine contaminated semen should not be used for cryopreservation.
The aim of this study was to determine effects of urine contamination on semen
freezing. Sixty-five ejaculates from eight stallions were divided into no urine
(CONT), low (20% urine, LOW), and high (50% urine, HIGH) samples. Semen was
extended with a commercial cooling extender, cushion-centrifuged, resuspended to
200 million/mL in a commercial egg-yolk based extender, and cryopreserved in
liquid nitrogen. A subset of ejaculates (n = 20) were split in two after cushion
centrifugation, and one half of the ejaculate was submitted to a single-layer
gradient centrifugation before cryopreservation. Sperm motility parameters were
assessed pre- and post-freezing with an automated sperm analyzer. Semen pH,
creatinine, and urea concentrations were assessed in raw samples, after urine
contamination and after centrifugation and extension. Statistical analyses were
performed with ANOVA and Tukey's posthoc. There were significant reductions in
total and progressive sperm motilities (i.e., %TM and %PM, respectively) with
increasing urine contamination pre-freezing (%TM 67 +/- 1.7, %PM 50 +/- 2.2,
CONT), (%TM 60.3 +/- 1.7, % PM 42.5 +/- 2.1, LOW), and (%TM 41.3 +/- 2, %PM 21.3
+/- 1.5, HIGH). Post-thaw motilities for CONT (%TM 54 +/- 2.3, %PM 40.8 +/- 3.3)
and LOW (%TM 51.7 +/- 1.8, %PM 36.2 +/- 2.1) were not different, but were higher
than the HIGH (%TM 31.5 +/- 1.2, %PM 17.1 +/- 1.0) (p < 0.05). Post-thaw sperm
viability was significantly lower in the HIGH (54.7 +/- 2.4) than in the CONT
(63.8 +/- 2.3) or LOW (64.6 +/- 3.4) groups. Semen creatinine and urine levels
were significantly higher with increasing urine contamination and were
significantly decreased after centrifugation and resuspension in freezing
extender. Pre-treatment semen pH was significantly lower than semen contaminated
with low or high amounts of urine, and pH decreased significantly after
centrifugation and resuspension. Gradient centrifugation did not improve %TM in
the control group, but it did improve pre-freeze %TM and %PM in the low and high
groups and improved significantly post freezing %TM and %PM in the high urine
contaminated group. Semen contaminated with a small amount of urine may be
suitable for freezing, whereas highly contaminated semen might not be usable.
Although urine was mostly removed in this fashion, the initial exposure to high
quantities was sufficient to decrease sperm motility pre- and post-freezing,
whereas low urine contamination was not as detrimental.
PMID- 29800829
TI - Microvascular and large vein abnormalities in young patients after mild head
trauma and associated fatigue: A brain SPECT evaluation and posture dependence
modeling.
AB - OBJECTIVE: MRI and CT scans are usually normal in mild traumatic brain injury
(mTBI) although 15-20% of such patients suffer for months from fatigue, headache,
anxiety, sleep and other disorders. mTBI is suspected to be a cerebrovascular
injury, similar to moderate and severe TBI. Brain SPECT is more sensitive and
shows perfusion abnormalities immediately after mTBI. This work explores the
perfusion abnormalities for young patients suffering from fatigue several months
after mTBI. PATIENTS AND METHODS: Twelve mTBI patients (age:8-36 yr, 4 male) with
no history of fatigue prior to trauma were prospectively studied following onset
of fatigue 6-12 months after mTBI utilizing 99 m-Tc ECD brain SPECT with early
and delayed radiotracer imaging. RESULTS: The perfusion pattern in the mTBI +
fatigue group included left hemispheric deficits in frontal lobes (early phase:
15.2 +/- 4.2%, delayed phase: 9.9 +/- 2.2%) and medial temporal lobes (early
phase 11.2 +/- 3.7%, delayed phase: 9.0 +/- 2.3%). Seven patients additionally
showed excess tracer accumulation in the parenchyma surrounding internal jugular
bulb inferior to temporal lobe. This was modeled as due to increased cellular
permeability from TBI induced oxidative stress affecting endothelial tight
junctions and consequent tracer leakage across jugular bulbs. Prolonged posture
changes from erect to supine position during imaging increase jugular cross
sectional area and venous wall pressure as has been observed in other disease
processes and seem to be responsible for tracer leakage from jugular bulbs in our
study. CONCLUSION: This work supports an oxidative stress and BBB disruption
model for mTBI. The frontal and temporal lobe perfusion deficits are attributed
to anatomical vulnerabilities of these lobes. During a mild TBI both of these
lobes are susceptible to grazing impacts with underlying bony ridges. We propose
a relation between mTBI and fatigue arising from oxidative stress in mTBI
affecting ATP generation and altering endothelial homeostasis for both micro-and
large vasculatures. The tracer leakage observed around jugular veins is due to
posture induced changes in venous cross-sections and wall pressure as well as
from compromised endothelium post TBI induced oxidative stress.
PMID- 29800830
TI - Detection of plasma MMP-9 within minutes. Unveiling some of the clues to develop
fast and simple electrochemical magneto-immunosensors.
AB - Magnetic beads (MB) have been extensively used to produce sensitive and efficient
electrochemical magneto-immunosensors. However, MB effective handling requires
training, and MB washing after each incubation step is time consuming and
contributes to raise result variability. Consequently, most of the
electrochemical magneto-immunosensors reported to date, which entailed relatively
long and complex multi-step procedures, would be difficult to carry out at point
of-care (POC) settings or by laypersons. For this reason, here we targeted the
development of a simplified detection path, which is fast and simple enough to be
operated at a POC setting, sufficiently efficient to provide analyte quantitation
comparable to classical diagnostic methods, and dependent on minimal technical
requirements to facilitate method global exploitation. As a proof-of-concept, we
optimized an extremely simple, fast and efficient electrochemical magneto
immunosensor for detection of matrix metalloproteinase 9 (MMP-9). To accomplish
this, we optimized MB immunomodification, produced an immunomodified Poly-HRP
signal amplifier, developed a single-step magneto-immunoassay, and optimized
electrochemical detection using a multiplexed magnetic holder and a ready-to-use
commercial substrate solution. The sensor was finally calibrated by detecting MMP
9 in clinical samples. This electrochemical magneto-immunosensor detected MMP-9
in just 12-15 min, displaying linear response between 0.03 and 2 ng mL-1 of MMP
9, limits of detection (LOD) and quantification (LOQ) of 13 pg mL-1 and 70 pg mL
1, respectively, %CV< 6%, and accurate quantification of MMP-9 in patient plasma
samples. These results were comparable to those afforded by a 5-h reference ELISA
that used the same antibodies, confirming the applicability of our simplified
method.
PMID- 29800831
TI - Direct electrochemical reduction of hematite decorated graphene oxide (alpha
Fe2O3@erGO) nanocomposite for selective detection of Parkinson's disease
biomarker.
AB - An unusual approach is reported herein to fabricate magnetic hematite (alpha
Fe2O3) decorated electrochemically reduced graphene oxide (alpha-Fe2O3@erGO)
nanocomposite. The method utilizes direct electrochemical reduction of self
assembled, ex-situ synthesized alpha-Fe2O3 anchored GO to erGO (alpha-Fe2O3@erGO)
on glassy carbon electrode (GCE) for selective detection dopamine (DA), an
important biomarker of Parkinson's disease. The formation of alpha-Fe2O3@erGO/GCE
has been confirmed by XPS and Raman spectroscopy. alpha-Fe2O3@erGO modified GCE
exhibits synergistic catalytic activity nearly 2.2 and 5 fold higher than alpha
Fe2O3@GO and other modified electrodes, respectively towards oxidation of DA. The
fabricated sensor exhibited linear dynamic ranges over 0.25 - 100 uM in response
to DA with a LOD of 0.024 uM (S/N = 3), LOQ of 0.08 uM (S/N = 10), and a
sensitivity of 12.56 uA uM-1 cm-2. Finally, the practical analytical application
of the proposed alpha-Fe2O3@erGO/GCE was investigated for the determination of DA
in commercially available pharmaceutical formulation and human serum samples, and
showed satisfactory recovery results towards DA.
PMID- 29800832
TI - Probing the specific binding of folic acid to folate receptor using amino
functionalized mesoporous silica nanoparticles for differentiation of MCF 7
tumoral cells from MCF 10A.
AB - Folate receptor (FR) is overexpressed in various cancer cells while its
expression in normal cells is restricted. The present study provides a new folic
acid/folate (FA) functionalized nanomaterials to sense and the differentiation of
the cancer cells from normal ones. The reported nanoprobe is based on the
mesoporous materials that are functionalized with FA to specify the FR
overexpressed cancerous cells. MCF 7 cell lines were used as a model to show the
ability of the developed probe for cancer cell detection. The selective binding
of FA to FR-positive cells causes the endocytosis of the mesoporous materials
into the cells where it can be observed by fluorescence microscopy images. The
specific nature of the binding of the FA functionalized mesoporous silica
prevents the false detection of normal cells from cancerous cells even in the
presence of each other. The cytotoxicity of the n-Pr-NH2-MCM 41-FA on the MCF 7
cells was investigated using MTT assay. The reported method can detect the MCF 7
cells from 100 to 1000 cells/mL. This method provides a selective and nontoxic
approach towards detection of breast cancer cell lines while it can be developed
as a point of care (POC) device for early detection of cancer. Finally, the MCF 7
cancer cells were treated with doxorubicin anti-cancer drug and our device detect
the trace amount of MCF 7 based on their electrochemical activity.
PMID- 29800833
TI - Physicochemical factors controlling the retention and transport of
perfluorooctanoic acid (PFOA) in saturated sand and limestone porous media.
AB - Comprehensively understanding the fate and transport of perfluorooctanoic acid
(PFOA) in subsurface environment is crucial to assess its environmental impacts.
In this work, column experiments were conducted to investigate the effects of
physicochemical factors on the retention and transport of 14C-labeled PFOA in
saturated sand and limestone porous media. The retention of PFOA in limestone
columns was higher than that in sand columns under the same solution chemistry
conditions. This can be attributed to that the limestone had less negative zeta
potential and larger specific surface area than the sand. Changes in ionic
strength (low to high) and cation type (Na+ to Ca2+) had little influences on the
mobility of PFOA in sand porous media, but significantly enhanced the retention
of PFOA in limestone porous media. Nearly no PFOA was retained in the sand
columns, but relatively high levels of PFOA retention (28.7-48.4%) were achieved
in the limestone columns. Higher input concentration resulted in lower PFOA
retention in limestone porous media, reflecting the blocking effect of the
sorption sites. The blocking effect was insignificant in sand porous media,
probably because the experimental conditions were unfavorable for PFOA sorption
on sand media. A two-site kinetic retention model effectively simulated both the
breakthrough and retention behaviors of the PFOA in the sand and limestone porous
media.
PMID- 29800834
TI - Bioelectrochemically-assisted mitigation of salinity buildup and recovery of
reverse-fluxed draw solute in an osmotic membrane bioreactor.
AB - A key challenge for osmotic membrane bioreactors (OMBRs) application is reverse
solute flux and consequent salt accumulation in the feed side. Herein, a
bioelectrochemical system (BES) was employed to drive reverse-fluxed solutes from
the feed of an OMBR into a cathode compartment for recovery and subsequent reuse
as a draw solute (DS). Compared to an OMBR without BES function, the present OMBR
system enhanced water recovery from 925 to 1688 mL and increased the chemical
oxygen demand (COD) removal efficiency from 40.2 +/- 8.1 to 75.2 +/- 3.3%,
benefited from its lower anolyte conductivity of 9.0 mS cm-1 than that of the
control system (24.1 mS cm-1). The CO2 addition significantly improved the
ammonia recovery rate to 93.3-116.7 g N m-3 h-1 (or 248.0-307.4 g N m-2 d-1),
12.1-14.5 times higher than that without CO2 addition. The recovered DS was
successfully applied to accomplish water extraction in the reuse test, and such a
recovery/reuse process could result in a normalized water recovery of 3870 mL mol
DS-1 or a DS usage of 0.26 mol L-1 (of the recovered water). The energy
consumption of the system might be compensated by the production of bioenergy,
and the net specific energy consumption was estimated to be 0.004-0.112 kWh m-3
wastewater, 0.007-0.179 kWh kg-1 removed COD, or 0.001-0.020 kWh kg-1 recovered
NH4+-N. Those results have demonstrated that bioelectrochemical processes can be
an effective approach for in situ mitigation of reverse-fluxed solute in OMBR and
recovering "the lost DS" towards both reuse and reduced operational expense.
PMID- 29800835
TI - Transformation of microcystin-LR and olefinic compounds by ferrate(VI): Oxidative
cleavage of olefinic double bonds as the primary reaction pathway.
AB - The presence of toxic microcystins in algal-impacted surface waters is a concern
for drinking water quality management. In this study, the potential of
ferrate(VI) to eliminate microcystins during drinking water treatment was
assessed by investigating reaction kinetics, reaction sites, transformation
products, and toxicity changes for the oxidation of microcystin-LR (MC-LR) as a
representative microsystin. The investigations also included several
substructural model compounds of MC-LR, such as cinnamic acid and sorbic acid, to
elucidate the major transformation products and pathways of MC-LR and olefinic
compounds. Second-order rate constants were determined in the pH range 6-10.4 for
the reaction of ferrate(VI) with MC-LR and the model compounds. The kinetic data
revealed that the olefinic double bonds in the Adda and Mdha residues of MC-LR
were the primary ferrate(VI) reaction sites, while the phenyl or guanidine moiety
was not the reaction site. This finding was supported by detection and
identification of the MC-LR transformation products of double bond cleavage, with
high peak abundance in the liquid chromatography-mass spectrometry. Furthermore,
the reaction of ferrate(VI) with cinnamic and sorbic acids formed the
corresponding aldehydes and organic acids with near complete carbon mass balance,
indicating the oxidative cleavage of the double bonds as the primary reaction
pathway. A quantitative protein phosphatase 2A (PP2A) binding assay for
ferrate(VI)-treated MC-LR solutions showed that the MC-LR transformation products
exhibited negligible PP2A binding activity compared to that of the parent MC-LR.
Oxidation experiments in a filtered river water matrix spiked with MC-LR
demonstrated the efficient elimination of MC-LR during water treatment with
ferrate(VI).
PMID- 29800836
TI - Impact of UVA pre-radiation on UVC disinfection performance: Inactivation, repair
and mechanism study.
AB - Ultraviolet (UV) light emission diode (LED), which is mercury free and
theoretically more energy efficient, has now become an alternative to
conventional UV lamps in water disinfection industry. In this research, the
disinfection performance of a novel sequential process, UVA365nm LED followed by
UVC265nm LED (UVA-UVC), was evaluated. The results revealed that the responses of
different bacterial strains to UVA-UVC varied. Coupled with appropriate dosages
of UVC, a 20 min UVA pre-radiation provided higher inactivations (log
inactivation) of E. coli ATCC 11229, 15597 and 700891 by 1.2, 1.4 and 1.2 times,
respectively than the sum of inactivations by UVA alone and UVC alone. On the
contrary, the inactivation of E. coli ATCC 25922, the most UVC sensitive strain,
decreased from 3 log to 1.8 log after UVA pre-radiation. A 30 min UVA pre
radiation did not affect the photo repair capacity of the four strains (n = 23, p
> 0.1), but their dark repair ability was significantly inhibited (n = 14, p <
0.05). Mechanism study was conducted for two representative strains, E. coli ATCC
15597 and 25922 to understand the observed effect. The hypothesis that UVA pre
radiation promoted the yield of reactive oxygen species (ROS) was rejected. ELISA
results indicated that 18% more cyclobutane pyrimidine dimers (CPD) were formed
in E. coli ATCC 15597 with UVA pre-radiation (n = 3, p < 0.01), however, the CPD
levels of E. coli ATCC 25922 was the same with or without UVA pre-radiation (n =
3, p > 0.01). Considering the results of both dark repair and CPD formation, it
was concluded that the increased UV sensitivity of E. coli 15597 was originated
from the increased CPD. For E. coli ATCC 25922, the enhanced UV resistance was
attributed to the strain's adoption of a survival strategy, translesion DNA
synthesis (TLS), when triggered by UVA pre-radiation. The study on UmuD protein,
which is a key protein during TLS, confirmed this hypothesis.
PMID- 29800837
TI - Seasonal and spatial variations of magnetic susceptibility and potentially toxic
elements (PTEs) in road dusts of Thessaloniki city, Greece: A one-year monitoring
period.
AB - A one-year sampling campaign of road dusts was carried out at 10 distinct sites
in the broader area of the city of Thessaloniki, Greece and concentrations of
heavy metals (HMs) along with magnetic susceptibility were evaluated. The
concentrations of HMs in road dusts were higher than their local background
values, while magnetic parameters indicated a significant anthropogenic load.
Principal component analysis (PCA) identified non-exhaust vehicular emissions,
oil/fuel combustion and industrial activities as major sources of heavy metals
accounted for approximately 73% of the total variance. A significant seasonal
variability for Cr, Cu, Mn, and chilf was observed with constantly higher values
during summer. Moreover, variations among urban and industrial sites were more
pronounced for Cr, Cu, Zn, and chilf, while they displayed insignificant
variations across all urban sites. On the contrary, concentration peaks in the
urban cluster were observed for Cd, Mn, and Ni coinciding with the port area.
Based on multiple pollution indices, a severe polluted area was revealed, while
potential ecological risk index (RI) indicated a high potential ecological risk
with Cd being regarded as the pollutant of high concern. The health risk
assessment model indicated ingestion as the major exposure pathway. For both
adults and children, Cr and Pb had the highest risk values, mainly recorded in
the urban cluster underscoring the need of potential measures to reduce road dust
in urban environments.
PMID- 29800838
TI - The use of a disability-adjusted life-year (DALY) metric to measure human health
damage resulting from pesticide maximum legal exposures.
AB - Most agencies around the world have developed a separate regulation frameworks
for pesticides with different modes of action, likely because of the lack of a
uniform quantification for health damage, which may underestimate pesticides'
impact on human health and disease burden. In this study, the disability-adjusted
life-year, a uniform metric used to express the human health impact and damage,
was used to measure theoretical health damage resulting from maximum exposure as
permitted by law to the most widely used pesticides. The total human risk
characterization factors computed from chlorpyrifos and diazinon standard values
through main exposure routes are generally larger than that of other widely used
pesticides, and most factors of chlorpyrifos exceed the upper bounds of health
risk. In addition, the damages to human health quantified from soil legal
exposure to these widely used pesticides are much lower than that from exposure
to drinking water or foods, which could help derive exposure allocation factors
for different exposure routes. A total of 412 (28.3% of the total) computed total
risk characterization factors of the 13 pesticides exceed the upper bound of
tolerable risk uncertainty. Some nations, such as those in Europe, have adopted
uniform and strict pesticide standard values as well as some computed risk
characterization factors presented in the consensus data cluster. In addition,
the results of an analysis on the geographical distribution of health risk
characterization factors indicated that European nations have provided more
conservative pesticide standard values in general. It is hoped that regulatory
agencies can apply this uniform metric to compare and formulate legal limits for
pesticides that have different modes of action.
PMID- 29800839
TI - Redox and metabolic strategies developed by anterior and posterior gills of the
crab Neohelice granulata after short periods of hypo- or hyper-osmotic stress.
AB - The aim of this study was to identify the response pattern of redox balance,
Na+/K+ATPase activity and HSP70 expression in the posterior and anterior gills of
the crab Neohelice granulata submitted to hypo- or hyper-osmotic stress for 1 h
and 6 h. After 1 h of either type of osmotic stress, there was an increase in
catalase activity, but a decrease in GSSG/GSH ratio (oxidized to reduced
glutathione ratio) and Na+/K+ATPase activity in both gill sets. H2O2 levels
decreased only in the posterior gills. H2O2 levels and Na+/K+ATPase activity
remained reduced after 6 h of exposure to either type of osmotic stress in both
gill sets. The GSSG/GSH ratio returned to initial levels after 6 h of hyper
osmotic stress, whereas it increased 10 times in both gill sets after hypo
osmotic stress. Furthermore, HSP70 protein expression increased in posterior
gills after 6 h of hypo-osmotic stress. H2O2 levels in tank water decreased after
hypo-osmotic challenge and increased after 6 h of hyper-osmotic stress,
indicating increased H2O2 excretion. Therefore, N. granulata gills have redox,
metabolic and molecular strategies to deal with rapid osmotic challenges, an
important environmental parameter that influences juvenile and adult crab
distribution and abundance within different populations.
PMID- 29800840
TI - Beyond classic ecological assessment: The use of functional indices to indicate
fish assemblages sensitivity to human disturbance in estuaries.
AB - Assessing ecological health of aquatic ecosystems is crucial in the current
context of biodiversity loss to guide and prioritize management actions. Although
several fish-based indices were developed to assess the ecological status of
estuarine ecosystems, they do not provide guidance on the causal responses of
communities to disturbances. The functional trait-based approach provides an
understanding of how human disturbance affects the composition of biological and
ecological traits in assemblages, as well as their consequences for ecosystem
functioning. Here, we evaluate the responses of fish assemblages to human
disturbance in 30 French estuaries using several taxonomic and functional indices
(e.g. diversity, evenness or redundancy). We tested whether these indices can
provide additional information on the human impacts and health of assemblages
that are not reflected by the ecological indicator (fish-based index ELFI).
Results indicated that high values of local human disturbances were associated to
a decrease in fish abundance, decrease in species richness and reduced functional
redundancy, whereas taxonomic and functional evenness increased. In contrast, the
functional richness remained stable suggesting that the functional traits of
species removed by stressors were maintained by more tolerant species. Indeed, we
found that the local disturbances mainly resulted in a decrease in the
proportions of small benthic species feeding on macro-invertebrates, which were
dominant in the studied estuaries. Some functional alterations were detected by
the fish-based index, but the decline of functional redundancy was not reflected,
highlighting a serious concern for management. Indeed, the abrupt collapse of
functional redundancy in response to local disturbances can decrease the ability
of assemblages to maintain certain species traits in the face of future
environmental disturbance, including climate change. From a management
perspective, the application of such functional redundancy measure in monitoring
programs can help stakeholders identify sensitive areas where conservation
efforts need to be planned.
PMID- 29800841
TI - Potential land use adjustment for future climate change adaptation in revegetated
regions.
AB - To adapt to future climate change, appropriate land use patterns are desired.
Potential natural vegetation (PNV) emphasizing the dominant role of climate can
provide a useful baseline to guide the potential land use adjustment. This work
is particularly important for the revegetated regions with intensive human
perturbation. However, it has received little attention. This study chose China's
Loess Plateau, a typical revegetated region, as an example study area to generate
the PNV patterns with high spatial resolution over 2071-2100 with a process-based
dynamic vegetation model (LPJ-GUESS), and further investigated the potential land
use adjustment through comparing the simulated and observed land use patterns.
Compared with 1981-2010, the projected PNV over 2071-2100 would have less forest
and more steppe because of drier climate. Subsequently, 25.3-55.0% of the
observed forests and 79.3-91.9% of the observed grasslands in 2010 can be kept
over 2071-2100, and the rest of the existing forested area and grassland were
expected to be more suitable for steppes and forests, respectively. To meet the
request of China's Grain for Green Project, 60.9-84.8% of the existing steep
farmland could be converted to grassland and the other for forest. Our results
highlight the importance in adjusting the existing vegetation pattern to adapt to
climate change. The research approach is extendable and provides a framework to
evaluate the sustainability of the existing land use pattern under future
climate.
PMID- 29800842
TI - National water, food, and trade modeling framework: The case of Egypt.
AB - This paper introduces a modeling framework for the analysis of real and virtual
water flows at national scale. The framework has two components: (1) a national
water model that simulates agricultural, industrial and municipal water uses, and
available water and land resources; and (2) an international virtual water trade
model that captures national virtual water exports and imports related to trade
in crops and animal products. This National Water, Food & Trade (NWFT) modeling
framework is applied to Egypt, a water-poor country and the world's largest
importer of wheat. Egypt's food and water gaps and the country's food (virtual
water) imports are estimated over a baseline period (1986-2013) and projected up
to 2050 based on four scenarios. Egypt's food and water gaps are growing rapidly
as a result of steep population growth and limited water resources. The NWFT
modeling framework shows the nexus of the population dynamics, water uses for
different sectors, and their compounding effects on Egypt's food gap and water
self-sufficiency. The sensitivity analysis reveals that for solving Egypt's water
and food problem non-water-based solutions like educational, health, and
awareness programs aimed at lowering population growth will be an essential
addition to the traditional water resources development solution. Both the
national and the global models project similar trends of Egypt's food gap. The
NWFT modeling framework can be easily adapted to other nations and regions.
PMID- 29800843
TI - Leaching of vanadium from waste V2O5-WO3/TiO2 catalyst catalyzed by functional
microorganisms.
AB - Solid wastes are currently produced in large amounts. Although bioleaching of
metals from solid wastes is an economical and sustainable technology, it has
seldom been used to recycle metals from abandoned catalyst. In this study, the
bioleaching of vanadium from V2O5-WO3/TiO2 catalyst were comprehensively
investigated through five methods: Oligotrophic way, Eutrophic way, S-mediated
way, Fe-mediated way and Mixed way of S-mediated and Fe-mediated. The observed
vanadium bioleaching effectiveness of the assayed methods was follows: S-mediated
> Mixed > Oligotrophic > Eutrophic > Fe-mediated, which yielded the maximum
bioleaching efficiencies of approximately 90%, 35%, 33%, 20% and 7%,
respectively. The microbial community analysis suggested that the predominant
genera Acidithiobacillus and Sulfobacillus from the S-mediated bioleaching way
effectively catalyzed the vanadium leaching, which could have occurred through
the indirect mechanism from the microbial oxidation of S0. In addition, the
direct mechanism, involving direct electron transfer between the catalyst and the
microorganisms that attached to the catalyst surface, should also help the
vanadium to be leached more effectively. Therefore, this work provides guidance
for future research and practical application on the treatment of waste V2O5
WO3/TiO2 catalyst.
PMID- 29800844
TI - Water scarcity footprint of dairy milk production in New Zealand - A comparison
of methods and spatio-temporal resolution.
AB - Water scarcity footprinting now has a consensual life cycle impact assessment
indicator recommended by the UNEP/SETAC Life Cycle Initiative called AWaRe. It
was used in this study to calculate the water scarcity footprint of New Zealand
(NZ) milk produced in two contrasting regions; "non-irrigated moderate rainfall"
(Waikato) and "irrigated low rainfall" (Canterbury). Two different spatial and
temporal resolutions for the inventory flows and characterisation factors (CFs)
were tested and compared: country and annual vs. regional and monthly resolution.
An inventory of all the consumed water flows was carried out from cradle to farm
gate, i.e. from the production of dairy farm inputs to the milk and meat leaving
the dairy farm, including all water uses on-farm such as irrigation water, cow
drinking water and cleaning water. The results clearly showed the potential
overestimation of a water scarcity footprint when using aggregated CFs. Impacts
decreased by 74% (Waikato) and 33% (Canterbury) when regional and monthly CFs
were used instead of country and annual CFs. The water scarcity footprint
calculated at the regional and monthly resolution was 22 Lworld eq/kg FPCM (Fat
Protein Corrected Milk) for Waikato milk, and 1118 Lworld eq/kg FPCM for
Canterbury milk. The contribution of background processes dominated for milk from
non-irrigated pasture, but was negligible for milk from irrigated pasture, where
irrigation dominated the impacts. Results were also compared with the previously
widely-used Pfister method (Pfister et al., 2009) and showed very similar ranking
in terms of contribution analysis. An endpoint indicator was evaluated and showed
damages to human health of 7.66 * 10-5 DALY/kg FPCM for Waikato and 2.05 * 10-3
DALY/kg FPCM for Canterbury, but the relevance of this indicator for food
production needs reviewing. To conclude, this study highlighted the importance of
using high-resolution CFs rather than aggregated CFs.
PMID- 29800845
TI - Compilation and analysis of global surface water concentrations for individual
insecticide compounds.
AB - The decades-long agricultural use of insecticides resulted in frequent
contamination of surface waters globally regularly posing high risks for the
aquatic biodiversity. However, the concentration levels of individual insecticide
compounds have by now not been compiled and reported using global scale data,
hampering our knowledge on the insecticide exposure of aquatic ecosystems. Here,
we specify measured insecticide concentrations (MICs, comprising in total 11,300
water and sediment concentrations taken from a previous publication) for 28
important insecticide compounds covering four major insecticide classes. Results
show that organochlorine and organophosphate insecticides, which dominated the
global insecticide market for decades, have been detected most often and at
highest concentration levels in surface waters globally. In comparison, MICs of
the more recent pyrethroids and neonicotinoids were less often reported and
generally at lower concentrations as a result of their later market introduction
and lower application rates. An online insecticide classification calculator
(ICC; available at: https://static.magic.eco/icc/v1) is provided in order to
enable the comparison and classification of prospective MICs with available
global insecticide concentrations. Spatial analyses of existing data show that
most MICs were reported for surface waters in North America, Asia and Europe,
whereas highest concentration levels were detected in Africa, Asia and South
America. An evaluation of water and sediment MICs showed that theoretical organic
carbon-water partition coefficients (KOC) determined in the laboratory
overestimated KOC values based on actual field concentrations by up to a factor
of more than 20, with highest deviations found for highly sorptive pyrethroids.
Overall, the comprehensive compilation of insecticide field concentrations
presented here is a valuable tool for the classification of future surface water
monitoring results and serves as important input data for more field relevant
toxicity testing approaches and pesticide exposure and risk assessment schemes.
PMID- 29800846
TI - Soil carbon in Australian fire-prone forests determined by climate more than fire
regimes.
AB - Knowledge of global C cycle implications from changes to fire regime and climate
are of growing importance. Studies on the role of the fire regime in combination
with climate change on soil C pools are lacking. We used Bayesian modelling to
estimate the soil % total C (% CTot) and % recalcitrant pyrogenic C (% RPC) from
field samples collected using a stratified sampling approach. These observations
were derived from the following scenarios: 1. Three fire frequencies across three
distinctive climate regions in a homogeneous dry sclerophyll forest in south
eastern Australia over four decades. 2. The effects of different fire intensity
combinations from successive wildfires. We found climate had a stronger effect
than fire frequency on the size of the estimated mineral soil C pool. The largest
soil C pool was estimated to occur under a wet and cold (WC) climate, via
presumed effects of high precipitation, an adequate growing season temperature
(i.e. resulting in relatively high NPP) and winter conditions sufficiently cold
to retard seasonal soil respiration rates. The smallest soil C pool was estimated
in forests with lower precipitation but warmer mean annual temperature (MAT). The
lower precipitation and higher temperature was likely to have retarded NPP and
litter decomposition rates but may have had little effect on relative soil
respiration. Small effects associated with fire frequency were found, but both
their magnitude and direction were climate dependent. There was an increase in
soil C associated with a low intensity fire being followed by a high intensity
fire. For both fire frequency and intensity the response of % RPC mirrored that
of % CTot: i.e. it was effectively a constant across all combinations of climate
and fire regimes sampled.
PMID- 29800847
TI - Mercury transport and fate models in aquatic systems: A review and synthesis.
AB - Mercury contamination in aquatic systems has been an issue to the natural
ecosystem and human health. Environmental models have become a valuable decision
making tool and play a significant role in mercury pollution control and
management. This paper gives an overview of currently available models for
simulating mercury transport and fate in aquatic systems. The mercury
transformation mechanisms included in these models were identified, as well as
data limitations in the models' application. Future advances in understanding
mercury transport, cycling, and biogeochemistry in both water column and sediment
will improve the robustness of current modeling applications. Moreover,
additional field data are critically needed to better predict the concentrations
of multi-phase mercury species in various aquatic systems, including measurements
in the water column, benthic sediments, and organisms. Field data are also
crucial for model calibration and validation. Without this information it will
not be possible to adequately understand the environmental factors controlling
mercury fate in aquatic systems. The insufficient quantity of adequate
measurements and the unsatisfactory accuracy of mercury models are, in numerous
cases, supplemented by mass balances since they diminish the unreliability of
models. Mercury science evolves gradually with the advancement of science and
technology, which requires that mathematical modeling of mercury transport and
transformation should be consistently updated.
PMID- 29800848
TI - Fipronil-induced toxic effects in zebrafish (Danio rerio) larvae by using digital
gene expression profiling.
AB - Fipronil residue has caused widespread concern around the world, especially after
the recent "toxic eggs" event in seven European countries. To evaluate the
effects of fipronil on vertebrates, zebrafish larvae were used as an animal model
to examine the lethal effect, developmental phenotypes at high doses, and
possible mechanisms of toxicity by employing digital gene expression (DGE)
profiling at environmentally relevant doses. The results of acute toxicity test
indicated that treatment with fipronil from 75 h post-fertilization (hpf) led to
the death of larvae with a 96-h LC50 value of 459 MUg/L, as well as abnormal
development including bent spine and shortened body length. Besides, we obtained
high-quality-sequencing DGE profilings at fipronil concentrations of 0.5, 5, and
50 MUg/L, respectively. The results revealed that 44 differentially expressed
genes, 10 GO terms, and 3 KEGG pathways were overlapped among the three
concentrations. MIDN, one of the 44 differentially expressed genes, showed dose
dependent responses at the transcriptional level, indicating that it was possibly
a potential biomarker to reflect fipronil toxicity in zebrafish. Furthermore, we
presumed that the changing transcriptional level of AP-1 family was possibly a
reason for bent spine and shortened body length in larvae exposed to fipronil.
Concurrently, altered abundance of transcripts of the ELOVL family in a key step
of fatty acid elongation could possibly lead to the accumulation of long-chain
fatty acids. Collectively, our results suggested that exposure to fipronil caused
lethal and developmental toxicity in zebrafish larvae, and demonstrated the need
for a comprehensive understanding of the potential mechanisms of fipronil
toxicity due to fipronil's frequent presence in the environment and its potential
threat to human health.
PMID- 29800849
TI - Enhancement of ciprofloxacin sorption on chitosan/biochar hydrogel beads.
AB - Biochar is effective in water treatment but it is hard to retrieve or separate
biochar powder from aqueous solutions. In this study, the removal of
ciprofloxacin from aqueous solutions was investigated using chitosan/biochar
hydrogel beads (CBHB). The results showed that the adsorption rate was almost
independent of the temperature and occurred at the homogeneous sites of adsorbent
thus obeying the Langmuir model. The equilibrium time was varying for different
initial concentrations and found to be 48 h for maximum one. The maximum sorption
was found to be >76 mg/g of adsorbent out of 160 mg/L as initial concentration.
Adsorption obeyed the second-order mechanism with leading role of intra-particle
diffusion and outer diffusion. Adsorption capacity decreased from 34.90 mg/g to
15.77 mg/g in the presence of 0.01 N Na3PO4 whereas other electrolytes such as
NaCl, Na2SO4, NaNO3 with same concentration did not affect the sorption capacity.
However, increased concentration of NaCl reduced the sorption capacity to some
extent. CBHB showed a mixed mechanism by removing CIP through pi-pi electron
donor-acceptor (EDA) interaction, hydrogen bonding and hydrophobic interaction.
The reformation of CBHB with methanol and ethanol instead of water decreased its
sorption capacity to 32.69 mg/g and 29.29 mg/g. Adsorption decreased by little
after every regeneration of CBHB and was still >64 +/- 0.68% (25.73 mg/g) after
6th regeneration. The efficacy of CBHB for CIP removal proved that CBHB is an
economical and sustainable adsorbent.
PMID- 29800850
TI - Prioritization of highly exposable pharmaceuticals via a suspect/non-target
screening approach: A case study for Yeongsan River, Korea.
AB - Pharmaceuticals and personal care products (PPCPs) in the Yeongsan River, Korea
were prioritized via suspect and non-target analysis using LC-HRMS (QExactive
plus Orbitrap) followed by semi-quantitative analysis to confirm the priority of
PPCPs. A scoring and ranking system for prioritization was suggested based on
occurrence frequency and chromatographic peak area or concentration. Through
suspect and non-target screening, more than 50 PPCPs were tentatively identified
and ranked by the scoring system. Among them, 28 substances were finally
confirmed using reference standards. For estimating concentration, 26 confirmed
PPCPs and 12 additional substances not included in the first ranking were semi
quantitatively analyzed. We found that carbamazepine, metformin, paraxanthine,
naproxen, and fluconazole occurred 100% of the time above the limit of
quantification in 14 samples, whereas carbamazepine, metformin, paraxanthine,
caffeine, and cimetidine showed maximum concentrations above 1000 ng/L. Thus, in
the final prioritization list, carbamazepine, metformin, and paraxanthine shared
first place, followed by caffeine, cimetidine, lidocaine, naproxen, cetirizine,
climbazole, fexofenadine, tramadol, and fluconazole, with scores of 100 or above.
We suggest that these 12 PPCPs are the most highly exposable substances, and thus
must be considered in future water monitoring in the Yeongsan River.
PMID- 29800851
TI - Modeling phosphorus losses from soils amended with cattle manures and chemical
fertilizers.
AB - While applied manure/fertilizer is an important source of P loss in surface
runoff, few models simulate the direct transfer of phosphorus (P) from soil
surface-applied manure/fertilizer to surface runoff. The SurPhos model was tested
with 2008-2010 growing season daily surface runoff data from clay loam
experimental plots subject to different manure/fertilizer applications. Model
performance was evaluated on the basis of the coefficient of determination (R2),
Nash-Sutcliffe efficiency (NSE), percent bias (PBIAS), and the ratio of the root
mean square error to the standard deviation of observed values (RSR). The model
offered an acceptable performance in simulating soil labile P dynamics (R2 =
0.75, NSE = 0.55, PBIAS = 10.43%, and RSR = 0.67) and dissolved reactive P (DRP)
loss in surface runoff (R2 >= 0.74 and NSE >= 0.69) for both solid and liquid
cattle manure, as well as inorganic fertilizer. Simulated direct P loss in
surface runoff from solid and liquid cattle manure accounted for 39% and 40% of
total growing season DRP losses in surface runoff. To compensate for the
unavailability of daily surface runoff observations under snow melt condition,
the whole four years' (2008-2011) daily surface runoff predicted by EPIC
(Environmental Policy Integrated Climate) was used as SurPhos input. The accuracy
of simulated DRP loss in surface runoff under the different manure/fertilizer
treatments was acceptable (R2 >= 0.55 and NSE >= 0.50). For the solid cattle
manure treatment, of all annual DRP losses, 19% were derived directly from the
manure. Beyond offering a reliable prediction of manure/fertilizer P loss in
surface runoff, SurPhos quantified different sources of DRP loss and dynamic
labile P in soil, allowing a better critical assessment of different P management
measures' effectiveness in mitigating DRP losses.
PMID- 29800852
TI - Trace organic chemical pollutants from the lake waters of San Pablo City,
Philippines by targeted and non-targeted analysis.
AB - More than half of the freshwater lakes in the Philippines are small with surface
areas of <2 km2. The dynamics in these lakes are different from those in the
bigger lakes. This study was conducted to determine the organic pollutants and
their sources in three of the seven lakes of San Pablo City in Laguna,
Philippines: lakes Palakpakin, Sampaloc, and Pandin. Gas Chromatography-Mass
Spectrometry (GC-MS) and Liquid Chromatography - Tandem Mass Spectrometry (LC
MS/MS) were used in the targeted and non-targeted analysis of the lake water
samples. The three lakes are all volcanic crater lakes but are exposed to
different anthropogenic activities, which includes domestic activities,
livelihood (farming and aquaculture) and eco-tourism. Due to the presence of rice
fields and fruit plantations, chlorpyrifos was detected in the three lakes while
other pesticides like cypermethrin, picolinafen and quinoxyfen were additionally
found in Lake Sampaloc, which is the biggest of the three lakes and located
within the urbanized section of the city. Traces of different surfactants (linear
alkylbenzene sulfonates, secondary alkyl sulfonates, alkyl sulfates, alkyl ether
sulfates), biocide benzalkonium chloride, insect repellent diethyltoluamide,
antibiotics (sulfadiazine and sulfamethoxazole), hypertension drug telmisartan,
phosphate-based fire retardants, and artificial sweeteners (acesulfame,
cyclamate, saccharin and sucralose) were detected in lakes Sampaloc and
Palakpakin. The same surfactants, artificial sweeteners, insect repellant and
phosphate-based fire retardants were also found in Lake Pandin, which is mainly
used for eco-tourism activities like swimming and boating. The results of this
study suggest that the organic pollutants present in the small lakes can be
linked to the various human activities in the immediate lake environment. Because
small lakes are more prone to environmental stresses, human activities in the
said lakes must be regulated to ensure sustainable development.
PMID- 29800853
TI - Examination of contaminant exposure and reproduction of ospreys (Pandion
haliaetus) nesting in Delaware Bay and River in 2015.
AB - A study of ospreys (Pandion haliaetus) nesting in the coastal Inland Bays of
Delaware, and the Delaware Bay and Delaware River in 2015 examined spatial and
temporal trends in contaminant exposure, food web transfer and reproduction.
Concentrations of organochlorine pesticides and metabolites, polychlorinated
biphenyls (PCBs), coplanar PCB toxic equivalents, polybrominated diphenyl ethers
(PBDEs) and other flame retardants in sample eggs were generally greatest in the
Delaware River. Concentrations of legacy contaminants in 2015 Delaware Bay eggs
were lower than values observed in the 1970s through early 2000s. Several
alternative brominated flame retardants were rarely detected, with only TBPH
[bis(2-ethylhexyl)-tetrabromophthalate)] present in 5 of 27 samples at <5 ng/g
wet weight. No relation was found between p,p'-DDE, total PCBs or total PBDEs in
eggs with egg hatching, eggs lost from nests, nestling loss, fledging and nest
success. Osprey eggshell thickness recovered to pre-DDT era values, and
productivity was adequate to sustain a stable population. Prey fish contaminant
concentrations were generally less than those in osprey eggs, with detection
frequencies and concentrations greatest in white perch (Morone americana) from
Delaware River compared to the Bay. Biomagnification factors from fish to eggs
for p,p'-DDE and total PCBs were generally similar to findings from several
Chesapeake Bay tributaries. Overall, findings suggest that there have been
improvements in Delaware Estuary waterbird habitat compared to the second half of
the 20th century. This trend is in part associated with mitigation of some
anthropogenic contaminant threats.
PMID- 29800854
TI - Impact of organic and conventional farming systems on wheat grain uptake and soil
bioavailability of zinc and cadmium.
PMID- 29800855
TI - Impact of an invasive herbivore and human trampling on lichen-rich dry
grasslands: Soil-dependent response of multiple taxa.
AB - Dry grasslands are listed among the habitats of conservation concern in Europe.
Here, based on a multitaxon approach including vascular plants, bryophytes and
lichens, we explored the effects of small-scale disturbance on lichen-rich dry
grassland vegetation by surveying 60 sites across the Po Plain (Northern Italy).
In particular, we evaluated the impact of human trampling and fecal pellet
deposition by the alien invasive herbivore Sylvilagus floridanus. We found a soil
dependent response of multiple taxa to the impact of the herbivore. For plants,
beside a negative effect of trampling, the interaction between fecal pellet
amount and soil pH indicates that the negative effect of the invasive herbivore
is stronger on acidic soils. Bryophyte cover increased with increasing soil pH,
annual rainfall and fecal pellet, while it was not affected by trampling. Lichen
richness and cover decreased with increasing soil pH. The marginal interaction
between soil pH and amount of fecal pellet indicates that the more negative
effects on lichens may be expected on calcareous soils. Trampling did not affect
lichen patterns and the rainfall gradient marginally affected lichen cover with a
negative effect. Lichen species richness is also negatively affected by
increasing vascular plant cover. The main implications of this study for
improving conservation are: (1) conservation practices should be tailored to
organism and substrate type; (2) bryophyte and lichen diversity patterns are
influenced also by climatic conditions, suggesting that the impact on these
organisms may be exacerbated by climate change; and (3) strict conservation, even
through active exclusion of wild fauna, of the most species-rich sites should be
recommended, even if previous literature and the negative plant cover-lichen
richness relationship found in this study indicate that moderate mechanical
disturbance could be a practical tool to enhance cryptogams.
PMID- 29800856
TI - Dissolved organic matter affects both bioconcentration kinetics and steady-state
concentrations of polycyclic aromatic hydrocarbons in zebrafish (Danio rerio).
AB - Dissolved organic matter (DOM) is ubiquitous in natural aquatic ecosystems. The
association of hydrophobic organic compounds (HOCs), such as polycyclic aromatic
hydrocarbons (PAHs), with DOM may have a large impact on HOC fractions in water
and their bioconcentration in fish. However, the effects of DOM on HOC
bioconcentration in fish are not well understood, especially whether DOM will
affect the bioconcentration steady-state concentrations of HOCs in fish is still
confusing. Thus, this study investigated the effects of three DOM including
gallic acid (GA), tannic acid (TA), and humic acid (HA) with molecular weights
ranging from 170 Da to about 10 kDa at different concentrations (1, 5, and 15
mgOC L-1) on the bioconcentration of PAHs including phenanthrene, anthracene,
fluoranthene, and pyrene in zebrafish (Danio rerio), with the PAH freely
dissolved concentrations maintained constant by passive dosing systems. The
results revealed that the presence of DOM generally increased the
bioconcentration steady-state concentrations of the PAHs in zebrafish (Cb-ss),
with the increase ranging from 28.1% to 204.0%, and the increase of Cb-ss
promoted by TA with middle molecular weight (1700 Da) was the highest among the
studied DOM. Moreover, the Cb-ss increased with the concentrations of GA with low
molecular weight and TA with middle molecular weight in water, whereas decreased
with increasing concentrations of HA with high molecular weight. The uptake rate
constants of the PAHs in zebrafish with DOM were higher than that without DOM.
Ingestion of DOM and direct accumulation of PAHs associated with DOM might be
primary influencing mechanisms of DOM on the Cb-ss, and whether the facilitated
diffusive mass transfer by DOM will affect the Cb-ss needs to be further studied.
This study suggested that DOM-associated HOCs should be considered in future HOC
risk assessment in addition to the freely dissolved HOCs.
PMID- 29800858
TI - Mobility of heavy metals in sandy soil after application of composts produced
from maize straw, sewage sludge and biochar - Discussion of Moussavi et al. -
JEMA-D-18-00677.
AB - The discussion letter we received was carefully reviewed by us, authors. We would
like to thank Moussavi et al. for their interest and emphasis on the originality
of our studies. Every substantive discussion on study results is valuable,
especially when it gives a new perspective on the results obtained. We would like
to note that our manuscript lacks some of the results listed by Moussavi et al.,
not because of our ignorance, but because of the concept of our manuscript's
structure. We would like to point out that the research methods used in the
published manuscript were selected based on available literature. We also want to
emphasise the very diversified scientific approach to procedures for determining
the availability of trace elements in soil. This approach was also noted and
clearly justified in many studies. We believe that the diversity of scientists'
approach to the investigated subject is a very important and creative component
of science.
PMID- 29800857
TI - Conceptual environmental impact assessment of a novel self-sustained sanitation
system incorporating a quantitative microbial risk assessment approach.
AB - In many developing countries, including South Africa, water scarcity has resulted
in poor sanitation practices. The majority of the sanitation infrastructures in
those regions fail to meet basic hygienic standards. This along with the lack of
proper sewage/wastewater infrastructure creates significant environmental and
public health concerns. A self-sustained, waterless "Nano Membrane Toilet" (NMT)
design was proposed as a result of the "Reinvent the Toilet Challenge" funded by
the Bill and Melinda Gates Foundation. A "cradle-to-grave" life cycle assessment
(LCA) approach was adopted to study the use of NMT in comparison with
conventional pour flush toilet (PFT) and urine-diverting dry toilet (UDDT). All
three scenarios were applied in the context of South Africa. In addition, a
Quantitative Microbial Risk Assessment (QMRA) was used to reflect the impact of
the pathogen risk on human health. LCA study showed that UDDT had the best
environmental performance, followed by NMT and PFT systems for all impact
categories investigated including human health, resource and ecosystem. This was
mainly due to the environmental credits associated with the use of urine and
compost as fertilizers. However, with the incorporation of the pathogen impact
into the human health impact category, the NMT had a significant better
performance than the PFT and UDDT systems, which exhibited an impact category
value 4E + 04 and 4E + 03 times higher, respectively. Sensitivity analysis
identified that the use of ash as fertilizer, electricity generation and the
reduction of NOx emissions were the key areas that influenced significantly the
environmental performance of the NMT system.
PMID- 29800859
TI - Potential of trees leaf/ bark to control atmospheric metals in a gas and
petrochemical zone.
AB - Leaf and bark of trees are tools for assessing the effects of the heavy metals
pollution and monitoring the environmental air quality. The aim of this study was
to evaluate the presence of Ni, Pb, V, and Co metals in four tree/shrub species
(Conocarpus erectus, Nerium oleander, Bougainvillea spectabilis willd, and
Hibiscus rosa-sinensis) in the heavily industrial zone of Asaloyeh, Iran. Two
industrial zones (sites 1 and 2), two urban areas (sites 3 and 4), and two rural
areas (sites 5 and 6) in the Asaloyeh industrial zone and an uncontaminated area
as a control were selected. Sampling from leaf and bark of trees was carried out
in spring 2016. The metals content in the washed and unwashed leaf and bark was
investigated. The results showed that four studied metals in N. oleander, C.
erectus, and B. spectabilis willd in all case sites were significantly higher
than that of in the control site (p < 0.05). The highest concentration of metals
was found in sites 3, 4, and 6; this was due to dispersion of the pollutants from
industrial environments by dominant winds. The highest comprehensive bio
concentration index (CBCI) was found in leaf (0.37) and bark (0.12) of N.
oleander. The maximum metal accumulation index (MAI) in the samples was found in
leaf of N. oleander (1.58) and in bark of H. rosa-sinensis (1.95). The maximum
bio-concentration factor (BCF) was seen for cobalt metal in the N. oleander leaf
(0.89). The nickel concentration in washed-leaf samples of C. erectus was
measured to be 49.64% of unwashed one. In general, the N. oleander and C. erectus
species were found to have the highest absorption rate from the atmosphere and
soil than other studied species, and are very suitable tools for managing air
pollution in highly industrialized areas.
PMID- 29800860
TI - A data - Model fusion methodology for mapping bushfire fuels for smoke emissions
forecasting in forested landscapes of south-eastern Australia.
AB - The increasing regional and global impact of wildfires on the environment, and
particularly on the human population, is becoming a focus of the research
community. Both fire behaviour and smoke dispersion models are now underpinning
strategic and tactical fire management by many government agencies and therefore
model accuracy at regional and local scales is increasingly important. This
demands accuracy of all the components of the model systems, biomass fuel loads
being among the more significant. Validation of spatial fuels maps at a regional
scale is uncommon; in part due to the limited availability of independent
observations of fuel loads, and in part due to a focus on the impact of model
outputs. In this study we evaluate two approaches for estimating fuel loads at a
regional scale and test their accuracy against an extensive set of field
observations for the State of Victoria, Australia. The first approach, which
assumes that fuel accumulation is an attribute of the vegetation class, was
developed for the fire behaviour model Phoenix Rapid-Fire, with apparent success;
the second approach applies the Community Atmosphere Biosphere Land Exchange
(CABLE) process-based terrestrial biosphere model, implemented at high resolution
across the Australian continent. We show that while neither model is accurate
over the full range of fine and coarse fuel loads, CABLE biases can be corrected
for the full regional domain with a single linear correction, however the
classification based Phoenix requires a matrix of factors to correct its bias. We
conclude that these examples illustrate that the benefits of simplicity and
resolution inherent in classification-based models do not compensate for their
lack of accuracy, and that lower resolution but inherently more accurate carbon
cycle models may be preferable for estimating fuel loads for input into smoke
dispersion models.
PMID- 29800861
TI - Chromium and fluoride sorption/desorption on un-amended and waste-amended forest
and vineyard soils and pyritic material.
AB - Using batch-type experiments, chromium (Cr(VI)) and fluoride (F-)
sorption/desorption were studied in forest and vineyard soil samples, pyritic
material, pine bark, oak ash, hemp waste and mussel shell, as well as on samples
of forest and vineyard soil, and of pyritic material, individually treated with
48 t ha-1 of pine bark, oak ash, and mussel shell. Pine bark showed the highest
Cr(VI) sorption (always > 97% of the concentration added) and low desorption
(<1.5%). Pyritic material sorbed between 55 and 98%, and desorbed between 0.6 and
9%. Forest and vineyard soils, oak ash, mussel shell and hemp waste showed Cr(VI)
sorption always < 32%, and desorption between 22 and 100%. Pine bark also showed
the highest F- retention (sorption between 62 and 73%, desorption between 10 and
15%), followed by oak ash (sorption 60-69%, desorption 11-14%), forest soil
(sorption 60-73%, desorption 19-36%), and pyritic material (sorption 60-67%,
desorption 13-15%), whereas in vineyard sorption was 49-64%, and desorption 24
27%, and in hemp waste sorption was 26-36%, and desorption 41-59%. Sorption data
showed better fitting to the Freundlich than to the Langmuir model, especially in
the case of Cr(VI), indicating that multilayer sorption dominated. The addition
of by-products to the forest and vineyard soils, and to the pyritic material,
caused an overall increase in F- sorption, and decreased desorption. Furthermore,
the pine bark amendment resulted in increases in Cr(VI) retention by both soils
and the pyritic material. These results could be useful to favor the recycling of
the by-products studied, aiding in the management of soils and degraded areas
affected by Cr(VI) and F- pollution, and in the removal of both anions from
polluted waters.
PMID- 29800862
TI - Recovery of Al, Cr and V from steel slag by bioleaching: Batch and column
experiments.
AB - Steel slag is a major by-product of the steel industry and a potential resource
of technology critical elements. For this study, a basic oxygen furnace (BOF)
steel slag was tested for bacterial leaching and recovery of aluminium (Al),
chromium (Cr), and vanadium (V). Mixed acidophilic bacteria were adapted to the
steel slag up to 5% (w/v). In the batch tests, Al, Cr, and V were bioleached
significantly more from steel slag than in control treatments. No statistical
difference was observed arising from the duration of the leaching (3 vs 6 d) in
the batch tests. Al and Cr concentrations in the leachate were higher for the
smaller particle size of the steel slag (<75 MUm), but no difference was observed
for V. In the column tests, no statistical difference was found for pH, Al, Cr
and V between the live culture (one-step bioleaching) and the supernatant (two
step bioleaching). The results show that the culture supernatant can be
effectively used in an upscaled industrial application for metal recovery. If
bioleaching is used in the 170-250 million tonnes of steel slag produced per year
globally, significant recoveries of metals (100% of Al, 84% of Cr and 8% of V)
can be achieved, depending on the slag composition. The removal and recovery
percentages of metals from the leachate with Amberlite(r)IRA-400 are relatively
modest (<67% and <5%, respectively), due to the high concentration of competing
ions (SO42-, PO43-) in the culture medium. Other ion exchange resins can be
better suited for the leachate or methods such as selective precipitation could
improve the performance of the resin. Further research is needed to minimise
interference and maximise metal recovery.
PMID- 29800863
TI - A modified MBR system with post advanced purification for domestic water supply
system in 180-day CELSS: Construction, pollutant removal and water allocation.
AB - Water supply was vital to people's life, especially inside Controlled Ecological
Life Support System (CELSS) for long-term space exploration. A platform of 4
person-180-day integrated experiment inside a CELSS including 6 cabins called
'SPACEnter' was established in Shenzhen, China. Based on this platform, a
Membrane Bio-Reactor (MBR) system configuring post advanced purification,
including I-MBR, II-MBR, nanofiltration (NF), reverse osmosis (RO), ion-exchange
(IE), polyiodide disinfection (PI) and mineralization (MC) stages, used as a
Domestic Water Supply System (DWSS) to guarantee crew's daily life was
constructed. The performance of DWSS to treat the real plant cabin's condensate
water was examined during continuously 180-day experiment. The long-term
operation results showed that, though the influent pollutant load changed as the
experiment processing, the system exhibited stable performance on pollutants
removal with average effluent TOC<0.5 mg/L, NH4+-N<0.02 mg/L, NO3--N<0.25 mg/L,
NO2--N<0.001 mg/L, and displayed good capacity for controlling the trace metal
ions and microorganism. The effluent through such modified MBR system was
sufficiently allocated as hygiene water and potable water, and the average value
was 39.69 and 10.93 L/d, respectively. The consumption of the modified MBR
process was within the designed allowable scope. The outcomes of this study will
be helpful for facilitating future applications of MBR as bio-based water supply
technology in the CELSS.
PMID- 29800864
TI - Impact of biological clogging on the barrier performance of landfill liners.
AB - The durability of landfill mainly relies on the anti-seepage characteristic of
liner system. The accumulation of microbial biomass is effective in reducing the
hydraulic conductivity of soils. This study aimed at evaluating the impact of the
microorganism on the barrier performance of landfill liners. According to the
results, Escherichia coli. produced huge amounts of extracellular polymeric
substances and coalesced to form a confluent plugging biofilm. This microorganism
eventually resulted in the decrease of soil permeability by 81%-95%. Meanwhile,
the increase of surface roughness inside the internal pores improved the adhesion
between microorganism colonization and particle surface. Subsequently, an
extensive parametric sensitivity analysis was undertaken for evaluating the
contaminant transport in landfill liners. Decreasing the hydraulic conductivity
from 1 * 10-8 m/s to 1 * 10-10 m/s resulted in the increase of the breakthrough
time by 345.2%. This indicates that a low hydraulic conductivity was essential
for the liner systems to achieve desirable barrier performance.
PMID- 29800866
TI - Third molar development in a contemporary Danish 13-25year old population.
AB - We present a reference database for third molar development based on a
contemporary Danish population. A total of 1302 digital panoramic images were
evaluated. The images were taken at a known chronological age, ranging from 13 to
25years. Third molar development was scored according to the Kohler modification
of the 10-stage method of Gleiser and Hunt. We found that third molar development
was generally advanced in the maxilla compared to the mandible and in males
compared to females; in addition, the mandibular third molar mesial roots were
generally more advanced in development than were the distal roots. There was no
difference in third molar development between the left and right side of the
jaws. Establishing global and robust databases on dental development is crucial
for further development of forensic methods to evaluate age.
PMID- 29800865
TI - Cryptic binding sites on proteins: definition, detection, and druggability.
AB - Many proteins in their unbound structures lack surface pockets appropriately
sized for drug binding. Hence, a variety of experimental and computational tools
have been developed for the identification of cryptic sites that are not evident
in the unbound protein but form upon ligand binding, and can provide tractable
drug target sites. The goal of this review is to discuss the definition,
detection, and druggability of such sites, and their potential value for drug
discovery. Novel methods based on molecular dynamics simulations are particularly
promising and yield a large number of transient pockets, but it has been shown
that only a minority of such sites are generally capable of binding ligands with
substantial affinity. Based on recent studies, current methodology can be
improved by combining molecular dynamics with fragment docking and machine
learning approaches.
PMID- 29800867
TI - Skeletal dimensions as predictors for the shape of the nose in a South African
sample: A cone-beam computed tomography (CBCT) study.
AB - The profile of the nose is an important feature for facial approximations.
Although several manual and semi-automated prediction guidelines exist for
estimating the shape of the nose, the reliability and applicability of these
methods to South Africans groups are unknown. The aim of this study was to
predict the displacements of capulometric landmarks from hard-tissue planes to
facilitate nasal soft-tissue reconstruction in a South African sample. Cone beam
computed tomography (CBCT) scans of 120 adult South Africans were selected from
the Oral and Dental Hospital, University of Pretoria, South Africa. Measurements
involving craniometric and capulometric landmarks of the nose were obtained as
plane-to-plane distances. Correlation coefficients between hard- and soft-tissue
measurements were determined, and regression equations computed to assist in the
prediction of the most probable shape and size of the nose. All hard- and soft
tissue measurements appeared significantly different between groups, except for
the distance between the pronasale and nasion in the transverse plane and for the
distance between the alare and the nasion in the coronal plane. The nasal height,
nasal bone length and the nasal bone projection were significant predictors of
the pronasale, subnasale and alare positions. More precisely, the nasal height
and the nasal bone length were significant predictors of the pronasale position
in both groups. Nasal bone projection was only useful for predicting shape in
white South Africans. The variation in the skeletal predictors of the external
shape of the nose noted between black and white South Africans and the results of
the cross-validation testing emphasize the need for population specific
guidelines.
PMID- 29800868
TI - From fish embryos to human patients: lymphangiogenesis in development and
disease.
AB - The lymphatic vasculature plays vital roles in immune surveillance, fluid
homeostasis and fat absorption in the body. Lined by endothelial cells, the
lymphatic system is functionally distinct from the blood vasculature, and
fulfills different physiological functions. In recent years, insight from
zebrafish, mice and human patients have improved our understanding of lymphatics,
and the interplay between zebrafish genetics, studies in mice and GWAS analysis
in human patients have identified genes that, when mutated, will lead to
lymphedema formation. Here, we focus on components of the Vegfr3 pathway, and how
they are connected to Milroy disease and Hennekam syndrome.
PMID- 29800869
TI - Enhanced degradation of ciprofloxacin by graphitized mesoporous carbon (GMC)-TiO2
nanocomposite: Strong synergy of adsorption-photocatalysis and antibiotics
degradation mechanism.
AB - In order to achieve remarkable synergy between adsorption and photocatalysis for
antibiotics elimination from water, in this study, a graphitized mesoporous
carbon (GMC)-TiO2 nanocomposite was successfully synthesized by an extended
resorcinol-formaldehyde (R-F) method. In the composite, the lamellar GMC
nanosheets possessed large specific surface area and mesoporous structure, and
could adsorb and enrich antibiotics effectively. This could not only reduce the
antibiotic concentration in water shortly, but also greatly increase the chances
for antibiotics to contact with and be degraded by photocatalysts and active
species. Interestingly, GMC could also facilitate the transportation of
photogenerated electrons to further improve the photocatalytic efficiency of
TiO2, and 15 mg/L ciprofloxacin (CIP) could be totally mineralized in 1.5 h.
Meanwhile, the biological inhibition of reaction solution on luminescence
bacteria decreased obviously with antibiotics degradation until non-toxicity,
reinforcing the thorough elimination of antibiotics. Besides, from the viewpoint
of organic chemistry, several plausible CIP degradation pathways were established
using HPLC-MS technique, and an interesting intermediate with five-membered ring
structure was firstly proposed, which is helpful to deeply understand CIP
degradation. Strong synergy between adsorption and photocatalysis, along with
quick and efficient antibiotics elimination, double confirm the great potential
of GMC-TiO2 nanocomposite for practical antibiotic wastewater purification.
PMID- 29800870
TI - One-step preparation of nanobeads-based polypyrrole hydrogel by a reactive
template method and their applications in adsorption and catalysis.
AB - In this manuscript, nanobeads-based polypyrrole (PPy) hydrogel was prepared by a
reactive-template method in one-step. Fe3O4 nanoparticles were selected as
reactive-templates, which not only acted as the oxidants to initiate
polymerization of pyrrole monomer, but also guided the growth of polymer chains.
No surfactants were involved in whole preparation procedure, leading to a
superior purity of products. Because PPy hydrogels were obtained by cross-linking
individual nanobeads, they have bridged nano-dimension and macro-dimension
together; thus displayed a three-dimensional hierarchical porous structure. By
taking advantage of structural merits, PPy hydrogels exhibited large surface area
and plenty of interconnected channels, which made them act as good candidates for
adsorbents of rhodamine B (RhB). During the adsorption experiment, their
adsorption kinetics were carefully investigated. In comparison tests, their
equilibrium adsorption capacities were higher than that of referenced PPy (R-PPy)
hydrogels prepared by a classical oxidation polymerization. In addition to be
used as adsorbent, PPy hydrogels could serve as support to load Pd nanoclusters.
During the catalytic reduction of RhB with NaBH4 as reducing agent under the same
Pd loadings, PPy/Pd hydrogels displayed better catalytic activity than that of R
PPy/Pd hydrogels, and their rate constant and turnover frequency was 12 and 4.8
times higher than that of the latter.
PMID- 29800871
TI - Distribution of Gd(III) ions at the graphene oxide/water interface.
AB - Graphene oxide (GO) have emerged recently as a novel material for sorbing metal
cations from aqueous media. However, the literature data on sorption capacity
differ by more than one order in magnitude, and the nature of the chemical
bonding between GO and metal cations remains unclear. In this work we show that
Gd3+ ions are bound to GO by both coordinate-covalent bonding and electrostatic
attraction with prevailing the former. We provide the complete account for the GO
sorption toward Gd3+ as the function of the Gd3+/GO ratio and pH of solution. The
upper limits of the strong bonding are determined as 0.70 and 0.16
mmol(Gd3+)/g(GO) in the neutral and in the intrinsically acidic solutions,
respectively. At large excess of Gd3+ in the neutral solutions, the sorption
capacity reaches 1.45 mmol(Gd3+)/g(GO). The effectiveness of water, hydrochloric
acid and EDTA as desorbing eluents is compared. We experimentally demonstrate the
existence of the Gd3+ concentration gradient within the diffuse layer at the
GO/water interface, and its exponential character on the distance from the GO
surface. The thickness of the diffuse layer and the position of the slipping
plane are estimated. Such characteristics, typical for colloid systems, show that
in solutions, GO flakes form distinct phase, even though they are just one atom
thick.
PMID- 29800872
TI - Three-dimensional interconnected nitrogen-doped mesoporous carbons as active
electrode materials for application in electrocatalytic oxygen reduction and
supercapacitors.
AB - In this paper, a series of nitrogen-doped mesoporous carbons (NMCs) with three
dimensional (3D) interconnected mesopores have been prepared using flour as
carbon source, dicyanamide as nitrogen source and colloidal silica as hard
template. The optimized material (NMC-4) prepared with the colloidal silica/flour
mass ratio of 4 has a high nitrogen doping level of 5.69 at.% and large specific
surface area of 995 m2 g-1 as well as 3D interconnected mesopores (12.9 nm). As
the oxygen reduction reaction (ORR) electrocatalyst among various NMCs, NMC-4
exhibits the superior performance and much better stability and methanol
crossover with a four-electron dominant reaction pathway compared to commercial
20 wt% Pt/C. Furthermore, as a supercapacitor (SC) electrode material, NMC-4
exhibits a high specific capacitance of 178.5 F g-1 at a current density of 0.5 A
g-1 and long cycle life (94.5% capacity retention after 5000 cycles). It also
shows a good rate capacity as 76.1% of original specific capacitance remains when
the current density increases from 0.5 to 20 A g-1. The high-performance of NMCs
results from the synergetic effects of 3D interconnected mesopores, large surface
area, and high N-doping level, enabling fast mass transport and electron transfer
during the electrochemical process. This work provides a facile and efficient
strategy to heteroatom-doped carbons from extensively available biomass, showing
great potentials in electrocatalysis, energy storage, and other applications.
PMID- 29800873
TI - Hybrid shells of MnO2 nanosheets encapsulated by N-doped carbon towards
nonprecious oxygen reduction reaction catalysts.
AB - Developing nonprecious electrocatalysts for efficient oxygen reduction reaction
(ORR) have aroused increasing interest recently. Herein, alpha-MnO2 nanosheet
based hollow spheres encapsulated by N-doped carbon (denoted as N-Carbon/MnO2/N
Carbon) as efficient ORR electrocatalysts were reported. As started from redox
reactive polystyrene/polyaniline (PS/PANI) core/shell templates, MnO2 and PANI
layers were sequentially in situ loaded, leading to the formation of MnO2
nanosheet-based hollow spheres encapsulated by PANI (denoted as PANI/MnO2/PANI)
after PS removal process. Finally, the calcination process ensured the
crystallization of MnO2 and carbonization of PANI, resulting in the formation of
N-Carbon/MnO2/N-Carbon hybrid shells. The N-Carbon/MnO2/N-Carbon hybrid shells
showed excellent electrocatalytic activity towards ORR in 0.1 mol L-1 KOH aqueous
solution, making the hybrids promising cathode catalysts for alkaline fuel cell
applications.
PMID- 29800874
TI - Notable light-free catalytic activity for pollutant destruction over flower-like
BiOI microspheres by a dual-reaction-center Fenton-like process.
AB - BiOI is widely used as photocatalysts for pollutant removal, water splitting, CO2
reduction and organic transformation due to its excellent photoelectric
properties. Here, we report for the first time that a light-free catalyst
consisting of the flower-like BiOI microspheres (f-BiOI MSs) exposing (1 0 1) and
(1 1 0) crystal planes prepared by a hydrothermal method in ethylene glycol
environment can rapidly eliminate the refractory BPA within only ~3 min through a
Fenton-like process. The reaction activity is ~190 times higher than that of the
conventional Fenton catalyst Fe2O3. A series of characterizations and experiments
reveal the formation of the dual reaction centers on f-BiOI MSs. The electron
rich O centers efficiently reduce H2O2 to OH, while the electron-poor oxygen
vacancies capture electrons from the adsorbed pollutants and divert them to the
electron-rich area during the Fenton-like reactions. By these processes,
pollutants are degraded and mineralized quickly in a wide pH range. Our findings
address the problems of the classical Fenton reaction and are useful for the
development of efficient Fenton-like catalysts through constructing dual reaction
centers.
PMID- 29800875
TI - The impact of N,N-dimethyldodecylamine N-oxide (DDAO) concentration on the
crystallisation of sodium dodecyl sulfate (SDS) systems and the resulting changes
to crystal structure, shape and the kinetics of crystal growth.
AB - HYPOTHESIS: At low temperatures stability issues arise in commercial detergent
products when surfactant crystallisation occurs, a process which is not currently
well-understood. An understanding of the phase transition can be obtained using a
simple binary SDS (sodium dodecyl sulfate) + DDAO (N,N-dimethyldodecylamine N
oxide) aqueous system. It expected that the crystallisation temperature of an SDS
system can be lowered with addition of DDAO, thus providing a route to improve
detergent stability. EXPERIMENTS: Detergent systems are typically comprised of
anionic surfactants, non-ionic surfactants and water. This study explores the
crystallisation of a three component system consisting of sodium dodecyl sulfate
(SDS), N,N-dimethyldodecylamine N-oxide (DDAO), and water using wide-angle X-ray
scattering (WAXS), differential scanning calorimetry (DSC) and confocal Raman
microscopy. FINDINGS: The presence of DDAO lowered the crystallisation
temperature of a 20 wt% SDS system. For all aqueous mixtures of SDS + DDAO at low
temperatures, SDS hydrated crystals, SDS.1/2H2O or SDS.H2O, formed. SDS hydrates
comprising of layers of SDS separated by water layers. DDAO tended to reside in
the vicinity of these SDS crystals. In the absence of DDAO an additional
intermediary hydrate structure, SDS.1/8H2O, formed whereas for mixed SDS + DDAO
systems no such structure was detected during crystallisation.
PMID- 29800876
TI - Zirconium-based metal organic frameworks loaded on polyurethane foam membrane for
simultaneous removal of dyes with different charges.
AB - Treating dye wastewater by membrane filtration technology has received much
attention from researchers all over the world, however, current studies mainly
focused on the removal of singly charged dyes but actual wastewater usually
contains dyes with different charges. In this study, the removal of neutral,
cationic and anionic dyes in binary or ternary systems was conducted by using
zirconium-based metal organic frameworks loaded on polyurethane foam (Zr-MOFs
PUF) membrane. The Zr-MOFs-PUF membrane was fabricated by an in-situ hydrothermal
synthesis approach and a hot-pressing process. Neutrally charged Rhodamine B
(RB), positively charged Methylene blue (MB), and negatively charged Congo red
(CR) were chosen as model pollutants for investigating filtration performance of
the membrane. The results of filtration experiments showed that the Zr-MOFs-PUF
membrane could simultaneously remove RB, MB, and CR not only from their binary
system including RB/MB, RB/CR, and MB/CR mixtures, but also from RB/MB/CR ternary
system. The removal of dyes by Zr-MOFs-PUF membrane was mainly attributed to the
electrostatic interactions, hydrogen bond interaction, and Lewis acid-base
interactions between the membrane and dye molecules. The maximum removal
efficiencies by Zr-MOFs-PUF membrane were 98.80% for RB at pH ~ 7, 97.57% for MB
at pH ~ 9, and 87.39% for CR at pH ~ 3. Additionally, when the NaCl concentration
reached 0.5 mol/L in single dye solutions, the removal efficiencies of RB, MB,
and CR by Zr-MOFs-PUF membrane were 93.08%, 79.52%, and 97.82%, respectively. All
the results suggested that the as-prepared Zr-MOFs-PUF membrane has great
potential in practical treatment of dye wastewater.
PMID- 29800877
TI - Thermodynamic insights into membrane fouling in a membrane bioreactor: Evaluating
thermodynamic interactions with Gaussian membrane surface.
AB - While membrane bioreactor (MBR) technology is generally considered as one of the
most promising technologies for wastewater treatment and recovery, membrane
fouling remains the major obstacle limiting its applications. Interfacial
interactions, which critically determine adhesion process and membrane fouling,
were investigated in this study. It was found that, natural membrane surface was
of a Gaussian surface obeying Gaussian distribution. A Gaussian approach
integrating Fourier transform technique, Gaussian distribution and spectrum
method was deduced to simulate rough surface topography of membrane. Thereafter,
surface element integral (SEI) method, together with composite Simpson rule and
triangulation of Gaussian surface was proposed to calculate interfacial
interactions. By using the unified method, quantification of interfacial
interactions with a Gaussian membrane surface was realized for the first time to
date. It was further found that, membrane surface topography had profound impacts
on interfacial interactions and adhesive fouling in the MBR. The deduced method
can be used to address impacts of various factors on interfacial interactions and
adhesive fouling, posing in-depth thermodynamic insights into membrane fouling
and pointing towards its widespread potential in fouling research in MBRs.
PMID- 29800878
TI - Impact of collected sunlight on ZnFe2O4 nanoparticles for photocatalytic
application.
AB - In the present investigation, a series of zinc ferrite (ZnFe2O4) nanoparticles
were synthesized using a facile, reproducible and scalable chemical co
precipitation route for sunlight assisted photocatalytic degradation application.
In the present work, we have prepared ZnFe2O4 with 1:1, 1:2 and 1:3 M ratio of
zinc chloride and ferric chloride respectively. This work reports the
photodegradation of organic methylene blue dye molecules using ZnFe2O4 under both
normal sunlight, and collected sunlight. Among other annealing temperatures,
particularly the ZnFe2O4 annealed at 600 degrees C with a molar ratio of 1:3
showed the highest photocatalytic degradation of methylene blue. Interestingly
close to 99% degradation in less than 60 min of collected sunlight illumination
has been achieved indicating maximum photocatalytic activity under investigation.
This expounding study will open new way of light harvesting in the field of
photocatalysis which is different from common praxis.
PMID- 29800879
TI - Melamine-Schiff base/manganese complex with denritic structure: An efficient
catalyst for oxidation of alcohols and one-pot synthesis of nitriles.
AB - Efficient and selective oxidation of alcohol to the corresponding carbonyl and/or
nitrile was carried out by a new water-soluble melamine-based dendritic Mn(III)
complex (Melamine-Mn (III)-Schiff base complex) in the presence of 2,4,6
trichloro-1,3,5-triazine (TCT) and O2 at room temperature. Also, the oxidation of
amine to the corresponding nitrile with high selectivity and conversion was
performed at room temperature using the current method and high amounts of
turnover frequencies (TOFs) were obtained for reactions. This system was also
applicable for direct preparation of oxime through oxidation of alcohol. The
catalyst was characterized by Fourier-transform infrared (FTIR), ultraviolet
visible (UV-Vis), thermogravimetric analysis (TGA), energy-dispersive X-ray
(EDX), X-ray photoelectron spectroscopy (XPS), CHN and inductively coupled plasma
(ICP) analyses. Also, oxidation/reduction behavior of the catalyst was studied by
cyclic voltammetry (CV). Moreover, chemoselectivity of the catalyst was discussed
with various combinations. The water-soluble catalyst could be recycled from the
reaction mixture and reused for several times with a very low losing in
efficiency. The recovered catalyst was also investigated with various analyses.
Finally, gram scale preparation of nitrile was evaluated by present method.
PMID- 29800880
TI - Alterations in leaf nitrogen metabolism indicated the structural changes of
subtropical forest by canopy addition of nitrogen.
AB - Globally, nitrogen deposition increment has caused forest structural changes due
to imbalanced plant nitrogen metabolism and subsequent carbon assimilation. Here,
a 2 consecutive-year experiment was conducted to reveal the effects of canopy
addition of nitrogen (CAN) on nitrogen absorption, assimilation, and allocation
in leaves of three subtropical forest woody species (Castanea henryi, Ardisia
quinquegona, and Blastus cochinchinensis). We hypothesized that CAN altered leaf
nitrogen absorption, assimilation and partitioning of different plants in
different ways in subtropical forest. It shows that CAN increased maximum
photosynthetic rate (Amax), photosynthetic nitrogen use efficiency (PNUE), and
metabolic protein content of the two understory species A. quinquegona and B.
cochinchinensis. By contrary, for the overstory species, C. henryi, Amax, PNUE,
and metabolic protein content were significantly reduced in response to CAN. We
found that changes in leaf nitrogen metabolism were mainly due to the differences
in enzyme (e.g. Ribulose-1,5-bisphosphate carboxylase, nitrate reductase, nitrite
reductase and glutamine synthetase) activities under CAN treatment. Our results
indicated that C. henryi may be more susceptible to CAN treatment, and both A.
quinquegona and B. cochinchinensis could better adapt to CAN treatment but in
different ways. Our findings may partially explain the ongoing degradation of
subtropical forest into a community dominated by small trees and shrubs in recent
decades. It is possible that persistent high levels of atmospheric nitrogen
deposition will lead to the steady replacement of dominant woody species in this
subtropical forest.
PMID- 29800881
TI - Hypersampling of pseudo-periodic signals by analytic phase projection.
AB - A method to upsample insufficiently sampled experimental time series of pseudo
periodic signals is proposed. The result is an estimate of the pseudo-periodic
cycle underlying the signal. This "hypersampling" requires a sufficiently sampled
reference signal that defines the pseudo-periodic dynamics. The time series and
reference signal are combined by projecting the time series values to the
analytic phase of the reference signal. The resulting estimate of the pseudo
periodic cycle has a considerably higher effective sampling rate than the time
series. The procedure is applied to time series of MRI images of the human brain.
As a result, the effective sampling rate could be increased by three orders of
magnitude. This allows for capturing the waveforms of the very fast cerebral
pulse waves traversing the brain. Hypersampling is numerically compared to the
more commonly used retrospective gating. An outlook regarding EEG and optical
recordings of brain activity as the reference signal is provided.
PMID- 29800882
TI - Treatment of wastewater containing Reactive Brilliant Blue KN-R using TiO2/BC
composite as heterogeneous photocatalyst and adsorbent.
AB - Heterogeneous photocatalysis namely titanium dioxide (TiO2) supported on coconut
shell biochar (BC) was synthesized by sol-gel method (calcined at 450 degrees C)
in the paper, which was innovatively applied to the decolorization of Reactive
Brilliant Blue KN-R. The transmission electron microscopy (TEM) and X-ray
diffraction patterns (XRD) results demonstrated that anatase TiO2 film was firmly
immobilized on the surface and pores of BC. The photocatalysis tests under UV
high pressure xenon lamp (300 W) showed highest decolorization efficiency
occurred at strong acid and alkali conditions (pH = 1 and 11) reached as 99.71%
and 96.99% respectively within 60 min. Therefore, the TiO2/BC composites
demonstrated both photocatalytic and adsorption capacity on KN-R decolorized, and
presented quite durable and reusable in regeneration cycles, indicating a widely
application possibility in anthraquinones dyeing wastewater treatment.
PMID- 29800883
TI - Submerged low-cost pyrophyllite ceramic membrane filtration combined with GAC as
fluidized particles for industrial wastewater treatment.
AB - Submerged ceramic membrane reactor treating industrial wastewater was combined
with granular activated carbon (GAC) particles to control membrane fouling and
organic removal efficiency. The GAC particles were suspended along the membrane
surface under bulk recirculation only through the reactor without any gas
sparging. Membrane support coated with Al2O3 layer (CPM) and uncoated one (UPM)
was compared at constant flux mode of filtration. The membrane support consisted
of 80% of pyrophyllite and 20% of alumina. Under up-flow velocity of 0.031 m s-1
through bulk recirculation only without GAC particles, the fouling rates were
observed as 0.011 and 0.013 bar h-1 for the CPM and UPM, respectively. With
suspension of GAC particles, fouling mitigation was enhanced considerably and
this effect was more pronounced with CPM than UPM under the same upflow velocity
(90 vs. 57%). In addition, the GAC suspension increased critical flux by 46%
higher with CPM than that observed without the carbon particles. The organic
removal efficiency of the UPM was lower than that of CPM while the fouling rate
was much greater probably due to pore blocking caused by organic dye compounds.
For the both membranes, suspension of GAC particles along the membrane surface
increased organic removal efficiency higher than 90%. The organic removal
efficiency was enhanced by increasing permeate flux, but it became lower as
upflow velocity was higher.
PMID- 29800884
TI - Is vertebrate mortality correlated to potential permeability by underpasses along
low-traffic roads?
AB - Road permeability to animal movements depends among several factors on structures
which, integrated in the road design, operate as safe conducts to mitigate
vehicle collision and barrier effects. There is abundant evidence that wildlife
makes use of such structures as safe passages to cross roads. We analyzed the
spatial relationship between road drainage elements (N = 253; mostly culverts) as
potential faunal underpasses, and mortality due to vehicle collisions in two
seasons and on four relatively low-traffic roads (<5000 cars/day) traversing oak
rangelands of western Andalusia (S Spain). Focusing on amphibians, reptiles and
mammals, we recorded and located casualties (N = 238 individuals, 35 species)
along these roads, identifying and characterizing all potential underpasses.
Overall frequencies of casualties and spatial distribution were highly variable
both within and among these roads. We obtained an estimation of potential
permeability for the different roads. We detected, located and described a wide
supply and a very variable pattern of drainage culverts and other underpasses,
with differences among roads in passage attributes potentially affecting
permeability for wildlife, such as spatial arrangement, number, density
(frequency or concentration of passages) and dimensions. We used Mantel tests to
assess spatial congruence of passages and road-killed animals. We applied
generalized linear mixed models fitted by maximum likelihood through Akaike
Information Criterion to explain the variation in the distance of the 238
casualties to the nearest underpasses, with road transect and season as random
factors, and traffic intensity, speed and vertebrate class as fixed effects. Both
road-killed animals and underpass distribution followed aggregated patterns, and
casualties were not significantly related to underpasses along any of the 4
roads. There were no differences in distance of casualties to the nearest
underpass for the three vertebrate classes. Although existing underpasses were
abundant, we could not correlate potential permeability with reduced mortality
along these roads, and other factors potentially affecting roadkill aggregations
should be evaluated along with permeability assessment. Mitigation of road-caused
mortality can still be greatly improved for these roads, through measures of
reconditioning and proper management of existing underpasses, aiming to maximize
road permeability and reducing major impacts upon animal populations of
Andalusian rangelands.
PMID- 29800886
TI - Cerebral activation effects of acupuncture at Yanglinquan(GB34) point acquired
using resting-state fMRI.
AB - OBJECTIVE: To explore the central mechanism of acupuncture points for regional
homogeneity(ReHo) of resting state in brain function after acupuncture at GB34.
METHODS: Ten healthy volunteers were enrolled, which included 4 males and 6
females, aged 20-34 years old with median age of 23. The GE Signa HDxt 3.0 T
magnetic resonance imaging were performed before (control group) and after
acupuncture at GB34, and differences of different brain ReHo of 2 groups by
statistical parametric mapping (SPM8) software and ReHo data processing methods
were analyzed. The statistically different brain regions were obtained by false
discovery rate corrected (FDR-Corrected). RESULTS: Compared with control group,
the anterior cingulated gyrus, left temporal gyrus, right inferior parietal
lobule, right frontal gyrus were enhanced ReHo after acupuncture at GB34. The
left thalamus, right insular cortex, left inferior frontal gyrus, right anterior
cingulate were decreased ReHo after acupuncture at GB34. CONCLUSION: It is
demonstrated that the signal synchronization change ReHo in different brain
regions including cognitive, motor, default network, limbic system and other
parts of encephalic region after acupuncture at GB34, suggesting that the central
mechanism of acupuncture at GB34 is the result of all levels of the combined
effects of brain networks.
PMID- 29800885
TI - Does focal inflammation have an impact on cognition in multiple sclerosis? An MRI
study.
AB - OBJECTIVE: Cognitive impairment concerns a significant percentage of patients
with multiple sclerosis (MS). A transient impairment of cognition with a
simultaneous presence of non-symptomatic gadolinium (Gd)-enhancing lesions in
patients with MS was previously described. Our study aimed to evaluate
modifications in cognitive function before and after the occurrence of
asymptomatic MRI gadolinium (Gd)-enhancing lesions in relapsing MS patients.
PATIENTS AND METHODS: All patients underwent a neuropsychological evaluation
before (30-60 days) and after (30-60 days) brain MRI with Gd administration.
Patients were classified as Gd positive (presence of enhancing-lesions) and Gd
negative (absence of enhancing-lesions). We also recruited a healthy controls
group underwent to the same neuropsychological assessment for two times with the
same timing of MS patients. RESULTS: We included 84 relapsing-remitting patients
and 40 healthy controls. Brain MRI results showed that 14/84 (16.7%) patients had
asymptomatic Gd-enhancing-lesion. No significant variation in cognitive
performance between baseline and follow-up was observed in patients with or
without MRI-enhancing lesions. However, an increase between baseline and follow
up was observed in the mean scores of the Symbol Digit Modality Test (41.9 at
baseline versus 46.7 at follow-up, p :< 0.001). This increase was significantly
lower in Gd positive patients compared to Gd negative patients (mean increase 1.1
in Gd positive versus 4.9 in Gd negative, p: < 0.001) and to healthy controls
groups (mean increase 7.2; p < 0.001) CONCLUSIONS: In our study, the absence of a
practice effect in Gd positive compared to Gd negative patients and to healthy
controls suggests a possible role of focal inflammation on cognitive function of
MS patients.
PMID- 29800888
TI - A highly selective and reversible fluorescence "OFF-ON-OFF" chemosensor for Hg2+
based on rhodamine-6G dyes derivative and its application as a molecular logic
gate.
AB - A new rhodamine-6G-based chemosensor X was designed and synthesized for the
colorimetric and fluorometric detection of Hg2+. The chemosensor X responsed to
Hg2+ had good sensitivity, high selectivity and excellent reversibility in HEPES
buffer (10 mM, pH 7.4)/CH3CN (40:60, V/V). The recognition mechanism of X toward
Hg2+ was evaluated by Job's plot, IR and MS. Meanwhile, X-Hg2+ fluorescence
lifetime was also measured. It was interesting that X displayed favorable
reversibility to form an "off-on-off" type signaling behavior with the Hg2+
induced emission spectra being quenched by I-. Furthermore, it could be applied
as a molecular logic gate and test strips based on X exhibited a good
reversibility selectivity to Hg2+.
PMID- 29800887
TI - Thermo-acoustic and FTIR studies on binary liquids mixture of ethyl oleate and
benzaldehyde at 303.15 to 318.15 K.
AB - Ultrasonic velocity, density and viscosity of two liquid mixtures ethyl oleate
with benzaldehyde have been determined at various temperatures in the range of
303.15 to 318.15 K. The ultrasonic velocity, viscosity and density data are used
to estimate adiabatic compressibility, free length, molar volume and free volume
along with their excess values. The observed variations of the said parameters
with concentration and temperature are discussed in terms of the intermolecular
interactions between the unlike molecules of the binary mixtures. FT-IR spectra
confirm the expected interactions.
PMID- 29800889
TI - Influence of the interaction with DNA on the spectral-fluorescent and
photochemical properties of some meso-substituted polymethine dyes.
AB - Spectral-fluorescent and photochemical properties of meso-substituted
thiacarbocyanine dyes 3,3'-dimethyl-9-phenylthiacarbocyanine and 3,3'-diethyl-9
(2-hydroxy-4-methoxyphenyl)thiacarbocyanine in solutions and their interaction
with DNA were studied. The dyes form noncovalent complexes with DNA, which is
accompanied by changes in the absorption spectra and an increase in the
fluorescence intensity of the dyes. The data obtained suggest that the dyes are
in the form of trans-isomers both in solvents of different polarity and in
complexes with DNA. It was shown that the interaction of the dyes with DNA is a
complex process involving monomeric dye molecules and aggregates of the dyes. The
primary photochemical processes of the dyes in solutions and in complexes with
DNA were studied by flash photolysis technique. Upon flash photoexcitation in
solutions, the formation and decay of the photoisomers of the dyes were observed,
with no generation of the triplet states. In the complex with DNA, no signal of
photoisomers was detected; in the absence of oxygen, the formation of the triplet
state of the dyes was observed. The decay kinetics of the triplet state of the
dyes were two-exponential. The process of quenching of the triplet state of the
dyes by oxygen in a complex with DNA was studied, the respective quenching rate
constants were estimated, being lower than the diffusion-controlled value.
PMID- 29800890
TI - Investigation of structural, electronic properties and docking calculations of
some boron complexes with norfloxacin: A computational research.
AB - Quantum chemical calculations are performed over BF2R (1), B(NO)2R (2), B(CN)2R
(3) and B(CH3)2R (4) [R: 1-ethyl-6-fluoro-4-oxo-7-(piperazin-1-yl)-1,4
dihydroquinoline-3-carboxylate]. Mentioned boron complex with fluorine atoms
which is BF2R are optimized at HF/6-31+G(d), B3LYP/6-31+G(d) and M062X/6-31+G(d)
level and the best level is determined by comparison of experimental and
calculated results. The best calculation level is determined as M06-2X/6-31+G(d)
level. The other complexes are optimized at this level. Structural properties, IR
and NMR spectrum are examined in detail. Additionally, biological activities of
mentioned complexes are investigated by some quantum chemical descriptors (EHOMO,
ELUMO, I, A, EGAP, eta, sigma, chi, CP, omega, N, DeltaNmax and S) and molecular
docking analyses. The interaction energies for complex (1), (2), (3) and (4) are
calculated as -480.1, -443.6, -433.6 and -402.1 kJ mol-1, respectively. As a
result, it is found that boron complex with fluorine atoms (BF2R) is the best
candidate for anticancer drug.
PMID- 29800891
TI - A near-infrared fluorescent probe for rapid detection of carbon monoxide in
living cells.
AB - A near-infrared (NIR) and colorimetric fluorescent probe system was developed for
Carbon Monoxide (CO) via a Pd0-mediated Tsuji-Trost reaction. In this probe,
phenoxide anion formation (DPCO-) was acted as the signal unit and an allyl
carbonate group was used as the recognition unit. This non-fluorescent probe
molecule can release the relevant fluorophore after conversion of Pd2+ to Pd0 by
CO. The probe system including probe 1 and Pd2+ can be used for "naked-eye"
detection of CO, and exhibited high selectivity to CO over various other sensing
objects. More importantly, the probe system has great potential for fluorescence
imaging of intracellular CO in living cells.
PMID- 29800892
TI - Novel selective and sensitive optical chemosensor based on phenylfluorone
derivative for detection of Ge(IV) ion in aqueous solution.
AB - A water soluble chemosensor for Ge4+ ion based on fluorone derivative containing
3,4-bis(2-(diethylamino)-2-oxoethoxy)phenyl (R8) has been synthesized. The
binding abilities between R8 and 10 equiv. of Na+, K+, Ca2+, Fe2+, Cu2+, Cd2+,
Hg2+, Pb2+, Al3+, Cr3+, Fe3+ and Ge4+ ions in 1% v/v EtOH-water (tris-buffer pH
7.0) were studied using UV-vis and fluorescence spectrophotometry. When observed
by naked-eyes, the color of R8 changed from yellow-orange to pink and the
fluorescent color changed from green to non-fluorescence when complexed with Ge4+
ion. The spectral analysis showed that UV-vis absorption and fluorescence
emission intensity of R8 decreased dramatically when Ge4+ ion was added comparing
with other ions. To explain this behavior, the quantum calculation was performed
using the hybrid density functional at B3LYP /LanL2DZ level of theory. The
calculated orbital energies indicated that the decreasing of UV-vis absorption
and the quenching of fluorescence were due to the complexation induced metal to
ligand charge transfer. The association constants (Ka) of R8-Ge4+ complexes
calculated from Benesi-Hildebrand equation was 6.21 * 105 M-1. The UV-vis
detection limit for Ge4+ was 4.40 * 10-7 M which was three orders of magnitude
lower than those of Al3+, Cd2+, Cu2+ and Na+ ion.
PMID- 29800893
TI - A near-infrared fluorescent probe for direct and selective detection of cysteine
over homocysteine and glutathione.
AB - In this work, we have designed and synthesized the fluorescent probe 1, which
showed a highly selective and sensitive response to Cys over Hcy/GSH in the test.
Moreover, the color of probe solution has changed dramatically from colorless to
pink with the addition of Cys within 10 min. Meanwhile, the fluorescence
intensity exhibited perfectly positive correlation with concentration of Cys from
0 to 200 MUM, which offered the important condition for quantitative analysis.
Finally, the bioimaging and fluorescence response of probe 1 for fetal calf serum
are a powerful safeguard for practical detection of Cys. Therefore, this near
infrared probe will be of great benefit for detecting Cys in the biological
systems.
PMID- 29800894
TI - A new high selective and sensitive turn-on fluorescent and ratiometric absorption
chemosensor for Cu2+ based on benzimidazole in aqueous solution and its
application in live cell.
AB - A new benzimidazole base turn-on fluorescent and ratiometric absorption
chemosensor (L) bearing bidentate ligand for detection of Cu2+ was designed and
synthesized. Fluorescence and UV-vis spectra studies demonstrated that L can
detect Cu2+ ions in aqueous solution using fluorescence enhancement and
ratiometric absorption sensing over a wide pH range. Both fluorescent and
ratiometric absorption sensing of L for Cu2+ possessed high selectivity and
sensitivity over other competitive metal ions and had low detection limit. Job's
plot, mass spectra and DFT calculation indicated the sensing mechanism is the
complex formation between L and Cu2+ with 1:2 stoichiometry. Fluorescence images
of HepG2 in the absence and presence of Cu2+ displayed L had cell permeability
and detection ability for Cu2+ in live cells.
PMID- 29800895
TI - An anthraquinone compound and its protective effects against homocysteine-induced
cytotoxicity and oxidative stress.
AB - In this work, we designed an anthraquinone derivative: 1,4-diacrylateanthracene
9,10-dione (DAAD) with antioxidant activity for preventing Alzheimer's disease
(AD) through preventing the neurotoxicity of Homocysteine (Hcy). This compound
has very low cytotoxicity and protects the cells against Hcy-induced cytotoxicity
and oxidative stress. Thus, maybe DAAD can be used as a potential reagent to
preventing AD. In addition, we investigated the UV-Vis and fluorescence spectra
of DAAD in PBS (pH 7.29)/DMSO (v/v, 1:1) solution for detecting Hcy, and the
detection limit of DAAD for Hcy was found to be 0.121 MUM. Thus, DAAD also can be
used to monitor the Hcy level in plasma and cells.
PMID- 29800896
TI - Raman spectra of terephthalic acid crystals in the temperature range 5K-300K.
AB - Raman spectra of terephthalic acid crystals were taken in the temperature range
5K-300K. The temperature dependence of the vibrational frequency of the O?O
hydrogen bond is found to contain information on the mechanism of the proton
motion along the bond (hopping and/or tunneling). Onset temperatures of both
tunneling and ordering (termination of the hopping) process are determined.
Triplet exciton bands observed in the high-wavenumber spectral range also exhibit
the relation with proton motions between oxygen atoms. The energy spectra of
molecular chains of terephthalic acid, the proton potential energy along the
bond, and the probabilities of tunneling as a function of the hydrogen bond
lengths are calculated.
PMID- 29800897
TI - Benzo[e]indolium derivatives in aqueous solutions: Reaction with bisulfite and
successive interaction with Cu2+ and Hg2.
AB - A new benzo[e]indolium derivative 1 including pyridyl and thienyl groups was
synthesized and characterized, which failed to response to Hg2+ or Cu2+ in
aqueous system. However, it is interesting that when it reacted with bisulfite in
HEPES buffer, the in situ generated ensemble as 1-SO3H displayed dramatic
absorption and fluorescence changes after adding Cu2+ or Hg2+, which were
contrary to the changes of 1 upon the addition of bisulfite ions. By contrast,
the other two derivatives 2 and 3 showed the almost similar trends of spectral
changes, which were short of ligating atoms N or S. The further investigation of
1HNMR spectra changes of 1 showed that C-SO3H bond may be interrupted because the
good binding capacity of Hg2+ and Cu2+ with O of C-SO3H weaken the binding force
of C-SO3H, which resulted in the recovery of ethylene with benzo[e]indolium
block. The DFT calculations results further confirmed it.
PMID- 29800898
TI - Adsorption of alanine with heteroatom substituted fullerene for solar cell
application: A DFT study.
AB - C20 is the most important fullerene cage and alanine is the simplest
representation of a backbone unit of the protein. The absorption feasibility of
alanine molecule in the Si-doped C20 and B-doped C20 fullerenes has been studied
based on calculated electronic properties of fullerenes using density functional
theory (DFT). In this work, we explore the ability of Si-doped C20, B-doped C20
fullerene to interact with alanine at the DFT-B3LYP/6-31G, RHF level of theory.
We find that noticeable structural change takes place in C20 when one of its
carbon is substituted with Si or B. The molecular geometry, electronic properties
and vibrational analysis have also been performed on the title compounds. The NMR
study reveals the aromaticity of the pure and doped fullerene compounds.
Stability of the doped fullerene - alanine compound arises from hyper conjugative
interactions. It leads to one of the major property of bioactivity, charge
transfer and delocalization of charge and this properties has been analyzed using
Natural Bond Orbital (NBO) analysis. The energy gap of the doped fullerene
reveals that there is a decrease in the size of energy gap significantly, making
them more reactive as compared to C20 fullerene. Theoretical studies of the
electronic spectra by using time - dependent density functional theory (TD-DFT)
method were helpful to interpret the observed electronic transition state. We aim
to optimize the performance of the solar cells by altering the frontier orbital
energy gaps. Considering all studied properties, it may be inferred that the
applicability of C20 fullerene as the non-linear optical (NLO) material and its
NLO property would increase on doping fullerene with Si and B atom. Specifically
C19Si would be better among them.
PMID- 29800899
TI - DNA-triangular silver nanoparticles nanoprobe for the detection of dengue virus
distinguishing serotype.
AB - There is always a substantial effort to develop a point of care detection for a
severe and acute disease like dengue. In this work, we have described the
detection of serotype-specific dengue virus using multicolor triangular silver
nanoparticles (TAg) which could be a potential diagnosis method to distinguish
between serotype. Functionalized TAg was prepared with polyA10 DNA through pH
mediated process. Further, it was characterized with Transmission Electron
Microscopy (TEM), Ultra Visible-visible spectroscopy (UV-vis) and gel
electrophoresis and its stability towards NaCl concentration. The colorimetric
detection was carried out based on the interaction of TAg-DNA probe with specific
complimentary strand which was designed to form a network assembly between DNA
probes and sample RNA. The hybridization process was enhanced in presence of NaCl
(0.4 M) which makes the hybridization process more stable over probe compared to
un-hybridization. Therefore a simple and effective colorimetric method was
developed to detect the different dengue serotype RNA with high sensitivity and
specificity.
PMID- 29800900
TI - Efficiency of using a Foley catheter as a pelvic drain in vaginal hysterectomy.
AB - OBJECTIVES: Vaginal hysterectomy can be associated with a significant risk of
vault haematomas with consequent postoperative morbidity. The aim of this study
was to assess the use of a Foley Catheter as a vaginal drain in premenopausal
women undergoing a vaginal hysterectomy and the impact on different outcomes
including development of vault haematoma, length of hospital stay, antibiotics
usage, readmissions to the hospital and febrile morbidity in the immediate
postoperative period. STUDY DESIGN: This study was conducted at a tertiary
teaching hospital and was a retrospective cohort study of women undergoing a
vaginal hysterectomy. The study compared 52 women in the study group with a Foley
catheter drain to 51 age matched controls without a drain who underwent surgery
for similar indications. Outcomes were compared using the Chi square test and
student t-test. RESULTS: Comparing women with a drain to those without
demonstrated a statistically significant difference with worse outcomes for all
parameters in women without a drain: evidence of vault haematomas (0 vs 8; p =
0.0025); length of hospital stay over 2 days (3 vs 15; p = 0.001); discharge with
antibiotics for vault haematomas (5 vs 0; p = 0.028) and readmission rates (0 vs
7; p = 0.005). There was no statistical difference in the number of women with
temperatures over 38 degrees C (4 vs 2; p = 0.3) in either group. CONCLUSION: A
Foley catheter used as a pelvic drain following a vaginal hysterectomy reduces
postoperative complications associated with vault haematomas with shorter
hospital stay, lower antibiotic usage and lower readmission rate.
PMID- 29800901
TI - Visual detection of G-quadruplex with mushroom derived highly fluorescent carbon
quantum dots.
AB - G-quadruplexes are secondary DNA structures frequently found in telomeres and DNA
sequences related to gene regulation, etc. Herein, we report a label free,
sensitive and visually detectable fluorescence based biosensing platform for the
detection of G-quadruplexes in DNA. Highly fluorescent N-doped carbon quantum dot
(CD) with enriched functional groups was synthesized from Ganoderma lucidum, an
oriental fungus by using a green hydrothermal process. Noncovalent
functionalization of CD was done with Hemin where Hemin/CD conjugate forms a
quenched union. However, in presence of DNA sequences that contain G
quadruplexes, the fluorescence of CD is restored selectively. The fluorescence
restoration of CD is attributed to the stripping of Hemin from Hemin/CD conjugate
that preferably slide into the G quadruplexes leaving CD. This increase in CD
fluorescence is easily detectable under hand-held UV light without any other
instrumental intervention for G-quadruplex containing DNA only and not in any
other DNA samples. Also, such selective fluorescent recovery was not observed
with chemically synthesized CD that lack hydroxyl functionality.
PMID- 29800902
TI - Lipidomics study of the protective effects of isosteviol sodium on stroke rats
using ultra high-performance supercritical fluid chromatography coupling with ion
trap and time-of-flight tandem mass spectrometry.
AB - Isosteviol sodium (STV-Na) was reported to possess significant protective effects
on ischemic stroke in recent years. However, the protective mechanism of STV-Na
against stroke was still unclear. In this work, an untargeted lipidomics approach
based on the ultra high-performance supercritical fluid chromatography coupling
with ion-trap and time-of-flight tandem mass spectrometry (UHSFC-IT-TOF/MS) was
employed to investigate the lipid profiles of stroke rats with STV-Na treatment
for the first time. The possible mechanism of STV-Na was further elucidated. The
UHSFC-IT-TOF/MS-based method achieved a fast separation of various lipids within
9 min with a qualified repeatability. Multivariate statistical analysis was used
to show differences in lipid profiles induced by stroke and STV-Na treatment. The
results showed a clear separation of the model group and the sham group, with the
STV-Na group as well as EDA group located much closer to the sham group than the
model group, which was consistent with the results of physiological and
pathological assays, indicating the protective effects of STV-Na. Fifteen
differential lipids that presented significant differences between the sham group
and the model group were screened and identified. With the treatment of STV-Na,
15 differential lipids in stroke rats showed a tendency to the normal levels.
Among them, 6 lipids were significantly reversed to the normal levels by STV-Na.
The results of pathway analysis suggested the protective effects of STV-Na might
be related to the regulation of several metabolic pathways including
glycerophospholipid metabolism, arachidonic acid metabolism and sphingolipid
metabolism. This work demonstrated that the UHSFC-IT-TOF/MS-based lipidomics
profiling method was a useful tool to investigate the protective effects of STV
Na against stroke.
PMID- 29800904
TI - Recent advances in FRET for the study of protein interactions and dynamics.
AB - Forster/fluorescence resonance energy transfer (FRET) has been extensively used
to detect the binding state or conformation of biomolecules. In the past few
decades, various in vitro and in vivo applications of FRET measurement have been
developed, including FRET probes, in-cell measurements, single-molecule
measurements, and combination with computer simulation. In this review, we
describe recent advances in FRET methods for examining biomolecular interactions
and dynamics: (i) phasor plot analysis for quantitative analysis of protein
interactions, (ii) single-molecule FRET measurement for detecting conformational
dynamics in live cells, and (iii) data assimilation using molecular dynamics
simulation to evaluate conformation of the whole protein.
PMID- 29800903
TI - Identification of <10 KD peptides in the water extraction of Venenum Bufonis from
Bufo gargarizans using Nano LC-MS/MS and De novo sequencing.
AB - Skins of anurans (frogs and toads) are rich sources of bioactive peptides.
However, the peptides secreted by the skin glands of Bufo gargarizans, the most
common toad in China, remained unexplored to date. Here, a strategy combines LC
MS/MS, RNA sequencing and bioinformation analysis was applied to unravel the
peptides in the Bufo gargarizans secretions. Data-dependent LC-MS/MS acquisitions
of intact peptides followed by automated chromatographic alignment, De novo
analysis, database and homology searches with manual validations showed that the
venom is composed by 939 features, with masses ranging from 0.7-4 kDa. These
peptides derived from 85 proteins were identified using the PEAKS software with
acquired MS and MS/MS spectra of Venenum Bufonis against the house-built protein
database using De novo RNA sequencing, while only 23 peptides from 8 proteins
were found when searching known amphibian database. Moreover, it was found that
many peptides with high abundance in Venenum Bufonis derived from proteolytic
processing of a larger precursor protein, named as CL4590. Molecular cloning was
applied to validate a short domain of CL4590 to evident the accuracy of these
obtained sequences. Although the bioactivities of peptides identified by MS/MS
are unknown, the next function annotation showed that they may involve in the
cell killing, immune and metabolic process, antioxidant activity and
antimicrobial actions. Therefore, the peptidomics analysis on Bufo gargarizans
discover abundant novel toad peptides which broaden our horizons on the secretion
multiplicity and supplied an assortment of pharmacological candidates.
PMID- 29800905
TI - Preparation and characterization of a novel nanocomposite with double enzymes
immobilized on magnetic Fe3O4-chitosan-sodium tripolyphosphate.
AB - In this study, a novel and efficient synchronously immobilization of double
enzymes (DE, alcalase and trypsin) were developed by using the chitosan and
sodium tripolyphosphate-coated magnetic nanoparticles (Fe3O4@CS-TPP) as support.
The physicochemical properties of DE-Fe3O4@CS-TPP NPs were characterized by
Fourier transform infrared spectroscopy (FTIR), X-ray diffraction (XRD),
transmission electron microscopy (TEM) and magnetic measurements. The preparation
conditions and stabilities were also optimized and assessed. Results showed that
the optimum preparation conditions were as follows: ratio of alcalase and trypsin
of 3:1, enzyme concentration of 6% (w/w), temperature of 50 degrees C and pH of
9.0, the enzyme concentration absorbed by Fe3O4@CS-TPP NPs of 6 mg mL-1,
immoblization time of 4 h, and glutaraldehyde content of 3% (w/w). The Km and
Vmax values were determined as 0.5035 mg mL-1, 6.900 mg mL-1 min-1 for the
immobilized enzymes, respectively. DE-Fe3O4@CS-TPP NPs was more stable than the
free enzyme above 40 degrees C. The activity of DE-Fe3O4@CS-TPP NPs was
preserved 86% after 35 days storage and retained more than 60% of its initial
activity after ten times of successive reuse. Application of DE-Fe3O4@CS-TPP NPs
in various protein hydrolysis showed favorable degrees of hydrolysis, yields and
antioxidant activity. The results demonstrated that the DE-Fe3O4@CS-TPP NPs were
suitable for application in food protein hydrolysis.
PMID- 29800906
TI - Charge reversible and biodegradable nanocarriers showing dual pH-/reduction
sensitive disintegration for rapid site-specific drug delivery.
AB - Dual pH-/reduction-sensitive biodegradable poly(methacrylic acid-co-N,N
bis(acryloyl)cystamine)/chitosan/dimethylmaleic anhydride-modified chitosan
(PMAABACy/CS/CS-DMMA) nanoparticles with PMAABACy cores as carriers and
dimethylmaleic anhydride-modified chitosan as charge reversible shells were
rationally designed. PMAABACy cores using N,N-Bis(acryloyl)cystamine (BACy) as a
crosslinker and methacrylic acid (MAA) as a monomer were fabricated via a mild
and facile one-pot distillation-precipitation polymerization. After that, CS and
CS-DMMA were alternately adsorbed on the surface of PMAABACy cores through a mild
self-assembly. The results from TEM and DLS reveal that the PMAABACy/CS/CS-DMMA
nanoparticles with desired size and hydrodynamic diameter. And then the
nanoparticles exhibit the excellent drug-loading capacity and encapsulation
efficiency toward anti-cancer agent doxorubicin (DOX), whereas be rapidly
triggered to realize the GSH-sensitive site-specific release via the destruction
of sulfide cross-linked structure in response to the intracellular environment of
tumor cells. Furthermore, their surface charges could transfer from negative in
neutral or basic medium to positive in acidic medium to enhance cellular uptake.
Most importantly, the excellent anticancer activity has been also revealed using
confocal laser scanning microscope (CLSM) analysis, namely successfully
delivering DOX molecules to the cell nucleus. These experimental results indicate
that such the novel dual pH-/reduction-sensitive biodegradable PMAABACy/CS/CS
DMMA with surface charge reversal have great potential as a desired anticancer
drug carrier for cancer therapy.
PMID- 29800907
TI - Hydrothermal conversion of Magnolia liliiflora into nitrogen-doped carbon dots as
an effective turn-off fluorescence sensing, multi-colour cell imaging and
fluorescent ink.
AB - The present work illustrates the potential uses of nitrogen-doped multi
fluorescent carbon dots (N-CDs) for Fe3+ sensing, cellular multi-colour imaging,
and fluorescent ink. N-CDs were synthesized using Magnolia liliiflora flower by
the simple hydrothermal method. The resulted N-CDs was found to be nearly
spherical in shape with the size of about 4 +/- 1 nm and showed competitive
quantum yield around 11%. The synthesized N-CDs with uniform size distribution
and high content of nitrogen and oxygen-bearing functional groups exhibit
excellent dispersibility in aqueous media. The N-CDs were able to detect a high
concentration of Fe3+ ions (1-1000 MUM) with a limit of detection is about 1.2
MUM by forming N-CDs-Fe3+ complex due to the functional groups such as nitrogen,
carbonyl and carboxyl on the surface of N-CDs. Thus they could be used to remove
pollutants from industrial wastewater. The electronic charge on the surface of
the N-CDs and N-CDs-Fe3+ complex (zeta potential) is around -36 and 18 mV,
respectively. In addition, these N-CDs show excitation-dependent fluorescence
that was utilized for multi-colour in vitro cellular imaging in rat liver cells
(Clone 9 hepatocytes). The N-CDs are rapidly uptake in the cell cytoplasm and
showed high cytocompatibility on cellular morphology. Moreover, as the N-CDs
possess strong fluorescence and anti-coagulation they could be utilized in
fluorescent ink pens.
PMID- 29800908
TI - Catechin-conjugated mesoporous hydroxyapatite nanoparticle: A novel nano
antioxidant with enhanced osteogenic property.
AB - Hydroxyapatite is the main component of mineral phase of bone which is widely
employed for coating metal implants and scaffold materials in synthetic bone
grafts owing to its osteoinductive property. In order to improve the bioactivity
of hydroxyapatite, mesoporous hydroxyapatite nanoparticles (MHAP) were
synthesized and chemically functionalized with 3-aminopropyltriethoxysilane. The
amine-functionalized nanoparticles were conjugated with a natural antioxidant,
catechin (Cat), through a stable amide linkage. The true structure of the
bioconstruct was confirmed by calculating condensed Fukui indices. The
functionalized-hydroxyapatite nanoparticles (Cat@MHAP) showed an outstanding
antioxidant activity, having reactivity toward hydroxyl and superoxide radicals
larger than that of free catechin. To explore the bone cell responses to this
material, multilayer nanoparticle films were prepared by MHAP and Cat@MHAP on a
glass substrate. Afterward, the short- and long-term responses of cultured
mesenchymal stem cells (MSCs), osteosarcoma cells (Saos-2), and doxorubicin
resistant cells (RSaos-2/Dox) on the surface of the prepared films were
investigated. Both the MSCs and bone tumor cells selectively adhered onto
Cat@MHAP surface as compared with glass and MHAP at initial culture time.
Moreover, it was found that Cat@MHAP decreases the proliferation of Saos-2 and
RSaos-2/Dox cells in a time-dependent manner, while it supports the growth of
MSCs, indicating the ability of Cat@MHAP to distinguish tumor cells from normal
ones. Further, Cat@MHAP promotes the osteogenic differentiation in both the MSCs
and tumor cells, accompanied by the attenuation of intracellular ROS. From these
results, Cat@MHAP is a novel "nano-antioxidant," which could be considered as a
promising biomaterial in treating bone defects, particularly after surgery in
osteosarcoma patients.
PMID- 29800909
TI - Bacterial response to spatially organized microtopographic surface patterns with
nanometer scale roughness.
AB - In this study, the influence of nanometer scale roughness on bacterial adhesion
and subsequent biofilm formation has been evaluated using spatially organized
microtopographic surface patterns for four major opportunistic pathogens of the
genus Staphylococcus (S. epidermidis and S. aureus) responsible for associated
biofilm infections on biomedical devices. The results presented demonstrated that
regardless of the strain employed the initial adhesion events to these surfaces
are directed by cell-surface contact points maximisation and thus, bacterial
cells actively choose their position to settle based on that principle.
Accordingly, bacterial cells were found to preferably adhere to the square
corners and convex walls of recessed surface features rather than the flat or
concave walls of equal protruding features. This finding reveals, for the first
time, that the particular shape of the surfaces features employed potentially
determined the initial location of the adhering cells on textured surfaces. It
was further shown that all surfaces patterns investigated produce a significant
reduction in bacterial adhesion (40-95%) and biofilm formation (22-58%). This
important observation could not be related to physical constrains or increased
solid surface hydrophobicity, as previously suggested by other authors using
engineered topographies with microscale surface roughness. It is evident that
other causes, such as nanoscale surface roughness-induced interaction energies,
might be controlling the process of bacterial adhesion and biofilm formation on
surfaces with well-defined nanoscale topography.
PMID- 29800910
TI - Carbon-dependent chromate toxicity mechanism in an environmental Arthrobacter
isolate.
AB - Arthrobacter spp. are widespread in soil systems and well-known for their Cr(VI)
reduction capabilities making them attractive candidates for in situ
bioremediation efforts. Cellulose drives carbon flow in soil systems; yet, most
laboratory studies evaluate Arthrobacter-Cr(VI) interactions solely with nutrient
rich media or glucose. This study aims to determine how various cellulose
degradation products and biostimulation substrates influence Cr(VI) toxicity,
reduction, and microbial growth of an environmental Arthrobacter sp. isolate.
Laboratory culture-based studies suggest there is a carbon-dependent Cr(VI)
toxicity mechanism that affects subsequent Cr(VI) reduction by strain LLW01.
Strain LLW01 could only grow in the presence of, and reduce, 50 MUM Cr(VI) when
glucose or lactate were provided. Compared to lactate, Cr(VI) was at least 30
fold and 10-fold more toxic when ethanol or butyrate was the sole carbon source,
respectively. The addition of sulfate mitigated toxicity somewhat, but had no
effect on the extent of Cr(VI) reduction. Cell viability studies indicated that a
small fraction of cells were viable after 8 days suggesting cell growth and
subsequent Cr(VI) reduction may resume. These results suggest when designing
bioremediation strategies with Arthrobacter spp. such as strain LLW01, carbon
sources such as glucose and lactate should be considered over ethanol and
butyrate.
PMID- 29800912
TI - Comparative study of substituted poly(4-vinylbenzyl chloride/ethylene glycol
dimethacrylate) sorbents for enrichment of selected pharmaceuticals and estrogens
from aqueous samples.
AB - This study reports the syntheses of four polymeric sorbents based on nucleophilic
substitution of Poly(4-vinylbenzylchloride/ethylene glycol dimethacrylate).
Polymerization was executed by a simple thermal initiated bulk polymerization
procedure. Ground polymer particles were functionalized through reaction with the
nucleophiles triethylamine, imidazole, piperidine and pyrrolidine. Mixed-mode
phases were characterized by infrared spectroscopy, nitrogen sorption porosimetry
and potentiometric titration for determination of chloride content. Furthermore,
materials were tested and evaluated for enrichment of seven pharmaceutical and
endocrine-disrupting compounds at low ng mL-1 levels. Results demonstrate that
the imidazole modified sorbent led to high and constant recovery rates for nearly
all tested compounds. Therefore, this polymer was further tested for
applicability with two environmental samples. Spiked tap and river water showed
similar results as in evaluation experiments. Moreover, the developed method was
validated regarding linearity, repeatability, instrumental limits and stability
of analytes according to international guidelines.
PMID- 29800911
TI - Reduced metabolites of nitroaromatics are distributed in the environment via the
food chain.
AB - Increased industrial processes have introduced emerging toxic pollutants into the
environment. Phytoremediation is considered to be a very useful, economical and
ecofriendly way of controlling these pollutants, however, certain pollutants can
potentially travel through the food chain and accumulate at hazardous levels.
Four isomers of dinitrotoluenes (DNT) were investigated and observed their
potential toxicity towards A. thaliana. Two different aphid species (generalist
and specialist) were allowed to feed on plants treated with DNTs and toxicity to
aphids determined. Reduced metabolites of DNT (in both plant and aphids) were
recovered and quantified through GC-MS analyses. 2,6-DNT was observed to be the
toxic of the DNTs tested. Complete metabolism of DNTs to their reduced products
was never achieved for higher concentrations. Regioselectivity was observed in
the case of 2,4-DNT, with 4A2NT as the dominant isomer. Feeding aphids showed a
similar toxicity pattern for DNT isomers as host plants. Metabolites were
recovered from the body of aphids, demonstrating the potential transport of
metabolites through the food chain. Plants show varied toxicity responses towards
the DNT isomers. Aphids fed on A. thaliana plants treated with DNTs were shown to
have ANTs present, which reflects the propagation of DNT metabolites through the
food chain.
PMID- 29800913
TI - Autophagy in health and disease: A comprehensive review.
AB - Autophagy, a conserved catabolic process, plays an immensely significant role in
a variety of diseases. However, whether it imparts a protective function in
diseases remains debatable. During aging, autophagy gradually subsides,
manifested by the reduced formation of autophagic vacuoles and improper fusion of
these vacuoles with the lysosomes. Similarly, in neurodegenerative disorders,
accumulation of tau and synuclein proteins has been attributed to the decline in
the autophagic removal of proteins. Equivalently, lysosomal disorders show an
impairment of the autophagic process leading to the accumulation of lipid
molecules within lysosomes. On the other hand, activation of the autophagic
pathway has also proved beneficial in evading various foreign pathogens, thereby
contributing to the innate immunity. In the context of cancer, autophagy has
shown to play a puzzling role where it serves as a tumor suppressor during
initial stages but later protects the tumor cells from the immune system defense
mechanisms. Similarly, muscular and heart disorders have been shown to be
positively and negatively regulated by autophagy, respectively. In the present
review, we, therefore, present a comprehensive review on the role of autophagy in
various diseases and their corresponding outcomes.
PMID- 29800914
TI - Carbon nanotube scaffolds as emerging nanoplatform for myocardial tissue
regeneration: A review of recent developments and therapeutic implications.
AB - Myocardial infarction (cardiac tissue death) is among the most prevalent causes
of death among the cardiac patients due to the inability of self-repair in
cardiac tissues. Myocardial tissue engineering is regarded as one of the most
realistic strategies for repairing damaged cardiac tissue. However, hindrance in
transduction of electric signals across the cardiomyocytes due to insulating
properties of polymeric materials worsens the clinical viability of myocardial
tissue engineering. Aligned and conductive scaffolds based on Carbon nanotubes
(CNT) have gained remarkable recognition due to their exceptional attributes
which provide synthetic but viable microenvironment for regeneration of
engineered cardiomyocytes. This review presents an overview and critical analysis
of pharmaceutical implications and therapeutic feasibility of CNT based scaffolds
in improving the cardiac tissue regeneration and functionality. The expository
analysis of the available evidence revealed that inclusion of single- or multi
walled CNT into fibrous, polymeric, and elastomeric scaffolds results in
significant improvement in electrical stimulation and signal transduction through
cardiomyocytes. Moreover, incorporation of CNT in engineering scaffolds showed a
greater potential of augmenting cardiomyocyte proliferation, differentiation, and
maturation and has improved synchronous beating of cardiomyocytes. Despite
promising ability of CNT in promoting functionality of cardiomyocytes, their
presence in scaffolds resulted in substantial improvement in mechanical
properties and structural integrity. Conclusively, this review provides new
insight into the remarkable potential of CNT aligned scaffolds in improving the
functionality of engineered cardiac tissue and signifies their feasibility in
cardiac tissue regenerative medicines and stem cell therapy.
PMID- 29800915
TI - Long non-coding RNA TUG1 protects renal tubular epithelial cells against injury
induced by lipopolysaccharide via regulating microRNA-223.
AB - BACKGROUND: Lupus nephritis (LN) is a serious complication of systemic lupus
erythematosus (SLE). Long non-coding RNA taurine upregulated gene 1 (lncRNA TUG1)
exerted critical regulatory effects on inhibiting cell injury and inflammation.
However, its role in LN is still unclear. METHODS: HK-2 cells were treated with
lipopolysaccharide (LPS) to simulate cell inflammatory injury. Cell viability and
apoptosis, as well as pro-inflammatory factors expression were measured,
respectively. Then, HK-2 cells were transfected with pEX-TUG1 or sh-TUG1 to
explore the effects of TUG1 on LPS-induced cell injury. Potential binding effects
between TUG1 and microRNA-223 (miR-223), as well as between miR-223 and Sirtuin 1
(Sirt1) were verified. miR-223 mimic or miR-223 inhibitor was transfected to
assess the effects of miR-223 on cell injury. Finally, the roles of Sirt1 in LPS
induced HK-2 cell injury and activation of phosphatidylinositol 3-kinase/protein
kinase 3 (PI3K/AKT) and nuclear factor kappa B (NF-kappaB) pathways were
explored. RESULTS: LPS administration inhibited HK-2 cell viability and
proliferation, increased expression of pro-inflammatory factors, and promoted
cell apoptosis. TUG1 overexpression protected HK-2 cells against LPS-induced
injury via negatively regulating miR-223 expression. TUG1 suppression had
opposite effects. Sirt1 was a direct target gene of miR-223 in HK-2 cells, which
participated in the effects of miR-223 on HK-2 cells and was related with the
activation of PI3K/AKT and NF-kappaB pathways. CONCLUSION: TUG1 protected HK-2
cells against LPS-induced inflammatory injury by regulating miR-223 and Sirt1
expression, and then activating PI3K/AKT and inactivating NF-kappaB pathways.
TUG1 might be a potential therapeutic target for LN treatment.
PMID- 29800916
TI - Anti-tumor properties of anthocyanins from Lonicera caerulea 'Beilei' fruit on
human hepatocellular carcinoma: In vitro and in vivo study.
AB - In this study, the anthocyanin from Lonicera caerulea 'Beilei' fruit (ABL) was
extracted and purified. The purified component (ABL-2) was then evaluated for its
anti-tumor properties on human hepatoma cells (SMMC-7721) in vitro and the murine
hepatoma cells (H22) in vivo. In vitro, ABL-2 not only significantly inhibited
the growth of SMMC-7721 cells, but also remarkably blocked the cells' cycle in
G2/M phase, inducing DNA damage and eventually leading to apoptosis. In vivo, ABL
also killed tumor cells, inhibited tumor growth, and improved the survival status
of H22 tumor-bearing mice. These effects were associated with an increase in the
activities of antioxidase and a decrease in the level of lipid peroxidation, as
evidenced by changes in SOD, GSH-Px, GSH, and MDA levels. In addition, ABL-2 also
regulated the levels of immune cytokines including IL-2, IFN-gamma, and TNF
alpha. These results revealed that ABL-2 exerts an effective anti-tumor effect by
dynamically adjusting the REDOX balance and improving the immunoregulatory
activity of H22 tumor-bearing mice. High performance liquid chromatography (HPLC)
analysis revealed that cyanidin-3,5-diglucoside (8.16 mg/g), cyanidin-3-glucoside
(387.60 mg/g), cyanidin-3-rutinoside (23.62 mg/g), and peonidin-3-glucoside
(22.20 mg/g) were the main components in ABL-2, which may contribute to its anti
tumor activity.
PMID- 29800917
TI - Tanshinone IIA exerts neuroprotective effects on hippocampus-dependent cognitive
impairments in diabetic rats by attenuating ER stress-induced apoptosis.
AB - This study aimed to investigate the mechanism by which tanshinone IIA (Tan IIA)
suppresses neuronal apoptosis in the hippocampus of diabetic rats. Sprague-Dawley
(SD) rats were randomly divided into the following four groups: a control group,
a diabetes group and diabetes groups treated with different doses (2 or 4
mg/kg/day) of Tan IIA. Streptozotocin (STZ) was injected into the rats to induce
diabetes. Two days after STZ treatment, Tan IIA was intraperitoneally
administered to rats in the Tan IIA groups, whereas an equal volume of saline was
administered to rats in the control and diabetes groups. After 6 weeks, a one
trial object recognition task and the Morris water maze were applied. The
diabetes group displayed notably decreased learning and memory abilities compared
with the control group (P < 0.05). Tan IIA rescued hippocampus-dependent memory.
Superoxide dismutase (SOD) activity was reduced, and reactive oxygen species
(ROS) production, malondialdehyde (MDA) content, and 78-kDa glucose-regulated
protein (Grp78), growth arrest and DNA damage-inducible gene 153 (CHOP/GAD153)
and cleaved caspase-3 levels were increased in the hippocampus of diabetic rats
compared with that of control rats, changes that were accompanied by an increase
in neuronal apoptosis in diabetic rats compared with control rats (P < 0.01).
However, Tan IIA reduced the MDA content and GRP78 and CHOP expression by
inducing SOD activity. Tan IIA attenuated neuronal apoptosis and improved
learning and memory by suppressing endoplasmic reticulum (ER) stress activation.
PMID- 29800918
TI - Paradoxical effects of atorvastatin in isoproterenol-induced cardiotoxicity in
rats: Role of oxidative stress and inflammation.
AB - Atorvastatin (ATV) was previously shown to improve oxidative stress, inflammation
and endothelial dysfunction in several experimental and clinical studies yet
other studies have reported a pro-oxidant and damaging effect upon ATV
administration. The present study was directed to investigate the effect of ATV
pre- and post-treatment in isoproterenol (ISO)-induced cardiotoxicity in rats.
Myocardial damage was induced by ISO (5 mg/kg/day, s.c.) for 1 week. ATV (10
mg/kg/day, p.o.) was given for 2 weeks starting 1 week before or after ISO
administration. ISO-treated rats showed significant alterations in
electrocardiographic recordings, serum creatine kinase-MB (CK-MB) level as well
as oxidative stress and inflammatory biomarkers. Moreover, ISO administration
resulted in endothelial dysfunction and significant histopathological damage. Pre
treatment with ATV aggravated ISO-induced cardiotoxicity. On the other hand, ATV
post-treatment succeeded to significantly improve oxidative stress and
inflammatory biomarkers, endothelial dysfunction and myocardial degeneration.
These results suggest that ATV might produce a synergistic pro-oxidant effect if
given before or along with another pro-oxidant (ISO). Thus, caution should be
applied upon the use of statin as a prophylactic therapy for primary
cardiovascular disease prevention.
PMID- 29800919
TI - PM2.5 promotes abdominal aortic aneurysm formation in angiotensin II-infused apoe
/- mice.
AB - BACKGROUND: Particulate matter 2.5 (PM2.5) has proven to be associated with
morbidity and mortality from cardiovascular diseases. However, whether PM2.5
could promote the formation of abdominal aortic aneurysm (AAA) is unclear.
Present study aimed to explore the relationship between PM2.5 exposure and AAA
development. METHODS: Ang II-infused apoe-/- mice were treated with PM2.5 or
saline by intranasal instillation. Four weeks later, histological and
immunohistological analyses were used to evaluate the effect of PM2.5 on AAA
formation. Human aortic smooth muscle cells (HASMCs) were also employed to
further analyze the adverse effect of PM2.5 in vitro. RESULTS: We found that
PM2.5 could significantly increase the AAA incidence, the maximal abdominal
aortic diameter and could promote the degradation of elastin. Additionally, the
expression of senescence markers, P21 and P16 were also enhanced after PM2.5
exposure. We also found that PM2.5 significantly increased the AAA related
pathological changes, MMP2 and MCP-1 expression in HASMCs. Meanwhile, PM2.5 could
increase the expression of senescence markers P21, P16 and SA-beta-gal activity,
also the reactive oxygen species levels in vitro. CONCLUSIONS: PM2.5 promoted the
formation of AAA in an Ang II-induced AAA model. The underlying mechanism might
be cellular senescence after PM2.5 exposure.
PMID- 29800922
TI - Insight into the functional organization of nuclear lamins in health and disease.
AB - Lamins are the main component of the nuclear lamina, a protein meshwork at the
inner nuclear membrane which primarily provide mechanical stability to the
nucleus. Lamins, type V intermediate filament proteins, are also involved in many
nuclear activities. Structural analysis of nuclei revealed that lamins form 3.5nm
thick filaments often interact with nuclear pore complexes. Mutations in the LMNA
gene, encoding A-type lamins, have been associated with at least 15 distinct
diseases collectively termed laminopathies, including muscle, metabolic and
neurological disorders, and premature aging syndrome. It is unclear how
laminopathic mutations lead to such a wide array of diseases, essentially
affecting almost all tissues.
PMID- 29800921
TI - Overexpression of STAT1 suppresses angiogenesis under hypoxia by regulating VEGF
A in human glioma cells.
AB - Hypoxia is common in Glioblastoma (GBM). By regulating the 'hypoxia signaling
cascade', hypoxia affects several processes including cell proliferation,
invasion, and angiogenesis. Some studies have revealed that signal transducer and
activator of transcription (STAT), including STAT1, is abnormal under hypoxia in
several cancers. Here, we investigated the role of STAT1 under hypoxia in glioma
progression. We found that STAT1 was downregulated under a hypoxic condition in
U251 and U373. STAT1 overexpression can not only decrease proliferation,
migration and invasion in U251 and U373 but also inhibit tube formation of
HBMECs. Moreover, overexpression of STAT1 decreased tumor growth and prolonged
the overall survival of xenograft mice. We also showed that STAT1 overexpression
inhibited the expression of HIF-1alpha and VEGF-A. Our work suggests that STAT1
plays a pivotal role as a tumor suppressor in glioma under hypoxia, and it could
be a potential new therapeutic target in glioma.
PMID- 29800920
TI - TGF-beta2 induces proliferation and inhibits apoptosis of human Tenon capsule
fibroblast by miR-26 and its targeting of CTGF.
AB - AIM: The aim of this study was to research the effect of TGF-beta2 on human enon
capsule fibroblasts proliferation and apoptosis and its potential mechanism.
METHODS: Human eyeball fascia tissues (n = 45) were derived from ocular fascia
tissues of patients who were underwent glaucoma filtration surgery, and Tenon
capsule fibroblasts were obtained from these tissues. Liposome-mediated
transfection, CCK8 assay, Hoechst33258 staining, qRT-PCR detection, western blot,
and luciferase reporter assay were performed. RESULTS: TGF-beta2 promoted
proliferation and inhibited apoptosis of human Tenon capsule fibroblasts in a
dose-dependent manner. TGF-beta2 induced down-regulation of miR-26 and up
regulation of CTGF in a dose-dependent manner. CTGF was the target gene of miR-26
and miR-26 had a negative regulatory effect on CTGF expression. miR-26 up
regulation could significantly decrease proliferation and increase apoptosis of
human Tenon capsule fibroblasts after induced by TGF-beta2 (P < 0.05). Down
regulation of CTGF could markly decrease proliferation and increase apoptosis of
human Tenon capsule fibroblasts after induced by TGF-beta2 (P < 0.05).
CONCLUSION: miR-26 could inhibit proliferation and promote apoptosis of human
Tenon capsule fibroblasts after they were induced by TGF-beta2 through
suppressing CTGF expression.
PMID- 29800923
TI - We need more studies to guide the perioperative management of high risk seniors
undergoing surgery.
PMID- 29800924
TI - Biogas production coupled to repeat microalgae cultivation using a closed
nutrient loop.
AB - Anaerobic digestion is an established technology to produce renewable energy as
methane-rich biogas for which microalgae are a suitable substrate. Besides biogas
production, anaerobic digestion of microalgae generates an effluent rich in
nutrients, so-called digestate, that can be used as a growth medium for
microalgal cultures, with the potential for a closed nutrient loop and
sustainable bioenergy facility. In this study, the methane potential and nutrient
mobilization of the microalga Scenedemus dimorphus was evaluated under continuous
conditions. The suitability of using the digestate as culture medium was also
evaluated. The results show that S. dimorphus is a suitable substrate for
anaerobic digestion with an average methane yield of 199 mL g-1 VS. The low level
of phosphorus in digestate did not limit algae growth when used as culture
medium. The potential of liquid digestate as a superior culture medium rather
than inorganic medium was demonstrated.
PMID- 29800925
TI - Child and family needs profiles among cases substantiated by child protection
services.
AB - Differential individual and family needs are explored in a sample of children (n
= 1455) whose case has been substantiated by Child Protection Services. Using
data from Quebec's 2014 cycle of Incidence Study, latent class analyses led to
the identification of 5 profiles, each displaying a specific range of individual
and family needs. Profile characteristics were explored in order to obtain a
deeper understanding of their specific needs, beyond the initial referral motive.
Further, referred services were explored in order to verify their adequacy
regarding the identified needs. Together, the obtained results support the
relevance of a more in-depth needs assessment when child protection services are
solicited, and the necessity to better match the referred services to these
needs.
PMID- 29800926
TI - Incorporation of beta-glucans in meat emulsions through an optimal mixture
modeling systems.
AB - The effects of beta-glucans (betaG) in beef emulsions with carrageenan and starch
were evaluated using an optimal mixture modeling system. The best mathematical
models to describe the cooking loss, color, and textural profile analysis (TPA)
were selected and optimized. The cubic models were better to describe the cooking
loss, color, and TPA parameters, with the exception of springiness. Emulsions
with greater levels of betaG and starch had less cooking loss (<1%), intermediate
L* (>54 and <62), and greater hardness, cohesiveness and springiness values.
Subsequently, during the optimization phase, the use of carrageenan was
eliminated. The optimized emulsion contained 3.13 +/- 0.11% betaG, which could
cover the intake daily of betaG recommendations. However, the hardness of the
optimized emulsion was greater (60,224 +/- 1025 N) than expected. The optimized
emulsion had a homogeneous structure and normal thermal behavior by DSC and
allowed for the manufacture of products with high amounts of betaG and desired
functional attributes.
PMID- 29800927
TI - Ti:Pt:Au:Ni thin-film CVD diamond sensor ability for charged particle detection.
AB - This work demonstrates the development of diamond sensors with reliable contacts
using a new metallization formula, which can operate under high-pressure gas
environment. The metallization was created using thin film layers of titanium,
platinum, gold and nickel deposited on a single crystal electronic grade CVD
diamond chip. The contacts were 2 mm in diameter with thickness of 50/5/20/150 nm
of Ti:Pt:Au:Ni. The optimum operating voltage of the sensor was determined from
the current-voltage measurements. The sensor was calibrated with 239Pu and 241Am
alpha radiation sources at 300 V. The energy resolution of the Ti:Pt:Au:Ni
diamond sensor was determined to be 7.6% at 5.2 MeV of 239Pu and 2.2% at 5.48 MeV
of 241Am. The high-pressure gas loading environment under which this sensor was
used is discussed. Specifically, experimental observations are described using
hydrogen loading of nickel as a means of initiating low energy nuclear reactions.
No neutrons, electrons, ions or other ionizing radiations were observed in these
experiments.
PMID- 29800928
TI - Cultivar-specific response of bacterial community to cadmium contamination in the
rhizosphere of rice (Oryza sativa L.).
AB - Cadmium accumulation in rice grains is highly dependent on its bioavailability
that affected by various physicochemical properties and microbiological processes
of soil. The rhizospheric bacterial communities of rice grown in contaminated
soils by means of rice cultivars highly or weakly accumulating Cd in grains (HA
and LA, respectively) were investigated. HA roots absorbed 7.26- and 2.25-fold
more Cd than did LA roots at low (0.44 mg kg-1) and high (6.66 mg kg-1) soil Cd
levels, respectively. Regardless of Cd levels, Cd bioavailability in the
rhizosphere of HA was significantly higher than that of LA. Planting of rice and
elevated Cd levels both significantly decreased bacterial alpha-diversity and
altered bacterial community structure, with noticeable differences between the
rice cultivars. Taxa specifically enriched in the HA rhizosphere (phyla
Bacteroidetes, Firmicutes, and Deltaproteobacteria) can directly or indirectly
participate in metal activation, whereas the LA rhizosphere was highly colonized
by plant growth-promoting taxa (phyla Alphaproteobacteria and
Gammaproteobacteria). The results indicate a potential association of Cd uptake
and accumulation with rhizosphere bacteria in rice grown on a contaminated soil,
thus providing baseline data and a new perspective on the maintenance of rice
security.
PMID- 29800929
TI - Late diagnosis of mucopolysaccharidosis type IVB and successful aortic valve
replacement in a 60-year-old female patient.
AB - Mucopolysaccharidosis type IVB (MPS IVB) is a very rare lysosomal storage
disorder characterized by skeletal dysplasia, hearing disorder, and cardiac
valvular disease. Herein, we report an extremely rare manifestation of MPS IVB in
a 60-year-old female patient who underwent a successful aortic valve replacement.
The patient presented with mild coarse facial features, short stature, mild
dyspnea, sternal protrusion, mild lumbar hyperlordosis, and waddling gait owing
to bilateral femoral head necroses and bilateral arthrosis of the knees. The
patient also suffered from dyspnea, NYHA II-III. Echocardiography revealed severe
stenosis of a calcified aortic valve (AVA 0.67 cm2, AVAi 0.45 cm2/m2, PG max/mean
130/80 mmHg), left ventricular hypertrophy with predominant septal thickening (18
mm) and mild left ventricle outflow tract obstruction at rest, mild mitral valve
regurgitation, and dilated ascending aorta (36 mm, 26.5 mm/m2). Dyspnea resolved
after septal myectomy and replacement of the aortic valve with bioprosthesis.
Excretion levels and spectrum of glycosaminoglycans (GAGs) in urine were normal
in the patient. We confirmed the diagnosis of MPS IVB by identifying decreased
beta-galactosidase activity in isolated leukocytes (6 nmol/h/mg; controls 95-272)
and by molecular genetic analyses (c.438_440delTCT and c.817_818TG>CT mutations
in the GLB1 gene). Primary lysosomal storage of glycosaminoglycans was detected
in fibroblasts of the aortic valve. Additional pathologies included valvular
fibrosis, calcification, neovascularization, and mild chronic inflammation. In
conclusion, the diagnosis of MPS IVB should be considered in older patients with
cardiac valvular disease and progressive skeletal abnormality even if urinary
excretion levels of GAGs are normal.
PMID- 29800930
TI - Hydrogen Sulfide Demonstrates Promising Antitumor Efficacy in Gastric Carcinoma
by Targeting MGAT5.
AB - : Mannosyl (alpha-1,6-)-Glycoprotein beta-1,6-N-acetyl-glucosaminyltransferase
(MGAT5) is exclusively expressed in gastric carcinoma, and plays an essential
role in cancer progression, but no targeted drug is available so far. The
potential anti-cancer effect of Hydrogen Sulfide (H2S), has not been widely
recognized. It intrigued broad interest to explore the clinical benefits of
cancer therapy, with the current understanding of molecular mechanisms of H2S
which remains very limited. In this study, we identify that H2S is an effective
inhibitor of MGAT5, leading to reduce the expression of exclusively abnormal
glycoprotein processes in gastric carcinoma. H2S specifically dissociation of
karyopherin subunit alpha-2 (KPNA2) with Jun proto-oncogene (c-Jun) interaction,
and blocking c-Jun nuclear translocation, and downregulation of MGAT5 expression
at the level of gene and protein. Consequently, H2S impairs growth and metastasis
in gastric carcinoma by targeting inhibits MGAT5 activity. In an animal tumor
model study, H2S is well tolerated, inhibits gastric carcinoma growth and
metastasis. Our preclinical work therefore supports that H2S acts as a novel
inhibitor of MGAT5 that block tumorigenesis in gastric carcinoma. SIGNIFICANCE:
This study shows that H2S can effective targeting inhibits MGAT5 activity, and
demonstrates promising antitumor efficacy. These findings gain mechanistic
insights into the anti-cancer capacity of H2S and may provide useful information
for the clinical explorations of H2S in cancer treatment.
PMID- 29800931
TI - Fibroepithelial polyp causing urethral obstruction: Diagnosis by
cystourethrogram.
AB - Fibroepithelial polyps of the urethra are rare benign tumors that predominantly
affect males in childhood or adolescence. In this report, we present a case of a
3-year-old boy in acute urinary retention with a urethral fibroepithelial polyp
manifesting as a large filling defect on voiding cystourethrogram and
successfully managed endoscopically with transurethral resection.
PMID- 29800932
TI - Evaluation of tolerability and efficacy of incorporating carboplatin in
neoadjuvant anthracycline and taxane based therapy in a BRCA1 enriched triple
negative breast cancer cohort.
AB - PURPOSE: The addition of carboplatin (Cb) to neoadjuvant chemotherapy in triple
negative breast cancer (TNBC) has been demonstrated to improve pathologic
complete response (pCR) at the expense of increased toxicity. We aimed to
evaluate the effectiveness and tolerability of dose-dense anthracycline &
cyclophosphamide (ddAC) followed by weekly paclitaxel (wT) in combination with
weekly Cb. METHODS: Retrospective data was collected on patients with clinical
stage I-III TNBC treated with neoadjuvant ddAC-wTCb (four cycles of ddA 60 mg/m2
and ddC 600 mg/m2 every 2 weeks followed by 12 cycles of wT 80 mg/m2 with Cb AUC
1.5). Indices of tolerability and pCR were evaluated and compared to a historical
cohort (n = 76) treated with ddAC-T. A secondary objective was to evaluate the
rates of pCR by BRCA status. RESULTS: For 43 eligible patients, mean age was 41.5
years, 51% had clinical stage II disease, 81.4% were clinically node positive and
32.6% carried a deleterious BRCA1 mutation. Only 35% completed all scheduled
doses of chemotherapy. Grade 3/4 neutropenia was observed in 42.5% of patients.
Overall pCR was 51.2%; 44.8% in BRCA wild-type compared to 64.3% in BRCA
associated TNBC (p = 0.232). pCR rates with ddAC-wTCb were similar to historic
institutional rates with ddAC-T (51.2% vs. 51.3%, p = 0.987) and were comparable
when stratified by BRCA status. In pooled multivariate analysis, only BRCA status
(HR 4.00, 95%CI 1.65-9.75, p = 0.002) was significantly associated with pCR.
CONCLUSION: Neoadjuvant ddAC-wTCb is less tolerable in clinical practice compared
to most clinical trials, with a pCR comparable to historic rates using non
platinum regimen. The role of Cb in neoadjuvant chemotherapy for BRCA mutated
TNBC remains uncertain.
PMID- 29800934
TI - Image formation in the scanning helium microscope.
AB - The scanning helium microscope (SHeM) is a new addition to the array of available
microscopies, particularly for delicate materials that may suffer damage under
techniques utilising light or charged particles. As with all other microscopies,
the specifics of image formation within the instrument are required to gain a
full understanding of the produced micrographs. We present work detailing the
basics of the subject for the SHeM, including the specific nature of the
projection distortions that arise due to the scattering geometry. Extension of
these concepts allowed for an iterative ray tracing Monte Carlo model replicating
diffuse scattering from a sample surface to be constructed. Comparisons between
experimental data and simulations yielded a minimum resolvable step height of (67
+/- 5) um and a minimum resolvable planar angle of (4.3 +/- 0.3) degrees for the
instrument in question.
PMID- 29800933
TI - Double-tilt in situ TEM holder with ultra-high stability.
AB - A double tilting holder with high stability is essential for acquiring atomic
scale information by transmission electron microscopy (TEM), but the availability
of such holders for in situ TEM studies under various external stimuli is
limited. Here, we report a unique design of seal-bearing components that provides
ultra-high stability and multifunctionality (including double tilting) in an in
situ TEM holder. The seal-bearing subsystem provides superior vibration damping
and electrical insulation while maintaining excellent vacuum sealing and small
form factor. A wide variety of in situ TEM applications including electrical
measurement, STM mapping, photovoltaic studies, and CL spectroscopy can be
performed on this platform with high spatial resolution imaging and electrical
sensitivity at the pA scale.
PMID- 29800935
TI - Mental health in Sexual Assault Referral Centres: A survey of forensic
physicians.
AB - A national survey of Forensic Physicians (FPs) working in Sexual Assault Referral
Centres was undertaken. The survey was advertised in the weekly bulletin sent out
by the Faculty of Forensic and Legal Medicine. Response was relatively low (n =
45). It is estimated that this figures represents about 12% of the workforce. The
aim of the survey was to investigate FPs experience of accessing mental health
pathways out of a SARC for complainants of all ages. The results concurred with a
previous survey of SARC clinical managers with mental health services proving
unresponsive. Informed co-commissioning between NHS England and Clinical
Commissioning groups can only improve if aspects of complainant's mental health
are routinely assessed within SARCs using structured outcome measures. Structured
outcomes should be integrated into NHS England's Sexual Assault Referral Centres
Indicators of Performance (SARCIP).
PMID- 29800937
TI - Association Between Psychosis in Elderly Patients With Alzheimer Disease and
Impaired Social Cognition.
PMID- 29800936
TI - Establishment of a prediction method for the mid-facial region of unknown human
Mongoloid skeletal remains.
AB - Forensic facial approximation is a technique used to estimate the antemortem
facial features of unknown skeletal remains. In recent years, many researchers
have reported nasal tip predictions with positive results. However, the
morphological nasal features of the skull can vary widely, and it is hard to
obtain accurate values using facial approximation techniques. We assumed that
these variations are due to an over-dependence on the values obtained from a
single distance metric factor in an anatomical area. Measurements were acquired
using cephalometric radiographic images obtained from 190 Japanese individuals
(90 men, aged 18-36 years and 100 women, aged 18-46 years). Soft tissue and
skeletal features were traced onto acetate sheets. The orbitale (Or), porion
(Po), and the Frankfurt Horizontal Plane (FHP) were plotted in addition to the
rhinion (Rhi), anterior nasal spine (ANS), subnasale (Sn), prosthion, and point-A
(A). From these, the following were measured: a length from rhinion to prosthion;
b length from rhinion to the intersection of a line perpendicular to the anterior
nasal spine; c length from the prosthion to the intersection of a line
perpendicular to the anterior nasal spine; g the proportion of d/b; and f the
proportion of c/b. A calculation was generated from these measurements and from
proportions of a-h, and applied to the samples. An R-squared (RSQ) test and
standard error (SE) were used to compare the actual and predicted values. The
errors observed between the predicted and actual values were not greater than 5mm
in any of the samples; 91.3% and 71.2% of predicted Sn had an error lower than
2.5 and 1.5mm respectively, from the actual. Reliable results were obtained using
the method in the present study. In addition, in the process of obtaining the
measurements, we found reliable proportional differences between the sexes in the
piriform and axillary alveolar regions.
PMID- 29800938
TI - Proton Pump Inhibitor Use and Outcomes in Children With Respiratory Symptoms.
PMID- 29800940
TI - What Is the Value of Preference Values for Patient-Centered Eye Care?
PMID- 29800939
TI - Association of Depression and Anxiety Disorders With Autoimmune Thyroiditis: A
Systematic Review and Meta-analysis.
AB - Importance: With a prevalence of 4% to 13% in the United States, autoimmune
thyroiditis (AIT) is a major health problem. Besides somatic complications,
patients with AIT can also experience psychiatric disorders. The extent of these
organic psychiatric diseases in patients with AIT, however, is so far not
commonly known. Objective: To provide meta-analytic data on the association of
depression and anxiety with AIT. Data Sources: Google Scholar, the EBSCO Host
databases, the Web of Knowledge, and PubMed were searched from inception through
December 5, 2017. Articles identified were reviewed and reference lists were
searched manually. Study Selection: Case-control studies that reported the
association between AIT and either depression or anxiety disorders or both were
included. Data Extraction and Synthesis: Data extraction was performed by
multiple observers following the PRISMA guidelines. Two univariate random-effects
meta-analyses were performed, and moderators were tested with Bonferroni
corrected meta-regression analysis. Heterogeneity was assessed with the I2
statistic. Sensitivity analyses tested the robustness of the results. Small study
effects were assessed with funnel plots and the Egger test. Main Outcomes and
Measures: The odds ratio of patients with AIT and depression compared with a
healthy control group, as well as the odds ratio of patients with AIT and anxiety
disorders compared with a healthy control group. Results: Nineteen studies
comprising 21 independent samples were included, with a total of 36 174
participants (35 168 for depression and 34 094 for anxiety). Patients with AIT,
Hashimoto thyroiditis, or subclinical or overt hypothyroidism had significantly
higher scores on standardized depression instruments, with an odds ratio of 3.56
(95% CI, 2.14-5.94; I2 = 92.1%). For anxiety disorders, patients with AIT,
Hashimoto thyroiditis, or subclinical or overt hypothyroidism had an odds ratio
of 2.32 (95% CI, 1.40-3.85; I2 = 89.8%). Funnel plot asymmetry was detected for
studies of depression. Study quality assessed with the Newcastle-Ottawa Scale for
case-control studies (mean [SD] score: anxiety, 5.77 [1.17]; depression, 5.65
[1.14]; of a possible maximum score of 9) and proportion of females did not
modulate the meta-analytic estimate, whereas mean age did. Conclusions and
Relevance: This meta-analysis establishes the association between AIT and
depression and anxiety disorders. Patients with AIT exhibit an increased chance
of developing symptoms of depression and anxiety or of receiving a diagnosis of
depression and anxiety disorders. This finding has important implications for
patients and could lead to the choice of early treatment-and not only
psychotherapeutic treatment-of the organic disorder.
PMID- 29800941
TI - Multimodal Imaging and Spatial Analysis of Ebola Retinal Lesions in 14 Survivors
of Ebola Virus Disease.
AB - Importance: Differentiation between Ebola retinal lesions and other retinal
pathologies in West Africa is important, and the pathogenesis of Ebola retinal
disease remains poorly understood. Objective: To describe the appearance of Ebola
virus disease (EVD) retinal lesions using multimodal imaging to enable inferences
on potential pathogenesis. Design, Setting, and Participants: This prospective
case series study was carried out at 34 Military Hospital in Freetown, Sierra
Leone. Ophthalmological images were analyzed from 14 consecutively identified
survivors of EVD of Sierra Leonean origin who had identified Ebola retinal
lesions. Main Outcomes and Measures: Multimodal imaging findings including ultra
widefield scanning laser ophthalmoscopy, fundus autofluorescence, swept-source
optical coherence tomography (OCT), Humphrey visual field analysis, and spatial
analysis. Results: The 14 study participants had a mean (SD) age of 37.1 (8.8)
years; 6 (43%) were women. A total of 141 Ebola retinal lesions were observed in
22 of 27 eyes (81%) of these 14 survivors on ultra-widefield imaging. Of these,
41 lesions (29.1%) were accessible to OCT imaging. Retinal lesions were
predominantly nonpigmented with a pale-gray appearance. Peripapillary lesions
exhibited variable curvatures in keeping with the retinal nerve fiber layer
projections. All lesions respected the horizontal raphe and spared the fovea. The
OCT imaging demonstrated a V-shaped hyperreflectivity of the outer nuclear layer
overlying discontinuities of the ellipsoid zone and interdigitation zone in the
smaller lesions. Larger lesions caused a collapse of the retinal layers and loss
of retinal thickness. Lesion shapes were variable, but sharp angulations were
characteristic. Perilesional areas of dark without pressure (thinned ellipsoid
zone hyporeflectivity) accompanied 125 of the 141 lesions (88.7%) to varying
extents. Conclusions and Relevance: We demonstrate OCT evidence of localized
pathological changes at the level of the photoreceptors in small lesions among
survivors of EVD with retinal lesions. The relevance of associated areas of dark
without pressure remains undetermined.
PMID- 29800943
TI - Addressing Continued Disparities in Access to Breast Reconstruction on the 20th
Anniversary of the Women's Health and Cancer Rights Act.
PMID- 29800942
TI - Speech Rate Entrainment in Children and Adults With and Without Autism Spectrum
Disorder.
AB - Purpose: Conversational entrainment, a phenomenon whereby people modify their
behaviors to match their communication partner, has been evidenced as critical to
successful conversation. It is plausible that deficits in entrainment contribute
to the conversational breakdowns and social difficulties exhibited by people with
autism spectrum disorder (ASD). This study examined speech rate entrainment in
children and adult populations with and without ASD. Method: Sixty participants
including typically developing children, children with ASD, typically developed
adults, and adults with ASD participated in a quasi-conversational paradigm with
a pseudoconfederate. The confederate's speech rate was digitally manipulated to
create slow and fast speech rate conditions. Results: Typically developed adults
entrained their speech rate in the quasi-conversational paradigm, using a faster
rate during the fast speech rate conditions and a slower rate during the slow
speech rate conditions. This entrainment pattern was not evident in adults with
ASD or in children populations. Conclusion: Findings suggest that speech rate
entrainment is a developmentally acquired skill and offers preliminary evidence
of speech rate entrainment deficits in adults with ASD. Impairments in this area
may contribute to the conversational breakdowns and social difficulties
experienced by this population. Future work is needed to advance this area of
inquiry.
PMID- 29800945
TI - The Protection of Augmented Tip (PAT) Flap Technique for Tip Camouflage.
PMID- 29800944
TI - Association of Preprocedural Fasting With Outcomes of Emergency Department
Sedation in Children.
AB - Importance: It is not clear whether adherence to preprocedural fasting guidelines
prevent pulmonary aspiration and associated adverse outcomes during emergency
department (ED) sedation of children. Objective: To examine the association
between preprocedural fasting duration and the incidence of sedation-related
adverse outcomes in a large sample of children. Design, Setting, and
Participants: We conducted a planned secondary analysis of a multicenter
prospective cohort study of children aged 0 to 18 years who received procedural
sedation for a painful procedure in 6 Canadian pediatric EDs from July 2010 to
February 2015. The primary risk factor was preprocedural fasting duration.
Secondary risk factors were age, sex, American Society of Anesthesiologists
classification, preprocedural and sedation medications, and procedure type. Main
Outcomes and Measures: Four outcomes were examined: (1) pulmonary aspiration, (2)
the occurrence of any adverse event, (3) serious adverse events, and (4)
vomiting. Results: A total of 6183 children with a median age of 8.0 years
(interquartile range, 4.0-12.0 years), of whom 6166 (99.7%) had healthy or mild
systemic disease (American Society of Anesthesiologists levels I or II), were
included in the analysis. Of these, 2974 (48.1%) and 310 (5.0%) children did not
meet American Society of Anesthesiologists fasting guidelines for solids and
liquids, respectively. There were no cases of pulmonary aspiration. There were
717 adverse events (11.6%; 95% CI, 10.8%-12.4%), of which 68 (1.1%; 95% CI, 0.9%
1.3%) were serious adverse events and 315 (5.1%; 95% CI, 4.6%-5.7%) were
vomiting. The odds ratio (OR) of occurrence of any adverse event, serious adverse
events, and vomiting did not change significantly with each additional hour of
fasting duration for both solids (any adverse event: OR, 1.00; 95% CI, 0.98 to
1.02; serious adverse events, OR, 1.01; 95% CI, 0.95-1.07; vomiting: OR, 1.00;
95% CI, 0.97-1.03) and liquids (any adverse event: OR, 1.00; 95% CI, 0.98-1.02;
serious adverse events: 1.01, 95% CI, 0.95-1.07; vomiting: OR, 1.00; 95% CI, 0.96
1.03). Conclusions and Relevance: In this study, there was no association between
fasting duration and any type of adverse event. These findings do not support
delaying sedation to meet established fasting guidelines.
PMID- 29800947
TI - Precision Psychiatry-Will Genomic Medicine Lead the Way?
PMID- 29800948
TI - Testing Ashkenazi Jewish Women for Mutations Predisposing to Breast Cancer in
Genes Other Than BRCA1 and BRCA2-Reply.
PMID- 29800946
TI - Association of Hospital Participation in a Regional Trauma Quality Improvement
Collaborative With Patient Outcomes.
AB - Importance: The American College of Surgeons Trauma Quality Improvement Program
(ACS TQIP) provides feedback to hospitals on risk-adjusted outcomes. The Michigan
Trauma Quality Improvement Program (MTQIP) goes beyond the provision of feedback
alone, focusing on collaborative quality improvement. It is unknown whether the
addition of a collaborative approach to benchmark reporting improves outcomes.
Objective: To evaluate the association of hospital participation in the ACS TQIP
(benchmark reporting) or the MTQIP (benchmark reporting and collaborative quality
improvement) with outcomes compared with control hospitals that did not
participate in either program. Design, Setting, and Participants: In this cohort
study, data from the National Trauma Data Bank from 2009 to 2015 were used. A
total of 2 373 130 trauma patients 16 years or older with an Injury Severity
Score of 5 or more were identified from 98 ACS TQIP hospitals, 23 MTQIP
hospitals, and 429 nonparticipating hospitals, based on program participation
status in 2011. A difference-in-differences analytic approach was used to
evaluate whether hospital participation in the ACS TQIP or the MTQIP was
associated with improved outcomes compared with nonparticipation in a quality
improvement program. Exposures: Hospital participation in MTQIP, a quality
improvement collaborative, compared with ACS TQIP participation and
nonparticipating hospitals. Main Outcomes and Measures: In-hospital mortality,
mortality or hospice, major complications, and venous thromboembolism events were
assessed. Results: Of the 2 373 130 included trauma patients, 64.2% were men and
73.0% were white, and the mean (SD) age was 50.7 (21.9) years. After accounting
for patient factors and preexisting time trends toward improved outcomes, there
was a statistically significant improvement in major complications after (vs
before) hospital enrollment in the MTQIP collaborative compared with
nonparticipating hospitals (odds ratio [OR], 0.89; 95% CI, 0.83-0.95) or ACS TQIP
hospitals (OR, 0.88; 95% CI, 0.82-0.94). A similar result was observed for venous
thromboembolism (MTQIP vs nonparticipating: OR, 0.78; 95% CI, 0.69-0.88; MTQIP vs
ACS TQIP: OR, 0.84; 95% CI, 0.74-0.95), for which MTQIP targeted specific
performance improvement efforts. Hospital participation in both ACS TQIP and
MTQIP was associated with improvement in mortality or hospice (ACS TQIP vs
nonparticipating: OR, 0.90; 95% CI, 0.87-0.93; MTQIP vs nonparticipating: OR,
0.88; 95% CI, 0.81-0.96). Hospitals participating in MTQIP achieved the lowest
overall risk-adjusted mortality in the postenrollment period (4.2%; 95% CI, 4.1
4.3). Conclusions and Relevance: This study demonstrates that hospital
participation in a regional collaborative quality improvement program is
associated with improved patient outcomes beyond benchmark reporting alone while
promoting compliance with processes of care.
PMID- 29800951
TI - No Evident Disease Activity-More Than a Risky Ambition?
PMID- 29800949
TI - Comparison of Early Intervention Services vs Treatment as Usual for Early-Phase
Psychosis: A Systematic Review, Meta-analysis, and Meta-regression.
AB - Importance: The value of early intervention in psychosis and allocation of public
resources has long been debated because outcomes in people with schizophrenia
spectrum disorders have remained suboptimal. Objective: To compare early
intervention services (EIS) with treatment as usual (TAU) for early-phase
psychosis. Data Sources: Systematic literature search of PubMed, PsycINFO,
EMBASE, and ClinicalTrials.gov without language restrictions through June 6,
2017. Study Selection: Randomized trials comparing EIS vs TAU in first-episode
psychosis or early-phase schizophrenia spectrum disorders. Data Extraction and
Synthesis: This systematic review was conducted according to PRISMA guidelines.
Three independent investigators extracted data for a random-effects meta-analysis
and prespecified subgroup and meta-regression analyses. Main Outcomes and
Measures: The coprimary outcomes were all-cause treatment discontinuation and at
least 1 psychiatric hospitalization during the treatment period. Results: Across
10 randomized clinical trials (mean [SD] trial duration, 16.2 [7.4] months;
range, 9-24 months) among 2176 patients (mean [SD] age, 27.5 [4.6] years; 1355
[62.3%] male), EIS was associated with better outcomes than TAU at the end of
treatment for all 13 meta-analyzable outcomes. These outcomes included the
following: all-cause treatment discontinuation (risk ratio [RR], 0.70; 95% CI,
0.61-0.80; P < .001), at least 1 psychiatric hospitalization (RR, 0.74; 95% CI,
0.61-0.90; P = .003), involvement in school or work (RR, 1.13; 95% CI, 1.03-1.24;
P = .01), total symptom severity (standardized mean difference [SMD], -0.32; 95%
CI, -0.47 to -0.17; P < .001), positive symptom severity (SMD, -0.22; 95% CI,
0.32 to -0.11; P < .001), and negative symptom severity (SMD, -0.28; 95% CI,
0.42 to -0.14; P < .001). Superiority of EIS regarding all outcomes was evident
at 6, 9 to 12, and 18 to 24 months of treatment (except for general symptom
severity and depressive symptom severity at 18-24 months). Conclusions and
Relevance: In early-phase psychosis, EIS are superior to TAU across all meta
analyzable outcomes. These results support the need for funding and use of EIS in
patients with early-phase psychosis.
PMID- 29800950
TI - Anthracycline, Gemcitabine, and Pazopanib in Epithelioid Sarcoma: A Multi
institutional Case Series.
AB - Importance: Epithelioid sarcoma (ES) is an exceedingly rare malignant neoplasm
with distinctive pathologic, molecular, and clinical features as well as the
potential to respond to new targeted drugs. Little is known on the activity of
anthracycline-based regimens, gemcitabine-based regimens, and pazopanib in this
disease. Objective: To report on the activity of anthracycline-based regimens,
gemcitabine-based regimens, and pazopanib in patients with advanced ES. Design,
Setting, and Participants: Seventeen sarcoma reference centers in Europe, the
United States, and Japan contributed data to this retrospective analysis of
patients with locally advanced/metastatic ES diagnosed between 1990 and 2016.
Local pathological review was performed in all cases to confirm diagnosis
according to most recent criteria. Exposures: All patients included in the study
received anthracycline-based regimens, gemcitabine-based regimens, or pazopanib.
Main Outcome and Measures: Response was assessed by RECIST. Progression-free
survival (PFS) and overall survival (OS) were computed by Kaplan-Meier method.
Classic and proximal subtypes were defined based on morphology (according to 2013
World Health Organization guidelines). Results: Overall, 115 patients were
included, 80 (70%) were men and 35 (30%) were women, with a median age of 32
years (range, 15-77 years). Of the 115 patients with ES, 85 were treated with
anthracycline-based regimens, 41 with gemcitabine-based regimens, and 18 with
pazopanib. Twenty-four received more than 1 treatment. Median follow-up was 34
months. Response rate for anthracycline-based regimens was 22%, with a median PFS
of 6 months. One complete response (CR) was reported. A trend toward a higher
response rate was noticed in morphological proximal type (26%) vs classic type
(19%) and in proximal vs distal primary site (26% vs 18%). The response rate for
gemcitabine-based regimens was 27%, with 2 CR and a median PFS of 4 months. In
this group, a trend toward a higher response rate was reported in classic vs
proximal morphological type (30% vs 22%) and in distal vs proximal primary site
(40% vs 14%). In the pazopanib group, no objective responses were seen, and
median PFS was 3 months. Conclusions and Relevance: This is the largest
retrospective series of systemic therapy in ES. We confirm a moderate activity of
anthracycline-based and gemcitabine-based regimens in ES, with a similar response
rate and PFS in both groups. The value of pazopanib was low. These data may serve
as a benchmark for trials of novel agents in ES.
PMID- 29800953
TI - A Case of Recurrent Unilateral Periorbital Edema Associated With Subcutaneous
Cysticercosis.
PMID- 29800952
TI - Association of Early Inhaled Nitric Oxide With the Survival of Preterm Neonates
With Pulmonary Hypoplasia.
AB - Importance: Pulmonary hypoplasia affects a very small percentage of preterm
neonates, but its presence is associated with high rates of mortality. Objective:
To determine whether treatment with inhaled nitric oxide during the first week of
life was associated with improved in-hospital survival in a cohort of extremely
preterm neonates with pulmonary hypoplasia. Design, Setting, and Participants:
This cohort study used data from the Pediatrix Medical Group's Clinical Data
Warehouse, a data set containing information from more than 350 neonatal
intensive care units in 35 US states and Puerto Rico. Since inhaled nitric oxide
was not randomly prescribed, we used 1-to-1 propensity score matching to reduce
the imbalance of measured covariates between the 2 treatment groups. The initial,
unmatched cohort included singleton neonates who were born between 22 and 29
weeks' gestation, had a birth weight of 400 g or more, were diagnosed with
pulmonary hypoplasia as a cause of their respiratory distress, remained free of
major anomalies, and were discharged between January 1, 2000, and December 31,
2014. We defined exposure as the initiation of inhaled nitric oxide on day t in
days 0 to 7 of the life of a neonate. Each exposed neonate was matched 1-to-1 to
a neonate who had not initiated inhaled nitric oxide on a given day. Main
Outcomes and Measures: The primary outcome was mortality defined as death prior
to transfer or discharge home. Secondary outcomes were any-stage necrotizing
enterocolitis, retinopathy of prematurity requiring treatment, chronic lung
disease, and periventricular leukomalacia. Results: Among 92 635 neonates in our
study sample, we identified 767 (0.8%) with pulmonary hypoplasia who met all
study inclusion criteria, of whom 185 (0.2%) were exposed to inhaled nitric
oxide. Among 151 matched pairs of exposed and unexposed neonates, we did not
identify a significant association between inhaled nitric oxide use and mortality
(hazard ratio [HR], 0.79; 95% CI, 0.57-1.11). Subgroup analyses of neonates with
and without persistent pulmonary hypertension (PPHN) likewise revealed no
significant association between inhaled nitric oxide use and mortality (pulmonary
hypoplasia with PPHN: HR, 0.67; 95% CI, 0.45-1.01; pulmonary hypoplasia without
PPHN: HR, 1.11; 95% CI, 0.61-2.02), but these findings may have been influenced
by ascertainment bias. Conclusions and Relevance: Early treatment with inhaled
nitric oxide is not associated with improved survival among extremely preterm
neonates with pulmonary hypoplasia. Clinical trials are warranted to clarify the
matter.
PMID- 29800955
TI - Human Papillomavirus in the Mouth and Throat: More Widespread Than Expected?
PMID- 29800957
TI - Sudden Cardiac Deaths-WHO Says They Are Always Arrhythmic?
PMID- 29800954
TI - Association of Circulating Tumor Cell Status With Benefit of Radiotherapy and
Survival in Early-Stage Breast Cancer.
AB - Importance: Circulating tumor cells (CTCs) represent the liquid component of
solid tumors and are a surrogate marker for residual cancer burden. Although CTC
status is prognostic of recurrence and death in breast cancer, its role in
guiding clinical management remains unknown. Objective: To determine whether CTC
status is predictive of radiotherapeutic benefit in early-stage breast cancer.
Design, Setting, and Participants: The cohort studies in the present analysis
included patients with stages pT1 to pT2 and pN0 to pN1 breast cancer and known
CTC status from the National Cancer Database (NCDB) and the multicenter phase 3
SUCCESS clinical trial. Multivariable parametric accelerated failure time models
were used to evaluate the association of CTC status and radiotherapy (RT) with
survival outcomes. Data were collected from January 1, 2004, through December 31,
2014, from the NCDB cohort. The SUCCESS trial collected data from September 1,
2005, through September 30, 2013. The analyses were completed from November 1,
2016, through December 17, 2017. Exposure: Adjuvant RT. Main Outcomes and
Measures: Overall survival (OS), local recurrence-free survival (LRFS), and
disease-free survival (DFS). Results: A total of 1697 patients from the NCDB (16
men [0.9%] and 1681 women [99.1%]; median age, 63 years; interquartile range, 53
71 years) and 1516 patients from the SUCCESS clinical trial (median age, 52
years; interquartile range, 45-60 years) were identified. Circulating tumor cells
were detected in 399 patients (23.5%) in the NCDB cohort and 294 (19.4%) in the
SUCCESS cohort. The association of RT with survival was dependent on CTC status
within the NCDB cohort (4-year OS, 94.9% for CTC-positive RT vs 88.0% for CTC
positive non-RT vs 93.9% for CTC-negative RT vs 93.4% for CTC-negative non-RT
groups; P < .001) and 5-year DFS within the SUCCESS cohort (88.0% for CTC
positive RT vs 75.2% for CTC-positive non-RT vs 92.3% for CTC-negative RT vs
88.3% for CTC-negative non-RT; P = .04). In the NCDB cohort, RT was associated
with longer OS in patients with CTCs (time ratio [TR], 2.04; 95% CI, 1.55-2.67; P
< .001), but not in patients without CTCs (TR, 0.80; 95% CI, 0.52-1.25; P = .33).
In the SUCCESS cohort, CTC-positive patients treated with RT exhibited longer
LRFS (TR, 2.73; 95% CI, 1.62-4.80; P < .001), DFS (TR, 3.03; 95% CI, 2.22-4.13; P
< .001), and OS (TR, 1.83; 95% CI, 1.23-2.72; P = .003). Among patients from both
cohorts who underwent breast-conserving surgery, RT was associated with longer OS
in patients with CTCs (TR, 4.37; 95% CI, 2.71-7.05; P < .001) but not in patients
without CTCs (TR, 0.87; 95% CI, 0.47-1.62; P = .77). Radiotherapy was not
associated with OS after mastectomy in CTC-positive or CTC-negative patients.
Conclusions and Relevance: Treatment with RT was associated with longer LRFS,
DFS, and OS in patients with early-stage breast cancer and detectable CTCs. These
results are hypothesis generating; a prospective trial evaluating CTC-based
management for RT after breast-conserving surgery in women with early-stage
breast cancer is warranted.
PMID- 29800956
TI - Cost-effectiveness of Electroconvulsive Therapy vs Pharmacotherapy/Psychotherapy
for Treatment-Resistant Depression in the United States.
AB - Importance: Electroconvulsive therapy (ECT) is a highly effective treatment for
depression but is infrequently used owing to stigma, uncertainty about
indications, adverse effects, and perceived high cost. Objective: To assess the
cost-effectiveness of ECT compared with pharmacotherapy/psychotherapy for
treatment-resistant major depressive disorder in the United States. Design,
Setting, and Participants: A decision analytic model integrating data on clinical
efficacy, costs, and quality-of-life effects of ECT compared with
pharmacotherapy/psychotherapy was used to simulate depression treatment during a
4-year horizon from a US health care sector perspective. Model input data were
drawn from multiple meta-analyses, randomized trials, and observational studies
of patients with depression. Where possible, data sources were restricted to US
based studies of nonpsychotic major depression. Data were analyzed between June
2017 and January 2018. Interventions: Six alternative strategies for
incorporating ECT into depression treatment (after failure of 0-5 lines of
pharmacotherapy/psychotherapy) compared with no ECT. Main Outcomes and Measures:
Remission, response, and nonresponse of depression; quality-adjusted life-years;
costs in 2013 US dollars; and incremental cost-effectiveness ratios. Strategies
with incremental cost-effectiveness ratios of $100 000 per quality-adjusted life
year or less were designated cost-effective. Results: Based on the Sequenced
Treatment Alternatives to Relieve Depression trial, we simulated a population
with a mean (SD) age of 40.7 (13.2) years, and 62.2% women. Over 4 years, ECT was
projected to reduce time with uncontrolled depression from 50% of life-years to
33% to 37% of life-years, with greater improvements when ECT is offered earlier.
Mean health care costs were increased by $7300 to $12 000, with greater
incremental costs when ECT was offered earlier. In the base case, third-line ECT
was cost-effective, with an ICER of $54 000 per quality-adjusted life-year. Third
line ECT remained cost-effective in a range of univariate, scenario, and
probabilistic sensitivity analyses. Incorporating all input data uncertainty, we
estimate a 74% to 78% likelihood that at least 1 of the ECT strategies is cost
effective and a 56% to 58% likelihood that third-line ECT is the optimal
strategy. Conclusions and Relevance: For US patients with treatment-resistant
depression, ECT may be an effective and cost-effective treatment option. Although
many factors influence the decision to proceed with ECT, these data suggest that,
from a health-economic standpoint, ECT should be considered after failure of 2 or
more lines of pharmacotherapy/psychotherapy.
PMID- 29800959
TI - Demographic, Academic, and Publication Factors Associated With Academic
Dermatology Career Selection.
PMID- 29800958
TI - Left Ventricular Thrombus After Acute Myocardial Infarction: Screening,
Prevention, and Treatment.
AB - Importance: Left ventricular (LV) thrombus is a complication of acute myocardial
infarction (MI) and is associated with systemic thromboembolism. With randomized
clinical trials investigating the optimal antithrombotic regimen in patients with
MI who require concomitant chronic anticoagulation and with the emergence of the
direct-acting oral anticoagulants, treatment options for post-MI LV thrombus have
become more complicated. Herein, we review the epidemiology, pathogenesis,
diagnosis, prevention, and treatment of LV thrombus after acute MI. Observations:
Contemporary epidemiologic data suggest the incidence of LV thrombus, detected
using optimal imaging modalities, may be as high as 15% in patients with ST
segment elevation MI and up to 25% in patients with anterior MI. While a standard
transthoracic echocardiogram is commonly used for screening, it is limited by low
sensitivity for LV thrombus detection, necessitating the addition of contrast
(unless contraindicated) and/or use of cardiac magnetic resonance imaging when
pretest probability is high. To our knowledge, there are no existing randomized
clinical trials evaluating the safety and efficacy of anticoagulation in the
prevention or treatment of LV thrombus after MI, and clinicians must rely on
available epidemiologic and trial-generated data from related entities to guide
treatment. Randomized clinical trials have confirmed that triple therapy
increases bleeding rates compared with less potent antithrombotic regimens after
MI, and observational data suggest that triple therapy regimens may not prevent
LV thrombus formation. On the other hand, if an LV thrombus is detected,
anticoagulation is essential to prevent systemic thromboembolism. We offer 1
approach to treatment, grounded in the best available data. Conclusions and
Relevance: Uncertainties remain regarding the optimal screening pathway,
frequency of follow-up imaging, candidate selection for thromboprophylaxis, and
treatment strategies for post-MI LV thrombus. Ongoing studies from related
therapeutic areas of varying antithrombotic regimens will continue to inform the
optimal approach to treatment; however, more dedicated study of this clinical
conundrum is also needed.
PMID- 29800960
TI - Assessment of a Novel Computer Algorithm for Printing a 3-Dimensional Nasal
Prosthetic.
AB - Importance: The introduction and evaluation of a novel technique to create nasal
prostheses with 3-dimensional (3-D) imaging software may circumvent the need for
an anaplastologist. Objectives: To describe a novel computer algorithm for the
creation of a 3-D model of a nose and to evaluate the similarity of appearance of
the nasal prosthesis with that of the individual's nose. Design, Setting, and
Participants: A prospective pilot study with a cross-sectional survey was
conducted from August 1 to October 31, 2016, at a tertiary care academic center.
Five volunteers were used for creation of the nasal prostheses, and 36 survey
respondents with a medical background were involved in evaluating the nasal
prostheses. Exposures: A computer algorithm using a 3-D animation software
(Blender; Blender Foundation) and Adobe Photoshop CS6 (Adobe Systems) were used
to create a 3-D model of a nose. Photographs of 5 volunteers were processed with
the computer algorithm. The model was then printed using a desktop 3-D printer.
Attending physicians, residents, and medical students completed a survey and were
asked to rate the similarity between the individuals' photographs and their 3-D
printed nose on a Likert-type scale. Main Outcomes and Measures: The similarity
between 3-D printed nasal models and photographs of the volunteers' noses based
on survey data. Results: Thirty-six survey respondents evaluated 4 views for each
of the 5 modeled noses (from 4 women and 1 man; mean [SD] age, 26.6 [5.7] years).
The mean (SD) score for the overall similarity between the photographs and the 3
D models was 8.42 (1.34). The mean scores for each nasal comparison ranged from
7.97 to 8.62. According to the survey, respondents were able to match the correct
3-D nose to the corresponding volunteers' photographs in 171 of 175 photographs
(97.7%). All surveyed clinicians indicated that they would consider using this
tool to create a temporary prosthesis instead of referring to a prosthodontist.
Conclusions and Relevance: This algorithm can be used to model and print a 3-D
prosthesis of a human nose. The printed models closely depicted the photographs
of each volunteer's nose and can potentially be used to create a temporary
prosthesis to fill external nasal defects. The appropriate clinical application
of this technique is yet to be determined.
PMID- 29800961
TI - The Receptive-Expressive Gap in English Narratives of Spanish-English Bilingual
Children With and Without Language Impairment.
AB - Purpose: First, we sought to extend our knowledge of second language (L2)
receptive compared to expressive narrative skills in bilingual children with and
without primary language impairment (PLI). Second, we sought to explore whether
narrative receptive and expressive performance in bilingual children's L2
differed based on the type of contextual support. Method: In a longitudinal group
study, 20 Spanish-English bilingual children with PLI were matched by sex, age,
nonverbal IQ score, and language exposure to 20 bilingual peers with typical
development and administered the Test of Narrative Language (Gillam & Pearson,
2004) in English (their L2) at kindergarten and first grade. Results: Standard
scores were significantly lower for bilingual children with PLI than those
without PLI. An L2 receptive-expressive gap existed for bilingual children with
PLI at kindergarten but dissipated by first grade. Using single pictures during
narrative generation compared to multiple pictures during narrative generation or
no pictures during narrative retell appeared to minimize the presence of a
receptive-expressive gap. Conclusions: In early stages of L2 learning, bilingual
children with PLI have an L2 receptive-expressive gap, but their typical
development peers do not. Using a single picture during narrative generation
might be advantageous for this population because it minimizes a receptive
expressive gap.
PMID- 29800962
TI - Public Reporting of Percutaneous Coronary Intervention Outcomes: Moving Beyond
the Status Quo.
AB - Importance: More than 20 years have passed since public reporting of percutaneous
coronary intervention (PCI) outcomes first began in New York State, but reporting
remains a polarizing issue. Observations: Advocates of public reporting point to
the strong incentive that public disclosure of outcomes data provides for
institutions and clinicians to improve clinical care and to the importance of
enabling patients to make informed choices about their care. Critics highlight
the methodological challenges that impede fair and accurate assessments of care
quality as well as reporting's unintended consequences. Public reporting of PCI
outcomes has only been implemented in 5 states, but reporting efforts for
multiple conditions and procedures are now proliferating nationally, propelled by
the notion that transparency improves the quality of health care and fosters
trust in health care institutions. Careful evaluation of the evidence to date for
PCI in particular, however, suggests that enthusiasm for such efforts should be
tempered. Conclusions and Relevance: Public reporting has not achieved its
primary objectives. Policy makers should consider variations of reporting that
might strengthen care quality, empower patients, and mitigate undesirable
repercussions.
PMID- 29800963
TI - Criteria to Classify Children as Having Auditory Processing Disorders.
AB - Purpose: The study aimed to determine a criterion to diagnose the presence of
auditory processing disorder (APD) in children. Method: Using a standard
comparison design, 280 children "not at risk" for APD and 100 children "at risk"
for APD were evaluated on 4 different tests: Speech-in-Noise Test in Indian
English (Yathiraj, Vanaja, & Muthuselvi, 2010), Dichotic Consonant-Vowel
(Yathiraj, 1999), Duration Pattern Test (Musiek, Baran, & Pinheiro, 1990), and
the Revised Auditory Memory and Sequencing Test in Indian English (Yathiraj,
Vanaja, & Muthuselvi, 2010). The age of the children ranged from 6 to 10 years.
Results: With a cutoff criterion of 1 SD below the mean of the test scores, 8% of
the children "at risk" for APD passed all the tests, whereas 28% passed with a
criterion of 2 SDs below the mean scores. The tests most frequently failed by
these children were Speech-in-Noise Test in Indian English and Dichotic Consonant
Vowel. Conclusions: A cutoff criterion of 2 SDs below the mean scores of
typically developing children is recommended to diagnose children as having APD
if they performed poorly on only one test. For children who performed poorly on
more than one test, a cutoff criterion of 1 SD below the mean scores of typically
developing children is recommended.
PMID- 29800965
TI - Circulating Tumors Cells as a Biomarker of Radiation Benefit.
PMID- 29800964
TI - Patterns of Tobacco Cessation Attempts and Symptoms Experienced Among Smokers
With Head and Neck Squamous Cell Carcinoma.
AB - Importance: Among smokers with head and neck squamous cell carcinoma (HNSCC),
there is ample evidence regarding the benefits of smoking cessation prior to
treatment. Prior data indicates that increased attempts at cessation result in
higher likelihood of cessation after diagnosis but the prediagnostic patterns of
smoking cessation attempts among those smokers developing HNSCC has not been
characterized. Data of this kind may direct cessation efforts toward increased
efficacy. Objective: To determine the frequency and character of tobacco
cessation attempts and symptoms experienced prior to development of HNSCC, as
well as to determine the correlation of these symptoms with number of cessation
attempts and maximum quit days. Design, Setting, and Participants: Cross
sectional study including 123 active smokers with HNSCC recruited from a tertiary
medical center at an academic institution from February 2014 to May 2017.
Exposures: All included patients were active cigarette smokers prior to
developing HNSCC. Main Outcomes and Measures: Patients provided data indicating
intensity of smoking, duration, number of cessation attempts, maximum number of
days during which they successfully ceased smoking, and symptoms during cessation
attempts. Principal component analysis was used to identify clustering of
symptoms. Results: In total, 123 patients were identified (97 men, 23 women, and
3 unspecified) from February 2014 to May 2017 as active smokers (mean [SD] age,
59.4 [9.0] years; median [interquartile range] age, 58.5 [54.8-66.0] years);
patients had oral (n = 39 [32%]), oropharyngeal (n = 44 [36%]), laryngeal (n = 32
[26%]) or hypopharyngeal (n = 7 [6%]) tumors. Overall, 108 patients (88%) had
made at least 1 prior attempt at cessation, and the mean number of lifetime
cessation attempts was 6.6. Symptoms of cravings, restlessness, irritability, and
anxiety were reported most frequently. Symptoms were clustered into 2 component
groups: component group 1 (C1; increased appetite, cravings, depression) and
component group 2 (C2; restlessness, irritability, insomnia, anxiety, and
difficulty concentrating). Component group 2 correlated with quit attempts
(Spearman correlation, 0.268 [95% CI, 0.07 to 0.45]), and C1 and C2 were not
correlated with maximum quit days. Cessation attempts and maximum quit days
positively correlated with each other. Conclusions and Relevance: Our analysis
shows that symptoms during cessation attempts tend to cluster and that most
patients made 1 or more cessation attempts. Many patients successfully ceased
before restarting. Our data suggest that patients experiencing C2 symptoms make
more quit attempts; C1 symptoms may be more difficult to overcome because they
are associated with fewer quit attempts. Future work will address whether
amelioration of these symptoms may help smoking cessation among smokers with
HNSCC.
PMID- 29800966
TI - Risk of Dementia Outcomes Associated With Traumatic Brain Injury During Military
Service.
PMID- 29800968
TI - The Ophthalmic Sequelae of Ebola.
PMID- 29800969
TI - A Multilinguistic Approach to Evaluating Student Spelling in Writing Samples.
AB - Purpose: Spelling is a critical component of literacy and language arts that can
negatively influence other aspects of written composition. This clinical focus
article describes a spelling error classification system that can be used to
identify underlying linguistic deficits that contribute to students' spelling
errors. The system is designed to take advantage of the linguistic expertise of
speech-language pathologists to efficiently assess student errors in written
compositions that are generated as a component of everyday classroom instruction.
Method: A review of the literature was conducted regarding spelling as a
component of literacy and language arts, the development of spelling, and the
linguistic contributions to spelling. Then, existing criterion-referenced
measures of spelling simple and morphologically complex words were reviewed, and
a new, manual technique for analyzing spelling in student written compositions
was created. Conclusions: The language expertise of speech-language pathologists
enables them to readily evaluate the phonological, orthographic, and
morphological errors in student misspellings, in order to identify specific
underlying linguistic deficits and plan targeted interventions. The error
classification system provides speech-language pathologists with a tool that is
both simple and time efficient and, thus, may help increase their confidence and
ability in addressing the spelling needs of students.
PMID- 29800967
TI - Association Between Vessel Density and Visual Acuity in Patients With Diabetic
Retinopathy and Poorly Controlled Type 1 Diabetes.
AB - Importance: Capillary dropout is a hallmark of diabetic retinopathy, but its role
in visual loss remains unclear. Objective: To examine how macular vessel density
is correlated with visual acuity (VA) in patients younger than 40 years who have
type 1 diabetes without macular edema but who have diabetic retinopathy requiring
panretinal photocoagulation. Design, Settings, and Participants: Retrospective
cohort study of VA and optical coherence tomography angiography data collected
from consecutive patients during a single visit to Lariboisiere Hospital, a
tertiary referral center in Paris, France. The cohort included 22 eyes of 22
patients with type 1 diabetes without macular edema but with bilateral rapidly
progressive diabetic retinopathy that was treated with panretinal
photocoagulation between August 15, 2015, and December 30, 2016. Eyes were
classified into 2 groups by VA: normal (logMAR, 0; Snellen equivalent, 20/20) and
decreased (logMAR, >0; Snellen equivalent, <20/20). The control group included 12
eyes from age-matched healthy participants with normal vision. Main Outcomes and
Measures: Visual acuity and mean vessel density in 4 retinal vascular plexuses:
the superficial vascular plexus and the deep capillary complex, which comprises
the intermediate capillary plexus and the deep capillary plexus. Results: Of the
22 participants, 11 (50%) were men, mean (SD) age was 30 (6) years, and mean (SD)
hemoglobin A1c level was 8.9% (1.6%). Of the 22 eyes with diabetic retinopathy,
13 (59%) had normal VA and 9 (41%) had decreased VA (mean [SD]: logMAR, 0.12
[0.04]; Snellen equivalent, 20/25). Mean [SE] vessel density was lower for eyes
with diabetic retinopathy and normal VA compared with the control group in the
superficial vascular plexus (44.1% [0.9%] vs 49.1% [0.9%]; difference, -5.0%
[1.3%]; 95% CI, -7.5% to -2.4%; P < .001), in the deep capillary complex (44.3%
[1.2%] vs 50.6% [1.3%]; difference, -6.3% [1.8%]; 95% CI, -9.9% to -2.7%; P =
.001), in the intermediate capillary plexus (43.8% [1.2%] vs 49.3% [1.2%];
difference, -5.5% [1.7%]; 95% CI, -9.0% to -2.0%; P = .003), and in the deep
capillary plexus (24.5% [1.0%] vs 30.5% [1.0%]; difference, -6.1% [1.4%]; 95% CI,
-8.9% to -3.2%; P < .001). Mean vessel density was lower in eyes with diabetic
retinopathy and decreased VA compared with eyes with diabetic retinopathy and
normal VA; the mean (SE) loss was more pronounced in the deep capillary complex
(34.6% [1.5%] vs 44.3% [1.2%]; difference, -9.6% [1.9%]; 95% CI, -13.6% to -5.7%;
P < .001), especially in the deep capillary plexus (15.2% [1.2%] vs 24.5% [1.0%];
difference, -9.3% [1.5%]; 95% CI, -12.4% to -6.1%; P < .001), than in the
superficial vascular plexus (39.6% [1.1%] vs 44.1% [0.9%]; difference, -4.5%
[1.4%]; 95% CI, -7.3% to -1.7%; P = .002). Conclusions and Relevance: These data
suggest that in patients with type 1 diabetes without macular edema but with
severe nonproliferative or proliferative diabetic retinopathy, decreased VA may
be associated with the degree of capillary loss in the deep capillary complex.
PMID- 29800970
TI - Local Anesthesia Shortages-Adapting to a New Way of Life.
PMID- 29800972
TI - Additional Risk Factors for Breast Implant-Associated Anaplastic Large Cell
Lymphoma.
PMID- 29800971
TI - Total Neoadjuvant Therapy With FOLFIRINOX Followed by Individualized
Chemoradiotherapy for Borderline Resectable Pancreatic Adenocarcinoma: A Phase 2
Clinical Trial.
AB - Importance: Patients with borderline-resectable pancreatic ductal adenocarcinoma
have historically poor outcomes with surgery followed by adjuvant chemotherapy.
Evaluation of a total neoadjuvant approach with highly active therapy is
warranted. Objective: To evaluate the margin-negative (R0) resection rate in
borderline-resectable pancreatic ductal adenocarcinoma after neoadjuvant
FOLFIRINOX (fluorouracil, irinotecan, and oxaliplatin) therapy and individualized
chemoradiotherapy. Design, Setting, and Participants: A single-arm, phase 2
clinical trial was conducted at a large academic hospital with expertise in
pancreatic surgery from August 3, 2012, through August 31, 2016, among 48
patients with newly diagnosed, previously untreated, localized pancreatic cancer
determined to be borderline resectable by multidisciplinary review, who had
Eastern Cooperative Oncology Group performance status 0 or 1 and adequate
hematologic, renal, and hepatic function. Median follow-up for the analysis was
18.0 months among the 30 patients still alive at study completion. Interventions:
Patients received FOLFIRINOX for 8 cycles. Upon restaging, patients with
resolution of vascular involvement received short-course chemoradiotherapy (5 Gy
* 5 with protons) with capecitabine. Patients with persistent vascular
involvement received long-course chemoradiotherapy with fluorouracil or
capecitabine. Main Outcomes and Measures: The primary outcome was R0 resection
rate; secondary outcomes were median progression-free survival (PFS) and median
overall survival (OS). Results: Of the 48 eligible patients, 27 were men and 21
were women, with a median age of 62 years (range, 46-74 years). Of the 43
patients who planned to receive 8 preoperative cycles of chemotherapy, 34 (79%)
were able to complete all cycles. Twenty-seven patients (56%) had short-course
chemoradiotherapy, while 17 patients (35%) had long-course chemoradiotherapy. R0
resection was achieved in 31 of the 48 eligible patients (65%; 95% CI, 49%-78%).
Among the 32 patients who underwent resection, the R0 resection rate was 97% (n =
31). Median PFS among all eligible patients was 14.7 months (95% CI, 10.5 to not
reached), with 2-year PFS of 43%; median OS was 37.7 months (95% CI, 19.4 to not
reached), with 2-year OS of 56%. Among patients who underwent resection, median
PFS was 48.6 months (95% CI, 14.4 to not reached) and median OS has not been
reached, with a 2-year PFS of 55% and a 2-year OS of 72%. Conclusions and
Relevance: Preoperative FOLFIRINOX followed by individualized chemoradiotherapy
in borderline resectable pancreatic cancer results in high rates of R0 resection
and prolonged median PFS and median OS, supporting ongoing phase 3 trials. Trial
Registration: ClinicalTrials.gov Identifier: NCT01591733.
PMID- 29800975
TI - Knowledge Removes Discomfort-Reply.
PMID- 29800973
TI - Characteristics Associated With Receiving Cataract Surgery in the US Medicare and
Veterans Health Administration Populations.
AB - Importance: Considerable variation exists with respect to the profiles of
patients who receive cataract surgery in the United States. Objective: To
identify patient characteristics associated with receiving cataract surgery
within the US Medicare and Veterans Health Administration (VHA) populations.
Design, Setting, and Participants: In this population-based retrospective cohort
study of 3 073 465 patients, Medicare and VHA patients with a cataract diagnosis
between January 1, 2002, and January 1, 2012, were identified from the 2002-2012
Medicare Part B files (5% sample) and the VHA National Patient Care Database.
Patient age, sex, race/ethnicity, region of residence, Charlson Comorbidity Index
(CCI) scores, and comorbidities were recorded. Cataract surgery at 1 and 5 years
after diagnosis was identified. Data analysis was performed from July 1, 2016, to
July 1, 2017. Main Outcomes and Measures: Odds ratios (ORs) of cataract surgery
for selected patient characteristics. Results: The study sample included 1 156
211 Medicare patients (mean [SD] age, 73.7 [7.0] years) and 1 917 254 VHA
patients (mean [SD] age, 66.8 [10.2] years) with a cataract diagnosis. Of the 1
156 211 Medicare patients, 407 103 (35.2%) were 65 to 69 years old, 683 036
(59.1%) were female, and 1 012 670 (87.6%) were white. Of the 1 917 254 VHA
patients, 905 455 (47.2%) were younger than 65 years, 1 852 158 (96.6%) were
male, and 539 569 (28.1%) were white. A greater proportion of Medicare patients
underwent cataract surgery at 1 year (Medicare: 213 589 [18.5%]; VHA: 120 196
[6.3%]) and 5 years (Medicare: 414 586 [35.9%]; VHA: 240 884 [12.6%]) after
diagnosis. Factors associated with the greatest odds of surgery at 5 years were
older age per 5-year increase (Medicare: OR, 1.24 [95% CI, 1.23-1.24]; VHA: OR,
1.18 [95% CI, 1.17-1.18]), residence in the southern United States vs eastern
United States (Medicare: OR, 1.38 [95% CI, 1.36-1.40]; VHA: OR, 1.40 [95% CI,
1.38-1.41]), and presence of chronic pulmonary disease (Medicare: OR, 1.26 [95%
CI, 1.24-1.27]; VHA: OR, 1.40 [95% CI, 1.38-1.41]). Within Medicare, female sex
was associated with greater odds of surgery at 5 years (OR, 1.14; 95% CI, 1.13
1.15). Higher CCI scores (CCI score >=3 vs 0-2) were associated with increased
odds of surgery among VHA but not Medicare patients at 5 years (Medicare: OR,
0.94 [95% CI, 0.92-0.95]; VHA: OR, 1.24 [95% CI, 1.23-1.36]). Black race vs white
race was associated with decreased odds of cataract surgery 5 years after
diagnosis (Medicare: OR, 0.79 [95% CI, 0.78-0.81]; VHA: OR, 0.75 [95% CI, 0.73
0.76]). Conclusions and Relevance: Within both groups, older age, residence in
the southern United States, and presence of chronic pulmonary disease were
associated with increased odds of cataract surgery. Findings from this study
suggest that few disparities exist between the types of patients receiving
cataract surgery who are in Medicare vs the VHA, although it is possible that a
smaller proportion of VHA patients receive surgery compared with Medicare
patients.
PMID- 29800976
TI - Multiple-Institution Comparison of Resident and Faculty Perceptions of Burnout
and Depression During Surgical Training.
AB - Importance: Prior studies demonstrate a high prevalence of burnout and depression
among surgeons. Limited data exist regarding how these conditions are perceived
by the surgical community. Objectives: To measure prevalence of burnout and
depression among general surgery trainees and to characterize how residents and
attendings perceive these conditions. Design, Setting, and Participants: This
cross-sectional study used unique, anonymous surveys for residents and attendings
that were administered via a web-based platform from November 1, 2016, through
March 31, 2017. All residents and attendings in the 6 general surgery training
programs in North Carolina were invited to participate. Main Outcomes and
Measures: The prevalence of burnout and depression among residents was assessed
using validated tools. Burnout was defined by high emotional exhaustion or
depersonalization on the Maslach Burnout Inventory. Depression was defined by a
score of 10 or greater on the Patient Health Questionnaire-9. Linear and logistic
regression models were used to assess predictive factors for burnout and
depression. Residents' and attendings' perceptions of these conditions were
analyzed for significant similarities and differences. Results: In this study, a
total of 92 residents and 55 attendings responded. Fifty-eight of 77 residents
with complete responses (75%) met criteria for burnout, and 30 of 76 (39%) met
criteria for depression. Of those with burnout, 28 of 58 (48%) were at elevated
risk of depression (P = .03). Nine of 77 residents (12%) had suicidal ideation in
the past 2 weeks. Most residents (40 of 76 [53%]) correctly estimated that more
than 50% of residents had burnout, whereas only 13 of 56 attendings (23%)
correctly estimated this prevalence (P < .001). Forty-two of 83 residents (51%)
and 42 of 56 attendings (75%) underestimated the true prevalence of depression (P
= .002). Sixty-six of 73 residents (90%) and 40 of 51 attendings (78%) identified
the same top 3 barriers to seeking care for burnout: inability to take time off
to seek treatment, avoidance or denial of the problem, and negative stigma toward
those seeking care. Conclusions and Relevance: The prevalence of burnout and
depression was high among general surgery residents in this study. Attendings and
residents underestimated the prevalence of these conditions but acknowledged
common barriers to seeking care. Discrepancies in actual and perceived levels of
burnout and depression may hinder wellness interventions. Increasing
understanding of these perceptions offers an opportunity to develop practical
solutions.
PMID- 29800977
TI - A Painful Infraorbital Mass.
PMID- 29800974
TI - Speed of Adoption of Immune Checkpoint Inhibitors of Programmed Cell Death 1
Protein and Comparison of Patient Ages in Clinical Practice vs Pivotal Clinical
Trials.
AB - Importance: The US Food and Drug Administration (FDA) is increasing its pace of
approvals for novel cancer therapeutics, including for immune checkpoint
inhibitors of programmed cell death 1 protein (anti-PD-1 agents). However, little
is known about how quickly anti-PD-1 agents agents reach eligible patients in
practice or whether such patients differ from those studied in clinical trials
that lead to FDA approval (pivotal clinical trials). Objectives: To assess the
speed with which anti-PD-1 agents agents reached eligible patients in practice
and to compare the ages of patients treated in clinical practice with the ages of
those treated in pivotal clinical trials. Design, Setting, and Participants: This
retrospective cohort study, performed from January 1, 2011, through August 31,
2016, included patients from the Flatiron Health Network who were eligible for
anti-PD-1 agents treatment of selected cancer types, which included melanoma, non
small cell lung cancer (NSCLC), and renal cell carcinoma (RCC). Main Outcomes and
Measures: Cumulative proportions of eligible patients receiving anti-PD-1 agents
treatment and their age distributions. Results: The study identified 3089
patients who were eligible for anti-PD-1 agents treatment (median age, 66
[interquartile range, 56-75] years for patients with melanoma, 66 [interquartile
range, 58-72] years for patients with RCC, and 67 [interquartile range, 59-74]
years for patients with NSCLC; 1742 male [56.4%] and 1347 [43.6%] female; 2066
[66.9%] white). Of these patients, 2123 (68.7%) received anti-PD-1 agents
treatment, including 439 eligible patients with melanoma (79.1%), 1417 eligible
patients with NSCLC (65.6%), and 267 eligible patients with RCC (71.2%). Within 4
months after FDA approval, greater than 60% of eligible patients in each cohort
had received anti-PD-1 agents treatment. Overall, similar proportions of older
and younger patients received anti-PD-1 agents treatment during the first 9
months after FDA approval. However, there were significant differences in age
between clinical trial participants and patients receiving anti-PD-1 agents
treatment in clinical practice, with more patients being older than 65 years in
clinical practice (range, 327 of 1365 [60.6%] to 46 of 72 [63.9%]) than in
pivotal clinical trials (range, 38 of 120 [31.7%] to 223 of 544 [41.0%]; all P <
.001). Conclusions and Relevance: Anti-PD-1 agents rapidly reached patients in
clinical practice, and patients treated in clinical practice differed
significantly from patients treated in pivotal clinical trials. Future actions
are needed to ensure that rapid adoption occurs on the basis of representative
trial evidence.
PMID- 29800978
TI - Disseminated Cutaneous Lesions in a Patient With a Medical History of
Myelodysplastic Syndrome.
PMID- 29800979
TI - Optimizing Bleeding Control Training for the Public: A National Imperative.
PMID- 29800980
TI - Cost-effectiveness of Nusinersen for Spinal Muscular Atrophy-Reply.
PMID- 29800981
TI - Error in Methods Section.
PMID- 29800982
TI - Getting Back to Basics in a World of Data Overload: Characteristics Associated
With Receipt of Cataract Surgery in 2 US Data Sets.
PMID- 29800983
TI - Vision Preference Value Scale and Patient Preferences in Choosing Therapy for
Symptomatic Vitreomacular Interface Abnormality.
AB - Importance: While symptomatic vitreomacular interface abnormalities (VIAs) are
common, assessment of vision preference values and treatment preferences of these
may guide treatment recommendations by physicians and influence third-party
payers. Objective: To determine preference values that individuals with VIA
assign to their visual state and preferences of potential treatments. Design,
Setting, and Participants: In this cross-sectional one-time questionnaire study
conducted between December 2015 and January 2017, 213 patients from tertiary care
referral centers in Thailand, the United Kingdom, and the United States were
studied. Patients with symptomatic VIA diagnosed within 1 year of data
collection, visual acuity less than 20/20 OU, and symptoms ascribed to VIAs were
included. Data were analyzed from January 2017 to November 2017. Main Outcomes
and Measures: The primary end points were overall mean preference value that
individuals with VIA assigned to their visual state and patients' preferences for
potential treatments. Preference values were graded on a scale from 0 to 1, with
0 indicating death and 1 indicating perfect health with perfect vision. Results:
Of the 213 included patients, 139 (65.3%) were women, and the mean (SD) age was
65.6 (7.7) years. Diagnoses included epiretinal membrane (n = 100 [46.9%]),
macular hole (n = 99 [46.5%]), and vitreomacular traction (n = 14 [6.6%]). The
mean (SD) vision preference value was 0.76 (0.15), without differences identified
among the 3 VIA types. More participants were enthusiastic about vitrectomy (150
[71.1%]) compared with intravitreal injection (120 [56.9%]) (difference, 14.2%;
95% CI, 5.16-23.3; P = .002). Adjusted analyses showed enthusiasm for vitrectomy
was associated with fellow eye visual acuity (odds ratio, 10.99; 95% CI, 2.01
59.97; P = .006) and better-seeing eye visual acuity (odds ratio, 0.03; 95% CI,
0.001-0.66; P = .03). Overall enthusiasm for treatment was associated with fellow
eye visual acuity (odds ratio, 7.22; 95% CI, 1.29-40.40; P = .02). Overall, most
participants (171 [81.0%]) were enthusiastic about surgery, injection, or both.
Conclusions and Relevance: Study participants reported similar preference values
among 3 types of VIAs. The data suggest that most patients with these conditions
would be enthusiastic about undergoing vitrectomy or an injection to treat it,
likely because of the condition's effect on visual functioning, although there
may be a slight preference for vitrectomy at this time.
PMID- 29800985
TI - Improving the Propranolol Treatment of Melanoma-Reply.
PMID- 29800987
TI - Firm Papules and Nodules on Face, Neck, and Thorax.
PMID- 29800986
TI - Young Man With Severe Bilateral Papilledema.
PMID- 29800984
TI - Association of Efficacy of Resistance Exercise Training With Depressive Symptoms:
Meta-analysis and Meta-regression Analysis of Randomized Clinical Trials.
AB - Importance: The physical benefits of resistance exercise training (RET) are well
documented, but less is known regarding the association of RET with mental health
outcomes. To date, no quantitative synthesis of the antidepressant effects of RET
has been conducted. Objectives: To estimate the association of efficacy of RET
with depressive symptoms and determine the extent to which logical, theoretical,
and/or prior empirical variables are associated with depressive symptoms and
whether the association of efficacy of RET with depressive symptoms accounts for
variability in the overall effect size. Data Sources: Articles published before
August 2017, located using Google Scholar, MEDLINE, PsycINFO, PubMed, and Web of
Science. Study Selection: Randomized clinical trials included randomization to
RET (n = 947) or a nonactive control condition (n = 930). Data Extraction and
Synthesis: Hedges d effect sizes were computed and random-effects models were
used for all analyses. Meta-regression was conducted to quantify the potential
moderating influence of participant and trial characteristics. Main Outcomes and
Measures: Randomized clinical trials used validated measures of depressive
symptoms assessed at baseline and midintervention and/or postintervention. Four
primary moderators were selected a priori to provide focused research hypotheses
about variation in effect size: total volume of prescribed RET, whether
participants were healthy or physically or mentally ill, whether or not
allocation and/or assessment were blinded, and whether or not the RET
intervention resulted in a significant improvement in strength. Results: Fifty
four effects were derived from 33 randomized clinical trials involving 1877
participants. Resistance exercise training was associated with a significant
reduction in depressive symptoms with a moderate-sized mean effect ? of 0.66 (95%
CI, 0.48-0.83; z = 7.35; P < .001). Significant heterogeneity was indicated
(total Q = 216.92, df = 53; P < .001; I2 = 76.0% [95% CI, 72.7%-79.0%]), and
sampling error accounted for 32.9% of observed variance. The number needed to
treat was 4. Total volume of prescribed RET, participant health status, and
strength improvements were not significantly associated with the antidepressant
effect of RET. However, smaller reductions in depressive symptoms were derived
from randomized clinical trials with blinded allocation and/or assessment.
Conclusions and Relevance: Resistance exercise training significantly reduced
depressive symptoms among adults regardless of health status, total prescribed
volume of RET, or significant improvements in strength. Better-quality randomized
clinical trials blinding both allocation and assessment and comparing RET with
other empirically supported treatments for depressive symptoms are needed.
PMID- 29800989
TI - Interventions to Improve Response Time to Nurse Triage Phone Calls in a Tertiary
Care Pediatric Otolaryngology Practice.
AB - Importance: Delay in response for telephone triage calls that need clinician
input for resolution can result in delay of care and unintended frustration for
patients and families. It can be a challenge to manage calls in a high-volume
pediatric otolaryngology practice. Objective: To improve the percentage of nurse
triage clinically relevant phone calls returned within 2 hours to parents or
caregivers. Design, Setting, and Participants: This was a quality-improvement
study of a tertiary care pediatric otolaryngology practice with more than 32 000
clinic visits and more than 9000 surgical patients per year. Interventions: In
2014, a collaborative team was created at our center to determine the optimal
time for triage callback to families and to define an optimal process that would
allow more rapid response time for calls that the triage nurses needed to
escalate to the patient's managing surgeon. Several plan-do-study-act cycles were
performed to optimize the process. The utilization of advanced practice nurses as
an intermediary step was crucial in allowing a more efficient flow of
communication. Main Outcomes and Measures: Percentage of triage phone calls
returned within 2-hour time frame. Results: Over 40 months, 4839 clinically
relevant phone calls occurred, averaging 128 calls per month. The baseline mean
was 101 calls per month, and the postintervention mean was 130 calls per month.
Prior to this project, only 42% of calls were being addressed within 2 hours.
After our interventions, the average time for caregiver callback within 2 hours
decreased from 15.3 hours preintervention to 3.9 hours postintervention. In
addition, caregivers received clinician callback within 2.0 hours 76.7% of the
time postintervention compared with 42.0% with a baseline shift (difference
probability between preintervention and postintervention, 0.21; 95% CI, 0.15
0.27). Outcomes were sustained for 3 years and continue to be monitored.
Conclusions and Relevance: The most effective intervention was using advanced
practice nurses to efficiently resolve patient triage concerns that were outside
the scope of practice of the registered nurse. By establishing clear pathways of
communication and standardized education among our team, we successfully improved
our processes, which resulted in more optimal care for our patients.
PMID- 29800990
TI - Adjunctive Intranasal Esketamine in Treatment-Resistant Depression-Reply.
PMID- 29800988
TI - The Effect of Presentation Level on the SCAN-3 in Children and Adults.
AB - Purpose: The pediatric and adult versions of the SCAN-3 test (Keith, 2009a,
2009b) are widely used to screen and diagnose auditory processing disorders.
According to the instruction manual, the test administration is flexible in that
it may be administered through an audiometer at 50 dB HL or a portable CD player
at the patient or administrator's most comfortable listening level (MCL). Because
MCL may vary across individuals, even in those with normal hearing sensitivity,
this study explored whether the presentation level affected scores on the SCAN-3
for both pediatric and adult populations. Method: Twenty-two young adults and 23
children with normal hearing sensitivity and middle ear function were
administered the SCAN-3 three different times at 1-month intervals, at 40, 50,
and 60 dB HL. The stimulus level of the SCAN-3 was counterbalanced across
participants to eliminate test order effects. In addition, MCL was measured in
the pediatric participants during each session. Results: MCL varied significantly
across children as well as between test sessions, ranging from 40 to 75 dB HL.
Performance on 3 of the 4 subtests administered, as well as composite scores, was
significantly different across presentation levels (based on scaled scores).
Effect sizes were also calculated and found to be strong. The number of composite
scores interpreted as within normal limits versus borderline or disordered was
also statistically different across presentation levels. Conclusions:
Presentation level appears to affect performance on auditory figure ground,
monaural low-redundancy, and binaural integration types of auditory processing
tasks that are measured by the SCAN-3. In children, MCL was found to vary
significantly both between and within individuals. Although several professions
outside audiology are qualified to administer the SCAN-3, it is likely that many
of these individuals administer the test without an audiometer and would use an
MCL to determine presentation level. It is recommended that SCAN-3 users
administer the test through an audiometer at 50 dB HL, rather than with a
portable CD player, using MCL values to avoid any presentation level effects.
PMID- 29800992
TI - Evaluation of the Noma Disease Burden Within the Noma Belt.
PMID- 29800991
TI - Outcomes of Anti-Vascular Endothelial Growth Factor Treatment for Choroidal
Neovascularization in Fellow Eyes of Previously Treated Patients With Neovascular
Age-Related Macular Degeneration.
AB - Importance: Neovascular age-related macular degeneration (nvAMD) is a leading
cause of vision loss. The optimal screening protocol to detect choroidal
neovascularization (CNV) in fellow eyes of patients undergoing treatment for
unilateral CNV has not been determined. Objective: To compare the visual outcomes
of eyes with established, active nvAMD in index eyes with outcomes of fellow eyes
that subsequently developed CNV during the management protocol. Design, Setting,
and Participants: In this retrospective single-center case series conducted at a
private vitreoretinal practice, data were collected for all patients treated for
bilateral nvAMD between October 1, 2015, and October 1, 2016, for whom we could
determine the date of index eye and fellow eye conversion to nvAMD (n = 1600).
Per institutional protocol, patients were screened for new CNV in the fellow eye
at every office visit. Patients were excluded if they had a condition that could
result in marked asymmetric vision loss. Exposures: Development of nvAMD. Main
Outcomes and Measures: Visual acuity (VA) at the time of diagnosis of nvAMD and
at equivalent time points following conversion to nvAMD for both index eyes and
fellow eyes. Results: A total of 264 patients met the inclusion criteria; 197
(74.6%) were women and 253 (95.8%) were white, and the mean (SD) age was 79.1
(8.2) years at time of index eye conversion to nvAMD and 80.6 (8.2) years at time
of fellow eye conversion to nvAMD. Fellow eyes presented with better VA (mean VA,
20/50 [0.40 logMAR]) compared with index eyes (mean VA, 20/90 [0.67 logMAR]) at
the time of conversion (difference, 14 letters [0.27 logMAR]; 95% CI, 10-17 [0.20
0.34]; P < .001). Index eyes did not achieve the same level of VA as fellow eyes
after an equivalent postconversion follow-up of approximately 20 months (mean VA:
index eye; 20/70 [0.56 logMAR]; fellow eye, 20/50 [0.40 logMAR]; difference, 8
letters [0.15 logMAR]; 95% CI, 4-11 [0.08-0.22]; P < .001). No difference was
detected between the mean number of anti-vascular endothelial growth factor
injections received by fellow eyes and index eyes (9.7 vs 10.0 injections,
respectively). Conclusions and Relevance: This retrospective study suggests that
fellow eyes of previously treated patients with nvAMD may achieve better VA than
their index eye counterparts after an equivalent amount of follow-up. This may be
because the CNV was detected and treated earlier and at a better level of VA,
although it is unknown whether the frequent office visits, VA measurements, or
optical coherence tomography testing was responsible for the detection at a
better level of VA.
PMID- 29800995
TI - A Microfluidic Assay to Diagnose Sepsis.
PMID- 29800993
TI - Television's The Good Doctor Raises Good Questions.
PMID- 29800994
TI - Wearable Brain Scanner Allows Imaging During Movement.
PMID- 29800998
TI - Simplifying Treatment and Reducing Recurrence for Patients With Early-Stage
Bladder Cancer.
PMID- 29800997
TI - Error in a Figure.
PMID- 29800996
TI - A Pap-Based Test to Detect Endometrial and Ovarian Cancers Early.
PMID- 29800999
TI - Prostate-Specific Antigen (PSA) Screening for Prostate Cancer: Revisiting the
Evidence.
PMID- 29801000
TI - How Much Variation in Outcomes Is Too Much in a Center of Excellence for
Bariatric Surgery?
PMID- 29801002
TI - The War Neuroses.
PMID- 29801003
TI - Crowdfunding for Unproven Stem Cell-Based Interventions.
PMID- 29801004
TI - Improving Emergency Insulin Administration.
PMID- 29801005
TI - Statistical Analysis Plans for Clinical Trials.
PMID- 29801006
TI - Antibiotic Prophylaxis for Removal of Lower Leg Orthopedic Implants.
PMID- 29801007
TI - Improving Emergency Insulin Administration-Reply.
PMID- 29801008
TI - Statistical Analysis Plans for Clinical Trials-Reply.
PMID- 29801009
TI - Antibiotic Prophylaxis for Removal of Lower Leg Orthopedic Implants-Reply.
PMID- 29801010
TI - Association of Vasopressin Plus Catecholamine Vasopressors vs Catecholamines
Alone With Atrial Fibrillation in Patients With Distributive Shock: A Systematic
Review and Meta-analysis.
AB - Importance: Vasopressin is an alternative to catecholamine vasopressors for
patients with distributive shock-a condition due to excessive vasodilation, most
frequently from severe infection. Blood pressure support with a noncatecholamine
vasopressor may reduce stimulation of adrenergic receptors and decrease
myocardial oxygen demand. Atrial fibrillation is common with catecholamines and
is associated with adverse events, including mortality and increased length of
stay (LOS). Objectives: To determine whether treatment with vasopressin +
catecholamine vasopressors compared with catecholamine vasopressors alone was
associated with reductions in the risk of adverse events. Data Sources: MEDLINE,
EMBASE, and CENTRAL were searched from inception to February 2018. Experts were
asked and meta-registries searched to identify ongoing trials. Study Selection:
Pairs of reviewers identified randomized clinical trials comparing vasopressin in
combination with catecholamine vasopressors to catecholamines alone for patients
with distributive shock. Data Extraction and Synthesis: Two reviewers abstracted
data independently. A random-effects model was used to combine data. Main
Outcomes and Measures: The primary outcome was atrial fibrillation. Other
outcomes included mortality, requirement for renal replacement therapy (RRT),
myocardial injury, ventricular arrhythmia, stroke, and LOS in the intensive care
unit and hospital. Measures of association are reported as risk ratios (RRs) for
clinical outcomes and mean differences for LOS. Results: Twenty-three randomized
clinical trials were identified (3088 patients; mean age, 61.1 years [14.2];
women, 45.3%). High-quality evidence supported a lower risk of atrial
fibrillation associated with vasopressin treatment (RR, 0.77 [95% CI, 0.67 to
0.88]; risk difference [RD], -0.06 [95% CI, -0.13 to 0.01]). For mortality, the
overall RR estimate was 0.89 (95% CI, 0.82 to 0.97; RD, -0.04 [95% CI, -0.07 to
0.00]); however, when limited to trials at low risk of bias, the RR estimate was
0.96 (95% CI, 0.84 to 1.11). The overall RR estimate for RRT was 0.74 (95% CI,
0.51 to 1.08; RD, -0.07 [95% CI, -0.12 to -0.01]). However, in an analysis
limited to trials at low risk of bias, RR was 0.70 (95% CI, 0.53 to 0.92, P for
interaction = .77). There were no significant differences in the pooled risks for
other outcomes. Conclusions and Relevance: In this systematic review and meta
analysis, the addition of vasopressin to catecholamine vasopressors compared with
catecholamines alone was associated with a lower risk of atrial fibrillation.
Findings for secondary outcomes varied.
PMID- 29801013
TI - Screening for Prostate Cancer.
PMID- 29801011
TI - Effect of Intravesical Instillation of Gemcitabine vs Saline Immediately
Following Resection of Suspected Low-Grade Non-Muscle-Invasive Bladder Cancer on
Tumor Recurrence: SWOG S0337 Randomized Clinical Trial.
AB - Importance: Low-grade non-muscle-invasive urothelial cancer frequently recurs
after excision by transurethral resection of bladder tumor (TURBT). Objective: To
determine whether immediate post-TURBT intravesical instillation of gemcitabine
reduces recurrence of suspected low-grade non-muscle-invasive urothelial cancer
compared with saline. Design, Setting, and Participants: Randomized double-blind
clinical trial conducted at 23 US centers. Patients with suspected low-grade non
muscle-invasive urothelial cancer based on cystoscopic appearance without any
high-grade or without more than 2 low-grade urothelial cancer episodes within 18
months before index TURBT were enrolled between January 23, 2008, and August 14,
2012, and followed up every 3 months with cystoscopy and cytology for 2 years and
then semiannually for 2 years. Patients were monitored for tumor recurrence,
progression to muscle invasion, survival, and toxic effects. The final date of
follow-up was August 14, 2016. Interventions: Participants were randomly assigned
to receive intravesical instillation of gemcitabine (2 g in 100 mL of saline) (n
= 201) or saline (100 mL) (n = 205) for 1 hour immediately following TURBT. Main
Outcomes and Measures: The primary outcome was time to recurrence of cancer.
Secondary end points were time to muscle invasion and death due to any cause.
Results: Among 406 randomized eligible patients (median age, 66 years; 84.7%
men), 383 completed the trial. In the intention-to-treat analysis, 67 of 201
patients (4-year estimate, 35%) in the gemcitabine group and 91 of 205 patients
(4-year estimate, 47%) in the saline group had cancer recurrence within 4.0 years
(hazard ratio, 0.66; 95% CI, 0.48-0.90; P<.001 by 1-sided log-rank test for time
to recurrence). Among the 215 patients with low-grade non-muscle-invasive
urothelial cancer who underwent TURBT and drug instillation, 34 of 102 patients
(4-year estimate, 34%) in the gemcitabine group and 59 of 113 patients (4-year
estimate, 54%) in the saline group had cancer recurrence (hazard ratio, 0.53; 95%
CI, 0.35-0.81; P = .001 by 1-sided log-rank test for time to recurrence). Fifteen
patients had tumors that progressed to muscle invasion (5 in the gemcitabine
group and 10 in the saline group; P = .22 by 1-sided log-rank test) and 42 died
of any cause (17 in the gemcitabine group and 25 in the saline group; P = .12 by
1-sided log-rank test). There were no grade 4 or 5 adverse events and no
significant differences in adverse events of grade 3 or lower. Conclusions and
Relevance: Among patients with suspected low-grade non-muscle-invasive urothelial
cancer, immediate postresection intravesical instillation of gemcitabine,
compared with instillation of saline, significantly reduced the risk of
recurrence over a median of 4.0 years. These findings support using this therapy,
but further research is needed to compare gemcitabine with other intravesical
agents. Trial Registration: clinicaltrials.gov Identifier: NCT00445601.
PMID- 29801012
TI - Effect of Coaching to Increase Water Intake on Kidney Function Decline in Adults
With Chronic Kidney Disease: The CKD WIT Randomized Clinical Trial.
AB - Importance: In observational studies, increased water intake is associated with
better kidney function. Objective: To determine the effect of coaching to
increase water intake on kidney function in adults with chronic kidney disease.
Design, Setting, and Participants: The CKD WIT (Chronic Kidney Disease Water
Intake Trial) randomized clinical trial was conducted in 9 centers in Ontario,
Canada, from 2013 until 2017 (last day of follow-up, May 25, 2017). Patients had
stage 3 chronic kidney disease (estimated glomerular filtration rate [eGFR] 30-60
mL/min/1.73 m2 and microalbuminuria or macroalbuminuria) and a 24-hour urine
volume of less than 3.0 L. Interventions: Patients in the hydration group (n =
316) were coached to drink more water, and those in the control group (n = 315)
were coached to maintain usual intake. Main Outcomes and Measures: The primary
outcome was change in kidney function (eGFR from baseline to 12 months).
Secondary outcomes included 1-year change in plasma copeptin concentration,
creatinine clearance, 24-hour urine albumin, and patient-reported overall quality
of health (0 [worst possible] to 10 [best possible]). Results: Of 631 randomized
patients (mean age, 65.0 years; men, 63.4%; mean eGFR, 43 mL/min/1.73 m2; median
urine albumin, 123 mg/d), 12 died (hydration group [n = 5]; control group [n =
7]). Among 590 survivors with 1-year follow-up measurements (95% of 619), the
mean change in 24-hour urine volume was 0.6 L per day higher in the hydration
group (95% CI, 0.5 to 0.7; P < .001). The mean change in eGFR was -2.2
mL/min/1.73 m2 in the hydration group and -1.9 mL/min/1.73 m2 in the control
group (adjusted between-group difference, -0.3 mL/min/1.73 m2 [95% CI, -1.8 to
1.2; P = .74]). The mean between-group differences (hydration vs control) in
secondary outcomes were as follows: plasma copeptin, -2.2 pmol/L (95% CI, -3.9 to
-0.5; P = .01); creatinine clearance, 3.6 mL/min/1.73 m2 (95% CI, 0.8 to 6.4; P =
.01); urine albumin, 7 mg per day (95% CI, -4 to 51; P = .11); and quality of
health, 0.2 points (95% CI, -0.3 to 0.3; P = .22). Conclusions and Relevance:
Among adults with chronic kidney disease, coaching to increase water intake
compared with coaching to maintain the same water intake did not significantly
slow the decline in kidney function after 1 year. However, the study may have
been underpowered to detect a clinically important difference. Trial
Registration: clinicaltrials.gov Identifier: NCT01766687.
PMID- 29801015
TI - Rounds.
PMID- 29801020
TI - Mass Bat Exposure at National Park.
PMID- 29801019
TI - Workplace Noise and Heart Health.
PMID- 29801018
TI - Prostate-Specific Antigen-Based Screening for Prostate Cancer: Evidence Report
and Systematic Review for the US Preventive Services Task Force.
AB - Importance: Prostate cancer is the second leading cause of cancer death among US
men. Objective: To systematically review evidence on prostate-specific antigen
(PSA)-based prostate cancer screening, treatments for localized prostate cancer,
and prebiopsy risk calculators to inform the US Preventive Services Task Force.
Data Sources: Searches of PubMed, EMBASE, Web of Science, and Cochrane Registries
and Databases from July 1, 2011, through July 15, 2017, with a surveillance
search on February 1, 2018. Study Selection: English-language reports of
randomized clinical trials (RCTs) of screening; cohort studies reporting harms;
RCTs and cohort studies of active localized cancer treatments vs conservative
approaches (eg, active surveillance, watchful waiting); external validations of
prebiopsy risk calculators to identify aggressive cancers. Data Extraction and
Synthesis: One investigator abstracted data; a second checked accuracy. Two
investigators independently rated study quality. Main Outcomes and Measures:
Prostate cancer and all-cause mortality; false-positive screening results, biopsy
complications, overdiagnosis; adverse effects of active treatments. Random
effects meta-analyses were conducted for treatment harms. Results: Sixty-three
studies in 104 publications were included (N = 1 904 950). Randomization to PSA
screening was not associated with reduced risk of prostate cancer mortality in
either a US trial with substantial control group contamination (n = 76 683) or a
UK trial with low adherence to a single PSA screen (n = 408 825) but was
associated with significantly reduced prostate cancer mortality in a European
trial (n = 162 243; relative risk [RR], 0.79 [95% CI, 0.69-0.91]; absolute risk
reduction, 1.1 deaths per 10 000 person-years [95% CI, 0.5-1.8]). Of 61 604 men
screened in the European trial, 17.8% received false-positive results. In 3
cohorts (n = 15 136), complications requiring hospitalization occurred in 0.5% to
1.6% of men undergoing biopsy after abnormal screening findings. Overdiagnosis
was estimated to occur in 20.7% to 50.4% of screen-detected cancers. In an RCT of
men with screen-detected prostate cancer (n = 1643), neither radical
prostatectomy (hazard ratio [HR], 0.63 [95% CI, 0.21-1.93]) nor radiation therapy
(HR, 0.51 [95% CI, 0.15-1.69]) were associated with significantly reduced
prostate cancer mortality vs active monitoring, although each was associated with
significantly lower risk of metastatic disease. Relative to conservative
management, radical prostatectomy was associated with increased risk of urinary
incontinence (pooled RR, 2.27 [95% CI, 1.82-2.84]; 3 trials; n = 1796) and
erectile dysfunction (pooled RR, 1.82 [95% CI, 1.62-2.04]; 2 trials; n = 883).
Relative to conservative management (8 cohort studies; n = 3066), radiation
therapy was associated with increased risk of erectile dysfunction (pooled RR,
1.31 [95% CI, 1.20-1.42]). Conclusions and Relevance: PSA screening may reduce
prostate cancer mortality risk but is associated with false-positive results,
biopsy complications, and overdiagnosis. Compared with conservative approaches,
active treatments for screen-detected prostate cancer have unclear effects on
long-term survival but are associated with sexual and urinary difficulties.
PMID- 29801017
TI - Screening for Prostate Cancer: US Preventive Services Task Force Recommendation
Statement.
AB - Importance: In the United States, the lifetime risk of being diagnosed with
prostate cancer is approximately 13%, and the lifetime risk of dying of prostate
cancer is 2.5%. The median age of death from prostate cancer is 80 years. Many
men with prostate cancer never experience symptoms and, without screening, would
never know they have the disease. African American men and men with a family
history of prostate cancer have an increased risk of prostate cancer compared
with other men. Objective: To update the 2012 US Preventive Services Task Force
(USPSTF) recommendation on prostate-specific antigen (PSA)-based screening for
prostate cancer. Evidence Review: The USPSTF reviewed the evidence on the
benefits and harms of PSA-based screening for prostate cancer and subsequent
treatment of screen-detected prostate cancer. The USPSTF also commissioned a
review of existing decision analysis models and the overdiagnosis rate of PSA
based screening. The reviews also examined the benefits and harms of PSA-based
screening in patient subpopulations at higher risk of prostate cancer, including
older men, African American men, and men with a family history of prostate
cancer. Findings: Adequate evidence from randomized clinical trials shows that
PSA-based screening programs in men aged 55 to 69 years may prevent approximately
1.3 deaths from prostate cancer over approximately 13 years per 1000 men
screened. Screening programs may also prevent approximately 3 cases of metastatic
prostate cancer per 1000 men screened. Potential harms of screening include
frequent false-positive results and psychological harms. Harms of prostate cancer
treatment include erectile dysfunction, urinary incontinence, and bowel symptoms.
About 1 in 5 men who undergo radical prostatectomy develop long-term urinary
incontinence, and 2 in 3 men will experience long-term erectile dysfunction.
Adequate evidence shows that the harms of screening in men older than 70 years
are at least moderate and greater than in younger men because of increased risk
of false-positive results, diagnostic harms from biopsies, and harms from
treatment. The USPSTF concludes with moderate certainty that the net benefit of
PSA-based screening for prostate cancer in men aged 55 to 69 years is small for
some men. How each man weighs specific benefits and harms will determine whether
the overall net benefit is small. The USPSTF concludes with moderate certainty
that the potential benefits of PSA-based screening for prostate cancer in men 70
years and older do not outweigh the expected harms. Conclusions and
Recommendation: For men aged 55 to 69 years, the decision to undergo periodic PSA
based screening for prostate cancer should be an individual one and should
include discussion of the potential benefits and harms of screening with their
clinician. Screening offers a small potential benefit of reducing the chance of
death from prostate cancer in some men. However, many men will experience
potential harms of screening, including false-positive results that require
additional testing and possible prostate biopsy; overdiagnosis and overtreatment;
and treatment complications, such as incontinence and erectile dysfunction. In
determining whether this service is appropriate in individual cases, patients and
clinicians should consider the balance of benefits and harms on the basis of
family history, race/ethnicity, comorbid medical conditions, patient values about
the benefits and harms of screening and treatment-specific outcomes, and other
health needs. Clinicians should not screen men who do not express a preference
for screening. (C recommendation) The USPSTF recommends against PSA-based
screening for prostate cancer in men 70 years and older. (D recommendation).
PMID- 29801021
TI - Prostate Cancer Screening-A New Recommendation for Meaningful Physician-Patient
Conversations.
PMID- 29801022
TI - Testing Ashkenazi Jewish Women for Mutations Predisposing to Breast Cancer in
Genes Other Than BRCA1 and BRCA2.
PMID- 29801023
TI - Value-Based Pricing and Patient Access for Specialty Drugs.
PMID- 29801026
TI - Optimizing Transparency to Empower Patients.
PMID- 29801025
TI - Three-Dimensional Printing of Nasal Prosthetics: Overcoming the Hump.
PMID- 29801028
TI - Erratum.
PMID- 29801024
TI - Sex-Specific Association of Apolipoprotein E With Cerebrospinal Fluid Levels of
Tau.
AB - Importance: The strongest genetic risk factor for Alzheimer disease (AD), the
apolipoprotein E (APOE) gene, has a stronger association among women compared
with men. Yet limited work has evaluated the association between APOE alleles and
markers of AD neuropathology in a sex-specific manner. Objective: To evaluate sex
differences in the association between APOE and markers of AD neuropathology
measured in cerebrospinal fluid (CSF) during life or in brain tissue at autopsy.
Design, Setting, and Participants: This multicohort study selected data from 10
longitudinal cohort studies of normal aging and AD. Cohorts had variable
recruitment criteria and follow-up intervals and included population-based and
clinic-based samples. Inclusion in our analysis required APOE genotype data and
either CSF data available for analysis. Analyses began on November 6, 2017, and
were completed on December 20, 2017. Main Outcomes and Measures: Biomarker
analyses included levels of beta-amyloid 42, total tau, and phosphorylated tau
measured in CSF. Autopsy analyses included Consortium to Establish a Registry for
Alzheimer's Disease staging for neuritic plaques and Braak staging for
neurofibrillary tangles. Results: Of the 1798 patients in the CSF biomarker
cohort, 862 were women, 226 had AD, 1690 were white, and the mean (SD) age was 70
[9] years. Of the 5109 patients in the autopsy cohort, 2813 were women, 4953 were
white, and the mean (SD) age was 84 (9) years. After correcting for multiple
comparisons using the Bonferroni procedure, we observed a statistically
significant interaction between APOE-epsilon4 and sex on CSF total tau (beta =
0.41; 95% CI, 0.27-0.55; P < .001) and phosphorylated tau (beta = 0.24; 95% CI,
0.09-0.38; P = .001), whereby APOE showed a stronger association among women
compared with men. Post hoc analyses suggested this sex difference was present in
amyloid-positive individuals (beta = 0.41; 95% CI, 0.20-0.62; P < .001) but not
among amyloid-negative individuals (beta = 0.06; 95% CI, -0.18 to 0.31; P = .62).
We did not observe sex differences in the association between APOE and beta
amyloid 42, neuritic plaque burden, or neurofibrillary tangle burden. Conclusions
and Relevance: We provide robust evidence of a stronger association between APOE
epsilon4 and CSF tau levels among women compared with men across multiple
independent data sets. Interestingly, APOE-epsilon4 is not differentially
associated with autopsy measures of neurofibrillary tangles. Together, the sex
difference in the association between APOE and CSF measures of tau and the lack
of a sex difference in the association with neurofibrillary tangles at autopsy
suggest that APOE may modulate risk for neurodegeneration in a sex-specific
manner, particularly in the presence of amyloidosis.
PMID- 29801030
TI - Paraproteinemic Maculopathy in a 63-Year-Old Man.
PMID- 29801027
TI - Association of Obstructive Sleep Apnea With Calvarial and Skull Base Thinning.
AB - Importance: Spontaneous cerebrospinal fluid leaks (sCSF-L) of the temporal bone
are associated with obesity, calvarial thinning, and obstructive sleep apnea
(OSA), and the incidence has doubled in the past decade. It is currently unknown
if OSA is independently associated with skull thinning. Objective: To determine
if patients with OSA have thinner skulls than patients without OSA. Design,
Setting, and Participants: A retrospective cohort study of patients who underwent
a level 1 polysomnogram (PSG) and also had high-resolution computed tomographic
(CT) imaging of the head from January 2010 to March 2017 at Indiana University
was carried out. Patients with moderate to severe OSA (apnea-hypopnea index
[AHI]>=25/h) and without OSA (AHI<5/h) were matched for age and body mass index
(BMI, calculated as weight in kilograms divided by height in meters squared).
Interventions: Measurement of calvarial thickness, extracranial zygoma thickness,
skull base height and tegmen dehiscence (>4 mm) when blinded to OSA status. Main
Outcomes and Measures: Primary outcomes were calvarial, skull base, and zygoma
thickness differences between patients with OSA vs those without OSA. Results: A
total of 22 933 patients had a PSG and 1012 also had head CT imaging. Of the 1012
patients with both PSG and CT, the mean (SD) age was 50.8 (16.2) years and 624
(61.7%) were women. Those patients with moderate to severe OSA (56) and without
OSA (58) were matched for mean (SD) age (50.3 [6.5] vs 49.8 [6.1] years]) and BMI
(37.4 [8.1] vs 38.6 [6.8]). Patients with OSA had thinner mean (SD) calvaria
(2.73 [0.67] vs 2.47 [0.52] mm; difference, -0.26 mm; 95% CI, -0.49 to -0.04;
Cohen d, 0.44) and thinner skull bases (5.03 [1.40] vs 4.32 [1.28] mm;
difference, -0.71; 95% CI, -1.23 to -0.19; Cohen d, 0.53). The mean (SD)
extracranial zygoma thickness was the same (4.92 [0.87] vs 4.84 [0.84] mm;
difference, -0.07 mm; 95% CI, -0.39 to 0.24). The tegmen mastoideum was dehiscent
in nearly twice as many patients with OSA as those without (37% vs 20%;
difference, 17%; 95% CI, 0.4-32). Conclusions and Relevance: Obstructive sleep
apnea was independently associated with intracranial bone (calvaria and skull
base) thinning and not with extracranial (zygoma) thinning. These findings
support a possible role of OSA in the pathophysiologic development of sCSF-L.
PMID- 29801029
TI - Aligning Computational Psychiatry With the Hearing Voices Movement: Hearing Their
Voices.
PMID- 29801031
TI - Intralesional Deoxycholic Acid Treatment for Fibrofatty Residua of Involuted
Infantile Hemangiomas: A Novel Therapeutic Approach.
PMID- 29801033
TI - Hypopigmented Skin Lesions After Immunotherapy.
PMID- 29801035
TI - Sarcopenia-A New Frontier in the Management Care of Patients With Borderline
Resectable Pancreatic Cancer.
PMID- 29801032
TI - Association of Single-Nucleotide Polymorphisms in Age-Related Macular
Degeneration With Pseudodrusen: Secondary Analysis of Data From the Comparison of
AMD Treatments Trials.
AB - Importance: Previous studies investigating the association of single-nucleotide
polymorphisms (SNPs) that confer increased risk of age-related macular
degeneration (AMD) with pseudodrusen have yielded conflicting results and have
not evaluated other AMD SNPs or pseudodrusen subtypes. Objective: To determine
the association of SNPs in the complement factor H (CFH), age-related maculopathy
susceptibility 2 (ARMS2), HtrA serine peptidase 1 (HTRA1), complement C2 (C2),
complement C3 (C3), lipase C (LIPC), and complement factor B (CFB) genes with the
presence of pseudodrusen and pseudodrusen subtypes (ie, dot, reticular, and
confluent). Design, Setting, and Participants: In this post hoc analysis of cross
sectional data from US participants in the Comparison of AMD Treatments Trials,
genotyping was performed in 835 participants with TaqMan assays for the SNPs
rs1061170 (Y402H variant in CFH), rs800292 (I62V variant in CFH), rs10490924
(A69S variant in ARMS2), rs11200638 (HTRA1), rs547154 (C2), rs2230199 (R102G
variant in C3), rs10468017 (LIPC), and rs4151667 (L9H variant in CFB). Main
Outcomes and Measures: Presence and subtype of baseline pseudodrusen in either
eye determined using color fundus photography, red-free images, and fluorescein
angiograms. Results: Among 835 participants enrolled for genotyping, 755 (90.4%)
were evaluated for pseudodrusen. Of these, 471 (62.4%) were female and 750
(99.3%) were white, and the mean (SD) age was 78.3 (7.5) years. A total of 213 of
755 participants (28.2%) had pseudodrusen (107 [14.2%] had dot pseudodrusen, 180
[23.8%] had reticular pseudodrusen, and 102 [13.5%] had confluent pseudodrusen).
After adjusting for age, sex, and smoking status, the ARMS2 risk allele T was
associated with higher risk of pseudodrusen (odds ratio [OR], 1.93; 95% CI, 1.19
3.12) for TT vs GG (P = .04). A similar association was found for HTRA1 (OR,
2.04; 95% CI, 1.26-3.31) for AA vs GG (P = .03). The CFH Y402H risk allele C was
associated with lower risk of pseudodrusen (OR, 0.61; 95% CI, 0.38-0.97) for CC
vs TT but was not statistically significant after correcting for multiple
comparison (P = .20). CFH Y402H, ARMS2, HTRA1, and C3 were significantly
associated with reticular pseudodrusen. Conclusions and Relevance: Among patients
with neovascular AMD, the AMD risk alleles ARMS2 and HTRA1 were associated with
an increased risk of pseudodrusen and the risk allele CFH Y402H was associated
with lower risk of pseudodrusen, supporting findings from previous studies.
Understanding the role of these SNPs in the development of pseudodrusen might
improve our understanding of the pathogenesis of AMD and help develop future
therapies.
PMID- 29801034
TI - Transfusion-Transmitted Plasmodium falciparum in a Patient With Sickle Cell
Hemoglobinopathy.
PMID- 29801036
TI - A Physician's Suffering-Facing Depression as a Trainee.
PMID- 29801039
TI - Improving the Propranolol Treatment of Melanoma.
PMID- 29801037
TI - Association of Neutrophil-to-Lymphocyte Ratio With Mortality and Cardiovascular
Disease in the Jackson Heart Study and Modification by the Duffy Antigen Variant.
AB - Importance: The neutrophil-to-lymphocyte ratio (NLR) is associated with mortality
and cardiovascular disease at the time of incident disease, but it is not known
whether this is true in prospective studies. Further, a common genetic variant of
African origin associated with a relative neutropenia, the Duffy antigen variant,
is a candidate to modify associations between NLR and outcomes. Objective: To
investigate the association between NLR and mortality and cardiovascular-related
outcomes in the Jackson Heart Study (JHS) and validated our findings in the
Normative Aging Study (NAS). We also evaluated whether the Duffy antigen variant
modifies these associations in the JHS. Design, Setting, and Participants: The
JHS is a large prospective cohort study designed to examine risk factors and
cardiovascular disease among African American individuals residing in Jackson,
Mississippi. The NAS is a longitudinal cohort established by the United States
Department of Veterans Affairs in 1963. The JHS is a population-based
longitudinal study. The NAS is an interdisciplinary longitudinal study located in
the Veterans Affairs Outpatient Clinic in Boston, Massachusetts. A total of 5301
participants were recruited for the JHS at baseline. Genotype data on the Duffy
antigen variant were available in the JHS. The participants in the NAS were white
men only and free of chronic disease at the time of recruitment and were invited
for in-person examinations every 3 years since 1986. Data were analyzed between
November 2016 and January 2018. Main Outcomes and Measures: All-cause mortality,
coronary heart disease (CHD), stroke, and heart failure (HF). Two NLR cutoff
values (>=2.15 for overall and >=1.77 for African American participants) were
used as the exposure measurements. Results: The participants were African
American men and women, aged 21 to 93 years, residing in Jackson, Mississippi.
For NLR <2.15, the mean age was 54.2 (12.5); for NLR >2.15, the mean age was 56.5
(13.8); for NLR <1.77, the mean age is 54.1 (12.4); and for NLR >1.77, the mean
age was 55.8 (13.6). Adjusting for potential confounders, elevated NLR (>=2.15)
was significantly associated with an increased risk for all-cause mortality
(hazard ratio, 1.40; 95% CI, 1.14-1.70) and CHD (hazard ratio, 1.69; 95% CI, 1.23
2.34) in JHS. Using a lower NLR cutoff (>=1.77) for African American participants
did not alter the significant associations. In the NAS, elevated NLR was
associated with an increased risk of mortality (hazard ratio, 1.32; 95% CI, 0.99
1.76), with no statistical significance. In both prospective studies, NLR was
less of a robust predictor when the time of event was more distant. The Duffy
antigen variant was associated with neutrophil count, and NLR (>=1.77) was
significantly associated with mortality, CHD, stroke, and HF in the Duffy antigen
negative group. Conclusions and Relevance: Neutrophil-to-lymphocyte ratio was
prospectively associated with all-cause mortality, CHD, and HF, with closer
median time to event diagnoses in the JHS. Furthermore, the Duffy antigen variant
locus was associated with a lower baseline NLR and modified the mortality, CHD,
stroke, and HF associations.
PMID- 29801040
TI - Association of Human Papillomavirus Status at Head and Neck Carcinoma Subsites
With Overall Survival.
AB - Importance: Data are limited on the prognostic value of human papillomavirus
(HPV) status for head and neck carcinoma subsites. Objective: To determine
whether HPV positivity at each head and neck subsite is associated with improved
overall survival. Design, Setting, and Participants: This retrospective
population-based cohort study used the National Cancer Database to identify
patients diagnosed with head and neck squamous cell carcinomas from January 1,
2010, to December 31, 2014. Patients were classified according to the location of
their primary malignancy into 1 of the 6 main subsites of the upper aerodigestive
tract: oral cavity, oropharynx, nasopharynx, hypopharynx, larynx, and sinonasal
tract. Patients were also classified by their HPV status. Data collection for
this study took place from January 1, 2010, to December 31, 2014. Data analysis
was conducted from August 1, 2017, to September 30, 2017. Main Outcomes and
Measures: The difference in 5-year overall survival between patients with HPV
positive status and those with HPV-negative status in various head and neck
carcinoma subsites; the role of HPV status in an unadjusted Cox multivariate
regression model. Results: Of the 175 223 total number of patients identified
(129 634 [74.0%] male; 45 589 [26.0%] female; mean [SD] age, 63.1 [11.9] years),
133 273 (76.1%) were ineligible and 41 950 (23.9%) were included in the sample.
This sample included 16 644 patients (39.7%) with HPV-positive tumors and 25 306
(60.3%) with HPV-negative tumors. Patients with an HPV-positive status were more
likely to be younger, be white, be male, present with local T category tumors,
and have poor differentiation on histologic examination. HPV-positive status was
associated with survival at 4 tumor subsites: oral cavity (hazard ratio [HR],
0.76; 95% CI, 0.66-0.87), oropharynx (HR, 0.44; 95% CI, 0.41-0.47), hypopharynx
(HR, 0.59; 95% CI, 0.45-0.77), and larynx (HR, 0.71; 95% CI, 0.59-0.85). The HPV
status was the greatest factor in survival outcome between the HPV-positive and
negative cohorts at the oropharynx subsite (77.6% vs 50.7%; survival difference,
26.9%; 95% CI, 25.6%-28.2%) and hypopharynx subsites (52.2% vs 28.8%; survival
difference, 23.4%; 95% CI, 17.5%-29.3%). For the nasopharynx (HR, 1.03; 95% CI,
0.75-1.42) and sinonasal tract (HR, 0.63; 95% CI, 0.39-1.01) subsites, HPV
positive status was not an independent prognostic factor. Conclusions and
Relevance: Human papillomavirus positivity was associated with improved survival
in 4 subsites (oropharynx, hypopharynx, oral cavity, and larynx), and the largest
survival difference was noted in the oropharynx and hypopharynx subsites. In the
nasopharynx and sinonasal tract subsites, HPV positivity had no association with
overall survival. Given these results, routine testing for HPV at the oropharynx,
hypopharynx, oral cavity, and larynx subsites may be warranted.
PMID- 29801041
TI - Implications of the New USPSTF Prostate Cancer Screening Recommendation-Attaining
Equipoise.
PMID- 29801042
TI - Burnout and Depression Among General Surgery Residents: Image Is Everything-It
Alters Perception.
PMID- 29801043
TI - Elements of Phonological Interventions for Children With Speech Sound Disorders:
The Development of a Taxonomy.
AB - Purpose: Our aim was to develop a taxonomy of elements comprising phonological
interventions for children with speech sound disorders. Method: We conducted a
content analysis of 15 empirically supported phonological interventions to
identify and describe intervention elements. Measures of element concentration,
flexibility, and distinctiveness were used to compare and contrast interventions.
Results: Seventy-two intervention elements were identified using a content
analysis of intervention descriptions then arranged to form the Phonological
Intervention Taxonomy: a hierarchical framework comprising 4 domains, 15
categories, and 9 subcategories. Across interventions, mean element concentration
(number of required or optional elements) was 45, with a range of 27 to 59
elements. Mean flexibility of interventions (percentage of elements considered
optional out of all elements included in the intervention) was 44%, with a range
of 29% to 62%. Distinctiveness of interventions (percentage of an intervention's
rare elements and omitted common elements out of all elements included in the
intervention [both optional and required]) ranged from 0% to 30%. Conclusions: An
understanding of the elements that comprise interventions and a taxonomy that
describes their structural relationships can provide insight into similarities
and differences between interventions, help in the identification of elements
that drive treatment effects, and facilitate faithful implementation or
intervention modification. Research is needed to distil active elements and
identify strategies that best facilitate replication and implementation.
PMID- 29801048
TI - Written Exposure Therapy vs Cognitive Processing Therapy-Reply.
PMID- 29801044
TI - Reevaluating Fasting for Procedural Sedation.
PMID- 29801050
TI - Physician Burnout in the Electronic Health Record Era: Are We Ignoring the Real
Cause?
PMID- 29801049
TI - Factors Associated With Emergency Department Visits and Hospital Admissions After
Invasive Outpatient Procedures in the Veterans Health Administration.
PMID- 29801051
TI - Future Directions for Breast Reconstruction on the 20th Anniversary of the
Women's Health and Cancer Rights Act.
PMID- 29801052
TI - Clinical Implications for Working With Nonmainstream Dialect Speakers: A Focus on
Two Filipino Kindergartners.
AB - Purpose: The purpose of this clinical focus piece is to increase familiarity with
Philippine English (PE) and highlight clinical implications for working with
nonmainstream dialect speakers. Method: The clinical focus draws on descriptive
case study data from 2 Filipino kindergarten boys who live in the United States.
Multiple ethnographic data sources were subjected to contrastive analyses
regarding nonmainstream features in the children's speech that might be
consistent with PE. Results: The 2 boys demonstrated grammatical and phonological
features consistent with their home dialect, PE, and individualized variation
relative to one another. We utilize these findings to illustrate 2 key
implications for providing culturally competent clinical services when working
with nonmainstream dialect speakers: (a) validate and support all Mainstream
American English Learners in the classroom and (b) recognize that variance within
a dialect is not always indicative of disorder. Explicit recommendations for
clinical practice are provided. Conclusion: Understanding and validating the
diversity of nonmainstream dialect speakers within the U.S. schools are critical
to providing culturally competent speech-language services.
PMID- 29801053
TI - Cost-effectiveness of Nusinersen for Spinal Muscular Atrophy.
PMID- 29801055
TI - Neuroprotective Effects of Prenatal Folic Acid Supplementation: Why Timing
Matters.
PMID- 29801054
TI - Targetable Alterations in Adult Patients With Soft-Tissue Sarcomas: Insights for
Personalized Therapy.
AB - Importance: Patients with advanced soft-tissue sarcomas (STS) have a median
overall survival of less than 18 months. Identification of molecular
abnormalities for which targeted therapies are available or can be developed is
critical for improving patient outcomes. Objective: To characterize targetable
genomic alterations (GAs) in patients with STS. Design, Setting, and
Participants: This cross-sectional study of next-generation sequencing results
from 584 patients with STS included in the AACR GENIE Database. Main Outcomes and
Measures: Presence of targetable GAs in STS. Results: Of 584 patients included in
the analysis, 294 (50.3%) were men and 290 (49.7%) were women, with a median age
of 56 years (range, 18-89 years). There were 331 (57%) patients with complex
genomics sarcomas, 144 (25%) with translocation-related sarcomas, and 112 (18%)
with other sarcomas (inactivating mutation, simple amplicon). A total of 2697
alterations were identified in 451 genes (1154 substitutions, 765 gene
amplifications, 364 short indels and splicing variants, 346 gene homozygous
deletions, and 68 gene rearrangements) with a median of 4 (1-53) per case. In
order of frequency, the 20 genes most often altered were: TP53, MDM2, CDK4, RB1,
ATRX, CDKN2A, PTEN, NF1, CDKN2B, KMT2D, GLI1, ATM, TERT, PI3KCA, NOTCH1, MAP2K4,
ERBB4, ARID1A, TSC2, and TNFAIP3. At least 1 targetable GA was found in 239 cases
(41%) with a statistically significant higher number in other and complex
genomics sarcomas than in translocation-related sarcomas (respectively other:
n=89, 82%, complex: n = 131, 40%, translocation: n = 19, 13%; chi2 test, P <
.001). Conclusions and Relevance: Up to 41% of STS harbored at least 1 clinically
relevant GA with potential to influence and personalize therapy. Comprehensive
genomic profiling can identify novel treatment paradigms to address the limited
options and poor prognoses of patients with STS.
PMID- 29801056
TI - Let's Talk About Dating-Promoting Discussions About Adolescent Dating and Early
Romantic Relationships.
PMID- 29801057
TI - Blood Pressure Changes After Adenotonsillectomy in Children With Obstructive
Sleep Apnea.
PMID- 29801058
TI - Firearms and Dementia: Clinical Considerations.
PMID- 29801059
TI - A Near-Disaster in Rescuing Wide Complex Tachycardia-Can We Always Trust External
Defibrillators?
PMID- 29801060
TI - Early Intervention-An Implementation Challenge for 21st Century Mental Health
Care.
PMID- 29801062
TI - Association Between Changes in Body Composition and Neoadjuvant Treatment for
Pancreatic Cancer.
AB - Importance: Sarcopenia and sarcopenic obesity have been associated with poor
outcomes in unresectable pancreatic cancer (PC). Neoadjuvant treatment (NT) is
used increasingly to improve resectability; however, its effects on fat and
muscle body composition have not been characterized. Objectives: To evaluate
whether NT affects muscle mass and adipose tissue in patients with borderline
resectable PC (BRPC) and locally advanced PC (LAPC) and determine whether there
were potential differences between patients who ultimately underwent resection
and those who did not. Design, Setting, and Participants: In this retrospective
cohort study conducted at 4 academic medical centers, 193 patients with BRPC and
LAPC undergoing surgical exploration after NT who had available computed
tomographic scans (both at diagnosis and preoperatively) and confirmed pancreatic
ductal adenocarcinoma were evaluated. The study was conducted from January 2013
to December 2015. Data analysis was performed from September 2016 to May 2017.
Measurement of body compartments was evaluated with volume assessment software
before and after NT. A radiologist blinded to the patient outcome assessed the
areas of skeletal muscle, total adipose tissue, and visceral adipose tissue
through a standardized protocol. Exposures: Receipt of NT. Main Outcomes and
Measures: Achievement of pancreatic resection at surgical exploration after the
receipt of NT. Results: Of the 193 patients with complete radiologic imaging
available after NT, 96 (49.7%) were women; mean (SD) age at diagnosis was 64 (11)
years. Most patients received combined therapy with fluorouracil, irinotecan,
oxaliplatin, leucovorin, and folic acid (124 [64.2%]) and 86 (44.6%) received
chemoradiotherapy as well. The median interval between pre-NT and post-NT imaging
was 6 months (interquartile range [IQR], 4-7 months). All body compartments
significantly changed. The adipose compound decreased (median total adipose
tissue area from 284.0 cm2; IQR, 171.0-414.0 to 250.0 cm2; IQR, 139.0-363.0; P <
.001; median visceral adipose tissue area from 115.2 cm2; IQR, 59.9-191.0 to 97.7
cm2; IQR, 48.0-149.0 cm2; P < .001), whereas the lean mass slightly improved
(median skeletal muscle from 122.1 cm2; IQR, 99.3-142.0 to 123 cm2; IQR 104.8
152.5 cm2; P = .001). Surgical resection was achievable in 136 (70.5%) patients.
Patients who underwent resection had experienced a 5.9% skeletal muscle area
increase during NT treatment, whereas those who did not undergo resection had a
1.7% decrease (P < .001). Conclusions and Relevance: Patients with PC experience
a significant loss of adipose tissue during neoadjuvant chemotherapy, but no
muscle wasting. An increase in muscle tissue during NT is associated with
resectability.
PMID- 29801064
TI - Thalidomide, Drug Safety, and Off-label Prescribing: Lessons Learned From
Celgene's Settlement.
PMID- 29801063
TI - Efficacy and Safety of Intravitreal Aflibercept for Polypoidal Choroidal
Vasculopathy in the PLANET Study: A Randomized Clinical Trial.
AB - Importance: Polypoidal choroidal vasculopathy (PCV) is common in Asian
populations, but an optimal treatment approach remains to be confirmed.
Objective: To evaluate intravitreal aflibercept injection (IAI) in participants
with PCV and compare IAI monotherapy with IAI plus rescue photodynamic therapy
(PDT). Design, Setting, and Participants: This 96-week, double-masked, sham
controlled phase 3b/4 randomized clinical trial was conducted at multiple centers
in Australia, Germany, Hong Kong, Hungary, Japan, Singapore, South Korea, and
Taiwan from May 2014 to August 2016, and included adults 50 years or older with
symptomatic macular PCV and a best-corrected visual acuity of 73 to 24 Early
Treatment Diabetic Retinopathy Study letters (20/40-20/320 Snellen equivalent).
Interventions: Participants received 2 mg of IAI at weeks 0, 4, and 8. At week
12, participants with a suboptimal response were randomized 1:1 to receive IAI
plus sham PDT (IAI monotherapy) or a "rescue" of IAI plus rescue PDT (IAI/PDT).
Participants who did not qualify for rescue received IAI every 8 weeks; those
qualifying for rescue received IAI every 4 weeks plus sham/active PDT. When the
rescue criteria were no longer met, injection intervals were gradually extended
to 8 weeks. Main Outcomes and Measures: Noninferiority of IAI monotherapy to
IAI/PDT for mean change in best-corrected visual acuity from baseline to week 52
(95% CI of the difference entirely above -5 letters). Results: Of the 318
participants, the mean (SD) age was 70.6 (8.2) years, 96 (30.2%) were women, and
152 (47.8%) were Japanese. Monotherapy with IAI was noninferior to IAI/PDT for
the primary end point (+10.7 vs +10.8 letters, respectively; 95% CI, -2.9 to 1.6;
P = .55), with few participants requiring rescue therapy (19 [12.1%] vs 23
[14.3%], respectively). Participants in both treatment groups had similar
reductions in central subfield thickness from baseline to week 52 (-137.7 [IAI
monotherapy] vs -143.5 MUm [IAI/PDT]). At week 52, 49 (38.9%) and 60 participants
(44.8%) had no polypoidal lesions observed on indocyanine green angiography in
the IAI monotherapy and IAI/PDT groups, respectively. Furthermore, 116 (81.7%)
and 136 (88.9%), respectively, had no polypoidal lesions with leakage. The most
frequent ocular adverse events were conjunctival hemorrhage (IAI monotherapy, 8
[5.1%]) and dry eye (IAI/PDT, 9 [5.6%]). Conclusions and Relevance: Improvement
in visual and/or functional outcomes was achieved in more than 85% of
participants who were treated with IAI monotherapy, with no signs of leakage from
polypoidal lesions in more than 80%. As fewer than 15% met the criteria of a
suboptimal response to receive PDT, the potential benefit of adding PDT cannot be
determined. Trial Registration: ClinicalTrials.gov Identifier: NCT02120950.
PMID- 29801065
TI - Formal Idiographic Inference in Medicine.
PMID- 29801061
TI - Interest and Uptake of MC1R Testing for Melanoma Risk in a Diverse Primary Care
Population: A Randomized Clinical Trial.
AB - Importance: Germline variants in the MC1R gene are common and confer moderate
melanoma risk in those with varied skin types. Approaches to precision skin
cancer prevention that include genetic information may promote risk awareness and
risk reduction in the general population, including Hispanics. Objective: To
examine prevalence of interest in and uptake of MC1R testing in the general
population and examine patterns across demographic and skin cancer risk factors.
Design, Setting, and Participants: A randomized clinical trial examined interest
in and uptake of MC1R testing among patients at University of New Mexico General
Internal Medicine clinics. Study participants were randomized to either a usual
care condition (National Cancer Institute skin cancer pamphlet for diverse skin
types) or an MC1R test offer. Participants were registered clinic patients (>=6
months) and English or Spanish fluent. Of the 600 participants recruited to the
overall trial, the present study included those 499 participants randomized to
the MC1R test offer. Interventions: Participants were presented with the option
to log onto the study website to read 3 educational modules presenting the
rationale, benefits, and drawbacks of MC1R testing. Main Outcomes and Measures:
Main outcomes include website log on (yes vs no), saliva test kit request (yes vs
no), and saliva test kit return for MC1R testing (yes vs no). Demographic and
skin cancer risk factors were examined as potential predictors of test interest
and uptake. Results: Of the 499 participants (220 [44%] non-Hispanic white, 242
[48%] Hispanic, 396 [79%] female; mean [SD] age, 54 [14.3] years), 232 (46%)
elected to learn about MC1R testing by logging onto the website; 204 (88%) of
those who logged on decided to request testing; and 167 (82%) of those who
requested testing returned the kit. The strongest predictors of website log on
were race/ethnicity and education (non-Hispanic whites were more likely to log on
[odds ratio for Hispanics vs non-Hispanic whites, 0.5; 95% CI, 0.3-0.7], as were
more highly educated individuals [odds ratio for more than high school vs high
school or less, 2.7; 95% CI, 1.7-4.3]). The strongest predictor of ordering the
test was sunburn history (odds ratio, 5.4; 95% CI, 2.3-12.9 vs no sunburn
history). Conclusions and Relevance: There were moderately high levels of MC1R
test interest and uptake in this diverse sample. Addressing potential barriers to
testing may be warranted as genomic information becomes integrated into general
population approaches to the precision prevention of skin cancer. Trial
Registration: ClinicalTrials.gov identifier: NCT03130569.
PMID- 29801066
TI - Association of Nodal Metastasis and Mortality With Vermilion vs Cutaneous Lip
Location in Cutaneous Squamous Cell Carcinoma of the Lip.
AB - Importance: Although the lip is considered a high-risk location in cutaneous
squamous cell carcinoma (cSCC), it has not been established whether this risk
stems from vermilion or cutaneous locations or both. Objective: To compare
differences in risks of recurrence, metastasis, and death from cSCCs on the
vermilion vs cutaneous lip. Design, Setting, and Participants: Retrospective
cohort study of 303 patients with 310 primary cSCCs of the lip (138 cutaneous,
172 vermilion) diagnosed between 2000 and 2015 at 2 academic tertiary care
centers in Boston, Massachusetts. Main Outcomes and Measures: Development of
local recurrence, nodal metastasis, distant metastasis, disease-specific death,
and all-cause death. Results: Of the 303 study participants with 310 SCCs of the
lip, 153 (50.5%) were men, and 150 (49.5%) were women; median age at diagnosis,
68 years (range, 27-93 years). Outcomes were as follows for vermilion vs
cutaneous locations: local recurrence, 6.4% (11 of 172) vs 2.9% (4 of 138); nodal
metastasis, 7.6% (13 of 172) vs 1.5% (2 of 138); distant metastasis, 0.6% (1 of
172) vs 0.7% (1 of 138); disease-specific death, 3.5% (6 of 172) vs 2.9% (4 of
138); and all-cause death, 26.7% (46 of 172) vs 29.0% (40 of 138). The difference
was statistically significant for nodal metastasis (P = .01). In multivariable
analysis, nodal metastasis was associated with vermilion lip location (subhazard
ratio, 5.0; 95% CI, 1.1-23.8) and invasion beyond fat (fascia or beyond for
vermilion lip) (subhazard ratio, 4.4; 95% CI, 1.3-14.9). Conclusions and
Relevance: The risk of nodal metastasis is 5-fold greater for cSCCs on the
vermilion lip compared with those on the cutaneous lip. Squamous cell carcinomas
of the cutaneous lip have a nodal metastasis risk similar to cSCCs in general
(1.5%). Thus, vermilion involvement appears responsible for the increased risk
associated with cSCC of lip. Vermilion involvement may merit radiologic nodal
staging and inclusion in future tumor staging, since it was independently
associated with higher-risk cSCC of the lip region.
PMID- 29801067
TI - Breast Cancer With a Poor Prognosis Diagnosed After Screening Mammography With
Negative Results.
PMID- 29801068
TI - Helping Colorectal Cancer Survivors Benefit From Changing Lifestyle Behaviors:
Implementation Science and Private Industry Collaboration to the Rescue.
PMID- 29801070
TI - Paraffin Prosthesis.
PMID- 29801071
TI - Scabies and Pruritus-A Historical Review.
PMID- 29801072
TI - Speaking With Your Hands-The History of the Manicure.
PMID- 29801073
TI - Inspection-A Fine Art.
PMID- 29801074
TI - Haldi Ceremony-Historical Use of Turmeric.
PMID- 29801076
TI - An Autopsy Case of Progressive Supranuclear Palsy With Incidental ATXN2
Expansion.
PMID- 29801078
TI - Blue Infusion.
PMID- 29801077
TI - Determination of Elastic Modulus in Mouse Bones Using a Nondestructive Micro
Indentation Technique Using Reference Point Indentation.
AB - The determination of the elastic modulus of bone is important in studying the
response of bone to loading and is determined using a destructive three-point
bending method. Reference point indentation (RPI), with one cycle of indentation,
offers a nondestructive alternative to determine the elastic modulus. While the
elastic modulus could be determined using a nondestructive procedure for ex vivo
experiments, for in vivo testing, the three-point bending technique may not be
practical and hence RPI is viewed as a potential alternative and explored in this
study. Using the RPI measurements, total indentation distance (TID), creep
indentation distance, indentation force, and the unloading slope, we have
developed a numerical analysis procedure using the Oliver-Pharr (O/P) method to
estimate the indentation elastic modulus. Two methods were used to determine the
area function: (1) Oliver-Pharr (O/P-based on a numerical procedure) and (2)
geometric (based on the calculation of the projected area of indentation). The
indentation moduli of polymethyl methacrylate (PMMA) calculated by the O/P (3.49
3.68 GPa) and geometric (3.33-3.49 GPa) methods were similar to values in
literature (3.5-4 GPa). In a study using femurs from C57Bl/6 mice of different
ages and genders, the three-point bending modulus was lower than the indentation
modulus. In femurs from 4 to 5 months old TOPGAL mice, we found that the
indentation modulus from the geometric (5.61 +/- 1.25 GPa) and O/P (5.53 +/- 1.27
GPa) methods was higher than the three-point bending modulus (5.28 +/- 0.34 GPa).
In females, the indentation modulus from the geometric (7.45 +/- 0.86 GPa) and
O/P (7.46 +/- 0.92 GPa) methods was also higher than the three-point bending
modulus (7.33 +/- 1.13 GPa). We can conclude from this study that the RPI
determined values are relatively close to three-point bending values.
PMID- 29801080
TI - Additional Risk Factors for Breast Implant-Associated Anaplastic Large Cell
Lymphoma.
PMID- 29801079
TI - Primary Care Practitioners' Perceptions of Electronic Consult Systems: A
Qualitative Analysis.
AB - Importance: Safety-net health systems across the country are implementing
electronic consult (eConsult) systems in which primary care practitioners (PCPs)
submit all requests for specialty assistance electronically to be reviewed and
discussed with specialists. Evidence suggests that eConsult systems can make
significant improvements in specialty access, but the outcomes of these systems
for frontline PCPs is poorly understood. Objective: To understand PCP perceptions
of the results of eConsult initiation on PCP workflow, specialist access, and
patient care. Design, Setting, and Participants: Qualitative interviews were
conducted from December 1, 2016, to April 15, 2017, with 40 safety-net PCPs in
Los Angeles County who use the Los Angeles County Department of Health Services
(DHS) eConsult system. Interviewees were recruited to include diversity in PCP
type, practice setting, and employer (DHS employed vs DHS affiliated).
Participants were interviewed about their perceptions of clinical workflow,
access to specialists, relationships with specialists, and referral decision
making. Main Outcomes and Measures: Perceptions of the results of eConsult,
including positive and negative themes and remaining perceived gaps in specialty
care. Results: Of the 40 participants, 27 (68%) were women; 24 (60%) PCPs
performed 5 or more eConsults per week. Primary care practitioners' perceptions
of eConsult clustered around 4 main themes: access and timeliness of specialty
care, shift of work to PCPs, relationships with specialists, and eConsult
interface issues. Many PCPs praised the improved timeliness of specialist input
with eConsult, as well as the added clinical and educational value of dialogue
with specialists, particularly compared with the limitations of the prior
referral process. However, PCPs also consistently perceived that eConsult shifted
some of the work of specialty care to them. Many PCPs believed that this extra
burden was worth the effort given the benefits of eConsult, such as improved
timeliness of care and ability to manage specialty conditions. In contrast,
others were frustrated by the increased administrative burden, broadened clinical
responsibility, and restructuring of specialty care delivery. Conclusions and
Relevance: While associated with improved specialty care access, eConsult systems
simultaneously created new challenges for PCPs, such as an increased burden of
work in providing specialty care. Primary care practitioners varied in their
enthusiasm for these workflow changes with diverging perceptions of the same
processes. Our findings provide insights on challenges future primary care
transformation efforts may face.
PMID- 29801081
TI - Effect of a Local Vision Care Center on Eyeglasses Use and School Performance in
Rural China: A Cluster Randomized Clinical Trial.
AB - Importance: Visual impairment is common among children in rural China, but fewer
than one-third of children with poor vision own and wear eyeglasses. Objective:
To study the effect of hospital-based vision centers on academic performance,
ownership of eyeglasses, and eyeglasses-wearing behavior in rural Chinese
children. Design, Setting, and Participants: Cluster randomized, investigator
masked, clinical trial from September 2014 through June 2015. A vision center
capable of providing refractive services was established in the Hospital of
Yongshou County, a nationally designated poor county in rural Shaanxi Province,
western China. All 31 rural primary schools in Yongshou County participated;
participants were all children in grades 4 through 6 (aged approximately 10-12
years) with uncorrected visual acuity of Snellen 6/12 or worse in either eye
(2613 children). Data analysis was conducted March through May 2016, and data
were analyzed by the intention-to-treat principle. Interventions: After teacher
led vision screening early in the school year (September-October 2014), schools
were randomly assigned to either early referral (December 2014-February 2015) to
the vision center for refraction and free eyeglasses if needed or late referral
(March-June 2015) for the identical intervention. Main Outcomes and Measures: The
primary outcome was score on a study-administered mathematics test (June 2015)
adjusted for baseline score. Secondary outcomes were self-reported eyeglasses
ownership and wear at final examination (June 2015). Results: All 2613 children
evaluated were of Han Chinese race/ethnicity, and 1209 (46.3%) were female.
Twelve hundred children (45.9%) met the vision criteria. Among these, 543 (45.3%)
were randomized to early screening and 657 (54.7%) to late screening; 433 (79.7%)
of the early screening group and 516 (78.5%) of the late screening group
completed the study. Of eligible children, 120 (27.7%) owned eyeglasses at
baseline. The adjusted effect on test scores comparing early and late groups was
0.25 SD (95% CI, 0.01-0.48; 1-sided P = .04), with the point estimate equivalent
to half a semester of additional learning. At the end of the study, 347 of the
433 participants in the early group (80%) reported owning eyeglasses and 326
(75%) reported wearing eyeglasses; among the 516 participants in the late group,
371 (61%) reported owning and 286 (55%) reported wearing eyeglasses. Conclusions
and Relevance: In this study, early provision of free eyeglasses was seen to
improve children's academic performance and wearing of spectacles. These findings
suggest that a county hospital-based vision center may be an effective way to
improve children's educational opportunities in rural China. Trial Registration:
isrctn.org Identifier: ISRCTN03252665.
PMID- 29801083
TI - eConsult-Transforming Primary Care or Exacerbating Clinician Burnout?
PMID- 29801082
TI - Sudden Death in Patients With Coronary Heart Disease Without Severe Systolic
Dysfunction.
AB - Importance: The majority of sudden and/or arrhythmic deaths (SAD) in patients
with coronary heart disease occur in those without severe systolic dysfunction,
for whom strategies for sudden death prevention are lacking. Objective: To
provide contemporary estimates of SAD vs other competing causes of death in
patients with coronary heart disease without severe systolic dysfunction to
search for high-risk subgroups that might be targeted in future trials of SAD
prevention. Design, Setting, and Participants: This prospective observational
cohort study included 135 clinical sites in the United States and Canada. A total
of 5761 participants with coronary heart disease who did not qualify for primary
prevention implantable cardioverter defibrillator therapy based on left
ventricular ejection fraction (LVEF) of more than 35% or New York Heart
Association (NYHA) heart failure class (LVEF >30%, NYHA I). Exposures: Clinical
risk factors measured at baseline including age, LVEF, and NYHA heart failure
class. Main Outcomes and Measures: Primary outcome of SAD, which is a composite
of SAD and resuscitated ventricular fibrillation arrest. Results: The mean (SD)
age of the cohort was 64 (11) years. During a median of 3.9 years, the cumulative
incidence of SAD and non-SAD was 2.1% and 7.7%, respectively. Sudden and/or
arrhythmic death was the most common mode of cardiovascular death accounting for
114 of 202 cardiac deaths (56%), although noncardiac death was the primary mode
of death in this population. The 4-year cumulative incidence of SAD was lowest in
those with an LVEF of more than 60% (1.0%) and highest among those with LVEF of
30% to 40% (4.9%) and class III/IV heart failure (5.1%); however, the cumulative
incidence of non-SAD was similarly elevated in these latter high-risk subgroups.
Patients with a moderately reduced LVEF (40%-49%) were more likely to die of SAD,
whereas those with class II heart failure and advancing age were more likely to
die of non-SAD. The proportion of deaths due to SAD varied widely, from 14% (18
of 131 deaths) in patients with NYHA II to 49% (37 of 76 deaths) in those younger
than 60 years. Conclusions and Relevance: In a contemporary population of
patients with coronary heart disease without severe systolic dysfunction, SAD
accounts for a significant proportion of overall mortality. Moderately reduced
LVEF, age, and NYHA class distinguished SAD and non-SAD, whereas other markers
were equally associated with both modes of death. Absolute and proportional risk
of SAD varied significantly across clinical subgroups, and both will need to be
maximized in future risk stratification efforts.
PMID- 29801084
TI - Comparing Aflibercept Monotherapy With Aflibercept Plus Rescue Photodynamic
Therapy in Polypoidal Choroidal Vasculopathy: PLANET or Asteroid?
PMID- 29801085
TI - Clinical Use of Optical Coherence Tomography Angiography in Diabetic Retinopathy
Treatment: Ready for Showtime?
PMID- 29801086
TI - Adjunctive Intranasal Esketamine in Treatment-Resistant Depression.
PMID- 29801088
TI - Error in Table Column Heading.
PMID- 29801087
TI - Resident Wellness in US Ophthalmic Graduate Medical Education: The Resident
Perspective.
AB - Importance: Wellness programs have become important strategies to combat burnout
and depression among residents. However, the resident perspective on wellness in
ophthalmic graduate medical education has not been solicited on a national level.
Objectives: To report on residents' views of wellness initiatives in ophthalmic
graduate medical education and identify potential strategies for promoting
resident wellness. Design, Setting, and Participants: In this national survey of
ophthalmology residents in the United States, conducted from September 21 to
November 3, 2017, all 1048 ophthalmology residents listed on the websites of
ophthalmology residency programs accredited by the Accreditation Council for
Graduate Medical Education were emailed an anonymous online survey consisting of
12 multiple-choice questions with options for free-text answers. Residents also
received a mailed letter with a survey link and a $1 incentive, as well as 2
reminder emails. Survey responses were analyzed using descriptive statistics, and
the free-text answers were categorized. Main Outcomes and Measures: Main outcomes
include residents' reports regarding their personal experiences with wellness
during residency, support systems provided by their programs, and opportunities
for improving wellness in ophthalmic graduate medical education. Results: Of 1048
residents, 241 (23.0%) responded to the survey. Most respondents (121 of 177
[68.4%]) reported that their programs faced an issue involving depression,
burnout, or suicide among residents within the past year; 26.3% of respondents
(61 of 232) reported being involved in a case when resident fatigue, burnout, or
depression adversely affected a medical outcome or judgment. Fewer than half of
the respondents (110 of 241 [45.6%]) reported that their residency programs
placed moderate or major emphasis on promoting a culture of resident wellness,
and only 26.7% (63 of 236) reported that their department had a formal resident
wellness program. The most commonly cited barrier to resident wellness (59 of 236
[25.0%]) was a lack of time to attend wellness programs. Conclusions and
Relevance: These results suggest that there is a substantial burden of burnout
and depression among US ophthalmology residents and that there are opportunities
to boost wellness in ophthalmic graduate medical education by making wellness
curricula more accessible to residents and ensuring that residents have time to
attend wellness programs.
PMID- 29801089
TI - Chronic Follicular Conjunctivitis in a Middle-aged Woman.
PMID- 29801091
TI - Error in Group Information.
PMID- 29801092
TI - Adolescent Summaries of Narrative and Expository Discourse: Differences and
Predictors.
AB - Purpose: Summarizing expository passages is a critical academic skill that is
understudied in language research. The purpose of this study was to compare the
quality of verbal summaries produced by adolescents for 3 different discourse
types and to determine whether a composite measure of cognitive skill or a test
of expressive syntax predicted their performance. Method: Fifty adolescents
listened to, and then verbally summarized, 1 narrative and 2 expository lectures
(compare-contrast and cause-effect). They also participated in testing that
targeted expressive syntax and 5 cognitive subdomains. Results: Summary quality
scores were significantly different across discourse types, with a medium effect
size. Analyses revealed significantly higher summary quality scores for cause
effect than compare-contrast summaries. Although the composite cognitive measure
contributed significantly to the prediction of quality scores for both types of
expository summaries, the expressive syntax score only contributed significantly
to the quality scores for narrative summaries. Conclusions: These results support
previous research indicating that type of expository discourse may impact student
performance. These results also show, for the first time, that cognition may play
a predictive role in determining summary quality for expository but not narrative
passages in this population. In addition, despite the more complex syntax
commonly associated with exposition versus narratives, an expressive syntax score
was only predictive of performance on narrative summaries. These findings provide
new information, questions, and directions for future research for those who
study academic discourse and for professionals who must identify and manage the
problems of students struggling with different types of academic discourse.
Supplemental Material: https://doi.org/10.23641/asha.6167879.
PMID- 29801090
TI - Uptake, Results, and Outcomes of Germline Multiple-Gene Sequencing After
Diagnosis of Breast Cancer.
AB - Importance: Low-cost sequencing of multiple genes is increasingly available for
cancer risk assessment. Little is known about uptake or outcomes of multiple-gene
sequencing after breast cancer diagnosis in community practice. Objective: To
examine the effect of multiple-gene sequencing on the experience and treatment
outcomes for patients with breast cancer. Design, Setting, and Participants: For
this population-based retrospective cohort study, patients with breast cancer
diagnosed from January 2013 to December 2015 and accrued from SEER registries
across Georgia and in Los Angeles, California, were surveyed (n = 5080, response
rate = 70%). Responses were merged with SEER data and results of clinical genetic
tests, either BRCA1 and BRCA2 (BRCA1/2) sequencing only or including additional
other genes (multiple-gene sequencing), provided by 4 laboratories. Main Outcomes
and Measures: Type of testing (multiple-gene sequencing vs BRCA1/2-only
sequencing), test results (negative, variant of unknown significance, or
pathogenic variant), patient experiences with testing (timing of testing, who
discussed results), and treatment (strength of patient consideration of, and
surgeon recommendation for, prophylactic mastectomy), and prophylactic mastectomy
receipt. We defined a patient subgroup with higher pretest risk of carrying a
pathogenic variant according to practice guidelines. Results: Among 5026 patients
(mean [SD] age, 59.9 [10.7] years), 1316 (26.2%) were linked to genetic results
from any laboratory. Multiple-gene sequencing increasingly replaced BRCA1/2-only
testing over time: in 2013, the rate of multiple-gene sequencing was 25.6% and
BRCA1/2-only testing, 74.4%; in 2015 the rate of multiple-gene sequencing was
66.5% and BRCA1/2-only testing, 33.5%. Multiple-gene sequencing was more often
ordered by genetic counselors (multiple-gene sequencing, 25.5% and BRCA1/2-only
testing, 15.3%) and delayed until after surgery (multiple-gene sequencing, 32.5%
and BRCA1/2-only testing, 19.9%). Multiple-gene sequencing substantially
increased rate of detection of any pathogenic variant (multiple-gene sequencing:
higher-risk patients, 12%; average-risk patients, 4.2% and BRCA1/2-only testing:
higher-risk patients, 7.8%; average-risk patients, 2.2%) and variants of
uncertain significance, especially in minorities (multiple-gene sequencing: white
patients, 23.7%; black patients, 44.5%; and Asian patients, 50.9% and BRCA1/2
only testing: white patients, 2.2%; black patients, 5.6%; and Asian patients,
0%). Multiple-gene sequencing was not associated with an increase in the rate of
prophylactic mastectomy use, which was highest with pathogenic variants in
BRCA1/2 (BRCA1/2, 79.0%; other pathogenic variant, 37.6%; variant of uncertain
significance, 30.2%; negative, 35.3%). Conclusions and Relevance: Multiple-gene
sequencing rapidly replaced BRCA1/2-only testing for patients with breast cancer
in the community and enabled 2-fold higher detection of clinically relevant
pathogenic variants without an associated increase in prophylactic mastectomy.
However, important targets for improvement in the clinical utility of multiple
gene sequencing include postsurgical delay and racial/ethnic disparity in
variants of uncertain significance.
PMID- 29801094
TI - Frequency of Plaque Dislodgement and Embolization in Transradial vs Transfemoral
Approaches for Left-Sided Cardiac Catheterization: Clinically Silent vs
Clinically Apparent Embolism.
PMID- 29801093
TI - Association Between Prescription Drug Monitoring Programs and Nonfatal and Fatal
Drug Overdoses: A Systematic Review.
AB - Background: Prescription drug monitoring programs (PDMPs) are a key component of
the president's Prescription Drug Abuse Prevention Plan to prevent opioid
overdoses in the United States. Purpose: To examine whether PDMP implementation
is associated with changes in nonfatal and fatal overdoses; identify features of
programs differentially associated with those outcomes; and investigate any
potential unintended consequences of the programs. Data Sources: Eligible
publications from MEDLINE, Current Contents Connect (Clarivate Analytics),
Science Citation Index (Clarivate Analytics), Social Sciences Citation Index
(Clarivate Analytics), and ProQuest Dissertations indexed through 27 December
2017 and additional studies from reference lists. Study Selection: Observational
studies (published in English) from U.S. states that examined an association
between PDMP implementation and nonfatal or fatal overdoses. Data Extraction: 2
investigators independently extracted data from and rated the risk of bias (ROB)
of studies by using established criteria. Consensus determinations involving all
investigators were used to grade strength of evidence for each intervention. Data
Synthesis: Of 2661 records, 17 articles met the inclusion criteria. These
articles examined PDMP implementation only (n = 8), program features only (n =
2), PDMP implementation and program features (n = 5), PDMP implementation with
mandated provider review combined with pain clinic laws (n = 1), and PDMP
robustness (n = 1). Evidence from 3 studies was insufficient to draw conclusions
regarding an association between PDMP implementation and nonfatal overdoses. Low
strength evidence from 10 studies suggested a reduction in fatal overdoses with
PDMP implementation. Program features associated with a decrease in overdose
deaths included mandatory provider review, provider authorization to access PDMP
data, frequency of reports, and monitoring of nonscheduled drugs. Three of 6
studies found an increase in heroin overdoses after PDMP implementation.
Limitation: Few studies, high ROB, and heterogeneous analytic methods and outcome
measurement. Conclusion: Evidence that PDMP implementation either increases or
decreases nonfatal or fatal overdoses is largely insufficient, as is evidence
regarding positive associations between specific administrative features and
successful programs. Some evidence showed unintended consequences. Research is
needed to identify a set of "best practices" and complementary initiatives to
address these consequences. Primary Funding Source: National Institute on Drug
Abuse and Bureau of Justice Assistance.
PMID- 29801095
TI - Written Exposure Therapy vs Cognitive Processing Therapy.
PMID- 29801096
TI - Immediate Passage Comprehension and Encoding of Information Into Long-Term Memory
in Children With Normal Hearing: The Effect of Voice Quality and Multitalker
Babble Noise.
AB - Purpose: This study examines how voice quality and multitalker babble noise
affect immediate passage comprehension and the efficiency of information encoding
into long-term memory in children with normal hearing. Method: Eighteen children
(mean age = 9 years) with normal hearing participated. Immediate passage
comprehension performance and delayed performance (after 5 to 8 days) were
assessed for 4 listening conditions: a typical voice in quiet, a typical voice in
noise, a dysphonic voice in quiet, and a dysphonic voice in noise. Results:
Multitalker babble noise had a significant effect on immediate and delayed
performance. This effect was more pronounced for delayed performance. No
significant main effect of voice quality was seen on immediate or delayed
performance. Conclusions: Multitalker babble noise impairs immediate passage
comprehension and encoding of information into long-term memory for later recall
in children with normal hearing. In learning situations where competing speech
signals are present, background noise may reduce the prerequisites for optimal
learning.
PMID- 29801097
TI - The Ethics of Medicaid's Work Requirements and Other Personal Responsibility
Policies.
PMID- 29801098
TI - Written Exposure Therapy vs Cognitive Processing Therapy.
PMID- 29801099
TI - Projected Cancer Incidence Rates and Burden of Incident Cancer Cases in HIV
Infected Adults in the United States Through 2030.
AB - Background: Persons living with HIV (PLWH) have an elevated risk for certain
types of cancer. With modern antiretroviral therapy, PLWH are aging and cancer
rates are changing. Objective: To project cancer incidence rates and burden
(number of new cancer diagnoses) among adult PLWH in the United States through
2030. Design: Descriptive. Setting: HIV/AIDS Cancer Match Study to project cancer
rates and HIV Optimization and Prevention Economics model to project HIV
prevalence. Participants: HIV-infected adults. Measurements: Projected cancer
rates and burden among HIV-infected adults in the United States by age during
2006 to 2030 for AIDS-defining cancer (ADC)-that is, Kaposi sarcoma, non-Hodgkin
lymphoma, and cervical cancer-and certain types of non-AIDS-defining cancer
(NADC). All other cancer types were combined. Results: The proportion of adult
PLWH in the United States aged 65 years or older is projected to increase from
8.5% in 2010 to 21.4% in 2030. Age-specific rates are projected to decrease
through 2030 across age groups for Kaposi sarcoma, non-Hodgkin lymphoma, cervical
cancer, lung cancer, Hodgkin lymphoma, and other cancer types combined, and among
those aged 65 years or older for colon cancer. Prostate cancer rates are
projected to increase. The estimated total cancer burden in PLWH will decrease
from 8150 cases in 2010 (2730 of ADC and 5420 of NADC) to 6690 cases in 2030 (720
of ADC and 5980 of NADC). In 2030, prostate cancer (n = 1590) and lung cancer (n
= 1030) are projected to be the most common cancer types. Limitation: Projections
assume that current trends in cancer incidence rates, HIV transmission, and
survival will continue. Conclusion: The cancer burden among PLWH is projected to
shift, with prostate and lung cancer expected to emerge as the most common types
by 2030. Cancer will remain an important comorbid condition, and expanded access
to HIV therapies and cancer prevention, screening, and treatment is needed.
Primary Funding Source: National Cancer Institute.
PMID- 29801100
TI - Knowledge Removes Discomfort.
PMID- 29801101
TI - Prescription Drug Monitoring Programs: Promising Practices in Need of Refinement.
PMID- 29801103
TI - Quantification of Long-term Survival Benefit in a Comparative Oncology Clinical
Study.
PMID- 29801102
TI - Production of Spanish Grammatical Forms in U.S. Bilingual Children.
AB - Purpose: The purpose of this analysis was to understand how grammatical morpheme
production in Spanish for typically developing Spanish-English bilingual children
relates to mean length of utterance in words (MLUw) and the extent to which
different bilingual profiles influence order of grammatical morpheme acquisition.
Method: Participants included 228 Spanish-English bilingual children ages 4;0-7;6
(years;months). Grammatical morpheme accuracy was evaluated using an experimental
version of the Bilingual English-Spanish Assessment (Pena, Gutierrez-Clellen,
Iglesias, Goldstein, & Bedore, 2014). MLUw data were calculated from children's
narrative samples. Production accuracy of plural nouns, singular and plural
definite articles, preterite tense, imperfect aspect, direct object clitics,
prepositions, subjunctive, and conjunctions was calculated and analyzed as a
function of MLUw in Spanish. Level of accuracy on these forms was compared for
Spanish-dominant and English-dominant groups. Results: Accuracy was significantly
associated with MLUw. The relative difficulty of Spanish grammatical morphemes is
highly similar across different bilingual profiles. Conclusions: There are common
elements of Spanish that are easy (imperfect, plural nouns, singular articles,
conjunctions), medium (plural articles, preterite), or hard (prepositions, direct
object clitics, subjunctive), regardless of whether a child is a Spanish-dominant
or English-dominant bilingual.
PMID- 29801104
TI - Antitrust, Market Exclusivity, and Transparency in the Pharmaceutical Industry.
PMID- 29801105
TI - Computed Tomography in a Patient With Blunt Trauma.
PMID- 29801110
TI - Autoimmune Diseases in Patients With Cutaneous Lupus Erythematosus.
AB - Importance: Increased rates of autoimmune conditions have been reported in
association with systemic lupus erythematosus (SLE). Little is known about
coexisting autoimmune conditions in patients with cutaneous lupus erythematosus
(CLE) without SLE. Objective: To determine the prevalence and risk factors of
having coexisting autoimmune conditions in patients with CLE. Design, Setting,
and Participants: This cross-sectional study was performed from November 2008 to
February 2017 at the University of Texas Southwestern Medical Center (UTSW) and
Parkland Health and Hospital System, Dallas, Texas. Participants were identified
through the UTSW Cutaneous Lupus Registry. All participants had a dermatologist
confirmed diagnosis of CLE using clinicopathological correlation. Exclusion
criteria included age younger than 18 years, and meeting at least 4 American
College of Rheumatology diagnostic criteria for SLE. Participants with CLE and
without concomitant autoimmune diseases were compared by demographic and disease
characteristics. Main Outcomes and Measures: The primary and secondary outcomes
were presence of coexisting autoimmune condition(s) and individual autoimmune
diseases, respectively. Predictor variables significantly associated with
coexisting autoimmune diseases were identified by univariate and multivariable
logistic regression analyses. Results: Among the 285 participants initially
screened, 129 participants with CLE were included (102 [79.1%] female; median
age, 49 years [interquartile range, 38.3-57.1 years]). Coexisting autoimmune
conditions were found in 23 (17.8%). Autoimmune thyroid disease had the highest
frequency at 4.7% (n = 6). Multivariable logistic regression analyses showed that
patients with CLE who were white (odds ratio [OR], 2.88; 95% CI, 1.00-8.29; P =
.0498), never smokers (OR, 3.28; 95% CI, 1.14-9.39; P = .03), had family history
of autoimmune disease (OR, 3.54; 95% CI, 1.21-10.39; P = .02), and history of
positive antinuclear antibody test result (OR, 4.87; 95% CI, 1.69-14.03; P =
.003) had a significant association with having coexisting autoimmune conditions.
Conclusions and Relevance: This study suggests that patients with CLE without
concurrent SLE can have increased rates of coexisting autoimmune conditions.
Collecting a thorough review of systems can prompt clinicians to pursue further
testing and evaluation by other specialists. Future studies investigating
development of coexisting autoimmune conditions over time in the CLE population
are necessary to confirm these findings.
PMID- 29801111
TI - Fulfillment of Postmarketing Requirements to the FDA for Therapies Granted
Oncology Indications Between 2011 and 2016.
PMID- 29801109
TI - Association Between Psychological Interventions and Chronic Pain Outcomes in
Older Adults: A Systematic Review and Meta-analysis.
AB - Importance: Chronic noncancer pain (hereafter referred to as chronic pain) is
common among older adults and managed frequently with pharmacotherapies that
produce suboptimal outcomes. Psychological treatments are recommended, but little
information is available regarding their efficacy in older adults. Objective: To
determine the efficacy of psychological interventions in older adults with
chronic pain and whether treatment effects vary by participant, intervention, and
study characteristics. Data Sources: MEDLINE, Embase, PsycINFO, and the Cochrane
Library were searched from inception to March 29, 2017. Study Selection: Analysis
included studies that (1) used a randomized trial design, (2) evaluated a
psychological intervention that used cognitive behavioral modalities alone or in
combination with another strategy, (3) enrolled individuals with chronic pain
(pain >=3 months) with a sample mean age of 60 years or older, and (4) reported
preintervention and postintervention quantitative data. Data Extraction and
Synthesis: Two of the authors independently extracted data. A mixed-model meta
analysis tested the effects of treatment on outcomes. Analyses were performed to
investigate the association between participant (eg, age), intervention (eg,
treatment mode delivery), and study (eg, methodologic quality) characteristics
with outcomes. Main Outcomes and Measures: Pain intensity was the primary
outcome; secondary outcomes included pain interference, depressive symptoms,
anxiety, catastrophizing beliefs, self-efficacy for managing pain, physical
function, and physical health. Results: Twenty-two studies with 2608 participants
(1799 [69.0%] women) were analyzed. Participants' mean (SD) age was 71.9 (7.1)
years. Differences of standardized mean differences (dD) at posttreatment were
pain intensity (dD = -0.181, P = .006), pain interference (dD = -0.133, P = .12),
depressive symptoms (dD = -0.128, P = .14), anxiety (dD = -0.205, P = .09),
catastrophizing beliefs (dD = -0.184, P = .046), self-efficacy (dD = 0.193, P =
.02), physical function (dD = 0.006, P = .96), and physical health (dD = 0.160, P
= .24). There was evidence of effects persisting beyond the posttreatment
assessment only for pain (dD = -0.251, P = .002). In moderator analyses, only
mode of therapy (group vs individual) demonstrated a consistent effect in favor
of group-based therapy. Conclusions and Relevance: Psychological interventions
for the treatment of chronic pain in older adults have small benefits, including
reducing pain and catastrophizing beliefs and improving pain self-efficacy for
managing pain. These results were strongest when delivered using group-based
approaches. Research is needed to develop and test strategies that enhance the
efficacy of psychological approaches and sustainability of treatment effects
among older adults with chronic pain.
PMID- 29801116
TI - Hip Fractures in Patients With Advanced Dementia: What Treatment Provides the
Best Palliation?
PMID- 29801115
TI - Treatment of Frontal Secondary Headache Attributed to Supratrochlear and
Supraorbital Nerve Entrapment With Oral Medication or Botulinum Toxin Type A vs
Endoscopic Decompression Surgery.
AB - Importance: Endoscopic surgical decompression of the supratrochlear nerve (STN)
and supraorbital nerve (SON) is a new treatment for patients with frontal chronic
headache who are refractory to standard treatment options. Objective: To evaluate
and compare treatment outcomes of oral medication, botulinum toxin type A
(BoNT/A) injections, and endoscopic decompression surgery in frontal secondary
headache attributed to STN and supraorbital SON entrapment. Design, Setting, and
Participants: Prospective cohort study of 22 patients from a single institution
(Diakonessen Hospital Utrecht) with frontal headache of moderate-to-severe
intensity (visual analog scale [VAS] score, 7-10), frontally located, experienced
more than 15 days per month, and described as pressure or tension that
intensifies with pressure on the area of STN and SON. A screening algorithm was
used that included examination, questionnaire, computed tomography of the sinus,
injections of local anesthetic, and BoNT/A in the corrugator muscle.
Interventions: Different oral medication therapy for headache encountered in the
study cohort, as well as BoNT/A injections (15 IU) into the corrugator muscle.
Surgical procedures were performed by a single surgeon using an endoscopic
surgical approach to release the supraorbital ridge periosteum and to bluntly
dissect the glabellar muscle group. Main Outcomes and Measures: Headache VAS
intensity after oral medication and BoNT/A injections. Additionally, early
postoperative follow-up consisted of a daily headache questionnaire that was
evaluated after 1 year. Results: In total, 22 patients (mean [SD] age, 42.0
[15.3] years; 7 men and 15 women) were included in this cohort study. Oral
medication therapy reduced the headache intensity significantly (mean [standard
error of the mean {SEM}] VAS score, 6.45 [0.20] [95% CI, 0.34-3.02; P < .001]
compared with mean [SEM] pretreatment VAS score, 8.13 [0.22]). Botulinum toxin
type A decreased the mean (SEM) headache intensity VAS scores significantly as
well (pretreatment, 8.1 [0.22] vs posttreatment, 2.9 [0.42]; 95% CI, 3.89-6.56; P
< .001). The mean (SEM) pretreatment headache intensity VAS score (8.10 [0.22])
decreased significantly after surgery at 3 months (1.30 [0.55]; 95% CI, 5.48
8.16; P < .001) and 12 months (1.09 [0.50]; 95% CI, 5.71-8.38; P < .001). There
was a significant decrease of headache intensity VAS score in the surgical group
over the BoNT/A group (mean [SEM] VAS score, 2.90 [0.42]) after 3 months (mean
[SEM] VAS score, 1.30 [0.55]; 95% CI, 0.25-2.93; P < .001) and 12 months (mean
[SEM] VAS score, 1.09 [0.50]; 95% CI, 0.48-3.16; P < .001) after surgery.
Conclusions and Relevance: Endoscopic decompression surgery had a long-lasting
successful outcome in this type of frontal secondary headache. Even though BoNT/A
had a positive effect, the effect of surgery was significantly higher. Level of
Evidence: 3.
PMID- 29801118
TI - Data Presentation Error in Results Section.
PMID- 29801117
TI - Good to Begin Well, Better to End Well.
PMID- 29801120
TI - Diagnostic and Therapeutic Management of Nasal Airway Obstruction: Advances in
Diagnosis and Treatment.
AB - Importance: Nasal airway obstruction (NAO) is a common complaint in the
otolaryngologist's office and can have a negative influence on quality of life
(QOL). Existing diagnostic methods have improved, but little consensus exists on
optimal tools. Furthermore, although surgical techniques for nasal obstruction
continue to be developed, effective outcome measurement is lacking. An update of
recent advances in diagnostic and therapeutic management of NAO is warranted.
Objective: To review advances in diagnosis and treatment of NAO from the last 5
years. Evidence Review: PubMed, Embase, CINAHL, the Cochrane Library, LILACS, Web
of Science, and Guideline.gov were searched with the terms nasal obstruction and
nasal blockage and their permutations from July 26, 2012, through October 23,
2017. Studies were included if they evaluated NAO using a subjective and an
objective technique, and in the case of intervention-based studies, the Nasal
Obstruction Symptom Evaluation (NOSE) scale and an objective technique. Exclusion
criteria consisted of animal studies; patients younger than 14 years; nasal
foreign bodies; nasal masses including polyps; choanal atresia; sinus disease;
obstructive sleep apnea or sleep-disordered breathing; allergic rhinitis; and
studies not specific to nasal obstruction. Findings: The initial search resulted
in 942 articles. After independent screening by 2 investigators, 46 unique
articles remained, including 2 randomized clinical trials, 3 systematic reviews,
3 meta-analyses, and 39 nonrandomized cohort studies (including a combined
systematic review and meta-analysis). An aggregate of approximately 32 000
patients were reviewed (including meta-analyses). Of the subjective measures
available for NAO, the NOSE scale is outstanding with regard to disease-specific
validation and correlation with symptoms. No currently available objective
measure can be considered a criterion standard. Structural measures of flow,
pressure, and volume appear to be necessary but insufficient to assess NAO.
Therefore, novel variables and techniques must continue to be explored in search
of an ideal instrument to aid in assessment of surgical outcomes. Conclusions and
Relevance: Nasal airway obstruction is a clinical diagnosis with considerable
effects on QOL. An adequate diagnosis begins with a focused history and physical
examination and requires a patient QOL measure such as the NOSE scale. Objective
measures should be adjunctive and require further validation for widespread
adoption. These results are limited by minimal high-quality evidence among
studies and the risk of bias in observational studies. Level of Evidence: NA.
PMID- 29801119
TI - Primary vs Secondary Endosseous Implantation After Fibular Free Tissue
Reconstruction of the Mandible for Osteoradionecrosis.
AB - Importance: The clinical and financial implications of the timing of dental
rehabilitation after a fibula free tissue transfer (FFTT) for osteoradionecrosis
(ORN) and osteonecrosis (ON) of the mandible have yet to be established.
Objective: To compare the outcomes of primary implantation vs secondary
implantation after FFTT for ORN and ON of the mandible. Design, Setting, and
Participants: A retrospective review was conducted of 23 patients at a single
tertiary academic referral center undergoing primary implantation or secondary
implantation after FFTT for ORN and ON from January 1, 2006, to November 10,
2015. Interventions: All patients underwent FFTT with primary implantation (n =
12) or secondary implantation (n = 11). Main Outcomes and Measures: Outcomes of
FFTT, dental implantation, implant use, diet, speech, and disease-free survival
were reviewed. Fixed unit costs were estimated based on the mean cost analysis.
Results: Twenty-three patients (7 women and 16 men; mean [SD] age, 62.4 [8.2]
years [range, 24-81 years]) met the inclusion criteria. Of these, 18 had ORN and
5 had ON. Dental implantation was performed at the time of FFTT for 12 patients
and was performed secondarily for 11 patients. There were a mean of 5.2 implants
per patient performed, for a total of 121 implants. There was 1 complete flap
failure in the primary implantation group. Neither flap nor implant complications
were affected by the timing of the implantation. Overall, the implant survival
rate was 95% (55 of 58) in the primary implantation group and 98% (62 of 63) in
the secondary implantation group. Time from FFTT to abutment placement (primary
implantation, 19.6 weeks; secondary implantation, 61.0 weeks) was significantly
shorter after primary implantation (P < .001). There was no clinical difference
in postoperative complications and implant outcomes for ORN vs ON. Improvement in
speech and oral competence in the primary implantation group vs the secondary
implantation group was not statistically significant, given an experiment
adjusted P = .001 set as significant (normal speech, 9 vs 3; P = .02; and normal
oral competence, 9 vs 3; P = .02). Disease-free survival was 91% (20 of 22
patients) overall. Fixed unit (U) costs were 1.0 U for primary implantation and
1.24 U for secondary implantation. Conclusions and Relevance: Patients undergoing
primary implantation after FFTT for ORN and ON had a similar rate of
complications compared with those undergoing secondary implantation. However,
primary implantation allowed a faster return than secondary implantation to oral
nutrition and prosthesis use. The fixed unit cost was reduced for those
undergoing primary implantation. Although dental implantation was safe and
effective in both groups, the decreased time to use and the decreased overall
cost should prompt surgeons to consider primary implantation after FFTT for ORN
and ON. Level of Evidence: 3.
PMID- 29801121
TI - Additional Risk Factors for Breast Implant-Associated Anaplastic Large Cell
Lymphoma-Reply.
PMID- 29801122
TI - Association of Clinical Outcomes With Surgical Repair of Hip Fracture vs
Nonsurgical Management in Nursing Home Residents With Advanced Dementia.
AB - Importance: The decision whether to surgically repair a hip fracture in nursing
home (NH) residents with advanced dementia can be challenging. Objective: To
compare outcomes, including survival, among NH residents with advanced dementia
and hip fracture according to whether they underwent surgical hip fracture
repair. Design, Setting, and Participants: We conducted a retrospective cohort
study of 3083 NH residents with advanced dementia and hip fracture, but not
enrolled in hospice care, using nationwide Medicare claims data linked with
Minimum Data Set (MDS) assessments from January 1, 2008, through December 31,
2013. Methods: Residents with advanced dementia were identified using the MDS.
Medicare claims were used to identify hip fracture and to determine whether the
fracture was managed surgically. Survival between surgical and nonsurgical
residents was compared using multivariable Cox proportional hazards with inverse
probability of treatment weighting (IPTW). All analyses took place between
November 2015 and January 2018. Among 6-month survivors, documented pain,
antipsychotic drug use, physical restraint use, pressure ulcers, and ambulatory
status were compared between surgical and nonsurgical groups. Results: Among 3083
residents with advanced dementia and hip fracture (mean age, 84.2 years; 79.2%
female [n = 2441], 28.5% ambulatory [n = 879]), 2615 (84.8%) underwent surgical
repair. By 6-month follow-up, 31.5% (n = 824) and 53.8% (n = 252) of surgically
and nonsurgically managed residents died, respectively. After IPTW modeling,
surgically managed residents were less likely to die than residents without
surgery (adjusted hazard ratio [aHR], 0.88; 95% CI, 0.79-0.98). Among 2007
residents who survived 6 months, residents with surgical vs nonsurgical
management had less docmented pain (29.0% [n = 465] vs 30.9% [n = 59]) and fewer
pressure ulcers (11.2% [n = 200] vs 19.0% [n = 41]). In IPTW models, surgically
managed residents reported less pain (aHR, 0.78; 95% CI, 0.61-0.99) and pressure
ulcers (aHR, 0.64; 95% CI, 0.47-0.86). There was no difference between
antipsychotic drug use and physical restraint use between the groups. Few
survivors remained ambulatory (10.7% [n = 55] of surgically managed vs 4.8% [n =
1] without surgery). Conclusions and Relevance: Surgical repair of a hip fracture
was associated with lower mortality among NH residents with advanced dementia and
should be considered together with the residents' goals of care in management
decisions. Pain and other adverse outcomes were common regardless of surgical
management, suggesting the need for broad improvements in the quality of care
provided to NH residents with advanced dementia and hip fracture.
PMID- 29801124
TI - Error in Figure Label and Caption.
PMID- 29801123
TI - Efficacy and Safety of Lampalizumab for Geographic Atrophy Due to Age-Related
Macular Degeneration: Chroma and Spectri Phase 3 Randomized Clinical Trials.
AB - Importance: Geographic atrophy (GA) secondary to age-related macular degeneration
is a leading cause of visual disability in older individuals. A phase 2 trial
suggested that lampalizumab, a selective complement factor D inhibitor, reduced
the rate of GA enlargement, warranting phase 3 trials. Objective: To assess the
safety and efficacy of lampalizumab vs sham procedure on enlargement of GA.
Design, Setting, and Participants: Two identically designed phase 3 double
masked, randomized, sham-controlled clinical trials, Chroma and Spectri, enrolled
participants from August 28, 2014, to October 6, 2016, at 275 sites in 23
countries. Participants were aged 50 years or older, with bilateral GA and no
prior or active choroidal neovascularization in either eye and GA lesions in the
study eye measuring 2.54 to 17.78 mm2 with diffuse or banded fundus
autofluorescence patterns. Interventions: Participants were randomized 2:1:2:1 to
receive 10 mg of intravitreous lampalizumab every 4 weeks, sham procedure every 4
weeks, 10 mg of lampalizumab every 6 weeks, or sham procedure every 6 weeks,
through 96 weeks. Main Outcomes and Measures: Safety and efficacy assessed as
mean change from baseline in GA lesion area at week 48 from centrally read fundus
autofluorescence images of the lampalizumab arms vs pooled sham arms, in the
intent-to-treat population and by complement factor I-profile genetic biomarker.
Results: A total of 906 participants (553 women and 353 men; mean [SD] age, 78.1
[8.1] years) were enrolled in Chroma and 975 participants (578 women and 397 men;
mean [SD] age, 77.9 [8.1] years) were enrolled in Spectri; 1733 of the 1881
participants (92.1%) completed the studies through 48 weeks. The adjusted mean
increases in GA lesion area from baseline at week 48 were 1.93 to 2.09 mm2 across
all groups in both studies. Differences in adjusted mean change in GA lesion area
(lampalizumab minus sham) were -0.02 mm2 (95% CI, -0.21 to 0.16 mm2; P = .80) for
lampalizumab every 4 weeks in Chroma, 0.16 mm2 (95% CI, 0.00-0.31 mm2; P = .048)
for lampalizumab every 4 weeks in Spectri, 0.05 mm2 (95% CI, -0.13 to 0.24 mm2; P
= .59) for lampalizumab every 6 weeks in Chroma, and 0.09 mm2 (95% CI, -0.07 to
0.24 mm2; P = .27) for lampalizumab every 6 weeks in Spectri. No benefit of
lampalizumab was observed across prespecified subgroups, including by complement
factor I-profile biomarker. Endophthalmitis occurred after 5 of 12 447 injections
(0.04%) or in 5 of 1252 treated participants (0.4%) through week 48. Conclusions
and Relevance: In Chroma and Spectri, the largest studies of GA conducted to
date, lampalizumab did not reduce GA enlargement vs sham during 48 weeks of
treatment. Results highlight the substantial and consistent enlargement of GA, at
a mean of approximately 2 mm2 per year. Trial Registration: ClinicalTrials.gov
Identifier: NCT02247479 and NCT02247531.
PMID- 29801127
TI - February Sunrise.
PMID- 29801126
TI - Delayed Generic Market Saturation After Patent Expiration-A Billion-Dollar
Problem.
PMID- 29801128
TI - Rigor in Quality Improvement Studies and the Role of Time-Series Methodologies.
PMID- 29801130
TI - Voluntarily Stopping and Eating and Drinking Among Patients With Serious Advanced
Illness-A Label in Search of a Problem?
PMID- 29801129
TI - Rigor in Quality Improvement Studies and the Role of Time-Series Methodologies.
PMID- 29801131
TI - Uncoupling Diagnosis and Treatment of Incidentally Imaged Renal Masses.
PMID- 29801132
TI - Uncoupling Diagnosis and Treatment of Incidentally Imaged Renal Masses.
PMID- 29801133
TI - Potential Methods to Reduce Unnecessary Use of Laboratory Testing.
PMID- 29801134
TI - Admitting What We Do Not Know in Rheumatologic Disease-The First Step Toward
Learning More.
PMID- 29801135
TI - Dual Bronchodilators and beta-Blockade for Cardiovascular Risk in Chronic
Obstructive Pulmonary Disease.
PMID- 29801136
TI - Rigor in Quality Improvement Studies and the Role of Time-Series Methodologies
Reply.
PMID- 29801137
TI - Admitting What We Do Not Know in Rheumatologic Disease-The First Step Toward
Learning More-Reply.
PMID- 29801138
TI - Voluntary Stopping and Eating and Drinking Among Patients With Serious Advanced
Illness-A Label in Search of a Problem?-Reply.
PMID- 29801139
TI - Uncoupling Diagnosis and Treatment of Incidentally Imaged Renal Masses-Reply.
PMID- 29801140
TI - Potential Methods to Reduce Unnecessary Use of Laboratory Testing-Reply.
PMID- 29801141
TI - Dual Bronchodilators and beta-Blockade for Cardiovascular Risk in Chronic
Obstructive Pulmonary Disease-Reply.
PMID- 29801143
TI - Tumor Lysis Syndrome.
PMID- 29801144
TI - Blood Pressure Changes After Adenotonsillectomy in Children With Obstructive
Sleep Apnea-Reply.
PMID- 29801146
TI - USPTF Prostate Cancer Screening Recommendations-A Step in the Right Direction.
PMID- 29801147
TI - Omitted Medical Illustrator Credit.
PMID- 29801145
TI - Association of Mild Traumatic Brain Injury With and Without Loss of Consciousness
With Dementia in US Military Veterans.
AB - Importance: Traumatic brain injury (TBI) is common in both veteran and civilian
populations. Prior studies have linked moderate and severe TBI with increased
dementia risk, but the association between dementia and mild TBI, particularly
mild TBI without loss of consciousness (LOC), remains unclear. Objective: To
examine the association between TBI severity, LOC, and dementia diagnosis in
veterans. Design, Setting, and Participants: This cohort study of all patients
diagnosed with a TBI in the Veterans Health Administration health care system
from October 1, 2001, to September 30, 2014, and a propensity-matched comparison
group. Patients with dementia at baseline were excluded. Researchers identified
TBIs through the Comprehensive TBI Evaluation database, which is restricted to
Iraq and Afghanistan veterans, and the National Patient Care Database, which
includes veterans of all eras. The severity of each TBI was based on the most
severe injury recorded and classified as mild without LOC, mild with LOC, mild
with LOC status unknown, or moderate or severe using Department of Defense or
Defense and Veterans Brain Injury Center criteria. International Classification
of Diseases, Ninth Revision codes were used to identify dementia diagnoses during
follow-up and medical and psychiatric comorbidities in the 2 years prior to the
index date. Main Outcomes and Measures: Dementia diagnosis in veterans who had
experienced TBI with or without LOC and control participants without TBI
exposure. Results: The study included 178 779 patients diagnosed with a TBI in
the Veterans Health Administration health care system and 178 779 patients in a
propensity-matched comparison group. Veterans had a mean (SD) age of nearly 49.5
(18.2) years at baseline; 33 250 (9.3%) were women, and 259 136 (72.5%) were non
Hispanic white individuals. Differences between veterans with and without TBI
were small. A total of 4698 veterans (2.6%) without TBI developed dementia
compared with 10 835 (6.1%) of those with TBI. After adjustment for demographics
and medical and psychiatric comobidities, adjusted hazard ratios for dementia
were 2.36 (95% CI, 2.10-2.66) for mild TBI without LOC, 2.51 (95% CI, 2.29-2.76)
for mild TBI with LOC, 3.19 (95% CI, 3.05-3.33) for mild TBI with LOC status
unknown, and 3.77 (95% CI, 3.63-3.91) for moderate to severe TBI. Conclusions and
Relevance: In this cohort study of more than 350 000 veterans, even mild TBI
without LOC was associated with more than a 2-fold increase in the risk of
dementia diagnosis. Studies of strategies to determine mechanisms, prevention,
and treatment of TBI-related dementia in veterans are urgently needed.
PMID- 29801148
TI - Tetramethylpyrazine in a Murine Alkali-Burn Model Blocks NFkappaB/NRF-1/CXCR4
Signaling-Induced Corneal Neovascularization.
AB - Purpose: Tetramethylpyrazine (TMP) is the active ingredient extracted from the
Chinese herb Chuanxiong. The purpose of our study was to identify the mechanism
of therapeutic TMP suppression of pathologic chemokine receptor 4 (CXCR4)
transcription. Methods: C57BL/6J mice with alkali-burned corneas were treated
with either TMP eye drops (1.5 mg/mL) or PBS. Corneal neovascularization (CNV)
was measured and a clinical assessment was made by slit lamp microscopy.
Expression of CXCR4 and the transcription factors nuclear respiratory factor-1
(NRF-1), nuclear factor kappa B (NFkappaB), forkhead box C1, and yin yang 1 were
tracked by real-time RT-PCR and immunofluorescence staining of murine corneas.
Western blot, real-time PCR, and immunofluorescence evaluated expression of
related genes in human umbilical vein endothelial cells (HUVECs) after 200
MUmol/L TMP treatment. In addition, plasmid transfection and chromatin
immunoprecipitation assays elucidated the relationship among NRF-1, NFkappaB, and
CXCR4. Results: Corneas treated with TMP had smaller areas of neovascularization
and scored better in clinical assessments. Injured corneas showed significantly
elevated expressions of NRF-1, NFkappaB, and CXCR4 that were normalized in vivo
by TMP treatment. Similarly, in HUVECs in vitro, TMP decreased expression of NRF
1, NFkappaB, and CXCR4. Overexpression of NFkappaB or NRF-1 raised the expression
of CXCR4 in HUVECs, but not synergistically. Chromatin immunoprecipitation assays
detected only NRF-1 bound to the CXCR4 promoter region, suggesting NFkappaB
controls CXCR4 expression by upregulating NRF-1. Together, our data suggest TMP
downregulates CXCR4 by repressing NRF-1 expression in CNV, likely indirectly by
downregulating NFkappaB. Conclusions: Our results implicate a novel mechanism
wherein TMP inhibits neovascularization via an NFkappaB/NRF-1/CXCR4 circuit.
PMID- 29801149
TI - Color Vision in Aniridia.
AB - Purpose: To assess color vision and its association with retinal structure in
persons with congenital aniridia. Methods: We included 36 persons with congenital
aniridia (10-66 years), and 52 healthy, normal trichromatic controls (10-74
years) in the study. Color vision was assessed with Hardy-Rand-Rittler (HRR)
pseudo-isochromatic plates (4th ed., 2002); Cambridge Color Test and a low-vision
version of the Color Assessment and Diagnosis test (CAD-LV). Cone-opsin genes
were analyzed to confirm normal versus congenital color vision deficiencies.
Visual acuity and ocular media opacities were assessed. The central 30 degrees
of both eyes were imaged with the Heidelberg Spectralis OCT2 to grade the
severity of foveal hypoplasia (FH, normal to complete: 0-4). Results: Five
participants with aniridia had cone opsin genes conferring deutan color vision
deficiency and were excluded from further analysis. Of the 31 with aniridia and
normal opsin genes, 11 made two or more red-green (RG) errors on HRR, four of
whom also made yellow-blue (YB) errors; one made YB errors only. A total of 19
participants had higher CAD-LV RG thresholds, of which eight also had higher CAD
LV YB thresholds, than normal controls. In aniridia, the thresholds were higher
along the RG than the YB axis, and those with a complete FH had significantly
higher RG thresholds than those with mild FH (P = 0.038). Additional increase in
YB threshold was associated with secondary ocular pathology. Conclusions:
Arrested foveal formation and associated alterations in retinal processing are
likely to be the primary reason for impaired red-green color vision in aniridia.
PMID- 29801151
TI - Importance of Considering the Middle Capillary Plexus on OCT Angiography in
Diabetic Retinopathy.
AB - Purpose: To quantify microvasculature changes in the superficial (SCP), middle
(MCP), and deep capillary plexuses (DCP) in diabetic retinopathy (DR). Methods:
Retrospective cross-sectional study at a tertiary academic referral center, in
which 26 controls (44 eyes), 27 diabetic subjects without retinopathy (44 eyes),
32 subjects with nonproliferative retinopathy (52 eyes), and 27 subjects with
proliferative retinopathy (40 eyes) were imaged with optical coherence tomography
angiography (OCTA). Outcome measures included parafoveal vessel density (VD),
percentage area of nonperfusion (PAN), and adjusted flow index (AFI) at the
different plexuses. Results: MCP VD and MCP AFI decreased with worsening DR,
while PAN increased, mirroring changes within the DCP. The fitted regression line
for MCP and DCP AFI were significantly different than the SCP, while DCP PAN
differed from SCP PAN with disease progression. Higher SCP AFI and PAN were
different in eyes with diabetes without retinopathy compared with controls.
Unexpectedly, sex was found to independently influence MCP VD and AFI with
worsening disease. Conclusions: OCTA parameters in the MCP and DCP displayed
parallel changes with DR progression, different from the SCP, emphasizing the
importance of physiologic considerations in the retinal capillaries. Thus,
segmentation protocols that include the MCP within the SCP may be confounded. A
difference in DCP PAN with worsening DR was unmasked relative to a prior study
that included the MCP with SCP. We confirm that SCP AFI and PAN may serve as
early indicators of microvascular changes in DR and identify an interaction
between sex and the MCP deserving further study.
PMID- 29801150
TI - BMP and Activin Membrane Bound Inhibitor Regulates the Extracellular Matrix in
the Trabecular Meshwork.
AB - Purpose: The trabecular meshwork (TM) has an important role in the regulation of
aqueous humor outflow and IOP. Regulation of the extracellular matrix (ECM) by
TGFbeta2 has been studied extensively. Bone morphogenetic protein (BMP) and
activin membrane-bound inhibitor (BAMBI) has been shown to inhibit or modulate
TGFbeta2 signaling. We investigate the role of TGFbeta2 and BAMBI in the
regulation of TM ECM and ocular hypertension. Methods: Mouse TM (MTM) cells were
isolated from B6;129S1-Bambitm1Jian/J flox mice, characterized for TGFbeta2 and
dexamethasone (DEX)-induced expression of fibronectin, collagen-1, collagen-4,
laminin, alpha-smooth muscle actin, cross-linked actin networks (CLANs)
formation, and DEX-induced myocilin (MYOC) expression. MTM cells were transduced
with Ad5.GFP to identify transduction efficiency. MTM cells and mouse eyes were
transduced with Ad5.Null, Ad5.Cre, Ad5.TGFbeta2, or Ad5.TGFbeta2 + Ad5.Cre to
evaluate the effect on ECM production, IOP, and outflow facility. Results: MTM
cells express TM markers and respond to DEX and TGFbeta2. Ad5.GFP at 100 MOI had
the highest transduction efficiency. Bambi knockdown by Ad5.Cre and Ad5.TGFbeta2
increased fibronectin, collagen-1, and collagen-4 in TM cells in culture and
tissue. Ad5.Cre, Ad5.TGFbeta2, and Ad5.TGFbeta2 + Ad5.Cre each significantly
induced ocular hypertension and lowered aqueous humor outflow facility in
transduced eyes. Conclusions: We show for the first time to our knowledge that
knockdown of Bambi alters ECM expression in cultured cells and mouse TM, reduces
outflow facility, and causes ocular hypertension. These data provide a novel
insight into the development of glaucomatous TM damage and identify BAMBI as an
important regulator of TM ECM and ocular hypertension.
PMID- 29801152
TI - Longitudinal Evaluation of Accommodation During Treatment for Unilateral
Amblyopia.
AB - Purpose: Retinal image quality is dependent on accommodative performance. This
longitudinal observational study of children with unilateral amblyopia evaluated
the accommodative performance of the amblyopic eye during treatment. Methods:
Twenty-six participants with unilateral amblyopia and 10 participants with
typical vision aged 3 to 10 years participated. Accommodative response was
measured using modified Nott retinoscopy in monocular and binocular viewing
conditions for target distances of 50, 33, and 25 cm, at enrollment and each
follow-up visit. Results: Participants with amblyopia accommodated less
accurately when viewing with their amblyopic eye in monocular than in binocular
conditions. Over the course of amblyopia treatment, accommodative performance
improved with amblyopic eye visual acuity (VA) improvement, although this was not
consistent across individual participants. A linear mixed model showed that
accommodative error worsened with increasing depth of amblyopia for monocular
viewing with the amblyopic eye (0.14 diopter [D] per line of acuity loss, P =
0.001), with an interaction between VA and stimulus demand (0.09 D of additional
lag per diopter of stimulus, per line of acuity loss, P < 0.001). Participant
age, patching duration, length of time in the study, history of strabismus, and
stereoacuity were not significant predictors of accommodative performance.
Conclusions: Overall, poor monocular accommodative performance of the amblyopic
eye was associated with worse amblyopia and improved simultaneously with VA
improvement, although there was variability across the study cohort. Further
research is needed to determine the causal relationship between amblyopic eye VA
and accommodation and its impact on amblyopia treatment.
PMID- 29801153
TI - The Level of Inflammatory Tear Cytokines is Elevated in Congenital Aniridia and
Associated with Meibomian Gland Dysfunction.
AB - Purpose: To investigate the tear cytokine profile in congenital aniridia, and
correlate cytokine levels with ophthalmologic findings. Methods: We examined 35
patients with aniridia and 21 healthy controls. Tear fluid was collected with
Schirmer I test and capillary tubes from each eye, and the concentration of 27
inflammatory cytokines determined using multiplex bead assay. Eyes of all
participants were examined with tests for dry eye disease, including evaluation
of meibomian glands (meibography). Differences in cytokine levels between the two
groups were analyzed, and correlations between cytokine concentrations and
ophthalmologic findings in the aniridia group investigated. Results: The
concentrations of six tear cytokines were significantly higher in aniridia
patients than controls in both eyes, and included interleukin 1beta (IL-1beta),
IL-9, IL-17A; eotaxin; basic fibroblast growth factor (bFGF/FGF2); and macrophage
inflammatory protein 1alpha (MIP-1alpha/CCL3). The ratio between the anti
inflammatory IL-1RA and the proinflammatory IL-1beta was significantly lower in
patients than controls in both eyes (P = 0.005 right eye and P = 0.001 left eye).
Increasing concentration of IL-1beta, IL-9, IL-17A, FGF2, and MIP-1alpha
correlated with parameters for meibomian gland dysfunction (MGD) in the aniridia
group, including increasing atrophy of meibomian glands, and shorter break-up
time of the tear film. Conclusions: A number of pro-inflammatory cytokines are
significantly elevated in tear fluid from aniridia patients, and correlate with
parameters for MGD in aniridia. Increased inflammation of the ocular surface may
be a factor in the development of MGD in aniridia patients, and explain the high
prevalence of MGD and dry eye disease in these patients.
PMID- 29801154
TI - Peripheral Defocus of the Monkey Crystalline Lens With Accommodation in a Lens
Stretcher.
AB - Purpose: To characterize the peripheral defocus of the monkey crystalline lens
and its changes with accommodation. Methods: Experiments were performed on 15
lenses from 11 cynomolgus monkey eyes (age: 3.8-12.4 years, postmortem time: 33.5
+/- 15.3 hours). The tissue was mounted in a motorized lens stretcher to allow
for measurements of the lens in the accommodated (unstretched) and unaccommodated
(stretched) states. A custom-built combined laser ray tracing and optical
coherence tomography system was used to measure the paraxial on-axis and off-axis
lens power for delivery angles ranging from -20 degrees to +20 degrees (in
air). For each delivery angle, peripheral defocus was quantified as the
difference between paraxial off-axis and on-axis power. The peripheral defocus of
the lens was compared in the unstretched and stretched states. Results: On
average, the paraxial on-axis lens power was 52.0 +/- 3.4 D in the unstretched
state and 32.5 +/- 5.1 D in the stretched state. In both states, the lens power
increased with increasing delivery angle. From 0 degrees to +20 degrees , the
relative peripheral lens power increased by 10.7 +/- 1.4 D in the unstretched
state and 7.5 +/- 1.6 D in the stretched state. The change in field curvature
with accommodation was statistically significant (P < 0.001), indicating that the
unstretched (accommodated) lens has greater curvature or relative peripheral
power. Conclusions: The cynomolgus monkey lens has significant accommodation
dependent curvature of field, which suggests that the lens asserts a significant
contribution to the peripheral optical performance of the eye that also varies
with the state of accommodation.
PMID- 29801155
TI - Direct and Indirect Associations Between Diabetes and Intraocular Pressure: The
Singapore Epidemiology of Eye Diseases Study.
AB - Purpose: The association between diabetes and IOP is controversial; diabetes is
associated with thicker central corneal thickness (CCT), and thicker CCT is
associated with higher IOP. We therefore aimed to clarify the diabetes-IOP
association, considering CCT as a potential mediator. Methods: We included 8636
participants from the Singapore Epidemiology of Eye Diseases (SEED) Study.
Associations of diabetes, serum glucose, or HbA1c with IOP were assessed using
regressions models, with adjustments for potential confounding factors.
Regression-based mediation (path) analyses were further performed to evaluate the
indirect effects of diabetes on IOP through the mediator (CCT), in addition to
the direct effect of diabetes on IOP. Results: Of the 8636 participants, 2524
(29.23%) had diabetes. Diabetes, higher serum glucose, or HbA1c levels were all
associated with higher IOP (all P < 0.01). The effect of diabetes on IOP was
partially and minimally mediated through CCT; the proportion of mediating effect
of CCT was 11.09% of the total effect of diabetes on IOP. Axial length and
spherical equivalent were not mediating variables in the diabetes-IOP
association. Findings were consistent across three ethnicity groups. Conclusions:
Diabetes or higher long-term hyperglycemia was associated with higher IOP. CCT
contributed a small proportion of mediating effect to the total effect of
diabetes on IOP. We conclude that high IOP observed in diabetes is mainly due to
the direct association of diabetes and IOP, and this finding may have
pathophysiologic significance with respect to the risk of glaucoma among persons
with diabetes.
PMID- 29801156
TI - Effectiveness of Instructional Interventions for Hemorrhage Control Readiness for
Laypersons in the Public Access and Tourniquet Training Study (PATTS): A
Randomized Clinical Trial.
AB - Importance: Several national initiatives have emerged to empower laypersons to
act as immediate responders to reduce preventable deaths from uncontrolled
bleeding. Point-of-care instructional interventions have been developed in
response to the scalability challenges associated with in-person training.
However, to our knowledge, their effectiveness for hemorrhage control has not
been established. Objective: To evaluate the effectiveness of different
instructional point-of-care interventions and in-person training for hemorrhage
control compared with no intervention and assess skill retention 3 to 9 months
after hemorrhage control training. Design, Setting, and Participants: This
randomized clinical trial of 465 laypersons was conducted at a professional
sports stadium in Massachusetts with capacity for 66 000 people and assessed
correct tourniquet application by using different point-of-care interventions
(audio kits and flashcards) and a Bleeding Control Basic (B-Con) course. Non-B
Con arms received B-Con training after initial testing (conducted from April 2017
to August 2017). Retesting for 303 participants (65%) was performed 3 to 9 months
after training (October 2017 to January 2018) to evaluate B-Con retention. A
logistic regression for demographic associations was performed for retention
testing. Interventions: Participants were randomized into 4 arms: instructional
flashcards, audio kits with embedded flashcards, B-Con, and control. All
participants received B-Con training to later assess retention. Main Outcomes and
Measures: Correct tourniquet application in a simulated scenario. Results: Of the
465 participants, 189 (40.7%) were women and the mean (SD) age was 46.3 (16.1)
years. For correct tourniquet application, B-Con (88% correct application [n =
122]; P < .001) was superior to control (n = 104 [16%]) while instructional
flashcards (n = 117 [19.6%]) and audio kit (n = 122 [23%]) groups were not. More
than half of participants in point-of-care arms did not use the educational
prompts as intended. Of 303 participants (65%) who were assessed 3 to 9 months
after undergoing B-Con training, 165 (54.5%) could correctly apply a tourniquet.
Over this period, there was no further skill decay in the adjusted model that
treated time as either linear (odds ratio [OR], 0.98; 95% CI, 0.95-1.03) or
quadratic (OR, 1.00; 95% CI, 1.00-1.00). The only demographic that was associated
with correct application at retention was age; adults aged 18 to 35 years (n =
58; OR, 2.39; 95% CI, 1.21-4.72) and aged 35 to 55 years (n = 107; OR, 1.77; 95%
CI, 1.04-3.02) were more likely to be efficacious than those older than 55 years
(n = 138). Conclusions and Relevance: In-person hemorrhage control training for
laypersons is currently the most efficacious means of enabling bystanders to act
to control hemorrhage. Laypersons can successfully perform tourniquet application
after undergoing a 1-hour course. However, only 54.5% retain this skill after 3
to 9 months, suggesting that investigating refresher training or improved point
of-care instructions is critical. Trial Registration: ClinicalTrials.gov
Identifier: NCT03479112.
PMID- 29801157
TI - A Survey of Interventional Cardiologists' Attitudes and Beliefs About Public
Reporting of Percutaneous Coronary Intervention.
AB - Importance: Public reporting of procedural outcomes has been associated with
lower rates of percutaneous coronary intervention (PCI) and worse outcomes after
myocardial infarction. Contemporary data are limited on the influence of public
reporting on interventional cardiologists' clinical decision making. Objective:
To survey a contemporary cohort of interventional cardiologists in Massachusetts
and New York about how public reporting of PCI outcomes influences clinical
decision making. Design, Setting, and Participants: An online survey was
developed with public reporting experts and administered electronically to
eligible physicians in Massachusetts and New York who were identified by Doximity
(an online physician networking site) and 2014 Medicare fee-for-service claims
for PCI procedures. The personal and hospital characteristics of participants
were ascertained via a comprehensive database from Doximity and the American
Hospital Association annual surveys of US hospitals (2012 and 2013) and linked to
survey responses. Associations between survey responses and characteristics of
participants were evaluated in univariable and multivariable analyses. Main
Outcomes and Measures: Reported rate of avoidance of performing PCIs in high-risk
patients and of perception of pressure from colleagues to avoid performing PCIs.
Results: Of the 456 physicians approached, 149 (32.7%) responded, including 67 of
129 (51.9%) in Massachusetts and 82 of 327 (25.1%) in New York. The mean (SD) age
was 49 (9.2) years; 141 of 149 participants (94.6%) were men. Most participants
reported practicing at medium to large, nonprofit hospitals with high-volume
cardiac catheterization laboratories and cardiothoracic surgery capabilities. In
2014, participants had higher annual PCI volumes among Medicare patients than
nonparticipants did (median, 31; interquartile range [IQR], 13-47 vs median, 17;
IQR, 0-41; P < .001). Among participants, 65% reported avoiding PCIs on at least
2 occasions becase of concern that a bad outcome would negatively impact their
publicly reported outcomes; 59% reported sometimes or often being pressured by
colleagues to avoid performing PCIs because of a concern about the patient's risk
of death. After multivariable adjustment, more years of experience practicing
interventional cardiology was associated with lower odds of PCI avoidance. The
state of practice was not associated with survey responses. Conclusions and
Relevance: Current PCI public reporting programs can foster risk-averse clinical
practice patterns, which do not vary significantly between interventional
cardiologists in New York and Massachusetts. Coordinated efforts by policy
makers, health systems leadership, and the interventional cardiology community
are needed to mitigate these unintended consequences.
PMID- 29801158
TI - Public Health Consequences of e-Cigarette Use.
PMID- 29801159
TI - Automated Diagnosis of Plus Disease in Retinopathy of Prematurity Using Deep
Convolutional Neural Networks.
AB - Importance: Retinopathy of prematurity (ROP) is a leading cause of childhood
blindness worldwide. The decision to treat is primarily based on the presence of
plus disease, defined as dilation and tortuosity of retinal vessels. However,
clinical diagnosis of plus disease is highly subjective and variable. Objective:
To implement and validate an algorithm based on deep learning to automatically
diagnose plus disease from retinal photographs. Design, Setting, and
Participants: A deep convolutional neural network was trained using a data set of
5511 retinal photographs. Each image was previously assigned a reference standard
diagnosis (RSD) based on consensus of image grading by 3 experts and clinical
diagnosis by 1 expert (ie, normal, pre-plus disease, or plus disease). The
algorithm was evaluated by 5-fold cross-validation and tested on an independent
set of 100 images. Images were collected from 8 academic institutions
participating in the Imaging and Informatics in ROP (i-ROP) cohort study. The
deep learning algorithm was tested against 8 ROP experts, each of whom had more
than 10 years of clinical experience and more than 5 peer-reviewed publications
about ROP. Data were collected from July 2011 to December 2016. Data were
analyzed from December 2016 to September 2017. Exposures: A deep learning
algorithm trained on retinal photographs. Main Outcomes and Measures: Receiver
operating characteristic analysis was performed to evaluate performance of the
algorithm against the RSD. Quadratic-weighted kappa coefficients were calculated
for ternary classification (ie, normal, pre-plus disease, and plus disease) to
measure agreement with the RSD and 8 independent experts. Results: Of the 5511
included retinal photographs, 4535 (82.3%) were graded as normal, 805 (14.6%) as
pre-plus disease, and 172 (3.1%) as plus disease, based on the RSD. Mean (SD)
area under the receiver operating characteristic curve statistics were 0.94
(0.01) for the diagnosis of normal (vs pre-plus disease or plus disease) and 0.98
(0.01) for the diagnosis of plus disease (vs normal or pre-plus disease). For
diagnosis of plus disease in an independent test set of 100 retinal images, the
algorithm achieved a sensitivity of 93% with 94% specificity. For detection of
pre-plus disease or worse, the sensitivity and specificity were 100% and 94%,
respectively. On the same test set, the algorithm achieved a quadratic-weighted
kappa coefficient of 0.92 compared with the RSD, outperforming 6 of 8 ROP
experts. Conclusions and Relevance: This fully automated algorithm diagnosed plus
disease in ROP with comparable or better accuracy than human experts. This has
potential applications in disease detection, monitoring, and prognosis in infants
at risk of ROP.
PMID- 29801160
TI - Infant-Mother Acoustic-Prosodic Alignment and Developmental Risk.
AB - Purpose: One promising early marker for autism and other communicative and
language disorders is early infant speech production. Here we used daylong
recordings of high- and low-risk infant-mother dyads to examine whether acoustic
prosodic alignment as well as two automated measures of infant vocalization are
related to developmental risk status indexed via familial risk and developmental
progress at 36 months of age. Method: Automated analyses of the acoustics of
daylong real-world interactions were used to examine whether pitch
characteristics of one vocalization by the mother or the child predicted those of
the vocalization response by the other speaker and whether other features of
infants' speech in daylong recordings were associated with developmental risk
status or outcomes. Results: Low-risk and high-risk dyads did not differ in the
level of acoustic-prosodic alignment, which was overall not significant. Further
analyses revealed that acoustic-prosodic alignment did not predict infants' later
developmental progress, which was, however, associated with two automated
measures of infant vocalizations (daily vocalizations and conversational turns).
Conclusions: Although further research is needed, these findings suggest that
automated measures of vocalizations drawn from daylong recordings are a possible
early identification tool for later developmental progress/concerns. Supplemental
Material: https://osf.io/cdn3v/.
PMID- 29801162
TI - The Importance of Oral Health in Comprehensive Health Care.
PMID- 29801161
TI - Cost-effectiveness of Skin Cancer Referral and Consultation Using Teledermoscopy
in Australia.
AB - Importance: International literature has shown that teledermoscopy referral may
be a viable method for skin cancer referral; however, no economic investigations
have occurred in Australia. Objective: To assess the cost-effectiveness of
teledermoscopy as a referral mechanism for skin cancer diagnosis and management
in Australia. Design, Setting, and Participants: Cost-effectiveness analysis
using a decision-analytic model of Australian primary care, informed by publicly
available data. Interventions: We compared the costs of teledermoscopy referral
(electronic referral containing digital dermoscopic images) vs usual care (a
written referral letter) for specialist dermatologist review of a suspected skin
cancer. Main Outcomes and Measures: Cost and time in days to clinical resolution,
where clinical resolution was defined as diagnosis by a dermatologist or excision
by a general practitioner. Probabilistic sensitivity analysis was performed to
examine the uncertainty of the main results. Results: Findings from the decision
analytic model showed that the mean time to clinical resolution was 9 days
(range, 1-50 days) with teledermoscopy referral compared with 35 days (range, 0
138 days) with usual care alone (difference, 26 days; 95% credible interval
[CrI], 13-38 days). The estimated mean cost difference between teledermoscopy
referral (A$318.39) vs usual care (A$263.75) was A$54.64 (95% CrI, A$22.69
A$97.35) per person. The incremental cost per day saved to clinical resolution
was A$2.10 (95% CrI, A$0.87-A$5.29). Conclusions and Relevance: Using
teledermoscopy for skin cancer referral and triage in Australia would cost
A$54.64 extra per case on average but would result in clinical resolution 26 days
sooner than usual care. Implementation recommendations depend on the preferences
of the Australian health system decision makers for either lower cost or
expedited clinical resolution. Further research around the clinical significance
of expedited clinical resolution and its importance for patients could inform
implementation recommendations for the Australian setting.
PMID- 29801163
TI - Biomechanical Robustness of a Contemporary Cementless Stem to Surgical Variation
in Stem Size and Position.
AB - Successful designs of total hip replacement (THR) need to be robust to surgical
variation in sizing and positioning of the femoral stem. This study presents an
automated method for comprehensive evaluation of the potential impact of surgical
variability in sizing and positioning on the primary stability of a contemporary
cementless femoral stem (Corail(r), DePuy Synthes). A patient-specific finite
element (FE) model of a femur was generated from computed tomography (CT) images
from a female donor. An automated algorithm was developed to span the plausible
surgical envelope of implant positions constrained by the inner cortical
boundary. The analysis was performed on four stem sizes: oversized, ideal
(nominal) sized, and undersized by up to two stem sizes. For each size, Latin
hypercube sampling was used to generate models for 100 unique alignment
scenarios. For each scenario, peak hip contact and muscle forces published for
stair climbing were scaled to the donor's body weight and applied to the model.
The risk of implant loosening was assessed by comparing the bone-implant
micromotion/strains to thresholds (150 MUm and 7000 MUepsilon) above which
fibrous tissue is expected to prevail and the periprosthetic bone to yield,
respectively. The risk of long-term loosening due to adverse bone resorption was
assessed using bone adaptation theory. The range of implant positions generated
effectively spanned the available intracortical space. The Corail stem was found
stable and robust to changes in size and position, with the majority of the bone
implant interface undergoing micromotion and interfacial strains that are well
below 150 MUm and 7000 MUepsilon, respectively. Nevertheless, the range of
implant positions generated caused an increase of up to 50% in peak micromotion
and up to 25% in interfacial strains, particularly for retroverted stems placed
in a medial position.
PMID- 29801164
TI - Changes in Intervertebral Disk Mechanical Behavior During Early Degeneration.
AB - Intervertebral disk (IVD) degeneration is commonly described by loss of height
and hydration. However, in the first stage of IVD degeneration, this loss has not
yet occurred. In the current study, we use an ex vivo degeneration model to
analyze the changes in IVDs mechanical behavior in the first phase of
degeneration. We characterize these changes by stretched-exponential fitting, and
suggest the fitted parameters as markers for early degeneration. Enzymatic
degeneration of healthy lumbar caprine IVDs was induced by injecting 100 MUL of
Chondroitinase ABC (Cabc) into the nucleus. A no-intervention and phosphate
buffered saline (PBS) injected group were used as controls. IVDs were cultured in
a bioreactor for 20 days under diurnal, simulated-physiological loading (SPL)
conditions. Disk deformation was continuously monitored. Changes in disk height
recovery behavior were quantified using stretched-exponential fitting. Disk
height, histological sections, and water- and glycosaminoglycan (GAG)-content
measurements were used as gold standards for the degenerative state. Cabc
injection caused significant GAG loss from the nucleus and had detrimental
effects on poro-elastic mechanical properties of the IVDs. These were progressive
over time, with a propensity toward more linear recovery behavior. On
histological sections, both PBS and Cabc injected IVDs showed moderate
degeneration. A small GAG loss yields changes in IVD recovery behavior, which can
be quantified with stretched-exponential fitting. Parameters changed
significantly compared to control. Studies on disk degeneration and biomaterial
engineering for degenerative disk disease (DDD) could benefit from focusing on
IVD biomechanical behavior rather than height and water-content, as a marker for
early disk degeneration.
PMID- 29801165
TI - Pelvic Construct Prediction of Trabecular and Cortical Bone Structural
Architecture.
AB - The pelvic construct is an important part of the body as it facilitates the
transfer of upper body weight to the lower limbs and protects a number of organs
and vessels in the lower abdomen. In addition, the importance of the pelvis is
highlighted by the high mortality rates associated with pelvic trauma. This study
presents a mesoscale structural model of the pelvic construct and the joints and
ligaments associated with it. Shell elements were used to model cortical bone,
while truss elements were used to model trabecular bone and the ligaments and
joints. The finite element (FE) model was subjected to an iterative optimization
process based on a strain-driven bone adaptation algorithm. The bone model was
adapted to a number of common daily living activities (walking, stair ascent,
stair descent, sit-to-stand, and stand-to-sit) by applying onto it joint and
muscle loads derived using a musculoskeletal modeling framework. The cortical
thickness distribution and the trabecular architecture of the adapted model were
compared qualitatively with computed tomography (CT) scans and models developed
in previous studies, showing good agreement. The sensitivity of the model to
changes in material properties of the ligaments and joint cartilage and changes
in parameters related to the adaptation algorithm was assessed. Changes to the
target strain had the largest effect on predicted total bone volumes. The model
showed low sensitivity to changes in all other parameters. The minimum and
maximum principal strains predicted by the structural model compared to a
continuum CT-derived model in response to a common test loading scenario showed
good agreement with correlation coefficients of 0.813 and 0.809, respectively.
The developed structural model enables a number of applications such as fracture
modeling, design, and additive manufacturing of frangible surrogates.
PMID- 29801166
TI - Head Impact Kinematics Estimation With Network of Inertial Measurement Units.
AB - Wearable sensors embedded with inertial measurement units have become commonplace
for the measurement of head impact biomechanics, but individual systems often
suffer from a lack of measurement fidelity. While some researchers have focused
on developing highly accurate, single sensor systems, we have taken a parallel
approach in investigating optimal estimation techniques with multiple noisy
sensors. In this work, we present a sensor network methodology that utilizes
multiple skin patch sensors arranged on the head and combines their data to
obtain a more accurate estimate than any individual sensor in the network. Our
methodology visually localizes subject-specific sensor transformations, and based
on rigid body assumptions, applies estimation algorithms to obtain a minimum mean
squared error estimate. During mild soccer headers, individual skin patch sensors
had over 100% error in peak angular velocity magnitude, angular acceleration
magnitude, and linear acceleration magnitude. However, when properly networked
using our visual localization and estimation methodology, we obtained kinematic
estimates with median errors below 20%. While we demonstrate this methodology
with skin patch sensors in mild soccer head impacts, the formulation can be
generally applied to any dynamic scenario, such as measurement of cadaver head
impact dynamics using arbitrarily placed sensors.
PMID- 29801167
TI - Biomechanical Analysis of a Long-Segment Fusion in a Lumbar Spine-A Finite
Element Model Study.
AB - Examine the biomechanical effect of material properties, geometric variables, and
anchoring arrangements in a segmental pedicle screw with connecting rods spanning
the entire lumbar spine using finite element models (FEMs). The objectives of
this study are (1) to understand how different variables associated with
posterior instrumentation affect the lumbar spine kinematics and stresses in
instrumentation, (2) to compare the multidirectional stability of the spinal
instrumentation, and (3) to determine how these variables contribute to the
rigidity of the long-segment fusion in a lumbar spine. A lumbar spine FEM was
used to analyze the biomechanical effects of different materials used for spinal
rods (TNTZ or Ti or CoCr), varying diameters of the screws and rods (5 mm and 6
mm), and different fixation techniques (multilevel or intermittent). The results
based on the range of motion and stress distribution in the rods and screws
revealed that differences in properties and variations in geometry of the screw
rod moderately affect the biomechanics of the spine. Further, the spinal screw
rod system was least stable under the lateral bending mode. Stress analyzes of
the screws and rods revealed that the caudal section of the posterior spinal
instrumentation was more susceptible to high stresses and hence possible failure.
Although CoCr screws and rods provided the greatest spinal stabilization, these
constructs were susceptible to fatigue failure. The findings of the present study
suggest that a posterior instrumentation system with a 5-mm screw-rod diameter
made of Ti or TNTZ is advantageous over CoCr instrumentation system.
PMID- 29801168
TI - Differences in Impact Performance of Bicycle Helmets During Oblique Impacts.
AB - Cycling is a leading cause of sport-related head injuries in the U.S. Although
bicycle helmets must comply with standards limiting head acceleration in severe
impacts, helmets are not evaluated under more common, concussive-level impacts,
and limited data are available indicating which helmets offer superior
protection. Further, standards evaluate normal impacts, while real-world cyclist
head impacts are oblique-involving normal and tangential velocities. The
objective of this study was to investigate differences in protective capabilities
of ten helmet models under common real-world accident conditions. Oblique impacts
were evaluated through drop tests onto an angled anvil at common cyclist head
impact velocities and locations. Linear and rotational accelerations were
evaluated and related to concussion risk, which was then correlated with design
parameters. Significant differences were observed in linear and rotational
accelerations between models, producing concussion risks spanning >50% within
single impact configurations. Risk differences were more attributable to linear
acceleration, as rotational varied less between models. At the temporal location,
shell thickness, vent configuration, and radius of curvature were found to
influence helmet effective stiffness. This should be optimized to reduce impact
kinematics. At the frontal, helmet rim location, liner thickness tapered off for
some helmets, likely due to lack of standards testing at this location. This is a
frequently impacted location for cyclists, suggesting that the standards testable
area should be expanded to include the rim. These results can inform
manufacturers, standards bodies, and consumers alike, aiding the development of
improved bicycle helmet safety.
PMID- 29801170
TI - Methods for Post Hoc Quantitative Computed Tomography Bone Density Calibration:
Phantom-Only and Regression.
AB - Quantitative computed tomography (qCT) relies on calibrated bone mineral density
data. If a calibration phantom is absent from the CT scan, post hoc calibration
becomes necessary. Scanning a calibration phantom after-the-fact and applying
that calibration to uncalibrated scans has been used previously. Alternatively,
the estimated density is known to vary with CT settings, suggesting that it may
be possible to predict the calibration terms using CT settings. This study
compares a novel CT setting regression method for post hoc calibration to
standard and post hoc phantom-only calibrations. Five cadaveric upper limbs were
scanned at 11 combinations of peak tube voltage and current (80-140 kV and 100
300 mA) with two calibration phantoms. Density calibrations were performed for
the cadaver scans, and scans of the phantoms alone. Stepwise linear regression
determined if the calibration equation terms were predictable using peak tube
voltage and current. Peak tube voltage, but not current, was significantly
correlated with regression calibration terms. Calibration equation slope was
significantly related to the type of phantom (p < 0.001), calibration method (p =
0.026), and peak tube voltage (p < 0.001), but not current (p = 1.000). The
calibration equation vertical intercept was significantly related to the type of
phantom (p < 0.001), and peak tube voltage (p = 0.006), but not calibration
method (p = 0.682), or current (p = 0.822). Accordingly, regression can correlate
peak tube voltage with density calibration terms. Suggesting that, while standard
qCT calibration is preferable, regression calibration may be an acceptable post
hoc method when necessary.
PMID- 29801169
TI - The Scaffold-Articular Cartilage Interface: A Combined In Vitro and In Silico
Analysis Under Controlled Loading Conditions.
AB - The optimal method to integrate scaffolds with articular cartilage has not yet
been identified, in part because of our lack of understanding about the
mechanobiological conditions at the interface. Our objective was to quantify the
effect of mechanical loading on integration between a scaffold and articular
cartilage. We hypothesized that increased number of loading cycles would have a
detrimental effect on interface integrity. The following models were developed:
(i) an in vitro scaffold-cartilage explant system in which compressive sinusoidal
loading cycles were applied for 14 days at 1 Hz, 5 days per week, for either 900,
1800, 3600, or 7200 cycles per day and (ii) an in silico inhomogeneous, biphasic
finite element model (bFEM) of the scaffold-cartilage construct that was used to
characterize interface micromotion, stress, and fluid flow under the prescribed
loading conditions. In accordance with our hypothesis, mechanical loading
significantly decreased scaffold-cartilage interface strength compared to
unloaded controls regardless of the number of loading cycles. The decrease in
interfacial strength can be attributed to abrupt changes in vertical
displacement, fluid pressure, and compressive stresses along the interface, which
reach steady-state after only 150 cycles of loading. The interfacial mechanical
conditions are further complicated by the mismatch between the homogeneous
properties of the scaffold and the depth-dependent properties of the articular
cartilage. Finally, we suggest that mechanical conditions at the interface can be
more readily modulated by increasing pre-incubation time before the load is
applied, as opposed to varying the number of loading cycles.
PMID- 29801171
TI - Interaction of Microcracks and Tissue Compositional Heterogeneity in Determining
Fracture Resistance of Human Cortical Bone.
AB - Recent studies demonstrated an association between atypical femoral fracture
(AFF) and long-term bisphosphonate (BP) use for osteoporosis treatment. Due to BP
treatment, bone undergoes alterations including increased microcrack density and
reduced tissue compositional heterogeneity. However, the effect of these changes
on the fracture response of bone is not well understood. As a result, the goal of
the current study is to evaluate the individual and combined effects of
microcracks and tissue compositional heterogeneity on fracture resistance of
cortical bone using finite element modeling (FEM) of compact tension (CT)
specimen tests with varying microcrack density, location, and clustering, and
material heterogeneity in three different bone samples. The simulation results
showed that an increase in microcrack density improved the fracture resistance
irrespective of the local material property heterogeneity and microcrack
distribution. A reduction in material property heterogeneity adversely affected
the fracture resistance in models both with and without microcracks. When the
combined changes in microcrack density and tissue material property heterogeneity
representing BP treatment were evaluated, the models corresponding to BP-treated
bone demonstrated reduced fracture resistance. The simulation results also showed
that although microcrack location and clustering, and microstructure
significantly influenced fracture resistance, the trends observed on the effect
of microcrack density and tissue material property heterogeneity did not change.
In summary, these results provide new information on the interaction of
microcracks, tissue material property heterogeneity, and fracture resistance and
may improve the understanding of the influence of mechanical changes due to
prolonged BP use on the fracture behavior of cortical bone.
PMID- 29801172
TI - Predicting Rotation in Fenestrated Endovascular Aneurysm Repair Using Finite
Element Analysis.
AB - Fenestrated endovascular aneurysm repair (FEVAR) is a minimally invasive method
of abdominal aortic aneurysm (AAA) repair utilized in patients with complex
vessel anatomies. Stent grafts (SG) used in this process contain fenestrations
within the device that need to be aligned with the visceral arteries upon
successful SG deployment. Proper alignment is crucial to maintain blood flow to
these arteries and avoid surgical complications. During fenestrated SG
deployment, rotation of the SG can occur during the unsheathing process. This
leads to misalignment of the vessels, and the fenestrations and is associated
with poor clinical outcomes. The aim of this study was to develop a computational
model of the FEVAR process to predict SG rotation. Six patient-specific cases are
presented and compared with surgical case data. Realistic material properties,
frictional effects, deployment methods, and boundary conditions are included in
the model. A mean simulation error of 2 deg (range 1-4 deg) was observed. This
model was then used to conduct a parameter study of frictional properties to see
if rotation could be minimized. This study showed that increasing or decreasing
the coefficients of friction (COF) between the sheath and the vessel walls would
decrease the amount of rotation observed. Our model accurately predicts the
amount of SG rotation observed during FEVAR and can be used as a preoperative
planning tool within the surgical workflow.
PMID- 29801173
TI - Understanding Displacements of the Gel Liner for Below Knee Prosthetic Users.
AB - Many people with amputation utilize a prosthetic device to maintain function and
ambulation. During the use of the prosthetic device, their residual limbs can
develop wounds called pressure ulcers. The formation of these wounds has been
linked to deformation and loading conditions of the skin and deeper tissues. Our
research objective was to develop a complete profile of displacements on the gel
liner at the interface with the socket during walking in transtibial amputees.
Displacements for seven regions along the limb were quantified in addition to six
calculations of displacement and three rotations relative to the prosthetic
socket. The largest displacements were observed in the distal region of the gel
liner, near the pin locking mechanism on the gel liner. Displacements were uneven
throughout the liner with distal regions showing higher displacements. This
mechanics-based information, combined with clinical information, will allow us to
understand the local skin and muscle displacements, and will provide insights
regarding localized tissue breakdown. Knowledge of how the liner displaces within
the prosthetic socket can also help prosthetists modify designs to reduce these
displacements, and reduce the potential for shear on the skin and in deeper
tissues.
PMID- 29801174
TI - Quantification of Material Constants for a Phenomenological Constitutive Model of
Porcine Tricuspid Valve Leaflets for Simulation Applications.
AB - The tricuspid valve is a one-way valve on the pulmonary side of the heart, which
prevents backflow of blood during ventricular contractions. Development of
computational models of the tricuspid valve is important both in understanding
the normal valvular function and in the development/improvement of surgical
procedures and medical devices. A key step in the development of such models is
quantification of the mechanical properties of the tricuspid valve leaflets. In
this study, after examining previously measured five-loading-protocol biaxial
stress-strain response of porcine tricuspid valves, a phenomenological
constitutive framework was chosen to represent this response. The material
constants were quantified for all three leaflets, which were shown to be highly
anisotropic with average anisotropy indices of less than 0.5 (an anisotropy index
value of 1 indicates a perfectly isotropic response, whereas a smaller value of
the anisotropy index indicates an anisotropic response). To obtain mean values of
material constants, stress-strain responses of the leaflet samples were averaged
and then fitted to the constitutive model (average R2 over 0.9). Since the sample
thicknesses were not hugely different, averaging the data using the same tension
levels and stress levels produced similar average material constants for each
leaflet.
PMID- 29801175
TI - Development of a Computational Fluid Dynamics Model for Myocardial Bridging.
AB - Computational fluid dynamics (CFD) modeling of myocardial bridging (MB) remains
challenging due to its dynamic and phasic nature. This study aims to develop a
patient-specific CFD model of MB. There were two parts to this study. The first
part consisted of developing an in silico model of the left anterior descending
(LAD) coronary artery of a patient with MB. In this regard, a moving-boundary CFD
algorithm was developed to simulate the patient-specific muscle compression
caused by MB. A second simulation was also performed with the bridge artificially
removed to determine the hemodynamics in the same vessel in the absence of MB.
The second part of the study consisted of hemodynamic analysis of three patients
with mild and moderate and severe MB in their LAD by means of the developed in
silico model in the first part. The average shear stress in the proximal and
bridge segments for model with MB were significantly different from those for
model without MB (proximal segment: 0.32 +/- 0.14 Pa (with MB) versus 0.97 +/-
0.39 Pa (without MB), P < 0.0001 - bridge segment: 2.60 +/- 0.94 Pa (with MB)
versus 1.50 +/- 0.64 Pa (without MB), P < 0.0001). When all three patients were
evaluated, increasing the degree of vessel compression shear stress in the
proximal segment decreased, whereas the shear stress in the bridge segment
increased. The presence of MB resulted in hemodynamic abnormalities in the
proximal segment, whereas segments within the bridge exhibited hemodynamic
patterns which tend to discourage atheroma development.
PMID- 29801176
TI - Anti-Diabetic Potential of Murraya Koenigii (L.) and its Antioxidant Capacity in
Nicotinamide-Streptozotocin Induced Diabetic Rats.
AB - AIM AND OBJECTIVE: The present study aims to investigate whether the
antihyperglycemic effect of Murraya koenigii is mediated by antioxidant
properties and insulin mimetic effect. METHODS: Thirty Spraque-Dawley rats were
induced hyperglycemia by streptozotocin and nicotinamide (STZ-NA). The STZ-NA
diabetic rats were treated with an ethanolic extract of Murraya koenigii 200
mg/kg b.w and 400 mg/kg b.w. One group was treated with glibenclamide (1 mg/kg
b.w). After the administration of Murraya koenigii extract and glibenclamide for
four weeks, the rats were sacrificed. Blood and organ samples were collected
under a fasting condition. The body weight and blood glucose levels were
measured. Hepatic enzymes were determined using a commercial kit, protein levels
were estimated by Bradford's method, and plasma insulin was assayed by an ELISA
kit. Malondialdehyde (MDA) and reduced glutathione (GSH) levels were estimated by
the TBA-Wills method and Ellman's method, respectively. RESULTS: Ethanolic
extract of Murraya koenigii showed a significant reduction in blood glucose level
at both doses, 200 and 400 mg/kg b.w. In addition, Murraya koenigii exhibited a
profound antioxidant effect with decreased MDA level and increased GSH level,
particularly at the 200 mg/kg b.w. and significantly decreased the HOMA-IR index.
CONCLUSIONS: The present study reveals that Murraya koenigii possesses
antidiabetic activity and antioxidant effects on STZ-NA induced diabetes
mellitus.
PMID- 29801177
TI - [Gastrointestinal Bleeding: Update].
PMID- 29801179
TI - In Vivo Tibial Fit and Rotational Analysis of a Customized, Patient-Specific TKA
versus Off-the-Shelf TKA.
AB - In total knee arthroplasty (TKA), surgeons often face the decision of maximizing
tibial component fit and achieving correct rotational alignment at the same time.
Customized implants (CIMs) address this difficulty by aiming to replicate the
anatomical joint structure, utilizing data from patient-specific knee geometry
during the manufacturing. We intraoperatively compared component fit in four
tibial zones of a CIM to that of three different off-the-shelf (OTS) TKA designs
in 44 knees. Additionally, we assessed the rotational alignment of the tibia
using computed tomography (CT)-based computer aided design model analysis.
Overall the CIM device showed significantly better component fit than the OTS
TKAs. While 18% of OTS designs presented an implant overhang of 3 mm or more,
none of the CIM components did (p < 0.05). There was a larger percentage of CIMs
seen with optimal fit (<=1 mm implant overhang to <=1 mm tibial bone
undercoverage) than in OTS TKAs. Also, OTS implants showed significantly more
component underhang of >=3 mm than the CIM design (37 vs. 18%). The rotational
analysis revealed that 45% of the OTS tibial components showed a rotational
deviation of more than 5 degrees and 4% of more than 10 degrees to a tibial
rotational axis described by Cobb et al. No deviation was seen for the CIM, as
the device is designed along this axis. Using the medial one-third of the tibial
tubercle as the rotational landmark, 95% of the OTS trays demonstrated a
rotational deviation of more than 5 degrees and 73% of more than 10 degrees
compared with 73% of CIM tibial trays with more than 5 degrees and 27% with more
than 10 degrees. Based on our findings, we believe that the CIM TKA provides both
better rotational alignment and tibial fit without causing overhang of the tibial
tray than the three examined OTS implants.
PMID- 29801178
TI - Ad Hoc Information Extraction for Clinical Data Warehouses.
AB - BACKGROUND: Clinical Data Warehouses (CDW) reuse Electronic health records (EHR)
to make their data retrievable for research purposes or patient recruitment for
clinical trials. However, much information are hidden in unstructured data like
discharge letters. They can be preprocessed and converted to structured data via
information extraction (IE), which is unfortunately a laborious task and
therefore usually not available for most of the text data in CDW. OBJECTIVES: The
goal of our work is to provide an ad hoc IE service that allows users to query
text data ad hoc in a manner similar to querying structured data in a CDW. While
search engines just return text snippets, our systems also returns frequencies
(e.g. how many patients exist with "heart failure" including textual synonyms or
how many patients have an LVEF < 45) based on the content of discharge letters or
textual reports for special investigations like heart echo. Three subtasks are
addressed: (1) To recognize and to exclude negations and their scopes, (2) to
extract concepts, i.e. Boolean values and (3) to extract numerical values.
METHODS: We implemented an extended version of the NegEx-algorithm for German
texts that detects negations and determines their scope. Furthermore, our
document oriented CDW PaDaWaN was extended with query functions, e.g. context
sensitive queries and regex queries, and an extraction mode for computing the
frequencies for Boolean and numerical values. RESULTS: Evaluations in chest X-ray
reports and in discharge letters showed high F1-scores for the three subtasks:
Detection of negated concepts in chest X-ray reports with an F1-score of 0.99 and
in discharge letters with 0.97; of Boolean values in chest X-ray reports about
0.99, and of numerical values in chest X-ray reports and discharge letters also
around 0.99 with the exception of the concept age. DISCUSSION: The advantages of
an ad hoc IE over a standard IE are the low development effort (just entering the
concept with its variants), the promptness of the results and the adaptability by
the user to his or her particular question. Disadvantage are usually lower
accuracy and confidence.This ad hoc information extraction approach is novel and
exceeds existing systems: Roogle [1] extracts predefined concepts from texts at
preprocessing and makes them retrievable at runtime. Dr. Warehouse [2] applies
negation detection and indexes the produced subtexts which include affirmed
findings. Our approach combines negation detection and the extraction of
concepts. But the extraction does not take place during preprocessing, but at
runtime. That provides an ad hoc, dynamic, interactive and adjustable information
extraction of random concepts and even their values on the fly at runtime.
CONCLUSIONS: We developed an ad hoc information extraction query feature for
Boolean and numerical values within a CDW with high recall and precision based on
a pipeline that detects and removes negations and their scope in clinical texts.
PMID- 29801180
TI - ?
PMID- 29801182
TI - [Social Loss Experiences and their Association with Depression in Old Age -
Results of the Leipzig Longitudinal Study of the Aged (LEILA 75+)].
AB - OBJECTIVE: Loss experiences in old age are common and can be accompanied by
serious consequences such as depression. This study aimed at investigating the
distribution of loss experiences and their association with depression in old
age. METHODS: Based on the Leipzig Longitudinal Study of the Aged 75+ (LEILA
75+), a sample of 706 individuals was assessed via structured clinical
interviews. Data on social loss experiences were collected via the Leipziger
Lebensereignis-Liste. Descriptive and interferential statistical analyses were
conducted in order to examine the association between loss experiences and
depression. RESULTS: Overall, 16.4 % of the oldest old reported at least one
social loss experience in the last 6 months while the death of a loved one (46.6
%) and severe illness (43.1 %) were the most frequent types of social loss
experiences. Analyses revealed that social loss experiences were significantly
associated with depression. CONCLUSION: This study provides, for the first time
in Germany, data on loss experiences in the oldest old. These findings may
contribute to the development of interventions and effective treatment for the
bereaved elderly with depression.
PMID- 29801181
TI - [Competencies and Role Experiences of Peer Support - A Participatory Research
Report].
AB - OBJECTIVE: This study explores the peer support providers' competencies and role
experiences. METHODS: A multiple coding approach has been used to collaboratively
analyze and discuss ethnographic material. RESULTS: Compared to other
professionals, peer support provider engage with patients in a more open and less
classificatory way. Their role is often unclear and defined by both more
flexibility and dependencies. CONCLUSIONS: It is important to clearly define the
competencies and roles of peer support providers and balance them with the
expectations of the other professionals.
PMID- 29801183
TI - [How do Psychiatric Hospitals in Austria Realise an Open Door Policy?]
AB - OBJECTIVE: Many psychiatric hospitals in Austria have an open door policy. We
aimed to compare staffing levels, use of coercive measures, and beds per
inhabitants between an Austrian and a German psychiatric hospital with partly
locked doors. METHODS: Analysis of frequency of seclusion and restraint,
aggressive incidents, police searches, availability of beds, and staffing levels
in standardized counts. RESULTS: German wards were open 65 % of daytime, Austrian
wards 100 %. In the German region, considerable part of hospital beds were
located in day clinics and psychosomatic clinics. The percentage of all
admissions subjected to coercion was considerably lower in Germany, but this did
not apply to involuntary admissions. Police searches were comparable in
frequency. Staffing levels of physicians and psychologists were similar, in
Austria considerably more nurses were available. CONCLUSION: The results do not
provide evidence that the open door policy in Austrian psychiatry is realized by
use of other coercive measures. Possibly more nursing staff is important to open
doors.
PMID- 29801184
TI - [The Prevalence of Attention Deficit/Hyperactivity Disorder (ADHD) Among
Adolescents in Stationary Rehabilitation].
AB - BACKGROUND: ADHD in adulthood is assumed to be a positive predictor for many
comorbid diseases and impairments affecting all domains of life, particularly
career performance. Participation in social and professional life is limited for
populations which qualify for rehabilitation programs, and thus the prevalence of
ADHD is presumably also higher in these populations. METHOD: To estimate the
prevalence of ADHD in a population undergoing rehabilitation, 1010 people aged 18
to 75 years were screened for the presence of ADHD in adulthood. Additional
impairments were measured and compared to a group of non ADHD participants.
RESULTS: As expected a higher prevalence of ADHD was found in the population
undergoing rehabilitation than in the general population (10.5%) Participants
with ADHD who had recently begun rehabilitation seemed to have more impairments
than non ADHD-participants. Participants with ADHD who were near the end of
rehabilitation were more severely impaired in their capacity to reintegrate into
their previous occupation, but not for the general employment market. CONCLUSION:
Adult ADHD should be more closely investigated, especially in rehabilitation
programs. Affected clients not only had more severe impairments, but more often
had a profession that did not fit their capability.
PMID- 29801185
TI - [Course of Treatment and Sustainability of Ambulatory Geriatric Rehabilitation -
An Analysis of 128 Geriatric Patients].
AB - AIM OF THE STUDY: The observational study aims to investigate the course of
clinical outcomes of geriatric, multimorbid patients who received ambulatory
geriatric rehabilitation (AGR) for four weeks. METHODS: Analyses were based on
data from 128 patients. Basic geriatric assessment tests and the EQ5D-Scale were
used before, directly after the intervention, as well as after 6 and 12 months.
Data were analyzed using Mixed-Effects Regression-Models. RESULTS: AGR improved
self-dependence, mobility, balance, risk of falls and general state of health.
The cognitive conditions reduced slightly. CONCLUSIONS: The patient-related
clinical endpoints indicate a positive influence of functional deficits.
PMID- 29801186
TI - [Impact of Population Aging on Utilization of Medical Rehabilitation in Germany
Till 2040].
AB - BACKGROUND: The paper analyzes the influence of population aging on the future
number of medical rehabilitation cases. Implications for the most important
providers of rehabilitation services (Deutsche Rentenversicherung (DRV) (German
Pension Insurance) and Gesetzliche Krankenversicherung (GKV) (Statutory Health
Insurance)) are discussed. METHODS: Data provided by the DRV and the GKV were
used. A third database is the Hospital Statistics of the Federal Statistical
Office. Each database contains data of rehabilitation cases of certain
subpopulations. Based on the 13th coordinated population projection a forecast up
to 2040 with each of these databases was undertaken. RESULTS: Population aging
will decrease case numbers for the DRV from 961 thousand (2015) to 911 thousand
in 2040 (- 5.2%). Cases of GKV will rise from 742 thousand (2015) to 934 thousand
in 2040 (+25.9%). Because of population aging, the case numbers of older people
(65 years or older) in rehabilitation will increase by about a third until 2040.
CONCLUSIONS: The expected increase in the number of elderly rehabilitants
concerns especially the GKV. There are a number of problems arising from this,
concerning financing of rehabilitation and the aspect of growing importance of
multimorbidity and geriatric rehabilitation. Furthermore, there is a growing gap
between the demographic development of rehabilitation in working age and the
demographic component of the budgets for this rehabilitation in the DRV.
PMID- 29801187
TI - [Work-Related Medical Rehabilitation in Cancer Rehabilitation - Short-Term
Results from a Cluster-Randomized Multicenter-Trial].
AB - BACKGROUND: Rehabilitation programs that support return to work become
increasingly relevant for cancer survivors. In Germany, such programs were
established as work-related medical rehabilitation (WMR). The study investigated
whether WMR leads to better results compared to medical rehabilitation (MR). We
report effects on secondary outcomes when the rehabilitation program was
completed. METHODS: Clusters of participants were randomly assigned to WMR or MR.
Patients of working age and an elevated risk of not returning to work were
included. The grade of implementation was assessed by dose delivered and dose
received. Study outcomes were assessed using scales measuring functioning and
symptoms, coping with illness as well as self-reported work ability. Treatment
effects were estimated using mixed linear models. RESULTS: From 232 planned
randomized intervention groups, 165 (71%) were realized. In total, 476 patients
were included. Mean age of participants was 50.7 years (SD=7.3). Most frequent
primary diagnoses were malignant neoplasms of the breast. Participants in the WMR
program reported significantly better outcomes regarding quality of life
(SMD=0.17-0.25), fatigue (SMD=0.18-0.27), coping with illness (SMD=0.17-0.22),
and self-reported work-ability (SMD=0.16) compared to participants in MR program
(all p<0.05). CONCLUSION: The results indicate a positive effect in favor of WMR
for cancer patients with an elevated risk of not returning to work at the end of
their treatment.
PMID- 29801188
TI - [Results of a Pilot Study to Assess Quality Indicators in Outpatient Cancer
Care].
AB - AIMS: Indicators of process quality were developed for outpatient oncology care
in Germany with the aim to advance quality monitoring and assurance. In this
pilot study, data to assess these quality indicators (QI) were gathered and
analyzed for the first time. METHODS: Data were retrieved from patient records in
oncology practices using an online data tool. Data were collected by practice
internal and in 7 (wave 1), 9 (wave 2) and 7 (wave 3) practices, respectively, by
an external documentalist. RESULTS: Altogether, 5,160 patient records from 37
oncology practices were analyzed. The adherence rates varied considerably between
QI as well as between practices (0-100%). In summary, adherence rates were higher
for QI of basis documentation (81%) than for therapy planning and implementation
(72%), holistic care and psychosocial wellbeing (71%) or pain management (63%).
CONCLUSION: The ranges and high standard deviations show a high spread of
adherence rates of QI. However, except for pain management, 100% fulfilment of QI
requirements in some practices suggests that adherence to QI is generally
feasible. Data collection for QI is resource intensive (time and personnel). Yet,
collecting and examining data for QI provides useful information about areas with
potential for improvement. QI can help improve the quality of care in oncology.
PMID- 29801189
TI - Functional Threshold Power in Cyclists: Validity of the Concept and Physiological
Responses.
AB - Functional threshold power is defined as the highest power output a cyclist can
maintain in a quasi-steady state for approximately 60 min (FTP60). In order to
improve practicality for regular evaluations, FTP60 could theoretically be
determined as 95% of the mean power output in a 20-min time trial (FTP20). This
study tested this assumption and the validity of FTP20 and FTP60 against the
individual anaerobic threshold (IAT). Twenty-three trained male cyclists
performed an incremental test to exhaustion, 20- and 60-min time trials, and a
time to exhaustion at FTP20. Power output, heart rate and oxygen uptake
representing FTP20, FTP60 and IAT were not different (p>0.05), and large to very
large correlations were found (r=0.61 to 0.88). Bland-Altman plots between FTP20,
FTP60 and IAT showed small bias (-1 to -5 W), but large limits of agreement ([-40
to 32 W] to [-62 to 60 W]). Time to exhaustion at FTP20 was 50.9+/-15.7 min. In
conclusion, FTP20 and FTP60 should not be used interchangeably on an individual
basis and their validity against IAT should be interpreted with caution.
PMID- 29801190
TI - Multiple Causes of Pediatric Early Onset Chorea-Clinical and Genetic Approach.
AB - OBJECTIVE: This article elucidates a clinical and genetic approach to pediatric
early-onset chorea in patients with normal neuroimaging. METHODS: We
retrospectively studied patients with onset hyperkinetic movement disorders. Only
children with onset of chorea in the first 3 years of life were included, those
with an abnormal magnetic resonance imaging (MRI) or electroencephalogram (EEG)
were excluded.We studied the movement disorder phenotype by clinical examination
and by interpretation of videos and compared our data to the literature. RESULTS:
Four patients, aged 2 to 13 years, were diagnosed. Abnormal involuntary
movements appeared between the ages of 6 months to 3 years in association with
developmental delay. One patient has a close relative with NKX2.1-related chorea.
One patient is from Iraqi-Jewish origin. Facial twitches and nocturnal dyskinetic
attacks were observed in one.The unique clinical presentation and family history
enabled genetic diagnosis by molecular analysis of a specific mutation in two
(NKX2.1, OPA3) and Sanger sequencing of a target gene in one (ADCY5). One patient
was diagnosed by whole-exome sequencing (WES) (GNAO1). CONCLUSION: By carefully
recording the phenotype and genetic background, a single gene can be suspected in
some cases. In the rest, we suggest multigene panels or WES study.
PMID- 29801191
TI - Neonatal Alexander Disease: Novel GFAP Mutation and Comparison to Previously
Published Cases.
AB - Alexander disease (AxD) is a genetic leukodystrophy caused by GFAP mutations
leading to astrocyte dysfunction. Neonatal AxD is a rare phenotype with onset in
the first month of life. The proband, belonging to a large pedigree with
dominantly inherited benign familial neonatal epilepsy (BFNE), had a phenotype
distinct from the rest of the family, with hypotonia and macrocephaly in addition
to drug-resistant neonatal seizures. The patient deteriorated and passed away at
6 weeks of age. The pathological and neuroimaging data were consistent with the
diagnosis of AxD. Genetic analysis of the proband identified a novel de novo GFAP
missense mutation and a KCNQ2 splice site mutation segregating with the BFNE
phenotype in the family. The GFAP mutation was located in the coil 2B region of
GFAP protein, similar to most neonatal-onset AxD cases with an early death. The
clinical and neuroradiological features of the previously published neonatal AxD
patients are presented. This study further supports the classification of
neonatal-onset AxD as a distinct phenotype based on the age of onset.
PMID- 29801192
TI - Variants in the ATP1A3 Gene Mutations within Severe Apnea Starting in Early
Infancy: An Observational Study of Two Cases with a Possible Relation to
Epileptic Activity.
AB - Mutations in the ATP1A3 gene are known to cause alternating hemiplegia of
childhood (AHC) and rapid-onset dystonia parkinsonism (RDP). Both conditions are
childhood-onset neurological disorders with distinct symptoms and different times
of onset. ATP1A3 has also been associated with CAPOS syndrome (cerebellar ataxia,
areflexia, pes cavus, optic atrophy, and sensorineural hearing loss). Within the
various ATP1A3-related neurological syndromes, a specific genotype-phenotype
correlation is starting to emerge. Several mutations such as the relatively
common p.E815K pathogenic variant have been shown to strongly correlate with AHC,
while others may cause both AHC and RDP. A significant subset of patients with
AHC and RDP are reported to have epileptic seizures. Even though detailed
clinical descriptions of seizures in childhood are rare, seizures involving
apneic events seem to be frequent in ATP1A3-related neurological disorders. Here,
we describe two children with unexplained severe apnea beginning around the first
year of life and pathogenic variants in ATP1A3. We hypothesize that the symptoms
are early-onset autonomic seizures related to the underlying pathogenic ATP1A3
variants.
PMID- 29801193
TI - Analysis of cis and trans 3-methylfentanyl by liquid chromatography-high
resolution mass spectrometry and findings in forensic toxicology casework.
AB - 3-methylfentanyl (3-MF), N-(3-methyl-1-phenethyl-4-piperidyl)-N-phenyl
propanamide, has reappeared on the US illicit drug market since its disappearance
after a series of overdose deaths in 1988. 3-MF presents an analytical challenge,
due to presence of cis and trans stereoisomers, each with different potencies,
and ultimately very low concentrations in the blood after use. A method was
developed using liquid chromatography-time-of-flight-mass spectrometry for the
analysis of (+/-)-cis-3-MF and (+/-)-trans-3-MF in blood specimens after solid
phase extraction. The linear dynamic range of this method was 0.1-10 ng/mL. Blood
samples from 25 postmortem cases and 2 human performance case involving 3-MF were
submitted for quantitative analysis. The mean and median concentration for the
(+/-)-cis-3-MF were 0.84 ng/mL (+/-0.81) and 0.67 ng/mL, respectively, range 0.14
3.43 ng/mL. The resulting (+/-)-trans-3-MF mean concentration was 0.46 ng/mL (+/
0.38) and the median concentration was 0.37 ng/mL with a range of 0.11-1.90
ng/mL. The resulting (+/-)-cis-3-MF and (+/-)-trans-3-MF concentrations were
summed to give the total amount of 3-MF present in the case with the resulting
average concentration at 1.28 ng/mL (+/-1.16), median at 1.01 ng/mL and range
0.18-5.18. As the estimated dose of this compound is approximately 0.1 mg-0.5 mg
with the resulting concentrations in the sub-nanogram range, it is necessary for
forensic toxicology laboratories to obtain instruments sensitive enough to detect
these substances in driving under the influence of drugs and postmortem cases.
Quantitation of 3-MF with separation of (+/-)-cis and (+/-)-trans-3-MF provides
additional detail for more specific toxicological interpretation.
PMID- 29801194
TI - Fatigue Failure Load of Lithium Disilicate Restorations Cemented on a Chairside
Titanium-Base.
AB - PURPOSE: To evaluate the fatigue failure load of distinct lithium disilicate
restoration designs cemented on a chairside titanium base for maxillary anterior
implant-supported restorations. MATERIALS AND METHODS: A left-maxillary incisor
restoration was virtually designed and sorted into 3 groups: (n = 10/group; CTD:
lithium disilicate crowns cemented on custom-milled titanium abutments; VMLD:
monolithic full-contour lithium disilicate crowns cemented on a chairside
titanium-base; VCLD: lithium disilicate crowns bonded to lithium disilicate
customized anatomic structures and then cemented onto a chairside titanium base).
The chairside titanium base was air-abraded with aluminum oxide particles.
Subsequently, the titanium base was steam-cleaned and air-dried. Then a thin coat
of a silane agent was applied. The intaglio surface of the ceramic components was
treated with 5% hydrofluoric acid (HF) etching gel, followed by silanization, and
bonded with a resin cement. The specimens were fatigued at 20 Hz, starting with a
100 N load (5000* load pulses), followed by stepwise loading from 400 N up to
1400 N (200 N increments) at a maximum of 30,000 cycles each. The failure loads,
number of cycles, and fracture analysis were recorded. The data were
statistically analyzed using one-way ANOVA, followed by pairwise comparisons (p <
0.05). Kaplan-Meier survival plots and Weibull survival analyses were reported.
RESULTS: For catastrophic fatigue failure load and the total number of cycles for
failure, VMLD (1260 N, 175,231 cycles) was significantly higher than VCLD (1080
N, 139,965 cycles) and CDT (1000 N, 133,185 cycles). VMLD had a higher Weibull
modulus demonstrating greater structural reliability. CONCLUSION: VMLD had the
best fatigue failure resistance when compared with the other two groups.
PMID- 29801195
TI - Dental Implants and Oral Lichen Planus.
PMID- 29801196
TI - Color Aspect of Monolithic Zirconia Restorations: A Review of the Literature.
AB - Monolithic zirconia restoration is an acceptable treatment option in restorative
dentistry and a developing trend in esthetic dentistry. Digital dentistry has
simplified fabrication of monolithic zirconia restorations. Zirconia ceramic has
introduced an opportunity to achieve both esthetic and mechanical requirements
for restorations. This is rarely found for a ceramic in dentistry. Monolithic
zirconia restorations represent an acceptable durability, comparable to metal
ceramic restorations, while they are superior to metal-ceramic restorations
esthetically; however, difficulties to gain an optimal shade reproduction and a
color match with monolithic zirconia restorations still remain. The color of
these restorations may be influenced by manufacturing processes, laboratory
procedures, and clinical factors. Manufacturing processes determine basic optical
properties of zirconia ceramics. Different laboratory procedures may create
optical changes in zirconia ceramics. Also clinical factors such as dental
background, cement, and zirconia restoration features may affect the resulting
color. This literature review aimed to discuss potent factors in the color of
monolithic zirconia restorations. An electronic search of the PubMed/Google
Scholar database was performed to find related English-language articles
published between January 1, 2000, and October 31, 2017. The key terms of
background, cement, ceramic, color, esthetics, shade, spectrophotometry,
thickness, translucency, and zirconia were used both individually and
simultaneously. Also, a manual search was conducted, and five classic articles of
color science were added. Thus 192 articles were included. In the last decade,
shade reproduction of monolithic zirconia restorations has been highly regarded;
however, further improvements are required in the manufacturing process to
produce tooth-like zirconia ceramics. An esthetic guideline named background
cement-ceramic color harmony was suggested in this study; however, more clinical
practice guidelines should be established for monolithic zirconia restorations on
esthetics, and therefore, more studies are required.
PMID- 29801197
TI - Organic matter degradation in surface sediments of the Changjiang estuary:
Evidence from amino acids.
AB - Organic matter degradation is a key component of the processes of carbon
preservation and burial in seafloor sediments. The aim of this study was to
explore organic matter degradation state within the open-shelf Changjiang Estuary
of the East China Sea, using an amino acids-based degradation index (DI) in
conjunction with information about organic matter source (marine versus
terrestrial), bottom water oxygenation state, and sediment grain size. The
relative molar percentages of 17 individual amino acids (characterized using
principal component analysis) in surface sediments indicate that organic matter
is degraded to varying extents across the estuary seabed. Sediments with DI >0
(relatively labile) were found mostly within a coastal hypoxic area. Sediments of
DI less than -1 (relatively refractory) were found near the Changjiang River
mouth and the northern and southern parts of the central shelf. We consider DI to
be a more reliable indicator of degradation than simple ratios of AAs. DI was
inversely correlated with the proportion of terrestrial organic material (Ft) in
the sediments, indicating that relatively fresh/labile organic matter was
generally associated with marine sources. DI was significantly correlated with Ft
and bottom water apparent oxygen utilization (AOUbot) together. The parameter DI
and the (labile) amino acid tyrosine were highest in hypoxic areas, suggesting
the presence of relatively fresh organic matter, probably due to a combination of
marine-source inputs and better preservation of organic matter in the silt and
clay sediments of these areas (as compared to sandy sediments). Less degraded
organic matter with high amino acids was also favorable to benthic animals.
Overall, sedimentary estuarine organic matter was least degraded in areas
characterized by marine sources of organic matter, low-oxygen conditions, and
fine-grained sediments.
PMID- 29801198
TI - Leaf nitrogen assimilation and partitioning differ among subtropical forest
plants in response to canopy addition of nitrogen treatments.
AB - Global increases in nitrogen deposition may alter forest structure and function
by interfering with plant nitrogen metabolism (e.g., assimilation and
partitioning) and subsequent carbon assimilation, but it is unclear how these
responses to nitrogen deposition differ among species. In this study, we
conducted a 2-year experiment to investigate the effects of canopy addition of
nitrogen (CAN) on leaf nitrogen assimilation and partitioning in three
subtropical forest plants (Castanea henryi, Ardisia quinquegona, and Blastus
cochinchinensis). We hypothesized that responses of leaf nitrogen assimilation
and partitioning to CAN differ among subtropical forest plants. CAN increased
leaf nitrate reductase (NR) activity, and leaf nitrogen and chlorophyll contents
but reduced leaf maximum photosynthetic rate (Amax), photosynthetic nitrogen use
efficiency (PNUE), ribulose-1,5-bisphosphate carboxylase (Rubisco) activity, and
metabolic protein content of an overstory tree species C. henryi. In an
understory tree A. quinquegona, CAN increased NR activity and glutamine
synthetase activity and therefore increased metabolic protein synthesis (e.g.,
Rubisco) in leaves. In the shrub B. cochinchinensis, CAN increased Amax, PNUE,
Rubisco content, metabolic protein content, and Rubisco activity in leaves. Leaf
nitrogen assimilation and partitioning results indicated that A. quinquegona and
B. cochinchinensis may better acclimate to CAN than C. henryi and that the
acclimation mechanism differs among the species. Results from this study suggest
that long-term elevated atmospheric nitrogen deposition has contributed to the
ongoing transformation of subtropical forests into communities dominated by small
trees and shrubs.
PMID- 29801199
TI - Contamination of heavy metals and isotopic tracing of Pb in surface and profile
soils in a polluted farmland from a typical karst area in southern China.
AB - Farmland top soils and soil profiles situated in the karst area of Guilin,
Guangxi Zhuang Autonomous Region, southern China, reveal different degrees of
heavy metal pollution, both in respect to the lateral as well as the vertical
dimension. Pb isotope ratios clearly identify that heavy metal contributions to
the soil represent the legacy of former Pb-Zn mining and smelting in the area.
Depending upon soil properties, differences in the intensity of the vertical
penetration of heavy metal pollution are discernible. Top soil coverage by local
farmers provides little remediation. Consequently, hazardous conditions for the
regional ecology, for agricultural usage and ultimately for human health remain
in place. Based on chemical and isotopic results obtained, more effective
remediation strategies need to be developed.
PMID- 29801200
TI - Levels of zearalenone and its metabolites in sun-dried kapenta fish and water of
Lake Kariba in Zambia - A preliminary study.
AB - Contamination of food with mycotoxins and the associated possibilities of human
intoxication is a serious problem in Africa. One of the most widespread
mycotoxins is zearalenone (ZEN), which usually occurs in food of vegetable
origin. On the other hand, information about ZEN in products of animal origin in
African countries is extremely scanty. During the present study, levels of ZEN
and its analogs: alpha-zearalenol (alpha-ZEL) and beta-zearalenol (beta-ZEL) were
measured by high performance liquid chromatography (HPLC) with fluorescence
detection in sun-dried kapenta fish - traditional Zambian food, as well as in the
water of Lake Kariba - the main source of kapenta fish in Zambia. This study
revealed that levels of ZEN in sun-dried kapenta fish fluctuated from 27.2 MUg.kg
1 to 53.9 MUg.kg-1, whereas the contamination of water from Lake Kariba with ZEN
is rather minimally similar to the content of ZEN analogs in both kapenta fish
and water. The obtained results have shown that sun-dried kapenta fish of Lake
Kariba contain ZEN and may contribute to the exposure of consumers to this
substance.
PMID- 29801201
TI - Rethinking environmental stress from the perspective of an integrated
environmental footprint: Application in the Beijing industry sector.
AB - Individual footprint indicators are limited in that they usually only address one
specific environmental aspect. For this reason, assessments involving multiple
footprint indicators are preferred. However, the interpretation of a profile of
footprint indicators can be difficult as the relative importance of the different
footprint results is not readily discerned by decision-makers. In this study, a
time series (1997-2012) of carbon, water and land footprints was calculated for
industry sectors in the Beijing region using input-output analysis. An integrated
environmental footprint (IEF) was subsequently developed using normalization and
entropy weighting. The results show that steep increases in environmental
footprint have accompanied Beijing's rapid economic development. In 2012, the
Primary Industry had the largest IEF (8.32); however, the Secondary Industry had
the greatest increase over the study period, from 0.19 to 6.37. For the Primary
Industry, the greatest contribution to the IEF came from the land footprint. For
the Secondary and Tertiary Industries, the water footprint was most important.
Using the IEF, industry sectors with low resource utilization efficiency and high
greenhouse gas emissions intensity can be identified. As such, the IEF can help
to inform about industry sectors which should be given priority for modernization
as well as the particular footprints that require priority attention in each
sector. The IEF can also be helpful in identifying industry sectors that could be
encouraged to expand within the Beijing region as they are especially efficient
in terms of value adding relative to IEF. Other industries, over time, may be
better located in other regions that do not face the same environmental pressures
as Beijing.
PMID- 29801203
TI - Investigation of sub-slab pressure field extension in specified granular fill
materials incorporating a sump-based soil depressurisation system for radon
mitigation.
AB - Design of bearing layers (granular fill material layers) is important for a house
with a soil depressurisation (SD) system for indoor radon mitigation. These
layers should not only satisfy the bearing capacity and serviceability criteria
but should also provide a sufficient degree of the air permeability for the
system. Previous studies have shown that a critical parameter for a SD system is
the sub-slab pressure field extension in the bearing layers, but this issue has
not been systematically investigated. A series of two-dimensional computational
fluid dynamic simulations that investigate the behaviour of the sub-slab pressure
field extension developed in a SD system is presented in this paper. The SD
system considered in this paper consists of a granular fill material layer and a
radon sump. The granular fill materials are 'T1 Struc' and 'T2 Perm', which are
standard materials for building in the Republic of Ireland. Different conditions,
which might be encountered in a practical situation, were examined. The results
show that the air permeability and thickness of the granular fill materials are
the two key factors which affect the sub slab pressure field extension (SPFE)
significantly. Furthermore, the air permeability of native soil is found to be a
fundamental factor for the SPFE so that it should be well understood when
designing a SD system. Therefore, these factors should be considered sufficiently
in each practical situation. Finally, a significant improvement of the pressure
field extension can be achieved by ensuring air tightness of the SD system.
PMID- 29801202
TI - Modeling future flows of the Volta River system: Impacts of climate change and
socio-economic changes.
AB - As the scientific consensus concerning global climate change has increased in
recent decades, research on potential impacts of climate change on water
resources has been given high importance. However in Sub-Saharan Africa, few
studies have fully evaluated the potential implications of climate change to
their water resource systems. The Volta River is one of the major rivers in
Africa covering six riparian countries (mainly Ghana and Burkina Faso). It is a
principal water source for approximately 24 million people in the region. The
catchment is primarily agricultural providing food supplies to rural areas,
demonstrating the classic water, food, energy nexus. In this study an Integrated
Catchment Model (INCA) was applied to the whole Volta River system to simulate
flow in the rivers and at the outlet of the artificial Lake Volta. High
resolution climate scenarios downscaled from three different Global Climate
Models (CNRM-CM5, HadGEM2-ES and CanESM2), have been used to drive the INCA model
and to assess changes in flow by 2050s and 2090s under the high climate forcing
scenario RCP8.5. Results show that peak flows during the monsoon months could
increase into the future. The duration of high flow could become longer compared
to the recent condition. In addition, we considered three different socio
economic scenarios. As an example, under the combined impact from climate change
from downscaling CNRM-CM5 and medium+ (high economic growth) socio-economic
changes, the extreme high flows (Q5) of the Black Volta River are projected to
increase 11% and 36% at 2050s and 2090s, respectively. Lake Volta outflow would
increase +1% and +5% at 2050s and 2090s, respectively, under the same scenario.
The effects of changing socio-economic conditions on flow are minor compared to
the climate change impact. These results will provide valuable information
assisting future water resource development and adaptive strategies in the Volta
Basin.
PMID- 29801204
TI - Retention soil filter as post-treatment step to remove micropollutants from
sewage treatment plant effluent.
AB - Retention soil filters (RSFs) are a specific form of vertical flow constructed
wetlands for the treatment of rain water and/or wastewater. We have tested 3
pilot RSFs to investigate removal of dissolved organic carbon (DOC) and 14
different organic micropollutants (OMPs) from the effluent of a large scale
sewage treatment plant (STP). Two of them were operated as conventional RSF with
material (sand with CaCO3 and organic matter) from two different full-scale RSFs.
The third pilot RSF contained filter material (sand with CaCO3) with additional
biochar in the upper layer (0-10 cm) and granulated activated carbon (GAC) in the
lower layer (60-90 cm). The filters were planted with Phragmites australis. The
RSFs were operated and monitored for 3 years, and water samples were taken
regularly at inflow, outflows and in 3 depths within the filters. In total 523
samples were taken. In the conventional RSF, best median removal was detected for
galaxolide, diclofenac 4-hydroxy, metoprolol and clarithromycin (75-79%). No
removal was seen for sulfamethoxazole and carbamazepine. The DOC and OMP removal
in the conventional RSFs was best in the upper layer with highest organic matter
content, increased in time over the three years of operation and also with
extended contact time. In the effluent of the RSF with GAC, 10 out of the 14 OMPs
could not be detected; 4 OMPs were detected, but only metformin with removal <
80%, thus showing a more efficient removal than the conventional RSF. A decrease
in DOC removal was detected in the GAC layer (>88% to 60%) over the 2.5 years of
operation. Biochar was most effective in OMP removal in the first operational
year. It can be concluded that the increasing removal efficiency of the
conventional RSF material - also present in the RSF with biochar and GAC - might
mitigate the reduced efficiency of the sorbent additives biochar and GAC. This
enables to extend the operational lifetime of the filters with acceptable removal
rates. Finally, our study demonstrates that an RSF with GAC shows an enhanced
removal of OMPs, which is a suitable post-treatment step for STPs.
PMID- 29801205
TI - Overview of the state of the art of processes and technical bottlenecks for coal
gasification wastewater treatment.
AB - CGWW is major waste stream resulting from a number of activities of the
low/medium temperature gasification unit that occurs during the production of
natural gas. The resulting effluent contains a broad spectrum of organic and
inorganic contaminants and exerts a negative influence on the environment, mainly
due to the presence of toxic and refractory compounds. So far, various
technologies have been applied for treatment of CGWW, while few reviews are
available in the literature. Thus, this review attempts to offer a comprehensive
picture about CGWW. An overview about pretreatment, biological and advanced
processes for treatment of CGWW is presented, and the degradation mechanism of
toxic and refractory pollutants is also elaborated. Technical bottlenecks
existing in the operation of coal chemical industries, including foam
proliferation, odors and biotoxicity risk, are detailed analyzed. Finally, the
prospects of treatment for CGWW are discussed based on the concept of "wastewater
is money". The review can be provided as an effective technical support for the
construction and operation of coal gasification industries.
PMID- 29801206
TI - Sugarcane yield gap analysis in Brazil - A multi-model approach for determining
magnitudes and causes.
AB - Brazil is the largest sugarcane producer in the world playing a pivotal role on
global ethanol production. The sugarcane yield levels across the producing
regions of the country vary substantially, resulting in yield gaps of different
magnitudes, which represent a huge opportunity for increasing sugarcane and
ethanol production. According to that, the present study aimed to investigate the
sugarcane yield gap in Brazil, their magnitude and causes (water deficit or crop
management), considering a multi-model approach. Three different sugarcane
simulation models, FAO-Agroecological Zone, DSSAT/CANEGRO and APSIM-Sugarcane,
properly calibrated and validated for sugarcane in Brazil, were used to estimate
potential and water-limited yields and yield gaps for 30 locations across the
country. The average of total yield gap for the 30 locations was 124 t ha-1. The
main proportion of the total yield gap was caused by water deficit (about 89 t ha
1, representing 73% of the total), followed by sub-optimal crop management (about
35 t ha-1, representing 27% of the total). The highest yield gap by water deficit
was found in the Northeastern region, whereas Sao Paulo State showed the lowest
yield gap by crop management. The main causes of yield gap by crop management are
possibly related to the production system based on long-term monoculture and soil
compaction due to intense crop mechanization in recent years. Reducing sugarcane
yield gap caused by crop management by 20 to 100% would allow to diminish the
cultivated area with this crop, respectively, from 9 to 32%. Possible solutions
to mitigate the yield gaps, such as use of irrigation, adoption of drought
tolerant cultivars, better traffic control, periodical crop rotation, among
others, were also discussed.
PMID- 29801207
TI - Photochemical model evaluation of 2013 California wild fire air quality impacts
using surface, aircraft, and satellite data.
AB - The Rim Fire was one of the largest wildfires in California history, burning over
250,000 acres during August and September 2013 affecting air quality locally and
regionally in the western U.S. Routine surface monitors, remotely sensed data,
and aircraft based measurements were used to assess how well the Community
Multiscale Air Quality (CMAQ) photochemical grid model applied at 4 and 12 km
resolution represented regional plume transport and chemical evolution during
this extreme wildland fire episode. Impacts were generally similar at both grid
resolutions although notable differences were seen in some secondary pollutants
(e.g., formaldehyde and peroxyacyl nitrate) near the Rim fire. The modeling
system does well at capturing near-fire to regional scale smoke plume transport
compared to remotely sensed aerosol optical depth (AOD) and aircraft transect
measurements. Plume rise for the Rim fire was well characterized as the modeled
plume top was consistent with remotely sensed data and the altitude of aircraft
measurements, which were typically made at the top edge of the plume. Aircraft
based lidar suggests O3 downwind in the Rim fire plume was vertically stratified
and tended to be higher at the plume top, while CMAQ estimated a more uniformly
mixed column of O3. Predicted wildfire ozone (O3) was overestimated both at the
plume top and at nearby rural and urban surface monitors. Photolysis rates were
well characterized by the model compared with aircraft measurements meaning
aerosol attenuation was reasonably estimated and unlikely contributing to O3
overestimates at the top of the plume. Organic carbon was underestimated close to
the Rim fire compared to aircraft data, but was consistent with nearby surface
measurements. Periods of elevated surface PM2.5 at rural monitors near the Rim
fire were not usually coincident with elevated O3.
PMID- 29801208
TI - Fate of thiamethoxam in mesocosms and response of the zooplankton community.
AB - Thiamethoxam is a neonicotinoid insecticide that can reach wetlands in agro
ecosystems through runoff. The fate and effects of thiamethoxam on non-target
organisms in shallow wetland ecosystems have not been well characterized. To this
end, a mesocosm study was conducted with a focus on characterizing zooplankton
community responses. A single pulse application of thiamethoxam (0, 25, 50, 100,
250, and 500 MUg/L; n = 3) was applied to experimental systems and monitored for
8 weeks. The mean half-life of thiamethoxam among the different treatments was
3.7 days in the water column with concentrations of <0.8 MUg/L in the majority of
mesocosms by 56 days. Principal response curve analysis did not show any
significant concentration-dependent differences in the zooplankton community
among treatments over the course of the study. The minimum detectable difference
(MDD%) values for abundance of potentially sensitive arthropod taxa (nauplius
larvae, cyclopoid copepods) allowed the detections from controls as low as 42 and
59% effect, respectively. The MDD% values for total abundance of zooplankton
(including the potentially less sensitive taxonomic group of Rotifera) allowed
the detection from controls as low as 41% effect. There were no statistically
significant differences in zooplankton abundance or diversity between control and
treated mesocosms at the end of the study. There were also no statistically
significant differences for individual taxa that were sustained between sampling
points, or manifested as a concentration-response. We conclude that acute
exposure to thiamethoxam at environmentally relevant concentrations (typically
ng/L) likely does not represent a significant adverse ecological risk to wetland
zooplankton community abundance and structure.
PMID- 29801209
TI - QSARpy: A new flexible algorithm to generate QSAR models based on
dissimilarities. The log Kow case study.
AB - Several methods exist to develop QSAR models automatically. Some are based on
indices of the presence of atoms, other on the most similar compounds, other on
molecular descriptors. Here we introduce QSARpy v1.0, a new QSAR modeling tool
based on a different approach: the dissimilarity. This tool fragments the
molecules of the training set to extract fragments that can be associated to a
difference in the property/activity value, called modulators. If the target
molecule share part of the structure with a molecule of the training set and
differences can be explained with one or more modulators, the property/activity
value of the molecule of the training set is adjusted using the value associated
to the modulator(s). This tool is tested here on the n-octanol/water partition
coefficient (Kow, usually expressed in logarithmic units as log Kow). It is a key
parameter in risk assessment since it is a measure of hydrophobicity. Its wide
spread use makes these estimation methods very useful to reduce testing costs.
Using QSARpy v1.0, we obtained a new model to predict log Kow with accurate
performance (RMSE 0.43 and R2 0.94 for the external test set), comparing
favorably with other programs. QSARpy is freely available on request.
PMID- 29801210
TI - Occurrence and bioaccumulation of chemical contaminants in lettuce grown in peri
urban horticulture.
AB - Peri-urban horticulture performs environmental and socio-economic functions and
provides ecological services to nearby urban areas. Nevertheless,
industrialization and water pollution have led to an increase in the exposure of
peri-urban vegetables to contaminants such as trace elements (TEs) and organic
microcontaminants (OMCs). In this study, the occurrence of chemical contaminants
(i.e., 16 TEs, 33 OMCs) in soil and lettuce leaves from 4 farm fields in the peri
urban area of the city of Barcelona was assessed. A rural site, outside the peri
urban area of influence, was selected for comparison. The concentration of TEs
and OMCs ranged from non-detectable to 803 mg/kg dw and from non-detectable to
397 MUg/kg dw respectively in the peri-urban soil, and from 6 . 10-5 to 4.91
mg/kg fw and from non-detectable to 193 MUg/kg fw respectively in lettuce leaves.
Although the concentration of Mo, Ni, Pb, and As in the soil of the peri-urban
area exceeded the environmental quality guidelines, their occurrence in lettuce
complied with human food standards (except for Pb). The many fungicides
(carbendazim, dimetomorph, and methylparaben) and chemicals released by plastic
pipelines (tris(1-chloro-2-propyl)phosphate, bisphenol F, and 2
mercaptobenzothiazole) used in agriculture were prevalent in the soil and the
edible parts of the lettuce. The occurrence of these chemical pollutants in the
peri-urban area did not affect the chlorophyll, lipid, or carbohydrate content of
the lettuce leaves. PCA (Principal Component Analysis) showed that soil
pollution, fungicide application, and irrigation water quality are the most
relevant factors determining the presence of contaminants in crops.
PMID- 29801211
TI - Current and historical rates of input of mercury to the Penobscot River, Maine,
from a chlor-alkali plant.
AB - Mercury inputs by surface and ground water sources to Penobscot River from a
defunct Hg-cell chlor-alkali plant were measured in 2009-10 and estimated for the
entire period of operation of this facility. Over the measured interval (422
days) approximately 2.3 kg (5.4 g day-1) of mercury was discharged to the
Penobscot River by the two surface streams that drain the site, with most of the
combined loading (1.8 kg Hg, 78%) associated with a single storm with rainfall in
excess of 100 mm. Groundwater seepage rates from the site, as estimated from both
a radon tracer and seepage meter methods were in the range of 3 to 4 cm day-1
and, when combined with a best estimate of the area of groundwater discharge
(11,000 m2) and average seepage/porewater mercury concentration (242 ng L-1,
UCL95), yielded a loading of 0.11 g day-1 for site groundwater. None of the
municipal or other industrial point sources of mercury to the river between
Veazie and Bucksport, Maine exceeded 1 g day-1 individually, nor was the
aggregate loading of all such sources >3 g day-1 (based on State of Maine data).
Mercury loadings for the three largest tributaries downstream of Veazie Dam were
estimated to contribute 4.2, 3.7 and 2.5 g day-1, respectively, to the Penobscot
River. Based on sampling (total Hg ~ 2 to 4 ng L-1) and historical mean discharge
data (340-460 m3 s-1), the Penobscot River upstream of the plant site contributes
as much as 160 g day-1 to the downstream reach depending on river discharge.
Estimates of historical (1967-2012) mercury loading using both generic emission
factors and measured releases ranged from 2.6 to 27 MT while the mass of mercury
found in downstream sediments amounted to 9 MT.
PMID- 29801212
TI - Distribution of black carbon and black nitrogen in physical soil fractions from
soils seven years after an intense forest fire and their role as C sink.
AB - After vegetation fires, incorporation of pyrogenic organic matter (PyOM) into
soil organic matter (SOM) shifts its composition toward higher aromaticity and to
an increase of N-heterocyclic constituents, formerly introduced as black nitrogen
(BN). To investigate the medium-term impact of these shifts on the quality of SOM
and its role as an important C sink, the A horizon from soils of the fire-prone
Sierra de Aznalcollar (Southern Spain) were sampled 4 weeks and 7 years after a
severe fire. The solid-state 13C and 15N nuclear magnetic resonance (NMR) spectra
of the samples obtained 4 weeks after the fire indicated quick incorporation of
PyOM into SOM. Correspondingly, pyrrole-type N dominated the organic N fraction.
Seven years after the fire, the aryl C contribution decreased from 46% to 23% of
organic C, although it was still higher at the burnt sites than in the unburnt
reference soil (16%). This fast loss of PyOM may be due to erosion, transport
into deeper soils or microbial decomposition. The contribution of the latter is
in line with former incubation experiments with burnt soils from the same area.
Comparably, in the recovered soil, BN was almost completely substituted by amide
N. Studying the partitioning of PyOM among the density and particle size
fractions of the soils, indicated that after medium-term recuperation, most PyOM
occurred in the free and occluded particulate OM fractions (fPOM and oPOM). The
low protection against microbial degradation and the low density of these
fractions may explain the high PyOM losses from the studied soils either by
decomposition or by transport. We suggested that formation of PyOM-soil mineral
associates represents an important step for reducing losses of fire-derived
charcoal due to biochemical mineralization and thus to its sequestration in
soils.
PMID- 29801213
TI - Influence of human impacts on trace metal accumulation in soils of two Hungarian
cities.
AB - Despite the advanced activity of urban soil research, comparison of available
trace metal contents in the soil of settlements has not yet been well
investigated. First aim of research was the comparison of human impacts on urban
soils in two Hungarian cities with different structure and development. To detect
the sources of contamination, Szombathely and Sopron cities were separated into
urban, suburban and peri-urban areas. Altogether 192 topsoil samples were
collected at 0-20 cm in order to measure the physicochemical properties of the
soil. Instead of total element contents, plant-available trace metal
concentrations - Cd, Co, Cu, Ni, Pb, Zn - were determined using ICP-OES method.
Due to different geological conditions, urban soils of the investigated
settlements had similar properties in the case of pH, CaCO3 and texture. In the
case of plant available trace metals, limit excesses were found in urban and peri
urban areas mostly, but high values were recorded in the suburb. The amount of
Cdavailable, Coavailable and Niavailable were negligible. Downtown area was the
most contaminated in both cities, but extremes appeared in suburban areas. In
Szombathely, Cuavailable values were <40 mg Cu/kg, but extremely high Cuavailable
results were found in the peri-urban viticulture areas of Sopron (>90 mg Cu/kg).
The samples taken alongside busy roads near the city centre were Pbavailable
contaminated due to traffic. The Pbavailable concentration decreased slightly
towards the suburban areas of the cities. The Znavailable level exceeded 40 mg
Zn/kg in soils taken from the watercourses of Szombathely. The highest trace
metal pollution levels were recorded in soils of public parks of Sopron. Urban
soils of Szombathely were more homogenized than urban soils of Sopron. Based on
statistical analyses and comparison of the relations of urban, suburban, and peri
urban areas of Sopron to Szombathely, Szombathely's urban soils show more
homogeneity.
PMID- 29801214
TI - Seasonal variation of organic matter characteristics and fluoride concentration
in the Maji ya Chai River (Tanzania): Impact on treatability by
nanofiltration/reverse osmosis.
AB - The Maji ya Chai River in Northern Tanzania, a fluoride-rich tropical area, shows
a seasonal variation of natural organic matter (NOM) and fluoride concentration.
Water samples collected monthly during one year from two locations of the River
were characterized. High levels of precipitation in the rainy seasons increased
the total organic carbon (TOC) concentration to as high as 36 mgC L-1 and diluted
the fluoride concentration from a dry season high of 24 mg L-1 to <4 mg L-1. A
black water swamp in the Maji ya Chai River catchment was confirmed as the main
source of NOM, fluoride, salinity, and inorganic carbon entering the River in the
rainy season. The water samples were filtered by a number of
nanofiltration/reverse osmosis (NF/RO) membranes to identify the retention
mechanisms and the impact of varying water quality on treatability. While the
denser membranes removed fluoride due to size exclusion, for the membranes with
bigger pore radius charge repulsion was the dominant mechanism of fluoride
retention. Regardless of the seasonal conditions a TOC concentration <2 mgC L-1
was achieved by all membranes at 50% recovery, as NF/RO membranes remove TOC
mainly by size exclusion. Two swamp water samples, containing high TOC (79 and
183 mgC L-1), were filtered to determine the characteristics of NOM which
permeate the NF/RO membranes. Liquid chromatography organic carbon detection (LC
OCD) was used to characterize the fractions in the permeates, consisting of about
1% of the original NOM. The average molecular weight of the permeate humic
substances (HS) was more than four times larger than the membrane molecular
weight cut-off. This suggests that large HS can permeate the NF/RO membranes
through diffusion. Moreover, the relatively high aromaticity of the permeate HS
(1.7-5.2 L mg-1 m-1) indicated the high content of hydrophobic-aromatic
fractions.
PMID- 29801215
TI - Degradation and metabolic profiling for benzene kresoxim-methyl using carbon-14
tracing.
AB - Benzene kresoxim-methyl (BKM) is an effective strobilurin fungicide for
controlling fungal pathogens but limited information is available on its
degradation and metabolism. This study explored the degradation and metabolic
profiling for BKM in soils by carbon-14 tracing and HPLC-TOF-MS2 analyzing.
Results indicated that 88%-98% of 14C-BKM remained as parent or incomplete
intermediates after 100 days. Three main radioactive metabolites (M1 to M3,
>=90%) and three subordinate radioactive metabolites (Ma to Mc, <=2%) were
observed, along with a non-radioactive metabolite M4. The main intermediates were
further confirmed by self-synthesizing their authentic standards, and BKM was
proposed to degrade via pathways including: 1) the oxidative cleavage of the
acrylate double bond to give BKM-enol (M1); 2) the hydrolysis of the methyl ester
to give BKM acid (M2); 3) the cleavage of M1 and M2 to yield Mc, which could be
decarboxylated to give M3; and 4) the ether cleavage between aromatic rings to
form M4. This study builds a solid metabolic profiling method for strobilurins
and gives a deeper insight into the eventual fate of BKM by demonstrating its
transformation pathways for the first time, which may also be beneficial for
understanding the risks of other analogous strobilurins.
PMID- 29801216
TI - Preliminary economic assessment of the use of waste frying oils for biodiesel
production in Beirut, Lebanon.
AB - In this study, a method for assessing the costs of biodiesel production from
waste frying oils in Beirut, Lebanon, was investigated with the aim of developing
an economic evaluation of this alternative. A hundred restaurant and hotel
enterprises in Beirut were surveyed for promoting them in participating in the
biodiesel supply chain, and for data collection on waste frying oils generation,
disposal methods and frequency, and acquisition cost. Also, waste frying oils
were collected and converted into biodiesel using a one-step base catalyzed
transesterification process. Physicochemical characteristics of the produced
biodiesel were conforming to international standards. Data produced from
laboratory scale conversion of waste frying oils to biodiesel, as well as data
collected from the only biodiesel plant in Lebanon was used to determine the
production cost of biodiesel. Geographic Information System was used to propose a
real-time vehicle routing model to establish the logistics costs associated with
waste frying oils collection. Comparing scenarios of the configuration collection
network of waste frying oils, and using medium-duty commercial vehicles for
collection, a logistics cost of US$/L 0.08 was optimally reached. For the
calculation of the total cost of biodiesel production, the minimum, average, and
maximum values for the non-fixed cost variables were considered emerging 81
scenarios for possible biodiesel costs. These were compared with information on
the commercialization of diesel in Lebanon for the years 2011 through 2017.
Although competitive with petroleum diesel for years 2011 to 2014, the total
biodiesel cost presented less tolerance to declining diesel prices in the recent
years. Sensitivity analysis demonstrated that the acquisition cost of waste
frying oils is the key factor affecting the overall cost of biodiesel production.
The results of this study validate the economic feasibility of waste frying oils'
biodiesel production in the studied urban area upon enforcement of low waste
frying oils' acquisition costs, and can help spur food service enterprises to
become suppliers of biodiesel production feedstock and support a healthy
development of the biodiesel industry in Lebanon.
PMID- 29801217
TI - Effect of residential development on stream phosphorus dynamics in headwater
suburbanizing watersheds of southern Ontario, Canada.
AB - Suburban landscapes are known to have degraded water quality relative to natural
settings, including increased total phosphorus (TP) levels; however, the effect
of subdivision construction activities on stream TP dynamics are less understood.
This study measured TP and its constituents particulate, dissolved organic, and
dissolved inorganic phosphorus (PP, DOP, and DIP, respectively) in two headwater
streams of contrasting urbanization activity to examine whether the land-use
conversion process itself contributed to TP concentrations and export. The nested
watershed undergoing significant active residential community construction
contained large areas of cleared former agricultural field and associated
sediment mounds with elevated soil TP (~1000 mg kg-1), and twice as many
stormwater management (SWM) ponds than the watershed with completed suburban
communities. Daily stream sampling for six months revealed limited differences in
TP between urbanized and urbanizing watersheds regardless of season or stream
flow condition; however, the forms of TP varied significantly. The proportion of
TP as DOP was consistently higher in the urbanizing stream relative to the urban
stream, which was in line with significant decreases in DOP concentration as
proportion of cleared former agricultural land decreased and density of SWM ponds
increased. The DOP, and to a lesser extent DIP and PP, dynamics resulted in a
2.5* greater areal export of TP from a small watershed actively being
suburbanized during the study period compared to the larger watershed with
greater land urbanized 3-5 years ago. The results of this study suggest stream TP
concentrations are relatively unresponsive to active versus established suburban
cover, but the forms of TP can be quite different, and the period of home
construction can increase phosphorus (P) delivery to and export through nearby
streams. This information can aid land managers and urban planners update best
management practices to mitigate the transfer of terrestrial P to the aquatic
environment.
PMID- 29801218
TI - Removal of dissolved chromium from synthetic mine effluent: A mesocosm
experiment.
AB - Dispersion of hexavalent chromium (Cr(VI)) in streams around nickel laterite
mines, which are mostly located in the tropics, may pose serious risks for the
environment and human health. In an earlier study, a local natural wetland
effectively removed Cr from a nickel mine environment in Indonesia. In order to
understand the processes and conditions that would facilitate the establishment
of operational constructed wetlands that would remove Cr from mine water
discharge, we used two native macrophyte species from the same wetland, Lepironia
articulata and Machaerina rubiginosa, in a series of mesocosm experiments to
follow the distribution of Cr species in water, substrate and plants. A 1 m3
mesocosm was charged with a sand/compost mixture to a depth of 0.5 m, filled to
within 0.1 m from the top by water with Cr concentrations of about 1.0 mg L-1,
similar to mine discharge water, and plants were introduced to part of the
substrate surface. Stage 1 of the experiment supplied and removed fresh water
continuously by surface flow, maintaining a residence time of 12 h. In stages,
the water was recirculated (Stage 2), more plants were added (Stage 3) and
outflow conditions were changed from totally surface to partially from beneath
the substrate (Stage 4). All stages lowered Cr concentrations at the surface
water outflow, but Cr concentrations were lower again close to the sediment/water
interface. Due to the reduction of Cr(VI), the Cr concentrations in substrate
pore water were higher near the surface compared to those at depth, and the pore
water concentrations of Cr(VI) and total Cr were higher in the vegetated area
compared to the non-vegetated area. Higher plant density and mixed species
composition of the macrophytes did not increase the efficiency of Cr(VI) removal
from the system. The hybrid system, comprising surface and below-substrate
outflow (Stage 4), removed hexavalent chromium at a much higher rate than surface
outflow only.
PMID- 29801219
TI - Acid rock drainage passive remediation using alkaline clay: Hydro-geochemical
study and impacts of vegetation and sand on remediation.
AB - Acid rock drainage (ARD) is one of the most adverse environmental problems of the
mine industry, especially in regions with an abundance of coal refuse (CR)
deposits (e.g. the Northern Appalachian Coalfield in the USA) where surface and
ground waters are affected by this pollution due to the acidity and high content
of sulfates and heavy metals. This study explores the effectiveness of the ARD
passive remediation method using alkaline clay (AC) through a series of static
and long-term kinetic laboratory experiments (over three years) complemented with
field measurements and geochemical modeling. Two important issues associated with
this passive and auto-sustainable ARD remediation method were investigated: 1)
the hydrogeochemical study of the mixture in terms of the percentages of AC and
CR, and, 2) impacts of vegetation cover and a saturated sand barrier on the
remediation. Both the field measurements and the samples used for the experiments
came from a local coal waste site. Through the analysis of the field measurements
and the outcome of the laboratory experiments and the geochemical modeling,
alkaline clay proved to be an effective remediation material for ARD, in terms of
achieving a neutral pH in the leachate and immobilization of sulfate and metals
such as Fe, Mn, Cu, Zn, Ni, Pb, Cd, Co. Moreover, it has been demonstrated that
the use of vegetation and a saturated sand barrier are beneficial. Vegetation
acted as a phytoaccumulation/phytoextraction agent, causing an additional
immobilization of metals. The saturated sand barrier blocked downward the oxygen
and water diffusion, reducing pyrite oxidation rates. The proposed remediation
approach ensures that the acidity consumption will likely occur before all the
alkalinity is exhausted.
PMID- 29801220
TI - Impact of inorganic UV filters contained in sunscreen products on tropical stony
corals (Acropora spp.).
AB - Most coral reefs worldwide are threatened by natural and anthropogenic impacts.
Among them, the release in seawater of sunscreen products commonly used by
tourists to protect their skin against the harmful effects of UV radiations, can
affect tropical corals causing extensive and rapid bleaching. The use of
inorganic (mineral) filters, such as zinc and titanium dioxide (ZnO and TiO2) is
increasing due to their broad UV protection spectrum and their limited
penetration into the skin. In the present study, we evaluated through laboratory
experiments, the impact on the corals Acropora spp. of uncoated ZnO nanoparticles
and two modified forms of TiO2 (Eusolex(r)T2000 and OptisolTM), largely utilized
in commercial sunscreens together with organic filters. Our results demonstrate
that uncoated ZnO induces a severe and fast coral bleaching due to the alteration
of the symbiosis between coral and zooxanthellae. ZnO also directly affects
symbiotic dinoflagellates and stimulates microbial enrichment in the seawater
surrounding the corals. Conversely, Eusolex(r) T2000 and OptisolTM caused minimal
alterations in the symbiotic interactions and did not cause bleaching, resulting
more eco-compatible than ZnO. Due to the vulnerability of coral reefs to
anthropogenic impacts and global change, our findings underline the need to
accurately evaluate the effect of commercial filters on stony corals to minimize
or avoid this additional source of impact to the life and resilience ability of
coral reefs.
PMID- 29801221
TI - Determination of hexavalent Cr in river sediments by speciated isotope dilution
inductively coupled plasma mass spectrometry.
AB - During a sampling campaign in September 2015, elevated chromium (Cr)
concentrations were observed in sediments of industrially exposed sites of the
Sava River (stainless steel production and impact of metallurgic industry). To
verify if sediments also contained hazardous hexavalent Cr (Cr(VI)), there was a
need to develop a sensitive and reliable analytical procedure for its
determination. In the determination of Cr(VI) in environmental samples, it is
necessary to evaluate the suitability of the applied analytical methodology for
each individual sample matrix. In these studies, the use of isotopically enriched
Cr tracers importantly contribute to the validity of the obtained results. In the
present work, an analytical procedure was optimised for the extraction of total
Cr(VI) from sediments and the content of Cr(VI) determined by high performance
liquid chromatography coupled to inductively coupled plasma mass spectrometry
(HPLC-ICP-MS). To leach the total amount of Cr(VI) from sediments, an ultrasound
assisted extraction procedure was applied at 80 degrees C, using 2% NaOH + 3%
Na2CO3 as the extraction solution. The addition of 0.4 mol L-1 MgCl2 prevented
oxidation of Cr(III) during the extraction step. To control for species
interconversion and for an accurate calculation of Cr(VI) concentration by
speciated isotope dilution (ID)-ICP-MS, the alkaline extract was doubly spiked
with enriched 50Cr(VI) and 53Cr(III). The accuracy of the determination of Cr(VI)
was verified by analysing the certified reference material CRM 041 (Cr(VI) in
soil), and by spike recovery test. Low limits of detection (LOD) and
quantification (LOQ) (1.25 and 4.0 MUg Cr(VI) kg-1, respectively) and good
repeatability of measurement (relative standard deviation better than +/-4.8%)
were obtained. The analytical data revealed that Cr(VI) concentrations in
sediments of the Sava River did not represent any known environmental hazard.
PMID- 29801223
TI - Fluorescence characterization of fractionated dissolved organic matter in the
five tributaries of Poyang Lake, China.
AB - Characterization of natural colloids is the key to understand pollutant fate and
transport in the environment. The present study investigates the relationship
between size and fluorescence properties of colloidal organic matter (COM) from
five tributaries of Poyang Lake. Colloids were size-fractionated using cross-flow
ultrafiltration and their fluorescence properties were measured by three
dimensional excitation-emission matrix fluorescence spectroscopy (3D-EEM).
Parallel factor analysis (PARAFAC) and/or Self-organizing map (SOM) were applied
to assess fluorescence properties as proxy indicators for the different size of
colloids. PARAFAC analysis identified four fluorescence components including
three humic-like components (C1-C3) and a protein-like component (C4). These four
fluorescence components, and in particular the protein-like component, are
primarily present in <1 kDa phase. For the colloidal fractions (1-10 kDa, 10-100
kDa, and 100 kDa-0.7 MUm), the majority of fluorophores are associated with the
smallest size fraction. SOM analysis demonstrated that relatively high
fluorescence intensity and aromaticity occur primarily in <1 kDa phase, followed
by 1-10 kDa colloids. Coupling PARAFAC and SOM facilitate the visualization and
interpretation of the relationship between colloidal size and fluorescence
properties with fewer input variables, shorter running time, higher reliability,
and nondestructive results. Fluorescence indices analysis reveals that the
smallest colloidal fraction (1-10 kDa) was dominated by higher humified and less
autochthonous COM.
PMID- 29801222
TI - The future of biotic indices in the ecogenomic era: Integrating (e)DNA
metabarcoding in biological assessment of aquatic ecosystems.
AB - The bioassessment of aquatic ecosystems is currently based on various biotic
indices that use the occurrence and/or abundance of selected taxonomic groups to
define ecological status. These conventional indices have some limitations, often
related to difficulties in morphological identification of bioindicator taxa.
Recent development of DNA barcoding and metabarcoding could potentially alleviate
some of these limitations, by using DNA sequences instead of morphology to
identify organisms and to characterize a given ecosystem. In this paper, we
review the structure of conventional biotic indices, and we present the results
of pilot metabarcoding studies using environmental DNA to infer biotic indices.
We discuss the main advantages and pitfalls of metabarcoding approaches to assess
parameters such as richness, abundance, taxonomic composition and species
ecological values, to be used for calculation of biotic indices. We present some
future developments to fully exploit the potential of metabarcoding data and
improve the accuracy and precision of their analysis. We also propose some
recommendations for the future integration of DNA metabarcoding to routine
biomonitoring programs.
PMID- 29801224
TI - A systematic approach for watershed ecological restoration strategy making: An
application in the Taizi River Basin in northern China.
AB - Aiming to protect freshwater ecosystems, river ecological restoration has been
brought into the research spotlight. However, it is challenging for decision
makers to set appropriate objectives and select a combination of rehabilitation
acts from numerous possible solutions to meet ecological, economic, and social
demands. In this study, we developed a systematic approach to help make an
optimal strategy for watershed restoration, which incorporated ecological
security assessment and multi-objectives optimization (MOO) into the planning
process to enhance restoration efficiency and effectiveness. The river ecological
security status was evaluated by using a pressure-state-function-response (PSFR)
assessment framework, and MOO was achieved by searching for the Pareto optimal
solutions via Non-dominated Sorting Genetic Algorithm II (NSGA-II) to balance
tradeoffs between different objectives. Further, we clustered the searched
solutions into three types in terms of different optimized objective function
values in order to provide insightful information for decision makers. The
proposed method was applied in an example rehabilitation project in the Taizi
River Basin in northern China. The MOO result in the Taizi River presented a set
of Pareto optimal solutions that were classified into three types: I - high
ecological improvement, high cost and high benefits solution; II - medial
ecological improvement, medial cost and medial economic benefits solution; III -
low ecological improvement, low cost and low economic benefits solution. The
proposed systematic approach in our study can enhance the effectiveness of
riverine ecological restoration project and could provide valuable reference for
other ecological restoration planning.
PMID- 29801225
TI - Multi-year double cropping biochar field trials in Nepal: Finding the optimal
biochar dose through agronomic trials and cost-benefit analysis.
AB - Poor water and nutrient retention are the major soil fertility limitations in the
low productivity agricultural soils of Nepal. The addition of biochar to these
soils is one way these hindrances can be overcome. In the present study, six
different biochar doses (control, 5 t ha-1, 10 t ha-1, 15 t ha-1, 25 t ha-1 and
40 t ha-1) were applied to a moderately acidic silty loam soil from Rasuwa, Nepal
and the effects on soil physicochemical properties and maize and mustard yield
over three years (i.e., six cropping seasons), were investigated. Biochar
addition did not show significant effects on maize and mustard grain yield in the
first year, however significant positive effects (p < 0.01) were observed during
the second and third years. During the second year, maize grain yield
significantly increased by 50%, 47% and 93% and mustard grain yield by 96%, 128%
and 134% at 15 t ha-1, 25 t ha-1 and 40 t ha-1 of biochar respectively. A similar
significant increase in yield of both crops was observed in the third year.
Yields for both maize and mustard correlated significantly (p < 0.001) with plant
available P, K+, pH, total OC%, CEC, base saturation, and increased as a function
of biochar addition. On the basis of the measured crop yields for the various
biochar doses, a cost-benefit analysis was carried out, and gross margin was
calculated to optimize biochar dose for local farming practice. Total costs
included financial cost (farm input, labor and biochar production cost), health
cost and methane emission cost during biochar production. Health costs were a
minor factor (<2% of total biochar preparation cost), whereas methane emission
costs were significant (up to 30% of biochar cost, depending on the C price).
Total income comprised sale of crops and carbon sequestration credits. The cost
benefit analysis showed that the optimal biochar application dose was 15 t ha-1
for all C price scenarios, increasing gross margin by 21% and 53%, respectively,
for 0 and 42 US$ per ton CO2 price scenarios. In the current situation, only the
0 US$ price scenario is realistic for rural farmers in Nepal, but this still
gives benefits of biochar amendment, which are capped at a 15 t ha-1 biochar
addition.
PMID- 29801226
TI - Estimating cadmium availability to the hyperaccumulator Sedum plumbizincicola in
a wide range of soil types using a piecewise function.
AB - : Estimating the bioavailability and predicting the uptake of metals to
hyperaccumulators is very important in developing the field application of
phytoextraction. A pot experiment was conducted using 108 agricultural soils
covering a wide range of soil properties by the cadmium (Cd) hyperaccumulator
Sedum plumbizincicola. The contributions of a range of soil properties to Cd
uptake were quantified. Soil total, soluble, CaCl2-extractable and diffusive
gradients in thin films (DGT)-extractable Cd concentrations (Cdtotal, Cdsoln,
CdCaCl2 and CdDGT) were used to estimate Cd bioavailability and predict shoot Cd
concentration (Cdshoot) using a piecewise function. Cdtotal and pH were the two
major contributors to Cd uptake. Cdshoot showed a logarithmic increase with
Cdtotal from 0.30 to 10.0 mg kg-1 but no further increase when Cd levels exceeded
10 mg kg-1. Soil pH had a discernible negative effect on Cd bioavailability from
pH 5.5 to 7.5 but a weak influence at pH < 5.5 or pH > 7.5. This indicates that
the optimum pH for phytoextraction with S. plumbizincicola was ~5.5 and lower pH
produced little increase in shoot Cd uptake. DGT gave the best estimation of Cd
bioavailability across all the data. When Cdtotal > 10 mg kg-1, none of the four
measures was accurate enough to predict Cdshoot but when pH > 7.5 all the four
measures were well correlated with Cdshoot. Piecewise equations in different
ranges of Cdtotal or pH significantly improved the prediction of Cdshoot compared
with the global equations derived from all the data. Compared with the piecewise
equations, when pH > 7.5 Cdshoot was greatly overestimated with the global
equation of Cdtotal. Our study provides useful information on the soils in which
phytoextraction with S. plumbizincicola is feasible in the field. CAPSULE: Cd
availability to S. plumbizincicola was estimated by a piecewise function in soils
with wide ranges of total Cd concentration and pH.
PMID- 29801227
TI - Removal of sulfamethoxazole, ibuprofen and nitrobenzene by UV and UV/chlorine
processes: A comparative evaluation of 275 nm LED-UV and 254 nm LP-UV.
AB - The aim of this study is to evaluate the micropollutant removal capacity of a 275
nm light-emitting diode (LED)-UV/chlorine system. The sulfamethoxazole,
ibuprofen, and nitrobenzene removal efficiencies of this system were compared
with those of a conventional 254 nm low-pressure (LP)-UV system as a function of
the UV dose. In a direct photolysis system, the photon reactivity of
sulfamethoxazole is higher than that of nitrobenzene and ibuprofen at both
wavelengths. The molar absorption coefficients and quantum yields of each
micropollutant were as follows: sulfamethoxazole (epsilonSMX, 275 nmprotonated =
17,527 M-1 cm-1, PhiSMX, 275 nmprotonated = 0.239, epsilonSMX, 275 nmdeprotonated
= 8430 M-1 cm-1, and PhiSMX, 275 nmdeprotonated = 0.026), nitrobenzene
(epsilonNB, 275 nm = 7176 M-1 cm-1 and PhiNB, 275 nm = 0.057), and ibuprofen
(epsilonNB, 275 nm = 200 M-1 cm-1 and PhiIBF, 275 nm = 0.067). The photon
reactivity of chlorine species, i.e., HOCl and OCl-, were determined at 275 nm
(epsilonHOCl, 275 nm = 28 M-1 cm-1, PhiHOCl, 275 nm = 1.97, epsilonOCl-, 275 nm =
245 M-1 cm-1, and PhiOCl-, 275 nm = 0.8), which indicate that the decomposition
rate of OCl- is higher and that of HOCl is lower by 275 nm photolysis than that
by 254 nm photolysis (epsilonHOCl, 254 nm = 60 M-1 cm-1, PhiHOCl, 254 nm = 1.46,
epsilonOCl-, 254 nm = 58 M-1 cm-1, and PhiOCl-, 254 nm = 1.11). In the
UV/chlorine system, the removal rates of ibuprofen and nitrobenzene were
increased by the formation of OH and reactive chlorine species. The 275-nm LED
UV/chlorine system has higher radical yields at pH 7 and 8 than the 254 nm LP
UV/chlorine system.
PMID- 29801228
TI - Priority substances and emerging pollutants in urban rivers in Ukraine:
Occurrence, fluxes and loading to transboundary European Union watersheds.
AB - The occurrence and fluxes of 18 priority substances and emerging pollutants
listed in the European Union Water Framework Directive and a Watch List (trace
metals (Cd, Pb and Ni), nonylphenols, octylphenols, 8 polyaromatic hydrocarbons,
4 dioxin-like polychlorinated biphenyls and diclofenac) were investigated in a
Ukrainian city and the mass discharge loads of these compounds into EU
transboundary watersheds were estimated. Fluxes of chemicals were calculated per
capita and per area of the Ukrainian urban territory and used to estimate mass
loading of priority and emerging concern compounds from Lviv, Uzhorod and
Chernivtsi (West Ukraine) to neighbouring EU-transboundary rivers. The highest
loading was found for trace metals (1.15 t a-1), diclofenac (0.7 t a-1) and
nonylphenols (0.4 t a-1). Transboundary water contamination must be considered in
order to successfully manage water resources in a manner that fulfils the
requirements of EU environmental quality standards.
PMID- 29801230
TI - Effects of water pollution and river fragmentation on population genetic
structure of invasive mosquitofish.
AB - We analyzed variation at the GPI-2 locus and eleven microsatellite loci of
eastern mosquitofish Gambusia holbrooki populations introduced to the Ebro River
(Spain), sampling above and below a dam (Flix Reservoir) where severe chronic
pollution has been well documented. Allele frequency changes at the GPI-2 locus
in the sites nearest to the polluted sediments agree with previous results from
studies in mercury-exposed populations of this highly invasive fish. Genetic
distinction of the mosquitofish collected close to the polluted sediments was
detected at the GPI locus but also at the presumptive neutral microsatellite
loci. Recent migration rates estimated from microsatellites indicated that around
30% of fish collected in a specific location were immigrants from upstream and
downstream sources. Such high migration rates probably contribute to the
mosquitofish's invasive success and suggest that the consequences on the
mosquitofish regional genetic structured of high levels of water toxicants could
be mediated by immigration from other sites, but the effect of pollutants on
local diversity might be higher than observed here.
PMID- 29801229
TI - Ecotoxicological effects of losartan on the brown mussel Perna perna and its
occurrence in seawater from Santos Bay (Brazil).
AB - The antihypertensive losartan (LOS) has been detected in wastewater and
environmental matrices, however further studies focused on assessing the
ecotoxicological effects on aquatic ecosystems are necessary. Considering the
intensive use of this pharmaceutical and its discharges into coastal zones, our
study aimed to determine the environmental concentrations of LOS in seawater, as
well as to assess the biological effects of LOS on the marine bivalve Perna
perna. For this purpose, fertilization rate and embryolarval development were
evaluated through standardized assays. Phase I (ethoxyresorufin O-deethylase EROD
and dibenzylfluorescein dealkylase DBF) and II (glutathione S-transferase GST)
enzymes, glutathione peroxidase (GPx), Cholinesterase (ChE), lipoperoxidation
(LPO) and DNA damage were used to analyze sublethal responses in gills and
digestive gland of adult individuals. Lysosomal membrane stability was also
assessed in hemocytes. Our results showed the occurrence of LOS in 100% of the
analyzed water samples located in Santos Bay, Sao Paulo, Brazil, in a range of
0.2 ng/L-8.7 ng/L. Effects on reproductive endpoints were observed after short
term exposure to concentrations up to 75 mg/L. Biomarker responses demonstrated
the induction of CYP450 like activity and GST in mussel gills exposed to 300 and
3000 ng/L of LOS, respectively. GPx activity was also increased in concentration
of exposure to 3000 ng/L of LOS. Cyto-genotoxic effects were found in gills and
hemocytes exposed in concentrations up to 300 ng/L. These results highlighted the
concern of introducing this class of contaminants into marine environments, and
pointed out the need to include antihypertensive compounds in environmental
monitoring programs.
PMID- 29801231
TI - Interactive effects of multiple stressors revealed by sequencing total (DNA) and
active (RNA) components of experimental sediment microbial communities.
AB - Coastal waterways are increasingly exposed to multiple stressors, e.g.
contaminants that can be delivered via pulse or press exposures. Therefore, it is
crucial that ecological impacts can be differentiated among stressors to manage
ecosystem threats. We investigated microbial community development in sediments
exposed to press and pulse stressors. Press exposures were created with in situ
mesocosm sediments containing a range of 'metal' concentrations (sediment
contaminated with multiple metal(loid)s) and organic enrichment (fertiliser),
while the pulse exposure was simulated by a single dose of organic fertiliser.
All treatments and exposure concentrations were crossed in a fully factorial
field experiment. We used amplicon sequencing to compare the sensitivity of the
1) total (DNA) and active (RNA) component of 2) bacterial (16S rRNA) and
eukaryotic (18S rRNA) communities to contaminant exposures. Overall microbial
community change was greater when exposed to press than pulse stressors, with the
bacterial community responding more strongly than the eukaryotes. The total
bacterial community represents a more time-integrated measure of change and
proved to be more sensitive to multiple stressors than the active community.
Metals and organic enrichment treatments interacted such that the effect of
metals was weaker when the sediment was organically enriched. Taxa-level analyses
revealed that press enrichment resulted in potential functional changes, mainly
involving nitrogen cycling. Furthermore, enrichment generally reduced the
abundance of active eukaryotes in the sediment. As well as demonstrating
interactive impacts of metals and organic enrichment, this study highlights the
sensitivity of next-generation sequencing for ecosystem biomonitoring of
interacting stressors and identifies opportunities for more targeted application.
PMID- 29801232
TI - Adding benefit to wetlands - Valorization of harvested common reed through
mushroom production.
AB - Wetlands have been successfully implemented as water purification systems for
removal of plant nutrients and can play a significant role in nutrient recycling,
depending on use of the harvested biomass. In a constructed wetland in southern
Sweden examined in this study, assimilation of plant nutrients in wetland biomass
corresponded to 234 kg/ha nitrogen, 22.8 kg/ha phosphorus, and 158 kg/ha
potassium in the study year (2016). The harvested biomass, composed exclusively
of common reed, was evaluated as a substrate for production of oyster mushrooms,
one of the most widely produced edible mushrooms in the world. The biological
efficiency of the substrate was 138 +/- 10%, corresponding to production of 1.4
kg mushrooms (fresh weight) based on 1 kg reed (dry weight). The fruiting bodies
had high quality, with total protein concentration 18.3 +/- 2.8% and very low
levels of contaminating heavy metals. Thus, nutrient assimilation in wetland
biomass not only decreases the risk of eutrophication in recipient waters, but
can be utilized for direct production of high-quality food. The biomass remaining
after mushroom production, composed of mycelium and partly degraded wetland
biomass, has potential for use in ruminant feed, i.e., as roughage.
PMID- 29801233
TI - Long-term and high-concentration heavy-metal contamination strongly influences
the microbiome and functional genes in Yellow River sediments.
AB - The world is facing a hard battle against soil pollution such as heavy metals.
Metagenome sequencing, 16S rRNA sequencing, and quantitative polymerase chain
reaction (qPCR) were used to examine microbial adaptation mechanism to
contaminated sediments under natural conditions. Results showed that sediment
from a tributary of the Yellow River, which was named Dongdagou River (DDG)
supported less bacterial biomass and owned lower richness than sediment from Maqu
(MQ), an uncontaminated site in the upper reaches of the Yellow River.
Additionally, microbiome structures in these two sites were different. Metagenome
sequencing and functional gene annotations revealed that sediment from DDG
contains a larger number of genes related to DNA recombination, DNA damage
repair, and heavy-metal resistance. KEGG pathway analysis indicated that the
sediment of DDG contains a greater number of enzymes associated with heavy-metal
resistance and reduction. Additionally, the bacterial phyla Proteobacteria,
Bacteroidetes, and Firmicutes, which harbored a larger suite of metal-resistance
genes, were found to be the core functional phyla in the contaminated sediments.
Furthermore, sediment in DDG owned higher viral abundance, indicating virus
mediated heavy-metal resistance gene transfer might be an adaptation mechanism.
In conclusion, microbiome of sediment from DDG has evolved into an integrated
system resistant to long-term heavy-metal pollution.
PMID- 29801234
TI - Assessment of regional threats to human water security adopting the global
framework: A case study in South Korea.
AB - Water resources have been threatened by climate change, increasing population,
land cover changes in watersheds, urban expansion, and intensive use of
freshwater resources. Thus, it is critical to understand the sustainability and
security of water resources. This study aims to understand how we can adequately
and efficiently quantify water use sustainability at both regional and global
scales with an indicator-based approach. A case study of South Korea was examined
with the framework widely used to quantify global human water threats. We
estimated the human water threat with both global and local datasets, showing
that the water security index using global data was adequately correlated with
the index for regional data. However, particularly poor associations were found
in the investment benefit factors. Furthermore, we examined several different
aspects of the index with the local datasets as they have relatively high spatial
and temporal resolution. For example, we used cropland percentage, population and
moderate water use as surrogate indicators instead of employing the approximately
20 original indicators, and we presented a regression model that was able to
capture the spatial variations from the original threat index to some extent.
This finding implies that it would be possible to predict water security or
sustainability using existing indicator datasets for future periods, although it
would require regionally developed relationships between water security and such
indicators.
PMID- 29801236
TI - Intensification of hydrological drought due to human activity in the middle
reaches of the Yangtze River, China.
AB - Hydrological extremes are changing under the impacts of environmental change,
i.e., climate variation and human activity, which can substantially influence
ecosystems and the living environment of humans in affected region. This study
investigates the impacts of environmental change on hydrological drought in the
middle reaches of the Yangtze River in China based on hydrological modelling.
Change points for streamflow into two major lakes and a reservoir in the study
area were detected in the late 1980s using the Mann-Kendall test. Streamflow
simulation by a water balance model was performed, and the resulting Kling-Gupta
efficiency value was >0.90. Hydrological drought events were identified based on
the simulated streamflow under different scenarios. The results show that the
hydrological drought occurrence was increased by precipitation, whereas the
drought peak value was increased by potential evapotranspiration. The impacts of
precipitation and potential evapotranspiration on drought severity and duration
varied in the study area. However, hydrological drought was intensified by the
influence of human activity, which increased the severity, duration and peak
value of droughts. The dominant factor for hydrological drought severity is
precipitation, followed by potential evapotranspiration and human activity. The
impacts of climate variation and human activity on drought severity are larger
than on drought duration. In addition, environmental change is shown to have an
"accumulation effect" on hydrological drought, demonstrating that the indirect
impacts of environmental change on hydrological drought are much larger than the
direct impacts on streamflow. This study improves our understanding of the
responses of hydrological extremes to environmental change, which is useful for
the management of water resources and the prediction of hydrological disasters.
PMID- 29801235
TI - A new scenario of lead contamination in potable water distribution systems:
Galvanic corrosion between lead and stainless steel.
AB - Lead pipe has been banned for distributing drinking water in the 1980s and
partial replacement of lead pipes with stainless steel pipes has been practiced
in many Asian countries. Due to the different potentials of lead and stainless
steel, galvanic corrosion may take place. The extent of lead release and effects
of water chemistry on this process, however, are largely unknown. The objectives
of this study are to characterize lead release resulting from galvanic connection
between lead and stainless steel, the effects of pH, chloride and sulfate
concentrations on this process, and the effectiveness of using orthophosphate to
mitigate this problem. The experiments were conducted by connecting aged lead
pipes to stainless steel fittings and placing the couple in different water
conditions. The results of this study demonstrated that lead release
significantly accelerated when lead and stainless steel were galvanically
connected and the rate of lead release accelerated with decreasing pH and
increasing chloride-to-sulfate mass ratio (CSMR). Orthophosphate could
effectively reduce lead release but CSMR needs to be considered since water with
a higher CSMR still caused more lead release when galvanic corrosion took place.
PMID- 29801237
TI - Impact of climate change and climate anomalies on hydrologic and biogeochemical
processes in an agricultural catchment of the Chesapeake Bay watershed, USA.
AB - Nutrient export from agricultural landscapes is a water quality concern and the
cause of mitigation activities worldwide. Climate change impacts hydrology and
nutrient cycling by changing soil moisture, stoichiometric nutrient ratios, and
soil temperature, potentially complicating mitigation measures. This research
quantifies the impact of climate change and climate anomalies on hydrology,
nutrient cycling, and greenhouse gas emissions in an agricultural catchment of
the Chesapeake Bay watershed. We force a calibrated model with seven downscaled
and bias-corrected regional climate models and derived climate anomalies to
assess their impact on hydrology and the export of nitrate (NO3-), phosphorus
(P), and sediment, and emissions of nitrous oxide (N2O) and di-nitrogen (N2).
Model-average (+/-standard deviation) results indicate that climate change,
through an increase in precipitation and temperature, will result in substantial
increases in winter/spring flow (10.6 +/- 12.3%), NO3- (17.3 +/- 6.4%), dissolved
P (32.3 +/- 18.4%), total P (24.8 +/- 16.9%), and sediment (25.2 +/- 16.6%)
export, and a slight increases in N2O (0.3 +/- 4.8%) and N2 (0.2 +/- 11.8%)
emissions. Conversely, decreases in summer flow (-29.1 +/- 24.6%) and the export
of dissolved P (-15.5 +/- 26.4%), total P (-16.3 +/- 20.7%), sediment (-20.7 +/-
18.3%), and NO3- (-29.1 +/- 27.8%) are driven by greater evapotranspiration from
increasing summer temperatures. Decreases in N2O (-26.9 +/- 15.7%) and N2 (-36.6
+/- 22.9%) are predicted in the summer and driven by drier soils. While the
changes in flow are related directly to changes in precipitation and temperature,
the changes in nutrient and sediment export are, to some extent, driven by
changes in agricultural management that climate change induces, such as earlier
spring tillage and altered nutrient application timing and by alterations to
nutrient cycling in the soil.
PMID- 29801238
TI - Exploring the determinants of open defecation in Nigeria using demographic and
health survey data.
AB - There is increasing global attention to the threats of open defecation (OD) to
human health and dignity, and the environment. With at least a quarter of
Nigerians (25.1%) or 46 million people practicing OD in 2015, Nigeria ranks third
in the world in OD prevalence after India and China. This study explores the
socio-economic, demographic and geographic factors that influence and determine
the practice of OD among Nigerian households. Data was obtained from 2013 Nigeria
Demographic and Health Survey and analyzed using descriptive and inferential
statistics. The results indicate that about 32% of Nigerian households, 8% in
urban areas and 24% in rural areas, practiced OD in 2013. The practice is
significantly influenced (p < 0.001) by households' place of residence (chi2 (1)
= 2126.2), geo-political region (chi2 (5) = 1962.6) and wealth index (chi2 (4) =
4622.7), as well as by household head's education level (chi2 (3) = 1253.3),
ethnicity (chi2 (3) = 1926.2) and gender (t = -7.1992). The article also
discusses the implications of the findings for environmental and public health
and recommends that facilitating ownership of latrines by households and
communities, and behavioral change interventions are necessary towards
substantially reducing or eliminating OD in Nigeria.
PMID- 29801239
TI - Water and energy recovery: The future of wastewater in China.
AB - China is increasing its use of non-conventional water sources - seawater
desalination, surface water transfer and wastewater reuse - to meet demand.
Getting and treating water from these sources generally requires more energy than
is needed for local freshwater sources. This increases the cost and greenhouse
gas emissions associated with water supply. It also leads us to the question: are
alternative water sources necessary and, if so, which source should be preferred?
Here we argue that reclaiming and reusing wastewater is often the least energy
intensive alternative source for water-scarce areas of China, particularly when
energy present in wastewater is recovered during the process.
PMID- 29801240
TI - Transport of mercury on the finest particles results in high sediment
concentrations in the absence of significant ongoing sources.
AB - The mercury contaminated upper Penobscot Estuary in Maine provided a unique
opportunity to rigorously examine the effect of sediment type and particle size
on mercury concentrations in sediments, and to explain why sediments at different
locations in the estuary had different mercury concentrations. This is because
the Penobscot Estuary contains a large, well-mixed pool of mobile sediments of
many different types (muds, sand, gravel, wood chips), which are the source of
material for the permanently deposited surface sediments. Despite this mixing,
average surface sediment mercury concentrations were very different in different
locations, ranging from 238 ng/gdw to 1032 ng/gdw in the 11 subareas studied.
Average total mercury concentrations were highly related to the type of sediment
(wood chips > muds > sands) regardless of location in the estuary. The
characteristics in both mobile and surface sediments that were positively related
to total mercury concentrations were % organic matter (measured as loss on
ignition) and %fines (measured usually as <62.5 MU). Also, in a subset of samples
it was shown that mercury was positively associated only with the very finest
(<44 MU) particles. Thus, side embayments of the estuary such as the Orland River
and Mendall Marsh, which experience lower velocity currents and so accumulate
more fine particles, tended to be much higher in mercury concentrations. This
knowledge will be important in managing remediation of this system, as fine
particles can be the most difficult to trap or to retain if dredging is employed.
Methyl mercury was well correlated with total mercury and so its distribution
would also be affected by transport characteristics. This was the case even in
the mobile sediments, which were more oxic and not expected to provide a good
habitat for methylation.
PMID- 29801241
TI - The surprising recovery of red spruce growth shows links to decreased acid
deposition and elevated temperature.
AB - Following growth declines and increased mortality linked to acid deposition
induced calcium depletion, red spruce (Picea rubens Sarg.) in the northeastern
United States are experiencing a recovery. We found that more than 75% of red
spruce trees and 90% of the plots examined in this study exhibited increasing
growth since 2001. To understand this change, we assessed the relationship
between red spruce radial growth and factors that may influence growth: tree age
and diameter, stand dynamics, plot characteristics (elevation, slope, aspect,
geographical position), and a suite of environmental variables (temperature,
precipitation, climate and precipitation indices (degree days, SPEI [standardized
precipitation evapotranspiration index], and acid deposition [SO42-, NO3-, pH of
rainfall, cation:anion ratio of rainfall]) for 52 plots (658 trees) from five
states (spanning 2.5 degrees N * 5 degrees W). Examining the growth relationships
from 1925 to 2012, we found that while there was variability in response to
climate and acid deposition (limited to 1980-2012) by elevation and location,
plot and tree factors did not adequately explain growth. Higher temperatures
outside the traditional growing season (e.g., fall, winter, and spring) were
related to increased growth. Nitrogen deposition (1980-2012) was associated with
lower growth, but the strength of this relationship has lessened over time.
Overall, we predict sustained favorable conditions for red spruce in the near
term as acid deposition continues to decline and non-traditional growing season
(fall through spring) temperatures moderate, provided that overall temperatures
and precipitation remain adequate for growth.
PMID- 29801242
TI - Linking plastic ingestion research with marine wildlife conservation.
AB - Plastic is an increasingly pervasive marine pollutant. Concomitantly, the number
of studies documenting plastic ingestion in wildlife is accelerating. Many of
these studies aim to provide a baseline against which future levels of plastic
ingestion can be compared, and are motivated by an underlying interest in the
conservation of their study species and ecosystems. Although this research has
helped to raise the profile of plastic as a pollutant of emerging concern, there
is a disconnect between research examining plastic pollution and wildlife
conservation. We present ideas to further discussion about how plastic ingestion
research could benefit wildlife conservation by prioritising studies that
elucidates the significance of plastic pollution as a population-level threat,
identifies vulnerable populations, and evaluates strategies for mitigating
impacts. The benefit of plastic ingestion research to marine wildlife can be
improved by establishing a clearer understanding of how discoveries will be
integrated into conservation and policy actions.
PMID- 29801243
TI - Aquifer recharge with stormwater runoff in urban areas: Influence of vadose zone
thickness on nutrient and bacterial transfers from the surface of infiltration
basins to groundwater.
AB - Stormwater infiltration systems (SIS) have been built in urban areas to reduce
the environmental impacts of stormwater runoff. Infiltration basins allow the
transfer of stormwater runoff to aquifers but their abilities to retain
contaminants depend on vadose zone properties. This study assessed the influence
of vadose zone thickness (VZT) on the transfer of inorganic nutrients (PO43-, NO3
, NH4+), dissolved organic carbon (total -DOC- and biodegradable -BDOC-) and
bacteria. A field experiment was conducted on three SIS with a thin vadose zone
(<3 m) and three SIS with a thick vadose zone (>10 m). Water samples were
collected at three times during a rainy period of 10 days in each infiltration
basin (stormwater runoff), in the aquifer impacted by infiltration (impacted
groundwater) and in the same aquifer but upstream of the infiltration area (non
impacted groundwater). Inorganic nutrients, organic matter, and dissolved oxygen
(DO) were measured on all water samples. Bacterial community structures were
investigated on water samples through a next-generation sequencing (NGS) scheme
of 16S rRNA gene amplicons (V5-V6). The concentrations of DO and phosphate
measured in SIS-impacted groundwaters were significantly influenced by VZT due to
distinct biogeochemical processes occurring in the vadose zone. DOC and BDOC were
efficiently retained in the vadose zone, regardless of its thickness. Bacterial
transfers to the aquifer were overall low, but data obtained on day 10 indicated
a significant bacterial transfer in SIS with a thin vadose zone. Water transit
time and water saturation of the vadose zone were found important parameters for
bacterial transfers. Most bacterial taxa (>60%) from impacted groundwaters were
not detected in stormwater runoff and in non-impacted groundwaters, indicating
that groundwater bacterial communities were significantly modified by processes
associated with infiltration (remobilization of bacteria from vadose zone and/or
species sorting).
PMID- 29801244
TI - Mercury and omega-3 fatty acid profiles in freshwater fish of the Dehcho Region,
Northwest Territories: Informing risk benefit assessments.
AB - Traditional foods have significant nutritional, sociocultural and economic value
in subarctic First Nations communities of the Northwest Territories, and play a
crucial role in promoting cultural continuity and sovereignty. Omega-3
polyunsaturated fatty acids (N-3 PUFAs), including eicosapentaenoic (EPA) and
docosahexaenoic acid (DHA), carry significant benefits for neurocognitive
development and cardiovascular health. However, the health risks posed by
methylmercury may serve to undermine the benefits of fish consumption in Northern
Indigenous communities. The objective of this study was to characterize profiles
for mercury (Hg) and fatty acids in fish species harvested across lakes of the
Dehcho Region, in the Mackenzie Valley of the Northwest Territories, to better
understand the risks and benefits associated with traditional foods. Hg levels
increased with trophic position, with the highest levels found in Burbot, Lake
Trout, Walleye, and Northern Pike. Lake Trout, along with planktivorous species
including Lake Whitefish, Cisco, and Sucker, demonstrated higher N-3 PUFAs than
other species. Negative associations were observed between Hg and N-3 PUFAs in
Lake Trout, Northern Pike, Walleye and Burbot. Further stratifying these
relationships revealed significant interactions by lake. Significant differences
observed in fatty acid and Hg profiles across lakes underscore the importance of
considering both species- and lake-specific findings. This growing dataset of
freshwater fish of the Dehcho will inform future efforts to characterize human Hg
exposure profiles using probabilistic dose reconstruction models.
PMID- 29801245
TI - Seasonal function succession and biogeographic zonation of assimilatory and
dissimilatory nitrate-reducing bacterioplankton.
AB - The dominance of different nitrate-reducing pathways determines nitrogen cycling
patterns. Denitrification (DNF) has been widely studied, but assimilatory nitrate
reduction (ANR) and dissimilatory nitrate reduction to ammonium (DNRA) have
received much less attention. Their ecological patterns and responsible microbes
are poorly understood. Here, we studied the structure and function succession of
the three functional groups in the middle route of the South-to-North Water
Diversion Project, which is a 1230 km canal spanning 8 degrees of latitude. The
results reflected a nitrogen-removing pattern dominated by DNF in the summer and
a nitrogen-retaining pattern dominated by ANR and DNRA in the winter.
Stenotrophomonas, a typical denitrifier, was the keystone species in the summer
and contributed to N2O production. Clostridium, a genus able to conduct ANR and
DNRA, was the keystone species in the winter. Notably, a significant zonation
pattern was discovered. According to the community structure, the system could be
separated into two biogeographic zones, and the Yellow River (about latitude 35
degrees N) is an important cut-off line. This bacterial biogeography followed
different water characteristics and ecological processes. ANR was found to be an
important process and seasonally transformed its habitat from the northern zone
to the southern zone. DNRA bacteria were acclimated to the northern zone and
favored at this region in both seasons. The generation of N2O, a strong
greenhouse gas, also exhibited this zonation pattern. This is the first study to
consider assimilatory and dissimilatory nitrate reducers together at a molecular
level, and provides new insights into the underlying patterns of a nitrate
reducing bacterioplankton community.
PMID- 29801246
TI - Megafires in Chile 2017: Monitoring multiscale environmental impacts of burned
ecosystems.
AB - During the summer of 2017, several megafires in South-Central Chile burned down
forest plantations, native forests, shrublands and human settlements. National
authorities identified the relevant effects of the wildfires on infrastructure
and ecosystems. However, other indirect effects such as the risk of flooding or,
increased air pollution were not assessed. The present study assesses: i) the
geographic characterization of wildfires, ii) amount of damage to ecosystems and
the severity of wildfires, iii) the effects of megafires on air quality in nearby
and distant urban areas, and iv) identification of cities potentially exposed to
landslides and flooding. We ran remote sensing analyses based on the Normalized
Burn Ratio taken from Landsat imagery, "active fires" from MODIS, and ASTER GDEM.
The particulate matter (PM10 and PM2.5) levels measured on 34 Chilean's
municipalities were correlated with the burning area/distance ratio by Spearman
correlation. Socionatural hazards were evaluated using multi-criteria analyses
combining proximity to burned areas, severity, potential flow of water and
sediments as indicated by the Digital Elevation Model, drainage networks and the
location of human settlements. 91 burned areas were identified, covering 529,794
ha. The most affected ecosystems were forest plantations and native shrublands.
We found significant correlations between burned area/distance ratios and PM2.5
and PM10 levels, leading to increased levels over the Chilean air quality
standard in the most populated cities. 37 human settlements were at increased
risk of landslides and flooding hazards after fires and eleven could now be
characterized as dangerously exposed. The 2017 wildfires in Chile have had an
impact at both a small and large scale, with far-reaching air pollutants
dispersing and affecting >74% of the Chilean population. The impact of the
wildfires was also extended over time, creating future potential for landslides
and flooding, with the risk increasing in rainy seasons.
PMID- 29801247
TI - From source to sink: Rare-earth elements trace the legacy of sulfuric dredge
spoils on estuarine sediments.
AB - Land disposal of dredged sulfide-rich coastal sediments generates secondary
coastal acid sulfate soils (CASS), as previously reduced sulfide minerals oxidise
to produce acidic drainage rich in Fe, SO42- and rare-earth elements (REEs). Few
studies investigate both the source and the sink of REEs in the context of
interpreting their mobilisation and potential use in tracing anthropogenic
activity. Here we investigate REE signatures in estuarine sediments (and
overlying surface waters) that have received acute, long-term (>15 years) acidic
drainage from legacy sulfuric dredge spoils. It was found that the dredge spoil
continues to act as a source of acidity (pH 3.5-5.5), Fe and REEs during
development of CASS, and contains negligible acid volatile sulfide (AVS, a proxy
for FeS) and relatively low concentrations of SigmaREE (mean 44.5 mg/kg, range
4.1-362 mg/kg). In the receiving sediments, high AVS concentrations (mean 92.2
MUmol/g, range 0.38-278 MUmol/g) reflect elevated FeS content, likely due to high
inputs of Fe and SO42- from the acidic drainage, and correspond with a high
concentration of total S (mean 852 MUmol/g, range 105-2209 MUmol/g) and an
accumulation of SigmaREE (mean 670 mg/kg, range 19.9-1819 mg/kg). Importantly,
where drain sediments that were previously enriched in highly reactive sulfidic
minerals and trace elements and have become exposed to the atmosphere (e.g. Site
3) and partially oxidised, they provide a further source of acidification,
remobilising the REEs to the downstream sediments. Interestingly, we also found a
clear positive correlation between phosphorous and REEs both in the dredge spoil
and sediment, suggesting phosphate minerals may act as a sink for REEs in CASS
influenced drain sediments. This is further supported by strong positive
gadolinium anomalies (1.1-1.6) and high calculated anthropogenic Gd values (12
38%), which may reflect the influence of phosphate fertiliser on this eutrophic
system.
PMID- 29801248
TI - Regeneration of Pinus pinaster Aiton after prescribed fires: Response to burn
timing and biogeographical seed provenance across a climatic gradient.
AB - Prescribed fires are used as a fuel reduction tool, but heat alter microsite
conditions affecting the natural regeneration of Mediterranean pine forests. Our
study tested the hypothesis that implementing prescription before or after pine
seed release may influence the composition of tree communities by changing the
regeneration patterns of Pinus pinaster Aiton across a climatic gradient in the
eastern Iberian Peninsula. We ran a seed-sowing experiment to analyse the
recruitment patterns of this pine species in prescribed-burned stands, in two
different biogeographical seed provenances from wetter and drier areas than the
local seeding site. Survival of seedlings was through one year, until the end of
the first drought and winter period, respectively. >5400 seeds were sown during
the study distributed in sixty plots (30 burned, 30 unburned) per site and
treatment, with 10 seeding units per plot. General linear models (GLMs) and ANOVA
analyses indicated higher performance for the Drier seed provenance in burned
areas, whereas a similar performance was recorded in the control area. Control
areas showed higher germination and success rates for plant establishment
throughout the study period. Total germination and survival after one year were
slightly higher, respectively, at northern sites due to massive mortality during
summer in the southern stands. At the burned sites, the mean germination time was
significantly longer in those seeds sown before fire passage than those sown
after fire. Total germination and successful establishment were significantly
higher in the individuals sown before the passage of the fire than in those sown
after fire. Most of the mortality occurred in summer for the southern stand,
while winter was the most constraining period at the northern sites. The
understanding of the dynamics in this species' establishment can help managers to
perform a better management planning according to the species' ecology.
PMID- 29801249
TI - Spatial variation of heat-related morbidity: A hierarchical Bayesian analysis in
multiple districts of the Mekong Delta Region.
AB - This study examined spatial variability of heat-related morbidity in multiple
districts of the Mekong Delta Region (MDR), Vietnam. It was conducted in 132
district/cities of the MDR. We used a series of hierarchical Bayesian models to
examine the region-wide and district-specific association between temperatures
and hospitalizations during the period of 2010-2013. The potential effects of
seasonality, long-term trends, day of the week and holidays were controlled in
the models. We also examined influences of socio-demographic factors on the
temperature-hospitalization relationship. The results indicate that an increase
of 5 degrees C in average temperature was associated with a 6.1% increase
(95%CI: 5.9, 6.2) in region-wide hospital admissions. However, the district-level
risks ranged from a 55.2% decrease {95%CI: (-54), (-56)} to a 24.4% increase
(24.3-24.6) in admissions per 5 degrees C increase in average temperature. This
reflects the heterogeneous magnitudes of temperature-hospitalization risk across
districts. The results also indicate that temperature-hospitalization risk
increased by 1.3% (95%CI: 1.2-1.4), for each increase of 1000 persons/km2 in
population density, 2.1% (95%CI: 2.04-2.11) for each 1% increase in percent of
females, and 2.7% (95%CI: 2.6-2.8) for each 1% increase in percent of pre-school
students. In contrast, the temperature-related hospitalization risk decreased up
to 6.8% {(95%CI: (-6.6)-(-6.9)} for each 1% increase in rural population. Public
health intervention measures for both short-term and long-term effects of heat
related health risk should be developed with consideration of the use of
city/district scale for the factors rather than the province scale. The province
scale of factors does not accurately represent the variability of health risk due
to exposure to high temperatures.
PMID- 29801250
TI - Modeling nitrous oxide emissions from three United Kingdom farms following
application of farmyard manure and green compost.
AB - Organic fertilizers, such as manure and compost, are promising additions for
synthetic fertilizers in order to increase soil fertility and crop yields.
However, the organic fertilizers applied to soils may increase nitrous oxide
(N2O, a greenhouse gas) emissions due to their lower C/N ratios, and therefore
potentially contribute to global warming. Very few studies have used process
based models to assess the environmental advantages and drawbacks of compost soil
amendments compared to other field treatments. In this study, the UK-DNDC model
was modified for simulation of nitrous oxide (N2O) fluxes emitted from the soils
treated with green compost and farmyard manure at three UK farms (WE, PW and NW):
one winter wheat and two grasslands. The results show that the annual overall N2O
emissions were 1.45 kg N ha-1 y-1 for WE treated with farmyard manure, 0.71 for
WE with green compost, 1.09910 for PW treated with farmyard manure, 0.94 for PW
treated with green compost, 1.19 for NW treated with farmyard manure, and 1.18
for NW treated with green compost. A two dimensional linear model was developed
to correlate nitrogen loading and soil pH for calculations of emissions and
emission factors (EFs). The linear model could fit the emissions obtained from
the UK-DNDC model well. The squares of correlation coefficients of the emissions
between two models are 0.993 and 0.985 for farmyard manure and green compost,
respectively. Analysis of correlation coefficients between N2O emissions and air
temperature, precipitation as well as the time period between fertilizer
application and sample measurement (PFS) for the three sites treated with
farmyard manure and compost indicated that N2O emissions were mainly related to
PFS. The modified DNDC model provides an approach to estimating N2O emissions
from compost amended soils.
PMID- 29801251
TI - Occurrence of PBDEs in surface sediments of metropolitan rivers: Sources,
distribution pattern, and risk assessment.
AB - Sources, concentrations, and ecological risk of polybrominated diphenyl ethers
(PBDEs) were investigated in surface sediments from 33 stations in Danshui River
basin (Taiwan) in 2015. High spatial variation in total PBDEs (Sigma19PBDEs) in
sediments was found ranging from 2.3 to 10,490 ng g-1 dw. The effects of the
physicochemical characteristics, total organic carbons (TOC) and grain size of
sediments on the levels and distributions of PBDEs were investigated. Significant
linear correlations were observed for PBDE concentrations with TOC (r2 = 0.45, p
< 0.01) and fine sediment fraction (grain size <1 mm; r2 = 0.2, p < 0.05).
Spatial distributions of Sigma19PBDEs showed that sediment samples were likely
impacted by point source inputs. BDE209 was the dominant congener, accounting for
77.5%-99.9% of the Sigma19PBDEs in sediments suggested that commercial deca-BDE
mixtures are the major sources of PBDEs in Danshui River basin. Regarding
ecological risk estimation, high molecular PBDEs pose a high risk quotient (RQ >
1) to sediment dwelling organism along the 33 sampling stations, suggesting that
penta-BDEs and deca-BDE are the major ecological risk drivers in the Danshui
River basin.
PMID- 29801252
TI - Climate and productivity affect total mercury concentration and bioaccumulation
rate of fish along a spatial gradient of subarctic lakes.
AB - Climate change is resulting in increased temperatures and precipitation in
subarctic regions of Europe. These changes are extending tree lines to higher
altitudes and latitudes, and enhancing tree growth enabling intensification of
forestry into previously inhospitable subarctic regions. The combined effects of
climate change and land-use intensification extend the warm, open-water season in
subarctic lakes and increase lake productivity and may also increase leaching and
methylation activity of mercury within the lakes. To assess the joint effects of
climate and productivity on total mercury (THg) bioaccumulation in fish, we
conducted a space-for-time substitution study in 18 tributary lakes of a
subarctic watercourse forming a gradient from cold pristine oligotrophic lakes in
the northern headwaters to warmer and increasingly human-altered mesotrophic and
eutrophic systems in the southern lower reaches. Increasing temperature,
precipitation, and lake productivity were predicted to elevate length- and age
adjusted THg concentrations, as well as THg bioaccumulation rate (the rate of THg
bioaccumulation relative to length or age) in muscle tissue of European whitefish
(Coregonus lavaretus), vendace (Coregonus albula), perch (Perca fluviatilis),
pike (Esox lucius), roach (Rutilus rutilus) and ruffe (Gymnocephalus cernua). A
significant positive relationship was observed between age-adjusted THg
concentration and lake climate-productivity in vendace (r2 = 0.50), perch (r2 =
0.51), pike (r2 = 0.55) and roach (r2 = 0.61). Higher climate-productivity values
of the lakes also had a positive linear (pike; r2 = 0.40 and whitefish; r2 =
0.72) or u-shaped (perch; r2 = 0.64 and ruffe; r2 = 0.50) relationship with THg
bioaccumulation rate. Our findings of increased adjusted THg concentrations in
planktivores and piscivores reveal adverse effects of warming climate and
increasing productivity on these subarctic fishes, whereas less distinct trends
in THg bioaccumulation rate suggest more complex underlying processes. Joint
environmental stressors such as climate and productivity should be considered in
ongoing and future monitoring of mercury concentrations.
PMID- 29801253
TI - Characteristics of public concern on haze in China and its relationship with air
quality in urban areas.
AB - Severe air pollution associated with the rapid urbanization is a pressing issue
in China. Moreover, the public awareness of environmental protection in China is
awakening, which poses enormous pressure on governments to enforce environmental
regulations. The study of environmental problems from the public perspective
plays a crucial role in effective environmental governance. The Baidu search
engine is the China's largest search engine. The search index of haze based on
Baidu search engine reflects the public concern on air quality in China. The aim
of this study is to uncover important relationships between public concern and
air quality monitoring data based on the case study of haze pollution crisis in
China. The results indicate that: (1) the year 2013 is the turning point of the
public concern on air quality in China; (2) according to daily data analysis, the
search index of haze has increased progressively with increased PM2.5
concentration with a time lag of 0-4 days and the lag time has a declining
tendency from 2013 to 2017; (3) according to annual data analysis, the public
concern showed a weak correlation with air quality and they showed an opposite
temporal trend. However, when the long-term annual trend was removed, the strong
positive correlation emerges between the fluctuation parts of the search index of
haze and monitoring data of air quality. This indicates the public is more
sensitive to the short-term fluctuation of air quality. The results of this paper
provide statistical evidence on the evolution of public concern on air quality
from 2013 to 2017. This study will help policy makers to better understand the
patterns of the public's perception of environmental problems and consequently
improve the government's capability to deal with these challenges.
PMID- 29801254
TI - Characterization and monitoring of subsurface contamination from Olive Oil Mills'
waste waters using Electrical Resistivity Tomography.
AB - This work describes the efficiency and ability of Electrical Resistivity
Tomography (ERT) to map and monitor the subsurface contamination caused by the
wastes created during the production of olive oil. The spatial distribution and
temporal variation of these wastes are investigated through an integrated
methodological flowchart composed of numerical modeling tests and field data
collected from an active waste disposal site. An Olive Oil Mills' Wastes (OOMW)
real site was chosen to monitor the subsurface flow of the wastes that are
disposed of in an artificial pond for 1.5 years. Synthetic modeling was used to
simulate and reconstruct the movement of the OOMW as a conductive target within a
layered resistive medium. The results of the ERT data show a high degree of
correlation between published ERT, geochemical, and IP geophysical results. This
indicates that ERT can be a powerful tool for mapping and monitoring the
byproducts of the olive oil industry, in the form of subsurface contamination, as
demonstrated by the synthetic modeling. The electrical signature of the OOMW was
also verified through the identification of in situ wastes within an excavation
trench along the monitoring ERT line. The results show that ERT can be used as a
stand-alone tool to characterize the subsurface pollution in OOMW sites.
PMID- 29801255
TI - Infrared photocurrent management in monolithic perovskite/silicon heterojunction
tandem solar cells by using a nanocrystalline silicon oxide interlayer.
AB - We performed optical simulations using hydrogenated nanocrystalline silicon oxide
(nc-SiOx:H) as n-doped interlayer in monolithic perovskite/c-Si heterojunction
tandem solar cells. Depending on the adjustable value of its refractive index
(2.0 - 2.7) and thickness, nc-SiOx:H allows to optically manage the infrared
light absorption in the c-Si bottom cell minimizing reflection losses. We give
guidelines for nc-SiOx:H optimization in tandem devices in combination with a
systematic investigation of the effect of the surface morphology (flat or
textured) on the photocurrent density. For full-flat and rear textured devices,
we found matched photocurrents higher than 19 and 20 mA/cm2, respectively, using
a 90 nm nc-SiOx:H interlayer with a refractive index of 2.7.
PMID- 29801256
TI - Honeycomb micro-textures for light trapping in multi-crystalline silicon thin
film solar cells.
AB - The liquid phase crystallization (LPC) of silicon is an emerging technology for
fabricating 10 - 20 um thin multi-crystalline silicon layers on glass. LPC
silicon solar cells exhibit similar electronic performance to multi-crystalline
wafer-based devices. Due to the reduced absorber thickness, however, effective
measures for light trapping have to be taken. We present tailor-made micro
structures for light trapping at the LPC silicon back-side, whereby a nano
imprinted resist layer serves as a three-dimensional etching mask in subsequent
reactive ion etching. Contrary to state-of-the-art random pyramid textures
produced by wet-chemical etching, this method allows to produce tailor-made
textures independent of grain orientation. Differently shaped micro-textures were
replicated in LPC silicon. Absorptance and external quantum efficiency of
periodic honeycomb patterns and random pyramids were found to be equivalent.
Thus, the method enables the potential to further optimize light trapping in LPC
silicon solar cells.
PMID- 29801257
TI - Coherent backscatter enhancement in single scattering.
AB - Solution of Maxwell's equations to the problem of single scattering can be
expanded into iterative series in an order-of-scattering form, where the
interference between conjugate terms representing reversible sequences of
elementary scatterers is constructive at the backscattering direction, resulting
in a coherent backscatter enhancement (CBE). The backscattering phase function of
randomly oriented particles is amplified by CBE with an amplification factor
between 1 and 2 depending on particle habit and refractive index. The angular
width of the CBE-induced backscattering peak line for a specific particle habit
is inversely proportional to the particle size parameter. The CBE-induced
backscattering peak has been identified in the scattering phase function of a
wide range of randomly oriented particles, including non-absorptive spheres,
spheroids, and hexagonal particles.
PMID- 29801258
TI - Estimating the vegetation canopy height using micro-pulse photon-counting LiDAR
data.
AB - The upcoming space-borne LiDAR satellite Ice, Cloud and land Elevation Satellite
2 (ICESat-2) is scheduled to launch in 2018. Different from the waveform LiDAR
system onboard the ICESat, ICESat-2 will use a micro-pulse photon-counting LiDAR
system. Thus new data processing algorithms are required to retrieve vegetation
canopy height from photon-counting LiDAR data. The objective of this paper is to
develop and validate an automated approach for better estimating vegetation
canopy height. The new proposed method consists of three key steps: 1) filtering
out the noise photons by an effective noise removal algorithm based on localized
statistical analysis; 2) separating ground returns from canopy returns using an
iterative photon classification algorithm, and then determining ground surface;
3) generating canopy-top surface and calculating vegetation canopy height based
on canopy-top and ground surfaces. This automatic vegetation height estimation
approach was tested to the simulated ICESat-2 data produced from Sigma Space
LiDAR data and Multiple Altimeter Beam Experimental LiDAR (MABEL) data, and the
retrieved vegetation canopy heights were validated by canopy height models (CHMs)
derived from airborne discrete-return LiDAR data. Results indicated that the
estimated vegetation canopy heights have a relatively strong correlation with the
reference vegetation heights derived from airborne discrete-return LiDAR data (R2
and RMSE values ranging from 0.639 to 0.810 and 4.08 m to 4.56 m respectively).
This means our new proposed approach is appropriate for retrieving vegetation
canopy height from micro-pulse photon-counting LiDAR data.
PMID- 29801259
TI - Effect of non-stoichiometry on optical, radiative, and thermal characteristics of
ceria undergoing reduction.
AB - The complex refractive index of ceria has been determined at ambient temperature
using variable angle spectroscopic ellipsometry for two chemical states-fully
oxidized and partially reduced. The ellipsometric model is corroborated with
complementary measurements of thickness, surface roughness, and chemical
composition. Partially reduced ceria is shown to have a larger absorption index
over a broad spectral range than fully oxidized ceria, including the visible and
near IR regions. We use a simple model of a directly irradiated particle
entrained in a gas flow to demonstrate the consequences of accounting for changes
in chemical state when modeling ceria-based thermochemical process.
PMID- 29801260
TI - Method to design a live coral cover sensitive index for multispectral satellite
images.
AB - Live coral cover (LCC) is regarded as the most efficient indicator of coral reef
health. However, LCCs are usually sampled with standardized transect or photo
quadrat techniques in field, which are incomplete and labour-intensive. To
overcome such difficulties, we study a model to transfer the pixels of
multispectral satellite images to quantitative LCCs. The idea is to extend band
ratio-based (BR) indices to a novel index constructed using the ratio of
different linear combinations (RDLC) of band reflectance and water depths. On the
basis of field surveyed LCCs, an empirical process is further proposed to solve
the unknown parameters of this RDLC. This approach provides new thinking for
designing LCC-sensitive indices for given multispectral satellite images. The
experimental results on Weizhou Island and Palmyra Atoll demonstrate that the
method is effective and feasible, where the mean relative errors (MREs) are
improved from 45 to 56% for BRs to 23-29% for RDLCs for Weizhou Island.
PMID- 29801261
TI - Full modeling and experimental validation of cylindrical holographic lenses
recorded in Bayfol HX photopolymer and partly operating in the transition regime
for solar concentration.
AB - Concentrating photovoltaics for building integration can be successfully carried
out with Holographic Optical Elements (HOEs) because of their behavior analogous
to refractive optical elements and their tuning ability to the spectral range
that the photovoltaic (PV) cell is sensitive to. That way, concentration of
spectral ranges that would cause overheating of the cell is avoided. Volume HOEs
are usually chosen because they provide high efficiencies. However, their
chromatic selectivity is also very high, and only a small part of the desired
spectral range reaches the PV cell. A novel approach is theoretically and
experimentally explored to overcome this problem: the use of HOEs operating in
the transition regime, which yield lower chromatic selectivity while keeping
rather high efficiencies. A model that considers the recording material's
response, by determining the index modulation reached for each spatial frequency
and exposure dosage, has been developed. It has been validated with experimental
measurements of three cylindrical holographic lenses with different spatial
frequency ranges recorded in Bayfol HX photopolymer. Simulations of systems
comprising two lenses and a mono-c Si PV cell are carried out with the standard
AM 1.5D solar spectrum. Promising results are obtained when using the system with
lower spatial frequencies lenses: a total current intensity equal to 3.72 times
the one that would be reached without the concentrator.
PMID- 29801262
TI - Performance of a prototype stationary catadioptric concentrating photovoltaic
module.
AB - A stationary catadioptric concentrating photovoltaic module with aperture area
over 100 cm2, geometric concentration of 180*, and collection within 60 degrees
of polar incidence was designed, prototyped, and characterized. The module
performance followed modeling closely with a peak power conversion efficiency of
26% for direct irradiance. Tracking of the sun is accomplished via translational
micro-tracking completely internal to the module, avoiding the cost and
complexity of mechanical two-axis trackers that point towards the sun. This
demonstrates the potential for concentrating photovoltaic modules with
significantly higher efficiency than industry standard silicon photovoltaic
modules that could be installed in stationary configurations on rooftops.
PMID- 29801263
TI - Sensitivity analysis of morphology on radiative properties of soot aerosols.
AB - Absorption cross section (Cabs), scattering cross section (Csca) and asymmetry
parameter (ASY) of soot particles in different atmospheric aging status were
investigated under fixed equivalent volume radius (RV) using the numerically
exact multiple-sphere T-matrix method. The radiative properties of soot particles
would be largely diverse in different aging status even RV is fixed. However,
there are many insensitive parameters under different aging status. The Cabs and
ASY is insensitive to monomers number (Ns) when Ns is larger than a threshold
value. For bare and thinly coated soot aggregates, Cabs is insensitive to fractal
dimension (Df) when the RV is small, where the relative errors of Cabs for
different Df are within 2.5%. However, the effects of Df is obvious for large
soot due to the shielding effects of large monomers, and the relative errors for
different Df can reach to 18% for bare soot. For thinly coated soot, the changes
of ASY with soot volume fraction (fsoot) is small due to the little changes of
the fractal structure when the RV is fixed. In addition, for thickly coated soot,
ASY is insensitive to Ns due to the unchanged overall spherical structure. Our
results give a further understanding of the influences of morphology on radiative
properties. It may be helpful for model selection and model simplification.
PMID- 29801264
TI - Development of a portable cavity ring down spectroscopy instrument for
simultaneous, in situ measurement of NO3 and N2O5.
AB - An inexpensive, compact instrument for sensitive measurement of nocturnal
nitrogen oxides NO3 and N2O5 in ambient air at high time resolution has been
described. The instrument measures NO3 and N2O5 which is converted into the NO3
radical through thermal decomposition by optical extinction using a diode laser
at 662.08 nm in two separate detection channels. The minimum detection limits
(1sigma) for the NO3 radical and N2O5 are estimated to be 2.3 pptv and 3.1 pptv
in an average time of 2.5 s, with the accessible effective absorption path length
generally exceeding 30 km, which is sufficient for quantifying NO3 radical and
N2O5 concentrations under moderately polluted conditions. The total uncertainties
of the NO3 and N2O5 measurements are 8% and 15% respectively, which are mainly
dominated by the uncertainty of NO3 across section calculated for 353 K in this
system. In addition, the dependence of the instrument's sensitivity and accuracy
on a variety of conditions was presented in winter of 2016 and in summer of 2017
during two China-UK joint campaigns. Distinct N2O5 vertical profiles were
observed at night in winter. The equilibrium among observed NO2, NO3 and N2O5
based on the equilibrium constants during summer time also provides confirmation
of the measurement accuracy of the instrument.
PMID- 29801265
TI - Microstructured void gratings for outcoupling deep-trap guided modes.
AB - Breaking the total internal reflection far above a critical angle (i.e.,
outcoupling deep-trap guided modes) can dramatically improve existing light
emitting devices. Here, we report a deep-trap guided modes outcoupler using
densely arranged microstructured hollow cavities. Measurements of the leaky mode
dispersions of hollow-cavity gratings accurately quantify the wavelength
dependent outcoupling strength above a critical angle, which is progressively
improved over the full visible spectrum by increasing the packing density.
Comparing hollow- and filled-cavity gratings, which have identical morphologies
except for their inner materials (void vs. solid sapphire), reveals the
effectiveness of using the hollow-cavity grating to outcouple deep-trap guided
modes, which results from its enhanced transmittance at near-horizontal
incidence. Scattering analysis shows that the outcoupling characteristics of a
cavity array are dictated by the forward scattering characteristics of their
individual cavities, suggesting the importance of a rationally designed single
cavity. We believe that a hollow-cavity array tailored for different structures
and spectra will lead to a technological breakthrough in any type of light
emitting device.
PMID- 29801266
TI - Specular side reflectors for high efficiency thermal-to-optical energy
conversion.
AB - The performance of incandescent light bulbs and thermophotovoltaic devices is
fundamentally limited by our ability to tailor the emission spectrum of the
thermal emitter. While much work has focused on improving the spectral
selectivity of emitters and filters, relatively low view factors between the
emitter and filter limit the efficiency of the systems. In this work, we
investigate the use of specular side reflectors between the emitter and filter to
increase the effective view factor and thus system efficiency. Using an
analytical model and experiments, we demonstrate significant gains in efficiency
(>10%) for systems converting broadband thermal radiation to a tailored spectrum
using low-cost and easy-to-implement specular side reflectors.
PMID- 29801267
TI - Characterization of selective solar absorber under high vacuum.
AB - Total absorption and emission coefficients of selective solar absorbers are
measured under high vacuum conditions from room temperature up to stagnation
temperature. The sample under investigation is illuminated under vacuum @1000W/m2
and the sample temperature is recorded during heat up, equilibrium and cool down.
During stagnation, the absorber temperature exceeds 300 degrees C without
concentration. Data analysis allows evaluating the solar absorptance and thermal
emittance at different temperatures. These in turn are useful to predict
evacuated solar panel performances at operating conditions.
PMID- 29801268
TI - Correction for the non-nadir viewing geometry of AERONET-OC above water
radiometry data: an estimate of uncertainties.
AB - The effects of non-nadir viewing geometry in above-water radiometry data were
investigated using field measurements and two different correction approaches:
one centered on chlorophyll-a concentration (Chla) developed for Case-1 waters,
and the other relying on seawater inherent optical properties (IOP) proposed for
any water type. With specific reference to data from the Ocean Color component of
the AErosol RObotic NETwork (AERONET-OC), the study focused on the assessment of
the uncertainties affecting corrections for non-nadir view of data collected with
40 degrees in-air viewing angle and with 90 degrees relative azimuth between
viewing direction and sun. The study analyzed AERONET-OC water-leaving radiance
data from different European seas to determine differences between corrections
performed with the Chla- and the IOP-based approaches. Additionally, data
collected in waters characterized by different optical complexity and comprising
water-leaving radiances measured at nadir and with 28.6 degrees in-water viewing
angle (corresponding to 40 degrees in-air) and 90 degrees relative azimuth,
were used to investigate the uncertainties of the two correction approaches.
Results from the analysis of data from AERONET-OC sites characterized by a
variety of optically complex waters, indicate corrections with uncertainties
between 20% and 35% from 412 nm to 667 nm for the IOP-based approach. Conversely,
uncertainties for the Chla-based one largely vary with wavelength and water type,
with values of approximately 55% at 412 nm, 20-40% between 490 nm and 551 nm, and
exceeding 60% at 667 nm.
PMID- 29801269
TI - Simple method for direct crown base height estimation of individual conifer trees
using airborne LiDAR data.
AB - Crown base height (CBH) is an essential tree biophysical parameter for many
applications in forest management, forest fuel treatment, wildfire modeling,
ecosystem modeling and global climate change studies. Accurate and automatic
estimation of CBH for individual trees is still a challenging task. Airborne
light detection and ranging (LiDAR) provides reliable and promising data for
estimating CBH. Various methods have been developed to calculate CBH indirectly
using regression-based means from airborne LiDAR data and field measurements.
However, little attention has been paid to directly calculate CBH at the
individual tree scale in mixed-species forests without field measurements. In
this study, we propose a new method for directly estimating individual-tree CBH
from airborne LiDAR data. Our method involves two main strategies: 1) removing
noise and understory vegetation for each tree; and 2) estimating CBH by
generating percentile ranking profile for each tree and using a spline curve to
identify its inflection points. These two strategies lend our method the
advantages of no requirement of field measurements and being efficient and
effective in mixed-species forests. The proposed method was applied to a mixed
conifer forest in the Sierra Nevada, California and was validated by field
measurements. The results showed that our method can directly estimate CBH at
individual tree level with a root-mean-squared error of 1.62 m, a coefficient of
determination of 0.88 and a relative bias of 3.36%. Furthermore, we
systematically analyzed the accuracies among different height groups and tree
species by comparing with field measurements. Our results implied that taller
trees had relatively higher uncertainties than shorter trees. Our findings also
show that the accuracy for CBH estimation was the highest for black oak trees,
with an RMSE of 0.52 m. The conifer species results were also good with uniformly
high R2 ranging from 0.82 to 0.93. In general, our method has demonstrated high
accuracy for individual tree CBH estimation and strong potential for applications
in mixed species over large areas.
PMID- 29801270
TI - All-silicon-based nano-antennas for wavelength and polarization demultiplexing.
AB - We propose an all-silicon-based nano-antenna that functions as not only a
wavelength demultiplexer but also a polarization one. The nano-antenna is
composed of two silicon cuboids with the same length and height but with
different widths. The asymmetric structure of the nano-antenna with respect to
the electric field of the incident light induced an electric dipole component in
the propagation direction of the incident light. The interference between this
electric dipole and the magnetic dipole induced by the magnetic field parallel to
the long side of the cuboids is exploited to manipulate the radiation direction
of the nano-antenna. The radiation direction of the nano-antenna at a certain
wavelength depends strongly on the phase difference between the electric and
magnetic dipoles interacting coherently, offering us the opportunity to realize
wavelength demultiplexing. By varying the polarization of the incident light, the
interference of the magnetic dipole induced by the asymmetry of the nano-antenna
and the electric dipole induced by the electric field parallel to the long side
of the cuboids can also be used to realize polarization demultiplexing in a
certain wavelength range. More interestingly, the interference between the dipole
and quadrupole modes of the nano-antenna can be utilized to shape the radiation
directivity of the nano-antenna. We demonstrate numerically that radiation with
adjustable direction and high directivity can be realized in such a nano-antenna
which is compatible with the current fabrication technology of silicon chips.
PMID- 29801271
TI - Formation of laser-active centers in bismuth-doped high-germania silica fibers by
thermal treatment.
AB - The effect of thermal annealing on the luminescent and laser properties of high
germania-core silicate fibers doped with bismuth was investigated. We studied the
behavior of optical absorption assigned to the bismuth-related active centers
associated with germanium as well as the behavior of unsaturable absorption in
annealed fibers with respect to the Bi content. The dependence of the increment
of the active center content on the Bi concentration in the annealed fibers was
obtained. We achieved laser oscillations near a wavelength of 1700 nm with a
slope efficiency of 18% using a 8.5 m long Bi-doped fiber. The comparison of the
output parameters of the laser based on an annealed Bi-doped fiber with the ones
of a pristine Bi-doped fiber laser is given. The performance of the obtained
bismuth-doped fiber lasers was modeled using the propagation and rate equations
of a homogeneous quasi-two-level laser medium. Theoretical results are compared
with experimental ones.
PMID- 29801272
TI - Coherent-dispersion spectrometer for the ultraviolet and visible regions.
AB - A coherent-dispersion spectrometer combining a solid Sagnac interferometer with a
dispersing prism is presented, which reduces the multiplex disadvantage of
Fourier transform spectroscopy used in the ultraviolet and visible regions while
maintains the simultaneous wavelength detection. The spectrometer generates
multiple interferograms simultaneously, each with a separate wavelength range and
located in a separate row of the detector. The mathematical expressions are given
for describing the coherent dispersion, the design calculations are illustrated
by an example for the spectral range from 200 nm to 600 nm, and the numerical
simulations are shown for the interferogram and spectrum. The unique design of
the optics makes the spectrometer very stable, compact, relatively small-sized
and, therefore, very suitable for broadband ultraviolet-visible space exploration
instruments.
PMID- 29801273
TI - Optical switching in hybrid VO2/Si waveguides thermally triggered by lateral
microheaters.
AB - The performance of optical devices relying in vanadium dioxide (VO2) technology
compatible with the silicon platform depends on the polarization of light and VO2
properties. In this work, optical switching in hybrid VO2/Si waveguides thermally
triggered by lateral microheaters is achieved with insertion losses below 1 dB
and extinction ratios above 20 dB in a broad bandwidth larger than 30 nm. The
optical switching response has been optimized for TE and TM polarizations by
using a homogeneous and a granular VO2 layer, respectively, with a small impact
on the electrical power consumption. The stability and reversibility between
switching states showing the possibility of bistable performance is also
demonstrated.
PMID- 29801274
TI - Experimental entangled photon pair generation using crystals with parallel
optical axes.
AB - We present an optical design where polarization-entangled photon pairs are
generated within two beta-Barium Borate crystals whose optical axes are parallel.
This design increases the spatial mode overlap of the emitted photon pairs
enhancing single mode collection without the need for additional spatial walk-off
compensators. The observed photon pair rate is at least 65 000 pairs/s/mW with a
quantum state fidelity of 99.53 +/- 0.22% when pumped with an elliptical spatial
profile.
PMID- 29801275
TI - Design of perovskite/crystalline-silicon monolithic tandem solar cells.
AB - We present an optical model implemented in the commercial software SETFOS 4.6 for
simulating perovskite/silicon monolithic tandem solar cells that exploit light
scattering structures. In a first step we validate the model with experimental
data of tandem solar cells that either use front- or rear-side textures and
extract the internal quantum efficiency of the methyl-ammonium lead iodide (MALI)
perovskite sub-cell. In a next step, the software is used to investigate the
potential of different device architectures featuring a monolithic integration
between the perovskite and silicon sub-cells and exploiting rear- as well as
front-side textures for improved light harvesting. We find that, considering the
available contact materials, the p-i-n solar cell architecture is the most
promising with respect to achievable photocurrent for both flat and textured
wafers. Finally, cesium-formamidinium-based perovskite materials with several
bandgaps were synthetized, optically characterized and their potential in a
tandem device was quantified by simulations. For the simulated layer stack and
among the tested materials with bandgaps of 1.7 and 1.6 eV, the one with 1.6 eV
bandgap was found to be the most promising, with a potential of reaching a power
conversion efficiency of 31%. In order to achieve higher efficiencies using
higher band-gap materials, parasitic absorptance in the blue spectral range
should be further reduced.
PMID- 29801276
TI - Enhancing thermal radiation by graphene-assisted hBN/SiO2 hybrid structures at
the nanoscale.
AB - A graphene-assisted hBN/SiO2 hybrid structure is proposed and demonstrated to
enhance near-field thermal radiation (NFTR). Due to the complementarity between
the hyperbolic phonon polaritons of hBN and the surface phonon polaritons of SiO2
at mid-infrared frequencies, coupling modes can remarkably improve the photon
tunneling probability over a broad frequency band, especially when assisted by
the surface plasmon polaritons of graphene sheets. Thus, the heat flux can exceed
the blackbody limit by 4 orders of magnitude at a separation distance of 10 nm
and reach 97% of the infinite limit of graphene-hBN multilayers using only two
layers with a thickness of 20 nm each. The first graphene layer controls most of
the heat flux, while the other layers can be used to regulate and optimize. The
dynamic relationship between the chemical potential MU and the gap distance d are
thoroughly discussed. Optimal heat flux of our graphene-assisted hBN/SiO2 hybrid
structure with proper choices of (MU1, MU2, MU3) for different d (from 10 nm to
1000 nm) is further increased by 28.2% on average in comparison with the existing
graphene-hBN triple-layer structure.
PMID- 29801277
TI - Optical and mechanical tolerances in hybrid concentrated thermal-PV solar trough.
AB - Hybrid thermal-PV solar trough collectors combine concentrated photovoltaics and
concentrated solar power technology to harvest and store solar energy. In this
work, the optical and mechanical requirements for optimal efficiency are analyzed
using non-sequential ray tracing techniques. The results are used to generate
opto-mechanical tolerances that can be compared to those of traditional solar
collectors. We also explore ideas on how to relieve tracking tolerances for
single-axis solar collectors. The objective is to establish a basis for
tolerances required for the fabrication and manufacturing of hybrid solar trough
collectors.
PMID- 29801278
TI - Dynamic 3D shape measurement by iterative phase shifting algorithms and colored
fringe patterns.
AB - In this work, we propose a novel technique to retrieve 3D shape of dynamic
objects by the simultaneous projection of a fringe pattern and a homogeneous
white light pattern, both coded in an RGB image. The first one is used to
retrieve the phase map by an iterative least-squares method. The second one is
used to match object pixels in consecutive images, acquired at various object
positions. The proposed method successfully accomplishes the requirement of
projecting simultaneously two different patterns. One extracts the object's
information while the other retrieves the phase map. Experimental results
demonstrate the feasibility of the proposed scheme.
PMID- 29801279
TI - Coherent illumination spectroscopy of nanostructures and thin films on thick
substrates.
AB - Many nanophotonic and nanoelectronic devices contain nanostructures and ultrathin
films on the surface of a thick, effectively semi-infinite, substrate. Here we
consider a spectroscopic technique based upon coherent illumination, for
characterising such samples. The method uses two counter-propagating light beams
to generate specific field configurations at the substrate surface plane, which
can be modulated, for example, to selectively excite and thereby discriminate
between resonant modes of plasmonic nanostructures, or to measure thin films
thickness with nanometre resolution. The technique offers a variety of practical
applications for the coherent illumination in solid state physics, analytical
chemistry, biochemistry, and nano-engineering.
PMID- 29801280
TI - High-performance Raman memory with spatio-temporal reversal.
AB - A number of techniques exist to use an ensemble of atoms as a quantum memory for
light. Many of these propose to use backward retrieval as a way to improve the
storage and recall efficiency. We report on a demonstration of an off-resonant
Raman memory that uses backward retrieval to achieve an efficiency of 65 +/- 6%
at a storage time of one pulse duration. The memory has a characteristic decay
time of 60 MUs, corresponding to a delay-bandwidth product of 160.
PMID- 29801281
TI - Experimental characterization of the radio over fiber aided twin-antenna spatial
modulation downlink.
AB - In this paper, we present the design and the experimental demonstration of a
radio over fiber (RoF) network relying on state-of-the-art spatial modulation
(SM), that activates one out of multiple antennas. We propose a novel RoF-aided
SM encoding scheme, where the optical single side-band signal generated by a Mach
Zehnder modulator (MZM) is used for both the antenna selection and for the
classic modulated symbol selection. The SM encoding is optically processed in a
centralized fashion, aiming for the reduction of power consumption and for
enabling cost-effective maintenance and management, which can be employed in the
context of a cloud radio access network (C-RAN) and a small-cell front-haul.
Furthermore, an experimental demonstration of the proposed system is discussed
and analyzed, where a 20 km standard single mode fiber (SSMF) is used for
transmission. In this experiment, a 2 Gbps transmission relying on two transmit
and two receive antennas is achieved with less than 1 dB SNR degradation compared
to those operating without RoF.
PMID- 29801282
TI - Focal stack camera in all-in-focus imaging via an electrically tunable liquid
crystal lens doped with multi-walled carbon nanotubes.
AB - A focal stack camera, based on an electrically tunable-focusing liquid crystal
(LC) lens doped with multi-walled carbon nanotubes, is proposed to generate a
single all-in-focus image of a 3D scene without depth map in a relatively short
time. Focal sweep strategy of the camera is devised. Both its depth of field
(DOF) and focal sweep speed are analyzed and deduced. Nano doping method is
adopted to improve electro-optical features of the LC lens. To efficiently
produce all-in-focus image, a weighted average algorithm for all images in the
focal stack is utilized. The experiments show that the result is a high contrast
at sensor resolution. It is greatly potential in optical compact 3D imaging
system.
PMID- 29801283
TI - Catadioptric planar compound eye with large field of view.
AB - The planar compound eye has the advantages of simple structure and no requirement
for complex relay optical elements, but the field of view (FOV) is very difficult
to expand. Overcoming the limitation of FOV, especially with simple structures,
is a great challenge for the development of planar compound eyes. Different from
the existing designs that only considering refraction, this article proposes a
catadioptric planar compound eye based on the reflection and refraction to expand
the FOV. In the proposed design, the incident light from a large angle is
reflected into the lenslet array by two rotationally symmetric mirrors whose
surface equations are optimized by mathematical and optical softwares. The FOV of
the proposed catadioptric planar compound eye theoretically can reach 96.6
degrees , which is much wider than the opening record of 70 degrees . Moreover,
no distortion of the imaging system can be obtained theoretically in this design.
Simulation results show a linearity of better than 99% for the most of the
incident angles. The verification experiments show that the FOV of the proposed
device can reach 90.7 degrees while the FOV of the corresponding planar compound
eye without mirrors is 41.6 degrees . The proposed catadioptric planar compound
eye has the great potential in monitoring, detection and virtual reality since
the FOV has been widen significantly.
PMID- 29801284
TI - CMOS plasmonics in WDM data transmission: 200 Gb/s (8 * 25Gb/s) transmission over
aluminum plasmonic waveguides.
AB - We demonstrate wavelength-division-multiplexed (WDM) 200 Gb/s (8 * 25 Gb/s) data
transmission over 100 MUm long aluminum (Al) surface-plasmon-polariton (SPP)
waveguides on a Si3N4 waveguide platform at telecom wavelengths. The Al SPP
waveguide was evaluated in terms of signal integrity by performing bit-error-rate
(BER) measurements that revealed error-free operation for all eight 25 Gb/s non
return-to-zero (NRZ) modulated data channels with power penalties not exceeding
0.2 dB at 10-9. To the best of our knowledge, this is the first demonstration of
WDM enabled data transmission over complementary-metal-oxide-semiconductor (CMOS)
SPP waveguides fueling future development of CMOS compatible plasmo-photonic
devices for on-chip optical interconnections.
PMID- 29801285
TI - Diffractive shear interferometry for extreme ultraviolet high-resolution lensless
imaging.
AB - We demonstrate a novel imaging approach and associated reconstruction algorithm
for far-field coherent diffractive imaging, based on the measurement of a pair of
laterally sheared diffraction patterns. The differential phase profile retrieved
from such a measurement leads to improved reconstruction accuracy, increased
robustness against noise, and faster convergence compared to traditional coherent
diffractive imaging methods. We measure laterally sheared diffraction patterns
using Fourier-transform spectroscopy with two phase-locked pulse pairs from a
high-harmonic source. Using this approach, we demonstrate spectrally resolved
imaging at extreme ultraviolet wavelengths between 28 and 35 nm.
PMID- 29801286
TI - Zinc oxide clad limited area epitaxy semipolar III-nitride laser diodes.
AB - We report continuous-wave (CW) blue semipolar (202-1) III-nitride laser diodes
(LDs) that incorporate limited area epitaxy (LAE) n-AlGaN bottom cladding with
thin p-GaN and ZnO top cladding layers. LAE mitigates LD design limitations that
arise from stress relaxation, while ZnO layers reduce epitaxial growth time and
temperature. Numerical modeling indicates that ZnO reduces the internal loss and
increases the differential efficiency of TCO clad LDs. Room temperature CW lasing
was achieved at 445 nm for a ridge waveguide LD with a threshold current density
of 10.4 kA/cm2, a threshold voltage of 5.8 V, and a differential resistance of
1.1 Omega.
PMID- 29801287
TI - Segmented waveguide photodetector with 90% quantum efficiency.
AB - We demonstrate a novel InGaAsP/InP segmented waveguide photodetector based on
directional couplers. By matching the imaginary parts of the propagation
constants of the even and odd modes, we designed a photodetector with 6 elements,
each with an absorber volume of only 19 MUm3 and a bandwidth of 15 GHz, that has
an internal quantum efficiency (QE) of 90% at 1550 nm wavelength corresponding to
1.13 A/W.
PMID- 29801288
TI - 102 fs pulse generation from a long-term stable, inkjet-printed black phosphorus
mode-locked fiber laser.
AB - We demonstrate a long-term stable, all-fiber, erbium-doped femtosecond laser mode
locked by a black phosphorus saturable absorber. The saturable absorber,
fabricated by scalable and highly controllable inkjet printing technology,
exhibits strong nonlinear optical response and is stable for long-term operation
against intense irradiation, overcoming a key drawback of this material. The
oscillator delivers self-starting, 102 fs stable pulses centered at 1555 nm with
40 nm spectral bandwidth. This represents the shortest pulse duration achieved
from black phosphorus in a fiber laser to date. Our results demonstrate the great
potential for black phosphorus as an excellent candidate for long-term stable
ultrashort pulse generation.
PMID- 29801289
TI - 1.9 MUm square-wave passively Q-witched mode-locked fiber laser.
AB - We propose and demonstrate the operation of Q-switched mode-locked square-wave
pulses in a thulium-holmium co-doped fiber laser. By using a nonlinear amplifying
loop mirror, continuous square-wave dissipative soliton resonance pulse is
obtained with 4.4 MHz repetition rate. With the increasing pump power, square
wave pulse duration can be broadened from 1.7 ns to 3.2 ns. On such basis Q
switched mode-locked operation is achieved by properly setting the pump power and
the polarization controllers. The internal mode-locked pulses in Q-switched
envelope still keep square-wave type. The Q-switched repetition rate can be
varied from 41.6 kHz to 74 kHz by increasing pump power. The corresponding
average single-pulse energy increases from 2.67 nJ to 5.2 nJ. The average peak
power is also improved from 0.6 W to 1.1 W when continuous square-wave operation
is changed into Q-switched mode-locked operation. It indicates that Q-switched
mode-locked operation is an effective method to increase the square-wave pulse
energy and peak power.
PMID- 29801290
TI - 0.83 W, single-pass, 1.54 MUm gas Raman source generated in a CH4-filled hollow
core fiber operating at atmospheric pressure.
AB - We report here the first watt-level efficient single-pass 1.54 MUm fiber gas
Raman source by methane-filled hollow-core fiber operating at atmospheric
pressure. Pumped with a high-power MOPA (master oscillator power amplifier)
structure Q-switched 1.06 MUm pulsed solid-state laser, efficient 1.54 MUm Stokes
wave is generated in a single-pass configuration by vibrational stimulated Raman
scattering of methane molecules. With an experimentally optimized fiber length of
3.2 m, we get a 1543.9 nm Stokes wave operating at atmospheric pressure with a
record average power of ~0.83 W, which is about 12 times higher than the similar
experiment previously reported, and the corresponding power conversion efficiency
is about 45%. Operating at atmospheric pressure makes it more convenient in
future applications.
PMID- 29801291
TI - Using advertisement light-panel and CMOS image sensor with frequency-shift-keying
for visible light communication.
AB - A frequency-shift-keying (FSK) visible light communication (VLC) system is
proposed and demonstrated using advertisement light-panel as transmitter and
mobile-phone image sensor as receiver. The developed application program (APP) in
mobile-phone can retrieve the rolling shutter effect (RSE) pattern produced by
the FSK VLC signal effectively. Here, we also define noise-ratio value (NRV) to
evaluate the contrast of different advertisements displayed on the light-panel.
Both mobile-phones under test can achieve success rate > 96% even when the
transmission distance is up to 200 cm and the NRVs are low.
PMID- 29801292
TI - Cascaded interactions mediated by terahertz radiation.
AB - We investigate a regime of parametric amplification in which the pump and signal
waves are spectrally separated by only a few hundreds of GHz frequency -
therefore resulting in a sub-THz frequency idler wave. Operating in this regime
we find an optical parametric amplifier (OPA) behavior which is highly dissimilar
to conventional OPAs. In this regime, we observe multiple three-wave mixing
processes occurring simultaneously which results in spectral cascading around the
pump and signal wave. Via numerical simulations, we elucidate the processes at
work and show that cascaded optical parametric amplification offers a pathway
toward THz-wave generation beyond the Manly-Rowe limit and toward the generation
of high-energy, sparse frequency-combs.
PMID- 29801293
TI - Dielectric metasurfaces in transmission and reflection modes approaching and
beyond bandwidth of conventional blazed grating.
AB - Beyond the wave manipulation at a single frequency, efficiency bandwidth control
and functional dispersion engineering over metasurfaces are key challenges
towards practical applications. Here we propose a type of wideband dielectric
metasurfaces made of ultra-thin and layered high-index dielectric patches. The
inclusions can be considered as effective material with designable effective
refractive index and dispersion. Beam-deflection metasurfaces composed of such
inclusions are characterized with the bandwidth approaching and surpassing the
limit of conventional blazed gratings in transmission and reflection manners. The
bandwidths are more than twice of that in popular single-layer dielectric
metasurfaces made of pillar and disk building blocks. In addition, the proposed
design benefits from operation over wide range of incident angles and with large
tolerance to fabrication errors. More complicated beam manipulation can be
fulfilled similarly with great potential for wideband planar optics.
PMID- 29801294
TI - Terahertz thickness determination with interferometric vibration correction for
industrial applications.
AB - In many industrial fields, like automotive and painting industry, the thickness
of thin layers is a crucial parameter for quality control. Hence, the demand for
thickness measurement techniques continuously grows. In particular, non
destructive and contact-free terahertz techniques access a wide range of
thickness determination applications. However, terahertz time-domain spectroscopy
based systems perform the measurement in a sampling manner, requiring fixed
distances between measurement head and sample. In harsh industrial environments
vibrations of sample and measurement head distort the time-base and decrease
measurement accuracy. We present an interferometer-based vibration correction for
terahertz time-domain measurements, able to reduce thickness distortion by one
order of magnitude for vibrations with frequencies up to 100 Hz and amplitudes up
to 100 um. We further verify the experimental results by numerical calculations
and find very good agreement.
PMID- 29801295
TI - Monolithic integration of self-aligned nanoisland laser with shifted-air-hole
waveguide.
AB - We report a novel scheme for monolithic integration of a nanoisland laser with a
shifted-air-hole waveguide by employing selective etching techniques. An active
L3 laser cavity and passive shifted-air-hole waveguide are simultaneously formed
through a single fabrication step. In the shifted-air-hole waveguide, the air
hole position is adjusted to be compatible with selective etching. The spectral
overlap between the L3 laser resonance and guided mode is achieved by introducing
small air holes at the nodes of the shifted-air-hole waveguide. Experiments show
that >60% of the light is coupled from the nanoisland laser to the end of the 12
MUm-long waveguide.
PMID- 29801296
TI - Continuous detection of micro-particles by fiber Bragg grating Fabry-Perot flow
cytometer.
AB - A novel method to detect different sizes of micro-particles using a fiber Bragg
grating Fabry-Perot (FBG-FP) flow cytometer is presented. The chip is composed of
a FBG-FP cavity integrated in a microfluidic channel. Solution with three
different sizes of polystyrene particles flowing through the channel induces
variations in the transmission spectrum of the FBG-FP cavity. Theoretical and
experimental data show that different sizes of particles reveal different
resonant wavelengths with a good resonance shift sensitivity of 10-5.
Additionally, the chip is easy to fabricate and features with non-contact and
label-free operation. This study demonstrates a promising potential of the FBG-FP
flow cytometer in medical and biological sensing.
PMID- 29801297
TI - Arbitrary-path fly-scan ptychography.
AB - Ptychography is a coherent diffractive imaging method that can provide a
diffraction-limited, robust reconstruction of the sample's complex transmission
function without the use of high-quality optics. However, the scanning nature of
conventional X-ray ptychography unavoidably requires the mechanical motion of
either the illumination probe or the sample. In order to avoid overhead related
to breaking and acceleration for every scan position, so-called fly-scan methods
were developed. Here, we present an improved variant that removes the limitation
of continuous scanning along a linear scanning path and allows for ptychographic
reconstruction of scans taken along an arbitrary 2D continuous trajectory. We
also demonstrate numerically and experimentally that our method provides
significantly improved robustness against noise, particularly for larger fly-scan
steps, i.e. sample shift during an exposure, which will gain importance with the
advent of 4th generation synchrotron sources, where the available coherent flux
may be increased by orders of magnitude. Finally, we show that the use of a
spiral scan continuous trajectory alleviates significantly raster grid artifacts.
PMID- 29801298
TI - Nanometer-order thermal deformation measurement by a calibrated phase-shifting
digital holography system.
AB - A thermal deformation measurement system based on calibrated phase-shifting
digital holography is proposed. Two synchronized ordinary CMOS cameras are used
in the calibrated phase-shifting digital holography system. One is to record the
holograms including the object information, and the other is to record the
interference fringes to evaluate phase-shifting errors. The calibrated phase
shifting digital holography can provide the high quality reconstructed images
which are applied to calculate the thermal deformation of the object. Meanwhile,
the thermal images of the object at different temperatures are recorded by a
thermal camera. Nanometer-order thermal deformation measurement of an electronic
device is achieved in a real experiment. Our measurement system could be useful
for electric packaging materials development or the system design.
PMID- 29801299
TI - Controlling abruptly autofocusing vortex beams to mitigate crosstalk and vortex
splitting in free-space optical communication.
AB - Orbital angular momentum (OAM) mode crosstalk induced by atmospheric turbulence
is a challenging phenomenon commonly occurring in OAM-based free-space optical
(FSO) communication. Recent advances have facilitated new practicable methods
using abruptly autofocusing light beams for weakening the turbulence effect on
the FSO link. In this work, we show that a circular phase-locked Airy vortex beam
array (AVBA) with sufficient elements has the inherent ability to form an
abruptly autofocusing light beam carrying OAM, and its focusing properties can be
controlled on demand by adjusting the topological charge values and locations of
these vortices embedded in the array elements. The performance of a tailored Airy
vortex beam array (TAVBA) through atmospheric turbulence is numerically studied.
In a comparison with the ring Airy vortex beam (RAVB), the results indicate that
TAVBA can be a superior light source for effectively reducing the intermodal
crosstalk and vortex splitting, thus leading to improvement in the FSO system
performance.
PMID- 29801300
TI - One-shot synthetic aperture digital holographic microscopy with non-coplanar
angular-multiplexing and coherence gating.
AB - This paper proposes one-shot synthetic aperture digital holographic microscopy
using a combination of angular-multiplexing and coherence gating. The proposed
angular-multiplexing technique uses multiple noncoplanar incident beams into the
synthetic aperture to create tight packed passbands so as to extend spatial
frequency spectrum. Coherence gating is performed to prevent the self
interference among the multiple beams. Based on the design guideline proposed
herein, a phase-only spatial light modulator is employed as an adjustable blazed
grating to split multiple noncoplanar beams and perform angular-multiplexing, and
then using coherence gating based on low-coherence-light, superresolution imaging
is achieved after one-shot acquisition.
PMID- 29801301
TI - Motion-induced error compensation for phase shifting profilometry.
AB - This paper proposes a novel method to substantially reduce motion-introduced
phase error in phase-shifting profilometry. We first estimate the motion of an
object from the difference between two subsequent 3D frames. After that, by
leveraging the projector's pinhole model, we can determine the motion-induced
phase shift error from the estimated motion. A generic phase-shifting algorithm
considering phase shift error is then utilized to compute the phase. Experiments
demonstrated that proposed algorithm effectively improved the measurement quality
by compensating for the phase shift error introduced by rigid and nonrigid motion
for a standard single-projector and single-camera digital fringe projection
system.
PMID- 29801302
TI - Filtering effect of SiO2 optical waveguide ring resonator applied to
optoelectronic oscillator.
AB - Single-mode oscillation is crucial to the practicality of optoelectronic
oscillator (OEO). Due to the limited by bandwidth and precision of radio
frequency (RF) filters, it is difficult to be achieved for the OEO based on the
long fiber-optic delay line. So instead of the long fiber-optic delay line, SiO2
optical waveguide ring resonator (OWRR) with high-Q and mode selection is first
presented to be applied to OEO. The OEOs based on the minimum loop and SiO2 OWRR
are constructed. The oscillation characteristics of the minimum loop OEO and the
transmission characteristics of the SiO2 OWRR are simulated by MATLAB,
respectively. The filtering effect of the SiO2 OWRR applied to the OEO is
verified theoretically by comparing these simulation results. Subsequently, the
contrastive experiments of the above two OEOs on oscillation modes are carried
out. The oscillation mode spacing of 40.32 MHz and 2.137 GHz are obtained. These
results show that the SiO2 OWRR can function as an excellent 'filter' in the
minimum loop of the OEO. Moreover, the side mode suppression ratio and the phase
noise of the OEO have been improved. Our experimental results demonstrate that
the OEO adopting SiO2 OWRR is feasible to achieve the single-mode oscillation and
obtain better performance microwave signals.
PMID- 29801303
TI - Gas-lens effect in kW-class thin-disk lasers.
AB - We unveil a gas-lens effect in kW-class thin-disk lasers, which accounts in our
experiments for 33% of the overall disk thermal lensing. By operating the laser
in vacuum, the gas lens vanishes. This leads to a lower overall thermal lensing
and hence to a significantly extended power range of optimal beam quality. In our
high-power continuous-wave (cw) thin-disk laser, we obtain single-transverse-mode
operation, i.e. M2 < 1.1, in a helium or vacuum environment over an output-power
range from 300 W to 800 W, which is 70% broader than in an air environment. In
order to predict the magnitude of the gas-lens effect in different thin-disk
laser systems and gain a deeper understanding of the effect of the heated gas in
front of the disk, we develop a new numerical model. It takes into account the
heat transfer between the thin disk and the surrounding gas and calculates the
lensing effect of the heated gas. Using this model, we accurately reproduce our
experimental results and additionally predict, for the first time by means of a
theoretical tool, the existence of the known gas-wedge effect due to gas
convection. The gas-lens and gas-wedge effects are relevant to all high-power
thin-disk systems, both oscillators and amplifiers, operating in cw as well as
pulsed mode. Specifically, canceling the gas-lens effect becomes crucial for kW
power scaling of thin-disk oscillators because of the larger mode area on the
disk and the resulting higher sensitivity to the disk thermal lens.
PMID- 29801304
TI - Analytical modeling of optical reflectivity of random plasmonic nano-monolayers.
AB - In this paper, we compare three different models that have been used to interpret
reflectivity measurements of supported monolayers of nanoparticles. Two of them:
(i) isotropic Maxwell Garnett and (ii) anisotropic two-dimensional-dipolar model
are based on an effective-medium approach, while the third one (iii) coherent
scattering model, lies within the framework of multiple-scattering theory. First,
we briefly review, on physical grounds, the foundations of each model and write
down the corresponding formulas for the calculation of the reflectivity. In the
two-dimensional-dipolar model, the dilute limit of the pair-correlation function
(also called hole-correlation function) is always used in the calculation of the
effective optical response. Then we use these formulas to plot and analyze graphs
of the reflectivity of a monolayer of gold nanoparticles on a glass substrate, as
a function of several relevant parameters, for two different commonly used
experimental configurations. Finally, we discuss the importance of our results
and how they can be used to infer the limits of validity of each model.
PMID- 29801305
TI - Helicity asymmetry in strong-field ionization of atoms by a bicircular laser
field.
AB - Ionization of atoms by an intense bicircular laser field is considered, which
consists of two coplanar corotating or counterrotating circularly polarized field
components with frequencies that are integer multiples of a fundamental
frequency. Emphasis is on the effect of a reversal of the helicities of the two
field components on the photoelectron spectra. The velocity maps of the liberated
electrons are calculated using the direct strong-field approximation (SFA) and
its improved version (ISFA), which takes into account rescattering off the parent
ion. Under the SFA all symmetries of the driving field are preserved in the
velocity map while the ISFA violates certain reflection symmetries. This allows
one to assess the significance of rescattering in actual data obtained from an
experiment or a numerical simulation.
PMID- 29801306
TI - All-optical multilevel amplitude regeneration in a single nonlinear optical loop
mirror.
AB - We experimentally demonstrate all-optical amplitude regeneration of 4-level pulse
amplitude modulated signals (PAM4) based on a single nonlinear optical loop
mirror (NOLM). Four power-plateau regions are achieved using return-to-zero (RZ)
pulses of narrow pulse-width, enabling large nonlinear phase shifts within the
highly nonlinear fiber (HNLF). We quantify noise suppression characteristics at
each amplitude level and obtain an overall EVM improvement of 0.92dB by
optimizing input power and distortion strength. A theoretical analysis has been
also carried out matching the experimental results and revealing the design
characteristics of the regenerator's nonlinear transfer function.
PMID- 29801307
TI - Analysis and correction of bias induced by phase stepping jitter in grating-based
X-ray phase-contrast imaging.
AB - Grating-based X-ray phase-contrast (gbPC) is an X-ray phase-contrast imaging
method involving optical gratings that typically employs the Talbot self-imaging
effect. X-ray phase contrast is known to provide significant benefits for
biomedical imaging. To investigate these benefits for gbPC, a high-sensitivity
gbPC micro-CT setup for small biological samples has been constructed. A gbPC
projection measurement simultaneously retrieves the transmittance, differential
phase and dark-field modalities of a sample. Phase stepping, the most common gbPC
acquisition technique, involves several acquisitions at different lateral
positions of one of the gratings. The three modalities can then be retrieved by
least-squares- or FFT-based methods. Unfortunately, increasing differential-phase
sensitivity also leads to an increased magnitude of artifacts introduced during
retrieval of the modalities from the phase-stepping data, which limits image
quality. Most importantly, processing of phase-stepping data with incorrect
stepping positions (i.e., spatial sampling jitter) can introduce artifacts to the
modalities. Using data from the high-sensitivity gbPC setup, as well as
simulations, we show that an artifact is introduced by the jitter which is
correlated with the phase of the stepping curve. We present a theoretical
explanation for this correlation by introducing small deviations to an
equidistant sampling of a stepping curve and approximating the effect on the
calculation of the three gbPC modalities with a first-order Taylor approximation.
Finally, we present an algorithm for the detection and removal of these artifacts
that exploits these correlations. We show that this algorithm is able to
eliminate these artifacts without degrading true image information.
PMID- 29801308
TI - Simplified physical modeling of parallel-aligned liquid crystal devices at highly
non-linear tilt angle profiles.
AB - In recent works, we demonstrated the accuracy and physical relevance of a highly
simplified reverse-engineering analytical model for a parallel-aligned liquid
crystal on silicon devices (PA-LCoS). Both experimental measurements and
computational simulations applying the rigorous split-field finite difference
time domain (SF-FDTD) technique led to this conclusion in the low applied
voltages range. In this paper, we develop a complete rigorous validation covering
the full range of possible applied voltages, including highly non-linear liquid
crystal (LC) tilt angle profiles. We demonstrate the applicability of the model
for spectral and angular retardation calculations, of interest in spatial light
modulation applications. We also show that our analytical model enables the
calculation of the retardance for novel PA-LC devices as a function of the LC
compound and cell gap, becoming an appealing alternative to the usual numerical
approaches for PA-LC devices design.
PMID- 29801309
TI - Two-dimensional gold matrix method for encoding two-dimensional optical arbitrary
positions.
AB - In this study, a novel two-dimensional spatial coding pattern called two
dimensional Gold matrix method is proposed for general two-dimensional
positioning. Considering the difficulty in representing a two-dimensional
position in a single binary matrix, constructing a matrix while each submatrix
refers to its location is a challenging mathematical problem. The general two
dimensional signal can be labeled by the two-dimensional Gold matrix, which
results from a preferred pair of two m-sequences. For a pseudorandom m-sequence,
the span-n property of the two-dimensional Gold matrix states that every n*n
submatrix is unique and the decoding is fast and convenient. Numerical simulation
and a proof-of-principle experiment are performed, and experimental results
verified that the two-dimensional Gold matrix method is effective for high
resolution and large range two-dimensional measurements.
PMID- 29801310
TI - Stimulated emission in the 2.8-3.5 MUm wavelength range from Peltier cooled
HgTe/CdHgTe quantum well heterostructures.
AB - We report stimulated emission in the 2.8-3.5 MUm wavelength range from
HgTe/CdHgTe quantum well (QW) heterostructures at temperatures available with
thermoelectric cooling. The structures were designed to suppress the Auger
recombination by implementing narrow (1.5 - 2 nm wide) QWs. We conclude that
Peltier cooled operation is feasible in lasers based on such structures, making
them of interest for spectroscopy applications in the atmospheric transparency
window from 3 to 5 MUm.
PMID- 29801311
TI - Effect of sodium oxide content on the formation of nanogratings in germanate
glass by a femtosecond laser.
AB - We report on the formation and structural evolution of embedded self-organized,
polarization-dependent nanogratings in sodium germanate glasses induced by an 800
nm, 1 kHz femtosecond laser. Optical birefringence dependent on the femtosecond
laser polarization as well as the sodium oxide content is observed when the
sample surface is perpendicular to the laser propagation direction. Scanning
electron microscopy images of the written lines reveal the formation of periodic
platelet or nanovoid arrays, which are aligned perpendicularly to the laser
polarization direction after mechanical polishing. The influences of sodium oxide
content on the morphology and period of the nanogratings are discussed.
PMID- 29801312
TI - Guided Bloch surface wave resonance by near normal and near in-plane
illuminations: the hyper azimuthal sensitivity.
AB - Refractive index sensors based on the interrogation of guided Bloch surface wave
resonance (GBR) in the azimuthal angle domain are studied both theoretically and
numerically. The azimuthal sensitivity of the sensors is shown to be inversely
proportional to the sines of both the azimuthal angle and the polar angle of the
detecting electromagnetic signals. Extremely large azimuthal sensitivity is then
achieved when the GBR sensor is designed to work near a small azimuthal angle and
the polar angle is also fixed to a small one (For the azimuthal angle domain near
phi = 5 degrees and a fixed polar angle of theta = 5 degrees , the azimuthal
sensitivity gets larger than 5000 degrees per refractive index unit (Deg/RIU)).
PMID- 29801313
TI - Digital Fresnel reflection holography for high-resolution 3D near-wall flow
measurement.
AB - We propose a novel backscatter holographic imaging system, as a compact and
effective tool for 3D near-wall flow diagnostics at high resolutions, utilizing
light reflected at the solid-liquid interface as a reference beam. The technique
is fully calibrated, and is demonstrated in a densely seeded channel to achieve a
spatial resolution of near-wall flows equivalent to or exceeding prior digital
inline holographic measurements using local tracer seeding technique.
Additionally, we examined the effects of seeding concentration and laser
coherence on the measurement resolution and sample volume resolved, demonstrating
the potential to manipulate sample domain by tuning the laser coherence profile.
PMID- 29801314
TI - Dual-wavelength, mode-locked erbium-doped fiber laser employing a
graphene/polymethyl-methacrylate saturable absorber.
AB - Mode-locked fiber laser incorporating a saturable absorber is an attractive
configuration due to its stability and simple structure. In this work, we
demonstrate a dual-wavelength passively mode-locked erbium-doped fiber laser
employing a graphene/polymethyl-methacrylate saturable absorber. A laser
resonator is developed based on dual cavity architecture with unidirectional
signal oscillation, which is connected by a fiber branch sharing a common gain
medium and saturable absorber. Dual wavelength mode-locked fiber lasers are
observed at approximately 1530 and 1560 nm with 22.6 mW pump power threshold.
Soliton pulse circulates in the laser cavity with pulse duration of 900 and 940
fs at shorter and longer wavelengths, respectively. This work presents a viable
option in developing a low threshold mode-locked laser source with closely spaced
dual wavelength femtosecond pulses in the C-band wavelength region.
PMID- 29801315
TI - Interferometric imaging using Si3N4 photonic integrated circuits for a SPIDER
imager.
AB - This paper reports design, fabrication, and experimental demonstration of a
silicon nitride photonic integrated circuit (PIC). The PIC is capable of
conducting one-dimensional interferometric imaging with twelve baselines near
lambda = 1100-1600 nm. The PIC consists of twelve waveguide pairs, each leading
to a multi-mode interferometer (MMI) that forms broadband interference fringes or
each corresponding pair of the waveguides. Then an 18 channel arrayed waveguide
grating (AWG) separates the combined signal into 18 signals of different
wavelengths. A total of 103 sets of fringes are collected by the detector array
at the output of the PIC. We keep the optical path difference (OPD) of each
interferometer baseline to within 1 um to maximize the visibility of the
interference measurement. We also constructed a testbed to utilize the PIC for
two-dimension complex visibility measurement with various targets. The experiment
shows reconstructed images in good agreement with theoretical predictions.
PMID- 29801316
TI - Semi-phenomenological effective permittivity approach to metallic periodic
structures.
AB - A detailed review of the theory of effective permittivity for one- and two
dimensional periodic structures shows its limited validity for metal-dielectric
structures in the visible and near infra-red if the feature dimensions are
comparable with the metal skin depth. We propose a phenomenological correction to
the static formulae using a realistic assumption for the electric field behavior
inside the metal features. This approach allows us to obtain analytical
expressions for the effective permittivity in the case when the electric field is
not sufficiently homogeneous within the unit cell of the gratings. A comparison
with the numerical results of the Fourier modal method demonstrates the validity
of the analytical formulae. Additional study is made on the impedance
approximation at the outer boundaries of the periodical structure in order to
propose analytical formulae for the reflection coefficient that permits better
correspondence with the numerical results. The link between the values of
effective permittivity and permeability defined as the ratios between the
averaged fields, and the metamaterial permittivity and permeability is discussed.
PMID- 29801317
TI - Theoretical design of twelve-band infrared metamaterial perfect absorber by
combining the dipole, quadrupole, and octopole plasmon resonance modes of four
different ring-strip resonators.
AB - Multiband metamaterial perfect absorbers (MPAs) have promising applications in
many fields like microbolometers, infrared detection, biosensing, and thermal
emitters. In general, the single resonator can only excite a fundamental mode and
achieve single absorption band. The multiband MPA can be achieved by combining
several different sized resonators together. However, it's still challenging to
design the MPA with absorption bands of more than four and average absorptivity
of more than 90% due to the interaction between differently sized resonators. In
this paper, three absorption bands are successfully achieved with average
absorptivity up to 98.5% only utilizing single one our designed ring-strip
resonator, which can simultaneously excite a fundamental electric dipole mode, a
higher-order electric quadrupole mode, and a higher-order electric octopole mode.
As the biosensor, the sensing performance of the higher-order modes is higher
than the fundamental modes. Then we try to increase the absorption bands by
combining different sized ring-strip resonators together and make the average
absorptivity above 90% by optimizing the geometry parameters. A six-band MPA is
achieved by combining two different sized ring-strip resonators with average
absorptivity up to 98.8%, which can excite two dipole modes, two quadrupole
modes, and two octopole modes. A twelve-band MPA is achieved by combining four
different sized ring-strip resonators with average absorptivity up to 93.7%,
which can excite four dipole modes, four quadrupole modes, and four octopole
modes.
PMID- 29801318
TI - Broadband controllable terahertz quarter-wave plate based on graphene gratings
with liquid crystals.
AB - Developing the broadband controllable or tunable terahertz (THz) polarization and
phase devices are in an urgent need. In this paper, we demonstrate a broadband
controllable THz quarter-wave plate (QWP) with double layers of graphene grating
and a layer of liquid crystals. The double layer graphene gratings can achieve a
switchable QWP to switch between linear-to-linear and linear-to-circular
polarization states with over 0.35THz bandwidth in the ON or OFF state by
applying biased electric field on the graphene grating or not. Moreover, this QWP
based on the structure of periodic gradient grating can significantly enhance the
phase difference between two orthogonally polarized components compared to that
based on equal-periodic grating structure because of the additional phase
distribution of the gradient structures. Furthermore, we incorporate liquid
crystals into the graphene grating to form a tunable QWP, of which operating
frequency can be continuously tuned in a wide frequency range by electrically
controlling the molecular director of the liquid crystals. The results show that
the graphene periodic gradient grating with LCs not only broadens the operating
bandwidth, but also reduces the external electric field. This device offers a
further step in the development of THz polarization and phase devices for
potential applications in THz polarized imaging, spectroscopy, and communication.
PMID- 29801319
TI - 15 W high OSNR kHz-linewidth linearly-polarized all-fiber single-frequency MOPA
at 1.6 MUm.
AB - A 1603 nm high optical signal-to-noise ratio (OSNR) kHz-linewidth linearly
polarized all-fiber single-frequency master-oscillator power amplifier (MOPA) is
demonstrated. To suppress the amplified spontaneous emission from Yb3+/Er3+ ions
with the customized filters and optimize the length of the double cladding active
fiber, an over 15 W stable single-longitudinal-mode laser is achieved with an
OSNR of >70 dB. A measured laser linewidth of 4.5 kHz and a polarization
extinction ratio of >23 dB are obtained at the full output power. This L-band
high-power single-frequency MOPA is promising for high-resolution molecular
spectroscopy and pumping of Tm3+-doped or Tm3+/Ho3+ co-doped laser.
PMID- 29801320
TI - 375-nm ultraviolet-laser based non-line-of-sight underwater optical
communication.
AB - For circumventing the alignment requirement of line-of-sight (LOS) underwater
wireless optical communication (UWOC), we demonstrated a non-line-of-sight (NLOS)
UWOC link adequately enhanced using ultraviolet (UV) 375-nm laser. Path loss was
chosen as a figure-of-merit for link performance in this investigation, which
considers the effects of geometries, water turbidity, and transmission
wavelength. The experiments suggest that path loss decreases with smaller azimuth
angles, higher water turbidity, and shorter wavelength due in part to enhanced
scattering utilizing 375-nm radiation. We highlighted that it is feasible to
extend the current findings for long distance NLOS UWOC link in turbid water,
such as harbor water.
PMID- 29801321
TI - Enhancing the performance of coherent OTDR systems with polarization diversity
complementary codes.
AB - Monitoring the optical phase change in a fiber enables a wide range of
applications where fast phase variations are induced by acoustic signals or by
vibrations in general. However, the quality of the estimated fiber response
strongly depends on the method used to modulate the light sent to the fiber and
capture the variations of the optical field. In this paper, we show that
distributed optical fiber sensing systems can advantageously exploit techniques
from the telecommunication domain, as those used in coherent optical
transmission, to enhance their performance in detecting mechanical events, while
jointly offering a simpler setup than widespread pulse-cloning or spectral-sweep
based schemes with acousto-optic modulators. We periodically capture an overall
fiber Jones matrix estimate thanks to a novel probing technique using two
mutually orthogonal complementary (Golay) pairs of binary sequences applied
simultaneously in phase and quadrature on two orthogonal polarization states. A
perfect channel response estimation of the sensor array is achieved, subject to
conditions detailed in the paper, thus enhancing the sensitivity and bandwidth of
coherent phi-OTDR systems. High sensitivity, linear response, and bandwidth
coverage up to 18 kHz are demonstrated with a sensor array composed of 10 fiber
Bragg gratings (FBGs).
PMID- 29801322
TI - Experimental demonstration of the robust edge states in a split-ring-resonator
chain.
AB - One of the fascinating topological phenomena is the edge state in one-dimensional
system. In this work, the topological photonics in the dimer chains composed by
the split ring resonators are revealed based on the Su-Schrieffer-Heeger model.
The topologically protected photonic edge state is observed directly with the in
situ measurements of the local density of states in the topological nontrivial
chain. Moreover, we experimentally demonstrate that the edge state localized at
both ends is robust against a varied of perturbations, such as losses and
disorder. Our results not only provide a versatile platform to study the
topological physics in photonics but also may have potential applications in the
robust power transfer.
PMID- 29801323
TI - Sampled Bragg gratings formed in helically twisted fibers and their potential
application for the simultaneous measurement of mechanical torsion and
temperature.
AB - We propose and demonstrate a novel type of sampled Bragg gratings by combining a
helically twisted fiber and a Bragg grating. A comb-like spectrum with a series
of harmonic narrow resonances is observed, and the influence of geometrical
parameters on the resonances is studied. As a special application, the intrinsic
nature of the device that contains the Bragg grating and helical fiber spectral
responses permits the temperature to be detected from the former, whereas the
mechanical torsion is extracted from the latter, suggesting a potential for the
simultaneous measurement of these two parameters. The proposed configuration
features simplification, easy fabrication, high flexibility, stability, and low
cost, and therefore has good prospects for sensor applications, as well as other
applications, such as multi-channel filters, distributed Bragg reflectors, etc.
PMID- 29801324
TI - On-chip generation of time-and wavelength-division multiplexed multiple time-bin
entanglement.
AB - Optical quantum states based on entangled photons are the key resource in quantum
information science. The realization of multiplexed multiple entanglement are
necessary for developing high-capacity quantum information process. Silicon-on
insulator (SOI) has recently become a leading platform for generating and
processing of non-classical optical states. In this work, by combining the
wavelength- and time-division multiplexing technologies, we demonstrate a
multiplexing time-bin entangled photon pair source based on a silicon nanowire
waveguide and distribute entangled photons into 3(time) * 14(wavelength) channels
independently. The indistinguishability of photon pairs in each time channel is
confirmed by a fourfold Hong-Ou-Mandal quantum interference. Our work paves a new
and promising way to achieve a high capacity quantum communication and to
generate a multiple-photon non-classical state.
PMID- 29801325
TI - Vanadium dioxide based frequency tunable metasurface filters for realizing
reconfigurable terahertz optical phase and polarization control.
AB - Metasurfaces are two dimensional arrays of artificial subwavelength resonators,
which can manipulate the amplitude and phase profile of incident electromagnetic
fields. To date, limited progress has been achieved in realizing reconfigurable
phase control of incident waves using metasurfaces. Here, an active metasurface
is presented, whose resonance frequency can be tuned by employing insulator to
metal transition in vanadium dioxide. By virtue of the phase jump accompanied by
the resonance frequency tuning, the proposed metasurface acts as a phase shifter
at THz frequency. It is further demonstrated that by appropriately tailoring the
anisotropy of the metasurface, the observed phase shift can be used to switch the
transmitted polarization from circular to approximately linear. This work thus
shows potential for reconfigurable phase and polarization control at THz
frequencies using vanadium dioxide based frequency tunable metasurfaces.
PMID- 29801326
TI - Proposal for low-noise heralded single photons from cascaded downconversion.
AB - Heralded single photon sources are often implemented using spontaneous parametric
downconversion, but their quality can be restricted by optical loss, double pair
emission and detector dark counts. Here, we propose a scheme using cascaded
downconversion that would improve the performance of such sources by providing a
second trigger signal to herald the presence of a single photon, thereby reducing
the effects of detector dark counts. Our calculations show that for a setup with
fixed detectors, an improved heralded second-order correlation function g(2) can
be achieved with cascaded downconversion given sufficient efficiency for the
second downconversion, even for equal single-photon production rates.
Furthermore, the minimal g(2) value is unchanged for a large range in pump beam
intensity. These results are interesting for applications where achieving low,
stable values of g(2) is of primary importance.
PMID- 29801327
TI - Strain, temperature, moisture, and transverse force sensing using fused polymer
optical fibers.
AB - This paper presents the characterization of polymer optical fibers (POFs)
submitted to the catastrophic fuse effect towards intensity-variation-based
sensing of strain, transverse force, temperature, and moisture. In the
experiments, POFs with and without the fuse effect are tested and the results are
compared with respect to the sensitivity, linearity, and root mean squared error
(RMSE). The fused POFs have higher linearity and lower RMSE than non-fused POFs
in strain and transverse force sensing. Also, the sensitivity of the fused POFs
is higher in transverse force and temperature sensing, which can be related to
the higher sensitivity to the curvature that the transverse force creates on the
POF and to the more significant variations of the refractive index with
temperature increase. Additionally, the fused POFs present lower moisture
absorption than the non-fused POFs. The presented results indicate a great
potential of the fused POFs intensity-variation-based sensing applications of
various physical parameters.
PMID- 29801328
TI - Singular value decomposition ghost imaging.
AB - The singular value decomposition ghost imaging (SVDGI) is proposed to enhance the
fidelity of computational ghost imaging (GI) by constructing a measurement matrix
using singular value decomposition (SVD) transform. After SVD transform on a
random matrix, the non-zero elements of singular value matrix are all made equal
to 1.0, then the measurement matrix is acquired by inverse SVD transform.
Eventually, the original objects can be reconstructed by multiplying the
transposition of the matrix by a series of collected intensity. SVDGI enables the
reconstruction of an N-pixel image using much less than N measurements, and
perfectly reconstructs original object with N measurements. Both the simulated
and the optical experimental results show that SVDGI always costs less time to
accomplish better works. Firstly, it is at least ten times faster than GI and
differential ghost imaging (DGI), and several orders of magnitude faster than
pseudo-inverse ghost imaging (PGI). Secondly, in comparison with GI, the clarity
of SVDGI can get sharply improved, and it is more robust than the other three
methods so that it yields a clearer image in the noisy environment.
PMID- 29801329
TI - Automatic baseline correction method for the open-path Fourier transform infrared
spectra by using simple iterative averaging.
AB - It's necessary to remove the baseline from the spectra, which measured by open
path Fourier transform infrared spectrometry, for further spectral analysis such
as qualitative and quantitative analysis. An automatic baseline correction
method, the Iterative Averaging method, is presented. Baseline corrected by this
method is accurate, and it also shows more precise than other methods when it is
applied to Fourier Transform Infrared experimental spectra and simulated data.
This method solves the key technology of the real-time on-line spectral analysis
of OP-FTIR and improves the capability and adaptability of the unsupervised on
line system effectively.
PMID- 29801332
TI - Bandgap engineering and prospects for radiation-balanced vertical-external-cavity
surface-emitting semiconductor lasers.
AB - The vertical-external-cavity surface-emitting laser (VECSEL) has shown promise in
becoming an efficient source of high power and high beam quality coherent
radiation. In order to live up to its true potential, the VECSEL must be
thermally managed in order to avoid thermal damage as thermal lensing and
filamentation causing preventing it from operating in a single mode regime. For
an optically pumped VECSEL, optical cooling presents an elegant solution for
thermal management as it does not require electrical or thermal conduction. The
goal of optical refrigeration is to achieve radiation balance lasing (RBL) when
the active medium is maintained at a steady uniform temperature. In this work, we
investigate the active medium characteristics and operating conditions that can
lead to RBL in a semiconductor medium and show that to achieve RBL, the gain
medium should be engineered to create a density of states that simultaneously
allows gain and strong anti-Stokes luminescence. Such a medium may incorporate
bandtail states, impurities or quantum dots. We provide a recipe for optimization
of such band structure-engineered materials to achieve the lowest threshold and
highest output power.
PMID- 29801331
TI - Accurate model to predict performance of coherent optical transponder for high
baud rate and advanced modulation format.
AB - Bit error rate (BER) versus optical signal to noise ratio (OSNR) characteristics
determines the transmission performance for coherent optical transponder. We have
developed a model to predict BER versus OSNR at various receiver optical power
(ROP). The model has three parameters, which are related to BER noise floor,
filter mismatching, and OSNR value without noise loading. The model is applied to
high baud rate and quadrature amplitude modulation (QAM) transponders. By
considering the influence of baud rate on the fitting parameters, accurate
prediction of performance for coherent transponder can be achieved over various
baud rates. Novel applications enabled by this model include in-field measurement
of BER versus OSNR, simple abstraction of coherent transponder, accurate OSNR
monitor and coherent optical channel monitor.
PMID- 29801330
TI - Particle sensing with confined optical field enhanced fluorescence emission
(Cofefe).
AB - We describe the development and performance of a new type of optical sensor
suitable for registering the binding/dissociation of nanoscopic particles near a
gold sensing surface. The method shares similarities with surface plasmon
resonance microscopy but uses a completely different optical signature for
reading out binding events. This new optical read-out mechanism, which we call
confined optical field enhanced fluorescence emission (Cofefe), uses pulsed
surface plasmon polariton fields at the gold/liquid interface that give rise to
confined optical fields upon binding of the target particle to the gold surface.
The confined near-fields are sufficient to induce two-photon absorption in the
gold sensor surface near the binding site. Subsequent radiative recombination of
the electron-hole pairs in the gold produces fluorescence emission, which can be
captured by a camera in the far-field. Bound nanoparticles show up as bright
confined spots against a dark background on the camera. We show that the Cofefe
sensor is capable of detecting gold and silicon nanoparticles, as well as polymer
nanospheres and sub-MUm lipid droplets in a label-free manner with average
illumination powers of less than 10 MUW/MUm2.
PMID- 29801333
TI - Experimental realization of Mie-resonance terahertz absorber by self-assembly
method.
AB - Mie-resonance terahertz absorbers by self-assembly method are designed and
demonstrated in experiments and simulations. A monolayer of zirconium dioxide
(ZrO2) microspheres fixed on a copper film with designed grids that were
manufactured by direct writing with a composite ink system composed of
polydimethylsiloxane (PDMS). More importantly, different spacing and array
configurations were created economically and efficiently, showing visual
performance. Magnetic resonance leads to near-unity absorption at about 0.4 THz
in the samples. This work demonstrates efficient terahertz absorbers and
highlights a novel direct writing fabrication method that can be extended to
produce other optical devices for applications.
PMID- 29801334
TI - Resonance-like enhancement in high-order above threshold ionization of atoms and
molecules in intense laser fields.
AB - We investigate the high-order above-threshold ionization (HATI) of atoms (Ar and
Xe) and molecules (N2 and O2) subjected to strong laser fields by numerically
solving time-dependent Schrodinger equation. It is demonstrated that resonance
like enhancement of groups of adjacent peaks in photoelectron spectrum of HATI is
observed for Ar, Xe, and N2, while this peculiar phenomenon is absent for O2,
which is in agreement with experimental observation [ Phys. Rev. A88, 021401
(2013)]. In addition, analysis indicates that resonance-like enhancement in HATI
spectra of atoms and molecules is closely related to excitation of the high-lying
excited states.
PMID- 29801335
TI - Compositional redistribution in CaO-Al2O3-SiO2 glass induced by the migration of
a steel microsphere due to continuous-wave-laser irradiation.
AB - A high-power continuous-wave (CW) laser was used to move a steel microsphere
through a CaO-Al2O3-SiO2 glass block at room temperature along a trajectory
toward the laser source. A compositional analysis revealed that the CaO
concentration in the glass decreased at the center of the microsphere's
trajectory but increased in the area adjacent to it; the SiO2 concentration
showed an opposite trend while the Al2O3 concentration did not change. Further,
the compositional difference between the center and the area adjacent to the
microsphere trajectory depends on the velocity of the microsphere, which is
controllable by tuning the laser power.
PMID- 29801336
TI - Integrated one- and two-photon scanned oblique plane illumination (SOPi)
microscopy for rapid volumetric imaging.
AB - Versatile, sterically accessible imaging systems capable of in vivo rapid
volumetric functional and structural imaging deep in the brain continue to be a
limiting factor in neuroscience research. Towards overcoming this obstacle, we
present integrated one- and two-photon scanned oblique plane illumination (SOPi,
/sopi/) microscopy which uses a single front-facing microscope objective to
provide light-sheet scanning based rapid volumetric imaging capability at
subcellular resolution. Our planar scan-mirror based optimized light-sheet
architecture allows for non-distorted scanning of volume samples, simplifying
accurate reconstruction of the imaged volume. Integration of both one-photon (1P)
and two-photon (2P) light-sheet microscopy in the same system allows for easy
selection between rapid volumetric imaging and higher resolution imaging in
scattering media. Using SOPi, we demonstrate deep, large volume imaging
capability inside scattering mouse brain sections and rapid imaging speeds up to
10 volumes per second in zebrafish larvae expressing genetically encoded
fluorescent proteins GFP or GCaMP6s. SOPi's flexibility and steric access makes
it adaptable for numerous imaging applications and broadly compatible with
orthogonal techniques for actuating or interrogating neuronal structure and
activity.
PMID- 29801337
TI - Distributed refractive index sensing based on tapered fibers in optical frequency
domain reflectometry.
AB - We present a distributed refractive index (RI) sensor using tapered optical
fibers in optical frequency domain reflectometry (OFDR). RI of the external
medium surrounding the tapered optical fibers is measured by the optical
frequency shifts of the local back-reflection spectra in OFDR. By a spectrum
interpolation, we can increase the resolution of RI measurements without
decreasing the sensing spatial resolution. In our experiments, we realize a truly
distributed RI sensing with a 4.25 mm spatial resolution and 2.1 cm measurement
distance. We calibrate the relationship between the optical frequency shifts of
the local back-reflection spectra and RI variation. RI ranges from 1.3574 to
1.3686 and the sensitivity is about 8565 GHz/RIU (68.52 nm/RIU) in the presented
sensor. We also measure RI variation in a glycerol solution diffusion to verify
the capability of distributed RI sensing by the presented sensor.
PMID- 29801338
TI - Laser Q-switching with PtS2 microflakes saturable absorber.
AB - Numerous studies have been conducted to explore the performance of two
dimensional (2D) layered nano-materials based saturable absorber (SA) for pulsed
laser applications. However, fabricating materials in nanoscale requires
complicated preparation processes, high energy consumption, and high expertise.
Hence, the study of pulsed laser performance based on the saturable absorber
prepared by layered materials with bulk-micro size have gained a great attention.
Platinum disulfide (PtS2), which is newly developed group 10 2D layered
materials, offers great potential for the laser photonic applications owing to
its high carrier mobility, broadly tunable natural bandgap energy, and stability.
In this work, the first passively Q-switched Erbium (Er) doped fiber laser is
demonstrated with an operational wavelength of 1568.8 nm by using PtS2
microflakes saturable absorber, fabricated by a simple liquid exfoliation in N
Methyl-2-pyrrolidone (NMP) and then incorporated into polyvinyl alcohol (PVA)
polymer thin film. A stable Q-switched laser operation is achieved by using this
PtS2-SA within a fiber laser ring cavity. The maximum average output power is
obtained as 1.1 mW, corresponding to the repetition rate of 24.6 kHz, the pulse
duration of 4.2 MUs, and single pulse energy of 45.6 nJ. These results open up
new applications of this novel PtS2 layered material.
PMID- 29801339
TI - Wavefront degradation of a 200 TW laser from heat-induced deformation of in
vacuum compressor gratings.
AB - High-repetition-rate high-power laser systems induce a high average power heat
deposition into the gold-coated diffraction gratings. To study the effects of the
thermal expansion of in-vacuum Pyrex gratings on the laser properties, we scan
the pulse energy and repetition rate of a 200 TW laser system while monitoring
the laser wavefront. Through the measured changes in laser divergence and
focusability, we define an average power limit below which the in-vacuum
compressor can be used with no degradation of the laser focus quality.
PMID- 29801340
TI - Improved motor control method with measurements of fiber optics gyro (FOG) for
dual-axis rotational inertial navigation system (RINS).
AB - Benefiting from frame structure, RINS can improve the navigation accuracy by
modulating the inertial sensor errors with proper rotation scheme. In the
traditional motor control method, the measurements of the photoelectric encoder
are always adopted to drive inertial measurement unit (IMU) to rotate. However,
when carrier conducts heading motion, the inertial sensor errors may no longer be
zero-mean in navigation coordinate. Meanwhile, some high-speed carriers like
aircraft need to roll a certain angle to balance the centrifugal force during the
heading motion, which may result in non-negligible coupling errors, caused by the
FOG installation errors and scale factor errors. Moreover, the error parameters
of FOG are susceptible to the temperature and magnetic field, and the pre
calibration is a time-consuming process which is difficult to completely suppress
the FOG-related errors. In this paper, an improved motor control method with the
measurements of FOG is proposed to address these problems, with which the outer
frame can insulate the carrier's roll motion and the inner frame can
simultaneously achieve the rotary modulation on the basis of insulating the
heading motion. The results of turntable experiments indicate that the navigation
performance of dual-axis RINS has been significantly improved over the
traditional method, which could still be maintained even with large FOG
installation errors and scale factor errors, proving that the proposed method can
relax the requirements for the accuracy of FOG-related errors.
PMID- 29801341
TI - Generalized Kerker effects in nanophotonics and meta-optics [Invited].
AB - The original Kerker effect was introduced for a hypothetical magnetic sphere, and
initially it did not attract much attention due to a lack of magnetic materials
required. Rejuvenated by the recent explosive development of the field of
metamaterials and especially its core concept of optically-induced artificial
magnetism, the Kerker effect has gained an unprecedented impetus and rapidly
pervaded different branches of nanophotonics. At the same time, the concept
behind the effect itself has also been significantly expanded and generalized.
Here we review the physics and various manifestations of the generalized Kerker
effects, including the progress in the emerging field of meta-optics that focuses
on interferences of electromagnetic multipoles of different orders and origins.
We discuss not only the scattering by individual particles and particle clusters,
but also the manipulation of reflection, transmission, diffraction, and
absorption for metalattices and metasurfaces, revealing how various optical
phenomena observed recently are all ubiquitously related to the Kerker's concept.
PMID- 29801342
TI - Monolithic silicon-photonic platforms in state-of-the-art CMOS SOI processes
[Invited].
AB - Integrating photonics with advanced electronics leverages transistor performance,
process fidelity and package integration, to enable a new class of systems-on-a
chip for a variety of applications ranging from computing and communications to
sensing and imaging. Monolithic silicon photonics is a promising solution to meet
the energy efficiency, sensitivity, and cost requirements of these applications.
In this review paper, we take a comprehensive view of the performance of the
silicon-photonic technologies developed to date for photonic interconnect
applications. We also present the latest performance and results of our "zero
change" silicon photonics platforms in 45 nm and 32 nm SOI CMOS. The results
indicate that the 45 nm and 32 nm processes provide a "sweet-spot" for adding
photonic capability and enhancing integrated system applications beyond the Moore
scaling, while being able to offload major communication tasks from more deeply
scaled compute and memory chips without complicated 3D integration approaches.
PMID- 29801344
TI - Advances in optical metasurfaces: fabrication and applications [Invited].
AB - The research and development of optical metasurfaces has been primarily driven by
the curiosity for novel optical phenomena that are unattainable from materials
that exist in nature and by the desire for miniaturization of optical devices.
Metasurfaces constructed of artificial patterns of subwavelength depth make it
possible to achieve flat, ultrathin optical devices of high performance. A wide
variety of fabrication techniques have been developed to explore their
unconventional functionalities which in many ways have revolutionized the means
with which we control and manipulate electromagnetic waves. The relevant research
community could benefit from an overview on recent progress in the fabrication
and applications of the metasurfaces. This review article is intended to serve
that purpose by reviewing the state-of-the-art fabrication methods and surveying
their cutting-edge applications.
PMID- 29801345
TI - Dielectric metasurfaces enabling twisted light
generation/detection/(de)multiplexing for data information transfer.
AB - We propose, design, fabricate and demonstrate nanophotonic all-dielectric
metasurfaces enabling the generation, detection and (de)multiplexing of twisted
light having helical phase structure and carrying orbital angular momentum (OAM).
The designed metasurfaces are based on dielectric elliptical resonators on
standard silicon-on-insulator (SOI) platform. One can achieve full-phase control
of 0-2pi and flexible amplitude adjustment by properly changing the geometric
dimensions (long axis, short axis) and orientation of dielectric elliptical
resonator based on the Mie resonance effect. Using the designed and fabricated
all-dielectric metasurfaces, we demonstrate the generation and detection of OAM
beams with topological charge number from l = -4 to 4. The crosstalk matrix of
generated OAM beams is also characterized showing -16 dB crosstalk. We further
demonstrate the (de)multiplexing of two OAM beams (OAM+1 & OAM+4 or OAM+2 &
OAM+3) each carrying a binary image ("A" & "B" or "HUST" & "WNLO"). The obtained
results show error-free data information transfer with favorable performance. The
presented alternative approach of all-dielectric metasurfaces shows distinct
features of easy fabrication process and easy chip-scale integration facilitating
ultrathin optical applications. The demonstrations may open a door to find more
interesting applications in all-dielectric metasurfaces enabled spatial light
manipulation and optical communications and interconnects.
PMID- 29801346
TI - Extraordinary optical reflection resonances and bound states in the continuum
from a periodic array of thin metal plates.
AB - The creation of artificial structures with very narrow spectral features in the
terahertz range has been a long-standing goal, as they can enable many important
applications. Unlike in the visible and infrared, where compact dielectric
resonators can readily achieve a quality factor (Q) of 106, terahertz resonators
with a Q of 103 are considered heroic. Here, we describe a new approach to this
challenging problem, inspired by the phenomenon of extraordinary optical
transmission (EOT) in 1D structures. In the well-studied EOT problem, a complex
spectrum of resonances can be observed in transmission through a mostly solid
metal structure. However, these EOT resonances can hardly exhibit extremely high
Q, even in a perfect structure with lossless components. In contrast, we show
that the inverse structure, a periodic array of very thin metal plates separated
by air gaps, can exhibit non-trivial bound states in the continuum (BICs)
reflection resonances, with arbitrarily high Q, and with peak reflectivity
approaching 100% even for a vanishingly small metal filling fraction. Our
analytical predictions are supported by numerical simulations, and also agree
well with our experimental measurements. This configuration offers a new approach
to achieving ultra-narrow optical resonances in the terahertz range, as well as a
new experimentally accessible configuration for studying BICs.
PMID- 29801347
TI - Resonant gratings with an etch-stop layer and a fabrication-error tolerant
design.
AB - Sub-wavelength gratings (SWG) have shown much promise for applications such as
lightweight high bandwidth reflectors, polarising filters and focusing lenses.
Unfortunately, grating performance may be rapidly degraded through variability in
grating dimensions. We demonstrate, in particular, how an error in depth of etch
can be detrimental to the performance of zero contrast grating reflectors. We
mitigate the impact of this fabrication error through the introduction of an etch
stop layer and in so doing we experimentally realise a high bandwidth reflector
based on this modified structure. Another common fabrication error is variation
in the duty-cycle of fabricated gratings. This duty-cycle variation can weaken
grating performance, however we demonstrate that grating designs that exhibit
tolerance to duty-cycle fluctuation can be identified through simulation.
Finally, we discuss the impact of lateral etching and the resulting sidewall
concavity. We present our approach for numerically predicting the spectral
response from such a grating and also for convenience we outline an approach for
quickly approximating grating performance. Good agreement is observed between
these numerical predictions and measurements made on a HCG with concave
sidewalls.
PMID- 29801348
TI - Multi-channel collision-free reception for optical interconnects.
AB - A multi-channel reception scheme that allows each node to receive an arbitrary
set of wavelengths simultaneously (i.e., collision-free) is proposed for optical
interconnects. The proposed scheme only needs to use a few receivers and fixed
wavelength filters that are designed based on error-control coding theory.
Experiments with up to four channel collision-free reception units are carried
out to demonstrate the feasibility of the proposed scheme.
PMID- 29801349
TI - Full-band TDM-OPDMA for OBI-reduced simultaneous multiple access in a single
wavelength optical access network.
AB - Simultaneous multiple access (MA) within a single wavelength can increase the
data rate and split ratio in a passive optical network while optical beat
interference (OBI) becomes serious in the uplink. Previous techniques to reduce
OBI were limited by their complexity and lack of extendibility; as well,
bandwidth allocation among MA signals is needed for single photo diode (PD)
detection. We proposed and experimentally demonstrated full-band optical pulse
division multiplexing-based MA (OPDMA) in an optical access network, which can
effectively reduce OBI with extendibility and fully utilize frequency resources
of optical modulator without bandwidth allocation in a single-wavelength MA.
PMID- 29801343
TI - Light sheet approaches for improved precision in 3D localization-based super
resolution imaging in mammalian cells [Invited].
AB - The development of imaging techniques beyond the diffraction limit has paved the
way for detailed studies of nanostructures and molecular mechanisms in biological
systems. Imaging thicker samples, such as mammalian cells and tissue, in all
three dimensions, is challenging due to increased background and volumes to
image. Light sheet illumination is a method that allows for selective irradiation
of the image plane, and its inherent optical sectioning capability allows for
imaging of biological samples with reduced background, photobleaching, and
photodamage. In this review, we discuss the advantage of combining single
molecule imaging with light sheet illumination. We begin by describing the
principles of single-molecule localization microscopy and of light sheet
illumination. Finally, we present examples of designs that successfully have
married single-molecule super-resolution imaging with light sheet illumination
for improved precision in mammalian cells.
PMID- 29801350
TI - Anomalous behavior of nonlinear refractive indexes of CO2 and Xe in supercritical
states.
AB - Direct measurement of pressure dependent nonlinear refractive index of CO2 and Xe
in subcritical and supercritical states are reported. In the vicinity of the
ridge (or the Widom line), corresponding to the maximum density fluctuations, the
nonlinear refractive index reaches a maximum value (up to 4.8*10-20m2/W in CO2
and 3.5*10-20m2/W in Xe). Anomalous behavior of the nonlinear refractive index in
the vicinity of a ridge is caused by the cluster formation. That corresponds to
the results of our theoretical assumption based on the modified Langevin theory.
PMID- 29801351
TI - Lifting degeneracy in holographic characterization of colloidal particles using
multi-color imaging.
AB - Micrometer sized particles can be accurately characterized using holographic
video microscopy and Lorenz-Mie fitting. In this work, we explore some of the
limitations in holographic microscopy and introduce methods for increasing the
accuracy of this technique with the use of multiple wavelengths of laser
illumination. Large high index particle holograms have near degenerate solutions
that can confuse standard fitting algorithms. Using a model based on diffraction
from a phase disk, we explain the source of these degeneracies. We introduce
multiple color holography as an effective approach to distinguish between
degenerate solutions and provide improved accuracy for the holographic analysis
of sub-visible colloidal particles.
PMID- 29801352
TI - Near-infrared to visible upconversion imaging using a broadband pump laser.
AB - We present an upconversion imaging experiment from the near-infrared to the
visible spectrum. Using a dedicated broadband pump laser to increase the number
of resolved elements converted in the image we obtain up to 56x64 spatial
elements with a 2.7 nm wide pump spectrum, more than 10 times the number of
elements accessible with a narrowband laser. Results in terms of field of view,
resolution and conversion efficiency are in good agreement with simulations. The
computed sensitivity of our experiment favorably compares with direct InGaAs
camera detection.
PMID- 29801353
TI - Broadband loss-less optical thin-film depolarizing devices.
AB - For some space applications, sensors are sensitive to light polarization and can
only be properly calibrated with non-polarized light. Here we propose new optical
devices which allow to depolarize light in a spatial process. These devices are
thin film multilayers which exhibit polarimetric phase variations in their plane.
A zero spatial polarization degree can be reached with high accuracy in a
controlled bandwidth.
PMID- 29801354
TI - Improved statistical fluctuation analysis for measurement-device-independent
quantum key distribution with four-intensity decoy-state method.
AB - Recently Zhang et al [ Phys. Rev. A95, 012333 (2017)] developed a new approach to
estimate the failure probability for the decoy-state BB84 QKD system when taking
finite-size key effect into account, which offers security comparable to Chernoff
bound, while results in an improved key rate and transmission distance. Based on
Zhang et al's work, now we extend this approach to the case of the measurement
device-independent quantum key distribution (MDI-QKD), and for the first time
implement it onto the four-intensity decoy-state MDI-QKD system. Moreover,
through utilizing joint constraints and collective error-estimation techniques,
we can obviously increase the performance of practical MDI-QKD systems compared
with either three- or four-intensity decoy-state MDI-QKD using Chernoff bound
analysis, and achieve much higher level security compared with those applying
Gaussian approximation analysis.
PMID- 29801355
TI - Twin imaging phenomenon of integral imaging.
AB - The imaging principles and phenomena of integral imaging technique have been
studied in detail using geometrical optics, wave optics, or light filed theory.
However, most of the conclusions are only suit for the integral imaging systems
using diffused illumination. In this work, a kind of twin imaging phenomenon and
mechanism has been observed in a non-diffused illumination reflective integral
imaging system. Interactive twin images including a real and a virtual 3D image
of one object can be activated in the system. The imaging phenomenon is similar
to the conjugate imaging effect of hologram, but it base on the refraction and
reflection instead of diffraction. The imaging characteristics and mechanisms
different from traditional integral imaging are deduced analytically. Thin film
integral imaging systems with 80MUm thickness have also been made to verify the
imaging phenomenon. Vivid lighting interactive twin 3D images have been realized
using a light-emitting diode (LED) light source. When the LED is moving, the twin
3D images are moving synchronously. This interesting phenomenon shows a good
application prospect in interactive 3D display, argument reality, and security
authentication.
PMID- 29801356
TI - Novel fabrication technique for phase-shifted fiber Bragg gratings using a
variable-velocity scanning beam and a shielded phase mask.
AB - A new method is proposed and demonstrated for fabricating phase-shifted fiber
Bragg gratings (FBGs) using a variable-velocity scanning UV laser beam in
combination with a shielded phase mask. The transmission properties of phase
shifted FBGs were analyzed based on coupled-mode theory and a transfer matrix
method. The grating is divided into three parts to allow for easier analysis of
FBG properties. These segments included a uniform FBG1 and FBG2 which were
separated by a shielded section. A novel phase-shifted FBG was fabricated using
this method, in which the refractive indices of FBG1 and FBG2 were different.
Transmission properties of these phase-shifted FBGs were simulated numerically
using MATLAB, and the experimental results and simulated results are in good
agreement. In addition to the length and effective refractive index of the
shielded section, the phase shift value of a phase-shifted FBG was also found to
be dependent on the lengths and effective refractive indices of FBG1 and FBG2.
Moreover, we predicted that changing the scanning velocity for fabricating FBG2
would adjust phase shift value, which exhibits a positive linear relationship
with the scanning velocity. These results can provide guidelines for fabricating
any phase shift value FBGs. This technique is simple, convenient, and may be
developed further for use in fabricating novel tunable fiber filters or DFB fiber
lasers.
PMID- 29801357
TI - Simple and fast calculation algorithm for computer-generated hologram based on
integral imaging using look-up table.
AB - We proposed a simple and fast algorithm for computer-generated hologram (CGH)
based on pinhole-type II using a look-up table. The method consists of two steps:
in the first step, the unity amplitude diffraction pattern of the center pinhole
on hologram plane is pre-calculated and stored as many sub-regions. Secondly,
diffraction patterns for other pinholes are obtained by simply shifting and
tiling the pre-calculated sub-regions, and the final CGH is obtained by adding
them all together. The calculation time is short because only addition and
multiplication of the stored diffraction pattern are required. In addition, the
required memory space is small since only one diffraction pattern is stored.
Numerical simulation and reconstruction are performed on both plane object and
object with continuous depth profile to verify the proposed method. Result shows
that the proposed method can easily achieve real-time hologram generation with
several CPU threads running simultaneously.
PMID- 29801358
TI - Free-space Nu2+ lasers generated in strong laser fields: the role of molecular
vibration.
AB - We investigate free-space lasing actions from molecular nitrogen ions (N2+) at
the wavelengths of ~391 nm and ~428 nm. Our results show that pronounced gain can
be measured at either 391 nm or 428 nm laser wavelength with a pump laser
centered at 800 nm wavelength, whereas the gain at 391 nm laser wavelength
completely disappears when the wavelength of the pump laser is tuned to 1500 nm.
Our theoretical analysis reveals that the different gain behaviors can be
attributed to the vibrational distribution of populations in X2Sigmag+(v=0) and
X2Sigmag+(v=1) states as the N2+ ions are generated by photoionization in the
laser fields, giving rise to more robust (i.e., less sensitive to the pump laser
wavelength) population inversion for generating the 428 nm laser.
PMID- 29801359
TI - Plasmonic metasurface cavity for simultaneous enhancement of optical electric and
magnetic fields in deep subwavelength volume.
AB - It has been hard to achieve simultaneous plasmonic enhancement of nanoscale light
matter interactions in terms of both electric and magnetic manners with easily
reproducible fabrication method and systematic theoretical design rule. In this
paper, a novel concept of a flat nanofocusing device is proposed for
simultaneously squeezing both electric and magnetic fields in deep-subwavelength
volume (~lambda3/538) in a large area. Based on the funneled unit cell structures
and surface plasmon-assisted coherent interactions between them, the array of
rectangular nanocavity connected to a tapered nanoantenna, plasmonic metasurface
cavity, is constructed by periodic arrangement of the unit cell. The average
enhancement factors of electric and magnetic field intensities reach about 60 and
22 in nanocavities, respectively. The proposed outstanding performance of the
device is verified numerically and experimentally. We expect that this work would
expand methodologies involving optical near-field manipulations in large areas
and related potential applications including nanophotonic sensors, nonlinear
responses, and quantum interactions.
PMID- 29801360
TI - Biomimetic photonics: jamming avoidance system in Eigenmannia.
AB - Biomimetic photonics extract the good design of nature and mimic it with
photonics. The weakly electric fish genus, Eigenmannia, has a unique neural
algorithm - jamming avoidance response, to facilitate their survival in the deep
dark ocean, by automatically adjusts the local transmitter carrier frequency to
move away from the jamming frequency when it is within the jamming spectral
range. Examining our own wireless microwave systems, the situation of inadvertent
jamming is very similar as that in Eigenmannia. In this article, a biomimetic
photonic approach inspired by the jamming avoidance response in a weakly electric
fish genus, Eigenmannia, is naturally adopted to experimentally tackle signal
jamming in wireless systems. Mimicking the system with photonics enables the
proposed scheme to work for frequencies from hundreds of MHz to tens of GHz.
PMID- 29801362
TI - Design and analysis of an 8x four-group zoom system using focus tunable lenses.
AB - We present an 8x four-group zoom lens system for a compact camera without any
moving groups by employing a focus tunable lens (FTL). We locate the FTLs at the
second and fourth groups as a variator and a compensator. In the initial design
stage, paraxial analysis for the zoom position was numerically determined by
examining the solutions for various first group and third group powers, to
achieve a physically meaningful and compact zoom system at a zoom ratio of 8x.
The designed zoom lens has focal lengths of 4-31 mm and the apertures of F/3.5 to
F/4.5 at wide and tele positions, respectively.
PMID- 29801361
TI - Rate-equation theory of a feedback insensitive unidirectional semiconductor ring
laser.
AB - For our recently designed continuous-wave and single-frequency ring laser with
intra-cavity isolator, we have formulated a rate-equation theory which accounts
for two sources of mutual back-scattering between the clockwise and
counterclockwise modes, i.e. induced by side-wall irregularities and due to
inversion-grating-induced spatial hole burning. With this theory we first confirm
that for a ring laser without intra-cavity isolation, from sufficiently large
pumping strength on, the inversion-grating-induced bistable operation (i.e.
either clockwise or counterclockwise) will overrule the back-reflection-induced
coupled-mode operation (i.e. both clockwise and counterclockwise). We then
analyze the robustness of unidirectional operation in case of intra-cavity
isolation against the intra-cavity back-reflection mechanism and grating-induced
mode coupling and derive for this case an explicit expression for the
directionality in the presence of external optical feedback, valid for
sufficiently strong isolation. The predictions posed in the second reference
remain unaltered in the presence of the mode coupling mechanisms here considered.
PMID- 29801363
TI - Triple-clad photonic lanterns for mode scaling.
AB - We propose a novel triple-clad photonic lanterns for mode scaling. This novel
structure alleviates the adiabatic tapering requirement for the fabrication of
large photonic lanterns. A 10-mode photonic lantern with insertion losses ranging
from 0.6 to 2.0 dB across all the modes and a record-low pairwise 4-dB mode
dependent loss at C-band was demonstrated.
PMID- 29801364
TI - Efficient coding and detection of ultra-long IDs for visible light positioning
systems.
AB - Visible light positioning (VLP) is a promising technique to complement Global
Navigation Satellite System (GNSS) such as Global positioning system (GPS) and
BeiDou Navigation Satellite System (BDS) which features the advantage of low-cost
and high accuracy. The situation becomes even more crucial for indoor
environments, where satellite signals are weak or even unavailable. For large
scale application of VLP, there would be a considerable number of Light emitting
diode (LED) IDs, which bring forward the demand of long LED ID detection. In
particular, to provision indoor localization globally, a convenient way is to
program a unique ID into each LED during manufacture. This poses a big challenge
for image sensors, such as the CMOS camera in everybody's hands since the long ID
covers the span of multiple frames. In this paper, we investigate the detection
of ultra-long ID using rolling shutter cameras. By analyzing the pattern of data
loss in each frame, we proposed a novel coding technique to improve the
efficiency of LED ID detection. We studied the performance of Reed-Solomon (RS)
code in this system and designed a new coding method which considered the trade
off between performance and decoding complexity. Coding technique decreases the
number of frames needed in data processing, significantly reduces the detection
time, and improves the accuracy of detection. Numerical and experimental results
show that the detected LED ID can be much longer with the coding technique.
Besides, our proposed coding method is proved to achieve a performance close to
that of RS code while the decoding complexity is much lower.
PMID- 29801365
TI - Optical waveguiding properties of colloidal quantum dots doped polymer
microfibers.
AB - QDs-doped polymer microfibers are fabricated through direct drawing method. By
adding the polymethylmethacrylate into polystyrene, the surface quality and
flexibility of microfiber are improved. Under direct excitation by the focused
laser, the polymer microfibers doped with different quantum dots emit different
colors and act as an optical waveguide. The waveguide properties of the
microfiber are studied in detail. It is found that refractive index of the
substrate and diameter of microfiber are the most important factors that affect
the optical loss of this waveguide. The microfiber does not produce significant
polarization after being deposited on the substrate. Moreover, exciting the QDs
doped polymer microfiber through a blue LED is demonstrated. This structure may
find widespread applications in integrated photonic devices.
PMID- 29801366
TI - Simulation of massless Dirac dynamics in plasmonic waveguide arrays.
AB - The recent simulation of quantum behavior in condensed matter physics by well
designed photonic structures has opened unprecedented opportunities to steer the
flow of light in novel manners. Here, we propose a design to simulate massless
Dirac dynamics in evanescently coupled plasmonic ridge waveguide arrays with
alternating positive and negative coupling coefficients. The coupling
coefficients are carefully tailored by adjusting the geometric parameters of the
waveguide in arrays, which are supposed to be feasible with advanced
nanofabrication techniques. Further theoretical studies are also carried out
based on the coupled mode theory to discuss the influence of excitation condition
on beam propagation in this massless Dirac dynamics simulation case.
PMID- 29801367
TI - Hybrid lasing in a plasmonic cavity.
AB - Distributed feedback lasing and surface plasmon lasing were achieved in a single
laser device. The laser cavity consisted of a four-layer structure including two
metal films, a grating, and a gain material; the cavity was fabricated by
combining interference lithography and metal evaporation. A hollow structure was
employed to overcome the Joule losses of the metal film. The total thickness of
the multilayer structure was 350 nm. The lasing threshold for this hybrid lasing
was decreased significantly owing to the coupling between the SP mode in two
metal films and the waveguide mode. The combination of SP lasing and distributed
feedback lasing could benefit the design of biosensors, all-optical circuits, and
electrically pumped devices.
PMID- 29801368
TI - Characteristics of plasma plume in ultrafast laser ablation with a weakly ionized
air channel.
AB - We report the influence of femtosecond (fs) laser weakly ionized air channel on
characteristics of plasma induced from fs-laser ablation of solid Zr metal
target. A novel method to create high temperature, low electron density plasma
with intense elemental emission and weak bremsstrahlung emission was
demonstrated. Weakly ionized air channel was generated as a result of a non
linear phenomenon. Two-dimensional time-resolved optical-emission images of
plasma plumes were taken for plume dynamics analysis. Dynamic physical properties
of filament channels were simulated. In particular, we investigated the influence
of weakly ionized air channel on the evolution of solid plasma plume. Plasma
plume splitting was observed whilst longer weakly ionized air channel formed
above the ablation spot. The domination mechanism for splitting is attributed to
the long-lived underdense channel created by fs-laser induced weakly ionization
of air. The evolutions of atomic/molecular emission intensity, peak broadening,
and plasma temperature were analyzed, and the results show that the part of
plasma entering weakly ionized air channel features higher initial temperature,
lower electron density and faster decay.
PMID- 29801369
TI - In situ fabricated 3D micro-lenses for photonic integrated circuits.
AB - Aspheric astigmatic polymer micro-lenses were fabricated directly onto photonic
integrated circuits using two-photon lithography. We observed a 12.6 dB
improvement in the free space coupling efficiency between integrated ridge laser
pairs with micro-lenses to those without.
PMID- 29801370
TI - Optical modeling of black silicon using an effective medium/multi-layer approach.
AB - In this work, black silicon (BSi) structures including nanocones and nanowires
are modeled using effective medium theory (EMT), where each structure is assumed
to be a multilayer structure of varying effective index, and its optical
scattering in the infrared range is studied in terms of its total reflectance,
transmittance and absorptance using the transfer matrix method (TMM). The
different mechanisms of the intrinsic absorption of silicon are taken into
account, which translates into proper modeling of its complex refraction index,
depending on several parameters including the doping level. The model validity is
studied by comparing the results with the rigorous coupled wave analysis and is
found to be in good agreement. The effect of the aspect ratio, the spacing
between the structure features and the structure disordered nature are all
considered. Moreover, the results of the proposed model are compared with
reflectance measurements of a fabricated BSi sample, in addition to other
measurements reported in the literature for Silicon Nanowires (SiNWs). The TMM
along with EMT proves to be a convenient method for modeling BSi due to its
simple implementation and computational speed.
PMID- 29801371
TI - Real-time compensation of errors in refractive index shift measurements of
microring sensors using thermo-optic coefficients.
AB - We report a method for compensation of errors caused by temperature fluctuations
in refractive index measurements using Silicon photonic microring sensors. The
method involves determination of resonance wavelength shifts caused by thermal
fluctuations using real-time measurement of on-chip temperature variations and
thermo-optic coefficient (TOC) of analyte liquids. Resistive metal lines
patterned around Silicon microrings are used to track temperature variations and
TOC of analyte is calculated by measuring wavelength shifts caused by controlled
increments in device temperature. The TOC of de-ionized water is determined to be
-1.12 * 10-4/ degrees C, with an accuracy of +/-8.26 * 10-6/ degrees C. In our
system, chip-surface temperature variations were measured with an instrument
limited precision of 0.004 degrees C yielding a factor of 16 enhancement in
tracking accuracy compared to conventional, bottom-of-chip temperature
measurement. We show that refractive index detection limit of the microring
sensor is also improved by the same factor.
PMID- 29801372
TI - Complete spatial coherence characterization of quasi-random laser emission from
dye doped transparent wood.
AB - We report on the experimental determination of the complete two coordinate
spatial coherence function of light emitted by a quasi-random laser, implemented
on recently introduced dye-doped transparent wood. The spatial coherence was
measured by means of a double grating interferometer, which has some advantages
over the standard Young's interferometer. Analysis of the spatial coherence
reveals that emission from such a material can be considered as a superposition
of several spatial modes produced by individual emitters within semi-ordered
scattering medium. The overall degree of coherence, gamma-, for this quasi-random
laser was found to be 0.16 +/- 0.01, having possible applications in speckle free
laser imaging and illumination.
PMID- 29801373
TI - Threshold current temperature dependence of quantum-dot photonic crystal surface
emitting lasers with respect to gain-cavity detuning.
AB - We investigate threshold current temperature dependence of electrically injected
quantum-dot (QD) photonic crystal (PC) surface-emitting lasers (SELs) with
respect to wavelength detuning between QD gain peak and PC cavity resonance. The
lasing emissions cover wavelengths from 1283 nm to 1318 nm. Almost infinite
characteristic temperature is realized at certain temperature range for PCSEL
with large negative gain-cavity detuning. Moreover, band-edge lasing mode is
identified in our "PC slab-on-substrate" structure, and its far-field
distribution is characterized as doughnut-shaped beam with azimuthal
polarization.
PMID- 29801374
TI - Suppression of the nonlinear phase error in phase shifting profilometry:
considering non-smooth reflectivity and fractional period.
AB - Hilbert transform (HT) has been employed to compensate phase error arising from
the nonlinear effect in phase shifting profilometry (PSP). However, in most
common situations, pure HT may lead to a significant system error, which has a
negative impact on subsequent phase error compensation. In this paper, system
error from HT of non-stationary and non-continuous fringe is analyzed, and then a
novel phase error suppression approach is presented. The cosine fringe without
direct current (DC) component is reconstructed to eliminate the influence of non
smooth reflectivity, and the fractional periods at both ends of the reconstructed
fringe are extended to generate fringe with integer number of periods. And then
the HT is applied to the reconstructed and extended fringe. Finally, a revised
phase-shifting algorithm is employed to calculate the phase with the fringe after
HT. The proposed approach is suitable for PSP of the surface with non-smooth
reflectivity (e.g. texture of complex colors), which is demonstrated in a series
of experiments.
PMID- 29801375
TI - Reduced-complexity algorithm for space-demultiplexing based on higher-order
Poincare spheres.
AB - We propose a reduced-complexity space-demultiplexing algorithm based on higher
order Poincare spheres (HoPs) which is modulation format agnostic, free of
training sequences and robust to the local oscillator phase fluctuations and
frequency offsets. The signal tributaries are space-demultiplexed by calculating
and realigning the best fit plane in the HoPs, with the inverse channel matrix
being iteratively constructed by sequentially space-demultiplexing all pairs of
tributaries. When compared with the previous proposed HoPs-based space
demultiplexing algorithm, results show a complexity reduction gain of 99% along
with an improvement of 97% in terms of convergence speed.
PMID- 29801376
TI - Effect of bandwidth limitation of optical noise injection on common-signal
induced synchronization in multi-mode semiconductor lasers.
AB - We investigate common-signal-induced synchronization in two multi-mode
semiconductor lasers subject to a bandwidth-limited optical noise signal.
Synchronization can be achieved when the number of longitudinal modes is matched
between the two lasers. The peak wavelengths need to be matched between the two
lasers to achieve synchronization. In contrast, small correlation is observed
when the peak wavelengths are mismatched. The synchronization is degraded as the
number of longitudinal modes in one of the lasers is decreased. However, large
correlation is obtained if the overlapped modes are selected and compared. We
discuss the possibility of an unauthorized user reproducing the synchronized
waveforms. It is difficult to completely reproduce the synchronized waveforms
using synchronization if the bandwidth of the noise drive signal is limited.
PMID- 29801377
TI - Secure free-space optical communication system based on data fragmentation
multipath transmission technology.
AB - A secure free-space optical (S-FSO) communication system based on data
fragmentation multipath transmission (DFMT) scheme is proposed and demonstrated
for enhancing the security of FSO communications. By fragmenting the transmitted
data and simultaneously distributing data fragments into different atmospheric
channels, the S-FSO communication system can protect confidential messages from
being eavesdropped effectively. A field experiment of S-FSO communication between
two buildings has been successfully undertaken, and the experiment results
demonstrate the feasibility of the scheme. The transmission distance is 50m and
the maximum throughput is 1 Gb/s. We also established a theoretical model to
analysis the security performance of the S-FSO communication system. To the best
of our knowledge, this is the first application of DFMT scheme in FSO
communication system.
PMID- 29801378
TI - Scanning two-photon continuous flow lithography for synthesis of high-resolution
3D microparticles.
AB - Demand continues to rise for custom-fabricated and engineered colloidal
microparticles across a breadth of application areas. This paper demonstrates an
improvement in the fabrication rate of high-resolution 3D colloidal particles by
using two-photon scanning lithography within a microfluidic channel. To
accomplish this, we present (1) an experimental setup that supports fast, 3D
scanning by synchronizing a galvanometer, piezoelectric stage, and an acousto
optic switch, and (2) a new technique for modifying the laser's scan path to
compensate for the relative motion of the rapidly-flowing photopolymer medium.
The result is an instrument that allows for rapid conveyor-belt-like fabrication
of colloidal objects with arbitrary 3D shapes and micron-resolution features.
PMID- 29801379
TI - Wavelength-multiplexing surface plasmon holographic microscopy.
AB - Surface plasmon holographic microscopy (SPHM), which combines surface plasmon
microscopy with digital holographic microscopy, can be applied for amplitude- and
phase-contrast surface plasmon resonance (SPR) imaging. In this paper, we propose
an improved SPHM with the wavelength multiplexing technique based on two laser
sources and a common-path hologram recording configuration. Through recording and
reconstructing the SPR images at two wavelengths simultaneously employing the
improved SPHM, tiny variation of dielectric refractive index in near field is
quantitatively monitored with an extended measurement range while maintaining the
high sensitivity. Moreover, imaging onion tissues is performed to demonstrate
that the detection sensitivities of two wavelengths can compensate for each other
in SPR imaging. The proposed wavelength-multiplexing SPHM presents simple
structure, high temporal stability and inherent capability of phase curvature
compensation, as well as shows great potentials for further applications in
monitoring diverse dynamic processes related with refractive index variations and
imaging biological tissues with low-contrast refractive index distributions in
the near field.
PMID- 29801380
TI - Generation of intensity-tunable structural color from helical photonic crystals
for full color reflective-type display.
AB - A new concept of intensity-tunable structural coloration is proposed on the basis
of a helical photonic crystal (HPC). The HPCs are constructed from a mixture of
chiral reactive mesogens by spin-coating, followed by the photo-polymerization. A
liquid crystal (LC) layer, being homogeneously aligned, is prepared on the HPCs
to serve as a tunable waveplate. The electrical modulation of the phase
retardation through the LC layer directly leads to the intensity-tunable Bragg
reflection from the HPCs upon the incidence of the polarized light. The
bandwidths of the structural colors are found to be well preserved regardless of
the applied voltage. A prototype of a full color reflective-type display,
incorporated with three primary color units, is demonstrated. Our concept of
decoupling two mutually independent functions, the intensity modulation by the
tunable waveplate and the color reflection by the HPCs provides a simple and
powerful way of producing a full color reflective-type display which possesses
high color purity, high optical efficiency, the cycling durability, and the
design flexibility.
PMID- 29801381
TI - Integrated silicon photonic wavelength-selective switch using wavefront control
waveguides.
AB - A wavelength selective switch (WSS) can route optical signals into any of output
ports by wavelength, and is a key component of the reconfigurable optical
add/drop multiplexer. We propose a wavefront control type WSS using silicon
photonics technology. This consists of several arrayed waveguide gratings sharing
a large slab waveguide, wavefront control waveguides and distributed Bragg
reflectors. The structure, design method, operating principle, and scalability of
the WSS are described and discussed. We designed and fabricated a 1 * 2 wavefront
control type WSS using silicon waveguides. This has 16 channels with a channel
spacing of 200 GHz. The chip size is 5 mm * 10 mm. The switching operation was
achieved by shifting the phase of the light propagating in each wavefront control
waveguide, and by controlling the propagation direction in the shared large slab
waveguide. Our WSS has no crossing waveguide, so the loss and the variation in
loss between channels were small compared to conventional waveguide type WSSs.
The heater power required for switching was 183 mW per channel, and the average
extinction ratios routed to Output#1 and Output#2 were 9.8 dB and 10.2 dB,
respectively.
PMID- 29801382
TI - Nonlinear polarization evolution of ultrashort pulses in polarization maintaining
fibers.
AB - We examine properties of an ultrashort laser pulse propagating through an
artificial Saturable Absorber based on Nonlinear Polarization Evolution device
which has been realized with Polarization Maintaining fibers only (PM NPE). We
study and compare in-line and Faraday Mirror geometries showing that the latter
is immune to errors in the PM NPE construction. Experimental results for the
transmission measurements of the PM NPE setup for different input linear
polarization angles and various input pulse powers are presented. We show that PM
NPE topology is of crucial importance for controlling the properties of the
output pulse as it rules the contribution of cross-phase modulation to an overall
nonlinear phase change. We also demonstrate an excellent agreement between the
numerical model and experimental results.
PMID- 29801383
TI - Low dark current III-V on silicon photodiodes by heteroepitaxy.
AB - Top-illuminated PIN and modified uni-traveling carrier (MUTC) photodiodes based
on InGaAs/InAlAs/InP were epitaxially grown on Si templates. Photodiodes with 30
MUm diameter have dark currents as low as 10 nA at 3 V corresponding to a dark
current density of only 0.8 mA/cm2. The responsivity, 3-dB bandwidth, output
power and third-order output intercept point (OIP3) were 0.79 A/W, 9 GHz, 2.6 dBm
and 15 dBm, respectively.
PMID- 29801384
TI - Sickle cell disease diagnosis based on spatio-temporal cell dynamics analysis
using 3D printed shearing digital holographic microscopy.
AB - We present a spatio-temporal analysis of cell membrane fluctuations to
distinguish healthy patients from patients with sickle cell disease. A video
hologram containing either healthy red blood cells (h-RBCs) or sickle cell
disease red blood cells (SCD-RBCs) was recorded using a low-cost, compact, 3D
printed shearing interferometer. Reconstructions were created for each hologram
frame (time steps), forming a spatio-temporal data cube. Features were extracted
by computing the standard deviations and the mean of the height fluctuations over
time and for every location on the cell membrane, resulting in two-dimensional
standard deviation and mean maps, followed by taking the standard deviations of
these maps. The optical flow algorithm was used to estimate the apparent motion
fields between subsequent frames (reconstructions). The standard deviation of the
magnitude of the optical flow vectors across all frames was then computed. In
addition, seven morphological cell (spatial) features based on optical path
length were extracted from the cells to further improve the classification
accuracy. A random forest classifier was trained to perform cell identification
to distinguish between SCD-RBCs and h-RBCs. To the best of our knowledge, this is
the first report of machine learning assisted cell identification and diagnosis
of sickle cell disease based on cell membrane fluctuations and morphology using
both spatio-temporal and spatial analysis.
PMID- 29801385
TI - Synthesizing broadband propagation-invariant space-time wave packets using
transmissive phase plates.
AB - Space-time wave packets are a class of pulsed optical beams that are diffraction
free and dispersion-free in free space by virtue of introducing a tight
correlation between the spatial and temporal degrees of freedom of the field.
Such wave packets have been recently synthesized in a novel configuration that
makes use of a spatial light modulator to realize the required spatio-temporal
correlations. This arrangement combines pulse-modulation and beam-shaping to
assign one spatial frequency to each wavelength according to a prescribed
correlation function. Relying on a spatial light modulator results in several
limitations by virtue of their pixelation, small area, and low energy-handling
capability. Here we demonstrate the synthesis of space-time wave packets with one
spatial dimension kept uniform - that is, light sheets - using transparent
transmissive phase plates produced by a gray-scale lithography process. We
confirm the diffraction-free behavior of wave packets having a bandwidth of 0.25
nm (filtered from a typical femtosecond Ti:sapphire laser) and 30 nm (a multi
terawatt femtosecond laser). This work paves the way for developing versatile
high-energy light bullets for applications in nonlinear optics and laser
machining.
PMID- 29801386
TI - Hybrid cladding-pumped multicore EDFA/Raman amplification for space division
multiplexing transmission systems.
AB - We propose and demonstrate a hybrid cladding-pumped multicore erbium-doped fiber
amplifier (EDFA) and distributed Raman amplification for space division
multiplexing transmission systems. The cladding-pumped multicore EDFA is used to
efficiently amplify signals in multiple cores simultaneously, while Raman pumping
is used to control loss in each core individually. We construct an in-line
amplified 7-core transmission line, and show that distributed Raman amplification
can compensate loss variation between cores. Furthermore, we transmit 46 WDM PDM
16QAM signals over a long distance of greater than 1000 km and demonstrate good
transmission performance.
PMID- 29801387
TI - Fabrication of glass microlenses using focused Xe beam.
AB - Focused ion beam (FIB) systems based on high brightness plasma ion sources are
becoming largely diffuse in material and semiconductor research, thanks to the
higher current densities and milling rates provided by noble gas ions (e.g., Xe)
compared with traditional liquid metal Ga FIBs. In this paper, we demonstrate the
feasibility of a rapid, direct milling of microlenses in glass substrates using
high current Xe plasma FIB. We present quantitative analyses of roughness and
profile of microlenses with diameters up to 230-um and focal distances between 7
mm and 1.4 mm. We characterized the performance of the lenses by mapping the
transmitted intensity through the lenses, by forming an image of a resolution
object by scanning the focused spot and collecting the transmitted intensity, and
in full-field imaging experiments. The results indicate the applicability of
plasma focused ion beam systems for direct writing in glass of high-quality micro
optical elements with diffraction-limited focusing.
PMID- 29801388
TI - Silicon nitride-on-silicon bi-layer grating couplers designed by a global
optimization method.
AB - Silicon nitride-on-silicon bi-layer grating couplers were designed for the O-band
using an optimization-based procedure that accounted for design rules and
fabricated on a 200 mm wafer. The designs were sufficiently robust to fabrication
variations to function well across the wafer. A peak fiber-to-chip coupling
efficiency to standard single mode fiber of -2.2 dB and a 1-dB bandwidth of 72.9
nm was achieved in the representative device. Over several chips across the
wafer, we measured a median peak coupling efficiency of -2.1 dB and median 1-dB
bandwidth of 70.8 nm. The measurements had good correspondence with simulation.
PMID- 29801389
TI - Controlling nonsequential double ionization of Ne with parallel-polarized two
color laser pulses.
AB - We measure the recoil-ion momentum distributions from nonsequential double
ionization of Ne by two-color laser pulses consisting of a strong 800-nm field
and a weak 400-nm field with parallel polarizations. The ion momentum spectra
show pronounced asymmetries in the emission direction, which depend sensitively
on the relative phase of the two-color components. Moreover, the peak of the
doubly charged ion momentum distribution shifts gradually with the relative
phase. The shifted range is much larger than the maximal vector potential of the
400-nm laser field. Those features are well recaptured by a semiclassical model.
Through analyzing the correlated electron dynamics, we found that the energy
sharing between the two electrons is extremely unequal at the instant of
recollison. We further show that the shift of the ion momentum corresponds to the
change of the recollision time in the two-color laser field. By tuning the
relative phase of the two-color components, the recollision time is controlled
with attosecond precision.
PMID- 29801390
TI - Strong polarization-dependent terahertz modulation of aligned Ag nanowires on Si
substrate.
AB - Optically tunable, strong polarization-dependent transmission of terahertz pulses
through aligned Ag nanowires on a Si substrate is demonstrated. Terahertz pulses
primarily pass through the Ag nanowires and the transmittance is weakly dependent
on the angle between the direction of polarization of the terahertz pulse and the
direction of nanowire alignment. However, the transmission of a terahertz pulse
through optically excited materials strongly depends on the polarization
direction. The extinction ratio increases as the power of the pumping laser
increases. The enhanced polarization dependency is explained by the
redistribution of photocarriers, which accelerates the sintering effect along the
direction of alignment of the Ag nanowires. The photocarrier redistribution
effect is examined by the enhancement of terahertz emission from the sample.
Oblique metal nanowires on Si could be utilized for designing optically tunable
terahertz polarization modulators.
PMID- 29801391
TI - Deterministic chaos in an ytterbium-doped mode-locked fiber laser.
AB - We experimentally study the nonlinear dynamics of a femtosecond ytterbium doped
mode-locked fiber laser. With the laser operating in the pulsed regime a route to
chaos is presented, starting from stable mode-locking, period two, period four,
chaos and period three regimes. Return maps and bifurcation diagrams were
extracted from time series for each regime. The analysis of the time series with
the laser operating in the quasi mode-locked regime presents deterministic chaos
described by an unidimensional Rossler map. A positive Lyapunov exponent lambda =
0.14 confirms the deterministic chaos of the system. We suggest an explanation
about the observed map by relating gain saturation and intra-cavity loss.
PMID- 29801392
TI - Indicators of Evaluating Research at Article Level: Recommendation for Effective
Evaluation of APJCP' ScientificPerformances
AB - Today, research is seen as an investment to promote innovation and maintain
sustainable social-economic developmentin all societies. The growth of scientific
products and the expansion of knowledge in different scientific fields
haveentailed more attention to assessments and the impact evaluation of both
outcome and process of researchers in all fields.In light of this need,
policymakers in the medical field have paid more attention to evaluating the
outcomes of researchin terms of its impact on the society using many different
indicators. In this short communication, the performance ofscholarly published
scientific products are discussed and the indicators that measure such impacts
are evaluated andrecommendation is given to APJCP' editorial board on how to
align its activities toward achieving better impact andscientometric measures for
the journal.
PMID- 29801393
TI - Increased Risk of Penile Cancer among Men Working in Agriculture: Some
Methodological Issues
PMID- 29801394
TI - NCI Summer Curriculum in Cancer Control and Prevention - A Practice Changing
Course for Oncologists from LimitedResource Country Like India
AB - Cancer has become an important public health issue in India. Oncologists in India
spends most of their time indiagnosis and treatment of cancer patients. There is
a large disparity geographically as far as cancer treatment facilitiesare
concerned. Cancer control and cancer prevention is not a point of concern for
most of the practicing oncologist.Although things are changing in India, but
orientation, passion and dedication towards cancer prevention is still
missing.There is no program on basic principles and practice of cancer control
and prevention in India which addresses theessence of cancer control and
prevention. Center for Global Health of National Cancer Institute, USA initiated
summercurriculum is an excellent academic program to teach health care
professionals working in cancer care in different partsof world. This covers all
aspect of cancer care i.e. cancer education, epidemiology, screening, diagnosis,
treatmentand the before world palliative care with dedicated session on upcoming
molecular prevention in cancer. This givesan unique opportunity for learning and
can be practice changing curriculum for many of the attendees who want topursue a
career in cancer control and prevention a before practice.
PMID- 29801395
TI - Oral Lichen Planus: an Overview of Potential Risk Factors, Biomarkers and
Treatments
AB - Oral lichen planus (OLP) is an immune-related disorder with unknown exact
etiology but established prevalencein females. There are six clinical forms of
OLP, ranging from asymptomatic white keratotic lesions to painful erosionsand
ulcerations. The aim of the present report is to overview pathologic and
therapeutic aspects. Peroxidation products,antioxidants, cortisol, and
immunoglobulins are potential biomarkers to predict OLP occurrence. The risk of
OLPdevelopment in patients with hepatitis B and C infection is 2-fold greater
than in healthy individuals, while there is nosignificant relation with diabetes
mellitus. Corticosteroids are common drugs to treat OLP and their combination
withother agents can be most effective. Folic acid and variants of vitamin B are
also potential treatments since they targethematological abnormalities.
PMID- 29801396
TI - Effectiveness of Platinum-Based Treatment for Triple Negative Metastatic Breast
Cancer: a Meta-Analysis
AB - Background: Triple-negative breast cancer (TNBC) is a sub-group of breast cancers
with a particularly poorprognosis. The results of studies investigating the role
of platinum-based chemotherapy (PBC) in metastatic TNBC(mTNBC) have been
conflicting. In this meta-analysis, our aim was to assess the effectiveness of
PBCs for mTNBCs.Methods: The PubMed, Cochrane Controlled Trials Register
Databases, and EBSCOhost databases were accessed.The English language was used as
the search language and only human studies were included. The Newcastle
OttawaQuality Assessment Scale and the Jadad scoring system were used to evaluate
the quality of the included randomizedcontrolled studies. Results: Seven studies
and 1,571 patients were included in this meta-analysis. The pooled hazardratio
(HR) for overall survival (OS), evaluated on the basis of six studies, showed the
use of PBC regimes to be relatedto OS in mTNBCs (HR 0.620; 95% CI 0.513-0.749;
p:<0.001). Four studies containing HR and abstract statisticsused for HR
calculation were included in the meta-analysis for progression-free survival
(PFS). The pooled HR againindicated a significant relation (HR, 0.628; 95% CI,
0.501-0.786; p:<0.001). Conclusions: In this meta-analysis, weconfirmed that PBC
regimes provide OS and PFS advantages compared to non-PBC regimes. The use of PBC
regimescould be a good choice in mTNBC patients for better quality of life and
survival.
PMID- 29801397
TI - Epidemiology of HPV Infection and HPV-Related Cancers in Kazakhstan: a Review
AB - Background: Cancer is one of the most prevalent causes of mortality worldwide. In
the cervix it is considered to becaused by different high-risk human
papillomavirus (HPV) types. Although many studies have already been
conductedworldwide on the epidemiology of HPV infection and their oncogenic
properties, limited data are available on HPVprevalence, incidence and genotype
specific dissemination in Kazakhstan. Methods: To review the distribution of
HPVinfection, electronic databases (e.g. PubMed, Web of Science and Google
Scholar) were searched for peer reviewedarticles in English. The study was
performed during June-July 2017 with a review of 39 relevant articles, published
upto July 31, 2017. The following inclusion criteria were applied: general
population data, cytology results available, anduse of polymerase chain reaction
(PCR) and/or Hybrid Capture(r) 2, Digene Corp., USA for HPV detection. Results:As
reported in limited studies, the prevalence of HPV infection in Kazakhstan ranges
from 43.8% to 55.8%. However,the scenario with regard to epidemiology of HPV
related cancers in Kazakhstan is not very clear. One study reported adecline of
laryngeal cancer observed during the recent years, whereas cervical cancer
incidence has increased to about3000 new cervical cancer cases, and about 1,000
cervical cancer deaths each year. Conclusion: The high incidenceof cervical
cancer with a significant mortality rate in Kazakhstan is evidence of HPV
infection abundance despite anabsence of HPV screening and low public awareness
of the problem. Having a well-informed understanding of the roleof HPV infection
could enhance the public's acceptance of screening and intervention programs to
reduce morbidityand mortality in the country due to HPV infection. Thus, the
purpose of this review article is to summarize the existingdata, identifying
directions for future research on HPV epidemiology and HPV-related diseases in
Kazakhstan.
PMID- 29801398
TI - Clinical Response to CHOP vs. R-CHOP in Adult Patients with Diffuse Large B-Cell
Lymphomas
AB - Purpose: The purpose of this study was to address the question of "superiority of
R-CHOP versus CHOP", withaddition of Rituximab to CHOP, regarding survival of
patients suffering from DLBCL. Patients and methods: A cohortretrospective design
was used to conduct this study in a tertiary care hospital. A total of 100
patients (50 in each group)were randomly selected. The primary and secondary end
points were EFS, OS, PFS and DFS. Kaplan Meier survivalcurve analysis (log rank,
Breslow and Tarone ware tests) was employed to compare probability of survival
for the twogroups (CHOP/ R-CHOP). Results: The mean primary and secondary
clinical indicators were estimated for each group(EFS, 1.7; 3.09 with a p value
P=0.02), (OS, 0.60; 0.43 with a p value P=0.40), (PFS, 1.73; 3.57 with a p value
P=0.002),(DFS, 0.02; 0.48 with a p value of P=0.00). Conclusion:The results for
differences in clinical response were statisticallysignificant in favor of the R
CHOP group.
PMID- 29801399
TI - Significant Pattern of Promoter Hypermethylation of UNC5C Gene in Colorectal
Cancer and Its Implication in Late StageDisease
AB - Background:The development of Colorectal Cancer (CRC) is a complex multistep
process involving an accumulationof multiple genetic and epigenetic alterations.
Epigenetic modifications, particularly DNA methylation in selectedgene are
recognized as common molecular alterations in human tumors. Netrin-1 receptors
are aberrantly methylatedin primary colorectal cancer. Epigenetic alterations in
the netrin-1 receptors have been found to be related with themalignant potential
of CRC. Purpose: In the present study, we evaluated the role of promoter
hypermethylationof UNC5C gene (one of the netrin-1 receptors) in colorectal
cancer patients of Kashmiri population (North India).Hypermethylation in tumour
tissue was detected by Methylation- Specific Polymerase Chain Reaction (MS
PCR).Results: UNC5C promoter hypermethylation was significantly found to be
associated with colorectal cancer caseswhere frequency was 62% (31 of 50) and 38%
(19 of 50) patients were unmethylated (p<0.0001).UNC5C methylationwas
significantly higher in CRCs with a frequency of 62% than 10% in corresponding
normal mucosa of (p<0.0001).Further, UNC5C hypermethylation was found to be
significantly associated with stage-III/IV as compared to stage I/IIwith a
frequency of 75.8% and 42.8% respectively(p>0.05). Conclusion: We conclude that
UNC5C hypermethylationis implicated in CRC which plays a role in its
tumorigenesis and may predict the late stage disease.
PMID- 29801400
TI - Surgeons' Recommendation is the Factor in Determining the Breast Cancer Surgery
Procedures: an Experience from RuralHospital in Thailand
AB - Introduction: The majority of breast cancer patients in rural hospital in
developing countries still warrant for totalmastectomy. Surgeon advice regard
surgical procedure is one of the most important factors in decision making.
Ourstudy aims to compare the rate of breast-conserving surgery (BCS), mastectomy
(MT) and mastectomy with immediatebreast reconstruction (MTIBR) between the
surgeons who offer only MT (group A) and who offer BCS MT and MTIBR(group B).
Method: A retrospective cohort study was conducted at Ratchaburi hospital,
Thailand from January 2010to April 2014. We categorized patients into 2 groups
(group A and B). Univariated analysis was selected to determinethe factors that
associated with the breast surgery procedures. Results: From January 2010 to
April 2014, we recruited310 breast cancer patients, 221 patients (71.2%) were
treated by surgeons in group A, 89 patients (28.7%) by surgeonsin group B. The
choice of breast surgery is significantly different between 2 groups (P<0.001).
In group A, 213 (96.3%)patient had MT and only 3 (1.3%) BCS and 5 (2.2%) MTIBR.
Whilst in group B, 58 (65.1%) patient had MT and11 (12.3%) BCS and 20(22.4%)
MTIBR. Choice of breast surgery in patients with stage 1,2,3 are significantly
differentbetween 2 groups (P=0.004, <0.001, 0.025 respectively). Age is the only
factor that significantly affects the choiceof surgery in the group B but not in
group A. Conclusion: Surgeon's competency and comprehensive
preoperativeconsultation by offering BCS, MT and MTIBR can affect the choice of
surgical procedure for breast cancer patient.
PMID- 29801401
TI - Study Protocol: Prospective Study of Concurrent Chemoradiotherapy with S-1 and
Hypofractionated Radiotherapy for Outpatients with Early Glottic Squamous Cell
Carcinomas
AB - Background: The recommended treatment strategies for early glottic carcinoma with
intent of larynx preservation areprimarily radiotherapy. However, the outcomes of
radiotherapy for bulky T1 or T2 glottic carcinoma are unsatisfactory.We designed
a protocol consisting of concurrent chemoradiotherapy using S-1 as the
radiosensitizer. We have performedthis protocol in patients with favorable T2
lesions and demonstrated its efficacy and safety. In contrast, we havetreated non
bulky T1 glottic carcinomas with 2.25 Gy per fraction, for a total of 25-28
fractions, starting in 2011 toimprove efficacy and shorten the treatment period.
Since this treatment strategy was implemented for T1 disease, nolocal failure has
occurred to date, and it appears to be almost as safe as radiotherapy using 2.0
Gy per fraction. Withthe aim of improving the local control rate and shortening
the treatment period primarily for favorable T2 disease, wechanged the dose of
radiation in our protocol from 2.0 Gy to 2.25 Gy per fraction, for a total of 25
fractions (from 30fractions). The present study aims to evaluate the efficacy and
safety of this new protocol. Methods: This study willbe conducted as a clinical,
prospective, single-armed, non-randomized trial. Patients are to receive S-1
(55.3 mg /m2/day, once daily) and radiotherapy (2.25 Gy per fraction, for a total
of 25 fractions). S-1 and radiotherapy are startedon the same day that
radiotherapy is performed, 3-6 hours after oral administration of S-1. The
primary study aim isthe 3-year local control rate. The secondary study aims are
overall survival, voice-preservation survival, disease-freesurvival, complete
response rate, completion rate, and toxicity. Result and conclusion: This is the
first single-center,non-randomized, prospective study of concurrent
chemoradiotherapy with S-1 and hypofractionated radiotherapy tobe conducted. The
trial will evaluate the efficacy and safety of our protocol.
PMID- 29801402
TI - Peer Leaders and Phone Prompts: Implications in the Practice of Breast Care among
College Students
AB - Background: Compliance with breast self-examination (BSE) guidelines helps detect
breast cancer early whileincreasing physical activity decreases the risk of
having breast cancer. Finding ways to early initiate and maintain suchbehavior
among youth is therefore important. In this study we aimed to determine the
effects of two health promotioneducation interventions on knowledge and attitude
to breast care, as well as practice of BSE and physical activity byfemale college
students. Materials and Methods: A total of 999 students from ten colleges
underwent two kinds ofhealth education strategies for three months, namely the
traditional health education program (THEP) and tapping peerleaders using mobile
phone prompts (PPHEP). Results: Improvement in knowledge and attitude was shown
for bothgroups as compared to the control group. Both interventions equally
increased the practice of BSE and maintenance ofthe recommended level of physical
activity. Conclusion: There was an increase in the knowledge and positive
attitudeto breast care and practice of BSE with an improvement in the level of
exercise when THEP and PPHEP interventionswere used. Health education with peers
using mobile phone prompts offers an alternative and effective way of
promotingBSE and acceptable levels of exercise.
PMID- 29801403
TI - Lack of Impact of Race Alone on Cervical Cancer Survival in Brazil
AB - Objective: To analyze differences in survival between black and non-black women
diagnosed with cervical cancerand treated at the National Cancer Institute in
Brazil. Methods: This retrospective cohort study was conducted usingmedical
records of patients who were treated for cervical cancer between 2006 and 2009 at
the Brazilian National CancerInstitute - Rio de Janeiro - Brazil. The clinical
and epidemiological characteristics of black and non-black patients werecompared
using the chi-square test. Survival functions over five years were calculated
using the Kaplan-Meier estimatorand compared using the log-rank test.
Associations between race and mortality risk were analyzed using the
Coxproportional hazards model. P-values <0.05 were considered statistically
significant. Results: The study included 1,482women, of whom 188 (12.7%) were
black, 1,209 (81.6%) were non-black and 85 (5.7%) were of unspecified race.The
age at diagnosis of the patients ranged from 19 to 84 years (mean 50.1 years;
SD+/-13.2). Hemoglobin <12 g/dLat the time of diagnosis (p=0.008) and absence of
surgery as primary treatment (p = 0.005) were more frequentamong black women. Cox
analysis adjusted for these two factors showed no statistically significant
difference in themortality risk associated with cervical cancer among black and
non-black women (HR=1.1 95% CI 0.9-1.5; p=0.27).Conclusion: After adjusting for
hemoglobin levels and surgery, race alone was not shown to be a prognostic
factorfor patients with cervical cancer.
PMID- 29801404
TI - Association between Dietary Inflammatory Index (DII) and Risk of Breast Cancer: a
Case-Control Study
AB - Background: Breast cancer (BrCa) is the most common cancer among women worldwide
and is the secondleading cause of cancer-related death in women, in developed
countries. This cancer is among the top five mostcommon cancers in Iran. Studies
have shown that dietary components are implicated in the etiology of BrCa.
Theexistence of molecular connections between inflammation and BrCa has been
demonstrated via different bimolecularevents. Methods: We examined the ability of
the dietary inflammatory index (DIITM) to predict the risk of BrCa.This included
145 cases and 148 controls, who attended the specialized centers. DII scores were
computed basedon dietary intake assessed using a 168-item FFQ. Logistic
regression models were used to estimate multivariableORs. Results: Modeling DII
as a continuous variable in relation to risk of BrCa showed a positive
association afteradjustment for age and energy (OR=1.76; 95% CI=1.43-2.18); and
were nearly identical in the multivariable analyses(OR=1.80; 95% CI=1.42-2.28).
DII as tertiles, and adjusting for age and energy, subjects in tertile 3 had an
OR of 6.94(95% CI= 3.26-14.79; P-trend <=0.0001) in comparison to subjects in
tertile 1. After multivariable adjustment, resultswere essentially identical as
in the model adjusting for age and energy (OR tertile 3vs1=7.24; 95% CI=3.14
16.68;P-trend <=0.001). Sub group analyses revealed similar positive associations
with HER 2 receptor +ve, progesteronereceptor +ve, estrogen receptor +ve and
lymph node invasive cases. Conclusion: Subjects who consumed a morepro
inflammatory diet were at increased risk of BrCa compared to those who consumed a
more anti-inflammatory diet.
PMID- 29801405
TI - O6-Methyguanine-DNA Methyl Transferase (MGMT) Promoter Methylation in Serum DNA
of Iranian Patients withColorectal Cancer
AB - Introduction: Colorectal cancer (CRC) is a leading cause of cancer deaths
worldwide but current molecular targetedtherapy is not providing major success in
CRC treatment, so early detection by non-invasive methods continues tobe vital.
Aberrant methylation of CpG islands in promoter regions is associated with
inactivation of various tumorsuppressor genes. O6-methyguanine-DNA
methyltransferase (MGMT) is a DNA repair enzyme that removes mutagenicand
cytotoxic adducts from O6-guanine in DNA. Aberrant hypermethylation of the MGMT
promoter has beenassociated with lack of mRNA expression, with concomitant loss
of protein content and enzyme activity. AIM: Ouraim was to determine whether MGMT
promoter methylation might be detectable in circulating free DNA in the serumof
CRC patients and normal individuals using a methylation specific (MSP) polymerase
chain reaction (PCR) method.Methods: A total of 70 subjects were enrolled in the
study. Of these, 30 patients who were diagnosed previously asuntreated colon
adenocarcinoma by a gastroenterologist and the other 40 were nearly age-matched
individuals who hada normal colonoscopic evaluation (except for hemorrhoids or
fissures) and normal pathologic reports. After bisulphitemodification of DNA,
serum samples were examined for MGMT promoter methylation using MSP. Results:
Ninetypercent of CRC patients had MGMT promoter hypermethylation as compared to
no methylation in normal subjects'serum. Most of the cancers were stage P and
moderately differentiated adenocarcinomas; nearly 60% were found inthe left
colon. No statistically significant correlation was found between the promoter
methylation status and genderand age. Discussion and Conclusions: MGMT
hypermethylation can be detected in free circulating DNA in serum ofCRC patients
and can be used "as a clinical biomarker" for early diagnosis and prognostic
assessment of the disease.Our data confirm previous studies indicating utility
for free circulating DNA as a serum biomarker for early detection,diagnosis and
monitoring of CRC patients.
PMID- 29801406
TI - Impact of Double Expression of C-MYC/BCL2 Protein and Cell of Origin Subtypes on
the Outcome among Patients with Diffuse Large B-Cell Lymphoma: a Single Asian
Center Experience
AB - Background: Diffuse large B-cell lymphoma (DLBCL) with double expression of c-MYC
and BCL2 protein isassociated with dismal outcome after treatment with R-CHOP.
Local data on disease burden and survival outcome inDLBCL is limited. We
investigated the prognostic values of c-MYC/BCL2 protein co-expression and cell
of originsubtypes using immunohistochemistry (IHC) and to determine their
associations with multiethnic groups underresource limited setting. Methods: This
was a retrospective study which recruited 104 patients in between June 2012and
December 2015 for IHC review and analysis. Result: We demonstrated that patients
with high InternationalPrognostic Index (IPI) (score 3-5) and co-expression of c
MYC/BCL2 protein had significant inferior overall survival(OS) and event free
survival (EFS) respectively (P<0.05). c-MYC/BCL2 protein co-expression was more
common innon-germinal center B-cell (non-GCB) (P=0.048) and contributed to
adverse prognosis in this group of patients (OS,P=0.004; EFS, P=0.005). In
multivariate analysis, double-protein co-expression was a significant independent
predictorof inferior outcome after adjusted for IPI and cell of origin subtypes
(OS hazard ratio [HR], 2.11; 95% CI, 1.01 to 4.04;P=0.048; EFS HR, 2.31; 95% CI,
1.05 to 5.04; P=0.036). In addition, non-GCB subtype was more common than GCBin
Malays (60% vs 40%, P=0.106) and Chinese (81.2% vs 18.8%, P=0.042). Indians had
more DLBCL without c-MYC/BCL2 protein co-expression compared to double-protein
positive cases (66.7% vs 33.3%, P=0.414). Otherwise, theprognostic impact of
ethnicity on survival outcome was insignificant (P=0.961). Conclusion: c-MYC/BCL2
proteinco-expression in non-GCB subtype constituted a unique group with extremely
inferior outcome regardless of ethnicity.Gene expression profile (GEP) may
possibly provide insights into the cause of discrepancies in DLBCL subtypes
andprotein expression among the multiethnic groups.
PMID- 29801407
TI - Reversal of Multidrug Resistance in an Epirubicin-Resistant Gastric Cancer Cell
Subline
AB - Background: Gastric cancer is one of the most common malignancies worldwide.
Epirubicin (EPI) is usedextensively in the treatment of multiple cancers despite
its tendency to induce multidrug resistance though overexpressionof the ABCB1
efflux pump. However, this overexpression can be disrupted using short
interfering RNAs (siRNAs).Objective and Methods: The aim of this study was to
explore approaches to reverse EPI resistance and thus increasethe success of
chemotherapy treatment in an EPI-resistant gastric cancer cell subline (AGS/EPI).
Methods: The studyfocused on effects of ABCB1 knockdown by siRNA technology using
TaqMan gene expression assays with quantitativereal-time reverse-transcription
PCR (qRT-PCR). MTT assays were performed to evaluate viability and prolifer in
subline.ABCB1 protein localization and EPI intracellular fluorescence intensity
in AGS/EPI cells were detected by confocalmicroscopy. Results: The siRNA
efficiently downregulated ABCB1 mRNA in AGS/EPI cells. Thus MDR reversalwas
clearly demonstrated in the AGS/EPI cells, offering the possibility of future in
vitro chemoresistance assays forthe GC field. Conclusions: ABCB1 knockdown
decreased EPI efflux and increased EPI sensitivity in AGS/EPI cells.This result
provides a novel strategy for targeted gene therapy to reverse EPI resistance in
gastric cancer.
PMID- 29801408
TI - Curcumin for the Prevention of Epithelial-Mesenchymal Transition in Endoxifen
Treated MCF-7 Breast Cancer Cel
AB - Background: Curcumin was shown to reduce epithelial-mesenchymal transition (EMT)
markers in previous shortterm studies. This study was aimed to investigate the
potential of curcumin in the prevention of EMT activation inMCF-7 cells induced
by endoxifen. Methods: MCF-7 breast cancer cells were treated with Endoxifen 1000
nM+betaestradiol1 nM with or without curcumin (8.5MUM or 17 MUM). Cells treated
with dimethyl sulfoxide (DMSO) 0.001%were used as negative control. After 8 weeks
of continuous treatment, the cells were counted, analyzed for mRNAE-cadherin,
vimentin, TGF-beta expression, total reactive oxygen species (ROS) and observed
for morphological changesusing confocal microscope and transmission electron
microscope. Result: MCF-7 cell viability was increased inendoxifen + beta
estradiol group. Cell viability was significantly decreased in curcumin 17 MUM,
but not in curcumin8.5 MUM group. Analysis of EMT markers at week 8 indicates
that there were increase in vimentin and TGF-beta mRNAexpressions, while E
cadherin mRNA expressions and TGF-beta1 protein concentrations were shown to
decrease. Theresults showed that administration of curcumin in all the dose
administered were incapable improving the expressionsof vimentin, TGF-beta1 and E
cadherin. There was a decrease in ROS concentration in curcumin treated cells
(8.5 MUM)while in curcumin 17 MUM, ROS concentration was increased. Morphological
observation using confocal microscopeand TEM showed the presence of mesenchymal
cells and adherens junction. Conclusion: endoxifen treatments foreight weeks
resulted in upregulation of EMT markers and changes in morphology of MCF-7 breast
cancer cells. Theaddition of curcumin did not prevent the activation of EMT.
PMID- 29801409
TI - Implementation of Medication Safety Practice in Childhood Acute Lymphoblastic
Leukemia Treatment
AB - Objective: Medical Safety Practice (MSP) is a safe procedure in medication
process. It is important to investigatethe use of MSP among childhood cancer
patients because pediatric oncology is a high-risk area for potentially
harmfuladverse events. The purpose of this study is to determine the effects of
the implementation of MSP in chemotherapyon the incidence of medication errors in
childhood ALL patient at Dr. Sardjito Hospital, including in 1) transcribing,2)
administering, 3) monitoring, 4) the incidence of adverse drugs events. (ADEs).
Methods: The study design is aquasi-experimental study with pre- and post
intervention without control. The sample consists of ALL patients whoare taken
care of at an academic hospital in Indonesia from 2012 to 2013. The sample was
consecutively collectedduring the period of study. The data were collected
through medical records, research form, observation, and discussionwith the
nurse. The intervention given is training and implementation of medical safety
practice in chemotherapy.Result: Based on the analysis of the effect of the
implementation of MSP (75 and 106 medical records of pre- andpost-intervention),
it is obtained: 1) the adherence of chemotherapy transcribing post-intervention
increases significantlycompared to pre-intervention (p<0.05), 2) the adherence of
chemotherapy administering increases significantly inalmost every aspect
(p<0.05), except in preparing drugs by two different health worker, patient's
confirmation ofADEs management, and verification of drug's expired date, 3) The
adherence of chemotherapy monitoring improvedsignificantly post-intervention
(p<0.05), 4) Adverse Drug Events (ADE) decreased significantly post
intervention(p<0.05), from 52.1% to 30.5%. Conclusion: The implementation of MSP
decreased the incidence of medicationerrors in ALL patients at Dr. Sardjito
Hospital in ordering, dispensing, transcribing, administering, and
monitoringchemotherapy. It also reduced the incidence of ADEs related to
chemotherapy. Specific training for nurses are neededin order to improve the
knowledge and skills, especially for medication error and skill in patients'
care.
PMID- 29801410
TI - Radiotherapy Enhancement with Electroporation in Human Intestinal Colon Cancer HT
29 Cells
AB - Background: The efficiency of radiotherapy for tumors can be enhanced with
different radiosensitizers. Previousstudies have shown that electroporation (EP)
can sensitize some cancer cell lines to ionizing radiation (IR). HT-29is a
radiation resistant colorectal cancer cell line, representative of a cancer type
which is the second cause of cancermortalities in developed countries. The
present study aimed to evaluate radiosensitizing effects of EP on HT-29 cellsin
vitro exposed to 6 MV X-ray photon beams. Methods: HT-29 cells were exposed to a
6 MV X-ray photon beamas the control or to a combination of electroporation and
irradiation. The response of cells was evaluated by colonyformation assay and
survival curves. Results: The survival fraction of the HT-29 cells was
significantly decreased byelectroporation prior to radiotherapy. A single
electric pulse increased colorectal HT-29 cancer cell sensitivity to
megavoltageradiation by a factor of 1.36. Conclusion: Our findings showed that EP
before radiotherapy can significantlyenhance tumor cell sensitivity. This
combined treatment modality should be assessed for its applicability in clinic
settingsfor employment against radioresistant cancers. However, to facilitate
achieving this goal, many different tumors witha broad range of
radiosensitivities should be evaluated.
PMID- 29801411
TI - The Association between Molecular Subtypes of Breast Cancer with Histological
Grade and Lymph Node Metastases inIndonesian Woman
AB - Objective: Breast carcinoma is a heterogeneous disease which is rich in
diversity. Molecular subtypes of breastcancer, histological grade and lymph node
metastases are strong prognostic and predictive factors. In Indonesia,only a
limited number of studies have investigated the correlation between molecular
subtypes with histologicalgrade and lymph node metastases. Methods: We analyzed
247 invasive breast carcinoma cases from the AnatomicPathology Installation of
Dr. Sardjito General Hospital Yogyakarta between 2012-2015. The slides were
stained forestrogen receptors (ER), progesterone receptors (PR), HER2, Ki-67 and
CK5/6 for classification into breast cancersubtypes (BCS). Histological grade
using the Nottingham system and lymph node status were obtained from
anatomicpathology records. The association between histological grade and lymph
node status with BCS was examined withChi-square tests. Results: The
immunohistochemical features of 247 cases of women with invasive breast
carcinomawere examined. There were 102 (41.3%) patients with Luminal A, 34
(13.8%) patients with Luminal B, 48 (19.4%)patients with HER2-positive, and 63
(25.5%) patients with triple negative breast cancer (TNBC). There were 148(59.9%)
patients with negative lymph node status and 99 (40.1%) with positive status.
Among 63 TNBC cases, 37(58.7%) patients were positive for CK5/6 staining (basal
like). Statistically, there were significant differences betweenhistological
grade and subtypes (p=0.013). However, no significant differences were found for
lymph node metastases(p=0.540). Conclusion: Among subtypes, Luminal A has the
highest frequency, followed by TNBC, HER2-positiveand Luminal B. Histological
grade was associated with molecular subtypes of breast carcinoma in Yogyakarta.
GradeI was associated with Luminal A, while Grade III was associated with Luminal
B, HER2 and TNBC subtypes.
PMID- 29801412
TI - The Development of Quality of Life Questionnaire for Indonesian Breast Cancer
Patients: INA-BCHRQoL
AB - Introduction: The breast cancer related incidence and mortality rate in Indonesia
are included in the top 10 andtop 5 highest in the world. A country-specific
Health Related Quality of Life measurement tool is required to helpclinician
observe and improve the management of the disease. Methods: We developed the
questionnaire, namelyIndonesian Breast Cancer Health Related Quality of Life (INA
BCHRQoL) by incorporating not only the genericvariables such as physical,
psychological, and social; but also spiritual variable which is suitable for
Indonesianpopulation. The questionnaire was validated to the same population
using the value of corrected item-total correlationand the value of Cronbach
Alpha. Results: Fourty three questions were considerably valid and reliable on
evaluatingthe HRQoL of early state of breast cancer patients in Indonesia as the
value of Cronbach Alpha for physical, cognitive,social and spiritual domain were
higher than 0.8 and the corrected item-total correlation were also higher than
0.3.Each domain of the questionnaire was not influenced by the treatment options.
Twenty four early stage breast cancer(10 FAC based chemotherapy and 14 Taxan
based chemotherapy) were enrolled in the main study and the score ofHRQOL
obtained from INA-BCHRQoL were considerably high. Conclussion: The INA-BCHRQoL
questionnairecan be implemented as a valid and reliable tool to assess quality of
life in early stage breast cancer patients in Indonesia.
PMID- 29801413
TI - Transcriptomic Profiling Suggests That Promysalin Alters the Metabolic Flux,
Motility, and Iron Regulation in Pseudomonas putida KT2440.
AB - Promysalin, a secondary metabolite produced by P. putida RW10S1, is a narrow
spectrum antibiotic that targets P. aeruginosa over other Pseudomonas spp. P.
putida KT2440, a nonproducing strain, displays increased swarming motility and
decreased pyoverdine production in the presence of exogenous promysalin. Herein,
proteomic and transcriptomic experiments were used to provide insight about how
promysalin elicits responses in PPKT2440 and rationalize its species selectivity.
RNA-sequencing results suggest that promysalin affects PPKT2440 by (1) increasing
swarming in a flagella-independent manner; (2) causing cells to behave as if they
were experiencing an iron-deficient environment, and (3) shifting metabolism away
from glucose conversion to pyruvate via the Entner-Doudoroff pathway. These
findings highlight nature's ability to develop small molecules with specific
targets, resulting in exquisite selectivity.
PMID- 29801414
TI - Antibody-Binding, Antifouling Surface Coatings Based on Recombinant Expression of
Zwitterionic EK Peptides.
AB - Development of antifouling films which selectively capture or target proteins of
interest is essential for controlling interactions at the "bio/nano" interface.
However, in order to synthesize biofunctional films from synthetic polymers that
incorporate chemical "motifs" for surface immobilization, antifouling, and
oriented biomolecule attachment, multiple reaction steps need to be carried out
at the solid/liquid interface. EKx is a zwitterionic peptide that has previously
been shown to have excellent antifouling properties. In this study, we
recombinantly expressed EKx peptides and genetically encoded both surface
attachment and antibody-binding motifs, before characterizing the resultant
biopolymers by traditional methods. These peptides were then immobilized to
organosilica nanoparticles for binding IgG, and subsequently capturing dengue NS1
as a model antigen from serum-containing solution. We found that a mixed layer of
a short peptide (4.9 kDa) "backfilled" with a longer peptide terminated with an
IgG-binding Z-domain (18 kDa) demonstrated selective capture of dengue NS1
protein down to ~10 ng mL-1 in either PBS or 20% serum.
PMID- 29801415
TI - Fabrication of PAA-PETPTA Janus Microspheres with Respiratory Function for
Controlled Release of Guests with Different Sizes.
AB - Poly(acrylic acid)-poly(ethoxylated trimethylolpropane triacrylate) (PAA-PETPTA)
Janus microspheres with "respiratory" function for controlled release were
prepared by polymerization of acrylic acid-ethoxylated trimethylolpropane
triacrylate (AA-ETPTA) Janus microdroplets in a continuous oil phase in a simple
capillary-based microfluidic device with the assistance of UV radiation. The flow
rate ratios of AA and ETPTA phases and surfactant content in the continuous oil
phase have a significant effect on the structure of the Janus microspheres. PAA
part in the Janus microspheres has respiratory function for loading and release
due to the different stimuli responses to different pHs. The hollow structure of
PETPTA part with different sizes of opening serves as the host materials for PAA
and could control release rate further due to the different opening sizes. The
obtained PAA-PETPTA Janus microspheres showed high rhodamine B (RhB) loading of
860 mg g-1 and different controlled-release behavior in water with different pHs.
The release rate increases with the increase of pH and the contact area of PAA
part with water. The maximum controlled-release time for RhB was about 3 h in
water with pH of 5. In addition, the Janus microspheres also showed controlled
release behavior for larger size guests, e.g., 150 nm polystyrene beads, which
indicated a wide range of application. The loading and release behaviors for
guests, for instance, for RhB, have almost no change even after six times of
reuse, which indicated a high stability.
PMID- 29801416
TI - How repetition influences speech understanding by younger, middle-aged and older
adults.
AB - OBJECTIVE: To examine benefit from immediate repetition of a masked speech
message in younger, middle-aged and older adults. DESIGN: Participants listened
to sentences in conditions where only the target message was repeated, and when
both the target message and its accompanying masker (noise or speech) were
repeated. In a follow-up experiment, the effect of repetition was evaluated using
a square-wave modulated noise masker to compare benefit when listeners were
exposed to the same glimpses of the target message during first and second
presentation versus when the glimpses differed. STUDY SAMPLE: Younger, middle
aged and older adults (n = 16/group) for the main experiment; 15 younger adults
for the follow-up experiment. RESULTS: Repetition benefit was larger when the
target but not the masker was repeated for all groups. This was especially true
for older adults, suggesting that these individuals may be more negatively
affected when a background message is repeated. Data obtained using noise maskers
suggest that it is slightly more beneficial when listeners hear different (versus
identical) portions of speech between initial presentation and repetition.
CONCLUSIONS: Although subtle age-related differences were found in some
conditions, results confirm that repetition is an effective repair strategy for
listeners spanning the adult age range.
PMID- 29801417
TI - Postgraduate training in audiology improves clinicians' audiology-related cue
utilisation.
AB - OBJECTIVE: This study was designed to test whether cue utilisation might be
employed as a tool to assess the diagnostic skills of audiologists. The
utilisation of cues is a characteristic of expertise and critical for successful
diagnoses in clinical settings. However, neither in training nor in practice, is
there a means by which the diagnostic skills of audiologists can be assessed
objectively and reliably. DESIGN: The study comprised a pre-post training
evaluation, controlling for prior exposure to the diagnostic testing tool. STUDY
SAMPLE: Three cohorts of trainee audiologists were evaluated, one of which was
tested prior to, and following a two-year training programme (16 participants),
while the other two groups acted as controls (23 participants and 20
participants, respectively). RESULTS: Consistent with expectations, cue
utilisation increased from the initial to the final stages of training and this
effect could not be attributed to cohort nor learning effects. CONCLUSIONS: At an
applied level, the outcomes provide the basis for a cue-based diagnostic
assessment tool that can provide both trainee and practising audiologists with
detailed feedback concerning their diagnostic skills.
PMID- 29801418
TI - Rare-Variant Studies to Complement Genome-Wide Association Studies.
AB - Genome-wide association studies (GWASs) have revolutionized human disease
genetics by discovering tens of thousands of associations between common variants
and complex diseases. In parallel, huge technological advances in DNA sequencing
have made it possible to measure and analyze rare variation in populations. This
review considers these two stories and how they have come together. We first
review the history of GWASs and sequencing. We then consider how to understand
the biological mechanisms that drive signals of strong association in the absence
of rare-variant studies. We describe how rare-variant studies complement these
approaches and highlight both data generation and statistical challenges in their
interpretation. Finally, we consider how certain special study designs, such as
those for families and isolated populations, fit in this paradigm.
PMID- 29801420
TI - Consumption of Sugars, Sugary Foods, and Sugary Beverages in Relation to Cancer
Risk: A Systematic Review of Longitudinal Studies.
AB - High sugar intake may increase cancer risk by promoting insulin-glucose
dysregulation, oxidative stress, inflammation, and body adiposity, but
epidemiologic evidence is unclear. Associations between dietary sugars and
lifestyle-related cancer risk from longitudinal studies were evaluated. We
systematically searched PubMed, Embase, and CINAHL and identified 37 prospective
cohort studies (1990-2017) reporting multivariable adjusted risk estimates for
dietary sugars in relation to cancer. Of 15 and 14 studies on total sugar and
sucrose respectively, 11 reported a null association in relation to cancer. Of 14
studies on fructose, 8 reported null associations, and 2 reported protective and
4 reported detrimental associations. In two of five studies on added sugars, a 60
95% increased cancer risk was observed with higher intakes. In 8 of 15 studies on
sugary foods and beverages, a 23-200% higher cancer risk was observed with higher
sugary beverage consumption. In conclusion, most studies were indicative of a
null association, but suggestive detrimental associations were reported for added
sugars and sugary beverages.
PMID- 29801419
TI - Helminths of Dermatonotus muelleri (Anura: Microhylidae) from Northeastern
Brazil.
AB - The helminth fauna associated with Muller's termite frog, Dermatonotus muelleri,
from the southern region of Ceara State, Brazil, was studied. The species
richness was 6 helminth taxa, including cystacanths of Acanthocephala and 5
nematode species: Aplectana membranosa, Parapharyngodon silvoi, Raillietnema
spectans, larvae of Physaloptera sp., and an unidentified nematode. The overall
prevalence was 88.6%, with an average intensity of infection of 123.7 +/- 26.3.
The nematode Raillietnema spectans presented the highest prevalence and was the
most abundant ( d = 0.670). Host body size did not influence the intensity of
infection nor the richness of helminth species. This study increases the body of
knowledge about the diversity of helminth fauna associated with Dermatonotus
muelleri from northeastern Brazil, extending the record of hosts and the
geographic distribution of these helminth species.
PMID- 29801421
TI - An Overview of Attitudes Toward Genetically Engineered Food.
AB - Genetically engineered food has had its DNA, RNA, or proteins manipulated by
intentional human intervention. We provide an overview of the importance and
regulation of genetically engineered food and lay attitudes toward it. We first
discuss the pronaturalness context in the United States and Europe that preceded
the appearance of genetically engineered food. We then review the definition,
prevalence, and regulation of this type of food. Genetically engineered food is
widespread in some countries, but there is great controversy worldwide among
individuals, governments, and other institutions about the advisability of
growing and consuming it. In general, life scientists have a much more positive
view of genetically engineered food than laypeople. We examine the bases of lay
opposition to genetically engineered food and the evidence for how attitudes
change. Laypeople tend to see genetically engineered food as dangerous and
offering few benefits. We suggest that much of the lay opposition is morally
based. One possibility is that, in some contexts, people view nature and
naturalness as sacred and genetically engineered food as a violation of
naturalness. We also suggest that for many people these perceptions of
naturalness and attitudes toward genetically engineered food follow the
sympathetic magical law of contagion, in which even minimal contact between a
natural food and an unnatural entity, either a scientist or a piece of foreign
DNA, pollutes or contaminates the natural entity and renders it unacceptable or
even immoral to consume.
PMID- 29801423
TI - Review of biologic and behavioral risk factors linking depression and peripheral
artery disease.
AB - The incidence of depression has been rising rapidly, and depression has been
recognized as one of the world's leading causes of disability. More recently,
depression has been associated with an increased risk of symptomatic
atherosclerotic disease as well as worse perioperative outcomes in patients with
cardiovascular disease. Additionally, recent studies have demonstrated an
association between depression and peripheral artery disease (PAD), which has
been estimated to affect more than 200 million people worldwide. These studies
have identified that depression is associated with poor functional and surgical
outcomes in patients with PAD. Although the directionality and specific
mechanisms underlying this association have yet to be clearly defined, several
biologic and behavioral risk factors have been identified to play a role in this
relationship. These factors include tobacco use, physical inactivity, medical non
adherence, endothelial and coagulation dysfunction, and dysregulation of the
hypothalamic-pituitary-adrenal axis, autonomic system, and immune system. In this
article, we review these potential mechanisms and the current evidence linking
depression and PAD, as well as future directions for research and interventional
strategies. Understanding and elucidating this relationship may assist in
preventing the development of PAD and may improve the care that patients with PAD
and comorbid depression receive.
PMID- 29801424
TI - Illuminating exemplary professionalism using appreciative inquiry dialogues
between students and mentors.
AB - PURPOSE: To explore the types of exemplary professional behaviors and the
facilitators and barriers to professional behavior discussed by student-mentor
dyads during appreciative inquiry (AI) dialogs. MATERIALS AND METHODS: We
conducted a qualitative analysis of AI narratives discussing exemplary
professional practice written by third-year medical students following a dialog
with mentors. Narratives were thematically analyzed using directed content
analysis to explore the types of exemplary professional behaviors discussed and
the facilitators and barriers to professional practice. Narratives were coded
independently by two investigators; codes were finalized, themes were derived,
and a model on how exemplary professional behaviors are nurtured and reinforced
was developed. RESULTS: Themes addressed humanism toward others and excellence,
with altruism being an underlying implicit guiding principle behind professional
behavior. Humanism toward self was infrequently discussed as an aspect of
professionalism, but when discussed, was perceived to foster resilience.
Principle-based attitudes and emotional intelligence facilitated professional
behaviors. Programmatic scaffolds facilitated professional behavior and included
curricula on reflective practice, mentorship, promoting learner autonomy and
connectedness, and a safe environment. CONCLUSIONS: AI is an effective strategy
that can be used to stimulate learner reflection on professionalism, humanism,
and wellness and promote learner acknowledgement of positive aspects of the
learning environment.
PMID- 29801426
TI - Socially-driven persuasive health intervention design: Competition, social
comparison, and cooperation.
AB - Persuasive technologies are tools for motivating behaviour change using
persuasive strategies. socially-driven persuasive technologies employ three
common socially-oriented persuasive strategies in many health domains:
competition, social comparison, and cooperation. Research has shown the
possibilities for socially-driven persuasive interventions to backfire by
demotivating behaviour, but we lack knowledge about how the interventions could
motivate or demotivate behaviours. To close this gap, we studied 1898
participants, specifically Socially-oriented strategies and their comparative
effectiveness in socially-driven persuasive health interventions that motivate
healthy behaviour change. The results of a thematic analysis of 278 pages of
qualitative data reveal important strengths and weaknesses of the individual
socially-oriented strategies that could facilitate or hinder their effectiveness
at motivating behaviour change. These include their tendency to simplify
behaviours and make them fun, challenge people and make them accountable, give a
sense of accomplishment and their tendency to jeopardize user's privacy and
relationships, creates unnecessary tension, and reduce self-confidence and self
esteem, and provoke a health disorder and body shaming, respectively. We
contribute to the health informatics community by developing 15 design guidelines
for operationalizing the strategies in persuasive health intervention to amplify
their strengths and overcome their weaknesses.
PMID- 29801427
TI - Depression and long-term prognostic outcomes following peripheral endovascular
interventions in the VA Healthcare System.
AB - The association between depression and peripheral artery disease (PAD) outcomes
remains widely understudied. In patients with PAD undergoing a peripheral
vascular intervention (PVI) who have a recent diagnosis of depression, it is
unknown what their long-term outcomes are and what factors may mediate an adverse
risk. We therefore studied 797 consecutive patients undergoing PVI across 33
Veterans Affairs (VA) centers. Depression and outcomes were documented from
patients' medical records. Outcomes included: (1) all-cause death; (2) non-fatal
cardiovascular events (myocardial infarction, stroke); and (3) PAD-related events
(including repeat PVI or amputation). Cox proportional hazards frailty models
were constructed, adjusting for age. Additional covariates were selected if they
resulted in at least 5% change in the age-adjusted hazard ratio (HR) for
depression on outcomes. Overall, 265 (33%) patients had a diagnosis of
depression. After a median follow-up of 955 days (range 1-6.25 years), 52 (6.5%)
patients died, 30 (3.8%) experienced non-fatal cardiovascular events, and 176
(22.1%) had PAD-related events. Compared to patients without depression,
depressed patients had higher rates of non-fatal cardiovascular events (6.4% vs
2.4%, p-value 0.0055). No differences for the other outcomes were noted. Higher
risk for non-fatal cardiovascular events persisted after adjustment for age (HR
1.6, 95% CI 1.05-2.47). The only additional covariate that met our selection
criteria was hypertension. After adjusting for hypertension, the association
between depression and non-fatal cardiovascular outcomes attenuated (HR 1.53, 95%
CI 0.99-2.35). In conclusion, a diagnosis of depression in veterans undergoing
PVI was associated with increased risk of non-fatal cardiovascular events,
mediated by age and hypertension.
PMID- 29801422
TI - Delivering CRISPR: a review of the challenges and approaches.
AB - Gene therapy has long held promise to correct a variety of human diseases and
defects. Discovery of the Clustered Regularly-Interspaced Short Palindromic
Repeats (CRISPR), the mechanism of the CRISPR-based prokaryotic adaptive immune
system (CRISPR-associated system, Cas), and its repurposing into a potent gene
editing tool has revolutionized the field of molecular biology and generated
excitement for new and improved gene therapies. Additionally, the simplicity and
flexibility of the CRISPR/Cas9 site-specific nuclease system has led to its
widespread use in many biological research areas including development of model
cell lines, discovering mechanisms of disease, identifying disease targets,
development of transgene animals and plants, and transcriptional modulation. In
this review, we present the brief history and basic mechanisms of the CRISPR/Cas9
system and its predecessors (ZFNs and TALENs), lessons learned from past human
gene therapy efforts, and recent modifications of CRISPR/Cas9 to provide
functions beyond gene editing. We introduce several factors that influence
CRISPR/Cas9 efficacy which must be addressed before effective in vivo human gene
therapy can be realized. The focus then turns to the most difficult barrier to
potential in vivo use of CRISPR/Cas9, delivery. We detail the various cargos and
delivery vehicles reported for CRISPR/Cas9, including physical delivery methods
(e.g. microinjection; electroporation), viral delivery methods (e.g. adeno
associated virus (AAV); full-sized adenovirus and lentivirus), and non-viral
delivery methods (e.g. liposomes; polyplexes; gold particles), and discuss their
relative merits. We also examine several technologies that, while not currently
reported for CRISPR/Cas9 delivery, appear to have promise in this field. The
therapeutic potential of CRISPR/Cas9 is vast and will only increase as the
technology and its delivery improves.
PMID- 29801428
TI - Minimal residual disease in chronic lymphocytic leukemia: A consensus paper that
presents the clinical impact of the presently available laboratory approaches.
AB - Chronic lymphocytic leukemia (CLL) is a malignancy defined by the accumulation of
mature lymphocytes in the lymphoid tissues, bone marrow, and blood. Therapy for
CLL is guided according to the Rai and Binet staging systems. Nevertheless, state
of-the-art protocols in disease monitoring, diagnostics, and prognostics for CLL
are based on the assessment of minimal residual disease (MRD). MRD is
internationally considered to be the level of disease that can be detected by
sensitive techniques and represents incomplete treatment and a probability of
disease relapse. MRD detection has been continuously improved by the quick
development of both flow cytometry and molecular biology technology, as well as
by next-generation sequencing. Considering that MRD detection is moving more and
more from research to clinical practice, where it can be an independent
prognostic marker, in this paper, we present the methodologies by which MRD is
evaluated, from translational research to clinical practice.
PMID- 29801429
TI - Phylogeographic patterns of the desert poplar in Northwest China shaped by both
geology and climatic oscillations.
AB - BACKGROUND: The effects of historical geology and climatic events on the
evolution of plants around the Qinghai-Tibetan Plateau region have been at the
center of debate for years. To identify the influence of the uplift of the
Tianshan Mountains and/or climatic oscillations on the evolution of plants in
arid northwest China, we investigated the phylogeography of the Euphrates poplar
(Populus euphratica) using chloroplast DNA (cpDNA) sequences and nuclear
microsatellites, and estimated its historical distribution using Ecological Niche
Modeling (ENM). RESULTS: We found that the Euphrates poplar differed from another
desert poplar, P. pruinosa, in both nuclear and chloroplast DNA. The low clonal
diversity in both populations reflected the low regeneration rate by
seed/seedlings in many locations. Both cpDNA and nuclear markers demonstrated a
clear divergence between the Euphrates poplar populations from northern and
southern Xinjiang regions. The divergence time was estimated to be early
Pleistocene based on cpDNA, and late Pleistocene using an Approximate Bayesian
Computation analysis based on microsatellites. Estimated gene flow was low
between these two regions, and the limited gene flow occurred mainly via
dispersal from eastern regions. ENM analysis supported a wider distribution of
the Euphrates poplar at 3 Ma, but a more constricted distribution during both the
glacial period and the interglacial period. CONCLUSIONS: These results indicate
that the deformation of the Tianshan Mountains has impeded gene flow of the
Euphrates poplar populations from northern and southern Xinjiang, and the
distribution constriction due to climatic oscillations further accelerated the
divergence of populations from these regions. To protect the desert poplars, more
effort is needed to encourage seed germination and seedling establishment, and to
conserve endemic gene resources in the northern Xinjiang region.
PMID- 29801430
TI - Flatfish monophyly refereed by the relationship of Psettodes in
Carangimorphariae.
AB - BACKGROUND: The monophyly of flatfishes has not been supported in many molecular
phylogenetic studies. The monophyly of Pleuronectoidei, which comprises all but
one family of flatfishes, is broadly supported. However, the Psettodoidei,
comprising the single family Psettodidae, is often found to be most closely
related to other carangimorphs based on substantial sequencing efforts and
diversely analytical methods. In this study, we examined why this particular
result is often obtained. RESULTS: The mitogenomes of five flatfishes were
determined. Select mitogenomes of representative carangimorph species were
further employed for phylogenetic and molecular clock analyses. Our phylogenetic
results do not fully support Psettodes as a sister group to pleuronectoids or
other carangimorphs. And results also supported the evidence of long-branch
attraction between Psettodes and the adjacent clades. Two chronograms, derived
from Bayesian relaxed-clock methods, suggest that over a short period in the
early Paleocene, a series of important evolutionary events occurred in
carangimorphs. CONCLUSION: Based on insights provided by the molecular clock, we
propose the following evolutionary explanation for the difficulty in determining
the phylogenetic position of Psettodes: The initial diversification of Psettodes
was very close in time to the initial diversification of carangimorphs, and the
primary diversification time of pleuronectoids, the other suborder of flatfishes,
occurred later than that of some percomorph taxa. Additionally, the clade of
Psettodes is long and naked branch, which supports the uncertainty of its
phylogenetic placement. Finally, we confirmed the monophyly of flatfishes, which
was accepted by most ichthyologists.
PMID- 29801431
TI - Identification of recombination events in outbred species with next-generation
sequencing data.
AB - BACKGROUND: Meiotic recombination events include crossovers and non-crossovers or
gene conversions. Although the rate of crossovers is often used for genetic
mapping, the gene conversion events are not well studied especially in outbred
species, which could produce distorted markers and thus affect the precision of
genetic maps. RESULTS: We proposed a strategy for identifying gene conversion
events in Populus with the next-generation sequencing (NGS) data from the two
parents and their progeny in an F1 hybrid population. The strategy first involved
phasing the heterozygous SNPs of the parents to obtain the parental haplotype
blocks by NGS analytical tools, permitting to identify the parental gene
conversion events with progeny genotypes. By incorporating available genetic
linkage maps, longer haplotype blocks each corresponding to a chromosome can be
created, not only allowing to detect crossover events but also possibly to locate
a crossover in a small region. Our analysis revealed that gene conversions are
more abundant than crossovers in Populus, with a higher probability to generate
distorted markers in the regions involved than in the other regions on genome.
The analytical procedures were implemented with Perl scripts as a freely
available package, findGCO at https://github.com/tongchf/findGCO . CONCLUSIONS:
The novel strategy and the new developed Perl package permit to identify gene
conversion events with the next-generation sequencing technology in a hybrid
population of outbred species. The new method revealed that in a genetic mapping
population some distorted genetic markers are possibly due to the gene conversion
events.
PMID- 29801432
TI - A qualitatively validated mathematical-computational model of the immune response
to the yellow fever vaccine.
AB - BACKGROUND: Although a safe and effective yellow fever vaccine was developed more
than 80 years ago, several issues regarding its use remain unclear. For example,
what is the minimum dose that can provide immunity against the disease? A useful
tool that can help researchers answer this and other related questions is a
computational simulator that implements a mathematical model describing the human
immune response to vaccination against yellow fever. METHODS: This work uses a
system of ten ordinary differential equations to represent a few important
populations in the response process generated by the body after vaccination. The
main populations include viruses, APCs, CD8+ T cells, short-lived and long-lived
plasma cells, B cells and antibodies. RESULTS: In order to qualitatively validate
our model, four experiments were carried out, and their computational results
were compared to experimental data obtained from the literature. The four
experiments were: a) simulation of a scenario in which an individual was
vaccinated against yellow fever for the first time; b) simulation of a booster
dose ten years after the first dose; c) simulation of the immune response to the
yellow fever vaccine in individuals with different levels of naive CD8+ T cells;
and d) simulation of the immune response to distinct doses of the yellow fever
vaccine. CONCLUSIONS: This work shows that the simulator was able to
qualitatively reproduce some of the experimental results reported in the
literature, such as the amount of antibodies and viremia throughout time, as well
as to reproduce other behaviors of the immune response reported in the
literature, such as those that occur after a booster dose of the vaccine.
PMID- 29801433
TI - polyClustR: defining communities of reconciled cancer subtypes with biological
and prognostic significance.
AB - BACKGROUND: To ensure cancer patients are stratified towards treatments that are
optimally beneficial, it is a priority to define robust molecular subtypes using
clustering methods applied to high-dimensional biological data. If each of these
methods produces different numbers of clusters for the same data, it is difficult
to achieve an optimal solution. Here, we introduce "polyClustR", a tool that
reconciles clusters identified by different methods into subtype "communities"
using a hypergeometric test or a measure of relative proportion of common
samples. RESULTS: The polyClustR pipeline was initially tested using a breast
cancer dataset to demonstrate how results are compatible with and add to the
understanding of this well-characterised cancer. Two uveal melanoma datasets were
then utilised to identify and validate novel subtype communities with significant
metastasis-free prognostic differences and associations with known chromosomal
aberrations. CONCLUSION: We demonstrate the value of the polyClustR approach of
applying multiple consensus clustering algorithms and systematically reconciling
the results in identifying novel subtype communities of two cancer types, which
nevertheless are compatible with established understanding of these diseases. An
R implementation of the pipeline is available at:
https://github.com/syspremed/polyClustR.
PMID- 29801434
TI - A Comprehensive Approach to Sequence-oriented IsomiR annotation (CASMIR):
demonstration with IsomiR profiling in colorectal neoplasia.
AB - BACKGROUND: MicroRNA (miRNA) profiling is an important step in studying
biological associations and identifying marker candidates. miRNA exists in
isoforms, called isomiRs, which may exhibit distinct properties. With
conventional profiling methods, limitations in assay and analysis platforms may
compromise isomiR interrogation. RESULTS: We introduce a comprehensive approach
to sequence-oriented isomiR annotation (CASMIR) to allow unbiased identification
of global isomiRs from small RNA sequencing data. In this approach, small RNA
reads are maintained as independent sequences instead of being summarized under
miRNA names. IsomiR features are identified through step-wise local alignment
against canonical forms and precursor sequences. Through customizing the
reference database, CASMIR is applicable to isomiR annotation across species. To
demonstrate its application, we investigated isomiR profiles in normal and
neoplastic human colorectal epithelia. We also ran miRDeep2, a popular miRNA
analysis algorithm to validate isomiRs annotated by CASMIR. With CASMIR, specific
and biologically relevant isomiR patterns could be identified. We note that
specific isomiRs are often more abundant than their canonical forms. We identify
isomiRs that are commonly up-regulated in both colorectal cancer and advanced
adenoma, and illustrate advantages in targeting isomiRs as potential biomarkers
over canonical forms. CONCLUSIONS: Studying miRNAs at the isomiR level could
reveal new insight into miRNA biology and inform assay design for specific
isomiRs. CASMIR facilitates comprehensive annotation of isomiR features in small
RNA sequencing data for isomiR profiling and differential expression analysis.
PMID- 29801435
TI - Low incidence of SNVs and indels in trio genomes of Cas9-mediated multiplex
edited sheep.
AB - BACKGROUND: The simplicity of the CRISPR/Cas9 system has enabled its widespread
applications in generating animal models, functional genomic screening and in
treating genetic and infectious diseases. However, unintended mutations produced
by off-target CRISPR/Cas9 nuclease activity may lead to negative consequences.
Especially, a very recent study found that gene editing can introduce hundreds of
unintended mutations into the genome, and have attracted wide attention. RESULTS:
To address the off-target concerns, urgent characterization of the CRISPR/Cas9
mediated off-target mutagenesis is highly anticipated. Here we took advantage of
our previously generated gene-edited sheep and performed family trio-based whole
genome sequencing which is capable of discriminating variants in the edited
progenies that are inherited, naturally generated, or induced by genetic
modification. Three family trios were re-sequenced at a high average depth of
genomic coverage (~ 25.8*). After developing a pipeline to comprehensively
analyze the sequence data for de novo single nucleotide variants, indels and
structural variations from the genome; we only found a single unintended event in
the form of a 2.4 kb inversion induced by site-specific double-strand breaks
between two sgRNA targeting sites at the MSTN locus with a low incidence.
CONCLUSIONS: We provide the first report on the fidelity of CRISPR-based
modification for sheep genomes targeted simultaneously for gene breaks at three
coding sequence locations. The trio-based sequencing approach revealed almost
negligible off-target modifications, providing timely evidences of the safe
application of genome editing in vivo with CRISPR/Cas9.
PMID- 29801436
TI - Modeling trophic dependencies and exchanges among insects' bacterial symbionts in
a host-simulated environment.
AB - BACKGROUND: Individual organisms are linked to their communities and ecosystems
via metabolic activities. Metabolic exchanges and co-dependencies have long been
suggested to have a pivotal role in determining community structure. In phloem
feeding insects such metabolic interactions with bacteria enable complementation
of their deprived nutrition. The phloem-feeding whitefly Bemisia tabaci
(Hemiptera: Aleyrodidae) harbors an obligatory symbiotic bacterium, as well as
varying combinations of facultative symbionts. This well-defined bacterial
community in B. tabaci serves here as a case study for a comprehensive and
systematic survey of metabolic interactions within the bacterial community and
their associations with documented occurrences of bacterial combinations. We
first reconstructed the metabolic networks of five common B. tabaci symbionts
genera (Portiera, Rickettsia, Hamiltonella, Cardinium and Wolbachia), and then
used network analysis approaches to predict: (1) species-specific metabolic
capacities in a simulated bacteriocyte-like environment; (2) metabolic capacities
of the corresponding species' combinations, and (3) dependencies of each species
on different media components. RESULTS: The predictions for metabolic capacities
of the symbionts in the host environment were in general agreement with
previously reported genome analyses, each focused on the single-species level.
The analysis suggests several previously un-reported routes for complementary
interactions and estimated the dependency of each symbiont in specific host
metabolites. No clear association was detected between metabolic co-dependencies
and co-occurrence patterns. CONCLUSIONS: The analysis generated predictions for
testable hypotheses of metabolic exchanges and co-dependencies in bacterial
communities and by crossing them with co-occurrence profiles, contextualized
interaction patterns into a wider ecological perspective.
PMID- 29801437
TI - Characterization of microRNA and mRNA expression profiles in skin tissue between
early-feathering and late-feathering chickens.
AB - BACKGROUND: Early feathering and late feathering in chickens are sex-linked
phenotypes, which have commercial application in the poultry industry for sexing
chicks at hatch and have important impacts on performance traits. However, the
genetic mechanism controlling feather development and feathering patterns is
unclear. Here, miRNA and mRNA expression profiles in chicken wing skin tissues
were analysed through high-throughput transcriptomic sequencing, aiming to
understand the biological process of follicle development and the formation of
different feathering phenotypes. RESULTS: Compared to the N1 group with no
primary feathers extending out, 2893 genes and 31 miRNAs displayed significantly
different expression in the F1 group with primary feathers longer than primary
covert feathers, and 1802 genes and 11 miRNAs in the L2 group displayed primary
feathers shorter than primary-covert feathers. Only 201 altered genes and 3
altered miRNAs were identified between the N1 and L2 groups (fold change > 2, q
value < 0.01). Both sequencing and qPCR tests revealed that PRLR was
significantly decreased in the F1 and L2 groups compared to the N1 group, whereas
SPEF2 was significantly decreased in the F1 group compared to the N1 or L2 group.
Functional analysis revealed that the altered genes or targets of altered miRNAs
were involved in multiple biological processes and pathways related to feather
growth and development, such as the Wnt signalling pathway, the TGF-beta
signalling pathway, the MAPK signalling pathway, epithelial cell differentiation,
and limb development. Integrated analysis of miRNA and mRNA showed that 14 pairs
of miRNA-mRNA negatively interacted in the process of feather formation.
CONCLUSIONS: Transcriptomic sequencing of wing skin tissues revealed large
changes in F1 vs. N1 and L2 vs. N1, but few changes in F1 vs. L2 for both miRNA
and mRNA expression. PRLR might only contribute to follicle development, while
SPEF2 was highly related to the growth rate of primary feathers or primary-covert
feathers and could be responsible for early and late feather formation.
Interactions between miR-1574-5p/NR2F, miR-365-5p/JAK3 and miR-365-5p/CDK6 played
important roles in hair or feather formation. In all, our results provide novel
evidence to understand the molecular regulation of follicle development and
feathering phenotype.
PMID- 29801438
TI - Heat-stress-modulated induction of NF-kappaB leads to brucellacidal pro
inflammatory defense against Brucella abortus infection in murine macrophages and
in a mouse model.
AB - BACKGROUND: Brucella causes a chronic and debilitating infection that leads to
great economic losses and a public health burden. In this study, we demonstrated
the brucellacidal effect of heat shock mediated by the induction of pro
inflammatory cytokines, reactive oxygen species (ROS) accumulation and apoptosis
in murine macrophages and in mice. RESULTS: RAW264.7 cells were incubated at 43
degrees C, and BALB/c mice were subjected to whole body hyperthermia. The data
showed a reduction in bacterial survival in the mice after daily heat exposure.
This was accompanied by increased levels of cytokines TNF, IL-6, IL-1beta and IFN
gamma in the sera of the mice. Gene expression of NF-kappaB and inducible nitric
oxide production were also induced in the mouse splenic cells. In parallel with
the bacterial reduction in the mouse model, an increased bactericidal effect was
observed in RAW264.7 cells after exposure to heat stress. In addition, the heat
stress increased both the nuclear translocation of NF-kappaB and the expression
of the heat shock proteins HSP70 and HSP90 in murine macrophages. Furthermore,
heat exposure induced the increase of pro-inflammatory cytokines, ROS
accumulation and apoptosis but did not affect the production of nitric oxide (NO)
in macrophages. CONCLUSION: This study demonstrated the induction of innate
immune responses by heat stress that significantly reduced the intracellular
survival of B. abortus in vitro and in vivo. Transcriptional factor NF-kappaB,
which is a master regulator, could be termed a key activator of heat-induced
immunity against Brucella. The increase in the expression and activation of NF
kappaB in splenic cells and macrophages was followed by enhanced antimicrobial
effectors, including cytokines, ROS and NO that may contribute to the reduction
of bacterial survival.
PMID- 29801439
TI - QTLTableMiner++: semantic mining of QTL tables in scientific articles.
AB - BACKGROUND: A quantitative trait locus (QTL) is a genomic region that correlates
with a phenotype. Most of the experimental information about QTL mapping studies
is described in tables of scientific publications. Traditional text mining
techniques aim to extract information from unstructured text rather than from
tables. We present QTLTableMiner++ (QTM), a table mining tool that extracts and
semantically annotates QTL information buried in (heterogeneous) tables of plant
science literature. QTM is a command line tool written in the Java programming
language. This tool takes scientific articles from the Europe PMC repository as
input, extracts QTL tables using keyword matching and ontology-based concept
identification. The tables are further normalized using rules derived from table
properties such as captions, column headers and table footers. Furthermore, table
columns are classified into three categories namely column descriptors,
properties and values based on column headers and data types of cell entries.
Abbreviations found in the tables are expanded using the Schwartz and Hearst
algorithm. Finally, the content of QTL tables is semantically enriched with
domain-specific ontologies (e.g. Crop Ontology, Plant Ontology and Trait
Ontology) using the Apache Solr search platform and the results are stored in a
relational database and a text file. RESULTS: The performance of the QTM tool was
assessed by precision and recall based on the information retrieved from two
manually annotated corpora of open access articles, i.e. QTL mapping studies in
tomato (Solanum lycopersicum) and in potato (S. tuberosum). In summary, QTM
detected QTL statements in tomato with 74.53% precision and 92.56% recall and in
potato with 82.82% precision and 98.94% recall. CONCLUSION: QTM is a unique tool
that aids in providing QTL information in machine-readable and semantically
interoperable formats.
PMID- 29801440
TI - A national estimate of the birth prevalence of congenital anomalies in India:
systematic review and meta-analysis.
AB - BACKGROUND: A quarter of all global neonatal deaths occur in India. Congenital
anomalies constitute the fifth largest cause of neonatal mortality in the
country, but national estimates of the prevalence of these conditions are
lacking. The objective of the study was to derive an estimate of the birth
prevalence of congenital anomalies in India. METHODS: The search was carried out
in PubMed and pooled prevalence was estimated using the inverse variance method.
A random effects model was used due to high heterogeneity between the studies.
Forest plots were generated using the Review Manager software. RESULTS: The
PubMed search identified 878 articles from which 52 hospital based and three
community based studies were included in the meta-analysis. The pooled prevalence
of congenital anomaly affected births was 184.48 per 10,000 births (95% CI 164.74
204.21) among 802,658 births. Anomalies of the musculoskeletal system were
highest among live births while the prevalence of central nervous system defects
was highest when stillbirths were included in the analysis. Anencephaly and
talipes were the most commonly reported anomalies. CONCLUSIONS: Data from this
meta-analysis suggests that there may be as many as 472,177 (421,652 to 522,676)
congenital anomaly affected births in India each year. Population based studies
using standard definitions are needed to validate these estimates. The two most
frequently reported anomalies were anencephaly that is potentially preventable
through preconception folate supplementation, and talipes which can be corrected
using relatively low cost interventions. Studies are needed to determine the
impact of congenital anomalies on neonatal mortality in India.
PMID- 29801441
TI - Experiences of parenting and clinical intervention for mothers affected by
personality disorder: a pilot qualitative study combining parent and clinician
perspectives.
AB - BACKGROUND: Evidence-based parenting programmes are recommended for the treatment
of child mental health difficulties. Families with complex psychosocial needs
show poorer retention and outcomes when participating in standard parenting
programmes. The Helping Families Programme (HFP) is a 16-week community-based
parenting intervention designed to meet the needs of these families, including
families with parental personality disorder. This study aimed to explore the help
seeking and participatory experiences of parents with a diagnosis of personality
disorder. It further aimed to examine the acceptability of referral and
intervention processes for the HFP from the perspectives of (i) clinicians
referring into the programme; and (ii) referred parents. METHOD: Semi-structured
interviews were conducted with parents recruited to receive HFP (n = 5) as part
of a research case series and the referring NHS child and adolescent mental
health service (CAMHS) clinicians (n = 5). Transcripts were analysed using
Interpretive Phenomenological Analysis. RESULTS: Four themes were identified for
parents: (i) the experience of parenthood, (ii) being a parent affected by
personality disorder, (iii) experience of the intervention, and (iv) qualities of
helping. Three themes emerged for clinicians: (i) challenges of addressing
parental need, (ii) experience of engaging parents with personality disorders and
(iii) limited involvement during HFP. Comparison of parent and clinician themes
led to the identification of two key interlinked themes: (i) concerns prior to
receiving the intervention, and (ii) the challenges of working together without a
mutual understanding. CONCLUSIONS: This pilot study identifies potentially
significant challenges of working with parents affected by personality disorder
and engaging them in HFP and other similar interventions. Results have important
wider clinical implications by highlighting potential barriers to engagement and
participation and providing insights on how these barriers might be overcome.
Findings have been used to inform the referral and intervention processes of a
pilot RCT and further intervention development.
PMID- 29801442
TI - The relationship between addiction to smartphone usage and depression among
adults: a cross sectional study.
AB - BACKGROUND: Addiction to smartphone usage is a common worldwide problem among
adults, which might negatively affect their wellbeing. This study investigated
the prevalence and factors associated with smartphone addiction and depression
among a Middle Eastern population. METHODS: This cross-sectional study was
conducted in 2017 using a web-based questionnaire distributed via social media.
Responses to the Smartphone Addiction Scale - Short version (10-items) were rated
on a 6-point Likert scale, and their percentage mean score (PMS) was commuted.
Responses to Beck's Depression Inventory (20-items) were summated (range 0-60);
their mean score (MS) was commuted and categorized. Higher scores indicated
higher levels of addiction and depression. Factors associated with these outcomes
were identified using descriptive and regression analyses. Statistical
significance was set at P < 0.05. RESULTS: Complete questionnaires were 935/1120
(83.5%), of which 619 (66.2%) were females and 316 (33.8%) were males. The mean
+/- standard deviation of their age was 31.7 +/- 11 years. Majority of
participants obtained university education 766 (81.9%), while 169 (18.1%) had
school education. The PMS of addiction was 50.2 +/- 20.3, and MS of depression
was 13.6 +/- 10.0. A significant positive linear relationship was present between
smart phone addiction and depression (y = 39.2 + 0.8*; P < 0.001). Significantly
higher smartphone addiction scores were associated with younger age users, (beta
= - 0.203, adj. P = 0.004). Factors associated with higher depression scores were
school educated users (beta = - 2.03, adj. P = 0.01) compared to the university
educated group and users with higher smart phone addiction scores (beta =0.194,
adj. P < 0.001). CONCLUSIONS: The positive correlation between smartphone
addiction and depression is alarming. Reasonable usage of smart phones is
advised, especially among younger adults and less educated users who could be at
higher risk of depression.
PMID- 29801443
TI - Anorexia nervosa-associated pancytopenia mimicking idiopathic aplastic anemia: a
case report.
AB - BACKGROUND: Patients with anorexia nervosa (AN) often present with pancytopenia.
In most cases described in the literature, AN with pancytopenia demonstrates
gelatinous marrow transformation (GMT), which is a typical bone marrow feature of
malnutrition. Differentiation of AN-associated pancytopenia from other types of
pancytopenia, especially idiopathic aplastic anemia (IAA), has not been studied.
We encountered a case of pancytopenia in a patient with AN and relatively poor
nutritional status, whose hematological findings mimicked those of IAA,
specifically fatty bone marrow and absence of GMT. CASE PRESENTATION: The patient
was a 32-year-old woman with poorly controlled AN. At 31 years of age, her body
mass index (BMI) had fallen from 17.0 kg/m2 to below 13.8 kg/m2. The patient
presented with ongoing fatigue and thus was examined by a hematologist.
Hematological findings were consistent with IAA: peripheral blood tests revealed
pancytopenia, whereas the bone marrow displayed fatty replacement without GMT.
Despite the absence of bone marrow features typically seen in malnutrition, the
patient's hematological abnormalities had manifested after a decrease in body
weight. Thus, although the bone marrow findings indicated IAA, we considered that
the nutritional etiology of pancytopenia could not be thoroughly ruled out. Using
nutritional therapy alone, the hematological abnormalities improved as BMI
increased to 16.5 kg/m2. The final diagnosis was pancytopenia secondary to
malnutrition because pancytopenia and fatty bone marrow improved after
implementation of nutritional therapy alone. CONCLUSIONS: The present case is the
first documented case of AN with pancytopenia for which bone marrow examination
confirmed fatty marrow without any evidence of GMT. IAA and pancytopenia
secondary to malnutrition can present the same clinical findings. This case is
significant because it suggests a need to differentiate between malnutrition and
IAA.
PMID- 29801444
TI - Study protocol of a multicenter randomized controlled trial of mindfulness
training to reduce burnout and promote quality of life in police officers: the
POLICE study.
AB - BACKGROUND: Police officers experience a high degree of chronic stress. Policing
ranks among the highest professions in terms of disease and accident rates.
Mental health is particularly impacted, evidenced by elevated rates of burnout,
anxiety and depression, and poorer quality of life than the general public.
Mindfulness training has been shown to reduce stress, anxiety, burnout and
promote quality of life in a variety of settings, although its efficacy in this
context has yet to be systematically evaluated. Therefore, this trial will
investigate the efficacy of a mindfulness-based intervention versus a waitlist
control in improving quality of life and reducing negative mental health symptoms
in police officers. METHODS: This multicenter randomized controlled trial has
three assessment points: baseline, post-intervention, and six-month follow-up.
Active police officers (n = 160) will be randomized to Mindfulness-Based Health
Promotion (MBHP) or waitlist control group at two Brazilian major cities: Porto
Alegre and Sao Paulo. The primary outcomes are burnout symptoms and quality of
life. Consistent with the MBHP conceptual model, assessed secondary outcomes
include perceived stress, anxiety and depression symptoms, and the potential
mechanisms of resilience, mindfulness, decentering, self-compassion,
spirituality, and religiosity. DISCUSSION: Findings from this study will inform
and guide future research, practice, and policy regarding police offer health and
quality of life in Brazil and globally. TRIAL REGISTRATION: ClinicalTrials.gov
NCT03114605 . Retrospectively registered on March 21, 2017.
PMID- 29801445
TI - Differential mitochondrial DNA copy number in three mood states of bipolar
disorder.
AB - BACKGROUND: Accumulating evidences indicated that mitochondrial abnormalities
were associated with bipolar disorder. As a sensitive index of mitochondrial
function and biogenesis, Mitochondrial DNA copy number (mtDNAcn) may be involved
in the pathophysiology of bipolar disorder. METHODS: Leukocyte relative mtDNAcn
was measured by quantitative polymerase chain reaction in subjects with BD (n =
131) in manic, depressive, and euthymic symptoms. Thirty-four healthy individuals
were used as comparison control. BD clinical symptomatology was evaluated by
Young Mania Rating Scale (YMRS), Hamilton Depression Scale (HAM-D), Clinical
Global Impression-Bipolar Disorder-Severity of Illness Scale (CGI-BD-S), and the
Positive and Negative Syndrome Scale (PANSS). RESULTS: Compared to healthy
controls, BD patients with manic and depressive symptoms presented significantly
decreased mtDNAcn levels (p-value = 0.009 and 0.041, respectively). No
significant differences were detected in mtDNAcn between euthymic patients and
healthy controls. The mtDNAcn was negatively correlated with the number of
relapses in manic patients (beta = - 0.341, p = 0.044). CONCLUSIONS: Our study
described the first evidence of (1) a significant decline of mtDNAcn in manic BD
patients, (2) a similar decreased level of mtDNAcn between manic and depressed BD
patients, (3) a negative correlation of mtDNAcn with number of relapses in
patients suffering from manic states. Alterations of mtDNAcn in manic and
depressed patients, which may reflect disturbances of energy metabolism,
supported the role of mitochondrial abnormalities in the pathophysiology of BD.
PMID- 29801446
TI - The protocol of a population-based prospective cohort study in southwest of Iran
to analyze common non-communicable diseases: Shahrekord cohort study.
AB - BACKGROUND: Prospective cohort studies are considered ideal choices to study
multiple outcomes and risk factors for Non-communicable diseases (NCDs). Our aim
is to set-up the protocol and analyze risk factors, incidence rates, prevalence,
trends, and the models of environmental and genetic determinants of NCDs and
their outcomes as well as interaction among such determinants. METHODS:
Shahrekord cohort study (SCS) that is a population-based prospective, study on a
cohort consisting of people aged 35-70 years started in November 2015 in Iran.
The sample size of the original cohort is at least 10,000 people. Annual follow
ups (200,000 person-year) of the cohort were designed to be conducted up to 2036.
Exposures (a detailed demographic, socioeconomic, general health, quality of
life, physical activity, anthropometric indexes, stress, health literacy, social
capital, nutrition and eating habits, lifestyle, occupational history, living
place, blindness, deafness, electrocardiography, lung capacities, blood pressure,
sleep, smoking and alcohol, contact to animals, physical examinations and medical
history, dental health, used drugs and supplements, glucose and lipid profiles)
were measured by relevant standard methods and questionnaires. Incidence of
common NCDs (cardiovascular diseases, cancer, gastrointestinal, respiratory,
renal, hepatic, accidents, injury and neurological diseases), trend of risk
factors, hospitalization, disability, and death were considered the outcomes of
the cohort. The definition of disease was determined based on the International
Classification of Diseases 10th version (ICD-10). Routine hematologic and
biochemical tests were conducted and an all-inclusive biobank (blood, hair, nail,
and urine specimens) of the cohort was stored for future studies. All steps of
data collection and examinations are directly monitored by the quality control
team. DISCUSSION: The SCS is a unique study conducted in southwest of Iran that
is a notable work given the climate conditions and ethnicity population
(especially in Bakhtiari) of this region. By providing high quality the protocol
and introduce it, the SCS can serve as a solid foundation for management and
researchers in southwest of Iran. The SCS provides prerequisites for
collaboration and regional, national, and international studies on NCDs. Data are
available at the modeling in health research center, Shahrekord University of
Medical Sciences, Shahrekord, Iran, for any collaboration.
PMID- 29801447
TI - "It has not occurred to me to see a doctor for that kind of feeling": a
qualitative study of Filipina immigrants' perceptions of help seeking for mental
health problems.
AB - BACKGROUND: Immigrant women face greater barriers to health care, especially
mental health care, than non-immigrant women. However, immigrants are a
heterogeneous group and bring with them a range of different personal, social,
cultural and economic factors, which impact both mental health and access to
care. In this study, we explored factors that influence Filipina immigrants'
perceptions of help seeking from a general practitioner for mental health
problems in Norway. METHOD: Using data from semi-structured interviews, we
applied a post-colonial feminist perspective to identify factors that affect
perceptions of help seeking. RESULTS: Findings indicated that a combination of
the women's beliefs and values, stigma, experiences with healthcare services in
Norway and familiarity with mental health services influence perceptions of help
seeking. Some factors represented structural barriers to healthcare seeking in
general, while others related to mental healthcare seeking in particular. The
significance of each factor varied depending on the women's backgrounds.
CONCLUSIONS: Socioeconomic status, educational background, familiarity with
health services and experience of mental health can influence immigrant women's
perceptions of, and barriers for, help seeking for mental health problems. There
are a number of barriers to address at a structural level to improve both the
propensity to seek healthcare in general, as well as mental healthcare in
particular. Efforts to increase awareness of primary mental healthcare services
may also help change the perception that professional help is only appropriate
for serious mental health disorders.
PMID- 29801448
TI - Attitudes of pediatricians toward Children's consumption of ionic beverages.
AB - BACKGROUND: The aim of our study was to clarify the attitudes of pediatricians
toward children's consumption of ionic beverages. METHODS: A questionnaire survey
of pediatric practitioners' attitudes toward the consumption of ionic beverages
was administered to 537 doctors under 60 years of age who were members of the
Japanese Pediatric Society. RESULTS: We received 215 valid responses from 182
board-certified pediatric specialists and 31 non-specialists. Approximately 60%
of respondents recommended ionic beverages either often or sometimes. About half
of all respondents cautioned patients about excessive consumption. About 40% had
experienced at least one instance of excessive consumption characterized by acute
symptoms including vomiting, diarrhea, and pyrexia. Specialists were more likely
to recommend ionic beverages for oral rehydration than did non-specialists. Non
specialists more often recommended ionic beverages to patients with pyrexia.
CONCLUSIONS: Pediatricians' attitudes toward children's consumption of ionic
beverages were generally appropriate. Pediatric specialists' attitudes were more
appropriate than were those of non-specialists.
PMID- 29801449
TI - Effectiveness of control measures to prevent occupational tuberculosis infection
in health care workers: a systematic review.
AB - BACKGROUND: A number of guideline documents have been published over the past
decades on preventing occupational transmission of tuberculosis (TB) infection in
health care workers (HCWs). However, direct evidence for the effectiveness of
these controls is limited particularly in low-and middle-income (LMIC) countries.
Thus, we sought to evaluate whether recommended administrative, environmental and
personal protective measures are effective in preventing tuberculin skin test
conversion among HCWs, and whether there has been recent research appropriate to
LMIC needs. METHODS: Using inclusion criteria that included tuberculin skin test
(TST) conversion as the outcome and longitudinal study design, we searched a
number of electronic databases, complemented by hand-searching of reference lists
and contacting experts. Reviewers independently selected studies, extracted data
and assessed study quality using recommended criteria and overall evidence
quality using GRADE criteria. RESULTS: Ten before-after studies were found,
including two from upper middle income countries. All reported a decline in TST
conversion frequency after the intervention. Among five studies that provided
rates, the size of the decline varied, ranging from 35 to 100%. Since all were
observational studies assessed as having high or unclear risk of bias on at least
some criteria, the overall quality of evidence was rated as low using GRADE
criteria. CONCLUSION: We found consistent but low quality of evidence for the
effectiveness of combined control measures in reducing TB infection transmission
in HCWs in both high-income and upper-middle income country settings. However,
research is needed in low-income high TB burden, including non-hospital,
settings, and on contextual factors determining implementation of recommended
control measures. Explicit attention to the reporting of methodological quality
is recommended. TRIAL REGISTRATION: This systematic review was registered with
PROSPERO in 2014 and its registration number is CRD42014009087 .
PMID- 29801450
TI - Psychometric properties and parental reported utility of the 19-item 'About My
Child' (AMC-19) measure.
AB - BACKGROUND: 'About My Child' 19-item version (AMC-19) is a parent-report measure
developed to assess the complexity of a child's life due to biological,
psychological, social and environmental issues, that can be completed in
approximately 5 min. AMC measures two dimensions of complexity: parental concerns
and impact on the child. This paper examines the psychometric properties and
parent-reported utility of the AMC-19 for children with disabilities or special
health care needs. METHOD: Data were gathered from two Canadian studies at
CanChild: the 'AMC-19 Pilot' study and the 'Service Utilization and Outcomes
(SUO)' study. The AMC-19 Pilot study data allowed us to explore internal
consistency and test-retest reliability, as well as parental responses to two
open-ended questions on the utility of the AMC-19. The SUO study provided data
for analyses of internal consistency and scale property validation with type of
diagnosis and service needs. RESULTS: The test-retest ICC was r = 0.83 for
concerns and r = 0.87 for impact. Cronbach's alpha across both studies ranged
from 0.80 to 0.90. Parents' comments on the AMC-19's utility indicated support
for the AMC-19, in particular to identify therapy needs and goals. CONCLUSIONS:
The AMC-19 demonstrates strong psychometric properties supporting it as a
valuable measure for describing the level of complexity among children with
disabilities. We recommend using the AMC-19 in health services research and
clinical settings to build dialogue between family and therapists due to its
utility reported by parents.
PMID- 29801451
TI - Biomechanics and energetics of walking in powered ankle exoskeletons using
myoelectric control versus mechanically intrinsic control.
AB - BACKGROUND: Controllers for assistive robotic devices can be divided into two
main categories: controllers using neural signals and controllers using
mechanically intrinsic signals. Both approaches are prevalent in research
devices, but a direct comparison between the two could provide insight into their
relative advantages and disadvantages. We studied subjects walking with robotic
ankle exoskeletons using two different control modes: dynamic gain proportional
myoelectric control based on soleus muscle activity (neural signal), and timing
based mechanically intrinsic control based on gait events (mechanically intrinsic
signal). We hypothesized that subjects would have different measures of metabolic
work rate between the two controllers as we predicted subjects would use each
controller in a unique manner due to one being dependent on muscle recruitment
and the other not. METHODS: The two controllers had the same average actuation
signal as we used the control signals from walking with the myoelectric
controller to shape the mechanically intrinsic control signal. The difference
being the myoelectric controller allowed step-to-step variation in the actuation
signals controlled by the user's soleus muscle recruitment while the timing-based
controller had the same actuation signal with each step regardless of muscle
recruitment. RESULTS: We observed no statistically significant difference in
metabolic work rate between the two controllers. Subjects walked with 11% less
soleus activity during mid and late stance and significantly less peak soleus
recruitment when using the timing-based controller than when using the
myoelectric controller. While walking with the myoelectric controller, subjects
walked with significantly higher average positive and negative total ankle power
compared to walking with the timing-based controller. CONCLUSIONS: We interpret
the reduced ankle power and muscle activity with the timing-based controller
relative to the myoelectric controller to result from greater slacking effects.
Subjects were able to be less engaged on a muscle level when using a controller
driven by mechanically intrinsic signals than when using a controller driven by
neural signals, but this had no affect on their metabolic work rate. These
results suggest that the type of controller (neural vs. mechanical) is likely to
affect how individuals use robotic exoskeletons for therapeutic rehabilitation or
human performance augmentation.
PMID- 29801452
TI - Task shifting between physicians and nurses in acute care hospitals: cross
sectional study in nine countries.
AB - BACKGROUND: Countries vary in the extent to which reforms have been implemented
expanding nurses' Scopes-of-Practice (SoP). There is limited cross-country
research if and how reforms affect clinical practice, particularly in hospitals.
This study analyses health professionals' perceptions of role change and of task
shifting between the medical and nursing professions in nine European countries.
METHODS: Cross-sectional design with surveys completed by 1716 health
professionals treating patients with breast cancer (BC) and acute myocardial
infarction (AMI) in 161 hospitals across nine countries. Descriptive and
bivariate analysis on self-reported staff role changes and levels of independence
(with/without physician oversight) by two country groups, with major SoP reforms
implemented between 2010 and 2015 (Netherlands, England, Scotland) and without
(Czech Republic, Germany, Italy, Norway, Poland, Turkey). Participation in
'medical tasks' was identified using two methods, a data-driven and a conceptual
approach. Individual task-related analyses were performed for the medical and
nursing professions, and Advanced Practice Nurses/Specialist Nurses (APN/SN).
RESULTS: Health professionals from the Netherlands, England and Scotland more
frequently reported changes to staff roles over this time period vs. the other
six countries (BC 74.0% vs. 38.7%, p < .001; AMI 61.7% vs. 37.3%, p < .001), and
higher independence in new roles (BC 58.6% vs. 24.0%, p < .001; AMI 48.9% vs.
29.2%, p < .001). A higher proportion of nurses and APN/SN from these three
countries reported to undertake tasks related to BC diagnosis, therapy,
prescribing of medicines and information to patients compared to the six
countries. Similar cross-country differences existed for AMI on prescribing
medications and follow-up care. Tasks related to diagnosis and therapy, however,
remained largely within the medical profession's domain. Most tasks were reported
to be performed by both professions rather than carried out by one profession
only. CONCLUSIONS: Higher levels of changes to staff roles and task shifting were
reported in the Netherlands, England and Scotland, suggesting that professional
boundaries have shifted, for instance on chemotherapy or prescribing medicines.
For most tasks, however, a partial instead of full task shifting is practice.
PMID- 29801453
TI - Immunophenotyping of cerebrospinal fluid cells by Chipcytometry.
AB - BACKGROUND: The gold standard in cerebrospinal fluid (CSF) cell immunophenotyping
is flow cytometry. Nevertheless, the small amount of CSF cells and the invasive
character of lumbar puncture limit the spectrum of possible investigation.
Chipcytometry, a modified approach to slide-based cytometry, might be a useful
tool for CSF analysis due to the possibility of iterative staining, imaging, and
bleaching cycles. The aim of this study was to compare flow cytometric leukocyte
subset analysis with Chipcytometry comparing the percentage distribution of
distinct cell populations and the T-cell CD4:CD8 ratio. Moreover, this study
investigated the interpretability of chips loaded with CSF cells and examined the
applicability of Chipcytometry in clinical practice. METHODS: 375 CSF samples
from 364 patients were analyzed by Chipcytometry using an automated upright
microscope. Cell surface molecules were stained using fluorescence-labeled
monoclonal antibodies. For cross-validation experiments, flow cytometry data of
six patients were analyzed and matched with Chipcytometry data. RESULTS: Our
experiments showed a better agreement examined by Bland-Altman analysis for
samples with CSF pleocytosis than for normocellular CSF samples. Data were more
consistent for B cells and CD4:CD8 ratio than for T cells and monocytes.
Advantages of Chipcytometry compared to flow cytometry are that cells once
fixated can be analyzed for up to 20 months with additional markers at any time.
The clinical application of Chipcytometry is demonstrated by two illustrative
case reports. However, the low amount of CSF cells limits the analysis of
normocellular CSF samples, as in our cohort only 11.7% of respectively loaded
chips had sufficient cell density for further investigation compared to 59.8% of
all chips loaded with samples with elevated cell counts (>= 5/MUl). Varying
centrifuge settings, tube materials and resuspension technique were not able to
increase the cell yield. CONCLUSION: In summary, the results demonstrate the
great potential of Chipcytometry of CSF cells for both scientific questions and
routine diagnostic. A new chip design optimized to meet the requirements of CSF
would greatly enhance the value of this method. Cross-validation results need to
be confirmed in a larger cohort.
PMID- 29801454
TI - Dual modulation on glial cells by tetrahydroxystilbene glucoside protects against
dopamine neuronal loss.
AB - BACKGROUND: Microglia-mediated neuroinflammation is recognized to mainly
contribute to the pathogenesis of Parkinson's disease (PD). Tetrahydroxystilbene
glucoside (TSG) has been proved to be beneficial for health with a great number
of pharmacological properties. We examined the effects of TSG against dopamine
(DA) neuronal loss towards development of a PD treatment strategy. METHODS:
Substantia nigral stereotaxic single injection of lipopolysaccharide (LPS)
induced rat DA neuronal damage was employed to investigate TSG-produced
neuroprotection. In addition, primary rat midbrain neuron-glia co-cultures were
performed to explore the underlying mechanisms. RESULTS: Daily intraperitoneal
injection of TSG for seven consecutive days significantly attenuated LPS-induced
loss of DA neurons in the substantia nigra. In addition, glia-dependent
mechanisms were responsible for TSG-mediated neuroprotection. First, TSG
ameliorated microglia-mediated neuroinflammation and the subsequent production of
various pro-inflammatory and neurotoxic factors. Second, astroglial neurotrophic
factor neutralization weakened TSG-mediated neuroprotection, showing that TSG was
protective in part via increasing astroglia-derived neurotrophic factor
secretion. CONCLUSIONS: TSG protects DA neurons against LPS-induced neurotoxicity
through dual modulation on glial cells by attenuating microglia-mediated
neuroinflammation and enhancing astroglia-derived neurotrophic effects. These
findings might open new alternative avenues for PD treatment.
PMID- 29801456
TI - Individual- and community-level neighbor relationships and physical activity
among older Japanese adults living in a metropolitan area: a cross-sectional
multilevel analysis.
AB - BACKGROUND: Informal neighbor relationships (NRs) are considered a structural
aspect of social relationships. Although NRs might affect physical activity (PA),
no previous study has simultaneously examined compositional and contextual
associations of NRs with PA. In this study, we examined whether individual- and
community-level NRs were independently associated with PA. METHODS: We analyzed
cross-sectional data from 8592 (4340 men and 4252 women) non-disabled residents
aged 65-84 years from all 18 districts of Ota City, Tokyo. PA was assessed by
using the International Physical Activity Questionnaire-Short Form. In addition,
we calculated moderate-to-vigorous PA (MVPA), its components (vigorous PA [VPA],
moderate PA [MPA], and walking time [WT]), and sitting time (ST). Individual
level NRs were categorized as "visiting each other," "standing and chatting,"
"exchange of greetings," or "none." Community-level NRs were defined as the
proportions of residents with active NRs (i.e., those in the categories visiting
each other and standing and chatting) in the 18 districts. Using multilevel
regression analyses, we examined independent associations of individual- and
community-level NRs with PA variables and adjusted for important confounders.
RESULTS: Individual-level NRs were consistently positively associated with MVPA
and its components (VPA, MPA [in men], and WT) in both sexes, and the dose
response relationships were significant (all P < 0.041 for trend). In men,
community-level NRs (by 1% estimation) were positively associated with individual
MVPA (2.1 metabolic equivalent-hours/week, 95% confidence interval: 0.7-3.4), VPA
(8.6 min/week, 2.7-14.4), and WT (11.6 min/week, 2.2-20.9), regardless of the
degree of individual-level NRs. Significant cross-level interactions of NRs with
MVPA and VPA were observed among men, and the dose-response relationships were
significant (both P < 0.037 for trend). Neither individual- nor community-level
NRs were associated with ST in either sex. CONCLUSIONS: Men and women with
inaccessible neighbors engaged in less MVPA, while men living in communities with
active NRs engaged in more MVPA, regardless of individual-level NRs. NRs at the
individual and community level might help prevent physical inactivity among men.
PMID- 29801455
TI - Identification and characterization of microRNAs in the pituitary of pubescent
goats.
AB - BACKGROUND: Puberty is the period during a female mammal's life when it enters
estrus and ovulates for the first time; this indicates that a mammal is capable
of reproduction. The onset of puberty is a complex and tightly coordinated
biological event; it has been reported that microRNAs (miRNAs) are involved in
regulating the initiation of puberty. METHODS: We performed miRNA sequencing on
pituitary tissue from prepubescent and pubescent goats to investigate differences
in miRNA expression during the onset of puberty in female goats. The target genes
of these miRNAs were evaluated by GO enrichment and KEGG pathway analysis to
identify critical pathways regulated by these miRNAs during puberty in goats.
Finally, we selected four known miRNA and one novel miRNAs to evaluate expression
patterns in two samples via qRT-PCR to validate the RNA-seq data. RESULTS: In
this study, 476 miRNAs were detected in goat pituitary tissue; 13 of these were
specifically expressed in the pituitary of prepubescent goats, and 17 were unique
to the pituitary of pubescent goats. Additionally, 73 novel miRNAs were predicted
in these two libraries. 20 differentially expressed miRNAs were identified in
this study. KEGG pathway enrichment analysis revealed that the differentially
expressed miRNA target genes were enriched in pathways related to ovary
development during puberty, including the GABAergic synapse, oxytocin signaling
pathway, the cAMP signaling pathway, progesterone-mediated oocyte maturation. In
this study, differential miRNA expression in the pituitary tissue of prepubescent
and pubescent goats were identified and characterized. CONCLUSION: These results
provide important information regarding the potential regulation of the onset of
goat puberty by miRNAs, and contribute to the elucidation of miRNA regulated
processes during maturation and reproduction.
PMID- 29801458
TI - Drug checking: a potential solution to the opioid overdose epidemic?
AB - BACKGROUND: North America is experiencing an overdose epidemic driven in part by
the proliferation of illicitly-manufactured fentanyl and related analogues. In
response, communities are scaling up novel overdose prevention interventions.
Included are drug checking technologies. MAIN BODY: Drug checking technologies
aim to identify the contents of illicit drugs. These technologies vary
considerably in terms of cost, accuracy, and usability, and while efforts are now
underway to implement drug checking programs for people who inject drugs, there
remains a lack of rigorous evaluation of their impacts. CONCLUSION: Given the
ongoing overdose crisis and the urgent need for effective responses, research on
drug checking should be prioritized. However, while such research should be
supported, it should be completed before these technologies are widely
implemented.
PMID- 29801457
TI - Acquired immunological imbalance after surgery with cardiopulmonary bypass due to
epigenetic over-activation of PU.1/M-CSF.
AB - BACKGROUND: It has been shown that severe insult to the immune system may trigger
prolonged macrophage characteristics associated with excessive release of
monocyte colony stimulating factor (M-CSF). However, it is unclear how persistent
is the macrophage-like characteristics in circulating monocytes (MO). In this
study, 20 patients who underwent non-emergent cardiopulmonary bypass had their
monocytes characterized before surgery and 3 months after surgery. METHODS: We
assessed the macrophage characteristics of MO using cytokine production, surface
marker expression, an ability to stimulate T cells, and methylation of the
promoter region of the gene encoding PU.1, a critical component to M-CSF
production. MO function as well as activation and differentiation potential were
longitudinally assessed. RESULTS: At 3 months after cardiopulmonary bypass,
monocytes exhibited increased expression of MRP8, transforming growth factor
beta/latency-associated peptide, suppressor of cytokine signaling 3 while
phagocytic properties were increased. Concomitantly, we observed a decreased
expression of CD86, a decreased ability to form regulatory dendritic cells, and a
diminished ability to stimulate T cells. These characteristics were accompanied
by a persistent increase in the secretion of M-CSF, over-activation of PU.1, and
decreased methylation of the PU.1 promoter region. Serum levels of C-reactive
protein and anti-cytomegalovirus IgG antibody titers were also elevated in some
patients at 3 months after surgery. CONCLUSIONS: We concluded that at 3 months
after cardiopulmonary bypass, monocytes continued to express a new macrophage
like milieu that was associated with the persistent activation of the PU.1/M-CSF
pathway.
PMID- 29801459
TI - Regulatory gammadelta T cells induced by G-CSF participate in acute graft-versus
host disease regulation in G-CSF-mobilized allogeneic peripheral blood stem cell
transplantation.
AB - BACKGROUND: The immunomodulatory effects of granulocyte colony-stimulating factor
(G-CSF) on T cells result in a low incidence of acute graft-versus-host disease
(aGVHD) in G-CSF-mobilized allogeneic peripheral blood stem cell transplantation
(G-PBSCT). However, the exact mechanism remains unclear. Regulatory gammadelta T
cells (gammadeltaTregs), characterized by the presence of TCRgammadelta and
Foxp3, have aroused great concern in the maintenance of immune tolerance. We
hypothesized that gammadeltaTregs might involve in the immunomodulatory effects
of G-CSF mobilization. METHODS: The expression and immunomodulatory function of
gammadeltaTreg subsets in peripheral blood of donors before and after G-CSF
treatment in vivo and in vitro were evaluated by flow cytometry and CFSE assays.
To investigate the effects of gammadeltaTregs on aGVHD, the association between
gammadeltaTreg subsets in grafts and aGVHD in recipients was estimated. RESULTS:
The proportions of Vdelta1Tregs, CD27+Vdelta1Tregs and CD25+Vdelta1Tregs were
significantly increased in peripheral blood after G-CSF treatment in vivo.
gammadeltaTregs could be generated in vitro by stimulating with anti
TCRgammadelta in the presence of G-CSF. The immune phenotype, proliferation
suppression function, and cytokine secretion of G-CSF-induced gammadeltaTregs
were similar to that of transforming growth factor-beta (TGF-beta)-induced
gammadeltaTregs. The clinical data demonstrated that the proportion of
CD27+Vdelta1Tregs in grafts was significantly lower in the patients who
experienced aGVHD than in those who did not develop aGVHD (P = 0.028), and the
proportions of other gammadeltaTreg subsets in grafts did not differ
significantly between the two groups. The best cutoff value for CD27+Vdelta1Treg
proportion in grafts in prediction of aGVHD was 0.33%, with an area under the
curve value of 0.725 (P = 0.043). Eight patients (26.7%) were classified as the
low-CD27+Vdelta1Treg group (< 0.33%), and 22 patients (73.3%) as the high
CD27+Vdelta1Treg group (>= 0.33%). The incidence of aGVHD was higher in the low
CD27+Vdelta1Treg group than in the high-CD27+Vdelta1Treg group (75.0% versus
22.7%, P = 0.028). CONCLUSIONS: G-CSF could induce the generation of
gammadeltaTregs in vivo and in vitro, and gammadeltaTregs might participate in
aGVHD regulation in G-PBSCT.
PMID- 29801461
TI - HPV-16, HPV-58, and HPV-33 are the most carcinogenic HPV genotypes in
Southwestern China and their viral loads are associated with severity of
premalignant lesions in the cervix.
AB - BACKGROUND: Currently, the role of human papillomavirus (HPV)-58 in southwestern
China has been unexplored. Although there is some controversy, it is proposed
that the viral load of HPV correlates with the severity of intraepithelial
lesions. METHODS: We identified 7747 patients from south Sichuan and adjacent
regions who were diagnosed with HPV between 2013 and 2017. The HR-HPV subtype
distribution was analyzed and the patient's viral loads were quantified using
real-time RT-PCR. RESULTS: Among all 7747 patients screened for HPV genotypes,
1728 patients (22.31%) were identified as having HR-HPV subtypes. In patients
without intraepithelial lesions (12.41%), HPV-52, HPV-16, and HPV-58 were the
three most prevalent HR-HPV subtypes. Moreover, HPV-16, HPV-58, and HPV-33 were
the most prevalent subtypes in patients with cervical intraepithelial neoplasia
grade II (CINII) (42.86%) and grade III (CINIII) (59.81%), and accounted for the
majority of invasive cervical cancer (ICC) (69.34%). Thus, viral loads of HPV-58,
HPV-16, and HPV-33 positively correlated with the severity of cervical lesions (P
< 0.001, P = 0.016, P = 0.026, respectively). Using receiver operating
characteristic (ROC) curve analysis, the optimum thresholds for predicting severe
intraepithelial lesions of cases (CINI, CINIII and ICC) with HPV-16, HPV-58, and
HPV-33, respectively, were obtained, which were 1, 0.93, and 0.25, respectively.
CONCLUSION: In our study, we showed that HPV-16 was the most common carcinogenic
HPV subtype in southwestern China followed by HPV-58 and HPV-33. Viral loads of
these subtypes are associated with the severity of premalignant lesions in the
cervix.
PMID- 29801462
TI - Prevalence and antimicrobial resistance of coagulase negative staphylococci
clinical isolates from Ethiopia: a meta-analysis.
AB - BACKGROUND: Antimicrobial resistant Coagulase-negative Staphylococci (CoNS) have
limited treatment options, rendered diseases untreatable and made hospitals to be
reservoirs of the resistant strains. The aim of this study was to estimate the
pooled prevalence and antimicrobial resistance of clinical isolates of CoNS from
Ethiopia. RESULTS: The electronic database search yielded 6511 articles of which
21 met predefined inclusion criteria. The pooled prevalence of CoNS from Ethiopia
was 12% (95% confidence interval (CI): 8, 16%). The analyses revealed high level
of CoNS resistance to methicilin (37%[95% CI: 21, 55%]), vancomycin (911%[95% CI:
0, 35%]), penicillin (58%[95% CI: 42, 74%]), amoxicillin (42%[95% CI: 23, 61%]),
amoxicillin-clavulanate (27%[95% CI: 2, 27%]), ampicillin (64%[95% CI: 46, 80%]),
tetracycline (60% [95% CI: 49, 70%]), doxycycline (36%[95% CI:19,55%]),
Sulfamethoxazole-trimethoprim (50%[95% CI: 36, 64%]), ceftriaxone (27% [95% CI:
18, 38%]), cephalothin (32% [95% CI: 7, 62%]), norfloxacin (39%[95% CI: 24,
56%]), chloramphenicol (40%[95% CI: 23, 58%]), clindamycin (11% [95% CI: 2,
27%]), ciprofloxacin (14%[95% CI: 6, 22%]), gentamicin (27%[95% CI:19,36%]) and
erythromycin (30%[95% CI:20%,42%]). High heterogeneity, I2 ranging from 69.04 to
96.88%; p-values <=0.01, was observed. Eggers' test did not detect publication
bias for the meta-analyses and low risk of bias was observed in included studies.
CONCLUSIONS: CoNS has gotten resistant to commonly used antimicrobials from
Ethiopia. There is a need of launching national antimicrobial treatment,
development and implementation of policy guidelines to contain the threat.
Further research focusing on factors promoting resistance and the effect of
resistance on treatment outcome studies are warranted.
PMID- 29801460
TI - Metagenomic analysis of the RNA fraction of the fecal virome indicates high
diversity in pigs infected by porcine endemic diarrhea virus in the United
States.
AB - BACKGROUND: Emergence and re-emergence of porcine epidemic diarrhea virus (PEDV)
in North America, Asia and Europe has caused severe economic loss to the global
swine industry. However, the virome of PEDV infected pigs and its effect on
disease severity remains unknown. The advancements of sequencing technology have
made it possible to characterize the entire microbiome of different body sites
for any host. METHODS: The objective of this study was to characterize the RNA
virome in PEDV-positive pigs using the hypothesis-free metagenomics approach
based on next-generation sequencing. Specifically, 217 PEDV-positive swine fecal
swab samples collected from diarrheic piglets over 17 US states during 2015-2016
were analyzed. RESULTS: A Kraken algorithm-based bioinformatics analysis revealed
the presence of up to 9 different RNA genera besides PEDV (Alphacoronavirus
genus), including Mamastrovirus (52%, 113/217), Enterovirus (39%, 85/217),
Sapelovirus (31%, 67/217), Posavirus (30%, 66/217), Kobuvirus (23%, 49/217),
Sapovirus (13%, 28/217), Teschovirus (10%, 22/217), Pasivirus (9%, 20/217), and
Deltacoronavirus (3%, 6/217). There were 58 out of 217 piglets (27%) have PEDV
infection alone whereas the remaining 159 (73%) shed 2 up to 9 different viruses.
CONCLUSION: These findings demonstrated that PEDV infected diarrheic pigs had an
extensive RNA viral flora consisting of four different families: Astroviridae,
Picornaviridae, Caliciviridae, and Coronaviridae.
PMID- 29801464
TI - Genomic analyses of unique carbohydrate and phytohormone metabolism in the
macroalga Gracilariopsis lemaneiformis (Rhodophyta).
AB - BACKGROUND: Red algae are economically valuable for food and in industry.
However, their genomic information is limited, and the genomic data of only a few
species of red algae have been sequenced and deposited recently. In this study,
we annotated a draft genome of the macroalga Gracilariopsis lemaneiformis
(Gracilariales, Rhodophyta). RESULTS: The entire 88.98 Mb genome of Gp.
lemaneiformis 981 was generated from 13,825 scaffolds (>=500 bp) with an N50
length of 30,590 bp, accounting for approximately 91% of this algal genome. A
total of 38.73 Mb of scaffold sequences were repetitive, and 9281 protein-coding
genes were predicted. A phylogenomic analysis of 20 genomes revealed the
relationship among the Chromalveolata, Rhodophyta, Chlorophyta and higher plants.
Homology analysis indicated phylogenetic proximity between Gp. lemaneiformis and
Chondrus crispus. The number of enzymes related to the metabolism of
carbohydrates, including agar, glycoside hydrolases, glycosyltransferases, was
abundant. In addition, signaling pathways associated with phytohormones such as
auxin, salicylic acid and jasmonates are reported for the first time for this
alga. CONCLUSION: We sequenced and analyzed a draft genome of the red alga Gp.
lemaneiformis, and revealed its carbohydrate metabolism and phytohormone
signaling characteristics. This work will be helpful in research on the
functional and comparative genomics of the order Gracilariales and will enrich
the genomic information on marine algae.
PMID- 29801463
TI - Wheat CBL-interacting protein kinase 23 positively regulates drought stress and
ABA responses.
AB - BACKGROUND: The calcineurin B-like protein (CBL)-interacting protein kinase
(CIPK) signaling pathway responds to various abiotic stresses in plants. RESULTS:
Wheat CIPK23, isolated from wheat drought transcriptome data set, was induced by
multiple abiotic stresses, including drought, salt, and abscisic acid (ABA).
Compared with wild-type plants, TaCIPK23-overexpression wheat and Arabidopsis
showed an higher survival rate under drought conditions with enhanced germination
rate, developed root system, increased accumulation of osmolytes, and reduced
water loss rate. Over-expression of TaCIPK23 rendered transgenic plants ABA
sensitivity, as evidenced by delayed seed germination and the induction of
stomatal closure. Consistent with the ABA-sensitive phenotype, the expression
level of drought- and ABA-responsive genes were increased under drought
conditions in the transgenic plants. In addition, using yeast two-hybrid system,
pull-down and bimolecular fluorescence complementation (BiFc) assays, TaCIPK23
was found to interact with TaCBL1 on the plasma membrane. CONCLUSIONS: These
results suggest that TaCIPK23 plays important roles in ABA and drought stress
responses, and mediates crosstalk between the ABA signaling pathway and drought
stress responses in wheat.
PMID- 29801465
TI - Erlotinib as single agent first line treatment in locally advanced or metastatic
activating EGFR mutation-positive lung adenocarcinoma (CEETAC): an open-label,
non-randomized, multicenter, phase IV clinical trial.
AB - BACKGROUND: Erlotinib is approved for the first line treatment of epidermal
growth factor receptor (EGFR) mutation-positive non-small cell lung cancer. Since
the number of prospective studies in Caucasian patients treated in routine
clinical setting is limited we conducted a multicenter, phase IV clinical trial
to determine the efficacy and safety of erlotinib and to demonstrate the
feasibility of the validated standardized companion diagnostic method of EGFR
mutation detection. METHODS: 651 chemonaive, cytologically or histologically
verified advanced stage lung adenocarcinoma patients from Hungary, Turkey and
Latvia were screened for exon19 microdeletions and exon21 L858R EGFR mutations
using the companion diagnostic EGFR test. EGFR mutation-positive, locally
advanced or metastatic lung adenocarcinoma patients received as first line
treatment erlotinib at 150 mg/day. The primary endpoint was progression-free
survival (PFS). RESULTS: 62 EGFR mutation-positive patients (9.5% of screened)
were included in the safety/intent-to-treat cohort. Median PFS was 12.8 months
(95%CI, 9.9-15.8), objective response rate and one-year survival was 66.1% and
82.5%, respectively. Most frequent treatment related adverse events were
diarrhoea and rash. Eastern Oncology Cooperative Group Performance Status (ECOG
PS), smoking status and M1a/M1b disease stage were significant prognosticators of
PFS (p = 0.017, p = 0.045 and p = 0.002, respectively). There was no significant
difference in PFS between the subgroups stratified by gender, age or exon19 vs
exon21 mutation. CONCLUSIONS: Our study confirmed the efficacy and safety of
first line erlotinib monotherapy in Caucasian patients with locally advanced or
metastatic lung adenocarcinoma carrying activating EGFR mutations based on the
screening with the approved companion diagnostic procedure. TRIAL REGISTRATION:
ClinicalTrials.gov Identifier: NCT01609543.
PMID- 29801466
TI - Varicella zoster virus infections in neurological patients: a clinical study.
AB - BACKGROUND: Varicella zoster virus (VZV) reactivation is a common infectious
disease in neurology and VZV the second most frequent virus detected in
encephalitis. This study investigated characteristics of clinical and laboratory
features in patients with VZV infection. METHODS: Two hundred eighty two patients
with VZV reactivation that were hospitalized in the department of neurology in
the time from 2005 to 2013 were retrospectively evaluated. Results from
cerebrospinal fluid (CSF) analysis were available from 85 patients. RESULTS:
Trigeminal rash was the most common clinical manifestation, followed by segmental
rash, CNS infection, facial nerve palsy, postherpetic neuralgia, and radiculitis.
MRI of the brain performed in 25/33 patients with encephalitis/meningitis did not
show any signs of infection in the brain parenchyma. Only one patient showed
contrast enhancement in the hypoglossal nerve. General signs of infection such as
fever or elevated CRP values were found in only half of the patients.
Furthermore, rash was absent in a quarter of patients with CNS infection and
facial nerve palsy, and thus, infection could only be proven by CSF analysis.
Although slight inflammatory CSF changes occurred in few patients with isolated
rash, the frequency was clearly higher in patients with CNS infection and facial
nerve palsy. CONCLUSION: Monosegmental herpes zoster is often uncomplicated and a
diagnostic lumbar puncture is not essential. In contrast, CSF analysis is an
essential diagnostic tool in patients with skin lesions and cranial nerve or CNS
affection. In patients with neuro-psychiatric symptoms and inflammatory CSF
changes analysis for VZV should be performed even in the absence of skin lesions.
PMID- 29801467
TI - A realist approach to eliciting the initial programme theory of the
antiretroviral treatment adherence club intervention in the Western Cape
Province, South Africa.
AB - BACKGROUND: The successful initiation of people living with HIV/AIDS on
antiretroviral therapy (ART) in South Africa has engendered challenges of poor
retention in care and suboptimal adherence to medication. The adherence club
intervention was implemented in the Metropolitan area of the Western Cape
Province to address these challenges. The adherence club programme has shown
potential to relieve clinic congestion, improve retention in care and enhance
treatment adherence in the context of rapidly growing HIV patient populations
being initiated on ART. Nevertheless, how and why the adherence club intervention
works is not clearly understood. We aimed to elicit an initial programme theory
as the first phase of the realist evaluation of the adherence club intervention
in the Western Cape Province. METHODS: The realist evaluation approach guided the
elicitation study. First, information was obtained from an exploratory
qualitative study of programme designers' and managers' assumptions of the
intervention. Second, a document review of the design, rollout, implementation
and outcome of the adherence clubs followed. Third, a systematic review of
available studies on group-based ART adherence support models in Sub-Saharan
Africa was done, and finally, a scoping review of social, cognitive and
behavioural theories that have been applied to explain adherence to ART. We used
the realist evaluation heuristic tool (Intervention-context-actors-mechanism
outcome) to synthesise information from the sources into a configurational map.
The configurational mapping, alignment of a specific combination of attributes,
was based on the generative causality logic - retroduction. RESULTS: We
identified two alternative theories: The first theory supposes that patients
become encouraged, empowered and motivated, through the adherence club
intervention to remain in care and adhere to the treatment. The second theory
suggests that stable patients on ART are being nudged through club rules and
regulations to remain in care and adhere to the treatment with the goal to
decongest the primary health care facilities. CONCLUSION: The initial programme
theory describes how (dynamics) and why (theories) the adherence club
intervention is expected to work. By testing theories in "real intervention
cases" using the realist evaluation approach, the theories can be modified,
refuted and/or reconstructed to elicit a refined theory of how and why the
adherence club intervention works.
PMID- 29801469
TI - Analysis of the PvuII and XbaI polymorphisms in the estrogen receptor alpha gene
in girls with central precocious puberty: a pilot study.
AB - BACKGROUND: Precocious puberty (PP) is defined as premature pubertal development.
Its consequences surpass the physical evidence of sexual maturity with the
premature epiphyseal closure of the long bones and the reduction of adult stature
by varied degrees. Central PP is characteristically dependent on GnRH and most of
its causes are not completely known. Altered estrogen action is also believed to
be involved in the genesis of PP. In fact, estrogen receptor alpha (Rea) gene
polymorphisms may be associated with early age at menarche. The objective of this
study was to investigate the relationship between Realpha gene polymorphisms
(PvuII and XbaI) and the occurrence of central PP. METHODS: A total of 73 girls
with central PP and 101 girls with normal pubertal maturation were evaluated.
Both groups were genotyped for the PvuII (T/C) and XbaI (A/G) polymorphisms in
the Realpha gene. RESULTS: The frequency distribution of the XbaI (p = 0.28) and
of the PvuII (p = 0.12) genotypes, as well as the XbaI and PvuII allelic variants
(p = 0.23 and p = 0.86, respectively), did not differ between the groups.
CONCLUSION: The PvuII and XbaI Rea gene polymorphisms do not appear to be related
to development of central PP.
PMID- 29801468
TI - High expression of forkhead box protein C2 is associated with aggressive
phenotypes and poor prognosis in clinical hepatocellular carcinoma.
AB - BACKGROUND: Hepatocellular carcinoma (HCC) is one of the major causes of tumor
death; thus, the identification of markers related to its diagnosis and prognosis
is critical. Previous studies have revealed that epithelial-to-mesenchymal
transition (EMT) is involved in tumor invasion and metastasis, and the forkhead
box protein C2 (FOXC2) has been shown to promote tumor cell proliferation,
invasion, and EMT. In the present study, we examined the clinicopathological
significance of FOXC2 and EMT-related markers in clinical HCC specimens and
identified factors related to the diagnosis and prognosis of HCC. METHODS: The
expression of FOXC2 and EMT-related markers was evaluated by immunohistochemistry
in 84 cases of hepatocellular carcinoma. RESULTS: A high expression of FOXC2 was
observed in 26 of 84 cases, and expression was significantly correlated with
background liver cirrhosis, poor tumor differentiation, high serum AFP, and
elevated cell proliferation markers. In addition, this high expression was
related to the induction of the Cadherin switch and vimentin expression and was
an independent predictor for poor prognosis. CONCLUSION: The high expression of
FOXC2 in HCC is correlated with tumor malignancy and poor prognosis, suggesting
that FOXC2 may be an important prognostic factor for HCC.
PMID- 29801470
TI - The genetic basis of hyaline fibromatosis syndrome in patients from a
consanguineous background: a case series.
AB - BACKGROUND: Hyaline fibromatosis syndrome (HFS) is a rare heritable multi
systemic disorder with significant dermatologic manifestations. It is caused by
mutations in ANTXR2, which encodes a transmembrane receptor involved in collagen
VI regulation in the extracellular matrix. Over 40 mutations in the ANTXR2 gene
have been associated with cases of HFS. Variable severity of the disorder in
different patients has been proposed to be related to the specific mutations in
these patients and their location within the gene. CASE PRESENTATION: In this
report, we describe four cases of HFS from consanguineous backgrounds. Genetic
analysis identified a novel homozygous frameshift deletion c.969del
(p.Ile323Metfs*14) in one case, the previously reported mutation c.134 T > C
(p.Leu45Pro) in another case, and the recurrent homozygous frameshift mutation
c.1073dup (p.Ala359Cysfs*13) in two cases. The epidemiology of this latter
mutation is of particular interest, as it is a candidate for inhibition of
nonsense-mediated mRNA decay. Haplotype analysis was performed to determine the
origin of this mutation in this consanguineous cohort, which suggested that it
may develop sporadically in different populations. CONCLUSIONS: This information
provides insights on genotype-phenotype correlations, identifies a previously
unreported mutation in ANTXR2, and improves the understanding of a recurrent
mutation in HFS.
PMID- 29801471
TI - Dietary practices and associated factors during pregnancy in northwestern
Ethiopia.
AB - BACKGROUND: Pregnancy is the most crucial nutritionally demanding period of every
woman's life. The high demand of nutrients to deposit energy in the form of new
tissue, growth of existing maternal tissues such as breast and uterus and
increased energy requirements for tissue synthesis makes pregnant women more
vulnerable to malnutrition. Dietary practice is defined as an observable actions
or behavior of dietary habit and can be classified as good dietary practices and
poor dietary practices. The incidence of dietary inadequacies as a result of
dietary habits and patterns in pregnancy is higher during pregnancy when compared
to any other stage of the life cycle. Thus, this study aimed to assess dietary
practices and associated factors during pregnancy in Bahir Dar town, Northwest
Ethiopia. METHODS: A community based cross sectional study was conducted from
March 1 to April 1, 2016. A total of 616 pregnant women were participated in the
study. All eligible pregnant women were identified through house-to-house visit
with the help of health extension workers. Cluster sampling was used to select
eligible pregnant women. The data were collected using interviewer administered
questionnaire prepared in English and translated in to Amharic. Data were
analyzed by using Statistical Package for Social Sciences (SPSS) version 20.
Multivariate logistic regression analysis was employed to identify factors
associated with dietary practices. RESULT: This study has shown that 39.3% of the
study participants had good dietary practices and the rest 60.7% of pregnant
women reported poor dietary practices. Concerning dietary knowledge, 61.4% of the
study participants had good dietary knowledge while 38.6% had poor dietary
knowledge. Husband income, ownership of radio, history of disease and dietary
knowledge were shown to have significant association (P < 0.05) with dietary
practices. CONCLUSION: Dietary practices of pregnant women in the study area was
suboptimal. Husband income, ownership of radio, history of disease and dietary
knowledge were independent predictors of women dietary practices. Awareness
should be created among pregnant women by concerned bodies such as governmental
and non-governmental organization through local mass media.
PMID- 29801472
TI - Iris metastasis preceding diagnosis of gastric signet ring cell adenocarcinoma: a
case report.
AB - BACKGROUND: A case of iris metastasis preceding the diagnosis of gastric signet
ring cell adenocarcinoma is very rare. To report the findings in a patient who
presented with an iris tumor that was later identified to have metastasized from
a gastric signet ring cell adenocarcinoma. CASE PRESENTATION: A-74-year-old woman
presented with visual disturbance and an increased intraocular pressure (IOP) in
the right eye. She had no history of systemic cancer. She was initially diagnosed
with acute iritis from diabetes mellitus and secondary glaucoma. She underwent
trabeculectomy because of the uncontrolled IOP. After the IOP was controlled, she
presented thick iris with corectopia, iris hemorrhage, and white, frog spawn-like
mass resembling fibrin in the anterior chamber. An analysis of an iris biopsy
suggested that the iris mass was an adenocarcinoma. Examination by
esophagogastroduodenoscopy revealed advanced gastric signet ring cell
adenocarcinoma as the primary source for the iris tumor. CONCLUSIONS: We
recommend that patients with acute iritis with atypical iris mass resembling
fibrin and secondary glaucoma should be examined comprehensively for systemic
tumors.
PMID- 29801473
TI - Identification of genes inducing resistance to ionizing radiation in human rectal
cancer cell lines: re-sensitization of radio-resistant rectal cancer cells
through down regulating NDRG1.
AB - BACKGROUND: Resistance to preoperative radiotherapy is a major clinical problem
in the treatment for locally advanced rectal cancer. The role of NDRG1 in
resistance to ionizing radiation in rectal cancer has not been fully elucidated.
This study aimed to investigate the effect of the reduced intracellular NDRG1
expression on radio-sensitivity of human rectal cancer cells for exploring novel
approaches for treatment of rectal cancer. METHODS: Three radio-resistant human
rectal cancer cell lines (SNU-61R80Gy, SNU-283R80Gy, and SNU-503R80Gy) were
established from human rectal cancer cell lines (SNU-61, SNU-283, and SNU-503)
using total 80 Gy of fractionated irradiation. Microarray analysis was performed
to identify differently expressed genes in newly established radio-resistant
human rectal cancer cells compared to parental rectal cancer cells. RESULTS: A
microarray analysis indicated the RNA expression of five genes (NDRG1, ERRFI1,
H19, MPZL3, and UCA1) was highly increased in radio-resistant rectal cancer cell
lines. Short hairpin RNA-mediated silencing of NDRG1 sensitized rectal cancer
cell lines to clinically relevant doses of radiation by causing more DNA double
strand breakages to rectal cancer cells when exposed to radiation. CONCLUSIONS:
Targeting NDRG1 represents a promising strategy to increase response to
radiotherapy in human rectal cancer.
PMID- 29801474
TI - A Phase I clinical trial of EUS-guided intratumoral injection of the oncolytic
virus, HF10 for unresectable locally advanced pancreatic cancer.
AB - BACKGROUND: Prognosis of pancreatic cancer is poor with a 5-year survival rate of
only 7%. Although several new chemotherapy treatments have shown promising
results, all patients will eventually progress, and we need to develop newer
chemotherapy treatments to improve response rates and overall survival (OS). HF10
is a spontaneously mutated oncolytic virus derived from a herpes simplex virus-1,
and it has potential to show strong antitumor effect against malignancies without
damaging normal tissue. We aimed to evaluate the safety and anti-tumor
effectiveness in phase I dose-escalation trial of direct injection of HF10 into
unresectable locally advanced pancreatic cancer under endoscopic ultrasound (EUS)
guidance in combination with erlotinib and gemcitabine administration. The mid
term results have been previously reported and here we report the final results
of our study. METHODS: This was a single arm, open-label Phase I trial. HF10 was
injected once every 2 weeks and continued up to four times in total unless dose
limiting toxicity (DLT) appears. A total of nine subjects in three Cohorts with
dose-escalation were planned to be enrolled in this trial. The primary endpoint
was the safety assessment and the secondary endpoint was the efficacy assessment.
RESULTS: Twelve patients enrolled in this clinical trial, and ten subjects
received this therapy. Five patients showed Grade III myelosuppression and two
patients developed serious adverse events (AEs) (perforation of duodenum, hepatic
dysfunction). However, all of these events were judged as AEs unrelated to HF10.
Tumor responses were three partial responses (PR), four stable diseases (SD), and
two progressive diseases (PD) out of nine subjects who completed the treatment.
Target lesion responses were three PRs and six SDs. The median progression free
survival (PFS) was 6.3 months, whereas the median OS was 15.5 months. Two
subjects from Cohort 1 and 2 showed downstaging and finally achieved surgical
complete response (CR). CONCLUSIONS: HF10 direct injection under EUS-guidance in
combination with erlotinib and gemcitabine was a safe treatment for locally
advanced pancreatic cancer. Combination therapy of HF10 and chemotherapy should
be explored further in large prospective studies. TRIAL REGISTRATION: This study
was prospectively registered in UMIN-CTR (UMIN000010150) on March 4th, 2013.
PMID- 29801475
TI - Dyskeratosis congenita with a novel genetic variant in the DKC1 gene: a case
report.
AB - BACKGROUND: Dyskeratosis congenita (DC) is a rare genetic disorder of bone marrow
failure inherited in an X-linked, autosomal dominant or autosomal recessive
pattern. It has a wide array of clinical features and patients may be cared for
by many medical sub specialties. The typical clinical features consist of lacy
reticular skin pigmentation, nail dystrophy and oral leukoplakia. As the disease
advances, patients may develop progressive bone marrow failure, pulmonary
fibrosis, oesophageal stenosis, urethral stenosis, liver cirrhosis as well as
haematological and solid malignancies. Several genes have been implicated in the
pathogenesis of dyskeratosis congenita, with the dyskerin pseudouridine synthase
1 (DKC1) gene mutations being the X-linked recessive gene. CASE PRESENTATION:
Herein, we report a 31-year-old male with history of recurrent febrile episodes
who was found to have reticulate skin pigmentation interspersed with
hypopigmented macules involving the face, neck and extremities, hyperkeratosis of
palms and soles, nail dystrophy, leukoplakia of the tongue, premature graying of
hair, watery eyes and dental caries. Several of his male relatives, including two
maternal uncles and three maternal cousins were affected with a similar type of
disease condition. Pedigree analysis suggested a possible X-linked pattern of
inheritance. Genetic testing in the proband showed a novel hemizygous, non
synonymous likely pathogenic variant [NM_001363.4: c.1054A > G: p.Thr352Ala] in
the PUA domain of the DKC1 gene. Quantitative polymerase chain reaction for
relative telomere length measurements performed in the proband showed that he had
very short telomeres [0.38, compared to a control median of 0.71 (range 0.44
1.19)], which is consistent with the DC diagnosis. Co-segregation analysis of the
novel mutation and telomere length measurements in the extended family members
could not be performed as they were unwilling to provide consent for testing.
CONCLUSIONS: The novel variant detected in the DKC1 gene adds further to the
existing scientific literature on the genotype-phenotype correlation of DC, and
has important implications for the clinical and molecular characterization of the
disease.
PMID- 29801477
TI - A cross-sectional survey investigating women's information sources, behaviour,
expectations, knowledge and level of satisfaction on advice received about diet
and supplements before and during pregnancy.
AB - BACKGROUND: The reported long-term effects of poor maternal nutrition and uptake
of recommended supplements before and during pregnancy was the impetus behind
this study. Our objectives were to investigate and understand women's
expectations, knowledge, behaviour and information sources used regarding the use
of nutrition and vitamin supplements before and during pregnancy. METHODS: A
cross-sectional survey using a self-administered questionnaire was undertaken. A
purposive sampling technique was used. Women attending the antenatal clinic at
Croydon University Hospital during 2015 were invited to take part in the study.
The data was analysed using descriptive statistics, paired sample T-tests and Chi
squared tests, with the level of significance set at 5% (p < 0.05). RESULTS: A
total of 133 pregnant women completed the survey. Analysis of the results showed
that women are currently using electronic resources (33%, n = 42) rather than
healthcare professionals (19%, n = 25) as an information source before pregnancy.
Women who sourced information through the internet were significantly more likely
to take folic acid (p = 0.006) and vitamin D (p = 0.004) before pregnancy. Women
preferred to receive information from the antenatal clinic (62%, n = 83),
internet (46%, n = 61) and from mobile applications (27%, n = 36). Although women
believed they had sufficient knowledge (60%, n = 80) and had received adequate
advice (53%, n = 70) concerning the correct supplements to take, this was not
demonstrated in their behaviour, with only a small number of women (37%, n = 49)
taking a folic acid supplement before pregnancy. Women mistakenly perceived the
timing of supplement advice as correct, with only a small number of women (18%, n
= 23) considering the advice on supplements as too late. CONCLUSIONS: Despite the
small sample size, this study demonstrated that women did not receive timely
and/or accurate advice to enable them to take the recommended supplements at the
optimal time. Women had the misconception that they understood the correct use of
pregnancy supplements. This misunderstanding may be prevented by providing women
intending to become pregnant with a structured, approved electronic source of
information that improves their supplements uptake.
PMID- 29801476
TI - Community health professionals' dementia knowledge, attitudes and care approach:
a cross-sectional survey in Changsha, China.
AB - BACKGROUND: Community health professionals play a significant role in dementia
care. However, little is known about community health professionals' capacity in
dementia care, especially in low and middle-income countries. The aim of the
present study was to assess community health professionals' dementia knowledge,
attitudes and care approach in China, a country with the largest population of
people with dementia in the world and where community based dementia care
services are much needed. METHODS: A cross-sectional survey was conducted. 450
health professionals were recruited into the study using random sampling from
community health service centres in Changsha, China. Their knowledge, attitudes
and care approach were assessed utilising the Chinese version of the Alzheimer's
Disease Knowledge Scale, Dementia Care Attitude Scale and Approach to Advanced
Dementia Care Questionnaire respectively. RESULTS: A total of 390 participants
returned the questionnaire (response rate 87%). Age, education, professional
group and care experience were associated with knowledge scores, and overall
dementia knowledge was poor. Attitudes were generally positive and influenced by
age, professional group, gender and care experience. The experience of caring for
people with dementia was positively associated with a person-centred care
approach, although the participants tended not to use a person-centred care
approach. A statistically significant association was found between knowledge and
attitudes (r = 0.379, P < 0.001), and between attitudes and care approach (r =
0.143, P < 0.001). However, dementia knowledge has no relationship with a person
centred approach. CONCLUSIONS: Community health professionals showed generally
positive attitudes towards people with dementia. However, they demonstrated poor
dementia knowledge and tended not to use a person-centred care approach. The
results suggest that a multifaceted approach consisting of educational
interventions for community health professionals, and policy and resource
development to meet the demand for community dementia care services, is urgently
needed in China.
PMID- 29801478
TI - Effects of an enhanced iron dense foods offering in the daily meals served in
geriatric institutions on measures of iron deficiency anemia.
AB - BACKGROUND: Iron deficiency is one of the most common causes of anemia in
geriatric patients. Although the oral iron intake is often inadequate, the
potential of iron dense foods in the daily meals of geriatric institutions is
rarely considered. To test during a 1- year span whether an improved frequency of
iron dense foods in the daily meals has an impact on the oral iron intake, the
hemoglobin concentration and anemia prevalence of institutionalized geriatric
patients. A parallel, open, pre-and post-oral nutrition intervention study. Two
geriatric hospitals participated as intervention centers and one as comparison
center. METHODS: In the two intervention centers, a menu plan adapted with iron
dense foods was applied. In the comparison center the regular meals provisions
was continued. At months 1, 6 and 12 of the intervention time the routine blood
parameter hemoglobin was taken from the geriatric hospital's medical report.
Component analysis assessed the nutrient density of the offered meals. 2-day
weighing records realized at month 1 and 6 of intervention-time assessed the iron
intake. Ninety-nine geriatric patients in the intervention centers and 37 in the
comparison center. All of them had multiple chronic diseases and an average age
of 84 years. With the non-parametric Friedmann-Test for repeated measurements, we
establish differences within the groups. With the Mann-Whitney-U-Test, we
establish differences between the groups. For dichotomous variables, the chi
square-test was used. A p-value of< 0.05 was considered statistically significant
for all analyses. RESULTS: In the intervention centers the iron intake (p <
0.001) and the hemoglobin concentration (p = 0.002) improved significantly (p <
0.001). As in the comparison center the frequency of meat and sausage offerings
was twice as much as recommended also the hemoglobin concentration improved (p =
0.001). CONCLUSION: Geriatric patients with anemia or low hemoglobin level
benefit optimally from a diet rich in iron dense foods. Enhanced access to such
can indeed correct iron deficiency anemia. TRIAL REGISTRATION: The ethics
committee of the Municipality of Vienna ( EK-13-043-0513 ) approved the study.
PMID- 29801479
TI - Splicing defect in FKBP10 gene causes autosomal recessive osteogenesis imperfecta
disease: a case report.
AB - BACKGROUND: Osteogenesis imperfecta (OI) is a group of connective tissue disorder
caused by mutations of genes involved in the production of collagen and its
supporting proteins. Although the majority of reported OI variants are in COL1A1
and COL1A2 genes, recent reports have shown problems in other non-collagenous
genes involved in the post translational modifications, folding and transport,
transcription and proliferation of osteoblasts, bone mineralization, and cell
signaling. Up to now, 17 types of OI have been reported in which types I to IV
are the most frequent cases with autosomal dominant pattern of inheritance. CASE
PRESENTATION: Here we report an 8- year- old boy with OI who has had multiple
fractures since birth and now he is wheelchair-dependent. To identify genetic
cause of OI in our patient, whole exome sequencing (WES) was carried out and it
revealed a novel deleterious homozygote splice acceptor site mutation (c.1257-2A
> G, IVS7-2A > G) in FKBP10 gene in the patient. Then, the identified mutation
was confirmed using Sanger sequencing in the proband as homozygous and in his
parents as heterozygous, indicating its autosomal recessive pattern of
inheritance. In addition, we performed RT-PCR on RNA transcripts originated from
skin fibroblast of the proband to analyze the functional effect of the mutation
on splicing pattern of FKBP10 gene and it showed skipping of the exon 8 of this
gene. Moreover, Real-Time PCR was carried out to quantify the expression level of
FKBP10 in the proband and his family members in which it revealed nearly the full
decrease in the level of FKBP10 expression in the proband and around 75% decrease
in its level in the carriers of the mutation, strongly suggesting the
pathogenicity of the mutation. CONCLUSIONS: Our study identified, for the first
time, a private pathogenic splice site mutation in FKBP10 gene and further prove
the involvement of this gene in the rare cases of autosomal recessive OI type XI
with distinguished clinical manifestations.
PMID- 29801480
TI - IKappaKappaepsilon cooperates with either MEK or non-canonical NF-kB driving
growth of triple-negative breast cancer cells in different contexts.
AB - BACKGROUND: Metastatic breast cancer carries a poor prognosis despite the success
of newly targeted therapies. Treatment options remain especially limited for the
subtype of triple negative breast cancer (TNBC). Several signaling pathways,
including NF-kappaB, are altered in TNBC, and the complexity of this disease
implies multi-faceted pathway interactions. Given that IKKepsilon behaves as an
oncogene in breast cancer, we hypothesized that IKKepsilon regulates NF-kappaB
signaling to control diverse oncogenic functions in TNBC. METHODS: Vector
expression and RNA interference were used to investigate the functional role of
IKKepsilon in triple-negative breast cancer cells. Viability, protein expression,
NF-kappaB binding activity, invasion, anoikis, and spheroid formation were
examined in cells expressing high or low levels of IKKepsilon, in conjunction
with p52 RNA interference or MEK inhibition. RESULTS: This study found that non
canonical NF-kappaB p52 levels are inversely proportional to
IotaKappaKappaepsilon, and growth of TNBC cells in anchorage supportive, high
attachment conditions requires IKKepsilon and activated MEK. Growth of these
cells in anchorage resistant conditions requires IKKepsilon and activated MEK or
p52. In this model, IKKepsilon and MEK cooperate to support overall viability
whereas the p52 transcription factor is only required for viability in low
attachment conditions, underscoring the contrasting roles of these proteins.
CONCLUSIONS: This study illustrates the diverse functions of IKKepsilon in TNBC
and highlights the adaptability of NF-kappaB signaling in maintaining cancer cell
survival under different growth conditions. A better understanding of the
diversity of NF-kappaB signaling may ultimately improve the development of novel
therapeutic regimens for TNBC.
PMID- 29801481
TI - When a mother has cancer: strains and resources of affected families from the
mother's and father's perspective - a qualitative study.
AB - BACKGROUND: When a mother has cancer, families with minor children are confronted
with major challenges for all family members. According to the Family Adjustment
and Adaptation Response (FAAR) Model, the (im) balance between strains and
resources of families affected by cancer can be an important indicator on the
families' adjustment to the situation. Hence, this study aims to explore the
strains and resources of families of mothers with cancer from the mother's and
father's perspective. METHODS: We conducted semi-structured interviews with 29
mothers diagnosed with cancer and ten fathers. The data was transcribed verbatim
and analyzed using thematic analysis. RESULTS: Both, mothers and fathers,
reported a general impact of the disease regarding social and practical changes
as well as strong emotional reactions. Parents reported specific strains and
stressors regarding their parental role e.g. changes in the self-concept as a
parent or fears and concerns about the children. Many mothers additionally
experienced feelings of guilt. All fathers reported an increase of
responsibilities and pressure. Both, the ill and healthy parent, reported strains
and stressors for their children, e.g. parents observed behavioral changes and
strong emotional reactions in their children. Families used a variety of
resources and coping strategies on external, family and intrapersonal levels to
encounter the challenges of the disease. They reported that e.g. support
networks, flexible working hours and competent medical staff were helpful.
Moreover, on the family level e.g. family time, open communication and the
children themselves were considered to be important resources. On the
intrapersonal level, parents reported resources such as setting small aims for
the future and taking time for oneself. CONCLUSIONS: Our findings indicate a high
amount and diversity of stressors and strains for the ill and healthy parent and
for their children. At the same time, parents use diverse resources and coping
strategies on external, family or intrapersonal level. The assessment of strains
and resources may be an important indicator for the support needs of families
when a mother has cancer. Enhancing and activating resources and coping
strategies may help the families to manage the situation better and may prevent
maladjustment in the family members.
PMID- 29801482
TI - Associations between the use of herbal medicines and adverse pregnancy outcomes
in rural Malawi: a secondary analysis of randomised controlled trial data.
AB - BACKGROUND: The use of herbal medicines during pregnancy is very high globally
and previous studies have pointed out possible associations with adverse
pregnancy outcomes. Nevertheless, the safety of herbal medicines in pregnancy is
under-explored in low-income countries experiencing high maternal and neonatal
complications. We investigated the associations between self-reported use of
Mwanamphepo (a group of herbal medicines commonly used to induce or hasten
labour) and adverse maternal and neonatal outcomes in rural Malawi. METHODS: We
conducted a cross-sectional analysis of secondary household data relating to 8219
births that occurred between 2005 and 2010 in Mchinji district, Malawi. The data
were collected as part of a cluster-randomised controlled trial (RCT) that
evaluated community interventions designed to reduce maternal and neonatal
mortality. Data were gathered on maternity history, demographic characteristics,
pregnancy outcomes and exposure to Mwanamphepo. Associations between self
reported use of Mwanamphepo and maternal morbidity as well as neonatal death or
morbidity were examined using mixed-effects models, adjusted for relevant
covariates. All analyses were also adjusted for the clustered nature of the
survey. RESULTS: Of the 8219 births, Mwanamphepo was used in 2113 pregnancies,
representing an estimated prevalence of 25.7%. The self-reported use of
Mwanamphepo was significantly associated with increased occurrence of maternal
morbidity and neonatal death or morbidity. Specifically, the odds of maternal
morbidity were 28% higher among self-reported users than non-users of Mwanamphepo
(AOR = 1.28; 95% CI = 1.09-1.50) and the probabilities of neonatal death or
morbidity were 22% higher (AOR =1.22; 95% CI = 1.06-1.40) among neonates whose
mother reportedly used Mwanamphepo than those who did not. CONCLUSION: The use of
Mwanamphepo was associated with adverse pregnancy outcomes in rural Malawi. Thus,
herbal medicines may not be safe in pregnancy. Where possible, pregnant women
should be discouraged from using herbal medicines of unconfirmed safety and those
who report to have used should be closely monitored by health professionals. The
study was limited by the self-report of exposure and unavailability of data
relating to some possible confounders.
PMID- 29801483
TI - Treating schistosomiasis among South African high school pupils in an endemic
area, a qualitative study.
AB - BACKGROUND: Schistosomiasis, a neglected tropical disease caused by parasites
that infest open water sources such as rivers and dams may increase
susceptibility to HIV. Mass-treatment with praziquantel tablets, recommended by
the World Health Organization reduces the prevalence of schistosomiasis. The goal
in endemic areas is 75% treatment participation in every treatment round (e.g.
yearly). However, in rural Ugu district, KwaZulu-Natal, South-Africa there was
low participation among pupils in a Department of Health Mass-Treatment Campaign
for schistosomiasis. METHODS: Nested in a large study on schistosomiasis the
study was conducted in 2012 over 4 months using qualitative methods with the
Health Belief Model as the conceptual framework. Purposive sampling was done.
Focus Group Discussions were undertaken at six schools in grades 10-12.
Individual in-depth interviews were held with one teacher and two pupils at each
school. In addition three traditional healers and a community health worker were
interviewed. RESULTS: The severity of schistosomiasis was not recognised and
neither was the pupils' susceptibility. Barriers to treatment included confusing
S, haematobium symptoms with sexually transmitted infections, teasing and stigma.
CONCLUSIONS: Increased knowledge, health literacy for treatment, and correct
understanding about the severity of schistosomiasis may provide cues to action.
The study indicates that comprehensive information may increase pupil
participation in mass-treatment and decrease schistosomiasis prevalence. TRIAL
REGISTRATION: This study was registered with clinicaltrials.gov registry database
and the registration number is NCT01154907 30 June 2011.
PMID- 29801484
TI - Science in the clinic: a qualitative study of the positioning of MD-PhDs in the
everyday clinical setting.
AB - BACKGROUND: MD-PhDs have been hailed as significant to the advancement of
medicine and health care. Yet when it comes to which positions MD-PhDs should be
holding in the clinic and the academic world, there seems to be no real
consensus. This article examines the ways in which a PhD-degree may contribute to
medical doctors' professional practice in the clinic and discusses the
positioning of MD-PhDs in the clinic. METHODS: The study is explorative and
qualitative, based on interviews with MD-PhDs, their physician colleagues without
a PhD-degree, and their leaders. Positioning theory was applied as the analytical
framework for data analysis. RESULTS: We found two opposing positions cutting
across the groups of informants with one side critiquing the MD-PhDs for not
doing enough research and for using the PhD-degree to climb the career ladder,
while the other side emphasized the ways in which MD-PhDs increase the clinical
focus on evidence-based medicine and integrate it with clinical decision making,
thereby enhancing patient care. CONCLUSIONS: A debate is needed to establish more
clearly how we wish to position MD-PhDs in the clinic, which in turn will give us
a better idea of how many to educate and how to make better use of their
competencies.
PMID- 29801485
TI - Assessing the community-level impact of a decade of user fee policy shifts on
health facility deliveries in Kenya, 2003-2014.
AB - BACKGROUND: The long-term impact of user fee removal policies on health service
utilization in low- and middle-income countries may vary depending on the context
in which they are implemented, including whether there are policy actions to
support implementation. We examined the community-level impact of a decade of
user fee policy shifts on health facility delivery among poorest and rural women
and compared the changes with those among the richest and urban women in Kenya
using data from three rounds of nationally representative surveys. METHODS: Data
are from births occurring in the 5 years preceding the survey to women aged 15-49
years who were interviewed in the 2003, 2008-2009 and 2014 Kenya Demographic and
Health Surveys. A total of 5949, 6079 and 20,964 births were reported in
respective surveys. We conducted interrupted time series analysis predicting
changes in quarterly proportions of births occurring in public and private health
facilities as well as at home before and after the 2004, 2007 and 2013 user fee
policy shifts in Kenya. RESULTS: There were no statistically significant
immediate changes in the proportion of births occurring in public facilities
following the 2004, 2007 and 2013 user fee policy shifts among poor or rural
women. There was, however, a statistically significant increase in home
deliveries among all women and among those from the poorest households
immediately following the 2004 policy. There was also a statistically significant
increase in public facility deliveries among women from the two top quintiles,
which was accompanied by a statistically decline in home deliveries immediately
after the 2007 policy shift. Differences in trends in public facility deliveries
between pre- and post-policy periods were not statistically significant for all
sub-groups of women, indicating that even among the sub-group that experienced
significant immediate increase after the 2007 policy shift, this pattern was not
sustained over time. CONCLUSION: The findings of this paper provide empirical
evidence that poorly implemented user fee removal policies benefit more well-off
than poor women and in cases where there are significant immediate effects on
uptake of facility delivery, this trend is not sustained over time.
PMID- 29801486
TI - A randomized placebo-controlled clinical trial of a multi-strain probiotic
formulation (Bio-Kult(r)) in the management of diarrhea-predominant irritable
bowel syndrome.
AB - BACKGROUND: Accumulating evidence supports the view that an imbalance of gut
bacteria contributes to IBS, and that increasing the mass of beneficial species
may reduce the numbers of pathogenic bacteria and help alleviate symptoms.
METHODS: In this double-blind trial 400 adult patients with moderate-to-severe
symptomatic diarrhea-predominant IBS (IBS-D) were randomized to treatment with
the multi-strain probiotic Bio-Kult(r) (14 different bacterial strains) or
placebo for 16 weeks. The change in severity and frequency of abdominal pain was
the primary outcome measure. RESULTS: Probiotic treatment significantly improved
the severity of abdominal pain in patients with IBS-D. A 69% reduction for
probiotic versus 47% for placebo (p < 0.001) equates to a 145 point reduction on
the IBS-severity scoring system (IBS-SSS). The proportion of patients who rated
their symptoms as moderate-to-severe was reduced from 100% at baseline to 14% for
the multi-strain probiotic at follow-up (month 5) versus 48% for placebo (p <
0.001). Also, the number of bowel motions per day from month 2 onwards was
significantly reduced in the probiotic group compared with the placebo group (p <
0.05). In addition to relieving symptoms, the probiotic markedly improved all
dimensions of quality of life in the 34-item IBS-Quality of Life (IBS-QoL)
questionnaire. No serious adverse events were reported. CONCLUSIONS: The multi
strain probiotic was associated with significant improvement in symptoms in
patients with IBS-D and was well-tolerated. These results suggest that probiotics
confer a benefit in IBS-D patients which deserves further investigation. TRIAL
REGISTRATION: [Clinicaltrials.gov NCT03251625 ; retrospectively registered on
August 9, 2017].
PMID- 29801487
TI - Burden of de novo mutations and inherited rare single nucleotide variants in
children with sensory processing dysfunction.
AB - BACKGROUND: In children with sensory processing dysfunction (SPD), who do not
meet criteria for autism spectrum disorder (ASD) or intellectual disability, the
contribution of de novo pathogenic mutation in neurodevelopmental genes is
unknown and in need of investigation. We hypothesize that children with SPD may
have pathogenic variants in genes that have been identified as causing other
neurodevelopmental disorders including ASD. This genetic information may provide
important insight into the etiology of sensory processing dysfunction and guide
clinical evaluation and care. METHODS: Eleven community-recruited trios (children
with isolated SPD and both biological parents) underwent WES to identify
candidate de novo variants and inherited rare single nucleotide variants (rSNV)
in genes previously associated with ASD. Gene enrichment in these children and
their parents for transmitted and non-transmitted mutation burden was calculated.
A comparison analysis to assess for enriched rSNV burden was then performed in
2377 children with ASD and their families from the Simons Simplex Collection.
RESULTS: Of the children with SPD, 2/11 (18%), were identified as having a de
novo loss of function or missense mutation in genes previously reported as
causative for neurodevelopmental disorders (MBD5 and FMN2). We also found that
the parents of children with SPD have significant enrichment of pathogenic rSNV
burden in high-risk ASD candidate genes that are inherited by their affected
children. Using the same approach, we confirmed enrichment of rSNV burden in a
large cohort of children with autism and their parents but not unaffected
siblings. CONCLUSIONS: Our findings suggest that SPD, like autism, has a genetic
basis that includes both de novo single gene mutations as well as an accumulated
burden of rare inherited variants from their parents.
PMID- 29801488
TI - Spatially explicit assessment of heat health risk by using multi-sensor remote
sensing images and socioeconomic data in Yangtze River Delta, China.
AB - BACKGROUND: The increase in the frequency and intensity of extreme heat events,
which are potentially associated with climate change in the near future,
highlights the importance of heat health risk assessment, a significant reference
for heat-related death reduction and intervention. However, a spatiotemporal
mismatch exists between gridded heat hazard and human exposure in risk
assessment, which hinders the identification of high-risk areas at finer scales.
METHODS: A human settlement index integrated by nighttime light images, enhanced
vegetation index, and digital elevation model data was utilized to assess the
human exposure at high spatial resolution. Heat hazard and vulnerability index
were generated by land surface temperature and demographic and socioeconomic
census data, respectively. Spatially explicit assessment of heat health risk and
its driving factors was conducted in the Yangtze River Delta (YRD), east China at
250 m pixel level. RESULTS: High-risk areas were mainly distributed in the
urbanized areas of YRD, which were mostly driven by high human exposure and heat
hazard index. In some less-urbanized cities and suburban and rural areas of mega
cities, the heat health risks are in second priority. The risks in some less
developed areas were high despite the low human exposure index because of high
heat hazard and vulnerability index. CONCLUSIONS: This study illustrated a
methodology for identifying high-risk areas by combining freely available multi
source data. Highly urbanized areas were considered hotspots of high heat health
risks, which were largely driven by the increasing urban heat island effects and
population density in urban areas. Repercussions of overheating were weakened due
to the low social vulnerability in some central areas benefitting from the low
proportion of sensitive population or the high level of socioeconomic
development. By contrast, high social vulnerability intensifies heat health risks
in some less-urbanized cities and suburban areas of mega-cities.
PMID- 29801490
TI - Sequential broncho-alveolar lavages reflect distinct pulmonary compartments:
clinical and research implications in lung transplantation.
AB - BACKGROUND: Bronchoalveolar lavage (BAL) has proven to be very useful to monitor
the lung allograft after transplantation. In addition to allowing detection of
infections, multiple BAL analytes have been proposed as potential biomarkers of
lung allograft rejection or dysfunction. However, BAL collection is not well
standardized and differences in BAL collection represent an important source of
variation. We hypothesized that there are systematic differences between
sequential BALs that are relevant to BAL analysis. METHODS: As part of 126
consecutive bronchoscopies in lung transplant recipients, two sequential BALs
(BAL1 and BAL2) were performed in one location during each bronchoscopy by
instilling and suctioning 50 ml of normal saline twice into separate containers.
Cell concentration, viability and differentials, Surfactant Protein-D (SP-D),
Club Cell Secretory Protein (CCSP), and levels of CXCL10, IL-10, CCL2, CCL5, VEGF
C, RAGE, CXCL9, CXCL1, IL-17A, IL-21, PDGF, and GCSF were compared between BAL1
and BAL2. RESULTS: Total cell concentration did not differ between BAL1 and BAL2;
however, compared to BAL2, BAL1 had more dead cells, epithelial cells,
neutrophils, and higher concentrations of airway epithelium-derived CCSP and
inflammatory markers. BAL2 had a higher concentration of SP-D compared to BAL1.
CONCLUSION: In this study performed in lung transplant recipients, we show that
sequential BALs represent different lung compartments and have distinct
compositions. BAL1 represents the airway compartment with more epithelial cells,
neutrophils, and epithelium-derived CCSP. Conversely, BAL2 samples preferentially
the distal bronchoalveolar space with greater cell viability and higher SP-D. Our
findings illustrate how the method of BAL collection can influence analyte
concentrations and further emphasize the need for a standardized approach in
translational research involving BAL samples.
PMID- 29801489
TI - The effects of the introduction of a chronic care model-based program on
utilization of healthcare resources: the results of the Puglia care program.
AB - BACKGROUND: Ageing is continuously increasing the prevalence of patients with
chronic conditions, putting pressure on the sustainability of Healthcare Systems.
Chronic Care Models (CCM) have been used to address the needs of frail people in
the continuum of care, testifying to an improvement in health outcomes and more
efficient access to healthcare services. The impact of CCM deployment has already
been experienced in a selected cohort of patients affected by specific chronic
illnesses. We have investigated its effects in a heterogeneous frail cohort
included in a regional CCM-based program. METHODS: a retrospective population
based cohort study was carried out involving a non-oncological cohort of adult
subjects with chronic diseases included in the CCM-oriented program (Puglia
Care). Individuals in usual care with comparable demographic and clinical
characteristics were selected for matched pair analysis. Study cohorts were
defined by using a record linkage analysis of administrative databases and
electronic medical records, including data on the adult population in the 6 local
area health authorities of Puglia in Italy (approximately 2 million people). The
effects of Puglia Care on the utilizations of healthcare resources were evaluated
both in a before-after and in a case-control analysis. RESULTS: There were 1074
subjects included in Puglia Care and 2126 matched controls. In before-after
analysis of the Puglia Care cohort, 240 unplanned hospitalizations occurred in
the pre-inclusion period, while 239 were registered during follow-up. The
incidence of unplanned hospitalization was 10.3 per 100 person/year (95% CI, 9.1
11.7) during follow-up and 12.1 per 100 person/year (95% CI, 10.7-13.8) in the
pre-inclusion period (IRR, 0.84; 95% CI, 0.80-0.99). During follow-up a
significant reduction in costs related to unplanned hospitalizations (IRR, 0.92;
95% CI, 0.91-0.92) was registered, while costs related to drugs (IRR, 1.14; p <
0.01), out-patient specialist visits (IRR, 1.19; p < 0.01), and planned
hospitalization (IRR 1.03; p < 0.01) increased significantly. These modifications
can be related to the aging of the population and modifications to healthcare
delivery; for this reason, a case-control analysis was performed. The results
testify to a significantly lower number (IRR, 0.79; 95% CI, 0.68-0.91), length of
hospital stay (IRR, 0.80; 95% CI, 0.76-0.84), and costs related to unplanned
hospitalizations (IRR, 0.80; 95% CI, 0.80-0.80) during follow-up in the
intervention group. However, there was a higher increase in costs of
hospitalizations, drugs and out-patients specialist visits during follow-up in
Puglia Care when compared with patients in usual care. CONCLUSION: In a
population-based cohort, inclusion of chronic patients in a CCM-based program was
significantly associated with a lower recourse to unplanned hospital admissions
when compared with patients in usual care with comparable clinical and
demographic characteristics.
PMID- 29801492
TI - Exploration of the clinical benefits of sodium glucose co-transporter 2
inhibitors in diabetic patients with concomitant heart failure.
AB - Prevention and treatment strategies for heart failure (HF) in diabetes have not
been fully established, at least partly due to lack of recognition of a
pathological link between the two and effective antidiabetic agents for HF.
Recent cardiovascular (CV) outcomes trials demonstrated that treatment with
sodium glucose co-transporter 2 (SGLT2) inhibitors greatly improved major CV
adverse events in type 2 diabetes (T2D) patients at high risk for CV events,
seemingly driven by risk reduction in HF-related outcomes. The beneficial effects
of SGLT2 inhibitors on such outcomes and the heart itself are unique
characteristics among antidiabetic agents, and SGLT2 inhibitors are expected to
be a promising therapeutic option for CV disease and HF care. However, because a
limited number of T2D patients with concomitant HF were included in the CV
outcomes trials, the treatment effects of SGLT2 inhibitors for such conditions
have not been fully investigated. Moreover, there has been little evidence to
suggest SGLT2 inhibitor mediated effects on CV function and relevant biomarkers.
Januzzi et al. (J Am Coll Cardiol 70: 704-712, 2017) reported that canagliflozin
treatment could delay the escalation of cardiac biomarkers in older T2D patients,
suggesting direct CV protection by SGLT2 inhibitors in this population. Whether
SGLT2 inhibitors can exert similar benefits in T2D patients with concomitant HF
will likely be the next big issue of medical concern. Furthermore, newer clinical
trials are currently ongoing to investigate whether SGLT2 inhibitors exhibit
beneficial effects for HF, both in the presence and absence of T2D. Such trials
may potentially identify novel approaches for treating HF.
PMID- 29801491
TI - Association between heavy metal levels and acute ischemic stroke.
AB - BACKGROUND: Few studies have examined the relationship between the amounts of
heavy metal and stroke incidence. The aim of this study was to explore the
relationship between levels of heavy metals, including Pb, Hg, As, and Cd, in
patients with acute ischemic stroke (AIS). METHODS: We selected patients with
first-ever AIS onset within 1 week as our study group. Healthy controls were
participants without a history of stroke or chronic disease, except hypertension.
The serum levels of Pb, Hg, As, and Cd in participants in the experimental and
control groups were determined. All participants received a 1-g infusion of
edetate calcium disodium (EDTA). Urine specimens were collected for 24 h after
EDTA infusion and measured for heavy metal levels. RESULTS: In total, 33 patients
with AIS and 39 healthy controls were enrolled in this study. The major findings
were as follows: (1) The stroke group had a significantly lower level of serum Hg
(6.4 +/- 4.3 MUg/L vs. 9.8 +/- 7.0 MUg/L, P = 0.032, OR = 0.90, 95% CI = 0.81
0.99) and a lower level of urine Hg (0.7 +/- 0.7 MUg/L vs. 1.2 +/- 0.6 MUg/L, P =
0.006, OR = 0.27, 95% CI = 0.11-0.68) than the control group. (2) No significant
difference in serum Pb (S-Pb), As (S-As), and Cd (S-Cd) levels and urine Pb (U
Pb), As (U-As) and Cd (U-Cd) levels was observed in either group. CONCLUSIONS:
Our study found low levels of serum and urine Hg in first-ever patients with AIS,
providing new evidence of dysregulated heavy metals in patients with AIS.
PMID- 29801493
TI - Community health workers and accountability: reflections from an international
"think-in".
AB - Community health workers (CHWs) are frequently put forward as a remedy for lack
of health system capacity, including challenges associated with health service
coverage and with low community engagement in the health system, and expected to
enhance or embody health system accountability. During a 'think in', held in June
of 2017, a diverse group of practitioners and researchers discussed the topic of
CHWs and their possible roles in a larger "accountability ecosystem." This
jointly authored commentary resulted from our deliberations. While CHWs are often
conceptualized as cogs in a mechanistic health delivery system, at the end of the
day, CHWs are people embedded in families, communities, and the health system.
CHWs' social position and professional role influence how they are treated and
trusted by the health sector and by community members, as well as when, where,
and how they can exercise agency and promote accountability. To that end, we put
forward several propositions for further conceptual development and research
related to the question of CHWs and accountability.
PMID- 29801494
TI - "Who has ever loved a drug addict? It's a lie. They think a 'teja' is as bad
person": multiple stigmas faced by women who inject drugs in coastal Kenya.
AB - BACKGROUND: A tenth of all people who inject drugs in Kenya are women, yet their
social contexts and experiences remain poorly understood. This paper reports how
multiple forms of stigma are experienced by women who inject drugs in coastal
Kenya and the impact that they have on their ability to access essential health
services. METHODS: In 2015, in-depth interviews and focus group discussions were
held with 45 women who inject drugs in two coastal towns. These data were
supplemented with in-depth interviews with five individual stakeholders involved
in service provision to this population. Data were analyzed thematically using
NVivo. RESULTS: Women who inject drugs experience multiple stigmas, often
simultaneously. These included the external stigma and self-stigma of injection
drug use, external gender-related stigma of being a female injecting drug user,
and the external stigma of being HIV positive (i.e., among those living with
HIV). Stigma led to rejection, social exclusion, low self-esteem, and delay or
denial of services at health facilities. CONCLUSION: HIV and harm reduction
programs should incorporate interventions that address different forms of stigma
among women who inject drugs in coastal Kenya. Addressing stigma will require a
combination of individual, social, and structural interventions, such as
collective empowerment of injecting drug users, training of healthcare providers
on issues and needs of women who inject drugs, peer accompaniment to health
facilities, addressing wider social determinants of stigma and discrimination,
and expansion of harm reduction interventions to change perceptions of
communities towards women who inject drugs.
PMID- 29801495
TI - Health promoting lifestyles and influencing factors among empty nesters and non
empty nesters in Taiyuan, China: a cross-sectional study.
AB - BACKGROUND: In China, the problems of population aging and empty nesting have
become important issues which will affect the social stability and economic
development. The aim of this study was to explore the health promoting lifestyles
and influencing factors among empty nesters and compare with non-empty nesters to
find out their differences, so as to provide a scientific evidence for people to
formulate health management strategies for elderly. METHODS: A cross-sectional
survey which used a stratified random cluster sampling method, was conducted
among 500 elders in six districts of Taiyuan, China, there were 288 empty nesters
and 212 non-empty nesters. The general information and health- promoting
lifestyles were investigated by using the self-made General Information
Questionnaire and Health Promoting Lifestyle Scale(HPLP). Two-sample t-test and
Chi-square test were used to compare the sociodemographic factors, HPLP scores of
empty nesters to non-empty nesters; Multiple stepwise linear regression was
performed to estimate influencing factors related to the HPLP of empty nesters
and non-empty nesters. RESULTS: The current findings showed that there were
differences between the empty nesters and non-empty nesters in gender, resident,
marital status, education and income, self-care ability, source of income,
relationship with spouse and social activities (P < 0.05). Empty nesters were
mostly male, married, had a higher education level, self-care ability and income
and lived in urban compared with non-empty nesters. The health promoting
lifestyles of the elderly in this survey were in the medium level, the highest
score for all dimensions in both groups was in nutrition, whereas health
responsibility was executed worst. The HPLP and six subscales scores of the empty
nesters were higher than non-empty nesters, there were significant differences in
total score of HPLP, self-realization and health responsibility (P < 0.01).
Multiple regression analysis showed that the main predictive factors for the
empty nesters were education, self-care ability and resident, whereas the main
predictive factors for the non-empty nesters were parents-child relationship,
source of income and age; social activity was the common factor for two group.
CONCLUSION: The health promoting lifestyles of the empty nesters was better than
that of the non-empty nesters. Health responsibility, interpersonal relations and
stress management were key dimensions to be improved. Except social activity,
education, self-care ability and resident were the unique influencing factors of
health-promoting lifestyles for empty nesters, while the parents-child
relationship, income and age were unique factors for non-empty nesters. The main
target of Intervention strategy for elderly health promoting lifestyles should be
the enhance of health responsibility, interpersonal relations and stress
management by improving social activities, parent-child relationship, education
and income of elderly.
PMID- 29801496
TI - Cost of HPV screening at community health campaigns (CHCs) and health clinics in
rural Kenya.
AB - BACKGROUND: Cervical cancer is the most frequent neoplasm among Kenyan women,
with 4800 diagnoses and 2400 deaths per year. One reason is an extremely low rate
of screening through pap smears, at 13.8% in 2014. Knowing the costs of screening
will help planners and policymakers design, implement, and scale programs.
METHODS: We conducted HPV-based cervical cancer screening via self-collection in
12 communities in rural Migori County, Kenya. Six communities were randomized to
community health campaigns (CHCs), and six to screening at government clinics.
All HPV-positive women were referred for cryotherapy at Migori County Hospital.
We prospectively estimated direct costs from the health system perspective, using
micro-costing methods. Cost data were extracted from expenditure records, staff
interviews, and time and motion logs. Total costs per woman screening included
three activities: outreach, HPV-based screening, and notification. Types of
inputs include personnel, recurrent goods, capital goods, and services. We costed
potential changes to implementation for scaling. RESULTS: From January to
September 2016, 2899 women were screened in CHCs and 2042 in clinics. Each CHC
lasted for 30 working days, 10 days each for outreach, screening, and
notification. The mean cost per woman screened was $25.00 for CHCs [median:
$25.09; Range: $22.06-30.21] and $29.56 for clinics [$28.90; $25.27-37.08].
Clinics had higher costs than CHCs for personnel ($14.27 vs. $11.26) and capital
($5.55 vs. $2.80). Screening costs were higher for clinics at $21.84, compared to
$17.48 for CHCs. In contrast, CHCs had higher outreach costs ($3.34 vs. $0.17).
After modeling a reduction in staffing, clinic per-screening costs ($25.69) were
approximately equivalent to CHCs. CONCLUSIONS: HPV-based cervical cancer
screening through community health campaigns achieved lower costs per woman
screened, compared to screening at clinics. Periodic high-volume CHCs appear to
be a viable low-cost strategy for implementing cervical cancer screening.
PMID- 29801498
TI - Factors shaping political priorities for violence against women-mitigation
policies in Sri Lanka.
AB - BACKGROUND: Although violence against women (VAW) is a global public health
issue, its importance as a health issue is often unrecognized in legal and health
policy documents. This paper uses Sri Lanka as a case study to explore the
factors influencing the national policy response to VAW, particularly by the
health sector. METHODS: A document based health policy analysis was conducted to
examine current policy responses to VAW in Sri Lanka using the Shiffman and Smith
(2007) policy analysis framework. RESULTS: The findings suggest that the networks
and influences of various actors in Sri Lanka, and their ideas used to frame the
issue of VAW, have been particularly important in shaping the nature of the
policy response to date. The Ministry of Women and Child Affairs led the national
response on VAW, but suffered from limited financial and political support.
Results also suggest that there was low engagement by the health sector in the
initial policy response to VAW in Sri Lanka, which focused primarily on criminal
legislation, following global influences. Furthermore, a lack of empirical data
on VAW has impeded its promotion as a health policy issue, despite financial
support from international organisations enabling an initial health systems
response by the Ministry of Health. Until a legal framework was established
(2005), the political context provided limited opportunities for VAW to also be
construed as a health issue. It was only then that the Ministry of Health got
legitimacy to institutionalise VAW services. CONCLUSION: Nearly a decade later, a
change in government has led to a new national plan on VAW, giving a clear role
to the health sector in the fight against VAW. High-level political will,
criminalisation of violence, coalesced women's groups advocating for legislative
change, prevalence data, and financial support from influential institutions are
all critical elements helping frame violence as a national public health issue.
PMID- 29801497
TI - Status of newborn screening and follow up investigations for
Mucopolysaccharidoses I and II in Taiwan.
AB - BACKGROUND: Mucopolysaccharidoses (MPS) are lysosomal storage diseases in which
mutations of genes encoding for lysosomal enzymes cause defects in the
degradation of glycosaminoglycans (GAGs). The accumulation of GAGs in lysosomes
results in cellular dysfunction and clinical abnormalities. The early initiation
of enzyme replacement therapy (ERT) can slow or prevent the development of severe
clinical manifestations. MPS I and II newborn screening has been available in
Taiwan since August 2015. Infants who failed the recheck at recall were referred
to MacKay Memorial Hospital for a detailed confirmatory diagnosis. METHODS: From
August 2015 to November 2017, 294,196 and 153,032 infants were screened using
tandem mass spectrometry for MPS I and MPS II, respectively. Of these infants, 84
suspected cases (eight for MPS I; 76 for MPS II) were referred for confirmation.
Urinary first-line biochemistry examinations were performed first, including
urinary GAG quantification, two-dimensional electrophoresis, and tandem mass
spectrometry assay for predominant disaccharides derived from GAGs. If the
results were positive, a confirmative diagnosis was made according to the results
of leukocyte enzymatic assay and molecular DNA analysis. Leukocyte pellets were
isolated from EDTA blood and used for fluorescent alpha-iduronidase (IDUA) or
iduronate-2-sulfatase (IDS) enzymatic assay. DNA sequencing analysis was also
performed. RESULTS: Normal IDS and IDUA enzyme activities were found in most of
the referred cases except for four who were strongly suspected of having MPS I
and three who were strongly suspected of having MPS II. Of these infants, three
with novel mutations of the IDS gene (c.817C > T, c.1025A > G, and c.311A > T)
and four with two missense mutations of the IDUA gene (C.300-3C > G, c.1874A > C;
c.1037 T > G, c.1091C > T) showed significant deficiencies in IDS and IDUA enzyme
activities (< 5% of mean normal activity), respectively. Urinary dermatan sulfate
and heparan sulfate quantitative analyses by tandem mass spectrometry also
demonstrated significant elevations. The prevalence rates of MPS I and MPS II in
Taiwan were 1.35 and 1.96 per 100,000 live births, respectively. CONCLUSIONS: The
early initiation of ERT for MPS can result in better clinical outcomes. An early
confirmatory diagnosis increases the probability of receiving appropriate medical
care such as ERT quickly enough to avoid irreversible manifestations. All high
risk infants identified in this study so far remain asymptomatic and are presumed
to be affected with the attenuated disease variants.
PMID- 29801499
TI - Fish consumption, fish oil supplements and risk of atherosclerosis in the Tromso
study.
AB - BACKGROUND: Whether long-chain n-3 PUFAs of marine origin have an anti
atherogenic effect in the general population has hardly been studied. In this
population-based study, we hypothesized that fatty fish and fish oil intake
protect against development of novel atherosclerotic plaques and is associated
with reduced plaque size. METHODS: We obtained questionnaire-based information on
fish consumption and carotid ultrasonography from 3900 persons aged 45-74 years.
The questionnaires were validated by measuring serum concentrations of PUFAs and
triglycerides in a subgroup. At follow-up seven years later, 2983 (76%) went
through a second ultrasound scanning. Logistic regression and general linear
models were used to analyze the outcome (plaque presence and plaque area) as a
function of fish consumption, including analyses stratified on fish oil
supplements. RESULTS: At baseline, lean fish intake < 1 time/week vs. 1-1.9
times/week was associated with risk of plaque (OR 1.34, 95% CI 1.03-1.76). Fatty
fish intake and use of fish oil supplements were not statistically significantly
associated with atherosclerosis at baseline. In persons without plaque at
baseline, total fish consumption >=3 times/week vs. 1-1.9 times/week was
associated with risk of novel plaque (OR 1.32, 95% CI 1.01-1.73) and larger
plaque area (1.76 mm2 vs. 1.46 mm2, p = 0.02) at follow-up. Adjustments for use
of fish oil supplements had no impact on the associations, and no interactions
were seen between total, fatty or lean fish consumption and fish oil intake.
CONCLUSIONS: We found no protective effect of fatty fish eating or fish oil
supplements on atherosclerotic plaque formation or plaque area in a general
population. Lean fish consumption was associated with a reduced risk for plaque
in cross-sectional analysis, suggesting that the beneficial effects of fish
consumption on atherosclerosis may be mediated through other mechanisms than n-3
PUFAs.
PMID- 29801500
TI - A lifetime of stress: ATF6 in development and homeostasis.
AB - BACKGROUND: Activating transcription factor 6 (ATF6) is an endoplasmic reticulum
(ER)-localised protein and member of the leucine zipper family of transcription
factors. Best known for its role in transducing signals linked to stress to the
endoplasmic reticulum, the 50 kDa activated form of ATF6 is now emerging as a
major regulator of organogenesis and tissue homeostasis. Responsible for the
correct folding, secretion and membrane insertion of a third of the proteome in
eukaryotic cells, the ER encompasses a dynamic, labyrinthine network of
regulators, chaperones, foldases and cofactors. Such structures are crucial to
the extensive protein synthesis required to undergo normal development and
maintenance of tissue homeostasis. When an additional protein synthesis burden is
placed on the ER, ATF6, in tandem with ER stress transducers inositol requiring
enzyme 1 (IRE1) and PKR-like endoplasmic reticulum kinase (PERK), slows the pace
of protein translation and induces the production of stress-reducing chaperones
and foldases. MAIN TEXT: In the context of development and tissue homeostasis,
however, distinct cellular impacts have been attributed to ATF6. Drawing on data
published from human, rodent, fish, goat and bovine research, this review first
focuses on ATF6-mediated regulation of osteo- and chondrogenesis, ocular
development as well as neuro- and myelinogenesis. The purported role of ATF6 in
development of the muscular and reproductive systems as well as adipo- and
lipogenesis is then described. With relevance to cardiac disease, cancer and
brain disorders, the importance of ATF6 in maintaining tissue homeostasis is the
subject of the final section. CONCLUSION: In conclusion, the review encourages
further elucidation of ATF6 regulatory operations during organogenesis and tissue
homeostasis, to spawn the development of ATF6-targeted therapeutic strategies.
PMID- 29801501
TI - A novel extended form of alpha-synuclein 3'UTR in the human brain.
AB - Alpha-synuclein (alpha-SYN) is one of the key contributors in Parkinson's disease
(PD) pathogenesis. Despite the fact that increased alpha-SYN levels are
considered one of the key contributors in developing PD, the molecular mechanisms
underlying the regulation of alpha-SYN still needs to be elucidated. Since the 3'
untranslated regions (3'UTRs) of messenger RNAs (mRNAs) have important roles in
translation, localization, and stability of mRNAs through RNA binding proteins
(RBPs) and microRNAs (miRNAs), it is important to identify the exact length of
3'UTRs of transcripts in order to understand the precise regulation of gene
expression. Currently annotated human alpha-SYN mRNA has a relatively long 3'UTR
(2529 nucleotides [nt]) with several isoforms. RNA-sequencing and epigenomics
data have suggested, however, the possible existence of even longer transcripts
which extend beyond the annotated alpha-SYN 3'UTR sequence. Here, we have
discovered the novel extended form of alpha-SYN 3'UTR (3775 nt) in the substantia
nigra of human postmortem brain samples, induced pluripotent stem cell (iPSC)
derived dopaminergic neurons, and other human neuronal cell lines. Interestingly,
the longer variant reduced alpha-SYN translation. The extended alpha-SYN 3'UTR
was significantly lower in iPSC-derived dopaminergic neurons from sporadic PD
patients than controls. On the other hand, alpha-SYN protein levels were much
higher in PD cases, showing the strong negative correlation with the extended
3'UTR. These suggest that dysregulation of the extended alpha-SYN 3'UTR might
contribute to the pathogenesis of PD.
PMID- 29801503
TI - SCNS: a graphical tool for reconstructing executable regulatory networks from
single-cell genomic data.
AB - BACKGROUND: Reconstruction of executable mechanistic models from single-cell gene
expression data represents a powerful approach to understanding developmental and
disease processes. New ambitious efforts like the Human Cell Atlas will soon lead
to an explosion of data with potential for uncovering and understanding the
regulatory networks which underlie the behaviour of all human cells. In order to
take advantage of this data, however, there is a need for general-purpose, user
friendly and efficient computational tools that can be readily used by biologists
who do not have specialist computer science knowledge. RESULTS: The Single Cell
Network Synthesis toolkit (SCNS) is a general-purpose computational tool for the
reconstruction and analysis of executable models from single-cell gene expression
data. Through a graphical user interface, SCNS takes single-cell qPCR or RNA
sequencing data taken across a time course, and searches for logical rules that
drive transitions from early cell states towards late cell states. Because the
resulting reconstructed models are executable, they can be used to make
predictions about the effect of specific gene perturbations on the generation of
specific lineages. CONCLUSIONS: SCNS should be of broad interest to the growing
number of researchers working in single-cell genomics and will help further
facilitate the generation of valuable mechanistic insights into developmental,
homeostatic and disease processes.
PMID- 29801504
TI - Patient-derived multicellular tumor spheroids towards optimized treatment for
patients with hepatocellular carcinoma.
AB - BACKGROUND: Hepatocellular carcinoma (HCC) is one of the most common malignant
tumors worldwide and has poor prognosis. Specially, patients with HCC usually
have poor tolerance of systemic chemotherapy, because HCCs develop from
chronically damaged tissue that contains considerable inflammation, fibrosis, and
cirrhosis. Since HCC exhibits highly heterogeneous molecular characteristics, a
proper in vitro system is required for the study of HCC pathogenesis. To this
end, we have established two new hepatitis B virus (HBV) DNA-secreting HCC cell
lines from infected patients. METHODS: Based on these two new HCC cell lines, we
have developed chemosensitivity assays for patient-derived multicellular tumor
spheroids (MCTSs) in order to select optimized anti-cancer drugs to provide more
informative data for clinical drug application. To monitor the effect of the
interaction of cancer cells and stromal cells in MCTS, we used a 3D co-culture
model with patient-derived HCC cells and stromal cells from human hepatic
stellate cells, human fibroblasts, and human umbilical vein endothelial cells to
facilitate screening for optimized cancer therapy. RESULTS: To validate our
system, we performed a comparison of chemosensitivity of the three culture
systems, which are monolayer culture system, tumor spheroids, and MCTSs of
patient-derived cells, to sorafenib, 5-fluorouracil, and cisplatin, as these
compounds are typically standard therapy for advanced HCC in South Korea.
CONCLUSION: In summary, these findings suggest that the MCTS culture system is
the best methodology for screening for optimized treatment for each patients with
HCC, because tumor spheroids not only mirror the 3D cellular context of the
tumors but also exhibit therapeutically relevant pathophysiological gradients and
heterogeneity of in vivo tumors.
PMID- 29801502
TI - Lowering the n-6/n-3 PUFAs ratio inhibits the formation of THP-1 macrophage
derived foam cell.
AB - BACKGROUND: The balance between n-6 and n-3 PUFAs is an important determinant in
the risk for cardiovascular disease. The study was to investigate the influence
of the n-6 and n-3 PUFAs ratio on the formation of THP-1 monocyte-derived foam
cells and explore the probable mechanism of anti-atherosclerosis. METHODS: THP-1
monocyte cells were cultured with PMA and ox-LDL to establish a foam-cell model,
while treated with different ratios of n-6 to n-3 PUFAs for 48 h. The cholesterol
of foam cells was measured by a cholesterol assay kit. The levels of IL-6 and
TNFalpha in supernatant were detected with ELISA methods. The expressions of
CD36, ABCA1, ACAT1, PPARgamma and LXRalpha mRNA were detected with real-time PCR.
RESULTS: Compared with the foam cell model group, the low and middle ratio of n-6
to n-3 PUFAs groups decreased the intracellular concentration of cholesterol (P <
0.01), but the high n-6/n-3 PUFAs ratio did not. Fatty acids decreased the level
of IL-6 and TNFalpha in supernatant in a ratio-dependent manner. Fatty acids
treatment also decreased the expressions of CD36,ACTA1,PPARgamma,LXRalpha mRNA in
a ratio-dependent manner. CONCLUSIONS: Lowering the ratios of n-6 to n-3 PUFAs
can decrease the secretion of inflammatory cytokines then reduce the expressions
of CD36 and ACAT1 mRNA. As well, it can decrease the expressions of CD36 mRNA
through the PPARgamma pathway. This leads to less cholesterol ingestion into the
cells and decreased synthesis of cholesteryl ester, which inhibits the formation
of the foam cells, further preventing the occurrence and development of
atherosclerosis.
PMID- 29801505
TI - Reported use of evidence in clinical practice: a survey of rehabilitation
practices in Norway.
AB - BACKGROUND: The South Eastern Health Region in Norway serves approximately 2.8
million people, which is more than half of Norway's population. Physical medicine
and rehabilitation services are provided by 9 public hospital trusts and 30
private rehabilitation facilities. The purposes of this study were to conduct a
psychometric analysis of the EBP Implementation Scale (EBPIS) and describe
rehabilitation clinicians' self-reported 1) use of evidence-based practices
(EBPs), 2) use of EBPs across hospitals, and 3) determine factors associated with
use of EBPs in the South Eastern Health Region in Norway. METHODS: A cross
sectional study using an online survey was conducted with public hospitals and
private rehabilitation centers. The survey, which was distributed throughout the
region, included the EBPIS, 8 questions related to EBP in the health region, and
demographics. Response frequencies were calculated and described. Internal
consistency and factor structure of the EBPIS and its subscales were determined.
Associations and differences in groups with similar demographics, EBPIS scores,
and use of EBPs were identified. RESULTS: A total of 316 individuals completed
the survey, including allied health clinicians, nurses, psychologists, social
workers, and physicians. The EBPIS mean score was 30/72. A factor analysis
identified that the EBPIS can be divided into 3 subscales: literature search and
critical appraisal (alpha = .80), knowledge sharing (alpha = .83), and practice
evaluation (alpha = .74). EBP activities reported were primarily related to
literature searches, critical appraisal, and discussing evidence. Approximately
65 and 75% of respondents agreed that the same OMs and evidence based
interventions were used within the local clinic respectively. Fewer agreed that
the same OMs (13%) and evidence-based interventions (39%) are used regionally.
CONCLUSION: The EBPIS and its subscales demonstrated excellent internal
consistency. Practice variability exists in rehabilitation throughout
Southeastern Norway. An increased emphasis on use of EBP throughout the region is
needed.
PMID- 29801506
TI - Quality of life assessment in interstitial lung diseases:a comparison of the
disease-specific K-BILD with the generic EQ-5D-5L.
AB - BACKGROUND: Patients with interstitial lung diseases (ILD) have impaired health
related quality of life (HRQL). Little is known about the applicability of the
disease-specific King's Brief Interstitial Lung Disease questionnaire (K-BILD)
and the generic EQ-5D-5L in a German setting. METHODS: We assessed disease
specific (K-BILD) and generic HRQL (EQ-5D experience based value set (EBVS) and
Visual Analog Scale (VAS)) in 229 patients with different ILD subtypes in a
longitudinal observational study (HILDA). Additionally, we assessed the
correlation of the HRQL measures with lung function and comorbidities. In a
linear regression model, we investigated predictors (including age, sex, ILD
subtype, FVC percentage of predicted value (FVC%pred), DLCO percentage of
predicted value, and comorbidities). RESULTS: Among the 229 patients mean age was
63.2 (Standard deviation (SD): 12.9), 67.3% male, 24.0% had idiopathic pulmonary
fibrosis, and 22.3% sarcoidosis. Means scores were as follows for EQ-5D EBVS
0.66(SD 0.17), VAS 61.4 (SD 19.1) and K-BILD Total 53.6 (SD 13.8). K-BILD had
good construct validity (high correlation with EQ-5D EBVS (0.71)) and good
internal consistency (Cronbach's alpha 0.89). Moreover, all HRQL measures were
highly accepted by patients including low missing items and there were no ceiling
or floor effects. A higher FVC % pred was associated with higher HRQL in all
measures meanwhile comorbidities had a negative influence on HRQL. CONCLUSIONS: K
BILD and EQ-5D had similar HRQL trends and were associated similarly to the same
disease-related factors in Germany. Our data supports the use of K-BILD in
clinical practice in Germany, since it captures disease specific effects of ILD.
Additionally, the use of the EQ-5D-5L could provide comparison to different
disease areas and give an overview about the position of ILD patients in
comparison to general population.
PMID- 29801508
TI - Physical Rehabilitation Core Outcomes In Critical illness (PRACTICE): protocol
for development of a core outcome set.
AB - BACKGROUND: Existing data on physical rehabilitation interventions in critical
illness are challenged by outcome heterogeneity that limits data synthesis and
translation of research findings into clinical practice. This protocol describes
the PRACTICE study to develop a core outcome set (COS) for trials of physical
rehabilitation interventions delivered across the continuum of a patient's
recovery from the intensive care unit until reintegration in the community
following hospital discharge. METHODS: Mixed methods will be used including:
systematic reviews of quantitative and qualitative literature; qualitative
interviews with patients and caregivers; a modified Delphi consensus process with
researcher, clinician and patient/caregiver stakeholder groups; and consensus
meetings for ratification of findings, resolving uncertainty, or developing an
action plan for COS implementation. DISCUSSION: The PRACTICE COS will inform
relevant stakeholders about important outcomes regarding physical rehabilitation
in critical illness, and may enhance the future design and conduct of trials in
this area. TRIAL REGISTRATION: COMET database ( www.comet-initiative.org/ ,
Record ID 288, 01/03/13). PROSPERO database ( CRD42014008908 , CRD42017078549 ).
PMID- 29801507
TI - Gut microbiota in experimental murine model of Graves' orbitopathy established in
different environments may modulate clinical presentation of disease.
AB - BACKGROUND: Variation in induced models of autoimmunity has been attributed to
the housing environment and its effect on the gut microbiota. In Graves' disease
(GD), autoantibodies to the thyrotropin receptor (TSHR) cause autoimmune
hyperthyroidism. Many GD patients develop Graves' orbitopathy or ophthalmopathy
(GO) characterized by orbital tissue remodeling including adipogenesis. Murine
models of GD/GO would help delineate pathogenetic mechanisms, and although
several have been reported, most lack reproducibility. A model comprising
immunization of female BALBc mice with a TSHR expression plasmid using in vivo
electroporation was reproduced in two independent laboratories. Similar orbital
disease was induced in both centers, but differences were apparent (e.g.,
hyperthyroidism in Center 1 but not Center 2). We hypothesized a role for the gut
microbiota influencing the outcome and reproducibility of induced GO. RESULTS: We
combined metataxonomics (16S rRNA gene sequencing) and traditional microbial
culture of the intestinal contents from the GO murine model, to analyze the gut
microbiota in the two centers. We observed significant differences in alpha and
beta diversity and in the taxonomic profiles, e.g., operational taxonomic units
(OTUs) from the genus Lactobacillus were more abundant in Center 2, and
Bacteroides and Bifidobacterium counts were more abundant in Center 1 where we
also observed a negative correlation between the OTUs of the genus Intestinimonas
and TSHR autoantibodies. Traditional microbiology largely confirmed the
metataxonomics data and indicated significantly higher yeast counts in Center 1
TSHR-immunized mice. We also compared the gut microbiota between immunization
groups within Center 2, comprising the TSHR- or betagal control-immunized mice
and naive untreated mice. We observed a shift of the TSHR-immunized mice
bacterial communities described by the beta diversity weighted Unifrac.
Furthermore, we observed a significant positive correlation between the presence
of Firmicutes and orbital-adipogenesis specifically in TSHR-immunized mice.
CONCLUSIONS: The significant differences observed in microbiota composition from
BALBc mice undergoing the same immunization protocol in comparable specific
pathogen-free (SPF) units in different centers support a role for the gut
microbiota in modulating the induced response. The gut microbiota might also
contribute to the heterogeneity of induced response since we report potential
disease-associated microbial taxonomies and correlation with ocular disease.
PMID- 29801509
TI - Successful ventilation through a Rusch intubation guide catheter in severe
laryngotracheal stenosis.
AB - BACKGROUND: Providing adequate ventilation may remain complex in patients with
severe proximal laryngotracheal stenosis, especially when the airway is shared
with the surgeon during tracheal resection surgery. We describe an effective
alternative to standard endotracheal intubation using a Rusch flexible intubation
guide catheter. METHODS: In two patients undergoing tracheal repair surgery, we
failed to insert a 5.0 inner diameter endotracheal tube (6.9 mm outer diameter)
or a 6.0 mm outer diameter endoscope through the laryngotracheal stenosis.
However, using indirect laryngoscopy, a 6.0 outer diameter Rusch flexible
intubation guide catheter was passed successfully through the vocal cords and
then through the stenosis. Controlled ventilation was achieved by means of the
Rusch guide, provided with its two large Murphy's eyes. When the trachea was
opened, the Rusch guide was removed just enough for the surgeons to place a
Montandon tracheal tube, at that point taking over ventilation. A 7.0 inner
diameter endotracheal cuffed tube had been inserted onto the Rusch guide and left
pending upstream from the vocal cords. Once the posterior tracheal wall was
sutured, this endotracheal cuffed tube was slid along the Rusch guide through the
vocal cords with the cuff placed beyond the tracheal sutures. RESULTS: Controlled
ventilation through the Rusch flexible intubation guide catheter showed
satisfying and stable ventilatory parameters in both patients. Inspiratory
pressures of 25-30 mmHg were enough to reach adequate tidal volumes around 450
ml. End tidal CO2 was kept between 35 and 40 mmHg (PaCO2 showed similar values).
Standard endotracheal intubation at the end of the tracheal resection was easy
and safe thanks to the Rusch guide still in place between the vocal cords.
CONCLUSIONS: We suggest an effective and reliable method using a Rusch flexible
intubation guide catheter for airway management in patients suffering from
laryngotracheal stenosis in the setting of tracheal repair surgery.
PMID- 29801510
TI - Currarino syndrome and microcephaly due to a rare 7q36.2 microdeletion: a case
report.
AB - BACKGROUND: Currarino syndrome is a rare condition characterized by presacral
mass, anorectal malformation and sacral dysgenesis. CASE PRESENTATION: We report
the case of a child that presented chronic constipation, encopresis and
mycrocephaly. The characteristics were initially compatible with a case of
functional constipation and a therapy with polyethylene glycol was prescribed.
After a year, because of poor response, a plain abdominal X-ray was performed,
detecting sacrum abnormalities. Finally, a CGH-array analysis was performed and a
form of Currarino Syndrome caused by a rare 7q36 microdeletion, was diagnosed.
CONCLUSION: Occult spinal dysraphism should be suspected in case of poor
polyethylene glycol responder constipation, even when evident sacral
abnormalities on the physical examination are not detected.
PMID- 29801511
TI - Assessing knowledge about lymphatic filariasis and the implementation of mass
drug administration amongst drug deliverers in three districts/cities of
Indonesia.
AB - BACKGROUND: This research assesses knowledge amongst drug deliverers about the
implementation of mass drug administration (MDA) for lymphatic filariasis (LF) in
Agam District (West Sumatera Province), the City of Depok (West Java Province)
and the City of Batam (Kepulauan Riau Province), Indonesia. METHODS: A cross
sectional survey was conducted from January to March 2015 at these three sites.
Respondents were identified using purposive sampling (i.e. cadre, health worker
or community representatives). A total of 318 questionnaires were accepted for
analysis. Three outcomes were assessed: knowledge about LF; knowledge about MDA
implementation; and was informed about MDA coverage. Logistic regression analyses
were employed to examine factors associated with these three outcomes. RESULTS:
Less than half of respondents were charactersised as having a high level of LF
knowledge and less than half a high level of knowledge about MDA. The odds of
having a high level of knowledge of LF was significantly lower in Batam City than
Agam District, yet higher amongst health workers than cadres. Deliverers living
in urban areas reported more feedback on MDA outcomes than in the rural district.
Health workers received more feedback than cadres (P < 0.001). Deliverers
perceived the difference between coverage (drug receipt) and compliance (drug
ingestion) in the community. CONCLUSIONS: There are variations in knowledge about
LF and MDA as well as feedback across drug deliverers in MDA across geographical
areas. Adaptation of the MDA guidelines, supportive supervision, increasing the
availability of supporting materials and directly-observed therapy might be
beneficial to improve coverage and compliance in all areas.
PMID- 29801512
TI - Rare presentation of rickettsial infection as purpura fulminans: a case report.
AB - BACKGROUND: Purpura fulminans is an acute life-threatening disorder characterized
by intravascular thrombosis and hemorrhagic infarction of the skin complicated
with disseminated intravascular coagulation. It is commonly seen in acute
infections following meningococcal and streptococcal infections. Few cases of
purpura fulminans following rickettsial infections have been described in the
literature. CASE PRESENTATION: We report a case of a 55-year-old Sri Lankan woman
who presented to Teaching Hospital Peradeniya with a febrile illness, headache,
and myalgia that progressed to an erythematous rash starting over the bilateral
lover limbs and hands and that became black and necrotic with a few hemorrhagic
blebs. She had normocytic anemia, platelet clumps, and monocytosis as well as a
deranged clotting profile. The result of immunofluorescence antibody testing for
rickettsial immunoglobulin G was strongly positive for Rickettsia conorii with a
rise in titer convalescent sera, and a diagnosis of purpura fulminans following
rickettsial infection was made. The patient made an excellent recovery with
chloramphenicol treatment. CONCLUSIONS: The treating physician should consider
the rare but very treatable condition of rickettsial infection as a differential
diagnosis in the etiological diagnostic workup of patients presenting with severe
purpuric and hemorrhagic rash with fever.
PMID- 29801513
TI - Absolute oral bioavailability and disposition kinetics of puerarin in female
rats.
AB - BACKGROUND: Pueraria candollei var. mirifica is a medicinal plant that is
promoted as a "Champion Product" by the Government of Thailand. This plant has
been reported to relieve postmenopausal symptoms, prevent and reverse bone loss,
inhibit the growth of breast cancer, and alleviate cardiovascular diseases in
preclinical and clinical studies. However, there is little information on the
oral bioavailability and tissue distribution of puerarin with respect to its
pharmacodynamic activities. Therefore, the aim of this study was to determine the
pharmacokinetics of puerarin, including absorption, distribution, metabolism, and
elimination, in rats. Moreover, this is the first study to examine the tissue
distribution of puerarin in the hippocampus, femur, tibia, and mammary gland.
METHODS: Adult female rats were administered puerarin at 1 mg/kg intravenously or
5 and 10 mg/kg orally. Blood, tissue, urine, and feces were collected and
analyzed by liquid chromatography-tandem mass spectrometry. RESULTS: Puerarin
reached a maximum concentration in the blood of 140-230 MUg/L within 1 h of oral
dosing, and had an absolute oral bioavailability of approximately 7%. Following
intravenous administration, puerarin was widely distributed in several tissues,
including the hippocampus, heart, lung, stomach, liver, mammary gland, kidney,
spleen, femur, and tibia. Approximately 50% of the intravenous dose was excreted
as glucuronide metabolites via the urinary route. CONCLUSIONS: The absolute oral
bioavailability of puerarin was approximately 7% at doses of 5 and 10 mg/kg.
Puerarin was widely distributed to several organs related to the diseases of
aging, including the hippocampus, femur, tibia, and mammary gland. Glucuronides
were the major metabolites of puerarin and were mainly excreted in the urine.
These results are useful for the development of puerarin and Pueraria candollei
var. mirifica as phytopharmaceutical products.
PMID- 29801514
TI - Intrauterine hyperglycemia exposure results in intergenerational inheritance via
DNA methylation reprogramming on F1 PGCs.
AB - BACKGROUND: The existing reports about intergenerational or transgenerational
effects of intrauterine hyperglycemia have included both intrauterine and
postnatal metabolic exposure factors, while the impact of intrauterine
hyperglycemia per se has not been assessed alone. A number of studies suggest DNA
methylation reprogramming of gametes plays a crucial role in the metabolic
inheritance, but it is unclear when and how DNA methylation patterns are altered
when exposed to intrauterine hyperglycemia. In this study, we selected
nondiabetic F1- and F2-gestational diabetes mellitus (GDM) male mice as founders
to examine metabolic changes in the next generation and performed methylome
sequencing of day 13.5 primordial germ cells (PGCs) from F1-GDM to explore the
underlying epigenetic mechanism. RESULTS: We found that intrauterine
hyperglycemia exposure resulted in obesity, insulin resistance, and/or glucose
intolerance in F2 male mice, but no metabolic changes in F3 male mice at 8 weeks.
Using reduced representation bisulfite sequencing, we found DNA methylome of day
13.5 PGCs from F1-GDM fetuses revealed differently methylated genes enriched in
obesity and diabetes. Methylation validation of the insulin resistance and fat
accumulation gene Fyn showed a consistent hypomethylation status in F1 PGCs, F1
fetal testes, sperm from F1/C-GDM mice, and somatic cells from F2-GDM male mice.
In contrast, no methylation alteration was observed in F2-GDM male germ cells and
F3-GDM somatic cells. CONCLUSION: We provide evidence that intrauterine
hyperglycemia exposure per se contributes to intergenerational metabolic changes
in the F2 but not F3 generation. And the aberrant DNA methylation reprogramming
occurs as early as day 13.5 in PGCs of the F1 generation. Our findings suggest
that intrauterine exposure alone is sufficient to cause the epigenetic
inheritance in F2 offspring, and the epigenetic memory carried by DNA methylation
pattern could be erased by the second wave of methylation reprogramming in F2
PGCs during fetal development.
PMID- 29801516
TI - Temporal biomarker profiles and their association with ICU acquired delirium: a
cohort study.
AB - BACKGROUND: Neuroinflammation is thought to play an important role in the
pathogenesis of ICU-acquired delirium, but the association between inflammatory
and brain-specific proteins and ICU delirium is poor. We investigated whether or
not serial determinations of markers may improve this association. METHODS:
Critically ill patients with a high risk of ICU delirium and with an ICU length
of stay of at least 6 days were included in the study. Blood was drawn on days 1,
2, 4 and 6 after ICU admission and analyzed for different markers of inflammation
and several brain proteins. Differences in courses over time prior to and
following the onset of delirium and absolute differences over time were analyzed
in patients with and without delirium using repeated measurement analysis of
variance. In addition, a cross-sectional analysis of levels of these markers
before the first onset of delirium was performed. RESULTS: Fifty patients were
included in this study. In the longitudinal analysis, there were no differences
in the levels of any of the markers immediately prior to and following the onset
of delirium, but overall, median levels of adiponectin (9019 (IQR 5776-15,442)
vs. 6148 (IQR 4447-8742) ng/ml, p = 0.05) were significantly higher in patients
with delirium compared to patients without delirium. In the cross-sectional
analysis, median levels of the brain protein Tau (90 (IQR 46-224) vs. 31 (IQR 31
52) pg/ml, p = 0.009) and the ratio Tau/amyloid beta1-42 (1.42 ((IQR 0.9-2.57)
vs. 0.68 (IQR 0.54-0.96), p = 0.003) were significantly higher in patients with
hypoactive delirium compared to patients without. Levels of neopterin (111 (IQR
37-111) vs. 29 (IQR 16-64) mmol/l, p = 0.004) and IL-10 (28 (IQR 12-39) vs. 9
(IQR 4-12) pg/ml, p = 0.001) were significantly higher in patients with
hypoactive delirium compared to patients with mixed-type delirium. CONCLUSIONS:
While there are differences in markers (adiponectin and several brain proteins)
between patients with and without delirium, the development of delirium is not
preceded by a change in the biomarker profile of inflammatory markers or brain
proteins. Patients with hypoactive delirium account for the observed differences
in biomarkers. TRIAL REGISTRATION: ClinicalTrials.gov, NCT 01274819 . Registered
on 12 January 2011.
PMID- 29801517
TI - Assessment of the in vitro growing dynamics and kinetics of the non-pathogenic J
and pathogenic 11 and 232 Mycoplasma hyopneumoniae strains.
AB - Information on the in vitro growth of pathogenic and non-pathogenic Mycoplasma
hyopneumoniae (M. hyopneumoniae) strains is scarce and controversial. Despite its
limitations, the colour changing units (CCU) assay is still considered the golden
standard titration technique for M. hyopneumoniae culture. Thus, the aims of the
present study were: (1) to describe the growth dynamics and kinetics of
pathogenic and non-pathogenic M. hyopneumoniae strains, and (2) to monitor the
strains' daily growth by ATP luminometry, CCU, colony forming units (CFU), and
DNA quantification by real time quantitative PCR (qPCR) and by fluorescent double
stranded DNA (dsDNA) staining, to evaluate them as putative titration
methodologies. The growth of the non-pathogenic J (ATCC(r)25934TM) type strain
and the pathogenic 11 (ATCC(r)25095TM) reference strain and 232 strain was
modelled by the Gompertz model. Globally, all three-strain cultures showed the
same growing phases as well as similar maximal titres within a particular
technique, but for CFU. However, the J strain displayed the fastest growing.
During the logarithmic phase of growing, CCU, ATP and M. hyopneumoniae copy
titres were strongly and linearly associated, and correlation between techniques
could be reliably established. In conclusion, real-time culture titration by
means of ATP or molecular assays was useful to describe the in vitro growth of
the tested strains. Knowledge about the in vitro growth behaviour of a specific
strain in a specific medium may provide several advantages, including information
about the time required to reach maximal titres by the culture. Noteworthy, the
obtained results refers to the three strains used, so extrapolation to other M.
hyopneumoniae strains or culture conditions should be made cautiously.
PMID- 29801518
TI - Antifungal immune responses: emerging host-pathogen interactions and
translational implications.
AB - Understanding the complex and highly dynamic interactions between fungi and host
cells in a tissue-specific manner is crucial to facilitate the development of new
therapeutic approaches to infections. Here, we discuss recent studies that are
revealing the mechanisms underlying this context-dependent interplay.
PMID- 29801515
TI - A randomized controlled phase II trial of vaccination with lysate-loaded, mature
dendritic cells integrated into standard radiochemotherapy of newly diagnosed
glioblastoma (GlioVax): study protocol for a randomized controlled trial.
AB - BACKGROUND: Despite the combination of surgical resection, radio- and
chemotherapy, median survival of glioblastoma multiforme (GBM) patients only
slightly increased in the last years. Disease recurrence is definite with no
effective therapy existing after tumor removal. Dendritic cell (DC) vaccination
is a promising active immunotherapeutic approach. There is clear evidence that it
is feasible, results in immunological anti-tumoral responses, and appears to be
beneficial for survival and quality of life of GBM patients. Moreover, combining
it with the standard therapy of GBM may allow exploiting synergies between the
treatment modalities. In this randomized controlled trial, we seek to confirm
these promising initial results. METHODS: One hundred and thirty-six newly
diagnosed, isocitrate dehydrogenase wildtype GBM patients will be randomly
allocated (1:1 ratio, stratified by O6-methylguanine-DNA-methyltransferase
promotor methylation status) after near-complete resection in a multicenter,
prospective phase II trial into two groups: (1) patients receiving the current
therapeutic "gold standard" of radio/temozolomide chemotherapy and (2) patients
receiving DC vaccination as an add-on to the standard therapy. A recruitment
period of 30 months is anticipated; follow-up will be 2 years. The primary
objective of the study is to compare overall survival (OS) between the two
groups. Secondary objectives are comparing progression-free survival (PFS) and 6
, 12- and 24-month OS and PFS rates, the safety profile, overall and neurological
performance and quality of life. DISCUSSION: Until now, close to 500 GBM patients
have been treated with DC vaccination in clinical trials or on a compassionate
use basis. Results have been encouraging, but cannot provide robust evidence of
clinical efficacy because studies have been non-controlled or patient numbers
have been low. Therefore, a prospective, randomized phase II trial with a
sufficiently large number of patients is now mandatory for clear evidence
regarding the impact of DC vaccination on PFS and OS in GBM. TRIAL REGISTRATION:
Protocol code: GlioVax, date of registration: 17. February 2017. Trial
identifier: EudraCT-Number 2017-000304-14. German Registry for Clinical Studies,
ID: DRKS00013248 (approved primary register in the WHO network) and at
ClinicalTrials.gov , ID: NCT03395587 . Registered on 11 March 2017.
PMID- 29801519
TI - Impact of near infrared light in pediatric blood drawing Centre on rate of first
attempt success and time of procedure.
AB - BACKGROUND: Peripheral blood access and venipuncture are a stressful and painful
experience in pediatric patients; moreover, it is estimated that more than one
attempt is required to achieve the procedure in about one third of children. For
this reason, we investigated if Near-infrared light technology routinely used,
could give an advantage to venipuncture in a pediatric blood center setting.
METHODS: We conducted an open, pseudo-randomized controlled trial with two
parallel arms, in the blood-drawing center, with enrolment of 115 patients
between 0 and 18 years, in 14 consecutive working days. Fifty-three subjects were
enrolled in group 1 (VeinViewer(r)) and 62 in group 2 (control group). We divided
patients into three subgroups considering their age (< 5 years, 6-10 years, > 10
years). The primary study outcome was to assess if the use of VeinViewer(r) was
associated with a reduction of time to perform blood sampling. The secondary
outcome was to analyze VienViewer(r)'s impact on first attempt success rate in
blood sampling. RESULTS: No difference was found regarding the duration of blood
sampling between the two groups, even after stratifying the patients into the
three age subgroups. There was no difference between the two groups in the
success at the first attempt in blood sampling. CONCLUSIONS: Routine use of
VeinViewer(r) is not useful to reduce time of the procedure during venipuncture.
TRIAL REGISTRATION: The study was registered with ClinicalTrials.gov, with number
NCT03277092 , on September 8, 2017.
PMID- 29801520
TI - Online interventions for problem gamblers with and without co-occurring problem
drinking: study protocol of a randomized controlled trial.
AB - BACKGROUND: The current randomized controlled trial seeks to evaluate whether
providing access to an Internet intervention for problem drinking in addition to
an Internet intervention for problem gambling is beneficial for participants with
gambling problems who do or do not have co-occurring problem drinking. METHODS:
Potential participants will be recruited online via a comprehensive advertisement
strategy, if they meet the criteria for problem gambling. As part of the baseline
measures, problem drinking will also be assessed. Eligible participants (N = 280)
who agree to partake in the study and to be followed up for 6 months will be
randomized into one of two versions of an Internet intervention for gamblers: an
intervention that targets only gambling issues (G-only) and one that combines a
gambling intervention with an intervention for problem drinking (G + A). For
problem gamblers who exhibit co-occurring problem drinking, it is predicted that
participants who are provided access to the G + A intervention will demonstrate a
significantly greater level of reduction in gambling outcomes at 6 months
compared to those provided access to the G-only intervention. DISCUSSION: This
trial will expand upon the current research on Internet interventions for
addictions and inform the development of treatments for those with co-occurring
problem drinking and gambling. TRIAL REGISTRATION: ClinicalTrials.gov,
NCT03323606 . Registered on 24 October 2017.
PMID- 29801522
TI - Developing a Model of Vitamin A Deficiency in a Hibernating Mammal, the 13-Lined
Ground Squirrel (Ictidomys tridecemlineatus).
AB - Retinoic acid, a bioactive metabolite of vitamin A, plays key roles in immune
function and vision and adipose tissue development. Our goal was to study the
effect of vitamin A deficiency in physiologic changes seen in hibernating 13
lined ground squirrels (Ictidomys tridecemlineatus). In this study, we first
developed a model of vitamin A deficiency that was based on published mouse
models; we then examined the role of RA in the circannual cycle of and adipose
accumulation in this hibernating species. Gravid female ground squirrels began
consuming a deficient diet during the last 2 wk of their 4-wk gestation; pups
received the diet until they were 8 wk old, when severe symptoms of
hypovitaminosis were observed, requiring the animals' removal from the protocol.
Body size and adipose mass were significantly lower in vitamin-deficient pups
than controls. To avoid these complications, we developed a second model, in
which pups started on the deficient diet after weaning. The revised model
produced few symptoms of deficiency, and squirrels were able to remain on the
diet through spring emergence. Liver retinol analysis showed that deficient
squirrels essentially had no vitamin A stores. Our data suggest that 13-lined
ground squirrels maintain higher concentrations of stored retinol than other
rodent species, such that their dietary needs may differ from those of
traditional laboratory rodent models. Our results indicate that ground squirrels
are especially susceptible to vitamin A deficiency, and ground squirrels should
not be fed a deficient diet until after weaning, to avoid severe symptoms.
Interestingly, vitamin A deficiency does not seem to affect this species' ability
to hibernate successfully.
PMID- 29801523
TI - Peptide-Mediated Neurotransmission Takes Center Stage.
AB - Today, we understand peptide transmitters to be signaling molecules that modulate
neural activity. However, in 1982 little was known about neuropeptides and their
role in neural communication. The influential 1982 paper by Jan and Jan reported
definitive evidence that a presynaptically released neuropeptide evokes
postsynaptic responses in an identified cholinergic synapse, thereby fueling a
new era in neuroscience.
PMID- 29801525
TI - Synaptic Capture of Laterally Diffusing AMPA Receptors - An Idea That Stuck.
AB - By the early 2000s there was strong support for the idea that synaptic function
and plasticity required AMPA glutamate receptor trafficking through constitutive
and regulated internalization and exocytosis. In 2002, Borgdorff and Choquet
demonstrated that AMPA receptors could also diffuse laterally in the membrane and
become stabilized near synapses. Subsequent studies extended this work,
establishing a fundamental role for lateral diffusion in synaptic function and
plasticity.
PMID- 29801521
TI - "Same difference": comprehensive evaluation of four DNA methylation measurement
platforms.
AB - BACKGROUND: DNA methylation in CpG context is fundamental to the epigenetic
regulation of gene expression in higher eukaryotes. Changes in methylation
patterns are implicated in many diseases, cellular differentiation, imprinting,
and other biological processes. Techniques that enrich for biologically relevant
genomic regions with high CpG content are desired, since, depending on the size
of an organism's methylome, the depth of sequencing required to cover all CpGs
can be prohibitively expensive. Currently, restriction enzyme-based reduced
representation bisulfite sequencing and its modified protocols are widely used to
study methylation differences. Recently, Agilent Technologies, Roche NimbleGen,
and Illumina have ventured to both reduce sequencing costs and capture CpGs of
known biological relevance by marketing in-solution custom-capture hybridization
platforms. We aimed to evaluate the similarities and differences of these four
methods considering each platform targets approximately 10-13% of the human
methylome. RESULTS: Overall, the regions covered per platform were as expected:
targeted capture-based methods covered > 95% of their designed regions, whereas
the restriction enzyme-based method covered > 70% of the expected fragments.
While the total number of CpG loci shared by all methods was low, ~ 24% of any
platform, the methylation levels of CpGs covered by all platforms were
concordant. Annotation of CpG loci with genomic features revealed roughly the
same proportions of feature annotations across the four platforms. Targeted
capture methods comprise similar types and coverage of annotations and, relative
to the targeted methods, the restriction enzyme method covers fewer promoters (~
9%), CpG shores (~ 8%) and unannotated loci (~ 11%). CONCLUSIONS: Although all
methods are largely consistent in terms of covered CpG loci, the commercially
available capture methods result in covering nearly all CpG sites in their target
regions with few off-target loci and covering similar proportions of annotated
CpG loci, the restriction-based enrichment results in more off-target and
unannotated CpG loci. Quality of DNA is very important for restriction-based
enrichment and starting material can be low. Conversely, quality of the starting
material is less important for capture methods, and at least twice the amount of
starting material is required. Pricing is marginally less for restriction-based
enrichment, and the number of samples that can be prepared is not restricted to
the number of capture reactions a kit supports. However, the advantage of capture
libraries is the ability to custom design areas of interest. The choice of the
technique would be decided by the number of samples, the quality and quantity of
DNA available and the biological areas of interest since comparable data are
obtained from all platforms.
PMID- 29801526
TI - Microglia Under the Spotlight: Activity and Complement-Dependent Engulfment of
Synapses.
AB - In 2012, Schaefer et al. revealed that microglia regulate the emergence of
functional connectivity by engulfing and selectively eliminating synapses in the
retinogeniculate system. This synaptic pruning mechanism, which is activity
dependent and relies on the complement cascade, has helped define microglia as a
central contributor to normal wiring and to brain disorders.
PMID- 29801524
TI - A Ca2+ Sensor for Exocytosis.
AB - In 1992, Brose et al. showed that the synaptic vesicle (SV) protein
p65/synaptotagmin (syt) 1 binds - in a mutually dependent manner - Ca2+ and
anionic phospholipids, prompting the idea that it functions as a Ca2+ sensor for
exocytosis. These findings now define two key aspects of excitation-secretion
coupling.
PMID- 29801528
TI - The Long Road to Making Muscle In Vitro.
AB - The skeletal muscle lineage derives from the embryonic paraxial mesoderm (PM)
which also gives rise to the axial skeleton, the dermis of the back, brown fat,
meninges, and endothelial cells. Direct conversion was pioneered in skeletal
muscle with overexpression of the transcription factor MyoD which can convert
fibroblasts to a muscle fate. In contrast, directed differentiation of skeletal
muscle from pluripotent cells (PC) in vitro has proven to be very difficult
compared to other lineages and has only been achieved recently. Experimental
strategies recapitulating myogenesis in vitro from mouse and human PC (ES/iPS)
have now been reported and all rely on early activation of Wnt signaling at the
epiblast stage. This leads to induction of neuromesodermal progenitors that can
subsequently be induced to a PM fate and to skeletal muscle. These protocols can
efficiently produce fetal muscle fibers and immature satellite cells. These new
in vitro systems now open the possibility to better understand human myogenesis
and to develop in vitro disease models as well as cell therapy approaches.
PMID- 29801527
TI - Modeling Mammalian Gastrulation With Embryonic Stem Cells.
AB - Understanding cell fate patterning and morphogenesis in the mammalian embryo
remains a formidable challenge. Recently, in vivo models based on embryonic stem
cells (ESCs) have emerged as complementary methods to quantitatively dissect the
physical and molecular processes that shape the embryo. Here we review recent
developments in using ESCs to create both two- and three-dimensional culture
models that shed light on mammalian gastrulation.
PMID- 29801529
TI - Recapitulating and Deciphering Human Pancreas Development From Human Pluripotent
Stem Cells in a Dish.
AB - Here, we review how human pluripotent stem cell models of pancreas development
have emerged and became an important tool to study human development and disease.
Initially developed toward the production of beta cells for diabetes therapy, the
protocols have been refined based on knowledge of pancreas development in model
organisms. While the cells produced are closer and closer to the end goal of a
functional beta cell, these models have also been used to carry out functional
experiments addressing gene function and expression as well as regulatory and
epigenetic landscape changes during human pancreas development. They thereby
complement model organisms and reports from human genetic variants predisposing
to different forms of diabetes, as well as observations on human fetal tissue. In
this review, we therefore compare these different sources of information and
discuss how human stem cell models are evolving to inform us on pancreatic
diseases and possible treatments.
PMID- 29801530
TI - What Can Stem Cell Models Tell Us About Human Germ Cell Biology?
AB - Fusion of sperm and egg generates a totipotent zygote that develops into a whole
organism. Accordingly, the "immortal" germline transmits genetic and epigenetic
information to subsequent generations with consequences for human health and
disease. In mammals, primordial germ cells (PGCs) originate from peri
gastrulation embryos. While early human embryos are inaccessible for research, in
vitro model systems using pluripotent stem cells have provided critical insights
into human PGC specification, which differs from that in mice. This might stem
from significant differences in early embryogenesis at the morphological and
molecular levels, including pluripotency networks. Here, we discuss recent
advances and experimental systems used to study mammalian germ cell development.
We also highlight key aspects of germ cell disorders, as well as mitochondrial
and potentially epigenetic inheritance in humans.
PMID- 29801531
TI - From Human Pluripotent Stem Cells to Cortical Circuits.
AB - Understanding the development of the human brain in relation with evolution is an
important frontier field in developmental biology. In particular, investigating
the mechanisms underlying the greatly increased relative size and complexity of
the cerebral cortex, the seat of our enhanced cognitive abilities, remains a
fascinating yet largely unsolved question. Though many advances in our
understanding have been gained from the study of animal models, as well as human
genetics and embryology, large gaps remain in our knowledge of the molecular
mechanisms that control human cortical development. Interestingly, many aspects
of corticogenesis can be recapitulated in vitro from mouse and human embryonic or
induced pluripotent stem cells (PSCs), using a variety of experimental systems
from 2D models to organoids to xenotransplantation. This has provided the
opportunity to study these processes in an accessible and physiologically
relevant setting. In this chapter, we will discuss how conserved and divergent
features of primate/human corticogenesis can be modeled and studied
mechanistically using PSC-based models of corticogenesis. We will also review
what has been learned through these approaches about pathological defects of
human corticogenesis, from early neurogenesis to late neuronal maturation and
connectivity.
PMID- 29801533
TI - Preface.
PMID- 29801532
TI - Studying the Brain in a Dish: 3D Cell Culture Models of Human Brain Development
and Disease.
AB - The study of the cellular and molecular processes of the developing human brain
has been hindered by access to suitable models of living human brain tissue.
Recently developed 3D cell culture models offer the promise of studying
fundamental brain processes in the context of human genetic background and
species-specific developmental mechanisms. Here, we review the current state of
3D human brain organoid models and consider their potential to enable
investigation of complex aspects of human brain development and the underpinning
of human neurological disease.
PMID- 29801535
TI - Joint laxity is not equal to hypermobility in preterm born children.
PMID- 29801536
TI - 50 Years Ago in The Journal of Pediatrics: Methotrexate-Induced Congenital
Malformations: With a Review of the Literature.
PMID- 29801537
TI - 50 Years Ago in The Journal of Pediatrics: The Hemolytic-Uremic Syndrome: Renal
Status of 76 Patients at Long-Term Follow-Up.
PMID- 29801538
TI - Do race and socioeconomic status influence counseling at periviabilty?
PMID- 29801534
TI - Expression Level of Caspase Genes in Colorectal Cancer
AB - Background: Caspases proteins are protease enzymes involved in the initiation and
execution of apoptosis process.Regulation of apoptosis process plays an important
role in the normal biological events and development. In additionto developmental
abnormalities, dysregulated apoptosis system may lead to tumorigenesis,
autoimmunity, and otherserious health problems. Aberrant regulation of apoptosis
may also be the paramount cause of chemoresistance duringcancer therapy. It is
aimed through this study to evaluate the transcript levels of Caspase 3, 8, and 9
in tumoral tissuesfrom patients with colorectal cancer (CRC) and compare it with
normal marginal tissues. Methods: Fifty tumor tissuesand their matched marginal
tissues, as control group, were obtained from CRC patients. Total mRNA of all
tissuesamples was extracted and cDNA was synthesized. Using SYBR Green PCR master
mix and Real-time gene expressiontechnique, the transcript level of target genes
was quantified. Results: Experiments indicated that mRNA expressionsof caspase 9
and 3 were downregulated in tumoral tissues from CRC patients in comparison to
marginal tissues. Incontrast, tumoral tissues expressed mRNA of caspase 8 higher
than normal marginal tissues. Modified transcript levelsof caspase 3, 8, and 9
were correlated with the clinical manifestations of the patients. Conclusions:
Alteration in themRNA level of caspase genes may be involved in the development
of CRC.
PMID- 29801540
TI - 50 Years Ago in The Journal of Pediatrics: Central Nervous System Damage and
Hypoglycemia.
PMID- 29801539
TI - Comparing apples to apples in developmental follow up studies.
PMID- 29801541
TI - 50 Years Ago in The Journal of Pediatrics: Birth Weight, Gestational Age, and Sex
as Determining Factors in the Incidence of Respiratory Distress Syndrome of
Prematurely Born Infants.
PMID- 29801542
TI - Asymmetric tonsils or tonsillar cancer?
PMID- 29801543
TI - Midlife crisis? In its 50th year, BPD redefines itself.
PMID- 29801544
TI - Correction.
PMID- 29801545
TI - Pediatric Healthcare for Refugee Minors in Europe: Steps for Better Insight and
Appropriate Treatment.
PMID- 29801546
TI - Goldilocks in the NICU-can oxygen be titrated "just right?"
PMID- 29801547
TI - A Pediatric Department's Innovative Grant Writing Workshops.
PMID- 29801548
TI - 50 Years Ago in The Journal of Pediatrics: Concentration of Ampicillin in Exudate
from Acute Otitis Media.
PMID- 29801549
TI - Tolvaptan for the Syndrome of Inappropriate Secretion of Antidiuretic Hormone: Is
the Dose Too High?
PMID- 29801550
TI - More Realistic Estimation of Time to ESRD in Children.
PMID- 29801551
TI - Rhabdomyolysis-Associated Acute Kidney Injury.
PMID- 29801552
TI - Invited commentary.
PMID- 29801553
TI - Invited commentary.
PMID- 29801554
TI - Invited commentary.
PMID- 29801555
TI - Invited commentary.
PMID- 29801556
TI - Procedure and step-based analysis of the occupational radiation dose during
endovascular aneurysm repair in the hybrid operating room.
AB - OBJECTIVE: This study measured the cumulative occupational X-ray radiation dose
received by support staff during endovascular aortic procedures and during
additional intraoperative steps in the hybrid operating room. METHODS: Radiation
dose measurements were performed during interventions on 65 patients receiving 90
stent grafts during endovascular aneurysm repair (EVAR), bifurcated EVAR,
thoracic EVAR, iliac branched device deployment, aortouni-iliac stenting, and
fenestrated/branched EVAR (F/BrEVAR). X-ray imaging was acquired using the
Philips Allura FD20 Clarity System (Philips Medical Systems, Best, The
Netherlands). The occupational radiation dose (also referred to as the estimated
effective dose, E, measured in millisieverts) was measured with the DoseAware
Xtend system (Philips Medical Systems) personal dosimeters. E was reported per
staff member (ESTAFF), where "staff" was a generic term for each staff member
included in the study: the first operator (FO), the second operator (ESO), a
virtual maximum operator (MO), and all additional supporting staff, including the
sterile nurse, nonsterile nurse, anaesthesiologist, and radiation technician. The
primary outcome was the median cumulative ESTAFF (or EFO, EMO, and so on), which
was presented as the median cumulative dose per intervention and stratified for
several within-interventional EVAR and F/BrEVAR steps or stents. The second
outcome was the percentage of the absorbed E by a supporting staff member in
relation to the E measured by the reference badge attached on the C-arm (ESTAFF%
or EFO%, EMO%, and so on). All outcomes are presented as median with
interquartile range, unless stated differently. RESULTS: The occupational
effective dose in millisieverts of the MO (EMO) was 0.055 (0.029-0.082) for
aortouni-iliac stenting (n = 6), 0.084 (0.054-0.141) during thoracic EVAR (n =
14), 0.036 (0.026-0.068) during bifurcated EVAR (n = 38), 0.054 (0.035-0.126)
during iliac branched device deployment (n = 8), and 0.345 (0.235-0.757) during
F/BrEVAR (n = 24). The median EMO in millisieverts was 0.025 (0.012-0.062) per
renal target vessel (TV) and 0.146 (0.07-0.315) for a nonrenal visceral TV.
During all noncomplex interventions, the EMO% was 4.4% (2.7%-7.3%), with the
lowest median rate at 3.5% (2.5%-5%) for EVAR. The highest median rate EMO% was
found for F/BrEVAR procedures: 8.2% (5.0%-14.4%). CONCLUSIONS: With maximum
operator shielding during femoral access, relative occupational radiation risk
can be minimized. However, digital subtraction angiography image acquisition,
recanalization of TVs, recanalization of superior mesenteric artery or celiac
artery, and recanalization of branched TVs are predictors for increased
occupational radiation dose risks caused by increased radiation doses to the
patient and reduced options for shielding of the operator.
PMID- 29801557
TI - Invited commentary.
PMID- 29801558
TI - Invited commentary.
PMID- 29801559
TI - Extreme common iliac tortuosity in a patient with repeated unilateral distal
embolization.
PMID- 29801560
TI - A systematic review of the efficacy of aspirin monotherapy versus other
antiplatelet therapy regimens in peripheral arterial disease.
AB - BACKGROUND: Dual antiplatelet therapy (DAPT) usually refers to the administration
of aspirin plus a platelet P2Y12 receptor blocker. This combination is commonly
prescribed after revascularization procedures in patients with peripheral
arterial disease (PAD) to prevent failure of the intervention. However, there is
not a consensus among peripheral vascular specialists regarding whether the
optimal treatment regimen for their patients is mono antiplatelet therapy (MAPT)
or DAPT. Furthermore, there is no consensus regarding the optimal duration of
DAPT. This study was undertaken to systematically and critically review the
evidence for the use of DAPT after revascularization in PAD, hypothesizing that
longer durations of DAPT will result in decreased rates of major adverse cardiac
events, major adverse limb events, and mortality, without a significant increase
in severe bleeding episodes compared with MAPT or shorter durations of DAPT.
METHODS: A systematic search strategy encompassing DAPT and PAD was deployed in
two databases. Studies including arterial bypasses using venous or prosthetic
conduits, endovascular procedures, diagnostic angiography of lower extremity
arteries, and patients with high risk factors were included. RESULTS: We included
14 studies, 10 of which were randomized controlled trials (RCTs). The overall
risk of bias for the RCTs ranged from low to moderate, whereas nonrandomized
studies had moderate to high risk of bias. The results of this review suggest
that use of DAPT in patients with PAD reduces rates of major adverse cardiac
events (risk ratio [RR], 0.79; 95% confidence interval [CI], 0.68-0.91; P =
.002), major adverse cardiac and cerebrovascular events, and mortality (RR, 0.57;
95% CI, 0.45-0.72; P < .00,001) compared with those of patients treated with
MAPT. Lower extremity-specific end points, such as major adverse limb events and
target lesion revascularization (RR, 0.70; 95% CI, 0.49-1.01; P = .06) were also
decreased in the DAPT cohort. Rates of moderate bleeding, however, were increased
in those treated with DAPT, whereas rates of major bleeding (RR, 0.98; 95% CI,
0.68-1.41; P = .92) remained similar in both treatment groups. The effects of
DAPT duration on outcomes of revascularization in patients with PAD have yet to
be studied in an RCT. CONCLUSIONS: DAPT appears to be beneficial for preventing
complications after revascularization in PAD, including thrombotic failure of the
intervention. However, the durations of DAPT use in these studies are
heterogeneous, suggesting that additional data are needed to determine the
optimal use of DAPT in PAD patients.
PMID- 29801561
TI - Field testing for the critical limb ischemia cost measure.
PMID- 29801562
TI - Reply.
PMID- 29801563
TI - Regarding "Isolated iliac vascular injuries and outcome of repair versus ligation
of isolated iliac vein injury".
PMID- 29801564
TI - Regarding "Risk factors for unplanned readmission and stump complications after
major lower extremity amputation".
PMID- 29801565
TI - Reply.
PMID- 29801566
TI - Regarding "Outcomes of thoracic endovascular aortic repair for chronic aortic
dissections".
PMID- 29801567
TI - Reply.
PMID- 29801568
TI - Reply.
PMID- 29801569
TI - Regarding "2017 European Society for Vascular Surgery guidelines for management
of carotid and vertebral artery disease".
PMID- 29801570
TI - Correction.
PMID- 29801571
TI - Introduction.
PMID- 29801572
TI - Pharmacoepidemiology in Pharmacogenetics.
AB - Epidemiologic methods provide rigorous means by which to study the interplay
between genetic factors and drug response. In this chapter, we describe the
differences between experimental and observational study designs, and illustrate
how to implement the highly efficient case-control study design. We discuss
analytic approaches to evaluating gene-drug interactions within typical study
designs and review sources of bias that must be assessed and accounted for in
epidemiologic analyses.
PMID- 29801573
TI - Population Diversity in Pharmacogenetics: A Latin American Perspective.
AB - Pharmacogenetics/pharmacogenomics (PGx) relies on human genetic diversity. In
this review we initially examine the PGx implications of human demographic
history and genetic diversity, and highlight results from recent studies on the
worldwide distribution of common and rare variants in pharmacogenes. The
abundance of rare variants implies that a substantial effort will be required to
identify their putative functional effects and to develop reliable algorithms for
PGx-guided prescription. Furthermore, variants in all pharmacogenes relevant to a
drug treatment must be considered. This implies a shift of the current paradigm
of PGx-informed prescription based on genotyping a few common variants in
selected genes toward comprehensive sequencing approaches. The following sections
deal with the impact of population admixture on PGx diversity focusing on Latin
America, where a kaleidoscopic combination of individual proportions of Native
American, European, and sub-Saharan African ancestries prevails. We illustrate
this diversity by contrasting Brazil and Mexico, the two most populous countries
in Latin America, and show that population average admixture proportions are not
predictive of the corresponding proportions at the individual level. As a
consequence of admixture, the genetic differentiation of common pharmacogenetic
variants in Latin Americans is much attenuated in comparison to their most
relevant ancestral populations. Finally, we review data for tacrolimus and
warfarin to illustrate the opportunities and challenges presented by Latin
American populations for PGx studies and clinical implementation.
PMID- 29801574
TI - Pharmacogenetics of Adverse Drug Reactions.
AB - Adverse drug reactions (ADRs) are an important cause of morbidity and mortality.
Genetic factors predispose to many ADRs, affecting susceptibility to both type A
and type B reactions. The overall contribution of genetics will vary according to
drug and ADR, and should be considered when attempting to predict and prevent
ADRs. Genetic risk factors are considered in detail for a number of type A ADRs,
especially those relating to warfarin and thiopurines, and type B ADRs affecting
skin, the liver, and the heart. As the availability of whole genome sequencing
increases, it is likely that prospective genotype for particular ADRs prior to
drug prescription will become more common in the future. Current examples of
genetic testing to prevent ADRs which have already been implemented and future
prospects for developments in the field are discussed in detail.
PMID- 29801575
TI - Pharmacogenetics: Applications to Pediatric Patients.
AB - Individual genomic differences may affect drug disposition and effects of many
drugs, and identification of biomarkers are crucial to personalize dosage and
optimize response. In children, developmental changes associated with growth and
maturation translate into different relationships between genotype and phenotype
and different responses to treatment compared to adults. This review aims to
summarize some developmental aspects of pharmacogenetics, based on practical
examples.
PMID- 29801576
TI - Implementation of Pharmacogenomics in Everyday Clinical Settings.
AB - Currently, germline pharmacogenomics (PGx) is successfully implemented within
certain specialties in clinical care. With the integration of PGx in
pharmacotherapy multiple stakeholders are involved, which are identified in this
chapter. Clinically relevant pharmacogenes with their related PGx test are
discussed, along with diagnostic test criteria to guide clinicians and policy
makers in PGx test selection. The chapter further reviews the similarities and
the differences between the guidelines of the Dutch Pharmacogenetics Working
Group and the Clinical Pharmacogenetics Implementation Consortium which both
support healthcare professionals in understanding PGx test results and help
guiding pharmacotherapy by providing evidence-based dosing recommendations.
Finally, clinical studies which provide scientific evidence and information on
cost-effectiveness supporting clinical implementation of PGx in clinical care are
discussed along with the remaining barriers for adoption of PGx testing by
healthcare professionals.
PMID- 29801577
TI - Pharmacogenetics in Pain Treatment.
AB - Pain is an unpleasant feeling usually resulting from tissue damage that can
persist along weeks, months, or even years after the injury, turning into
pathological chronic pain, the leading cause of disability. Currently,
pharmacology interventions are usually the first-line therapy but there is a
highly variable analgesic drug response. Pharmacogenetics (PGx) offers a means to
identify genetic biomarkers that can predict individual analgesic response
opening doors to precision medicine. PGx analyze the way in which the presence of
variations in the DNA sequence (single-nucleotide polymorphisms, SNPs) could be
responsible for portions of the population reaching different levels of pain
relief (phenotype) due to gene interference in the drug mechanism of action
(pharmacodynamics) and/or its concentration at the place of action
(pharmacokinetics). SNPs in the cytochrome P450 enzymes genes (CYP2D6) influence
metabolism of codeine, tramadol, hydrocodone, oxycodone, and tricyclic
antidepressants. Blood concentrations of some NSAIDs depend on CYP2C9 and/or
CYP2C8 activity. Additional candidate genes encode for opioid receptors,
transporters, and other molecules important for pharmacotherapy in pain
management. However, PGx studies are often contradictory, slowing the uptake of
this information. This is likely due, in large part, to a lack of robust evidence
demonstrating clinical utility and to its polygenic response modulated by other
exogenous or epigenetics factors. Novel therapies, including targeting of
epigenetic changes and gene therapy-based approaches, broaden future options to
improve understanding of pain and the treatment of people who suffer it.
PMID- 29801578
TI - The Pharmacogenetics of Immune-Modulating Therapy.
AB - Immunosuppressive drugs are a prerequisite in organ transplantation to prevent
rejection and are also widely used in inflammatory diseases such as inflammatory
bowel disease (IBD) or also in some hematologic malignancies-depending on the
mode of action. For thiopurine analogs the polymorphic thiopurine S
methyltransferase (TPMT) was early detected to be associated with thiopurine
induced leukopenia; recent studies identified also NUDT15 to be related to this
severe side effect. For drugs like methotrexate and mycophenolate mofetil a
number of ADME genes like UDP-glucuronosyltransferases (UGTs) and ABC efflux
transporters were investigated, however, with partly contradicting results. For
calcineurin inhibitors like cyclosporine and in particular tacrolimus however,
cytochrome P450 3A4 and 3A5 variants were found to significantly affect the
pharmacokinetics. Genetic variants in genes encoding relevant pharmacodynamic
proteins, however, lacked compelling evidence to affect the clinical outcome.
This chapter reviews the current evidence on the association of pharmacogenetic
traits to dose finding and clinical outcome of small-molecule immunosuppressants.
Moreover this chapter critically summarizes suitability to apply pharmacogenetics
in clinical practice in order to optimize immunosuppressant therapy.
PMID- 29801579
TI - Pharmacogenetics in Psychiatry.
AB - Mental illness represents a major health issue both at the individual and at the
socioeconomical level. This is partly due to the current suboptimal treatment
options: existing psychotropic medications, including antidepressants,
antipsychotics, and mood stabilizers, are effective only in a subset of patients
or produce partial response and they are often associated with debilitating side
effects that discourage adherence. Pharmacogenetics is the study of how genetic
information impacts on drug response/side effects with the goal to provide
tailored treatments, thereby maximizing efficacy and tolerability. The first
pharmacogenetic studies focused on candidate genes, previously known to be
relevant to the pharmacokinetics and pharmacodynamics of psychotropic drugs.
Results were mainly inconclusive, but some replicated candidates were identified
and included as pharmacogenetic biomarkers in drug labeling and in some
commercial kits. With the advent of the genomic revolution, it became possible to
study the genetic variation on an unprecedented scale, throughout the whole
genome with no need of a priori hypothesis. This may lead to the personalized
prescription of existing medications and potentially to the development of
innovative ones, thanks to new insights into the genetics of mental illness.
Promising findings were obtained, but methods for the generation and analysis of
genome-wide and sequencing data are still in evolution. Future pharmacogenetic
tests may consist of hundreds/thousands of polymorphisms throughout the genome or
selected pathways in order to take into account the complex interactions across
variants in a number of genes.
PMID- 29801580
TI - Cytochrome P450 in Pharmacogenetics: An Update.
AB - Interindividual variability in drug disposition is a major cause of lack of
efficacy and adverse effects of drug therapies. The majority of hepatically
cleared drugs are metabolized by cytochrome P450 (CYP) enzymes, mainly in
families CYP1, CYP2, and CYP3. Genes encoding these enzymes are highly variable
with allele distribution showing considerable differences between populations.
Genetic variability of especially CYP2C9, CYP2C19, CYP2D6, and CYP3A5 is known to
have clear clinical impact on drugs that are metabolized by these enzymes.
CYP1A2, CYP2A6, CYP2B6, CYP2C8, and CYP3A4 all show variability that affects
pharmacokinetics of drugs as well, but so far the evidence regarding their
clinical implications is not as conclusive. In this review, we provide an up-to
date summary of the pharmacogenetics of the major human drug-metabolizing CYP
enzymes, focusing on clinically significant examples.
PMID- 29801581
TI - Epigenetics and MicroRNAs in Pharmacogenetics.
AB - Germline pharmacogenetics has so far mainly studied common variants in
"pharmacogenes," i.e., genes encoding drug metabolizing enzymes and transporters
(DMET genes), certain auxiliary and regulatory genes, and drug target genes.
Despite remarkable progress in understanding genetically determined differences
in pharmacokinetics and pharmacodynamics of drugs, currently known common
variants even in important pharmacogenes explain genetic variability only
partially. This suggests "missing heritability" that may in part be due to rare
variants in the classical pharmacogenes, but current evidence suggests that
largely unexplored resources with potential for pharmacogenetics exist, both
within already known pharmacogenes and in entirely new areas. In particular,
recent studies suggest that epigenetic processes and noncoding RNAs, including
mostly microRNAs (miRNAs), represent important and largely unexplored layers of
DMET gene regulation that may fill some of the gaps in understanding
interindividual variability and lead to new biomarkers. In this chapter we
summarize recent advances in the understanding of genetic variability in
epigenetic and miRNA-mediated processes with focus on their significance for DMET
regulation and pharmacokinetic or pharmacological endpoints.
PMID- 29801582
TI - Pharmacogenetics in Cardiovascular Medicine.
AB - Considerable interindividual variability in response to cardiovascular
pharmacotherapy exists with drug responses varying from being efficacious to
inadequate to induce severe adverse events. Fueled by advancements and
multidisciplinary collaboration across disciplines such as genetics,
bioinformatics, and basic research, the vision of personalized medicine, rather
than a one-size-fits-all approach, may be within reach. Pharmacogenetics offers
the potential to optimize the benefit-risk profile of drugs by tailoring
diagnostic and treatment strategies according to the individual patient. To date,
a multitude of studies has tried to delineate the effects of gene-drug
interactions for drugs commonly used to treat cardiovascular-related disease. The
focus of this review is on how genetic variability may modify drug responsiveness
and patient outcomes following therapy with commonly used cardiovascular drugs
including clopidogrel, warfarin, statins, and beta-blockers. Also included are
examples of how genetic studies can be used to guide drug discovery and examples
of how genetic information may be deployed in clinical decision making.
PMID- 29801583
TI - Pharmacogenetics of Antidiabetic Drugs.
AB - Pharmacogenetic studies of antidiabetic drugs have so far focused largely on
response to metformin, which is the first-line therapy for treatment of type 2
diabetes (T2D). The first studies of metformin pharmacogenetics were focused on
candidate genes that were implicated in metformin pharmacokinetics and transport.
Since 2011, genome-wide association studies have been conducted in large cohorts
of individuals with T2D identifying genes that are associated with glycemic
response to metformin. There have been fewer pharmacogenetic studies of other
antidiabetic drugs, and those have been largely limited to candidate gene studies
with small sample sizes. Understanding the pharmacogenetics of antidiabetes
medications is important for the integration of genetic screening into
therapeutic decision making, and to achieve the goal of "precision medicine" for
patients with T2D. In this chapter, we provide a review of the pharmacogenetics
investigations of metformin and other antidiabetes medications. In addition, we
highlight the importance of collaborative efforts with large sample size and
representation from multiple ethnic groups in pharmacogenetics studies.
PMID- 29801584
TI - Tamoxifen and CYP2D6: A Controversy in Pharmacogenetics.
AB - Tamoxifen reduces the rate of breast cancer recurrence by about one-half. It is
converted to more active metabolites by enzymes encoded by polymorphic genes,
including cytochrome P450 2D6 (CYP2D6) and transported by ATP-binding cassette
transporters. Genetic polymorphisms that confer reduced CYP2D6 activity or
concurrent use of CYP2D6-inhibiting drugs may reduce the clinical efficacy of
tamoxifen. The issue of the clinical utility of CYP2D6 genotype testing is
subject to considerable and ongoing academic and clinical controversy. In this
chapter, we outline tamoxifen's clinical pharmacology and give an overview of the
research to date on the association between CYP2D6 inhibition and tamoxifen
effectiveness. Based on the evidence to date, the impact of drug-induced and/or
gene-induced inhibition of CYP2D6 activity is likely to be null or small, or at
most moderate in subjects carrying two reduced function alleles. Future research
should examine the effect of polymorphisms in genes encoding enzymes in
tamoxifen's complete metabolic pathway, should comprehensively evaluate other
biomarkers that affect tamoxifen effectiveness, such as the transport enzymes,
and focus on subgroups of patients, such as premenopausal breast cancer patients,
for whom tamoxifen is the only guideline approved endocrine therapy.
PMID- 29801586
TI - Preface.
PMID- 29801585
TI - Imaging in Pharmacogenetics.
AB - An increasing collection of imaging technologies makes it possible to
differentiate treatment responders from nonresponders based on genetic variation.
This chapter will review some of the imaging technologies currently available in
nuclear medicine to visualize drug absorption, distribution, metabolism, and
elimination. Some of the commonly used techniques to detect radiation-emitting
compounds are the two-dimensional scintigraphy and the three-dimensional single
photon emission computed tomography (SPECT) which both detect photons using a
gamma camera, and the three-dimensional positron emission tomography (PET), which
detect the decay of positron-emitting radionuclides. Current examples include
visualization of functional effects of genetic variants, and these provide proof
of concept for imaging in pharmacogenetics as a tool to improve efficacy and
safety of drugs.
PMID- 29801588
TI - The impact of teachings on sexuality in Islam on HPV vaccine acceptability in the
Middle East and North Africa region.
AB - The human papilloma virus (HPV) vaccine is the recommended prevention strategy
for viruses-related cancers, but its acceptability remains controversial,
primarily because of the relationship between sexual activity and HPV infection.
Countries in the Middle East and North Africa are conservative vis-a-vis sexual
behaviors, where Islam shapes people's practices including sexual health, and
imposes that sex be carried out within lawful context. Many sexually transmitted
infections can be prevented if the rules of Islam are unfailingly applied by
Muslims in that region. However, this is not guaranteed and a noticeable shift in
the sexual behavior of the youth has been detected, including a drastic increase
in unofficial sexual practices, which in the long-term increase HPV incidence and
its related diseases. This study examines the available epidemiological data as
well as the teachings in Islam's sacred texts and scholars' perspectives to
describe the tensions that exist in Muslim cultures around sexuality.
Understanding their influence and the function of these tensions can help
illuminate the factors that contribute to barriers to accepting the vaccine.
PMID- 29801587
TI - An overview of mortality & predictors of small-cell and non-small cell lung
cancer among Saudi patients.
AB - Lung cancer ranks as the top cancer worldwide in terms of incidence and
constitutes a major health problem. About 90% of lung cancer cases are diagnosed
at advance stage where treatment is not available. Despite evidence that lung
cancer screening improves survival, guidelines for lung cancer screening are
still a subject for debate. In Saudi Arabia, only 14% of lung cancers are
diagnosed at early stage and researches on survival and its predictors are
lacking. This overview analysis was conducted on predictors of lung cancer
mortality according to the two major cancer types, small-cell lung cancers
(SCLCs) and non-small cell lung cancers (NSCLCs) in Saudi Arabia. A secondary
data analysis was performed on small-cell lung cancers (SCLCs) and Non-small cell
lung cancers (NSCLCs) registered in the Saudi Cancer Registry (SCR) for the
period 2009-2013 to estimate predictors of mortality for both lung cancer types.
A total of 404 cases (197 SCLC and 207 NSCLC) were included in the analysis, all
Saudi nationals. A total of 213 (52.75%) deaths occurred among lung cancer
patients, 108 (54.82%) among SCLCs and 105 (50.72%) among NCSLCs. Three quarter
of patients are diagnosis with advance stage for both SCLC & NSCLC. Univariate
analysis revealed higher mean age at diagnosis in dead patients compared to alive
patients for SCLCs (p=0.04); but not NSCLCs, a lower mortality for NSCLCs
diagnosed in 2013 (p=0.025) and a significant difference in stage of tumor
(p=0.006) and (p=0.035) for both SCLC and NSCLC respectively. In multiple
logistic regression, stage of tumor was a strong predictor of mortality, where
distant metastasis increased morality by 6-fold (OR=5.87, 95% CI: 2.01 - 17.19)
in SCLC and by 3-fold (OR=3.29, 95% CI: 1.22 - 8.85) in NSCLC, compared to
localized tumors. Those with NSCLC who were diagnosed in 2013 were less likely to
die by 64% compared to NSCLC diagnosed in 2009 (OR=0.36, 95% CI: 0.14 - 0.93).
Age, sex, topography and laterality were not associated with mortality for both
types of lung cancer. We observed that the stage of the tumor is the strongest
predictor of mortality for both SCLCs and NSCLs. This confirms the impact of
diagnostic stage on survival. However, establishing Saudi-specific lung cancer
screening guidelines will require further research on the benefits and harms of
screening modalities in the Saudi population.
PMID- 29801589
TI - Distribution and determinants of tuberculosis in the Kingdom of Saudi Arabia from
2005 to 2012.
AB - Tuberculosis (TB) remains a public health threat in the Kingdom of Saudi Arabia
(KSA) with many challenges that limit its prevention and control. To understand
how to meet these challenges, this study calculated the TB incidence rates (IRs)
in KSA from 2005 to 2012, which were stratified by nationality, sex, and
administrative regions. Furthermore, laboratory capabilities were assessed by
determining the proportion of laboratory-confirmed TB cases. The overall TB IRs
decreased from 15.80/100,000 population in 2005 [95% confidence interval
(CI)=15.29-16.31] to 13.16/100,000 population in 2012 (95% CI=12.74-13.58). The
IRs were greater for males than for females from 2009 to 2012. The IRs of non
Saudis were approximately two times those of Saudis during the study period.
Mecca had greater IR during the study period compared with other regions
[25.13/100,000 (95% CI=24.7-25.56)]. Among non-Saudis, those from Indonesia and
Yemen had the greatest proportion of TB cases (15.4% and 12.9%, respectively).
Individuals <15years of age comprised 14.2% of the TB cases. Employed non-Saudis
had the greatest proportion of TB (32%), followed by unemployed Saudis (22.38%).
The proportion of laboratory-confirmed cases of reported TB was 57% from 2005 to
2012. For effective prevention and control, TB screening should be implemented
for non-Saudi workers at ports of entry and laboratory-screening capacity for TB
should be evaluated.
PMID- 29801590
TI - Impact of mobile teams on tuberculosis treatment outcomes, Riyadh Region, Kingdom
of Saudi Arabia, 2013-2015.
AB - The objective of this study was to evaluate the impact of the tuberculosis (TB)
mobile teams on treatment outcomes in Riyadh Region by comparing patients who
received treatment under mobile teams and those who did not, from 2013 to 2015.
This was a retrospective descriptive study using National TB Control and
Prevention Program data from 2013 to 2015 from Riyadh, Kingdom of Saudi Arabia.
Descriptive analyses were used to summarize characteristics of TB case-patients
served by mobile teams and those who were not served. The chi2 test measured the
significant differences between mobile-served and non-mobile-served case
patients. Exposure was whether or not the TB case-patient was under the care of
the mobile team; the outcome of interest was whether or not treatment was
successful, defined as treatment completed and cured. We found that the ratio of
treatment success among mobile team case-patients was 1.28 greater than among
those not served by mobile teams. The chi2 test showed a statistically
significant finding (probability ratio=1.28; 95% confidence interval=1.21-1.35,
p<0.01). Mobile teams increased the treatment success rate to 92%, compared to
71.77% among those not served by mobile teams. This study shows that community
mobilization of mobile teams is an effective strategy to enhance TB treatment,
reduced mortality and loss to follow-up and improve TB treatment outcomes.
PMID- 29801591
TI - Device-associated nosocomial infection in general hospitals, Kingdom of Saudi
Arabia, 2013-2016.
AB - Healthcare-associated infections (HAIs) including device-associated HAI (DA-HAI)
are a serious patient safety issue in hospitals worldwide, affecting 5-10% of
hospitalized patients and deadly for patients in intensive care units (ICUs).
(Vincent, 2003; Al-Tawfiq et al., 2013; Hu et al., 2013). DA-HAIs account for up
to 23% of HAIs in ICUs and about 40% of all hospital infections (i.e. central
line-associated blood stream infections [CLABSI], ventilator-associated pneumonia
[VAP], and catheter-associated urinary tract infections [CAUTI]). This study aims
to identify DA-HAI rates among a group of selected hospitals in the Kingdom of
Saudi Arabia (KSA), 2013-2016. Secondary data was analyzed from 12
medical/surgical intensive care units (M/SICUs) and two cardiac care units (CCUs)
from 12 Ministry of Health (MoH) hospitals from different regions in KSA. These
data were reported by infection control practitioners to the MoH via electronic
International Nosocomial Infection Control Consortium (INICC) systems in each
hospital. Among 6178 ICU patients with 13,492 DA-HAIs during 2013-2016, the
average length of stay (LOS) was 10.7 days (range 0-379 days). VAP was the most
common DA-HAI (57.4%), followed by CAUTI (28.4%), and CLABSI (14.2%). In CCUs
there were no CLABSI cases; CAUTI was reported from 1 to 2.6 per 1000 device
days; and VAP did not occur in Hospital B but occurred 8.1 times per 1000 device
days in the CCU in Hospital A. In M/SICUs, variations occurred among time
periods, hospitals, and KSA provinces. CLABSI varied between hospitals from 2.2
to 10.5 per 1000 device-days. CAUTI occurred from 2.3 to 4.4 per 1000 device
days, while VAP had the highest rates, from 8.9 to 39.6 per 1000 device-days.
Most hospitals had high device-utilization ratios (DURs) (from the 75th to 90th
percentile of National Healthcare Safety Network (NHSN)'s standard and the 50th
to 75th percentile of INICC's). This study showed higher device-associated
infection rates and higher device-utilization ratios in the study's CCUs and
M/SICUs than NHSN benchmarks. To reduce the rates of infection, ongoing
monitoring of infection control practices and comprehensive education are
required. Furthermore, a sensitive and specific national healthcare safety
network is needed in KSA.
PMID- 29801592
TI - Distribution of hemoglobinopathy disorders in Saudi Arabia based on data from the
premarital screening and genetic counseling program, 2011-2015.
AB - The prevalence rates of beta-thalassemia (beta-thal) and Sickle Cell Disease
(SCD) in Saudi Arabia are considered one of the highest compared to surrounding
countries in the Middle East (0.05% and 4.50%, respectively). In this study,
Secondary data analysis was obtained from the premarital screening and genetic
counseling program (PMSGC), and included 12,30,582 individuals from February 2011
to December 2015. Prevalence rates (per 1000 population) for beta-thal and SCD
were calculated for carrier status, disease status and their combination. During
the 5-year study period, the overall prevalence rate per 1000 population for beta
thal was 13.6 (12.9 for the trait and 0.7 for the disease). The prevalence rate
for SCD was 49.6 (45.8 for the trait and 3.8 for the disease). Rates for beta
thal were found to decrease from 24.2 in 2011, to 12 in 2015. However, SCD rates
remained rather constant and ranged from 42.3 in 2011 to 49.8 in 2015. The
highest rate for both beta-thal and SCD was observed in the Eastern and Southern
regions. This result reflects major accomplishment of the PMSGC. This study
recommends further improvement in preventive measures in high-risk regions, and
enhanced community awareness to provide the highest rate reduction for these
disorders.
PMID- 29801593
TI - Levels of health awareness in diabetic patients during Ramadan 2015: Focus group
discussion in Riyadh, Saudi Arabia.
AB - A qualitative study was carried out to explore the health status of people with
diabetes during Ramadan. Fifteen patients participated in two focus group
discussions. Most respondents reported lack of knowledge regarding their own
conditions and do not follow the medical advice of not fasting during Ramadan.
Barriers facing the patients seeking healthcare before and during Ramadan were
the atmosphere, long distances to facilities, monthly appointments, and monthly
prescribed medication. All respondents agreed on the importance of physical
activity but their opinions varied on how to conduct it. Regarding the services,
most respondents were unsatisfied due to the lack of health services provided in
addition to the shortage of essential medication or laboratory investigations.
Others blamed primary healthcare-center staff for the delay in laboratory
investigation results and the unavailability of glycosylated hemoglobin (HbA1c).
Respondents also claimed that self-check glucometer measurements are not as
accurate as laboratory results. Doctors may be able to educate patients regarding
the effects of fasting with diabetes whereas religious leaders may influence
individuals to follow doctors' advice. Evaluation of the quality of healthcare
services is necessary to identify defects in health services in order to
ameliorate service quality, including availability of drugs and glucometers in
pharmacies, and laboratory investigations, including HbA1c, to meet patient
satisfaction.
PMID- 29801595
TI - A Tragic Swing of the Pendulum in the Opioid Crisis.
PMID- 29801594
TI - Physical activity promotion in Saudi Arabia: A critical role for clinicians and
the health care system.
AB - This work aimed to summarize the benefits of physical activity and the importance
of counseling by a physician to promote physical activity in a primary health
care setting in Saudi Arabia. Despite established evidence that physical activity
is effective for reducing the risk of non-communicable diseases, as well as the
importance and cost-effectiveness of physical activity counseling in the primary
care setting, few studies have been conducted regarding physical activity
counseling in Saudi Arabia.
PMID- 29801596
TI - ''As-Needed'' Range Orders for Opioid Analgesics in the Management of Pain: A
Consensus Statement of the American Society for Pain Management Nursing and the
American Pain Society.
AB - Effective pain management requires careful titration of analgesics and evaluation
of individual patient's responses to treatment using valid and reliable pain and
pain relief assessment tools, and evidence-based patient monitoring for adverse
treatment effects. A registered nurse, competent in pain assessment and analgesic
administration, can safely interpret and implement properly written ''as-needed''
or ''PRN'' range orders for analgesic medications. The American Society for Pain
Management Nursing (ASPMN) and the American Pain Society (APS) support safe
medication practices and the appropriate use of PRN range orders for opioid
analgesics in the management of pain.
PMID- 29801597
TI - Volatile metabolites in breath strongly correlate with gut microbiome in CD
patients.
AB - Microbiota composition and its metabolic capacity are very important for host
health. Evidence suggests that gut microbiome is involved in the metabolites
production by host-microbiome interaction. These metabolites can be absorbed in
blood and excreted in exhaled air. Although, profiles of gut microbiota and
exhaled metabolites were associated with gastrointestinal diseases, a direct link
between them has not yet been investigated. The aim of the study was to
investigate the relation between volatiles in breath and gut microbiome in active
and quiescent Crohn's disease (CD) via a multivariate statistical approach.
Canonical correlation analysis (CCA) was used to assess the relation between
exhaled metabolites and faecal bacterial species. From 68 CD patients, 184
repeated faecal and breath samples were collected (92 active and 92 quiescent
disease). The microbiota composition was assessed by the pyrosequencing of the 16
S rRNA V1-V3 gene region and breath metabolites by gas chromatography mass
spectrometry. In active disease, CCA analysis identified 18 metabolites
significantly correlated with 19 faecal bacterial taxa (R = 0.91 p-value 3.5*10
4). In quiescent disease 17 volatile metabolites were correlated with 17
bacterial taxa (R = 0.96 p-value 2.8*10-4). Nine metabolites and three bacteria
taxa overlapped in active and inactive CD. This is the first study that shows a
significant relation between gut microbiome and exhaled metabolites, and was
found to differ between active and quiescent CD, indicating various underlying
mechanisms. Unravelling this link is essential to increase our understanding on
the functional effects of the microbiome and may provide new leads for microbiome
targeted intervention.
PMID- 29801598
TI - Rapid screening drug susceptibility test in tuberculosis using sandwich
electrochemical immunosensor.
AB - Drug susceptibility testing (DST) for Mycobacterium tuberculosis currently faces
multiple challenges, including lengthy ineffective standard methods, the
expensive cost of molecular tests, and large bulky diagnostic machines. In this
work, a disposable MPT64 sensor was developed to rapidly determine drug
susceptibility (DS-TB) and multidrug resistant tuberculosis (MDR-TB) using an
electrochemical sandwich-immunosensor for the detection of MPT64 as an indicator
of Mycobacterium tuberculosis growth. Anti-MPT64 (as capture antibody; cAb) was
immobilised on screen printed carbon electrodes to specifically bind with MPT64
target protein. A reporter probe of anti-MPT64 conjugated with horseradish
peroxidase (HRP labeled rAb) then completed the sandwich immunocomplex. The
current signals were received from the catalytic reaction between 3,3'-5,5'
tetramethylbenzidine (TMB), H2O2 and HRP labeled rAb using the chronoamperometric
mode on a portable potentiostat. Increasing MPT64 concentration was taken as an
indicator of growth, which was measured by the disposable MPT64 sensor. This
sensor shows the possibility of determining DST by comparing the signals of DS-TB
and MDR-TB growth in drug-free and drug-containing liquid medium. The time
required to identify DS-TB and MDR-TB in pure culture MTB and leftover sputum
sediments from patients are 3 days and 4-6 days, respectively. Therefore, using
this sensor is significantly rapid and inexpensive and has the potential to be
used for drug susceptibility testing of tuberculosis in middle to low income
countries.
PMID- 29801599
TI - Bioanalytical advantages of a novel recombinant apyrase enzyme in ATP-based
bioluminescence methods.
AB - Ultrasensitive measurements of intracellular ATP (intATP) based on the firefly
luciferase reactions are frequently used to enumerate bacterial or mammalian
cells. During clinical applications, extracellular ATP (extATP) should be
depleted in biological samples since it interferes with intATP and affects the
quantification of bacteria. The extATP can be eliminated by ATP-degrading enzymes
but complete hydrolysis of extATP remains a challenge for today's commercial
enzymes. The catalytic efficiency of ATP-degrading enzymes depends on enzyme
characteristics, sample composition and the ability to deplete diphosphates,
triphosphates and their complexes generated during the reaction. This phenomenon
restricts the usage of bioluminescence-based ATP methods in clinical diagnostics.
In light of this, we have developed a recombinant Shigella flexneri apyrase
(RSFA) enzyme and analysed its ATP depletion potential with five commercial
biochemical sources including potato apyrase, acid phosphatase, alkaline
phosphatase, hexokinase and glycerol kinase. The RSFA revealed superior activity
by completely eliminating the extracellular ATP and ATP-complexes, even in
biological samples like urine and serum. Therefore, our results can potentially
unwrap the chemical and bio-analytical applications of ATP-based bioluminescence
tests to develop highly sensitive point-of-care diagnostics.
PMID- 29801600
TI - Derivatization chemistries for the determination of inorganic anions and
structurally related compounds by gas chromatography - A review.
AB - Gas chromatography (GC) methods for the determination of inorganic anions and
structurally related compounds are reviewed. In their native form, such analytes
are polar and non-volatile, therefore they require derivatization before GC
analysis. Several chemistries have been employed to convert anions to volatile
molecules with applications to a wide set of analytes: nitrite, nitrate, halides,
azide, bromate, iodate, borate, carbonate, thiocyanate, cyanide, sulfide,
silicates, phosphates, phosphonates, selenite, selenate, arsenite, arsenate,
monomethylarsonic acid, and dimethylarsinic acid have been measured following GC
separation. In this review, most derivatization chemistries employed for anions
are discussed with attention to molecular aspects of the conversion, experimental
conditions, applications to complex sample matrices, and figure of merits.
PMID- 29801601
TI - Covalent immobilization of metal organic frameworks onto chemical resistant
poly(ether ether ketone) jacket for stir bar extraction.
AB - Preparation of stir bar extraction (SBSE) device with high physical and chemical
stability is important and challenging by date. A novel poly (ether ether ketone)
(PEEK) tube with excellent mechanical property and chemical stability was firstly
used as jacket of metal bar for preparation of stir bar. By employing covalent
modification method, the inherent chemical resistant problem of PEEK which
restricts the modification of sorbents was well solved. After functionalization,
plenty of benzoic acid groups were formed onto the PEEK jacket. Metal organic
frameworks of aluminium-based Materials of Institute Lavoisier-68 (MIL-68) was in
situ immobilized onto the PEEK surface (MIL-68@PEEK) by the bonding with benzoic
acid groups. Afterwards, a facile dumbbell-shaped structure was designed for
reducing the friction between sorbents and bottom of container. Due to superior
property of the PEEK jacket and the covalent modification method, the MIL-68
modified PEEK jacket SBSE device showed good robustness. After coupling with HPLC
MS/MS, the MIL-68@PEEK-based SBSE device was used to analyse of three parabens
including methyl paraben, ethyl paraben and propyl paraben. The method had low
limit detection up to 1 pg mL-1 with good linearity (R2 >= 0.9978) and good
reproducibility (relative standard deviation <= 9.74%). The method has been
applied to the detection of parabens in cosmetics and rabbit plasma after painted
with cosmetics with recoveries between 73.25% and 104.23%.
PMID- 29801602
TI - Rapid and accurate quantification of amphetamine and methamphetamine in human
urine by antibody decorated magnetite nanoparticles coupled with matrix-assisted
laser desorption ionization time-of-flight mass spectrometer analysis.
AB - In this study, a novel method for the simultaneous determination and accurate
quantification of abused drugs in human urine was developed. Antibody conjugated
boronic acid modified magnetite nanoparticles (Fe3O4, MNPs) were prepared for the
selectively purification of illicit drugs in combination with high resolution
matrix-assisted laser desorption ionization time-of-flight mass spectrometer
(MALDI-TOF MS) analysis. Illicit drugs, amphetamine (AM) and methamphetamine
(MA), were used as model analytes to demonstrate the feasibility of our strategy.
Boronic acid functionalized MNPs were first prepared via one-pot synthesis to
simplify and improve the efficiency of a chemical reaction. Anti-amphetamine
antibody (anti-AM antibody) and anti-methamphetamine antibody (anti-MA antibody)
was conjugated onto boronic acid modified MNPs, respectively, through the
formation of boronate ester bond that could maintain the correct orientation to
maximally capture their antigens. The capacity of antibody conjugation to boronic
acid modified MNPs was at least 24 MUg antibody/mg MNPs. Antibody-conjugated MNPs
were designed to specifically capture AM and MA in human urine samples, both of
which can be directly eluted to MALDI target plate by adding MALDI CHCA matrix
solution for the following MALDI-MS analysis. The linear range of detection of
the proposed method were 25-400 ng/mL and 25-1000 ng/mL with coefficients of
determination between 0.9923 and 0.9997 for AM and MA, respectively. The lowest
detectable concentrations of AM and MA were 1.87 and 3.75 ng/mL, respectively.
More importantly, the proposed method allows rapid and accurate quantification of
AM and MA from three suspects' urine samples. The obtained results are consistent
with traditional GC/MS analysis. Antibody-conjugated MNPs could thus prove to be
powerful tools for important applications such as forensic science, food safety
and clinical diagnosis of disease.
PMID- 29801603
TI - A targeted metabolomics approach for clinical diagnosis of inborn errors of
metabolism.
AB - Metabolome, the ultimate functional product of the genome, can be studied through
identification and quantification of small molecules. The global metabolome
influences the individual phenotype through clinical and environmental
interventions. Metabolomics has become an integral part of clinical research and
allowed for another dimension of better understanding of disease pathophysiology
and mechanism. More than 95% of the clinical biochemistry laboratory routine
workload is based on small molecular identification, which can potentially be
analyzed through metabolomics. However, multiple challenges in clinical
metabolomics impact the entire workflow and data quality, thus the biological
interpretation needs to be standardized for a reproducible outcome. Herein, we
introduce the establishment of a comprehensive targeted metabolomics method for a
panel of 220 clinically relevant metabolites using Liquid chromatography-tandem
mass spectrometry (LC-MS/MS) standardized for clinical research. The sensitivity,
reproducibility and molecular stability of each targeted metabolite (amino acids,
organic acids, acylcarnitines, sugars, bile acids, neurotransmitters, polyamines,
and hormones) were assessed under multiple experimental conditions. The metabolic
tissue distribution was determined in various rat organs. Furthermore, the method
was validated in dry blood spot (DBS) samples collected from patients known to
have various inborn errors of metabolism (IEMs). Using this approach, our panel
appears to be sensitive and robust as it demonstrated differential and unique
metabolic profiles in various rat tissues. Also, as a prospective screening
method, this panel of diverse metabolites has the ability to identify patients
with a wide range of IEMs who otherwise may need multiple, time-consuming and
expensive biochemical assays causing a delay in clinical management.
PMID- 29801604
TI - Reduced graphene oxide/nile blue/gold nanoparticles complex-modified glassy
carbon electrode used as a sensitive and label-free aptasensor for ratiometric
electrochemical sensing of dopamine.
AB - In this work, glassy carbon electrode (GCE) surface was modified by drop-coating
graphene oxide (GO) and nile blue (NB) to form GO/NB/GCE. By using a one-step
coreduction treatment under cyclic voltammetry (CV) scanning, gold nanoparticles
(AuNPs) were electrodeposited onto GO/NB/GCE surface, simultaneously generating
reduced GO (rGO). AuNPs from the prepared rGO/NB/AuNPs/GCE was combined with 5'
SH-terminated aptamer of dopamine (DA) via Au-S coupling to fabricate aptamer
rGO/NB/AuNPs/GCE system. DA specifically combined with its aptamer modified on
rGO/NB/AuNPs/GCE surface. CV, electrochemical impedance spectroscopy, square wave
voltammetry responses of this system as the working electrode were measured. With
the addition of DA, the peak current intensities located at -0.45 V (INB) and
0.15 V (IDA) showed gradually decreased and increased changes, respectively.
There was a good linear (R2 = 0.9922) relationship between lg(IDA/INB) and the
logarithm of DA concentration (lgCDA) in the CDA range from 10 nM to 0.2 mM,
showing a low detection limit of 1 nM. This system as a novel, sensitive and
label-free aptasensor was used for ratiometric electrochemical sensing of DA.
Experimental results verified that this aptasensor possessed high stability,
selectivity and sensitivity towards DA detection, over potential interferents.
This aptasensor efficiently determined DA in real biological samples, together
with high detection recoveries of 97.0-104.0%.
PMID- 29801605
TI - Quantum dot nanobead-based multiplexed immunochromatographic assay for
simultaneous detection of aflatoxin B1 and zearalenone.
AB - Immunochromatographic assay (ICA) is a promising technology for on-site
detection. Nonetheless, the wide-scale application of ICA is hindered by several
disadvantages, such as poor reproducibility, low sensitivity, and single-target
detection. Thus, a novel quantum dot nanobead (QB)-based multiplexed ICA (QB-ICA)
with multiple test lines was developed in this study for the simultaneous
quantitative detection of aflatoxin B1 (AFB1) and zearalenone (ZEN), where QBs
with high luminescence were used as labels to enhance the analytical sensitivity
of the ICA. Moreover, a streptavidin (SA)-biotin system, which was undisturbed by
the target mycotoxins, was introduced as the signal output for the control line.
Consequently, stable and reliable T/C values (ratios of signals on the test line
to that of the control line) were obtained as quantitative signals. The proposed
QB-ICA demonstrated high sensitivity for the simultaneous detection of AFB1 and
ZEN, of which the half-maximal inhibitory concentrations reached as low as 38.98
pg mL-1 and 1.23 ng mL-1, respectively. At 10% competitive inhibition
concentration, the limit detections (LOD) were 1.65 and 59.15 pg mL-1 for AFB1
and ZEN, respectively. The average recoveries of the intra- and inter-assays
ranged from 81.77% to 119.70% and from 94.18% to 111.4% for AFB1 and ZEN
quantification, respectively, and the variation coefficients were less than 12%,
thereby indicating that the proposed method is highly accurate and robust. These
findings suggest that QB-ICA using SA-biotin system as the signal output of
control line is an excellent point-of-care platform for the rapid screening of
mycotoxins.
PMID- 29801606
TI - Al3+ sensing through different turn-on emission signals vis-a-vis two different
excitations: Applications in biological and environmental realms.
AB - A rationally designed Schiff base chemosensor (L) could render specific detection
of Al3+ ions with two distinct turn-on emission signals, separated by over 100 nm
upon excitation at two different wavelengths. The utility of the probe lies in
facilitating sensing in 80% aqueous medium with an emission close to 600 nm via
an intramolecular charge transfer (ICT) mechanism. The biocompatible and cell
permeable probe could readily sense Al3+ in live HeLa cells as well. The affinity
of the probe for Al3+could be leveraged to specifically study DNA- Al3+
interaction in solution.
PMID- 29801607
TI - Recent advances in chromatographic purification of plasmid DNA for gene therapy
and DNA vaccines: A review.
AB - The wide spread of infectious diseases have provoked the scientists to develop
new types of vaccines. Among the different types of vaccines, the recently
discovered plasmid DNA vaccines, have gained tremendous attentions in the last
few decades as a modern approach of vaccination. The scientific interest in
plasmid DNA vaccines is attributed to their prominent efficacy as they trigger
not only the cellular immune response but also the humoral immune responses.
Moreover, pDNA vaccines are easily to be stored, shipped and produced. However,
the purification of the pDNA vaccines is a crucial step in their production and
administration, which is usually conducted by different chromatographic
techniques. This review summarizes the most recent chromatographic purification
methods provided in the literature during the last five years following our last
review in 2013, including affinity chromatography, hydrophobic interaction
chromatography, ion exchange chromatography, multimodal chromatography, sample
displacement chromatography and miscellaneous chromatographic methods.
PMID- 29801608
TI - Highly efficient electrocatalytic vapor generation of methylmercury based on the
gold particles deposited glassy carbon electrode: A typical application for
sensitive mercury speciation analysis in fish samples.
AB - A gold particle deposited glassy carbon electrode (Au/GCE) was first used in
electrochemical vapor generation (ECVG) technology and demonstrated to have
excellent catalytic property for the electrochemical conversion process of
aqueous mercury, especially for methylmercury (CH3Hg+), to gaseous mercury.
Systematical research has shown that the highly consistent or distinct difference
between the atomic fluorescence spectroscopy signals of CH3Hg+ and Hg2+ can be
achieved by controlling the electrolytic parameters of ECVG. Hereby, a new green
and accurate method for mercury speciation analysis based on the distinguishing
electrochemical reaction behavior of Hg2+ and CH3Hg+ on the modified electrode
was firstly established. Furthermore, electrochemical impedance spectra and the
square wave voltammetry displayed that the ECVG reaction of CH3Hg+ may belong to
the electrocatalytic mechanism. Under the selected conditions, the limits of
detection of Hg2+ and CH3Hg+ are 5.3 ng L-1 and 4.4 ng L-1 for liquid samples and
0.53 pg mg-1 and 0.44 pg mg-1 for solid samples, respectively. The precision of
the 5 measurements is less than 6% within the concentration of Hg2+ and CH3Hg+
ranging from 0.2 to 15.0 MUg L-1. The accuracy and practicability of the proposed
method was verified by analyzing the mercury content in the certified reference
material and several fish as well as water samples.
PMID- 29801609
TI - Ultra-trace Cu isotope ratio measurements via multi-collector ICP-mass
spectrometry using Ga as internal standard: an approach applicable to micro
samples.
AB - The capabilities of Cu isotope ratio measurements are often restricted by the
small volumes of sample available and/or their low Cu concentration. In this
work, an analytical approach was developed for performing Cu isotopic analysis
via multi-collector ICP-mass spectrometry (MC-ICP-MS) at ultra-trace level using
Ga as an internal standard for mass bias correction. The minimum concentration of
Cu required for accurate and precise isotope ratio measurements was established
to be 20 MUg L-1 with wet plasma conditions and 5 MUg L-1 with dry plasma
conditions. The use of Ga as an internal standard for mass bias correction
provided several advantages compared to Ni, i.e. improved internal precision on
delta65Cu values and lower blank levels. Ga can also be used at a 4-fold lower
concentration level than Ni. However, in wet plasma conditions, the signals of
36Ar16O21H+ and 40Ar15N16O+ interfered with the signals of 69Ga+ and 71Ga+,
respectively, while in dry plasma conditions, realized by the use of a
desolvation unit, 69Ga+ suffered from spectral interference from 40Ar14N21H+.
These interferences were resolved by using medium mass resolution. For validation
purposes, the approach was applied to commercially available blood and serum
samples. The delta65Cu values for the samples measured at a concentration level
of 5 MUg L-1 Cu and 5 MUg L-1 Ga using dry plasma conditions were in good
agreement with those obtained for isotope ratio measurements at the "standard"
concentration level of 200 MUg L-1 Cu and 200 MUg L-1 Ni using wet plasma
conditions. In addition, the delta65Cu values obtained for micro-samples of
serum/blood (volume of 100 uL) were in good agreement with the corresponding ones
obtained using the "standard" volume for isotopic analysis (500 MUL).
PMID- 29801610
TI - Validation of the Regions of Interest Multivariate Curve Resolution (ROIMCR)
procedure for untargeted LC-MS lipidomic analysis.
AB - Untargeted liquid chromatography coupled to mass spectrometry (LC-MS) analysis
generates massive amounts of information-rich mass data which presents storage
and processing challenges. In this work, the validation of a recently proposed
procedure for LC-MS data compression and processing is presented, using as
example the analysis of lipid mixtures. This method consists of a preliminary
selection of the Regions of Interest of the LC-MS data (MSROI) coupled to their
throughout chemometric analysis by the Multivariate Curve Resolution Alternating
Least Squares method (MCR-ALS). The proposed data selection procedure is based on
the search of the most significant mass traces regions with high mass densities.
This allows for a drastic reduction of the MS data size and of the computer
storage requirements, without any significant loss neither of spectral resolution
nor of accuracy on m/z measures. The combination of the MSROI data compression
and MCR-ALS data analysis procedures in the new ROIMCR procedure has the main
advantage of not requiring neither the chromatographic peak alignment nor the
chromatographic peak shape modelling used in many other procedures as a pre
treatment step of the data analysis. The proposed ROIMCR procedure is tested in
the analysis of the LC-MS experimental data coming from different lipid mixtures
and of a melanoma cell line culture sample with satisfactory results. The
proposed strategy is shown to be a general, fast, reliable and easy to use method
for general untargeted LC-MS metabolic and lipidomic data analysis type of
studies.
PMID- 29801611
TI - Assessing sample extraction efficiencies for the analysis of complex unresolved
mixtures of organic pollutants: A comprehensive non-target approach.
AB - The comprehensive extraction recovery assessment of organic analytes from complex
samples such as oil field produced water (PW) is a challenging task. A targeted
approach is usually used for recovery and determination of compounds in these
types of analysis. Here we suggest a more comprehensive and less biased approach
for the extraction recovery assessment of complex samples. This method combines
conventional targeted analysis with a non-targeted approach to evaluate the
extraction recovery of complex mixtures. Three generic extraction methods: liquid
liquid extraction (Lq), and solid phase extraction using HLB cartridges (HLB),
and the combination of ENV+ and C8 (ENV) cartridges, were selected for
evaluation. PW was divided into three parts: non-spiked, spiked level 1, and
spiked level 2 for analysis. The spiked samples were used for targeted evaluation
of extraction recoveries of 65 added target analytes comprising alkanes, phenols,
and polycyclic aromatic hydrocarbons, producing absolute recoveries. The non
spiked samples were used for the non-targeted approach, which used a combination
of the F-ratio method and apex detection algorithm. Targeted analysis showed that
the use of ENV cartridges and the Lq method performed better than use of HLB
cartridges, producing absolute recoveries of 53.1 +/- 15.2 for ENV and 46.8 +/-
13.2 for Lq versus 19.7 +/- 6.7 for HLB. These two methods appeared to produce
statistically similar results for recoveries of analytes, whereas they were both
different from the produced recoveries via the HLB method. The non-targeted
approach captured unique features that were specific to each extraction method.
This approach generated 26 unique features (mass spectral ions), which were
significantly different between samples and were relevant in differentiating each
extract from each method. Using a combination of these targeted and non-targeted
methods we evaluated the extraction recoveries of the three extraction methods
for analysis of PW.
PMID- 29801612
TI - A label-free photoelectrochemical biosensor for urokinase-type plasminogen
activator detection based on a g-C3N4/CdS nanocomposite.
AB - Herein, we established a novel ultrasensitive photoelectrochemical biosensor for
detecting urokinase-type plasminogen activator (u-PA), based on a g-C3N4/CdS
nanocomposite. The prepared nanocomposite was characterized by transmission
electron microscopy, X-ray photoelectron spectroscopy, ultraviolet-visible
absorption spectroscopy, and Fourier transform infrared spectroscopy, thus
indicating that the nanocomposite was prepared successfully. In the typical
process, the prepared nanocomposite was deposited on the surface of a bare FTO
electrode. After being air-dried, the g-C3N4/CdS nanocomposite modified electrode
was successively incubated with antibody against urokinase-type plasminogen
activator and the blocking agent BSA to produce a photoelectrochemical biosensor
for u-PA. In the presence of target u-PA antigen, the photocurrent response of
the prepared biosensor electrode decreased significantly. The proposed novel
photoelectrochemical biosensor exhibited good sensitivity, specificity, and
reproducibility for u-PA detection, and a low detection limit of 33 fg mL-1,
ranging from 1 MUg mL-1-0.1 pg mL-1. The proposed strategy should provide a
promising method for detection of other biomarkers.
PMID- 29801613
TI - Inhibition of neuronal nitric oxide synthase attenuate the hypothermic effect of
ketamine-magnesium sulfate combination in rats.
AB - Ketamine and magnesium as NMDA receptor antagonists interact synergistically to
decrease body temperature in rats. The mechanism of the hypothermic effect of the
ketamine-magnesium sulfate combination has not been studied until now. The aim of
this study was to examine whether nitric oxide (NO) has a role in the hypothermic
effect of ketamine (10 mg/kg) and the combination of ketamine (5 mg/kg) and
magnesium sulfate (5 mg/kg). The body temperature was measured by insertion of a
thermometer probe 5 cm into the colon of unrestrained male Wistar rats (200-250
g). N(omega)-nitro-L-arginine methyl ester (L-NAME 2.5 and 5 mg/kg) as non
selective inhibitor of nitric oxide synthase at a dose of 5 mg/kg antagonized the
effect of the ketamine-magnesium sulfate combination at 60 min (p < 0.05) and 90
min (p < 0.01). Ketamine induced hypothermia was not affected by administrating
of L-NAME (2.5 and 5 mg/kg). Inhibitor of inducible nitric oxide synthase N6-(1
Iminoethyl)-L-lysine hydrochloride (L-NIL 1.25 mg/kg and 2.5 mg/kg, sc) did not
significantly change the hypothermic response evoked by the ketamine-magnesium
sulfate combination. Inhibitor of neuronal nitric oxide synthase N-omega-Propyl-L
arginine hydrochloride (L-NPA) at a dose of 2 mg/kg antagonized the combination
at 60 min when it achieved the maximum effect. The NO pathway is not involved in
the hypothermic effect of ketamine. Production of NO through neuronal NO
synthase, might play a role in the mechanism of the hypothermic effect of the
ketamine-magnesium sulfate combination.
PMID- 29801614
TI - Ambient temperatures differently influence colour morphs of the leaf beetle
Chrysomela lapponica: Roles of thermal melanism and developmental plasticity.
AB - We asked whether ambient temperatures can affect morph frequencies within a
subarctic population of the polymorphic leaf beetle Chrysomela lapponica through
thermal melanism and/or developmental plasticity. Body temperature increased
faster in beetles of dark morph than in beetles of light morph under exposure to
artificial irradiation. Dark males ran faster than light males in both field and
laboratory experiments, and this difference decreased with increasing ambient air
temperature, from significant at 10 degrees C to non-significant at 20 degrees
C and 26 degrees C. On cold days (6-14 degrees C), significantly more dark
males than light males were found on their host plants in copula (40.8% and 27.3%
respectively); on warm days (15-22 degrees C) this difference disappeared. Light
females produced twice as many eggs as dark females; this difference did not
depend on the ambient temperature. The proportion of dark morphs in the progenies
of pairs with one dark parent was twice as high as that in the progenies of pairs
in which both parents were light, and this proportion was greater when larvae
developed at low (10 and 15 degrees C) than at high (20 and 25 degrees C)
temperatures. We conclude that low temperatures may increase the frequencies of
dark morphs in C. lapponica populations due to both the mating advantages of dark
males over light males and developmental plasticity. Variation in frequencies of
low-fecund dark morphs in the population, caused by among-year differences in
temperature together with density-dependent selection, may contribute to the
evolutionary dynamics of the colour polymorphism and may influence abundance
fluctuations in these leaf beetle populations.
PMID- 29801615
TI - Thermal tolerance of the invasive red-bellied pacu and the risk of establishment
in the United States.
AB - Indigenous red-bellied pacu, Piaractus brachypomus, populations are in decline
due to overfishing. Once ignored by aquaculturists because of their perceived low
economic value, renewed aquaculture efforts in Central and South America aim to
relieve fishing pressures on natural pacu populations. In the southern United
States pacu aquaculture for the aquarium trade has raised concerns that
accidental release could lead to establishment of overwintering populations
outside captivity-a threat accentuated by the average 6 degrees C increase in
shallow-water temperatures predicted by the end of the century. In the present
study, Critical and Chronic Thermal Methodology was used to quantify red-bellied
pacu thermal tolerance niche requirements. The data suggest that red-belllied
pacu are a thermophilic species capable of tolerating low and high chronic
temperatures of 16.5 degrees C and 35 degrees C, respectively. Critical thermal
minimum and maximum temperatures of fish acclimated near their chronic limits are
10.3 and 44.4 degrees C. Red-bellied pacu aquaculture in the United States is
concentrated in subtropical Florida regions that encourage rapid growth and
reproduction, but carry an increased risk of establishing reproducing populations
in local freshwater systems. The thermal niche data show that the risk of
bioinvasion can be reduced or eliminated by adopting an approach whereby
aquaculture potential is integrated with environmental temperature constraints.
PMID- 29801616
TI - Extended winters entail long-term costs for insect offspring reared in an
overwinter burrow.
AB - Winter imposes an ecological challenge to animals living in colder climates,
especially if these adverse conditions coincide with reproduction and offspring
rearing. To overcome this challenge, some insects burrow in the soil to protect
adults, larvae, or eggs from negative effects of winter. However, whether this
protection is effective against any long-term consequences of changes in winter
duration is unclear. Here, we investigated the long-term effects of winter length
variation on eggs of the European earwig Forficula auricularia. In this insect,
females construct and maintain a burrow between late autumn and spring, in which
they provide extensive forms of care to their eggs and then juveniles. We
experimentally maintained earwig females under two winter durations of either
four or six weeks and examined the resulting effects in terms of 1) hatching
date, 2) developmental time of juveniles until adulthood, 3) adult mass at
emergence, and 4) investment of adult offspring females in three key immune
parameters: hemocyte concentration, phenoloxidase, and prophenoloxidase
activities. Because earwigs' resistance against pathogens relies on their social
environment, effects of winter length on immunity were tested on females exposed
to different social environments: with familiar conspecifics, unfamiliar
conspecifics, or in isolation. Our results reveal that after the winter
treatments, eggs reared in short winters hatched earlier and the emerging
juveniles reached adulthood faster than juveniles from eggs exposed to long
winters. We also showed that prophenoloxidase was 30% higher in females from the
long compared to short winter treatment, regardless of social environment.
Finally, we found that hemocyte counts where twice as high in short compared to
long winter females, but only with unfamiliar conspecifics. Overall, our study
reveals that maintaining and caring for eggs in a burrow does not prevent the
costs associated with increased winter duration.
PMID- 29801617
TI - Patterns of temperature induced developmental plasticity in anuran larvae.
AB - Anurans exhibit plasticity in the timing of metamorphosis and tadpoles show
phenotypic plasticity in age and size at metamorphosis as a response to
temperature variation. This developmental plasticity to changing thermal
conditions is expected to be a primary factor that dictates the vulnerability of
amphibians to increasing ambient temperatures such as are predicted in climate
change scenarios. We analyzed the patterns of thermal effects on size and age at
metamorphosis to investigate whether the intraspecific "temperature-size rule" is
applicable over a broad range of anuran species by carrying out a combined
analysis based on the data from 25 studies performed on 18 anuran species.
Furthermore, we tested whether the thermal background of respective populations
impacts the capacity for a plastic response in metamorphic traits. We could
confirm this pattern for across-population comparisons. All included populations
developed faster and 75% were smaller at the onset of metamorphosis when
developmental temperatures were warmer, but the sensitivity of growth and
developmental rate to a given temperature change was different. We found that the
thermal background of a population influences the sensitivity of metamorphic
traits and thus, the capacity for a plastic response in growth and developmental
rate. Warm adapted populations were less sensitive to temperature variation
indicating a reduced capacity for developmental plasticity and therefore, those
species may be more vulnerable to the impacts of climate change. Future studies
should include a broader range of rearing temperatures and temperature
fluctuations to determine full knowledge of the capacity for developmental
plasticity within a species-specific thermal window.
PMID- 29801619
TI - Heat shock response and metabolic stress in the tropical estuarine copepod
Pseudodiaptomus annandalei converge at its upper thermal optimum.
AB - Heat shock response (HSR), in terms of transcription regulation of two heat shock
proteins genes hsp70 and hsp90), was analysed in a widespread tropical copepod
Pseudodiaptomus annandalei. The mRNA transcripts of both genes were quantified
after copepods at a salinity of 20 underwent an acclimation process involving an
initial acclimation temperature of 29 degrees C, followed by gradual thermal
ramping to the target exposure temperature range of 24-36 degrees C. The
respective cellular HSR and organismal metabolism, measured by respiratory
activity at exposure temperatures, were compared. The fold change in mRNA
expression for both hsp70 and hsp90 (8-9 fold) peaks at 32 degrees C, which is
very close to 32.4 degrees C, the upper thermal optimum for respiration in the
species. Unexpectedly, the modelled HSR curves peak at only 3 degrees C (hsp90)
and 3.5 degrees C (hsp70) above the mean water temperature (29.32 degrees C) of
the copepod in the field. We propose that copepods in tropical waters adopt a
preparative HSR strategy, early at the upper limit of its thermal optimum, due to
the narrow thermal range of its habitat thus precluding substantial energy demand
at higher temperatures. However, the model suggests that the species could
survive to at least 36 degrees C with short acclimation time. Nevertheless, the
significant overlap between its thermal range of hsp synthesis and the narrow
temperature range of its habitat also suggests that any unprecedented rise in sea
temperature would have a detrimental effect on the species.
PMID- 29801618
TI - Cold tolerance mechanisms of two arthropods from the Andean Range of Central
Chile: Agathemera crassa (Insecta: Agathemeridae) and Euathlus condorito
(Arachnida: Theraphosidae).
AB - Two strategies have been described for cold tolerance in arthropods: (1) freeze
tolerant organisms, which can survive the formation of ice crystals and (2)
freeze-avoidant organisms, which prevent the ice crystal formation by super
cooling their internal fluids. We studied two arthropods from the Andean Range in
central Chile (2400 m a.s.l.), the stick insect Agathemera crassa commonly named
as "Chinchemolle", and the tarantula spider Euathlus condorito commonly named as
"Arana pollito", in order to evaluate how they respond to low temperatures at the
physiological and molecular levels. We sampled the soil temperature during one
year to track the temperature changes that these organisms must overcome. We
found minimum temperatures around -6 degrees C in autumn, while the temperature
were stable at 0 degrees C in winter due to the snow. The average field-cooling
rate was 0.01 +/- 0.006 degrees C min-1. For both arthropods we determined the
super cooling point (SCP) at a cooling rate of 1 degrees C min-1 and its
subsequent survival, finding that A. crassa is a freezing tolerant organism with
a SCP of -3.8 +/- 1.8 degrees C and 100% survival, while E. condorito is a
freezing avoidant organism with a SCP of -3.0 +/- 1.3 degrees C and 0% survival.
The SCP and survival were not affected by the season in which individuals were
collected, the SCP was significantly affected by the cooling rate of the
experiment. Both species had low molecular weight cryoprotective in their
hemolymph that could explain their cold-tolerance behavior. Glucose, glycerol,
and trehalose were found in A. crassa's hemolymph, only glucose and glycerol were
found in E. condorito's. We analyzed the hemolymph proteins and found no seasonal
differences in composition for either species and also we detected protein
antifreeze activity in the hemolymph from both arthropods.
PMID- 29801620
TI - Avian parental behavior and nest success influenced by temperature fluctuations.
AB - Behavioral adjustments and parental decisions during reproduction can influence
the thermal environment at nests, yet our understanding into how environmental
factors (i.e., temperature and precipitation) constrain an adult's ability to
balance self-maintenance and incubation demands is limited. To expand our
understanding of how species respond to environmental factors, we investigated
the reproductive ecology of two ground-nesting species (northern bobwhite
[Colinus virginianus] and scaled quail [Callipepla squamata]) in a region (i.e.,
the Southern Great Plains) prone to thermal variability (i.e., extreme hot and
cold temperatures). Specifically, our objective was to examine how temperature
and precipitation directly influenced behavioral adjustments (i.e., off-bout
duration, frequency, and nest attentiveness) and parental decisions (i.e., nest
site selection), and indirectly influenced nest fate. Overall, we found that
parents chose to nest in sites that were significantly cooler in temperature than
randomly selected sites, and parents further altered the thermal environment
experienced by embryos through incubation behavior. Daily precipitation and
average ambient temperature and/or their interaction best predicted incubation
behaviors, yet each species differed in the timing (i.e., morning vs. evening),
frequency, and duration of off-bouts. Furthermore, successful nests were
associated with cooler nest site temperatures for bobwhite and warmer nest site
temperatures for scaled quail. Our finding of relatively stable (35.5 degrees C)
incubation temperature for developing embryos of both species suggests that
ground-nesting birds are able to regulate microclimate through behavioral
adjustments and parental decisions even under extreme temperature fluctuations.
Nevertheless, the ability for a ground-nesting species to effectively modify
behavioral adjustments and decisions may be altered during long periods of
enhanced physiological and environmental stress.
PMID- 29801621
TI - Culex quinquefasciatus Say larva adapts to temperature shock through changes in
protein turn over and amino acid catabolism.
AB - Exposure of Culex quinquefasciatus larvae to hypothermia or hyperthermia in
relation to ecological temperature has resulted in alteration of enzyme
activities related to maintenance of free amino acid pool and protein
degradation. Sudden changes in water temperature have led to elevation of protein
content particularly in molecular weight between 66 and 97.4 kDa. MALDI TOF
analysis revealed the presence of putative uncharacterized protein and
Phospholipase A2 activating protein in larvae subjected to 40 degrees C for 1
hour which was not found in control and other temperature treated larvae. These
proteins may be playing a role in survival of larvae at higher temperatures.
Thermal shock has resulted in channeling of free amino acids for protein
synthesis and elevation of amino acid catabolism through increased deamination
and altered transamination, which resulted in a sharp decrease in free amino acid
pool. Inhibition of Leucine amino peptidase and elevation of Cathepsin D, under
the influence of thermal shock may be an adaptive response of larvae to prevent
unnecessary degradation of protein and at the same time facilitated rapid
internal re-organization which may accelerate pupation. Elevated activity of
Phenol oxidase and its co-stimulator Trypsin -like Serine protease, along with
increased expression of Phospholipase A2 activating protein is a well co
ordinated defense mechanism leading to increased immune response in stressed
state. This study assumes significance because variation in environmental
conditions such as global warming and their impact on mosquito physiology can
influence efficiency of disease vector.
PMID- 29801623
TI - Larval rearing of zebrafish at suboptimal temperatures.
AB - Temperature-sensitive mutants have been widely utilized in single-cell and
invertebrate model systems, particularly to study the function of essential
genes. Few temperature-sensitive mutants have been identified in zebrafish,
likely due to the difficulty of raising zebrafish at low temperatures. We
describe a novel rearing protocol that allows rapid growth of larval and juvenile
zebrafish at 23 degrees C compared to previous data in the literature. Embryos
collected from four breeding pairs were maintained at 28.5 +/- 0.5 degrees C
until 5 days post-fertilization (dpf) - the onset of exogenous feeding. Larvae
were then divided to six tanks and three tanks were cooled to 23 +/- 0.2 degrees
C. Fish were fed a live diet (marine rotifers Brachionus plicatilis and Artemia
nauplii) and maintained under a set of environmental parameters shown to increase
growth rate: continuous light, low salinity (3ppt), and algal turbidity. Mean
total length and weight of fish at 21dpf were 12.7 +/- 0.3 mm and 20.5 +/- 1.5 mg
for the 23 degrees C treatment and 18.5 +/- 0.4 mm and 67.3 +/- 3.4 mg for the
28.5 degrees C control. By 35 dpf, the fish raised at 23 degrees C had reached
a mean length and weight of 18.9 +/- 0.7 mm and 76.4 +/- 6.7 mg, approximately
the size control fish reached at 21 dpf. At 35 dpf, water temperature was raised
to 28 degrees C and fish were reared to maturity (75 dpf) under standard
conditions (freshwater, 13 L:11D photoperiod, dry diet, no added algal
turbidity). Sex ratio and fertility were assessed and compared between
temperature groups. There were no significant differences in sex ratio,
fertilization rate, embryo viability at 1 dpf, clutch size, or relative
fecundity. This rearing protocol will allow for efficient utilization of
temperature-sensitive mutations in the zebrafish model system.
PMID- 29801622
TI - Prolonged environment-induced hyperthermia alters autophagy in oxidative skeletal
muscle in Sus scrofa.
AB - Prolonged heat stress represents a continuing threat to human health and
agricultural production. Despite the broad, negative impact of prolonged
hyperthermia little is known about underlying pathological mechanisms leading to
negative health outcomes, which has limited the development of etiological
interventions and left clinicians and producers with only cooling and rehydration
strategies. The purpose of this investigation was to determine the extent to
which prolonged environment-induced hyperthermia altered autophagy in oxidative
skeletal muscle in a large animal model, serving the dual purpose of accurately
modeling human physiology as well as agricultural production. We hypothesized
that prolonged hyperthermia would induce autophagy in skeletal muscle,
independent of the accompanying caloric restriction. To test this hypothesis pigs
were treated as follows: thermoneutral (20 degrees C), heat stress (35 degrees
C), or were held under thermoneutral conditions but pair-fed to the heat stress
group for seven days. Upon euthanasia the red portion of the semitendinosus was
collected. We found that prolonged hyperthermic exposure increased oxidative
stress without a corresponding change in antioxidant enzyme activities.
Hyperthermia prevented initiation of autophagy despite increased markers of
nucleation, elongation and autophagosome formation. However, p62 relative protein
abundance, which is inversely correlated with autophagic degradation, was
strongly increased suggesting suppressed degradation of autophagosomes. Markers
of mitophagy and mitochondrial abundance were largely similar between groups.
These data indicate that faulty autophagy plays a key role in hyperthermic muscle
dysfunction.
PMID- 29801624
TI - An experimental test of effects of ambient temperature and roost quality on
aggregation by little brown bats (Myotis lucifugus).
AB - Environmental factors, such as ambient temperature (Ta) or roost/nest quality,
can influence social behaviour of small-bodied endotherms because individuals may
aggregate for social thermoregulation when Ta is low or select the warmest
possible sites for roosting. Female temperate bats form maternity colonies in
spring to communally raise pups and exploit social thermoregulation. They also
select roosts with warm microclimates because low roost temperature (Troost)
delays juvenile development. We studied captive female little brown bats (Myotis
lucifugus) to test the hypothesis that variation in Ta and Troost influence
social group size. First, we predicted that female bats would preferentially
select artificially heated roosts over unheated roosts. Second, we predicted
that, as Ta decreased, group size would increase because bats would rely more
heavily on social thermoregulation. Third, we predicted that experimentally
increasing Troost (i.e., roost quality) above Ta would result in larger group
sizes due to greater aggregation in high quality roosts. We captured 34 females
from a maternity colony and housed them in a flight-tent provisioned with four
bat boxes. Each box was outfitted with a heating pad and thermostat. Over the
course of eight-days we heated each roost box in sequence to near thermoneutral
Troost for two days. Bats preferentially selected heated roosts over unheated
roosts but, contrary to our prediction, group size decreased when Troost was much
greater than Ta (i.e., when the benefits of a warm roost should have been
highest). Our results suggest that social thermoregulation and the availability
of warm roosts influence aggregation in bats and have implications for the
potential of summer habitat protection and enhancement to help bat populations in
the face of threats like white-nose syndrome.
PMID- 29801625
TI - Thermal acclimation is not induced by habitat-of-origin, maintenance temperature,
or acute exposure to low or high temperatures in a pit-building wormlion
(Vermileo sp.).
AB - Wormlions are sit-and-wait insect predators that construct pit-traps to capture
arthropod prey. They require loose soil and shelter from direct sun, both common
in Mediterranean cities, and explaining their high abundance in urban habitats.
We studied different aspects of thermal acclimation in wormlions. We compared
chill-coma recovery time (CCRT) and heat-shock recovery time (HSRT) of wormlions
from urban, semi-urban and natural habitats, expecting those originating from the
urban habitat to be more heat tolerant and less cold tolerant. However, no
differences were detected among the three habitats. We then examined whether
maintenance temperature affects CCRT and HSRT, and expected beneficial
acclimation. However, CCRT was unaffected by maintenance temperature, while
temperature affected HSRT in an opposite direction to our prediction: wormlions
maintained under the higher temperatures took longer to recover. When testing
with two successive thermal shocks, wormlions took longer to recover from both
cold and heat shock after applying an initial cold shock. We therefore conclude
that cold shock inflicts some damage rather than induces acclimation. Finally,
both cold- and heat-shocked wormlions constructed smaller pits than wormlions of
a control group. Smaller pits probably translate to a lower likelihood of
capturing prey and also limit the size of the prey, indicating a concrete cost of
thermal shock. In summary, we found no evidence for thermal acclimation related
either to the habitat-of-origin or to maintenance temperatures, but, rather,
negative effects of unfavorable temperatures.
PMID- 29801626
TI - A new method of estimating thermal performance of embryonic development rate
yields accurate prediction of embryonic age in wild reptile nests.
AB - Temperature has a strong effect on ectotherm development rate. It is therefore
possible to construct predictive models of development that rely solely on
temperature, which have applications in a range of biological fields. Here, we
leverage a reference series of development stages for embryos of the turtle
Chelydra serpentina, which was described at a constant temperature of 20 degrees
C. The reference series acts to map each distinct developmental stage onto
embryonic age (in days) at 20 degrees C. By extension, an embryo taken from any
given incubation environment, once staged, can be assigned an equivalent age at
20 degrees C. We call this concept "Equivalent Development", as it maps the
development stage of an embryo incubated at a given temperature to its equivalent
age at a reference temperature. In the laboratory, we used the concept of
Equivalent Development to estimate development rate of embryos of C. serpentina
across a series of constant temperatures. Using these estimates of development
rate, we created a thermal performance curve measured in units of Equivalent
Development (TPCED). We then used the TPCED to predict developmental stage of
embryos in several natural turtle nests across six years. We found that 85% of
the variation of development stage in natural nests could be explained. Further,
we compared the predictive accuracy of the model based on the TPCED to the
predictive accuracy of a degree-day model, where development is assumed to be
linearly related to temperature and the amount of accumulated heat is summed over
time. Information theory suggested that the model based on the TPCED better
describes variation in developmental stage in wild nests than the degree-day
model. We suggest the concept of Equivalent Development has several strengths and
can be broadly applied. In particular, studies on temperature-dependent sex
determination may be facilitated by the concept of Equivalent Development, as
development age maps directly onto the developmental series of the organism,
allowing critical periods of sex determination to be delineated without invasive
sampling, even under fluctuating temperature.
PMID- 29801627
TI - Effect of salinity on locomotor performance and thermal extremes of metamorphic
Andean Toads (Rhinella spinulosa) from Monte Desert, Argentina.
AB - Rhinella spinulosa is distributed from Peru to Argentina (from 1200 to 5000 m
elevation), inhabiting arid mountain valleys of the Andes, characterized by salty
soils. The variations in soil salinity, caused by high evapotranspiration of
water, can create an osmotic constraint and high thermal oscillations for
metamorphsed Andean toad (R. spinulosa), affecting their thermoregulation and
extreme thermal tolerances. We investigated the changes in thermal tolerance
parameters (critical thermal maximum and crystallization temperature) of a
population of metamorphosed R. spinulosa from the Monte Desert of San Juan,
Argentina, under different substrate salinity conditions. Our results suggest
that the locomotor performance of metamorphs of R. spinulosa is affected by
increasing salinity concentrations in the environment where they develop. On the
other hand, the thermal extremes of metamorphs of R. spinulosa also showed
changes associated with different salinity conditions. According to other studies
on different organisms, the increase of the osmolarity of the internal medium may
increase the thermal tolerance of this species. More studies are needed to
understand the thermo-osmolar adjustments of the metamorphs of toads to
environmental variability.
PMID- 29801628
TI - An epidemiological assessment of the effect of ambient temperature on the
incidence of preterm births: Identifying windows of susceptibility during
pregnancy.
AB - It is well known that exposure to thermal stress during pregnancy can lead to an
increased incidence of premature births. However, there is little known regarding
window(s) of susceptibility during the course of a pregnancy. We attempted to
identify possible windows of susceptibility in a cohort study of 3604 children in
Changsha with a hot-summer and cold winter climatic characteristics. We examined
the association between PTB and ambient temperature during different timing
windows of pregnancy: conception month, three trimesters, birth month and entire
pregnancy. We found a U-shaped relation between the prevalence of PTB and mean
ambient temperature during pregnancy. Both high and low temperatures were
associated with PTB risk, adjusted OR (95% CI) respectively 2.57 (1.98-3.33) and
2.39 (1.93-2.95) for 0.5 degrees C increase in high temperature range (>18.2
degrees C) and 0.5 degrees C decrease in low temperature range (< 18.2 degrees
C). Specifically, PTB was significantly associated with ambient temperature and
extreme heat/cold days during conception month and the third trimester.
Sensitivity analysis indicated that female fetus were more susceptible to the
risk of ambient temperature. Our study indicates that the risk of preterm birth
due to high or low temperature may exist early during the conception month.
PMID- 29801629
TI - Supercooling point is an individually fixed metric of cold tolerance in
Pyrrhocoris apterus.
AB - Measuring the supercooling point (SCP) is a standard procedure to describe the
cold tolerance of freeze-avoiding arthropods. The SCP of an individual animal is
a stochastic event that will occur with increasing probability as the temperature
is lowered below the freezing point of that animal. Nevertheless, the
repeatability and extent of stochasticity of the SCP has not previously been
determined. The repeatability of the SCP in post-diapause, laboratory cold
acclimated and naturally acclimated field-collected linden bugs (Pyrrhocoris
apterus; Heteroptera: Pyrrhocoridae) was investigated in this study. Two methods
were used: (a) repeated freezing of previously frozen and thawed individuals, and
(b) repeated cooling of groups of individuals to the population median SCP. The
results showed a significant positive correlation between the SCP and repeated
SCP. All individuals died when frozen, whereas none died at temperatures above
the SCP. Most of the individuals survived repeated cooling to the population
median SCP. Survivorship increased from 85% to 97% (first to fourth repeated
cooling to the population median SCP) when individuals were frozen to within 0.5
degrees C above the population median SCP. The SCP in post-diapause, cold
acclimated insects is a fixed, intrinsic cold tolerance metric with slight
individual stochastic variance (SD < 1 degrees C).
PMID- 29801630
TI - Developmental stability, age at onset of foraging and longevity of Africanized
honey bees (Apis mellifera L.) under heat stress (Hymenoptera: Apidae).
AB - Beekeeping with the western honey bee (Apis mellifera) is important in tropical
regions but scant information is available on the possible consequences of global
warming for tropical beekeeping. We evaluated the effect of heat stress on
developmental stability, the age at onset of foraging (AOF) and longevity in
Africanized honey bees (AHBs) in the Yucatan Peninsula of Mexico, one of the main
honey producing areas in the Neotropics, where high temperatures occur in spring
and summer. To do so, we reared worker AHB pupae under a fluctuating temperature
regime, simulating current tropical heatwaves, with a high temperature peak of
40.0 degrees C for 1 h daily across six days, and compared them to control pupae
reared at stable temperatures of 34.0-35.5 degrees C. Heat stress did not
markedly affect overall body size, though the forewing of heat-stressed bees was
slightly shorter than controls. However, bees reared under heat stress showed
significantly greater fluctuating asymmetry (FA) in forewing shape. Heat stress
also decreased AOF and reduced longevity. Our results show that changes occur in
the phenotype and behavior of honey bees under heat stress, with potential
consequences for colony fitness.
PMID- 29801631
TI - Badger setts provide thermal refugia, buffering changeable surface weather
conditions.
AB - Den use can be crucial in buffering environmental conditions and especially to
provide an insulated environment for raising altricial young. Through Sept-Dec
2016 we monitored temperature and humidity at 11 badger setts (burrow systems),
using thermal probes inserted over 4-13 sett entrances to a depth of ca. 2 m,
supplemented by continuous daily logging at one entrance per sett. Setts were
cooler than exterior conditions Sept-Oct, and warmer than exterior conditions Nov
Dec. Setts cooled down when badgers left them to forage by night, and warmed up
when badgers occupied them by day. Soil type and aspect also influenced sett
temperature. Sett temperature did not affect the weight or body-condition of
either adults or maturing cubs in autumn. However, cubs born into setts that were
relatively warmer through the preceding autumn-winter were heavier in the
following spring than contemporaries born in cooler setts (badgers exhibit
delayed implantation), and so warmer setts might benefit early cub growth. We
posit that sett quality may be important in providing badgers with a stable
thermal refuge from variable weather conditions. More broadly, den use may buffer
climate change effects for many fossorial carnivore species.
PMID- 29801632
TI - Temperature fluctuations inside savanna termite mounds: Do size and plant shade
matter?
AB - Mound building termites are key ecosystem engineers of subtropical savanna
regions. Mounds allow termites to maintain suitable conditions for termite
reproduction and food cultivation ('fungus gardens'). We studied how the internal
mound temperature of Macrotermes natalensis, a dominant mound-building termite of
the subtropical savanna of southern Africa, responds to a number of environmental
variables. We used general additive mixed models (GAMM) to determine how external
temperature, mound size (volume) and the amount of vegetation shade affects mound
internal temperature over a 24-h period. Internal mound temperature varied daily
following changes of the external temperature, although the range of variation
was much smaller. Active termite mounds maintained a higher internal temperature
than inactive ones, and mound activity reinforced the positive effect of mound
size and moderated the negative effect of vegetation shade on internal
temperatures. In turn, external temperature fluctuations equally affected active
and inactive mounds. Large mounds maintained near optimal internal temperatures
compared to smaller sized mounds. We therefore conclude that termite mound size
is a stronger determinant of internal mound temperature stability compared to
plant shade cover.
PMID- 29801633
TI - Thermal tolerance and locomotor activity of blue swimmer crab Portunus pelagicus
instar reared at different temperatures.
AB - Owing to its potential market value, the blue swimmer crab Portunus pelagicus is
of great economic importance. The temperature of water significantly affects the
physiological function and production efficiency of these crabs. The aim of the
present study was therefore to examine the critical thermal minimum (CTMin),
critical thermal maximum (CTMax), acclimation response ratio (ARR), escaping
temperature (Tesc), and locomotor behavior of P. pelagicus instars at 20 degrees
C, 24 degrees C, 28 degrees C, 32 degrees C, and 36 degrees C. The CTMax
ranged from 39.05 degrees C to 44.38 degrees C, while the CTMin ranged from
13.05 degrees C to 19.30 degrees C, and both increased directly with
temperature. The ARR ranged from 0.25 to 0.51. The movement of crabs (walking
before molting) correlated positively with the acclimation temperature. These
results indicate that the parameters evaluated varied with temperature.
Furthermore, the high CTMax indicates the potential of this species to adapt to a
wide range of temperatures. In addition, the implications of these findings for
portunid crabs behavior and distribution in their natural habitat are also
discussed.
PMID- 29801634
TI - Thermal biology of two sympatric gerbil species: The physiological basis of
temporal partitioning.
AB - Sympatric species can coexist through ecological resource partitioning as for
example for habitat, food or time. However, a detailed understanding of the basic
thermal physiology, crucial for temporal partitioning, is currently lacking,
especially for the desert rodents. Here, we compare the physiological performance
with regard to thermal energetics and morphological traits of two sympatric
gerbils from the Gobi desert of Inner Mongolia, China. The diurnally active
Meriones unguiculatus and the nocturnally active M. meridianus. The diurnal M.
unguiculatus had more brown adipose tissue (BAT) mass and capacity for non
shivering thermogenesis (NST), a higher resting metabolic rate (RMR) at low
ambient temperatures (Ta) and a higher upper critical temperature of the thermal
neutral zone (TNZ) than the nocturnal M. meridianus. The overall thermal
conductance and lower critical temperatures of M. unguiculatus were also higher
than that of M. meridianus, permitting the former to maintain a stable body
temperature (Tb) when exposed to high Ta. Laboratory-bred M. meridianus also
showed higher daily water intake. We found no differences in body mass, and total
evaporative water loss (TEWL) between the two species captured from the natural
environment. These results suggest that the diurnal M. unguiculatus have a higher
tolerance of high Tas, whereas M. meridianus can save more energy at low Tas.
Therefore, from the view point of energy conservation, our results suggest that
the nocturnal ecophenotype in M. meridianus is constrained by a lower ability for
heat resistance, but this is not the case for the diurnal M. unguiculatus.
PMID- 29801635
TI - Assessment of MRI issues at 1.5 T for the Temperature Logger Implant.
AB - PURPOSE: The Temperature Logger Implant is a newly developed device that is
capable of providing data for animal studies on thermoregulatory function,
hibernation, hypothermia, and general health. During research, it may be
necessary to conduct a magnetic resonance imaging (MRI) examination on an animal
with this device implanted to assess anatomical changes or other conditions.
Notably, this new device was specially designed to be unaffected by the
electromagnetic fields used for MRI. Therefore, to verify that there would be no
problems related to MRI, the purpose of this investigation was to evaluate MRI
related issues for the Temperature Logger Implant. METHODS: Tests were performed
on the Temperature Logger Implant using well-accepted techniques to evaluate
magnetic field interactions (translational attraction and torque, 1.5 T), MRI
related heating (whole body averaged specific absorption rate, 2.9 W/kg),
artifacts (T1-weighted, spin echo and gradient echo pulse sequences), and
functional changes related to exposure to eight different imaging conditions.
RESULTS: Magnetic field interactions were relatively low (deflection angle 4
degrees , no torque) and heating was minor (highest temperature rise, > 1.1
degrees C) indicating that these factors will not pose a hazard to an animal. The
largest artifact (gradient echo pulse sequence) extended 10 mm from the size and
shape of the Temperature Logger Implant. Exposure to the eight different
conditions at 1.5 T/ 64 MHz did not alter or damage the operational aspects of
the device. CONCLUSIONS: The findings demonstrated that MRI can be performed
safely on an animal with this new Temperature Logger Implant and, thus, this
device is deemed "MR Conditional" (i.e., using current labeling terminology),
according to the conditions used in this investigation.
PMID- 29801636
TI - Short-term heat stress induces mitochondrial degradation and biogenesis and
enhances mitochondrial quality in porcine oocytes.
AB - Mitochondria in oocytes play important roles in many processes, including early
embryo development. Promotion of mitochondrial degradation and biogenesis through
Sirtuin 1 (SIRT1) activation enhances mitochondrial function and oocyte quality.
Previous studies that used somatic cells have shown that short-term heat stress
(SHS) induces SIRT1-regulated mitochondrial biogenesis. In this study, we
examined whether SHS can induce mitochondrial degradation and biogenesis in
porcine oocytes. We collected cumulus cell-oocyte complexes (COCs) from
prepubertal gilt ovaries acquired from a slaughterhouse. COCs were treated at
41.5 degrees C (vehicle: 38.5 degrees C) for the first one hour of in vitro
maturation, and the mitochondrial kinetics, oocyte function, and developmental
competence of oocytes were examined. SHS increased the expression level of heat
shock protein 72, which induced the high expression of SIRT1 and the
phosphorylation of AMP-activated protein kinase. SHS did not alter the
mitochondrial DNA copy number in oocytes, but induced mitochondrial degradation
and biogenesis, which enhanced the mitochondrial membrane potential and ATP
content in oocytes, and improved the ability of the oocytes to develop into
blastocysts.
PMID- 29801637
TI - Optimal temperature control of tissue embedded with gold nanoparticles for
enhanced thermal therapy based on two-energy equation model.
AB - Thermal therapy is a very promising method for cancer treatment, which can be
combined with chemotherapy, radiotherapy and other programs for enhanced cancer
treatment. In order to get a better effect of thermal therapy in clinical
applications, optimal internal temperature distribution of the tissue embedded
with gold nanoparticles (GNPs) for enhanced thermal therapy was investigated in
present research. The Monte Carlo method was applied to calculate the heat
generation of the tissue embedded with GNPs irradiated by continuous laser. To
have a better insight into the physical problem of heat transfer in tissues, the
two-energy equation was employed to calculate the temperature distribution of the
tissue in the process of GNPs enhanced therapy. The Arrhenius equation was
applied to evaluate the degree of permanent thermal damage. A parametric study
was performed to investigate the influence factors on the tissue internal
temperature distribution, such as incident light intensity, the GNPs volume
fraction, the periodic heating and cooling time, and the incident light position.
It was found that period heating and cooling strategy can effectively avoid
overheating of skin surface and heat damage of healthy tissue. Lower GNPs volume
fraction will be better for the heat source distribution. Furthermore, the ring
heating strategy is superior to the central heating strategy in the treatment
effect. All the analysis provides theoretical guidance for optimal temperature
control of tissue embedded with GNP for enhanced thermal therapy.
PMID- 29801638
TI - Studies on chill coma recovery in the ladybird, Harmonia axyridis: Ontogenetic
profile, effect of repeated cold exposures, and capacity to predict winter
survival.
AB - The harlequin ladybird, Harmonia axyridis, is one of the most successful invasive
insect species worldwide. We investigated whether (i) chill coma recovery time
(CCRt) changes during the ontogenetic development of this species, (ii) CCRt
varies in response to repeated cold shocks, and (iii) CCRt could be a good
predictor of winter survival ability in adults. CCRt decreased during larval
development, the lowest CCRt values were observed in teneral adults (one and four
days old), and significantly higher values were observed for older adults (16 and
32 days old). Repeated cold shocks (two hours at -3 degrees C), interrupted by
short (30 min) warm periods (22 degrees C) resulted in decreased CCRt after the
second cold shock, probably depicting an acclimation response, but then CCRt
increased with additional cold shocks, likely revealing the accumulation of chill
injuries. The CCRt of pre-overwintering individuals was not correlated with their
winter survival. This indicates that CCRt is not a reliable measure of cold
tolerance in H. axyridis. However, this result could be partially affected by the
experimental setup - the use of laboratory-reared individuals who experienced
standardized conditions and thus the variability in CCRt of tested animals could
be much lower than the variability present in nature. The substantial variation
observed over the ontogenetic development of H. axyridis poses important
methodological implications for future studies, as animals of the same stage/age
should be compared with each other. The observed U-shaped response to repeated
cold shocks indicates that the expectation of linearity between cold exposure and
insect response is an oversimplification of real situations.
PMID- 29801639
TI - Effect of tumor properties on energy absorption, temperature mapping, and thermal
dose in 13.56-MHz radiofrequency hyperthermia.
AB - Computational techniques can enhance personalized hyperthermia-treatment planning
by calculating tissue energy absorption and temperature distribution. This study
determined the effect of tumor properties on energy absorption, temperature
mapping, and thermal dose distribution in mild radiofrequency hyperthermia using
a mouse xenograft model. We used a capacitive-heating radiofrequency hyperthermia
system with an operating frequency of 13.56 MHz for in vivo mouse experiments and
performed simulations on a computed tomography mouse model. Additionally, we
measured the dielectric properties of the tumors and considered temperature
dependence for thermal properties, metabolic heat generation, and perfusion. Our
results showed that dielectric property variations were more dominant than
thermal properties and other parameters, and that the measured dielectric
properties provided improved temperature-mapping results relative to the property
values taken from previous study. Furthermore, consideration of temperature
dependency in the bio heat-transfer model allowed elucidation of precise thermal
dose calculations. These results suggested that this method might contribute to
effective thermoradiotherapy planning in clinics.
PMID- 29801640
TI - Inter-individual variation in the adaptive response to heat acclimation.
AB - AIM: To investigate inter-individual variance in adaptive responses to heat
acclimation (HA). METHODS: 17 males (VO2max=58.8(8.4) mL.kg-1.min-1) undertook 10
days (exercise + heat-stress [40 degrees C, 50%RH]) HA. Adaptation was assessed
by heat stress tests (HST; 60-minutes cycling, 35% peak power output) pre- and
post-HA. RESULTS: Inter-individual variability was evident in adaptive responses
e.g. mean(range) reduction in end-exercise Tre= -0.70(-0.20 to -1.32) degrees C,
but, in the main, the variance in adaptation was unrelated across indices
(thermal, sudomotor, cardiovascular, haematological), indicating independence
between adaptation indices. Variance in adaptive responses was not correlated
with aerobic capacity, history of previous HA, or the accrued thermal-dose. Some
responses to the initial HST were related to the subsequent adaptations e.g. ?Tsk
during the initial HST and the reduction in the within HST DeltaTre after HA (r =
-0.676), but responses to the initial HST may also have been influenced by HST
design e.g. DeltaTre correlated with metabolic heat production (r = 0.609).
Metabolic heat production also correlated with the reduction in the within HST
DeltaTre after HA (r = -0.514). SUMMARY: HA indices are mainly independent;
'low', or 'high', responders on one index do not necessarily demonstrate similar
response across other indices. Variance in HA responses was not related to
aerobic capacity, previous HA, or thermal-dose. Thermo-physiological responses to
a HST might identify individuals who will benefit from HA. However, some initial
responses are influenced by HST design, which may also affect the scope for
demonstrating adaption. CONCLUSION: Variance in the HA response remains largely
unaccounted for and future studies should identify factors contributing to this
variance.
PMID- 29801641
TI - A new local thermal bioheat model for predicting the temperature of skin
thermoreceptors of individual body tissues.
AB - Under non-uniform environments, the human body thermal perception depends on the
thermal responses of cutaneous thermoreceptors (TRs) in different body parts.
However, skin TRs thermal response includes static and dynamic parts depending on
TRs temperature and its change rate, respectively. Thus, it is necessary to
evaluate the time-dependent temperatures of cutaneous TRs in different body
parts. The Pennes equation is one of the most important bioheat equations for
computing the temperature of biological bodies, but, it has been used for
evaluating the mean temperature of the whole body, considering average properties
for all body parts. In the present study, the Pennes equation was solved for 16
body parts by considering appropriate thermal/physiological properties for each
segment. In addition, a controlling system was added to the Pennes equation by
applying the thermoregulatory mechanisms of 65-node Tanabe (65MN) model. The time
dependent skin temperatures of the 16 body segments were obtained by solving the
localized thermoregulatory bioheat equation. The validation of the present model
was carried out using published experimental data and a good agreement was found.
PMID- 29801642
TI - Maternal warming influences reproductive frequency, but not hatchling phenotypes
in a multiple-clutched oviparous lizard.
AB - The understanding of life-history responses to increased temperature is helpful
for evaluating the potential of species for tackling future climate change.
Herein, adult southern grass lizards, Takydromus sexlineatus, were maintained
under two thermal regimes simulating current thermal environment and a 4 degrees
C warming scenario to determine the effects of experimental warming on female
reproduction and offspring phenotypes. Experimental warming caused females to
oviposit earlier and more frequently; however, it did not affect other
reproductive traits, including clutch size, egg mass and clutch mass. Accelerated
embryonic development and energy accumulation rate might have occurred in warmed
females. Maternal warming appeared to increase early embryonic mortality, but did
not shift hatchling size and locomotor performance. Embryos of oviparous lizards
might be more vulnerable to climate change at early stages than at later stages.
The impacts of climate change in oviparous lizards might be adverse in the longer
term because of the shift in pre-ovipositional embryo viability, which possibly
led to a decreased number of hatchlings.
PMID- 29801643
TI - Recognition of mite-infested brood by honeybee (Apis mellifera) workers may
involve thermal sensing.
AB - Hygienic behavior, i.e. the removal of diseased or damaged brood by worker honey
bees (Apis mellifera), is seen as one of the principal behavioral elements of
this species' social immunity. Identification of the stimuli that trigger it
would be helpful in searching for biochemical and molecular markers of this
important breeding trait. While many studies at the genomic, transcriptomic, and
behavioral level have pointed to the implication of chemical cues, we here
hypothesized that thermal cues are alternatively/additionally involved. To test
this hypothesis, we first measured whether infestation by the mite Varroa
destructor (a condition known to induce hygienic behavior) leads to a thermal
gradient between affected and unaffected brood. We found that infested brood
cells were between 0.03 and 0.19 degrees C warmer than uninfested controls.
Next, we tested whether artificially heating an area of a brood comb would
increase the removal of infested or uninfested brood as compared to an unheated
control area, and found that this was not the case. Finally, we investigated
whether the heating of individual brood cells, as opposed to comb areas, would
influence brood removal from cells adjacent to the heated one. This was the case
for uninfested, though not for infested cells. We conclude that infestation by V.
destructor leads to a heating of brood cells that should be perceivable by bees,
and that small-scale temperature gradients can influence brood removal. This
makes it appear possible that thermal cues play a role in triggering hygienic
behavior of honey bees directed at varroa-infested larvae/pupae, although our
results are insufficient to prove such an involvement.
PMID- 29801644
TI - Thermal equilibrium of Nellore cattle in tropical conditions: an investigation of
circadian pattern.
AB - The aim of this work was to evaluate the diurnal patterns of physiological
responses and the thermal regulation of adult Nellore bulls. Six 30-mo-old
Nellore bulls (669 +/- 65 kg BW) were randomly assigned to four 6-h periods in a
Latin Square design such that measurements of each animal cover a 24-h cycle.
Meteorological variables (air temperature, relative humidity, local solar
irradiance, ultraviolet radiation, wind speed and black globe temperature) were
recorded at regular one-minute intervals with an automated weather station.
Respiratory rate, ventilation rate, oxygen, carbon dioxide, methane, saturation
pressure, air temperature of the exhaled air, saturation pressure in the air
leaving the ventilated capsule placed over the animal surface, hair coat, skin
surface and rectal temperature were assessed. The thermal equilibrium was
determined according to the principles of the first law of thermodynamics using
biophysical equations. Animals were evaluated in an area which was protected from
solar radiation, rain, and had a range of ambient air temperature between 20.57
+/- 0.07 and 30.86 +/- 0.07 degrees C. Percentage of O2 and CO2 in the exhaled
air changed moderately (P < 0.0001) throughout the 24 h, which resulted in an
average metabolic heat production of 151.45 +/- 13.60 W m-2. At the largest
thermal gradient (TS - TA; from 24:00-07:00 h), heat transferred by long wave
radiation and surface convection corresponded to near 60% of the metabolism. At
11:00 h the ambient temperature approached 29 degrees C and latent heat became
the main way to cool the body. From this time until 17:00 h, cutaneous
evaporation represented approximately 53% of total heat loss. In conclusion,
results of the present study seem to be a good indicator of lower energy
expenditure for body thermal regulation, high heat tolerance and adaptation of
Nellore cattle to the tropical environment.
PMID- 29801645
TI - Heat negatively affects lactating swine: A meta-analysis.
AB - A meta-analysis was carried out to evaluate the effect of heat on the performance
of lactating sows and their litters. The database containing information on the
effects heat stress has on the productive and reproductive performance of
lactating sows was composed by 20 articles published in international journals
from 2000 to 2016, totalizing 2222 lactating sows. The duration of lactation was
corrected to 21d. In the studies analyzed, the most representative variables were
piglet weight at 21 days (kg) and litter weight at 21 days (kg). Daily ambient
temperature (T degrees C) ranged from 15.0 degrees to 32.0 degrees C. Rectal
temperature and respiratory rate were higher in lactating sows maintained in hot
conditions compared to those maintained in the thermal comfort range. The
nutrient intake by the lactating sows was inversely proportional to the ambient
temperature. The piglets weaned of lactating sows were kept in thermal comfort
90.84 heavier percentage point after 21 days of the piglets of lactating sows
kept in heat stress environment. Piglet weight gain exhibited a high and negative
correlation with ambient temperature. At 1 degrees C above the thermal comfort
range (from 15 degrees to 25 degrees C) leads to a decrease in food intake
(kg/d) and milk yield (kg/d), which represents a high and negative correlation
with at room temperature, that is, as the ambient temperature increases, there is
less consumption of nutrients, resulting in reduced milk production (less
mobilization of nutrients to the mammary gland). Increased respiratory rate is an
efficient parameter for evaluating the intensity of heat stress in lactating
sows.
PMID- 29801646
TI - Temperature-induced plasticity in morphology and relative shell weight in the
invasive apple snail Pomacea canaliculata.
AB - Temperature has a great influence on the life-history traits of freshwater
snails. In this study we investigated the long term effects of a range of
temperatures on shell morphology of the apple snail Pomacea canaliculata, a
highly invasive species and an important pest of rice. Analysis of shells using
geometric morphometrics showed that the main source of morphological variation
was allometry, which was detected in males but not in females. This intersexual
divergence in allometric trajectories generates much of the morphological
variation evidenced. In females, the monotonic relationship with temperature
produced narrower shells in the snails reared at lower temperatures, and more
expanded apertures, relatively bigger than the body whorl, at higher
temperatures. We also found an inverse relationship between relative shell
weight, a proxy for shell thickness, and temperature. The differences in shape
and relative shell weight are attributable to the different growth rates
associated with different temperatures. Temperature fluctuation around a mean of
23.2 degrees C seemed to have no influence in shell shape and relative weight
when is compared with a constant temperature of 25 degrees C. Information on the
influence of temperature on freshwater snails is important for understanding and
predicting changes in the face of global climatic change, especially in traits
exhibiting great plasticity, such as shell shape and thickness. This work showed
that higher temperatures could result in a relatively thinner shell, implying a
greater significance of corrosion in flowing waters and a lower resistance to
crushing by predators, especially in low latitude areas.
PMID- 29801647
TI - Effects of chronic thermal stress on growth performance, carcass traits,
antioxidant indices and the expression of HSP70, growth hormone and superoxide
dismutase genes in two broiler strains.
AB - The objective was to investigate the effects of genetic type and the duration of
chronic thermal stress (36 degrees C) on the growing efficiency, carcass traits,
antioxidant status, and the expression of liver heat shock protein 70 (HSP70),
growth hormone (GH) and superoxide dismutase (SOD) genes. Two hundred and seventy
one-day-old chicks (135 male chicks of each breed; Ross 308 and Cobb 500) were
used in this work. On the 21st day of age, birds were allocated randomly into 3
equal groups till the 42 days of age (CON:raised in a thermoneutral condition;
HS1 and HS2 groups were subjected to 4 and 6 h of daily thermal stress,
respectively). Regardless of genetic type, thermal stress decreased the dressing
percentage in broilers when compared with the thermoneutral conditions (p =
0.039). In both broiler strains, thermal stress for 6 h (HS2) increased the
heterophil to lymphocyte ratio (p = 0.036) and the serum albumin, cholesterol and
triglyceride levels (p = 0.023, 0.012 and 0.005, respectively) compared with the
thermoneutral group. Under the thermonuteral and heat stress conditions, the Ross
broiler chickens showed a significant lower serum triiodothyronine level compared
with the Cobb boilers (p = 0.042). It is interesting to note that the expression
of HSP70 in the liver of heat-stressed Ross broilers, either 4 or 6 h, was
significantly (p = 0.002) higher than that reported in the heat-stressed Cobb
broilers. In both broiler strains, the thermal stress for 6 h up-regulate the
expression of SOD gene (p = 0.001), but down-regulate the expression of GH gene
(p = 0.021) when compared with the CON group. In conclusion, chronic thermal
stress down-regulate the mRNA expression of liver GH, concomitantly with an
increase in the expression of HSP70 and SOD genes in both broiler strains. This
could be useful in the identification of molecular genetic markers to assist in
selecting broilers that are more tolerant to heat stress.
PMID- 29801648
TI - Metabolomic analysis of heat-hardening in adult green-lipped mussel (Perna
canaliculus): A key role for succinic acid and the GABAergic synapse pathway.
AB - We evaluated the thermotolerance (LT50) of adult green-lipped mussels (Perna
canaliculus) following an acute thermal challenge in the summer of 2012 and the
winter of 2013. Mussels were grouped into two treatments, naive (N, no prior heat
treatment) and heat-hardened (HH = 1 h at 29 degrees C, 12 h recovery at
ambient) before being immersed for 3 h in water of varying temperature, i.e.
Ambient (Control), 25, 29, 31, 33, and 35 degrees C with subsequent mortality
monitored for 30 days. As expected, naive mussels were less thermotolerant than
heat-hardened i.e. Summer LT50, N = 31.9, HH = 33.5 degrees C; Winter LT50, N =
31.4, HH = 33.8 degrees C. Moreover, at 33 degrees C no heat-hardened mussels
died compared to 100% mortality in naive specimens. At 35 degrees C all mussels
died regardless of treatment. For the 'Summer' mussels, metabolite abundances in
gill tissues of both naive and heat-hardened mussels were quantified. For mussels
at 33 degrees C, succinic acid was significantly higher in naive mussels than
heat-hardened mussels, indicating perturbations to mitochondrial pathways in
these thermally stressed mussels. Additionally, analysis of biochemical pathway
activity suggested a loss of neural control i.e. significantly reduced GABAergic
synapse activity, in naive vs. heat-hardened mussels at 33 degrees C. Taken
together these findings suggest that heat-hardening improves mussel survival at
higher temperatures by delaying the onset of cellular anaerobic metabolism, and
by maintaining inhibition of neural pathways. Such results offer new perspectives
on the complex suite of sub-cellular stress responses operating within thermally
stressed organisms.
PMID- 29801649
TI - Differences between the tolerance of camel oocytes and cumulus cells to acute and
chronic hyperthermia.
AB - The dromedary camel (Camel dromedarius) is physiologically well adapted to life
in hot, dry and barren land. In the present study, we report the tolerance of
camel oocytes and cumulus cells to acute and chronic heat shock. Camel oocytes
and cumulus cells were exposed to acute (45 degrees C for 2 h) and chronic (45
degrees C for 20 h) heat shock. Our results demonstrated that acute and chronic
heat shock altered malondialdehyde concentration, which is a marker for oxidative
stress. Furthermore, the heat shock reduced glutathione levels during in vitro
oocyte maturation. The expression of two well-known heat shock proteins HSP70 and
HSP90 were increased similarly in oocytes and cumulus cells after acute heat
shock. Oocytes were less tolerant to the short acute heat shock, and showed
decreased maturation, which leads to reduction in ooplasmic diameter and an
increase in chromosomal count abnormalities. Furthermore, the pro-apoptotic genes
P53 and BAX had increased expression levels, whereas for the anti-apoptotic gene
such as BCL2 expression levels was decreased. On the other hand, the cumulus
cells tolerated acute and chronic heat shock, as evident by the increase in HSP70
and HSP90 expression and steady expression levels of P53, BAX, and BCL2 after
acute hyperthermia. Cumulus cells regained their vitality and ability to
proliferate after chronic hyperthermia and showed wound healing capabilities
after 9 days of chronic hyperthermia. Collectively, these results indicate the
adaptive tolerance of camel somatic cells to acute and chronic heat shock, which
is lethal to cells in many other mammals.
PMID- 29801650
TI - Dietary L-Tryptophan potentiates non-specific immunity in Labeo rohita
fingerlings reared under elevated temperature.
AB - At present environmental scenario global climate change is a reality and its
affect all living organism including fish. The aquatic ecosystem is the most
affected system as it is the biggest sink for global warming and elevated
temperature and obviously affects all the aquatic life forms. With this
hypothesis an experiment was conducted to evaluate the effect of elevated
temperature on Labeo rohita fingerlings and potential of dietary L-tryptophan
(TRP) in mitigating the effects elevated temperature and enhancing the non
specific immunity. Seven hundred and twenty fishes were randomly distributed in
three different thermal groups each with three replicates. The thermal groups
were ambient temperature (26 degrees C), 34 and 38 degrees C. Then each thermal
groups were fed with four different formulated diets containing 0. 0.36%, 0.72%
and 1.44% TRP. The effect of dietary TRP supplementation was studied on stress
responses, such as cortisol, blood glucose, histopathological changes in liver
and kidney and immuno-hematological changes such as red blood cell count (RBC),
haemoglobin (Hb), white blood cell count (WBC), lysozyme, nitroblue tetrazolium
(NBT), total serum protein, albumin, globulin and albumin-globulin ratio.
Subsequently the treated fish were subjected to challenge test with Aeromonas
hydrophila. In the present study, primary stress markers were noticeably (p <
0.01) elevated with temperature stress and levels were reduced with nutritional
supplementation of TRP. Similarly, immuno-hematological parameters were altered
with the exposure of temeparture stress and got improved with dietary TRP
supplementation. Results of the present study suggest that dietary
supplementation of 1.44% tryptophan has definitive role in the mitigation of
temperature stress and gives protection against bacterial infection to L. rohita.
PMID- 29801651
TI - Use of acetylsalicylic acid as an allostatic modulator in the diets of growing
Japanese quails exposed to heat stress.
AB - The purpose of this study was to investigate the effect of dietary
acetylsalicylic acid (ASA) supplementation on performance, carcasses and some
blood metabolites of growing quails exposed to heat stress. Three hundred sixty
mix sexed Japanese quail chicks at 2 wk of age with average initial body weight
of 77.4 g were randomly allotted to six dietary groups in a 3 * 2 factorial
design with three dietary ASA doses (0, 0.5 and 1 g ASA/kg diet) and two ambient
temperatures (one normal at 23 +/- 2 degrees C and other high at 33 +/- 2
degrees C). Body weight, daily body weight gain and feed consumption reduced in
quails exposed to heat stress (33 degrees C) during 2-4 wk of age, but not
during 4-6 wk of age and during total period (2-6 wk of age). Growth rate and
feed utilization were not influenced by ASA supplementation or interaction
between ASA supplementation and house temperature at all ages (P > 0.05). All
carcass parameters were not affected (P > 0.05) by temperature or dietary ASA or
their interactions except dressing percent was decreased (P = 0.025) by ASA.
Plasma cholesterol and total lipid were affected by the interaction effect, which
were elevated in birds exposed to high ambient temperature (P = 0.004 or 0.022)
and ASA supplementation (P = 0.041 or P = 0.003). Glucose concentrations were
also influenced by interaction effect, which increased due to ASA supplementation
at normal temperature, but were not affected at high temperature. The effect of
high ambient temperature or its interaction with ASA did not affect hepatic
enzymes and thyroid hormones of growing quails. Diets supplemented with ASA (1000
mg/kg) decreased plasma contents of aspartate transaminase, triiodothyronine (T3)
and thyroxin (T4) compared with the control. It is concluded that applications of
ASA in quail diets are not suitable in mitigating the disturbances induced by
heat stress in the most studied parameters.
PMID- 29801652
TI - Sleep quantity and quality during consecutive day heat training with the
inclusion of cold-water immersion recovery.
AB - Exercise in the heat is a common occurrence among athletes and often is
intentional in order to gain heat acclimation benefits, however, little is known
about how such training may affect sleep. Therefore, this study investigated five
days of training in the heat of varying intensity and duration and inclusion of
cold-water immersion (CWI) recovery on sleep quantity and quality. Thirty
recreationally-trained male participants completed five days of heat training
(HT) and were randomised into three interventions including (i) 90 min cycling at
40% power at maximal aerobic capacity (Pmax) with 15 min passive recovery (90HT);
(ii) 90 min cycling at 40% Pmax with 15 min CWI recovery (90CWI); or (iii) 30 min
cycling alternating between 40% and 70% Pmax, with 15 min passive recovery
(30HT). Sleep quality and quantity were assessed using Actigraphy and sleep
diaries during five baseline nights (BASE) and five nights of HT which included
subjective sleep quality and objective assessments of sleep quantity and quality.
Total time asleep and perceived sleep quality were reduced, while awake duration
and wake after sleep onset (WASO) were increased (p = 0.001-0.01) during HT
compared to BASE. Latency was shorter for 30HT compared to 90HT during HT (p =
0.02), however, no differences between interventions for all other sleep
variables (p > 0.05). The reduction in total sleep time due to increases in
average wake duration during HT may be due to the unaccustomed increased in
training frequency. Of note, reducing training in the heat duration per day
improved sleep latency and sleep quality with no effect on total sleep time,
while the addition of CWI has minimal effect on sleep quality or quantity.
PMID- 29801653
TI - Roles for lysine acetyltransferases during mammalian hibernation.
AB - The thirteen-lined ground squirrel (Ictidomys tridecemlineatus) is a well-known
model for studying hibernation. While in a torpid state, these animals globally
suppress energy expensive processes, while supporting specialized pathways
necessary for survival. Lysine acetyltransferases (KATs) play a crucial role in
modulating the expression and activity of a wide-variety of cellular pathways and
processes, and therefore, may play a role during hibernation when the cell is
shifting to an energy conservative, cytoprotective state. Here we measured
protein levels of four KATs (CBP, PCAF, GCN5L2, HAT1), total histone
acetyltransferase (HAT) activity, and the levels of acetylation of histone H3
lysine 9 (H3K9ac), in multiple tissues across the torpor-arousal cycle. Our
results show a tissue-specific response of KATs, particularly in the adipose
tissues where specific KATs (PCAF and GCN5L2), HAT activity, and H3K9ac increased
in the metabolically active BAT while HAT1, HAT activity and H3K9ac decreased in
WAT. Liver showed significant increases in the KAT PCAF whereas skeletal muscle
had decreased CBP and GCN5L2. Both liver and skeletal muscle showed no change in
HAT activity and H3K9me3 increased in muscle during torpor. Together, these
results suggest KATs may play specialized roles in the different tissues of the
ground squirrel to contribute to the hibernator phenotype.
PMID- 29801654
TI - Seasonal variation in the thermal biology of a terrestrial toad, Rhinella
icterica (Bufonidae), from the Brazilian Atlantic Forest.
AB - As ectotherms, amphibians may exhibit changes in their thermal biology associated
with spatial and temporal environmental contingencies. However, our knowledge on
how amphibian's thermal biology responds to seasonal changes in the environment
is restricted to a few species, mostly from temperate regions, in a marked
contrast with the high species diversity found in the Neotropics. We investigated
whether or not the seasonal variation in climatic parameters from a high-montane
ombrophilous forest in the Brazilian Atlantic Forest could lead to concurrent
adjustments in the thermal biology of the terrestrial toad Rhinella icterica. We
measured active body temperature (Tb) in the field, and preferred body
temperature (Tpref) and thermal tolerance (critical thermal minimum, CTmin, and
maximum, CTmax) in the laboratory, for toads collected at two distinct seasons:
warm/wet and cold/dry. We also measured operative environmental temperatures (Te)
using agar toad models coupled with dataloggers distributed in different
microhabitats in the field to estimate accuracy (db) and effectiveness (E) of
thermoregulation of the toads for both seasons. Toads had higher Tpref in the
warm/wet season compared to the cold/dry season, even though no seasonal change
occurred in field Tb's. In the warm/wet season, toads decreased the accuracy of
thermoregulation and avoided thermally favorable microhabitats, while in the
cold/dry season they increased the accuracy of thermoregulation and exhibited
high degree of thermoconformity. This result may encompass thermoregulatory
adjustments to seasonal changes in Te's, but may also reflect seasonal
differences in compromises between Tb regulation and other ecologically relevant
activities (reproduction, foraging). Toads did not exhibit changes in CTmin or
CTmax, which indicates a low risk of exposure to extreme temperatures in this
particular habitat, at both seasons, possibly combined with a low flexibility of
this trait. Overall, our study shows seasonal acclimatization in some aspects of
the thermal biology of the toad, R. icterica.
PMID- 29801656
TI - The costs of living in a thermal fluctuating environment for the tropical
haematophagous bug, Rhodnius prolixus.
AB - Environmental temperature is an abiotic factor with great influence on biological
processes of living beings. Jensen's inequality states that for non-lineal
processes, such as most biological phenomena, the effects of thermal fluctuations
cannot be predicted from mean constant temperatures. We studied the effect of
daily temperature fluctuation (DTF) on Rhodnius prolixus, a model organism in
insect physiology, and an important vector of Chagas disease. We measured
development time from egg to adult, fecundity, fertility, body mass reduction
rate (indirect measurement of nutrient consumption rates) and survival after a
single blood meal. Insects were reared at constant temperature (24 degrees C),
or with a DTF (17-32 degrees C; mean = 24 degrees C). Taking into account
Jensen's inequality as well as the species tropical distribution, we predict that
living in a variable thermal environment will have higher costs than inhabiting a
stable one. Development time and fertility were not affected by DTF. However,
fecundity was lower in females reared at DTF than at constant temperature, and
males had higher body mass reduction rate and lower survival in the DTF regime,
suggesting higher costs associated to fluctuating thermal environments. At a
population and epidemiological level, higher energetic costs would imply an
increase in nutrient consumption rate, biting frequency, and, consequently
increasing disease transmission from infected insects. On the contrary, lower
fecundity could be associated with a decrease in population growth. This
knowledge will not only provide basic information to the field of insect
ecophysiology, but also could be a useful background to develop population and
disease transmission models.
PMID- 29801657
TI - Reply.
PMID- 29801655
TI - Comparative thermoregulation between different species of dung beetles
(Coleoptera: Geotrupinae).
AB - Insects can use thermoregulation to keep their body temperatures within a certain
range in response to thermal stress situations. The mechanisms to regulate
internal temperature depend on whether species are endothermic or ectothermic
species, i.e., if the heat source is internal and/or external. In this study, the
thermal stress response due to excess heat was examined in individuals belonging
to different species of the Geotrupinae subfamily by using a standardized
protocol based on infrared thermography. All the measured heat stress variables
allow discrimination among the considered species to a greater or lesser extent.
The body heating rate in the heat stress range, the critical thermal maximum and
the stress start temperature were the most important variables in discriminating
between apterous and winged individuals (R2 = 52.5%, 51.1% and 50.0%,
respectively). Examining the degree of association between the physiological
similarity of individuals and some species traits suggest that flying capability
and daily activity are related with different thermal responses. Based on the
obtained results, the Geotrupinae subfamily can be divided into three
ecophysiological groups: good, medium and non-thermoregulators. Within these
groups, apterism appears mainly in those species with the ability to actively
decrease their body temperature. Our results indicate that this ability may be
partially due to the management of water loss related with evaporative cooling.
PMID- 29801659
TI - Process measures facilitate maturation of pediatric enhanced recovery protocols.
AB - BACKGROUND/PURPOSE: The role of process measures used to predict quality in
pediatric colorectal surgery enhanced recovery protocols has not been described.
The purpose of this study was to demonstrate the feasibility of abstracting and
monitoring process measures over protocol improvement iteration. METHODS:
Patients enrolled in the Pediatric Colorectal Enhanced Recovery After Surgery
pathway at our institution were grouped by stage of implementation. We used a
quality improvement database to compare multistage enhanced recovery process
measures and 30-day patient outcomes. RESULTS: We identified 58 surgical patients
with 28(48%) cases enrolled in the pathway. There was increased use of regional
anesthesia techniques in pathway patients (83% versus 20%, p < 0.001). All
preoperative process measures clinically improved between early and full
implementation. Improvements included a dramatic increase in formal preoperative
education (56% versus 0%, p = 0.004) and administration of preoperative
medication (p = 0.025). Overall, 12 (21%) patients experienced postoperative
complications, which were similarly distributed between implementation groups.
Readmissions were highest during the early implementation phase (40%, p = 0.029).
Children in the late implementation group experienced fewer complications, which
clinically correlated with process measure adherence. CONCLUSIONS: Process
measures complement outcome measures in assessing quality and effectiveness of a
pediatric colorectal recovery protocol. Adherence to processes may reduce
complications. LEVEL OF EVIDENCE: Treatment study, Level III.
PMID- 29801658
TI - Integrative radiomics expression predicts molecular subtypes of primary clear
cell renal cell carcinoma.
AB - AIM: To identify combined positron-emission tomography (PET)/magnetic resonance
imaging (MRI)-based radiomics as a surrogate biomarker of intratumour disease
risk for molecular subtype ccA and ccB in patients with primary clear cell renal
cell carcinoma (ccRCC). MATERIALS AND METHODS: PET/MRI data were analysed
retrospectively from eight patients. One hundred and sixty-eight radiomics
features for each tumour sampling based on the regionally sampled tumours with 23
specimens were extracted. Sparse partial least squares discriminant analysis
(SPLS-DA) was applied to feature screening on high-throughput radiomics features
and project the selected features to low-dimensional intrinsic latent components
as radiomics signatures. In addition, multilevel omics datasets were leveraged to
explore the complementing information and elevate the discriminative ability.
RESULTS: The correct classification rate (CCR) for molecular subtype
classification by SPLS-DA using only radiomics features was 86.96% with
permutation test p=7*10-4. When multi-omics datasets including mRNA,
microvascular density, and clinical parameters from each specimen were combined
with radiomics features to refine the model of SPLS-DA, the best CCR was 95.65%
with permutation test, p<10-4; however, even in the case of generating the
classification based on transcription features, which is the reference standard,
there is roughly 10% classification ambiguity. Thus, this classification level
(86.96-95.65%) of the proposed method represents the discriminating level that is
consistent with reality. CONCLUSION: Featured with high accuracy, an integrated
multi-omics model of PET/MRI-based radiomics could be the first non-invasive
investigation for disease risk stratification and guidance of treatment in
patients with primary ccRCC.
PMID- 29801660
TI - Telemedicine in pediatric surgery.
AB - IMPORTANCE: Telemedicine is an emerging strategy for healthcare delivery that has
the potential to expand access, optimize efficiency, minimize cost, and enhance
patient satisfaction. OBJECTIVE: To review the current spectrum, potential
strategies, and implementation process of telemedicine in pediatric surgery.
DESIGN: Review and opinion design. SETTING: n/a. PARTICIPANTS: n/a. MAIN OUTCOMES
AND MEASURES: n/a. RESULTS: n/a. CONCLUSIONS AND RELEVANCE: Telemedicine is an
emerging approach with the potential to facilitate efficient, cost-effective
delivery of pediatric surgical services. BRIEF ABSTRACT: Telemedicine is an
emerging strategy for healthcare delivery that has the potential to expand
access, optimize efficiency, minimize cost, and enhance patient satisfaction. The
objectives of this review are to explore common terms in telemedicine, provide an
overview of current legislative and billing guidelines, review the current state
of telemedicine in surgery and pediatric surgery, and provide basic themes for
successful implementation of a pediatric surgical telemedicine program. TYPE OF
STUDY: Review. LEVEL OF EVIDENCE: Level V.
PMID- 29801661
TI - Effects of an improved biomechanical backpack strap design on load transfer to
the shoulder soft tissues.
AB - The aim of the present study was to characterize shoulder strap structure and
mechanical properties that may alleviate strains and stresses in the soft tissues
of the shoulder. Utilizing a finite element model of the shoulder constructed
from a single subject, we have quantified skin stresses exerted by backpack
straps and the strains at the subclavian artery (SCA). For this end, standard
shape straps with stiffness of 0.5, 1.2, and 5 MPa, were compared to the effects
of optimized straps; a double-layered (soft outer layer and reinforced internal
supporting layer) and newly-designed anatomically-shaped strap. Compared to the
standard 0.5 MPa strap, the 5 MPa strap resulted in 4-times lower SCA strains and
2-times lower Trapezius stresses. The double-layered strap resulted in 40% and
50% reduction in SCA strains and skin stresses, respectively, with respect to the
softer strap. The newly-designed anatomical strap exerted 4-times lower SCA
strains and 50% lower skin stresses compared to the standard strap. This
demonstrates a substantial improvement to the load carriage ergonomics when using
a composite anatomical strap.
PMID- 29801662
TI - Deformation of dorsal root ganglion due to pressure transients of venous blood
and cerebrospinal fluid in the cervical vertebral canal.
AB - The dorsal root ganglion (DRG) that is embedded in the foramen of the cervical
vertebra can be injured during a whiplash motion. A potential cause is that
whilst the neck bends in the whiplash motion, the changes of spinal canal volume
induce impulsive pressure transients in the venous blood outside the dura mater
(DM) and in the cerebrospinal fluid (CSF) inside the DM. The fluids can
dynamically interact with the DRG and DM, which are deformable. In this work, the
interaction is investigated numerically using a strong-coupling partitioned
method that synchronize the computations of the fluid and structure. It is found
that the interaction includes two basic processes, i.e., the pulling and pressing
processes. In the pulling process, the DRG is stretched towards the spinal canal,
and the venous blood is driven into the canal via the foramen. This process
results from negative pressure in the fluids. In contrast, the pressing process
is caused by positive pressure that leads to compression of the DRG and the
outflow of the venous blood from the canal. The largest pressure gradient is
observed at the foramen, where the DRG is located at. The DRG is subject to
prominent von Mises stress near its end, which is fixed without motions. The
negative internal pressure is more efficient to deform the DRG than the positive
internal pressure. This indicates that the most hazardous condition for the DRG
is the pulling process.
PMID- 29801663
TI - Pigmentary Maculopathy Associated with Chronic Exposure to Pentosan Polysulfate
Sodium.
AB - PURPOSE: To describe the clinical features of a unique pigmentary maculopathy
noted in the setting of chronic exposure to pentosan polysulfate sodium (PPS), a
therapy for interstitial cystitis (IC). DESIGN: Retrospective case series.
PARTICIPANTS: Six adult patients evaluated by a single clinician between May 1,
2015, and October 1, 2017. METHODS: Patients were identified by query of the
electronic medical record system. Local records were reviewed, including results
of the clinical examination, retinal imaging, and visual function assessment with
static perimetry and electroretinography. Molecular testing assessed for known
macular dystrophy and mitochondrial cytopathy genotypes. MAIN OUTCOME MEASURES:
Mean best-corrected visual acuity (BCVA; in logarithm of the minimum angle of
resolution units), median cumulative PPS exposure, subjective nature of the
associated visual disturbance, qualitative examination and imaging features, and
molecular testing results. RESULTS: The median age at presentation was 60 years
(range, 37-62 years). All patients received PPS for a diagnosis of IC, with a
median cumulative exposure of 2263 g (range, 1314-2774 g), over a median duration
of exposure of 186 months (range, 144-240 months). Most patients (4 of 6)
reported difficulty reading as the most bothersome symptom. Mean BCVA was 0.1+/
0.18 logarithm of the minimum angle of resolution. On fundus examination, nearly
all eyes showed subtle paracentral hyperpigmentation at the level of the retinal
pigment epithelium (RPE) with a surrounding array of vitelliform-like deposits.
Four eyes of 2 patients showed paracentral RPE atrophy, and no eyes demonstrated
choroidal neovascularization. Multimodal retinal imaging demonstrated abnormality
of the RPE generally contained in a well-delineated area in the posterior pole.
None of the 4 patients who underwent molecular testing of nuclear DNA returned a
pathogenic mutation. Additionally, all 6 patients showed negative results for
pathogenic variants in the mitochondrial gene MTTL1. CONCLUSIONS: We describe a
novel and possibly avoidable maculopathy associated with chronic exposure to PPS.
Patients reported symptoms of difficulty reading and prolonged dark adaptation
despite generally intact visual acuity and subtle funduscopic findings.
Multimodal imaging and functional studies are suggestive of a primary RPE injury.
Additional investigation is warranted to explore causality further.
PMID- 29801664
TI - Choosing Front-line Treatment for Metastatic Renal Cancer: Which Data Are
Meaningful?
PMID- 29801665
TI - Characterization of hepatocellular carcinoma in Mexico.
AB - INTRODUCTION AND AIMS: In Mexico, complications of cirrhosis are the third
leading cause of death in adult males. In recent decades, the incidence of
hepatocellular carcinoma has increased worldwide. The aim of this study was to
determine the characteristics of patients with hepatocellular carcinoma at two
Mexican tertiary care hospitals. MATERIAL AND METHODS: An observational, cross
sectional, retrospective study was conducted between January 2008 and April 2014.
We described the clinical features, epidemiologic characteristics, diagnosis, and
treatment of patients with hepatocellular carcinoma. RESULTS: One hundred and
forty-eight patients were included. There was a predominance in males and disease
manifestation in the sixth decade of life. Liver disease was associated in 87% of
subjects and was mainly attributed to alcohol abuse, hepatitis C infection, and
nonalcoholic steatohepatitis. Sixty percent (60%) of cases were classified as
Child-Pugh stage A cirrhosis, 75.5% harbored a single tumor at diagnosis, 27.7%
had normal alpha-fetoprotein values, and only 39.2% of patients with known liver
disease were under a surveillance program. Tumors were larger than 5cm at
diagnosis in 64.3% of patients, and well-differentiated lesions were most
frequently detected. Over 70% of patients were diagnosed at a non-curative stage.
By the 2014 study cutoff point, 77.7% of patients had died. Treatment was
determined by the means available at each center and followed the therapeutic
recommendations in international guidelines in 45.3% of cases, clearly impacting
survival. CONCLUSIONS: Better surveillance methods are required to diagnose the
disease at its early stages, but treatment still requires individual adaptation
to each center's available resources.
PMID- 29801666
TI - A kidney-disease gene panel allows a comprehensive genetic diagnosis of cystic
and glomerular inherited kidney diseases.
AB - Molecular diagnosis of inherited kidney diseases remains a challenge due to their
expanding phenotypic spectra as well as the constantly growing list of disease
causing genes. Here we develop a comprehensive approach for genetic diagnosis of
inherited cystic and glomerular nephropathies. Targeted next generation
sequencing of 140 genes causative of or associated with cystic or glomerular
nephropathies was performed in 421 patients, a validation cohort of 116 patients
with previously known mutations, and a diagnostic cohort of 207 patients with
suspected inherited cystic disease and 98 patients with glomerular disease. In
the validation cohort, a sensitivity of 99% was achieved. In the diagnostic
cohort, causative mutations were found in 78% of patients with cystic disease and
62% of patients with glomerular disease, mostly familial cases, including copy
number variants. Results depict the distribution of different cystic and
glomerular inherited diseases showing the most likely diagnosis according to
perinatal, pediatric and adult disease onset. Of all the genetically diagnosed
patients, 15% were referred with an unspecified clinical diagnosis and in 2%
genetic testing changed the clinical diagnosis. Therefore, in 17% of cases our
genetic analysis was crucial to establish the correct diagnosis. Complex
inheritance patterns in autosomal dominant polycystic kidney disease and Alport
syndrome were suspected in seven and six patients, respectively. Thus, our kidney
disease gene panel is a comprehensive, noninvasive, and cost-effective tool for
genetic diagnosis of cystic and glomerular inherited kidney diseases. This allows
etiologic diagnosis in three-quarters of patients and is especially valuable in
patients with unspecific or atypical phenotypes.
PMID- 29801667
TI - Complement-binding anti-HLA antibodies are independent predictors of response to
treatment in kidney recipients with antibody-mediated rejection.
AB - A major hurdle to improving clinical care in the field of kidney transplantation
is the lack of biomarkers of the response to antibody-mediated rejection (ABMR)
treatment. To discover these we investigated the value of complement-binding
donor-specific anti-HLA antibodies (DSAs) for evaluating the response to
treatment. The study encompassed a prospective cohort of 139 kidney recipients
with ABMR receiving the standard of care treatment, including plasma exchange,
intravenous immunoglobulin and rituximab. Patients were systematically assessed
at the time of diagnosis and three months after treatment initiation for clinical
and allograft histological characteristics and anti-HLA DSAs, including their C1q
binding ability. After adjusting for clinical and histological parameters, post
treatment C1q-binding anti-HLA DSA was an independent and significant determinant
of allograft loss (adjusted hazard ratio 2.57 (95% confidence interval 1.29
5.12). In 101 patients without post-treatment C1q-binding anti-HLA DSA there was
a significantly improved glomerular filtration rate with significantly reduced
glomerulitis, peritubular capillaritis, interstitial inflammation, tubulitis, C4d
deposition, and endarteritis compared with 38 patients with posttreatment C1q
binding anti-HLA DSA. A conditional inference tree model identified five
prognostic groups at the time of post-treatment evaluation based on glomerular
filtration rate, presence of cg lesion and C1q-binding anti-HLA DSA (cross
validated accuracy: 0.77). Thus, circulating complement-binding anti-HLA DSAs are
strong and independent predictors of allograft outcome after standard of care
treatment in kidney recipients with ABMR.
PMID- 29801668
TI - A VMAT planning technique for locally advanced breast cancer patients with
expander or implant reconstructions requiring comprehensive postmastectomy
radiation therapy.
AB - Locally advanced breast cancer patients with expander or implant reconstructions
who require comprehensive postmastectomy radiotherapy (PMRT) can pose unique
treatment planning challenges. Traditional 3D conformal radiation techniques
often result in large dose inhomogeneity throughout the treatment volumes,
inadequate target coverage, or excessive normal tissue doses. We have developed a
volumetric modulated arc therapy (VMAT) planning technique without entering
through the ipsilateral arm that produced adequate target volume coverage,
excellent homogeneity throughout the target volume, and acceptable doses to the
normal structures. Twenty left-sided and 10 right-sided patients with either
ipsilateral or bilateral permanent implants or tissue expanders who received
comprehensive PMRT between October 2014 and February 2016 were included in this
study. Ten left-sided cases used deep inspiration breath hold (DIBH) technique,
and others used free breathing (FB). Planning target volume (PTV) included
chestwall, internal mammary nodes (IMNs), supraclavicular, and axillary lymph
nodes. A VMAT plan using 4 or 5 partial arcs with 6 MV photon beam avoiding
entering through the ipsilateral arm was generated for each patient. Prescription
dose was 50 Gy in 25 fractions. PTV coverage, maximum depth of IMNs, dose
homogeneity and dose to the heart, lungs, thyroid, contralateral intact breast or
implant, liver, stomach, left anterior descending artery, ipsilateral brachial
plexus, esophagus, spinal cord, and total MU were evaluated. PTV D95% (Gy) was
49.6 +/- 0.9, 48.7 +/- 0.9, and 49.5 +/- 1.1; PTV D05% (Gy) was 55.7 +/- 0.6,
55.1 +/- 1.4, and 55.0 +/- 0.7; maximum depth of IMNs (cm) was 4.3 +/- 0.9, 4.6
+/- 1.1, and 4.9 +/- 2.3; ipsilateral lung, V20Gy (%) was 29.0 +/- 2.1, 28.8 +/-
2.5, and 27.5 +/- 3.4; heart mean dose (Gy) was 4.2 +/- 0.4, 7.5 +/- 1.1, and 6.6
+/- 0.8 for right-sided FB, left-sided FB, and left-sided DIBH cases,
respectively. D95% of IMNs all received 100% prescription dose. The maximum dose
(Gy) to the left anterior descending artery was 33.8 +/- 11.7 for left-sided FB
and 31.4 +/- 7.3 for left-sided DIBH. VMAT technique avoiding ipsilateral arm can
produce acceptable clinical plans for locally advanced breast cancer patients
with expander or implant reconstructions receiving comprehensive PMRT.
PMID- 29801669
TI - Utilizing skin sparing technique in HN VMAT treatment planning.
AB - This study aims to demonstrate a specific treatment planning method and its
effectiveness of sparing high dose to superficial skin for head and neck
volumetric-modulated arc therapy (VMAT) treatments. A total of 10 patients with
bilateral disease encompassing superficial neck nodes were planned as VMAT.
Standard VMAT plans were created for each patient per written directive from
physician, including target prescriptions, normal structure goals, and plan
parameters. After these plans were created, low isodose levels were converted
into dose structures, which are used to create a new skin sparing (SS) structure.
With the new SS structure made, the original plan was copied to create a new SS
plan. The new SS plan was re-optimized utilizing the newly created SS structure
to help in decreasing dose to the superficial regions on the skin surface. The
result of this treatment planning technique, comparing SS plans to original
nonskin sparing (NSS) plans, provides a reduced dose of 10% to 15% to the skin
surface in all cases. Although this technique reduces the dose to the skin, it
can also reduce the dose to treatment volumes. Depending on physician intent,
diagnosis, and disease, this method should only be used when the skin is not at
risk, otherwise it could potentially cause underdosing to the disease site. All
SS plans were considered clinically acceptable and provided adequate coverage to
planning target volume (PTV), while reducing unwarranted high dose to superficial
skin tissue.
PMID- 29801670
TI - Atrial fibrillation clinical decision aid for emergency medicine providers: An
initiative to improve quality healthcare outcomes in adults with new-onset atrial
fibrillation.
AB - BACKGROUND: Atrial fibrillation (AF) affects 6 million Americans with an annual
cost of $6 billion and a 30-day readmission rate of 15%. METHODS: We conducted a
quality improvement project using pretest-posttest analysis to determine the
effects of an AF clinical decision aid for Emergency Medicine providers on
quality measures for patients with new-onset AF at a tertiary care facility.
Outcomes included readmission rates, documentation of thromboembolic risk
(CHA2DS2-VASc), bleeding risk (HAS-BLED), incidence of anticoagulation (AC)
patient education, and prescription of AC. Data was obtained via chart review.
Standard statistical techniques were used. RESULTS: 225 patients (mean age 67.5
years, 66% male, 76% Caucasian) were studied. The 30-day readmission rate for
symptomatic AF decreased from 17% to 1.3% (p = 0.01). There was no difference in
provider documentation, incidence of AC patient education, or prescription of AC
following implementation. CONCLUSIONS: Utilization of a clinical decision aid
significantly reduces 30-day readmission for symptomatic AF.
PMID- 29801671
TI - Burden of nursing activities during hemodynamic monitoring of heart failure
patients.
AB - BACKGROUND: Concerns remain about the burden of nursing care required to
implement pulmonary artery pressure monitoring of heart failure patients.
METHODS: We conducted a retrospective analysis of patients (N = 15) with a PAP
sensor at our center. We defined three categories of PAP activity and estimated
the nursing time spent on PAP monitoring. RESULTS: During the 6 months after
implantation, the median patient contact time was 67 (55-75)
minutes/patient/month and the median frequency of patient contact was 5.8 (4.6
6.4) contacts/patient/month. The intensity of nurse-patient contact decreased
after the first 3 months (81 [52-102] minutes/patient/month vs. 45 [29-61]
minutes/patient/month; P = 0.005). CONCLUSIONS: The intensity of nurse-patient
contact increased significantly after PAP sensor implantation but declined after
the first 3 months with medical stabilization. These data from our center may
serve as a benchmark to project the nursing time required to support PAP
monitoring in practice.
PMID- 29801672
TI - Prevalence of iron deficiency in different subtypes of pulmonary hypertension.
AB - OBJECTIVES: Iron deficiency (ID) prevalence in Chinese patients suffering from
pulmonary hypertension (PH) is unclear so far. This study aimed to investigate ID
prevalence in different subtypes of PH and its relevant factors. METHODS:
Hospitalized patients diagnosed with PH from September 2015 to March 2017 were
retrospectively enrolled. Patients were grouped based on etiology. Logistic
regression analysis was performed to determine factors associated with ID.
RESULTS: ID was found in 38.25% of 251 PH patients; with the highest prevalence
in connective tissue disease associated pulmonary arterial hypertension (CTD
PAH). Univariate logistic regression analysis showed that female sex, age, CTD
PAH diagnosis and high sensitive C reactive protein (hs-CRP) were associated with
ID. After adjusting for age, sex and hs-CRP, the diagnosis of CTD-PAH was still
associated with ID (OR = 3.01, 95%CI 1.02-8.90, P < 0.05). CONCLUSIONS: ID is
common in PH in China. CTD-PAH is independently associated with ID, after
adjustment for age, sex, and hs-CRP.
PMID- 29801673
TI - Health-related quality of life, psychological distress, and symptom burden in an
Asian population of outpatients with atrial fibrillation.
AB - BACKGROUND: Atrial fibrillation (AF) is the most common arrhythmia and has
debilitating effects on patients' health-related quality of life (HRQoL) and
psychological statuses. AIM: To investigate the HRQoL, psychological distress,
and symptom burden, and to identify the significant influencing factors of HRQoL
among outpatients with AF in Singapore. METHODS: We conducted a cross-sectional
descriptive correlational study of a sample of 116 outpatients with AF. Data were
collected using 12-item Short Form Health Survey, Atrial Fibrillation Effect on
Quality of Life scale, Patient Health Questionnaire-9 (PHQ-9), and University of
Toronto Atrial Fibrillation Symptom Severity (AFSS) scale. RESULTS: Symptom
burden and psychological distress significantly influenced the AF-specific HRQoL
while symptom burden, unemployment and comorbidity of heart failure were
significantly associated with poorer general physical health. Psychological
distress was the only significant factor influencing the mental health.
CONCLUSION: Optimal symptom management is crucial for better HRQoL in patients
with atrial fibrillation.
PMID- 29801674
TI - Long-term nuts intake and metabolic syndrome: A 13-year longitudinal population
based study.
AB - BACKGROUND & AIMS: The ability of nuts to improve the conditions of the metabolic
syndrome (MetS) is now well established. However, few longitudinal studies
examined the impact of nuts on MetS and those that have been ongoing considered
baseline measurement of nuts intake. The associations between nuts intake and the
risk of MetS was longitudinally assessed in our study using repeated measurements
of nuts intake. METHODS: The population-based longitudinal study was conducted on
a sub-sample of the Isfahan Cohort Study (ICS), including 1387 adults, aged >= 35
years. A validated food frequency questionnaire was applied to obtain data on the
nuts intake. International Diabetes Federation (IDF) criteria were used to define
MetS. The longitudinal relation between the trend of nuts intake and the risk and
severity of MetS was examined using the Logistic and Cumulative Logit regressions
with considering mixed random effects. RESULTS: After adjustment for potential
confounders, a statistically significant inverse association was found in
severity of MetS (the number of positive criteria) in the second quartile of nuts
compared with the lowest quartile (OR: 0.77, 95% PI: 0.63-0.96; P trend: 0.03).
Nuts intake was inversely associated with MetS risk among participants in the
second quartile compared with the lowest quartile (OR: 0.76, 95% PI: 0.59-0.96; P
trend: 0.14). CONCLUSIONS: Nuts intake demonstrated a significant, inverse
association with the risk and severity of MetS after a 13-year follow-up period
in a cohort of the Iranian population.
PMID- 29801675
TI - Sleep and the Microbiome: A Two-Way Relationship.
PMID- 29801676
TI - Age-adjusted Charlson Comorbidity Index Does Not Predict Outcomes in Patients
Submitted to Noninvasive Ventilation.
AB - INTRODUCTION: Comorbidities are thought to have prognostic impact on outcomes of
patients submitted to noninvasive ventilation (NIV). Our goal was to determine if
age-adjusted Charlson comorbidity index (ACCI) could predict outcomes in patients
undergoing NIV due to acute respiratory failure. METHODS: Patients in respiratory
failure submitted to NIV were prospective evaluated comparing patient's
characteristics and outcomes according to ACCI<=median vs. ACCI>median. Each
comorbidity composing the index was tested as predictor of NIV failure and
readmission/mortality risk at 30 and 90 days, using logistic regression analysis.
NIV failure was defined as need for invasive mechanical ventilation and/or death.
RESULTS: 177 patients were enrolled. Median ACCI score was 5 points. Comparing
patients with ACCI>5 with ACCI<=5, the former group was older but APACHE II was
similar. Time to first NIV disconnection was inferior for ACCI>5 patients (OR
0.46, 95% CI 0.23-0.89, p=0.021), after gender and age adjustment. No differences
were found in length of stay, time on NIV, NIV complications or failure, and 30
and 90-day hospital readmission or death, before and after adjustment. None of
the single comorbidities was predictive of NIV failure and readmission risk, when
adjusted to sex and age. CONCLUSION: ACCI is not a good predictor for short and
medium-term outcomes in patients submitted to NIV.
PMID- 29801677
TI - Primary Cardiac Angiosarcoma Diagnosed From an Endobronchial Ultrasound (EBUS)
Guided Biopsy.
PMID- 29801679
TI - Thymoma. A Systemic Disease?
PMID- 29801678
TI - Rationale and Methodology of the SARAH Trial: Long-Term Cardiovascular Outcomes
in Patients With Resistant Hypertension and Obstructive Sleep Apnea.
AB - INTRODUCTION: Patients with resistant hypertension (RH) have a high risk of
developing cardiovascular events; therefore, new therapeutic approaches to better
control blood pressure may be useful in improving cardiovascular outcomes. The
prevalence of obstructive sleep apnea (OSA) is very high among patients with RH.
Continuous positive airway pressure (CPAP) has been shown to be an effective
treatment for reducing blood pressure in patients with RH. Nevertheless, the long
term effect of CPAP treatment on cardiovascular outcomes has not been explored.
The main objective of the SARAH study is to assess the impact of OSA and its
treatment on cardiovascular outcomes (morbidity and mortality) in patients with
RH. METHODS: This study is a multi-center, prospective, observational cohort
study. A total of 1371 patients with RH will be enrolled in the study and
followed once a year for five years. At inclusion, ambulatory blood pressure
monitoring (ABPM) and a sleep study will be performed in all subjects. Socio
demographic, clinical and cardiovascular variables will be collected at baseline
and follow-up. Subsequently, subjects with OSA will be managed according to local
standard practice. Based on the OSA diagnosis and its treatment, three cohorts of
subjects with RH will be defined: non-OSA, treated OSA and non-treated OSA.
CONCLUSIONS: This study will contribute to elucidating the long-term impact of
OSA treatments on blood pressure control and cardiovascular outcomes in patients
with RH. These results will contribute to improve the cardiovascular prognosis of
patients with RH.
PMID- 29801680
TI - Sarcoidosis-Like Granulomatous Reaction Associated With Pembrolizumab
Immunotherapy.
PMID- 29801681
TI - Tuberculous Pleural Effusion: Clinical Characteristics of 320 Patients.
AB - OBJECTIVES: To analyze the clinical and radiological characteristics and features
of pleural fluid (PF) in patients with tuberculous pleural effusion (TPE).
METHODS: Retrospective analysis of TPEs treated in our clinic over the last
23years. RESULTS: We included 320 patients with TPE (70% men; median age
33years). Mycobacterium tuberculosis was identified in the sputum or PF of 36% of
the patients by microscopic examination, solid and liquid media cultures, or
nucleic acid amplification tests. The greatest percentage of positive
microbiological findings were associated with human immunodeficiency virus (HIV)
co-infection (OR: 3.27), and with the presence in PF of proteins <4g/dL (OR:
3.53), neutrophils >60% (OR: 3.23), and glucose <40mg/dL (OR: 3.17). Pleural
adenosine deaminase <35U/L was associated with TPEs that occupied less than half
of the hemithorax (OR: 6.36) and with PF lactate dehydrogenase levels <500U/L
(OR: 8.09). Radiological pulmonary opacities (30%) were more common in TPE
occupying less than half of the hemithorax (OR: 2.73), in bilateral TPE (OR:
4.48), and in older patients (OR: 1.02). Factors predicting mortality were: HIV
co-infection (OR: 24), proteins in PF <5g/dL (OR: 10), and greater age (OR:
1.05). CONCLUSIONS: Patients with TPE and HIV co-infection and those with lower
concentrations of proteins in PF had higher rates of positive microbiological
results and death. Moreover, older patients had more pulmonary opacities and a
higher incidence of death.
PMID- 29801682
TI - Lactic Acidosis and Asthma Exacerbation.
PMID- 29801683
TI - Effect of light curing units on the polymerization of bulk fill resin-based
composites.
AB - OBJECTIVE: To determine the potential effect of four different light curing units
(LCUs) on the curing profile of two bulk fill resin-based composites (RBCs).
METHODS: Four LCUs (Bluephase 20i, Celalux 3, Elipar DeepCure-S and Valo Grand)
were used to light cure two RBCs (Filtek Bulk Fill Posterior Restorative and
Tetric EvoCeram Bulk Fill). The effective tip diameter, radiant power, radiant
emittance, emission spectrum and light beam profile of the LCUs were measured.
Knoop microhardness was measured at the top and bottom surfaces of RBC specimens
that were 12-mm in diameter and 4-mm deep (n=5). The distribution of the spectral
radiant power that was delivered to the surface of the specimen and the light
transmission through the 4-mm thick specimens was measured using an integrating
sphere. Two-way ANOVA and Tukey tests (alpha=0.05) were applied. RESULTS: The
Valo Grand produced the most homogeneous microhardness across the surfaces of the
RBCs (p>0.05). When the Celalux 3, Bluephase 20i and Elipar DeepCure-S lights
were used, the center of the specimens achieved greater hardness values compared
to their outer regions (p<0.05). Approximately 10% of the radiant power delivered
to the top reached the bottom of the specimen, although almost no violet light
passed through 4mm of either RBC. A positive correlation was observed between the
radiant exposure and microhardness. SIGNIFICANCE: The characteristics of the LCUs
influenced the photoactivation of the RBCs. The use of a wide tip with a
homogeneous light distribution is preferred when light curing RBCs using a bulk
curing technique.
PMID- 29801684
TI - Structure-properties relationships in dental adhesives: Effect of initiator,
matrix monomer structure, and nano-filler incorporation.
AB - OBJECTIVES: This is a confirmatory study to evaluate the effect of photoinitiator
type and concentration, matrix monomer chemical structure, and nanoparticle
incorporation on the physical and mechanical properties of an experimental dentin
bonding agent. MATERIALS AND METHODS: Different concentrations of camphorquinone
amine (CQ-A) system, butanedione (BD), and phenylpropanedione (PPD), as
photoinitiator, BTDMA, as a comonomer containing carboxylic acid groups, and
silica nanoparticles as reinforcing inorganic filler were incorporated into a
methacrylate base experimental dental adhesive. The effect of these ingredients,
as independent variables, on the shrinkage kinetics, flexural strength and
modulus, and microshear bond strength of the adhesives were then investigated.
The results were analyzed using one-way ANOVA and Tukey's post-hoc test at the
significance level of 0.05. RESULTS: The results indicate that the efficiency of
CQ-A initiator system is diminished in the presence of the acidic monomer BTDMA
while the photopolymerization is efficiently progressed with BD as initiator. PPD
shows the lowest efficiency in the photopolymerization of the adhesives. BTDMA as
a monomer with the capability of interaction with tooth structure provides
adhesive with improved microshear bond strength to dentin. Incorporation of
silica nanoparticles at low concentrations enhances the flexural and microshear
strength of the dentin bonding agent. SIGNIFICANCE: Understanding the structure
property relationship in dental adhesives may help the material selection in
clinical dentistry. The study elucidates the relationship between monomer
structure, initiator type, and nanofiller and physical and mechanical properties
in dental adhesives.
PMID- 29801685
TI - Drug Mortality and Lost Life Years Among U.S. Midlife Adults, 1999-2015.
AB - INTRODUCTION: Increasing mortality rates among midlife non-Hispanic whites have
been identified but are not well understood. METHODS: Vital statistics data were
used in 2017 to examine to what extent increases in mortality rates and years of
potential life lost among midlife non-Hispanic whites from 1999 to 2015 are
correlated with increases in fatal overdoses and specific drug categories.
RESULTS: The mortality rate rose by 21.2 per 100,000 people and years of
potential life lost by 712,000 years from 1999 to 2015 for non-Hispanic whites
aged 22-56 years; 63% of the mortality rate and 76% of the years of potential
life lost increase occurred among individuals aged 22-39 years. For males, 92% of
the mortality rate and 98% of the years of potential life lost growth was among
individuals aged 22-39 years. The increases attributed to drug poisonings were:
26.4 per 100,000 people and 854,000 years, 51% and 64% of which occurred among
individuals aged 22-39 years. Illicit opioids without involvement of prescription
opioids were involved in mortality rate and years of potential life lost
increases of 13.9 (95% CI=13.2, 14.7) per 100,000 people and 489,000 (95%
CI=463,000, 515,000) years. For prescription opioids without illicit opioid
involvement, the growth was 7.8 (95% CI=7.3, 8.3) per 100,000 people and 230,000
(95% CI=214,000, 246,000) years. Illicit opioids played a key role for
individuals aged 22-39 years, especially males. Mortality rate and years of
potential life lost declined dramatically for nonwhites or Hispanics aged 22-56
years, with only small increases because of drug deaths. CONCLUSIONS: Growth in
fatal overdoses was strongly correlated with increased mortality rate and years
of potential life lost for midlife non-Hispanic whites from 1999 to 2015, with a
particularly large rise for young adults, especially males, and illicit opioids
contributing most to this association.
PMID- 29801686
TI - Methodological flaws in web surveys: Commentary to "Abuse and disrespect in
childbirth assistance in Italy: A community based survey".
PMID- 29801687
TI - Pathologic intimal thickening: Are we any closer to understand early transitional
plaques that lead to symptomatic disease?
PMID- 29801688
TI - Peripheral vascular disease risk in diabetic individuals without coronary heart
disease.
AB - BACKGROUND AND AIMS: Diabetes mellitus is a coronary heart disease (CHD) risk
equivalent for the outcome of peripheral vascular disease. The impact of diabetes
with comorbid risk factors on the outcome of peripheral vascular disease remains
unexplored. METHODS: We performed a cross-sectional analysis of participants in
Lifeline Vascular Screening Inc. age 40-90 who were screened for peripheral
vascular disease, defined as lower extremity peripheral artery disease (PAD, ABI
<0.9) and/or carotid artery stenosis (CAS, internal CAS >=50%). CHD was defined
as prior myocardial infarction or revascularization. Risk factors included
hypertension, hyperlipidemia, smoking, obesity, sedentary lifestyle and family
history of cardiovascular disease. RESULTS: Among 3,517,804 participants, PAD and
CAS was identified in 4.4% and 3.7%, respectively. Diabetes was identified in
376,528 participants, 324,680 (86%) of whom did not have CHD. Among diabetic
participants without CHD, prevalence of PAD increased with 1-2 (4.3%), 3-4
(7.3%), and >=5 (12.0%) comorbid risk factors (p trend < 0.0001). The pattern was
similar for CAS (3.7%, 6.2%, 8.8%, p trend < 0.0001). Compared to participants
without diabetes, those with diabetes and 1-2, 3-4 and >=5 risk factors had
increasing odds of PAD and CAS after adjustment for age, sex and race/ethnicity
(1.0, 95% CI 0.98-1.06; 1.8, 95% CI 1.8-1.89; 3.5, 95% CI 3.43-3.64,
respectively, p trend < 0.0001). By comparison, in nondiabetic participants, CHD
increased odds of PAD and CAS by 2-fold (2.06, 95% CI 2.02-2.1; 2.19, 95% CI 2.15
2.23 respectively). CONCLUSIONS: Diabetes, particularly with comorbid risk
factors, confers increased odds of PAD and CAS, even in the absence of CHD.
Counseling regarding screening and prevention for peripheral vascular disease
among individuals with diabetes and multiple risk factors may be useful.
PMID- 29801689
TI - Mendelian randomization: Its impact on cardiovascular disease.
AB - Cardiovascular diseases and their risk factors are inheritable. Single nucleotide
polymorphisms in the human genome are found in around 1 in 1000 base pairs, and
this may affect the genetic variety of individuals. During meiosis, any genetic
information is randomized and is independent of other characteristics. In a
Mendelian randomization study (MRS), a genetic variant associated with biomarker
is used as a proxy for the biomarker, and the outcomes are compared between the
groups harboring the effect alleles and a group with the reference allele. An MRS
using variants of both rare and modest effect sizes and variants of common and
lower effect sizes provides an understanding of risk factors and their causality
of cardiovascular disease; for example, an individual possessing an allele
associated with lower low-density lipoprotein cholesterol (LDL-C) exhibits lower
risk of coronary artery disease (CAD). Moreover, the log-transformed reduction
rates of CAD are linearly correlated with the reduction value of LDL-C. High
density lipoprotein (HDL) removes cholesteryl esters from peripheral tissues,
including atherosclerotic plaque to the liver. Numerous epidemiological studies
have shown that HDL-cholesterol (HDL-C) levels are inversely associated with the
frequency of the occurrence of CAD. However, genetic variants, which are only
associated with higher HDL-C levels, do not decrease the frequency of myocardial
infarction. This fact shows that HDL-C level is not a cause but a biomarker of
CAD. Discoveries of rare variants in Mendelian disorders resulted in the
successful development of drugs for the general population. An MRS may also
predict the pharmacological effectiveness and adverse side effects of novel drugs
targeting specific molecules. An MRS could become a standard process to be
performed before the development of novel drugs. Furthermore, future guidelines
for the prevention of CAD should consider the genetic information of individuals,
which will result in precision medicine for cardiovascular diseases.
PMID- 29801691
TI - Love the root, not the flowers everyone sees.
PMID- 29801690
TI - Postoperative atrial fibrillation is associated with increased morbidity and
resource utilization after left ventricular assist device placement.
AB - BACKGROUND: Postoperative atrial fibrillation (POAF) is a known risk factor for
morbidity and mortality after cardiac surgery but has not been investigated in
the left ventricular assist device (LVAD) population. We hypothesize that POAF
will increase morbidity and resource utilization after LVAD placement. METHODS:
Records were extracted for all patients in a regional database who underwent
continuous-flow LVAD placement (n = 1064, 2009-2017). Patients without a history
of atrial fibrillation (n = 689) were stratified by POAF for univariate analysis.
Multivariable regression models calculated the risk-adjusted association of
arrhythmias on outcomes and resource utilization. RESULTS: The incidence of new
onset POAF was 17.6%, and patients who developed POAF were older and more likely
to have moderate/severe mitral regurgitation, a history of stroke, and
concomitant tricuspid surgery. After risk adjustment, POAF was not associated
with operative mortality or stroke but was associated with major morbidity (odds
ratio [OR] 2.5 P = .0004), prolonged ventilation (OR 2.7, P < .0001), unplanned
right ventricular assist device (OR 2.9, P = .01), and a trend toward renal
failure (OR 2.0, P = .06). In addition, POAF was associated with greater risk
adjusted resource utilization, including discharge to a facility (OR 2.2, P =
.007), an additional 4.9 postoperative days (P = .02), and 88 hours in the
intensive care unit (P = .01). CONCLUSIONS: POAF was associated with increased
major morbidity, possibly from worsening right heart failure leading to increased
renal failure and unplanned right ventricular assist device placement. This led
to patients with POAF having longer intensive care unit and hospital stays and
more frequent discharges to a facility.
PMID- 29801692
TI - New Perspectives on the Treatment of Androgenetic Alopecia.
PMID- 29801693
TI - Risk Predictors for Postcontrast Acute Kidney Injury.
AB - OBJECTIVE: To evaluate risk predictors of acute kidney injury (AKI) after
contrast-media procedures in a broader cohort of patients than previously
reported. DATA SOURCES: Comprehensive medical and pharmacy commercial claims data
from 2012 to 2014. DATA COLLECTION AND EXTRACTION METHODS: Claims associated with
contrast-media procedures for 2,737,020 persons between January 1, 2012 and
November 30, 2014, were reviewed. PRINCIPAL FINDINGS: The overall incidence of
AKI after a contrast-media procedure was 0.85%. AKI occurred in 26% of cases that
had two or more contrast procedures within 30 days, compared with 9% of non-AKI
cases. Although the incidence of postcontrast AKI was low, 10% of patients who
developed AKI had a recent previous episode of AKI. In cases when AKI had
occurred within 180 days of contrast administration, the odds of subsequent
kidney injury was 9.39. CONCLUSIONS: Overall, there is a low risk (0.85%) of
developing an AKI after a procedure with contrast-media consistent with several
recent studies. However, in adults with a recent history of AKI, physicians must
consider this history as a risk factor for subsequent AKI.
PMID- 29801694
TI - A Normal Thyroid by Any Other Name: Linguistic Analysis of Statements Describing
a Normal Thyroid Gland from Noncontrast Chest CT Reports.
AB - PURPOSE: To determine the variability and readability of language used in chest
CT reports to describe a "normal" thyroid gland. METHODS: Using a semi-automated
process, we identified unique sentences or phrases describing a "normal" thyroid
gland in 11,357 noncontrast chest CT reports. Readability metrics were computed
for each descriptor, including sentence length and grade-level indices
quantifying the education necessary for text comprehension. Grade-level indices
included the Flesch-Kincaid (FK) grade level, Gunning Fog index (GF), Coleman
Liau (CL) index, automated readability index (ARI), and a computed composite
grade level (CGL) calculated as (FK + GF + CL + ARI)/4. RESULTS: There were 342
unique "normal" thyroid descriptors identified among 6,957 noncontrast chest CT
reports characterizing a normal thyroid gland. For these 342 unique descriptors,
sentence length varied 23-fold, with a mean sentence length of 8.3 +/- 5.1 words.
CGL varied 4-fold, with a mean of 16.4 +/- 4.5, suggesting that descriptors for a
normal thyroid gland, on average, require an advanced college-level education for
comprehension. CONCLUSIONS: The language used by radiologists to describe a
normal thyroid gland in chest CT reports is variable and complex. The linguistic
characteristics observed herein may be a surrogate for the broader readability of
radiology reports. With the growing role of the radiology report in patient
communication, further linguistic analysis of reporting language may provide
valuable insight for optimizing radiology communication.
PMID- 29801695
TI - Drop "impact" on an airfoil surface.
AB - Drop impact on an airfoil surface takes place in drop-laden two-phase flow
conditions such as rain and icing, which are encountered by wind turbines or
airplanes. This phenomenon is characterized by complex nonlinear interactions
that manifest rich flow physics and pose unique modeling challenges. In this
article, the state of the art of the research about drop impact on airfoil
surface in the natural drop-laden two-phase flow environment is presented. The
potential flow physics, hazards, characteristic parameters, droplet trajectory
calculation, drop impact dynamics and effects are discussed. The most key points
in establishing the governing equations for a drop-laden flow lie in the modeling
of raindrop splash and water film. The various factors affecting the drop impact
dynamics and the effects of drop impact on airfoil aerodynamic performance are
summarized. Finally, the principle challenges and future research directions in
the field as well as some promising measures to deal with the adverse effects of
drop-laden flows on airfoil performance are proposed.
PMID- 29801696
TI - Deep generative learning for automated EHR diagnosis of traditional Chinese
medicine.
AB - BACKGROUND: Computer-aided medical decision-making (CAMDM) is the method to
utilize massive EMR data as both empirical and evidence support for the decision
procedure of healthcare activities. Well-developed information infrastructure,
such as hospital information systems and disease surveillance systems, provides
abundant data for CAMDM. However, the complexity of EMR data with abstract
medical knowledge makes the conventional model incompetent for the analysis. Thus
a deep belief networks (DBN) based model is proposed to simulate the information
analysis and decision-making procedure in medical practice. The purpose of this
paper is to evaluate a deep learning architecture as an effective solution for
CAMDM. METHODS: A two-step model is applied in our study. At the first step, an
optimized seven-layer deep belief network (DBN) is applied as an unsupervised
learning algorithm to perform model training to acquire feature representation.
Then a support vector machine model is adopted to DBN at the second step of the
supervised learning. There are two data sets used in the experiments. One is a
plain text data set indexed by medical experts. The other is a structured dataset
on primary hypertension. The data are randomly divided to generate the training
set for the unsupervised learning and the testing set for the supervised
learning. The model performance is evaluated by the statistics of mean and
variance, the average precision and coverage on the data sets. Two conventional
shallow models (support vector machine / SVM and decision tree / DT) are applied
as the comparisons to show the superiority of our proposed approach. RESULTS: The
deep learning (DBN + SVM) model outperforms simple SVM and DT on two data sets in
terms of all the evaluation measures, which confirms our motivation that the deep
model is good at capturing the key features with less dependence when the index
is built up by manpower. CONCLUSIONS: Our study shows the two-step deep learning
model achieves high performance for medical information retrieval over the
conventional shallow models. It is able to capture the features of both plain
text and the highly-structured database of EMR data. The performance of the deep
model is superior to the conventional shallow learning models such as SVM and DT.
It is an appropriate knowledge-learning model for information retrieval of EMR
system. Therefore, deep learning provides a good solution to improve the
performance of CAMDM systems.
PMID- 29801697
TI - 3D Printed Antibiotic and Chemotherapeutic Eluting Catheters for Potential Use in
Interventional Radiology: In Vitro Proof of Concept Study.
AB - RATIONALE AND OBJECTIVES: Additive manufacturing may be used as a form of
personalized medicine in interventional radiology by allowing for the creation of
customized bioactive constructs such as catheters that can act as a form of
localized drug delivery. The purpose of the present in vitro study was to use
three-dimensional (3D) printing to construct bioactive-laden bioabsorbable
catheters impregnated with antibiotics and chemotherapeutics. MATERIALS AND
METHODS: Polylactic acid bioplastic pellets were coated with the powdered
bioactive compounds gentamicin sulfate (GS) or methotrexate (MTX) to incorporate
these drugs into the 3D printed constructs. The pellets were then extruded into
drug-impregnated filament for fused deposition modeling 3D printing. Computer
aided design files were generated in the shapes of 14-F catheters. Scanning
electron microscope imaging was used to visualize the presence of the additive
powders on the surface of the printed constructs. Elution profiles were run on
the antibiotic-laden catheter and MTX-laden catheters. Antibiotic-laden catheters
were tested on bacterial broth and plate cultures. RESULTS: Both GS and MTX
catheter constructs had sustained drug release up to the 5-day limit of testing.
The 3D printed GS-enhanced catheters inhibited all bacterial growth in broth
cultures and had an average zone of inhibition of 858 +/- 118 mm2 on bacterial
plates, whereas control catheters had no effect. CONCLUSION: The 3D printing
manufacturing method to create instruments in percutaneous procedures is
feasible. Further in vivo studies will substantiate these findings.
PMID- 29801698
TI - April 2008 (vol. 198, no. 4, page 477.e6).
PMID- 29801699
TI - February 2018 (vol. 218, no. 2, page 254).
PMID- 29801700
TI - Opioid exposure is associated with nonunion risk in a traumatically injured
population: An inception cohort study.
AB - INTRODUCTION: Certain common medications are associated with an elevated risk of
fracture and recent data suggests that medications can also increase nonunion
risk. Medication use is a modifiable nonunion risk factor, but it is unknown
whether risk accrues solely to chronic medication use or whether there is also
risk inherent to acute use. METHODS: Multivariate logistic regression was used in
an inception cohort to calculate odds ratios (OR) for fracture nonunion
associated with medication use, in context with other risk factors demonstrated
to influence nonunion. Patient-level health claims for medical and drug expenses
were compiled from a payer database. Patients were included if they had a
fracture coded in 2011, with continuous enrollment for 1 month prior to and 12
months after fracture. The database contained demographic descriptors, treatment
procedures per CPT codes, co-morbidities per ICD-9 codes, and prescriptions per
National Drug Codes. Chronic medication use was defined as >=30 days of
prescription prior to fracture with >=1 day afterward; acute use was any other
prescription. RESULTS: Most non-analgesic medications were safe in acute or
chronic use, but risk of nonunion was elevated for a wide range of analgesics.
Overall, 45,085 fractures (14.6% of fractures) affected patients using chronic
opioids. Nonunion OR was elevated for acute and chronic use of Schedule 2 opioids
including acetaminophen/oxycodone, hydromorphone, oxycodone, and
acetaminophen/hydrocodone bitartrate, as well as Schedule 3-5 opioids including
tramadol (all, p < 0.0001). The highest ORs were associated with chronic
administration of Schedule 2 opioids. DISCUSSION: Most medications do not
increase nonunion risk, but acute and chronic use of NSAIDs or opioids was
associated with impaired fracture healing. There is particular risk in
prescribing opioid analgesics for fracture, though literature suggests that
roughly half of opioid-naive patients receive such a prescription. CONCLUSIONS:
Patients evaluated in this study were not a random sample of Americans; they may
approximate a random sample of the Emergency Department population in the United
States. Thus, trauma patients may represent a population enriched for nonunion
risk factors. Opioids impair recovery from injury; if they also predispose to
injury, the ongoing opioid epidemic could presage an increase in nonunion
prevalence.
PMID- 29801701
TI - Restraint-related asphyxia on the basis of a drug-induced excited delirium.
AB - Cases of intoxication in combination with extreme agitation, physical exertion
and restraint are mainly associated with restraint-related deaths (RRD) in the
context of police use of force. In these cases, the mechanism of death usually
mentioned is RRD associated with drug-induced excited delirium. To the best of
our knowledge, there are no cases published on RRD that occurred during a
physical encounter among civilians. We present a case of a 39-year old man, who
died during a struggle with another person while being chokehold in a prone
position with a knee on his back. Detailed witness testimonies as well as
reliable blood parameters, which were taken immediately after his death, helped
to define a most probable diagnosis. The deceased suffered from schizophrenia,
consumed amphetamine and bupropion. He showed typical symptoms of an agitated
delirious state, most likely induced by bupropion intoxication. The cause of
death was restraint asphyxia by a second party on the basis of an excited
delirium. The manner of death was concluded to be manslaughter.
PMID- 29801702
TI - Corrigendum to "Quantitative estimation of a-PVP metabolites in urine by GC-APCI
QTOFMS with nitrogen chemiluminescence detection based on parent drug
calibration" [Forensic Sci. Int. 286 (2018) 12-17].
PMID- 29801703
TI - Statin use and mortality in gout: A general population-based cohort study.
AB - OBJECTIVES: Gout is associated with a higher risk of cardiovascular disease and
premature mortality. We examined the potential survival benefit of statin use
among gout patients in the general population. METHODS: We performed an incident
user cohort study with time-stratified propensity score matching using a database
representative of the UK general population between January 1999 and December
2014. To account for potential confounders, we compared propensity score-matched
cohorts of statin initiators and non-initiators within 1-year cohort accrual
blocks. We estimated the hazard ratio (HR) for mortality using a Cox proportional
hazard model and the mortality rate difference using an additive hazard model. We
examined potential subgroup effects stratified by key factors, including
circulatory disease history. RESULTS: Among 17,018 statin initiators, 2025 deaths
occurred during the follow-up (mean = 5.0 years) with a mortality rate of
24.0/1000 person-years (PY). The number of deaths and all-cause mortality rate
among matched comparators during the follow-up (mean = 4.6 years) were 2503 and
31.7/1000 PY respectively. Compared with non-initiators, statin initiators
experienced a 16% lower relative risk of all-cause mortality (HR = 0.84, 95% CI:
0.79-0.89) and 7.7 (95% CI: 6.1-9.3) fewer deaths per 1000 PY. This protective
association was stronger among those without prior circulatory disease (HRs =
0.65 vs. 0.85; p for interaction = 0.02). CONCLUSION: In this general population
based cohort study, statin initiation was associated with a lower risk of
mortality in gout, potentially with greater benefits among those without prior
circulatory disease. The proper use of statins may help to substantially improve
the premature mortality in gout.
PMID- 29801704
TI - Toward a Molecular Diagnosis in a Single Day for Patients With Advanced Non-small
cell Lung Cancer.
PMID- 29801705
TI - Widespread Nivolumab-induced Enteropathy in a Long Responder Non-Small-cell Lung
Cancer Patient.
PMID- 29801706
TI - Evaluation of a Dual ALK/ROS1 Fluorescent In Situ Hybridization Test in Non-Small
cell Lung Cancer.
AB - BACKGROUND: Several therapeutics targets have emerged to treat patients with non
small-cell lung carcinoma (NSCLC), with numerous biomarkers available to test for
treatment choices. Minimum tumor wastage is necessary to permit the analysis of
every potentially relevant target. Searching for targetable ALK and ROS1
rearrangements is now mandatory in NSCLC. In the present study, we evaluated the
performance of a dual ALK/ROS1 fluorescent in situ hybridization (FISH) probe
that concurrently analyzed the 2 oncogenes on a same FISH slide. MATERIALS AND
METHODS: We used the FlexISH ALK/ROS1 DistinguISH Probe (Zytovision, Bremerhaven,
Germany) to analyze a set of 28 formalin-fixed paraffin-embedded NSCLC tumor
samples enriched in tumors with ALK- and ROS1-rearranged status. RESULTS: The
dual ALK/ROS1 FISH probe test results were fully concordant with the results of
previous single ALK and ROS1 FISH tests (15 ALK and 3 ROS1 rearrangements)
without any false-positive results. Dual- and single-probe FISH test results were
also concordant regarding the unusual ALK FISH patterns. These included 1 sample
that had negative FISH results with diffuse single 5'-ALK signals and positive
ALK immunohistochemistry findings in a patient with a response to crizotinib, 2
paired samples with high percentages of ALK FISH-rearranged nuclei despite
negative ALK immunohistochemistry findings, and ALK FISH-positive samples from 2
patients lacking a response to crizotinib therapy despite concordant ALK FISH and
immunohistochemistry-positive results. CONCLUSION: The dual ALK/ROS1 FISH probe
test is a valuable tool to search concurrently for both ALK and ROS1
rearrangements on a same FISH slide and could help to spare tumor tissue for
other biomarkers tests.
PMID- 29801707
TI - Prevalence and anatomy of the axillary arch and its implications in surgical
practice: A meta-analysis.
AB - PURPOSE: The following research aimed to investigate the prevalence and
anatomical features of the axillary arch (AA) - a muscular, tendinous or
musculotendinous slip arising from the latissimus dorsi and that terminates in
various structures around the shoulder girdle. The AA may complicate axillary
lymph node biopsy or breast reconstruction surgery and may cause thoracic outlet
syndrome. METHODS: Major electronic databases were thoroughly searched for
studies on the AA and its variations. Data regarding the prevalence, morphology,
laterality, origin, insertion and innervation of the AA was extracted and
included in this meta-analysis. The AQUA tool was used in order to assess
potential risk of bias within the included studies. RESULTS: The AA was reported
in 29 studies (10,222 axillas), and its pooled prevalence estimate in this meta
analysis was found to be 5.3% of the axillas: unilaterally (61.6%) and
bilaterally (38.4%). It was predominantly muscular (55.1% of the patients with
the AA), originated from the latissimus dorsi muscle or tendon (87.3% of the
patients with the AA), inserted into the pectoralis major muscle or fascia (35.2%
of the patients with the AA), and was most commonly innervated by the
thoracodorsal nerve (39.9% of the patients with the AA). CONCLUSION: The AA is a
relatively common variant, hence it should not be neglected. Oncologists and
surgeons should consider this variant while diagnosing an unknown palpable mass
in the axilla, as the arch might mimic a neoplasm or enlarged lymph nodes.
PMID- 29801708
TI - Disappearance of cerebral diastolic flow after an unexpected complication.
PMID- 29801709
TI - [New therapeutic strategies in non-Hodgkin lymphomas and Hodgkin lymphoma].
AB - In this review, we report the main advances of the last years in the four most
common lymphomas in France, namely Hodgkin lymphoma, large cell diffuse B
lymphoma, follicular lymphoma and mantle cell lymphoma. We have identified
consensual practices in first line in France and then distinguished the targeting
by new molecules. Thus, we wanted to highlight the problems for each of these
four lymphomas and understand the tools used to find solutions. Finally, this
review makes it possible to understand to what extent the new molecules (targeted
therapies, immunotherapy) make it possible to continuously improve the management
of patients with lymphomas. The global dynamics seems to reduce the place of
conventional chemotherapies in favor of these new molecules. However, because of
the increase in therapeutic possibilities, the challenge remains to find the
combination associated with the best risk-benefit ratio.
PMID- 29801710
TI - [Epidemiological description of long-term oral glucocorticoid use: Results from
the French health insurance database].
AB - BACKGROUND: There is very little epidemiologic data available in the literature
concerning long-term corticosteroid prescriptions in France. METHODS: The
information was collected from a national public health-insurance database in our
geographic area of Provence-Alpes-Cote-d'Azur and Corsica. We included in the
analysis, patients using a daily glucocorticoid above 7,5 milligrams for a period
exceeding 90 days. RESULTS: Among the patients, 4,1 million were included in the
analysis. The prevalence of glucocorticoids was around 0.8% and the incidence was
2.3/1000 inhabitants/year. The mean age of the patients was 57.6+/-18.8 years
old. The median prednisone-equivalent dose was 11.9mg/day (Interquartile range:
8.6-20.0). The average treatment duration was 206 days (CI 95% 202-210). Most
prescriptions (72,0%) were started by general practitioners. CONCLUSION: Long
term corticosteroid therapy is frequent in France. Its description is close to
what is already known in Europe.
PMID- 29801711
TI - Are soccer matches dangerous for patients with heart disease? The HeartAtaque
trial - a prospective pilot study.
AB - INTRODUCTION: Behavioral and emotional factors are triggers of cardiovascular
events (CVEs). It is uncertain whether soccer fans, particularly individuals with
coronary artery disease (CAD), are at increased risk for CVEs. OBJECTIVES: To
assess the effect of watching soccer matches in patients with known CAD on the
incidence of CVEs according to the match result. METHODS: We prospectively
assessed 82 male soccer fans with a history of acute coronary syndrome during 23
matches of the 2015/2016 season. Each individual was assessed by Holter
monitoring on the day of their team's match and on the control day. The primary
endpoint was the composite of death, stroke, reinfarction, angina or sustained
arrhythmia. Secondary endpoints assessed were episodes of non-sustained
supraventricular or ventricular arrhythmia and mean heart rate (HR). RESULTS:
Participants' mean age was 61+/-10 years. Compared with the control day, despite
a significant increase in HR (p<0.001) that was independent of the result
(p>0.97), the number of CVEs did not differ according to the result (p>0.05).
Moreover, the number of non-sustained episodes of supraventricular and
ventricular arrhythmia did not differ when stratified according to the match
result (p>0.05). CONCLUSIONS: The match result was not associated with a
difference in incidence of CVEs in patients with a past history of CAD, with
ischemic and arrhythmic substrate, who watched soccer matches on television.
PMID- 29801712
TI - Sacubitril-valsartan in the real world: From theory to clinical practice.
PMID- 29801713
TI - Awareness of Fabry disease in cardiology: A gap to be filled.
AB - INTRODUCTION: In adults, unexplained left ventricular hypertrophy is usually due
to sarcomeric hypertrophic cardiomyopathy (HCM). Fabry disease (FD) is rare but
may mimic sarcomeric HCM, and has an adverse prognosis in the absence of specific
treatment. We aimed to assess cardiologists' awareness of FD based on data from
the Portuguese Registry of Hypertrophic Cardiomyopathy. METHODS: A total of 811
index patients, aged 55 +/- 16 years, 486 (59.9%) male, were included. Three
groups were characterized: A - 128 patients, 74 (57.8%) male, with pathogenic or
likely pathogenic mutation(s) in sarcomeric genes; B - 234 patients, 146 (62.4%)
male, with negative genetic testing; and C - 449 patients, 266 (59.2%) male, no
genetic testing performed. The groups were compared in terms of whether FD was
excluded in the registry. Potential red flags for FD were also analyzed and
compared between groups. RESULTS: Patients in group A were younger and more
frequently had familial HCM (A - 53.9% vs. B - 20.1% vs. C - 18.3%; p <0.001). FD
was recorded as excluded in 217 (26.8%), similar in all groups; GLA gene testing
was performed in only 50/217 patients (A - 48.6%, B - 25.7%, p = 0.019; C -
13.4%, p = 0.036 for B vs. C), mostly in women (p <0.001) in groups B and C.
Alpha-galactosidase A (alpha-Gal A) activity was assessed in 39/217 (18%)
patients, with no difference between groups, but more often in men (p = 0.005).
Among patients with potential red flags for FD, only 46.7% underwent specific
tests (GLA gene testing and/or alpha-Gal A activity). When GLA genotyping was
performed no mutations were identified. CONCLUSIONS: There is a need to improve
cardiologists' alertness for the identification of FD among the Portuguese HCM
population.
PMID- 29801715
TI - A cross-sectional comparison between the perception of physicians and pharmacists
concerning the role of the pharmacist in physician training.
AB - OBJECTIVES: This study aimed to identify and compare physician-pharmacist
perceptions concerning drug teaching to physicians by pharmacists in Swiss
hospital settings. MATERIAL AND METHODS: Questionnaires were emailed to all
French-speaking physicians (survey A) and pharmacists (survey B) working in Swiss
hospitals where medical directors/chief-pharmacists had approved the study.
Questions were developed based on previous physician interviews. Most questions
used four Likert-type response alternatives. A Mann-Whitney U test was used to
compare answers to survey A and B. RESULTS: Fourteen out of 18 medical directors
and 16/16 chief-pharmacists gave permission for the study. In total, 244 (11%)
physicians answered survey A, and 115 (74%) pharmacists answered survey B. Nearly
all participants agreed that pharmacists should take part in both medical student
and physician postgraduate training. Most physicians answered wanting additional
training and pharmacists are unaware of this need. Only two thirds of physicians
reported having acquired knowledge about drugs thanks to pharmacists; document
diffusion and direct answers to their questions having contributed the most to
their training. Participants agreed that physician training by pharmacists needs
a clearer delineation regarding the type of training, its aim, its targeted
public, when and what pharmaceutical benefits are used. Physicians' priority
training topics are high-risk drugs, novelties and areas in which they consider
their basic training was insufficient. Methods preferred for training are: case
studies; exercises with individual corrections; and group work. CONCLUSION:
Improved resources and planning are needed to meet physicians' expectations.
Training is often carried out implicitly, through activities with various other
aims, and needs to be better defined and formalized collaboratively.
PMID- 29801714
TI - Deep Dyspareunia and Sexual Quality of Life in Women With Endometriosis.
AB - INTRODUCTION: Deep dyspareunia occurs in half of women with endometriosis, a
condition present in 10% of reproductive-age women and associated with negative
effects on sexual quality of life (SQoL). However, women with endometriosis can
have other clinical factors (eg, superficial dyspareunia, other pelvic pains, and
psychological or pain conditions) possibly affecting SQoL. AIMS: To determine
whether deep dyspareunia is associated with SQoL in women with endometriosis,
independent of potential confounders. METHODS: This study involved a prospective
patient registry of women at a tertiary-level referral center for endometriosis
and pelvic pain. Inclusion criteria were (i) referrals to the center recruited
into the registry from January 2014 through December 2016 and (ii) subsequent
surgery at the center with histologic confirmation of endometriosis. Exclusion
criteria included menopausal status, age at least 50 years, never sexually
active, or did not answer dyspareunia or SQoL questions. Bi-variable tests and
multiple linear regression analysis were performed. MAIN OUTCOME MEASURES: SQoL
measured by the 5-item sexual intercourse subscale of the Endometriosis Health
Profile-30 (EHP-30) modular questionnaire (0-100%, with higher scores indicating
worse SQoL). RESULTS: Consent rate for the prospective registry was 87%; 277
women met the study criteria (mean age = 34.2 +/- 7.1 years). Most women had
stage I to II endometriosis at time of surgery (64%), with the remaining having
stage III to IV endometriosis. Through regression analysis, worse SQoL (higher
EHP-30 sexual intercourse subscale score) was independently associated with: more
severe deep dyspareunia (P < .0001), more severe superficial dyspareunia (P <
.0001), increased depression (P < .001), higher pain catastrophizing (P = .04),
bladder pain syndrome (P = .02), heterosexual orientation (P < .001), and new
referral status (P = .02). CONCLUSION: In women with endometriosis at a tertiary
referral center, more severe deep dyspareunia was associated with worse SQoL,
independent of superficial dyspareunia, psychological comorbidities, and other
potential confounders. Shum LK, Bedaiwy MA, Allaire C, et al. Deep Dyspareunia
and Sexual Quality of Life in Women With Endometriosis. Sex Med 2018;6:224-233.
PMID- 29801716
TI - [IPADAM quali study. Intervention of the Pharmacist in the Issue of Self
medication: Experience of pharmacy team focus groups and patients' perceptions].
AB - OBJECTIVES: This study had two main aims: (i) document the experience of
community pharmacists receiving a spontaneous request for ibuprofen and oral
pseudoephedrine, and their use of pharmaceutical records, and (ii) explore
patients' perceptions of pharmaceutical records and pharmaceutical interventions.
METHODS: The study was conducted over two weeks between February and April 2014
in 482 community pharmacies and 8 French faculties of pharmacy. It was based on
data collected by pharmacy team focus groups during patient telephone interviews
using standardized question grids. Textual and thematic analyses were made of the
patient responses. RESULTS: Four pharmacy team focus groups carried out 49
telephone interviews. Examination of the practice of the groups showed that
pharmaceutical interventions, although incompletely registered, are performed on
a daily basis and enhance the value of the pharmacist's function. Analysis of the
telephone interviews also showed the importance of the advisory role of the
pharmacist in dispensing an optional medical prescription. The thematic analysis
of the results identified a positive response of patients to pharmaceutical
interventions if made by their regular pharmacist and accompanied by explanatory
information. The focus groups and patients agreed that pharmaceutical records
were not consulted often enough. CONCLUSION: This study underlines the need for
greater safety in the use of optional medical prescription drugs. Promoting
responsible self-medication in compliance with proper use should include
systematic reference to a PR and informed dialogue with the patient.
PMID- 29801717
TI - Anti-inflammatory effects of luteolin: A review of in vitro, in vivo, and in
silico studies.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Luteolin (3', 4', 5,7-tetrahydroxyflavone) has
been identified as commonly present in plants. Plants with a high luteolin
content have been used ethnopharmacologically to treat inflammation-related
symptoms. Both isolated luteolin and extracts from luteolin-rich plants have been
studied using various models and exhibited anti-inflammatory activity. AIM OF THE
REVIEW: This paper uses recent research findings with a broad range of study
models to describe the anti-inflammatory activity of luteolin, particularly its
mechanisms at the molecular level; provide guidance for future research; and
evaluate the feasibility of developing luteolin into an anti-inflammatory drug.
MATERIALS AND METHODS: We summarize reports about the anti-inflammatory activity
of luteolin published since 2009, which we found in MEDLINE/PubMed, Scopus, Web
of Knowledge, and Google Scholar. To acquire broad information, we extended our
search to online FDA documents. RESULTS: Luteolin is a flavonoid commonly found
in medicinal plants and has strong anti-inflammatory activity in vitro and in
vivo. Some of its derivatives, such as luteolin-7-O-glucoside, have also shown
anti-inflammatory activity. The action mechanism of luteolin varies, but Src in
the nuclear factor (NF)-kappaB pathway, MAPK in the activator protein (AP)- 1
pathway, and SOCS3 in the signal transducer and activator of transcription 3
(STAT3) pathway are its major target transcription factors. A clinical trial with
a formulation containing luteolin showed excellent therapeutic effect against
inflammation-associated diseases. CONCLUSION: In silico, in vitro, in vivo, and
clinical studies strongly suggest that the major pharmacological mechanism of
luteolin is its anti-inflammatory activity, which derives from its regulation of
transcription factors such as STAT3, NF-kappaB, and AP-1. Much work remains to
ensure the safety, quality, and efficacy of luteolin before it can be used to
treat inflammation-related diseases in humans.
PMID- 29801718
TI - Effect of long-term electrodialytic soil remediation on Pb removal and soil
weathering.
AB - Weathering of soil minerals during long-term electrochemical soil remediation was
evaluated for two different soils: an industrially Pb contaminated soil with high
carbonate content and an unpolluted soil with low carbonate content. A constant
current of 5 mA was applied for 842 days, and sampling was made 22 times during
the treatment. The overall qualitative mineral composition was unaffected by
electrodialysis, except for calcite removal which was complete. However,
dissolution and removal of Al, Fe, Si, Mg, Ca and Pb from the soil during the
treatment exceeded the fraction extractable by digestion in 7 M HNO3, and
provided evidence of enhanced mineral dissolution induced by the current.
Nevertheless, the total dissolved Si and Al only constituted 0.2-0.3% and 1.1
3.5% of the total content, while the Pb overall removal from the contaminated
soil was only 8.1%. An observed reduction in the dry matter of 4.5% and 13.5%
from the two soils, respectively was mainly due to dissolution of CaCO3 and
organic matter, but also included a minor dissolution of other soil minerals.
PMID- 29801719
TI - Management of locally recurrent rectal cancer.
PMID- 29801720
TI - Unmet needs of men with breast cancer.
AB - Because of the rarity of male breast cancer (MBC) many men are unaware that the
disease exists. This leads both to delay in presentation and severe distress
after diagnosis concerning loss of masculinity and fear about the future. The
informational and emotional support needs of men with breast cancer are often not
met and many will have undiagnosed and untreated psychological morbidity. There
is a pressing need for collaboration and the setting up national networks to
improve both the treatment and quality of life of men with breast cancer.
PMID- 29801722
TI - Respiratory motion of lymph node stations in pancreatic cancer: Analyses using
contrast-enhanced four-dimensional computed tomography.
AB - BACKGROUND AND PURPOSE: Data regarding respiratory motion of lymph node (LN)
stations in pancreatic cancer is limited. Therefore, we assessed their
respiratory motion using contrast-enhanced four-dimensional-computed tomography
(CE-4DCT). MATERIAL AND METHODS: We evaluated respiratory motion in 18 pancreatic
cancer patients. We selected LN stations around major arteries which were visible
on CE-4DCT images. This included the common hepatic, celiac, splenic, and
superior mesenteric stations. Two radiation oncologists individually delineated
the gross tumor volume (GTV) and the LN stations as observers 1 and 2. RESULTS:
The respiratory motion of the celiac (median, 3.9 mm each for both observers) and
superior mesenteric (median, 4.5 and 5.0 mm for observers 1 and 2, respectively)
stations in the craniocaudal (CC) directions was significantly smaller than that
of the GTV (median, 8.9 and 7.8 mm for observers 1 and 2, respectively). The
respiratory motion of the common hepatic station (median, 3.8 and 3.6 mm for
observers 1 and 2, respectively) in the anterior-posterior (AP) direction was
significantly larger than that of the GTV (median, 2.8 and 2.2 mm for observers 1
and 2, respectively). CONCLUSIONS: We observed significant differences in
respiratory motion between the GTV and the LN stations in pancreatic cancer.
PMID- 29801721
TI - Can dose outside the PTV influence the risk of distant metastases in stage I lung
cancer patients treated with stereotactic body radiotherapy (SBRT)?
AB - BACKGROUND AND PURPOSE: In an era where little is known about the "abscopal" (out
of-the-field) effects of lung SBRT, we investigated correlations between the
radiation dose proximally outside the PTV and the risk of cancer recurrence after
SBRT in patients with primary stage I non-small cell lung cancer (NSCLC).
MATERIALS AND METHODS: This study included 217 stage I NSCLC patients across 2
institutions who received SBRT. Correlations between clinical and dosimetric
factors were investigated. The clinical factors considered were distant
metastasis (DM), loco-regional control (LRC) and radiation pneumonitis (RP). The
dose (converted to EQD2) delivered to regions of varying size directly outside of
the PTV was computed. For each feature, area under the curve (AUC) and odds
ratios with respect to the outcome parameters DM, LRC and RP were estimated;
Kaplan-Meier (KM) analysis was also performed. RESULTS: Thirty-seven (17%)
patients developed DM after a median follow-up of 24 months. It was found that
the mean dose delivered to a shell-shaped region of thickness 30 mm outside the
PTV had an AUC of 0.82. Two years after treatment completion, the rate of DM in
patients where the mean dose delivered to this region was higher than 20.8 Gy2
was 5% compared to 60% in those who received a dose lower than 20.8 Gy2. KM
analysis resulted in a hazard ratio of 24.2 (95% CI: 10.7, 54.4); p < 10-5. No
correlations were found between any factor and either LRC or RP. CONCLUSIONS: The
results of this study suggest that the dose received by the region close to the
PTV has a significant impact on the risk of distant metastases in stage I NSCLC
patients treated with SBRT. If these results are independently confirmed, caution
should be taken, particularly when a treatment plan results in a steep dose
gradient extending outwards from the PTV.
PMID- 29801723
TI - Outcomes of adjuvant treatments for resectable intrahepatic cholangiocarcinoma:
Chemotherapy alone, sequential chemoradiotherapy, or concurrent
chemoradiotherapy.
AB - BACKGROUND: Prospective randomized trials have not been used to evaluate the
efficacy of adjuvant therapies after intrahepatic cholangiocarcinoma (ICC)
resection. METHODS: We analyzed data from the Taiwan Cancer Registry database of
ICC patients receiving resection. To compare outcomes, patients with ICC were
enrolled and categorized into the following adjuvant treatment modality groups:
group 1, concurrent chemoradiotherapy (CCRT); group 2, sequential chemotherapy
(CT) and radiotherapy (RT); and group 3, CT alone. RESULTS: We enrolled 599
patients with resectable ICC who received surgery without distant metastasis. Of
these patients, 174 received adjuvant CCRT (group 1), 146 received adjuvant
sequential CT and RT (group 2), and 279 received adjuvant CT alone (group 3).
Multivariate Cox regression analysis indicated that pathologic stage and positive
margin were significantly poor independent predictors. After adjustment for
confounders, adjusted hazard ratios (95% confidence intervals) for overall
mortality at advanced pathologic stages III and IV were 0.55 (0.41-0.74) and 0.92
(0.70-1.33) in groups 1 and 2, respectively, compared with group 3. CONCLUSIONS:
Adjuvant CCRT improved survival in resected ICC with advanced pathologic stages
or a positive margin in early pathologic stages compared with adjuvant CT alone
or adjuvant sequential CT and RT.
PMID- 29801724
TI - Continuous Erector Spinae Block for Postoperative Analgesia After Thoracotomy in
a Lung Transplant Recipient.
PMID- 29801725
TI - Reliability of Cardiac Output Measurements Using LiDCOrapid and Calibration by
Transesophageal Echocardiography With the Continuous Pulmonary Artery
Thermodilution Method in Patients Undergoing Aortic Valve Replacement for Aortic
Stenosis.
AB - OBJECTIVE: This study investigated the accuracy of arterial waveform analysis
estimations of cardiac output (COAW) and the efficacy of calibrations involving
transesophageal echocardiography with continuous cardiac output values obtained
using a pulmonary artery catheter. DESIGN: Prospective cohort study. SETTING:
University hospital operating room. PARTICIPANTS: Twelve patients undergoing
aortic valve replacement for aortic stenosis. INTERVENTIONS: A pulmonary artery
catheter was placed in each patient, and continuous cardiac output was determined
using thermodilution principles. LiDCOrapid and transesophageal echocardiography
were used to measure COAW and to perform the calibration, respectively.
MEASUREMENTS AND MAIN RESULTS: Simultaneous recording of continuous cardiac
output and COAW values were performed every 20 minutes, after inducing
anesthesia. COAW was calibrated using transesophageal echocardiography (COAW-cal)
before and after initiating cardiopulmonary bypass (CPB); the COAW and COAW-cal
were recorded concurrently using a LiDCOrapid monitor. For the pre-CPB dataset
(34 data pairs), the mean bias and percentage error were, respectively, 0.10
L/min and 34% for COAW versus continuous cardiac output and -0.098 L/min and 27%
for COAW-cal versus continuous cardiac output. Similarly, for the post-CPB (45
data pairs), the mean bias and percentage error were, respectively, 0.75 L/min
and 34% for COAW and 0.059 L/min and 26% for COAW-cal. A 4-quadrant plot
demonstrated an acceptable pre-CPB concordance rate of 93.3% for COAW and 93.8%
for COAW-cal. CONCLUSION: COAW measurements, using LiDCOrapid, have acceptable
trending ability pre-CPB. The determination of cardiac output variations, using
transesophageal echocardiography, is useful for managing patients undergoing
aortic valve replacement for aortic stenosis.
PMID- 29801726
TI - Factors Associated With Sleep Quality in HIV.
AB - The purpose of our research was to (a) identify sleep quality complications
experienced by patients living with HIV infection and treated with antiretroviral
therapy (ART), and (b) explore factors associated with sleep quality
complications. From March to August in 2013, a cross-sectional study was
conducted at HIV-designated hospitals in Harbin of Heilongjiang Province, China.
Participants completed a questionnaire using the Spiegel scale to assess sleep
quality; 32.1% of patients were negatively affected by sleep disturbances.
Nighttime dreams and waking up were the most serious complications. We found that
anxiety was the most prominent factor contributing to poor sleep quality,
followed by ART medication types and family and social support. Patients with HIV
infection and receiving ART experienced many different types of sleep
complications. More attention should be given to the development of treatment
guidelines for proper management of sleep disturbances experienced by patients
with HIV.
PMID- 29801727
TI - Complex bile duct injury: When to repair.
PMID- 29801728
TI - Hepatectomy versus stereotactic body radiotherapy for primary early
hepatocellular carcinoma: A propensity-matched analysis in a single institution.
AB - OBJECTIVE: To evaluate the efficacy of both surgical resection and stereotactic
body radiotherapy for small hepatocellular carcinoma. BACKGROUND: Although the
number of stereotactic body radiotherapies being performed for hepatocellular
carcinoma has gradually increased, data comparing surgical resection and
stereotactic body radiotherapy are limited. METHODS: Between 2008 and 2015, a
total of 281 patients with 1 to 3 small hepatocellular carcinoma tumors (<=3 cm
in diameter), treated initially with curative intent (surgical resection, 254;
stereotactic body radiotherapy, 27), were retrospectively analyzed. Overall
survival and disease-free survival were compared in a propensity score matching
analysis. RESULTS: Patients in the surgical resection group tended to be younger,
had more tumors, and had better hepatic function than those in the stereotactic
body radiotherapy group (P < .05). The percent recurrence of the same sub-segment
in the stereotactic body radiotherapy group was significantly higher than that in
the surgical resection group (P = .0034). Propensity score analysis revealed that
54 patients with surgical resection and 27 with stereotactic body radiotherapy
had the same baseline characteristics. The 5-year overall survival and disease
free survival rates for the surgical resection and stereotactic body radiotherapy
groups were 75.2% vs 47.8% (P = .0149) and 33.8% vs 16.4% (P = .0512),
respectively. Multivariate analysis showed that surgical resection was a
significant favorable factor for overall survival and disease-free survival of
patients with one to three small hepatocellular carcinomas. CONCLUSION: Surgical
resection provided better long-term overall survival and disease-free survival in
patients with small hepatocellular carcinoma tumors. However, stereotactic body
radiotherapy may be an effective alternative treatment for inoperable patients
with early hepatocellular carcinoma.
PMID- 29801729
TI - A Cost-Effectiveness Analysis of a Pediatric Operating Room in Uganda.
AB - : This study examines the cost-effectiveness of constructing a dedicated
pediatric operating room (OR) in Uganda, a country where access to surgical care
is limited to 4 pediatric surgeons serving a population of over 20 million
children under 15 years of age. METHODS: A simulation model using a decision tree
template was developed to project the cost and disability-adjusted life-years
saved by a pediatric OR in a low-income setting. Parameters are informed by
patient outcomes of the surgical procedures performed. Costs of the OR equipment
and a literature review were used to calculate the incremental cost-effectiveness
ratio of a pediatric OR. One-way and probabilistic sensitivity analysis were
performed to assess parameter uncertainty. Economic monetary benefit was
calculated using the value of a statistical life approach. RESULTS: A pediatric
OR averted a total of 6,447 disability-adjusted life-years /year (95% uncertainty
interval 6,288-6,606) and cost $41,182/year (UI 40,539-41,825) in terms of OR
installation. The pediatric operating room had an incremental cost-effectiveness
ratio of $6.39 per disability-adjusted life-year averted (95% uncertainty
interval of 6.19-6.59), or $397.95 (95% uncertainty interval of 385.41-410.67)
per life saved based on the country's average life expectancy in 2015. These
values were well within the WHO guidelines of cost-effectiveness threshold. The
net economic benefit amounted to $5,336,920 for a year of operation, or $16,371
per patient. The model remained robust with one-way and probabilistic sensitivity
analyses. CONCLUSION: The construction of a pediatric operating room in Uganda is
a cost-effective and worthwhile investment, endorsing future decisions to enhance
pediatric surgical capacity in the resource-limited settings of Sub-Saharan
Africa.
PMID- 29801730
TI - Comparison of the 7th and 8th editions of the American Joint Committee on Cancer
Staging Systems for perihilar cholangiocarcinoma.
AB - BACKGROUND: The performances of the American Joint Committee on Cancer staging
systems of the 7th and 8th edition were compared using a cohort of patients
undergoing surgery for perihilar cholangiocarcinoma at 2 tertiary referral
Italian hepatobiliary centers. METHODS: The American Joint Committee on Cancer
7th and 8th edition staging systems were used to classify 214 patients who
underwent surgery for perihilar cholangiocarcinoma. The performances of the 2
staging systems were compared using the concordance index. RESULTS: Using the
American Joint Committee on Cancer 7th edition staging system, we found that the
5-year overall survival for stages I, II, and IVa was 71%, 34%, and 34%, while no
patients in stages IIIa, IIIb, and IVb survived 5 years. In comparison, when the
American Joint Committee on Cancer 8th edition staging system was used, the 5
year overall survival was 71% and 35% in stages I and II, resulting in 23%, 19%,
and 22% in stages IIIa, IIIb, and IIIc, respectively. Of note, no patients in
stages IVa and IVb survived 5 years. The American Joint Committee on Cancer 8th
edition staging system had a slightly better discriminatory ability with a
concordance index of 0.624 compared with 0.619 for the American Joint Committee
on Cancer 7th edition. CONCLUSION: The newly released classification American
Joint Committee on Cancer 8th edition staging system demonstrated a poor to
moderate ability to predict prognosis of patients undergoing liver resection for
perihilar cholangiocarcinoma, which was only slightly better than the previous
edition. Further refinements are needed to improve the prognostic ability of the
American Joint Committee on Cancer staging system for perihilar
cholangiocarcinoma.
PMID- 29801731
TI - When good operations go bad: The additive effect of comorbidity and postoperative
complications on readmission after pulmonary lobectomy.
AB - BACKGROUND: Hospital readmission after major thoracic surgery has a marked effect
on health care delivery, particularly in the era of value-based reimbursement. We
sought to investigate the additive impact of comorbidity and postoperative
complications on the risk of readmission after thoracic lobectomy. METHODS: We
queried the Nationwide Readmission Database of the Healthcare Cost and
Utilization Project between 2010 and 2014 for discharges after pulmonary
lobectomy with a primary diagnosis of lung cancer. We compared 90-day all-cause
readmission rates across the presence of Elixhauser comorbidities and
postoperative complications. Adjusted logistic and linear regression, accounting
for patient and hospital factors were used to calculate the mean change in
readmission rate by the number of comorbidities and postoperative complications.
RESULTS: A total of 87,894 patients undergoing pulmonary lobectomies were
identified during the study period, of whom 15,858 (18.0%) were readmitted for
any cause within 90 days of discharge. After adjusting for other factors, each
additional comorbidity and postoperative complication were associated with a 2.0%
and 2.7% increased probability of readmission, respectively (both P < .0001).
Patients with a low burden of low comorbidities were readmitted more frequently
for postoperative complications, while those with a high burden of comorbidities
were readmitted more frequently for chronic disease. CONCLUSION: Among patients
with the lowest risk profile, there was an 11.7% readmission rate. Adjusting for
other factors, each additional comorbidity and complication increased this rate
by approximately 2.0% and 2.7%, respectively. These results demonstrate that the
avoidance of postoperative complications may represent an effective mechanism for
decreasing readmissions after thoracic surgery.
PMID- 29801733
TI - Management outcomes of diverticulitis and colitis in patients with active cancer.
AB - BACKGROUND: Little is reported in the literature on management strategies and
outcomes in patients with an active cancer diagnosis who undergo emergent general
surgery. The purpose of this study is to evaluate preoperative risk factors in
both operative and non-operative management, as well as to describe the outcomes
of colonic emergencies within a cancer patient population. METHODS: A single
institution cancer database was reviewed retrospectively to identify patients
with an active cancer diagnosis who had an emergency general surgery consult
placed for an acute colonic pathology. RESULTS: A total of 87 patients were
included. Among these, 38 patients underwent operative and 49 underwent
nonoperative management. There was a 71% rate of postoperative complications in
the operative group; these patients were also more likely to require intensive
care unit admission (P < .001), die during their hospitalization (P = .003), have
a greater 30-day mortality (P = .001) and were less likely to be discharged to
home (P < .001). No patients in the nonoperative group required admission to the
intensive care unit, 3 of the 49 (6%) died during their hospitalization, and 75%
of nonoperative patients were discharged to home. CONCLUSION: When clinically
appropriate, patients with active cancer who present with an acute colonic
emergency can undergo nonoperative management safely. In contrast, patients
undergoing operative management have a substantial risk of morbidity and
mortality.
PMID- 29801734
TI - Drip-and-Ship vs Watchful Waiting: What Should Be the Optimal Approach After
Fibrinolytic Therapy for Myocardial Infarction With ST-Segment Elevation?
PMID- 29801732
TI - Commercial quality "awards" are not a strong indicator of quality surgical care.
AB - BACKGROUND: This study aimed to determine whether publicized hospital rankings
can be used to predict surgical outcomes. METHODS: Patients undergoing one of
nine surgical procedures were identified, using the Healthcare Cost and
Utilization Project State Inpatient Database for Florida and New York 2011-2013
and merged with hospital data from the American Hospital Association Annual
Survey. Nine quality designations were analyzed as possible predictors of
inpatient mortality and postoperative complications, using logistic regression,
decision trees, and support vector machines. RESULTS: We identified 229,657
patients within 177 hospitals. Decision trees were the highest performing machine
learning algorithm for predicting inpatient mortality and postoperative
complications (accuracy 0.83, P<.001). The top 3 variables associated with low
surgical mortality (relative impact) were Hospital Compare (42), total procedure
volume (16) and, Joint Commission (12). When analyzed separately for each
individual procedure, hospital quality awards were not predictors of
postoperative complications for 7 of the 9 studied procedures. However, when
grouping together procedures with a volume-outcome relationship, hospital ranking
becomes a significant predictor of postoperative complications. CONCLUSION:
Hospital quality rankings are not a reliable indicator of quality for all
surgical procedures. Hospital and provider quality must be evaluated with an
emphasis on creating consistent, reliable, and accurate measures of quality that
translate to improved patient outcomes.
PMID- 29801735
TI - Quantification of Flow by 3D Color Flow Doppler:: From Pretty Pictures to
Priceless Physiology.
PMID- 29801736
TI - Accounting for Selection Bias in Studies of Acute Cardiac Events.
AB - BACKGROUND: In cardiovascular research, pre-hospital mortality represents an
important potential source of selection bias. Inverse probability of censoring
weights are a method to account for this source of bias. The objective of this
article is to examine and correct for the influence of selection bias due to pre
hospital mortality on the relationship between cardiovascular risk factors and
all-cause mortality after an acute cardiac event. METHODS: The relationship
between the number of cardiovascular disease (CVD) risk factors (0-5; smoking
status, diabetes, hypertension, dyslipidemia, and obesity) and all-cause
mortality was examined using data from the Atherosclerosis Risk in Communities
(ARIC) study. To illustrate the magnitude of selection bias, estimates from an
unweighted generalized linear model with a log link and binomial distribution
were compared with estimates from an inverse probability of censoring weighted
model. RESULTS: In unweighted multivariable analyses the estimated risk ratio for
mortality ranged from 1.09 (95% confidence interval [CI], 0.98-1.21) for 1 CVD
risk factor to 1.95 (95% CI, 1.41-2.68) for 5 CVD risk factors. In the inverse
probability of censoring weights weighted analyses, the risk ratios ranged from
1.14 (95% CI, 0.94-1.39) to 4.23 (95% CI, 2.69-6.66). CONCLUSION: Estimates from
the inverse probability of censoring weighted model were substantially greater
than unweighted, adjusted estimates across all risk factor categories. This shows
the magnitude of selection bias due to pre-hospital mortality and effect on
estimates of the effect of CVD risk factors on mortality. Moreover, the results
highlight the utility of using this method to address a common form of bias in
cardiovascular research.
PMID- 29801737
TI - Subclinical Inflammation in Heart Failure: A Neutrophil Perspective.
AB - Although it is widely recognized that inflammation plays a critical role in the
development and pathology of heart failure (HF), very little is known about the
involvement of one of the most abundant immune cells in the blood, a primary
immune response cell: the neutrophil. This review summarizes the current
literature on the role of subclinical inflammation, with a focus on the
neutrophil in the pathophysiology of the HF syndrome. Some emerging therapeutic
strategies are also discussed.
PMID- 29801738
TI - Automated 3-Dimensional Single-Beat Real-Time Volume Colour Flow Doppler
Echocardiography in Children: A Validation Study of Right and Left Heart Flows.
AB - BACKGROUND: Novel quantification of stroke volume according to mitral inflow and
aortic outflow using automated real-time 3-dimensional volume colour flow Doppler
echocardiography (3D-RT-VCFDE) is more accurate than 2-dimensional
echocardiography and has excellent correlation with cardiac magnetic resonance
imaging-based flows in adults. This technology is applied for the first time to
the right heart and in children. METHODS: 3D-RT-VCFDE was performed in 61 image
sets of flow through the aortic (AV), mitral (MV), pulmonary (PV), and tricuspid
(TV) valves of 34 children. These were compared with stroke volumes of the right
(RV) and left (LV) ventricles and ratio of pulmonary to systemic blood flow
determined using the Fick method in 31 children with atrial shunts. RESULTS: The
mean age was 8.0 +/- 3.3 years, and the mean weight was 27.8 +/- 10.0 kg. The
mean temporal resolution for flow analyses was >= 22 volumes per second. In
conditions with no shunt, the correlations were: AV with MV flows (r = 0.98), PV
with TV flows (r = 0.96), RV stroke volume with PV flow (r = 0.95), and with TV
flow (r = 0.93), LV stroke volume with AV flow (r = 0.87), and with MV flow (r =
0.89). Fick ratio of pulmonary to systemic blood flow correlations were: PV/AV
ratio (r = 0.84), TV/MV ratio (r = 0.87), and RV/LV ratio (r = 0.70).
CONCLUSIONS: Stroke volume determined using automated 3D-RT-VCFDE is feasible in
children and in the right side of the heart. This technique potentially provides
a noninvasive alternative to historically invasively acquired hemodynamic data
and to cardiac magnetic resonance imaging.
PMID- 29801739
TI - Long-term Follow-up of the Trial of Routine Angioplasty and Stenting After
Fibrinolysis to Enhance Reperfusion in Acute Myocardial Infarction (TRANSFER
AMI).
AB - BACKGROUND: The Trial of Routine Angioplasty and Stenting after Fibrinolysis to
Enhance Reperfusion in Acute Myocardial Infarction (TRANSFER-AMI) demonstrated
superiority of routine early coronary angiography (and percutaneous coronary
intervention [PCI]) compared with standard therapy in fibrinolytic-treated
patients with ST-segment elevation myocardial infarction (STEMI) at 30 days. The
aim of the current study was to evaluate the long-term (>7 year) effects of an
early invasive strategy. METHODS: We linked the study cohort and administrative
datasets to assess long-term follow-up status including repeat procedures,
hospitalizations, and major adverse cardiovascular events (MACE). Kaplan-Meier
and Cox regression analysis were used to evaluate the relationship between
randomized treatment and long-term adverse outcomes. RESULTS: A total of 881
patients had long-term follow-up and were included in our study. After a mean
follow-up of 7.8 years, there were no significant differences in death,
myocardial infarction (MI), unstable angina, stroke, transient ischemic attack
(TIA), or heart failure admissions (hazard ratio [HR] 0.91; 95% confidence
interval [CI] 0.73-1.13]; P = 0.41) between those randomized to an early invasive
vs standard treatment strategy. Following the index hospitalization, there were
no significant difference in the rates of coronary revascularization between the
early invasive and the standard therapy groups (81 [19.3%] vs 76 [17.9%]; P =
0.61). CONCLUSIONS: Despite the short-term benefit and safety of an early
invasive strategy in patients with STEMI receiving fibrinolysis, no statistically
significant differences in MACE were observed over 7.8 years.
PMID- 29801740
TI - Longer Inflation Duration and Predilation-Sizing-Postdilation Improve
Bioresorbable Scaffold Outcomes in a Long-term All-Comers Canadian Registry.
AB - BACKGROUND: Real-world long-term safety and efficacy of the ABSORB (Abbott
Vascular, Santa Clara, CA) bioresorbable vascular scaffold has not been well
characterized in the literature, particularly in the setting of acute coronary
syndromes (ACS). Herein, we report outcomes up to 4 years in such a high-risk
cohort, with identification of parameters associated with better outcomes.
METHODS: ReABSORB is a Canadian dual-centre, prospective, nonrandomized, all
comers registry consisting of 125 consecutively enrolled nontrial patients
between October 2012 and December 2016. Angiographic and clinical follow-up is
now available up to 4 years. RESULTS: Average age was 59 +/- 11 years and 69%
were male. Most (70.4%) presented with ACS and the median available follow-up was
1330 days (interquartile range, 1035-1483). Treated lesions (n = 163) were type A
in 23%, type B (1 or 2) in 64%, and type C in 13%. Procedural success and device
success were 98.2% and 98.8%, respectively. Using Kaplan-Meier methods, major
adverse cardiac event-free survival (EFS) up to 4 years of clinical follow-up was
90.7% overall. However, use of a predilation-sizing-postdilation (PSP) technique
was associated with an EFS rate of 95.8% vs 74.0% without PSP (P = 0.001). No
significant differences in major adverse cardiac EFS rates were found between
patients with or without ACS (92.7% vs 86.0%, respectively, P = 0.239). Use of
PSP as well as inflation time >= 60 seconds were independent predictors of EFS at
2 years. CONCLUSIONS: In this prospective, real-world registry with mostly ACS
patients, use of the recommended PSP implantation technique and longer inflations
times were associated with significantly higher EFS.
PMID- 29801741
TI - Feasibility and Outcomes in a Pilot Randomized Controlled Trial of a Psychosocial
Intervention for Adults With Congenital Heart Disease.
AB - BACKGROUND: North American adults with congenital heart disease (CHD) are known
to be at elevated risk of mood and anxiety disorders. This was the first trial of
a group psychosocial intervention targeting this patient population. METHODS:
Within this feasibility study, we conducted a 2-arm pilot randomized controlled
trial (RCT) in which patients were randomized to Usual Care or an 8-session group
psychosocial intervention (Adult Congenital Heart Disease-Coping and Resilience
[ACHD-CARE]). Here, we report feasibility outcomes in accordance with published
recommendations: (1) process, (2) resources, (3) management, (4) acceptability of
the intervention, and (5) scientific outcomes (for which the primary outcome
measures were anxiety and depression symptoms). RESULTS: Forty-two patients were
randomized in the pilot RCT. The study was executable within a realistic timeline
and revealed no significant human and data-management problems. The intervention
was determined to be acceptable and highly valued by participants who
participated in the ACHD-CARE program. The main challenges were practical
barriers (eg, transportation, scheduling group sessions in-person given competing
schedules) and retention. With regard to scientific outcomes, there were no
adverse outcomes, and treatment fidelity was confirmed. Although not powered to
test efficacy, there was a medium effect size (in favour of the intervention
group) for depression symptoms. CONCLUSIONS: We determined it would be feasible
to conduct a full-scale trial of a psychosocial intervention targeting adults
with CHD, although with modifications to address practical barriers to
participation. Should this intervention prove effective, a manualized
intervention could be made be available.
PMID- 29801742
TI - Elevated Incidence of Atrial Fibrillation and Stroke in Patients With Atrial
Flutter-A Population-Based Study.
AB - BACKGROUND: The risk of stroke from atrial flutter and its relationship with
progression to atrial fibrillation (AF) is unclear. This study describes the
incidence of AF and stroke in patients with atrial flutter, and whether atrial
flutter ablation attenuates the incidence of AF and stroke. METHODS: We performed
a population-based retrospective cohort study of adults with typical atrial
flutter with no AF history. Using linked health administrative databases we
defined 3 cohorts: (1) adult patients diagnosed with new isolated atrial flutter;
(2) a contemporary, 1-to-1 matched cohort from the Ontario population; and (3)
patients with isolated atrial flutter who underwent atrial flutter ablation.
RESULTS: A total of 9339 new typical atrial flutter patients were identified and
7248 were matched to general population subjects. Over the 3-year follow-up, AF
occurred in 40.4% of patients with atrial flutter, and 3.3% of the matched
general population (rate ratio, 12.2; P < 0.001). Stroke occurred in 4.1% of
patients with atrial flutter and 1.2% of the general population cohort (rate
ratio, 3.4; P < 0.001). Among 218 patients who had an atrial flutter ablation, AF
occurred in 47 (21.6%) over the following 3 years, and incidence of stroke was
between 0 and 2.3%. CONCLUSIONS: Patients with isolated atrial flutter develop AF
and stroke at a higher rate than the general population. Catheter ablation
reduces but does not eliminate future AF incidence and stroke risk and continued
anticoagulation after successful atrial flutter ablation might therefore be
warranted.
PMID- 29801743
TI - Prevalence and Clinical Correlates of Sleep-Disordered Breathing in Patients
Hospitalized With Acute Decompensated Heart Failure.
AB - BACKGROUND: Limited data are available regarding the presence of sleep-disordered
breathing (SDB) assessed using polysomnography in patients hospitalized with left
ventricular (LV) systolic dysfunction after acute decompensated heart failure
(ADHF). We investigated the prevalence and clinical correlates of SDB in patients
hospitalized with ADHF and LV systolic dysfunction. METHODS: Prospectively
collected data from 105 consecutive patients with an LV ejection fraction < 50%
who were hospitalized with ADHF from May 2012 to July 2014 were retrospectively
assessed. Polysomnography was performed during the initial hospitalization after
the initial improvement in ADHF acute signs and symptoms. The apnea-hypopnea
index (AHI), including obstructive or central AHI, was computed as a severity of
obstructive or central sleep apnea. Echocardiography and blood sampling for
various parameters, such as B-type natriuretic peptide level, were performed
systematically. RESULTS: The proportions of patients with an AHI >= 5 events per
hour and those with an AHI >= 15 events per hour were 93% and 69%, respectively,
and central sleep apnea was predominant (66% and 44%, respectively). In the
multivariate analysis, only body mass index (BMI) was independently correlated
with AHI, whereas age, BMI, and E/e' level were independently correlated with
obstructive AHI. In addition, use of loop diuretics and E/e' level were
independently correlated with central AHI. CONCLUSIONS: SDB determined using
polysomnography was common in hospitalized patients with ADHF and LV systolic
dysfunction. Age, BMI, and E/e' levels were significantly correlated with
obstructive sleep apnea severity, whereas E/e' levels and use of loop diuretics
were significantly correlated with central sleep apnea severity.
PMID- 29801744
TI - Factors Influencing Oral Anticoagulation Prescription for Patients Presenting to
Emergency Departments With Atrial Fibrillation and Flutter.
AB - Atrial fibrillation and atrial flutter (AF/AFL) are associated with an increased
risk of stroke and systemic embolism. However, many patients are not started on
guideline-recommended oral anticoagulation (OAC). We determined factors
associated with initiation of OAC in eligible patients presenting to emergency
departments. This retrospective cohort included patients with electrocardiogram
(ECG)-documented AF/AFL presenting to 4 urban emergency departments in 2015.
Presenting diagnoses, admission status, and comorbidities were determined by
chart review. The primary outcome was OAC prescription within 90 days of ED
presentation in guideline-eligible patients not previously on OAC. Of 4948
patients presenting to emergency departments with ECG-documented AF/AFL, we
identified 2059 patients with Congestive Heart failure, Age (>=65),Diabetes, and
Stroke (CHADS-65) score >=1 not previously on OAC. Of those patients, 1287
(62.5%) were admitted, and 772 (37.5%) were discharged from the emergency
department. Within 90 days of discharge, 663 (32.2%) patients were initiated on
OAC. On multivariable analysis, hospitalization (odds ratio [OR] 1.31; 95%
confidence interval [CI] 1.05-1.63, P = 0.02), presenting diagnosis of AF/AFL (OR
4.56, 95% CI 3.60-5.79, P < 0.01), and higher CHADS-65 score (OR 1.14 per point,
95% CI 1.04-1.25, P < 0.01) were associated with increased rates of OAC
initiation. However, there was no association with individual components of the
CHADS-65 score. Guideline-directed OAC is infrequently initiated in eligible
patients within 90 days of presenting to emergency departments. The strongest
factors associated with OAC initiation rates were hospitalization or having
primary presenting diagnoses in emergency departments of AF/AFL after adjusting
for other important characteristics. New interventions are required to improve
appropriate OAC initiation in patients with AF/AFL.
PMID- 29801746
TI - Erratum.
PMID- 29801745
TI - Canadian Registry of Implantable Electronic Device Outcomes: Surveillance of High
Voltage Leads.
AB - BACKGROUND: Cardiac implantable electrical devices (CIEDs) are subject to
advisories and complications that can result in morbidity and mortality for
patients; there is currently no system in Canada to track these. METHODS: This
was a multicenter, prospective cohort study conducted at 5 centers to determine
feasibility. Patients with a de novo high-voltage (HV) lead implantation were
included and followed for a minimum of 1 year. RESULTS: There were 611 leads
enrolled into the registry over 18 months. The mean age was 62.4 +/- 12.8 years;
144 (23.6%) women were enrolled. The indication for lead implantation was for
primary prevention in 65.5%. There were 497 (82.1%) de novo devices (single
chamber: 54.5%, dual chamber: 20.5%, cardiac resynchronization therapy [CRT]
25.0%); the remainder of the procedures was a system revision for either upgrade
(8.1%) or lead revision (9.8%). The lead revision rate at 1 year was 3.4%, with
the primary reason being lead dislodgements. Mortality rate was 3.8% at 1 year.
The rate of any device-related complication was 2.0% at 30 days, with the highest
rate in CRT implants (4.9%, P = 0.0105). At 1 year, the complication rate was
4.5%, with no significant difference among device types. CONCLUSIONS: This study
demonstrates that device surveillance is feasible and highlights (1) the need for
CIED surveillance to track device-related complications, (2) the scope of this
should be larger, and (3) mandatory participation should be considered. This
system could predict CIEDs that may be susceptible to higher than usual rates of
failure, mitigating adverse outcomes in patients.
PMID- 29801749
TI - Vascular Plugging for the Enlarging Pulmonary Arteriovenous Malformation in a
Patient With Idiopathic Pulmonary Artery Hypertension.
AB - The coexistence of idiopathic pulmonary artery hypertension with pulmonary
arteriovenous malformation (PAVM) is challenging because although the PAVM causes
hypoxia and polycythemia with potential thrombotic complications, closing the
PAVM increases pulmonary artery pressure. We report on a lady with PAVM and
idiopathic pulmonary artery hypertension who, within 2 years of diagnosis, had an
ischemic stroke, PAVM enlargement from 20 * 20 * 30 mm to 30x * 40 * 40 mm and
oximetry decrease to 90%. Transcatheter occlusion of PAVM with a vascular plug
was successful. A year later, she had no flow via the PAVM and systemic oximetry
improved to 97%, but pulmonary vascular resistance increased to 1.5-fold of
baseline.
PMID- 29801747
TI - Late-Onset Fulminant Myocarditis With Immune Checkpoint Inhibitor Nivolumab.
AB - A 60-year-old man was diagnosed with melanoma. After receiving 13 infusions of
nivolumab, he had fulminant myocarditis. The myocardial biopsy specimen revealed
extensive lymphocytic infiltration, interstitial edema, and myocardial necrosis,
with predominant CD4+, CD8+, CD20-, and programmed death-1- markers. Programmed
death-1 ligand 1 (PD-L1) was predominantly expressed on the surface of the
damaged myocardium. Although it is reported that myocarditis induced by the human
anti-programmed death-1 inhibitor nivolumab therapy rarely occurred at > 2 months
use in clinical trials, this case showed that even if at a late phase, long-term
use of immune checkpoint inhibitors might to lead immune-related adverse events
including myocarditis.
PMID- 29801748
TI - Hybrid Melody Valve Implantation in Mitral Position in a Child: Usefulness of a 3
Dimensional Printed Model for Preprocedural Planning.
AB - We present the case of a 4-month-old child with atrioventricular canal associated
with severe left atrioventricular valve dysfunction who previously underwent 3
surgical valve reconstructions without significant improvement. A Hybrid Melody
valve (Medtronic, Minneapolis, MN) insertion was planned. Because of the low
weight, the risk of left ventricular outflow tract obstruction was significant
and therefore evaluated preprocedurally using a cardiac computed tomography
derived 3-dimensional printed model. In vitro tests showed good anchorage of the
valve without subaortic obstruction and the procedure was then achieved with an
excellent clinical result.
PMID- 29801750
TI - Correlates of adjuvanticity: A review on adjuvants in licensed vaccines.
AB - After decades of slow progress, the last years have seen a rapid acceleration of
the development of adjuvanted vaccines which have lately been approved for human
use. These adjuvants consist of different components, e.g. aluminium salts,
emulsions such as MF59 and AS03, Toll-like receptor (TLR) agonists (CpG
ormonophosphoryl lipid A (MPL) adsorbed on aluminium salts as in AS04) or
combination of immunopotentiators (QS-21 and MPL in AS01). Despite their
distinctive features, most of these adjuvants share some key characteristics. For
example, they induce early activation (although at different levels) of innate
immunity which then translates into higher antibody and cellular responses to the
vaccine antigens. In addition, most of these adjuvants (e.g. MF59, AS03, AS04)
clearly induce a wider breadth of adaptive responses able to confer protection
against, for example, heterovariants of the influenza viruses (MF59, AS03) or
against human papillomavirus strains not contained in the vaccine (AS04).
Finally, the use of some of these adjuvants has contributed to significantly
enhance the immune response and the efficacy and effectiveness of vaccines in the
elderly who experience a waning of the immune responsiveness to infection and
vaccination, as shown for MF59- or AS03-adjuvanted influenza vaccines and AS01
adjuvanted herpes zoster vaccine. These results, together with the track record
of acceptable safety profiles of the adjuvanted vaccines, pave the way for the
development of novel vaccines at the extremes of age and against infections with
a high toll of morbidity and mortality. Here, we review the mechanisms associated
with the performance of those adjuvanted vaccines in animal models and in humans
through recent advances in systems vaccinology and biomarker discovery. We also
provide some perspectives on remaining knowledge gaps but also on opportunities
that could accelerate the development of new vaccines.
PMID- 29801751
TI - Female dystrophinopathy: Review of current literature.
AB - Skeletal muscle or cardiac symptoms are known to appear in a certain proportion
of female patients carrying the dystrophin gene mutation. There is limited high
quality evidence to guide the treatment of female carriers of Duchenne muscular
dystrophy/Becker muscular dystrophy (DMD/BMD). The available evidence is mainly
based on expert opinions and clinical experience. To improve this situation, we
reviewed 1002 reports published from 1967 to 2017 to assess the following themes:
epidemiology, clinical symptoms, cardiomyopathy, burdens on parents or
caregivers, pregnancy or delivery, and prognosis. We aimed to provide guidance
for the provision of support, care, and education for patients, caregivers, and
health care professionals. There were 271 reports before 1987, and 731 reports
after 1987 when dystrophin was first recognized. In this review, we mainly
selected 37 papers that were reported after 1987. In seven large research papers,
the incidence of skeletal muscle damage among female carriers, including
asymptomatic carriers, was reported as 2.5%-19%, and the incidence of dilated
cardiomyopathy was 7.3%-16.7% for DMD and 0%-13.3% for BMD. We integrated and
summarized the genetically definite manifesting carriers with skeletal muscle
symptoms from 10 case series. In combined data, among 93 manifesting carriers, 16
(17.2%) presented with cardiac abnormalities. The frequency of manifesting
carriers complicated by cardiomyopathy increased with age. Reports on cardiac
magnetic resonance in female carriers and the burden on caregivers are
increasing, whereas literatures concerning pregnancy, delivery, and prognosis in
female carriers are limited. This represents a future direction for research.
PMID- 29801752
TI - SPOP, ZEB-1 and E-cadherin expression in clear cell renal cell carcinoma (cc
RCC): Clinicopathological and prognostic significance.
AB - BACKGROUND: Clear cell renal cell carcinoma (cc-RCC), is a serious cancer
regarding; its fatality, liability for metastases and chemoresistance, so
identification of recent therapeutic targets to improve the patients prognosis is
needed. SPOP is a BTB/POZ domain containing speckle-type POZ protein, has been
identified as an E3 ubiquitin ligase component. ZEB1 is an essential epithelial
mesenchymal transition (EMT) activator; E-cadherin is a cell adhesion protein
that had been detected in normal epithelial cells membrane. AIM: Was to assess
the tissue protein markers SPOP, ZEB1 & E-cadherin expressions in benign areas of
neoplastic kidney specimens and in cc-RCC patients, then correlating their
expression levels with patients clinicopathological and prognostic data. METHODS:
We evaluated SPOP, ZEB-1 & E-cadherin expression using immunohistochemistry in
samples from 50 cc-RCC and 20 benign areas of neoplastic kidney specimens, then
we followed our patients for 5 years and finally we have analyzed correlations
between the levels of markers expressions with patients clinicopathological and
prognostic criteria in cc-RCC. RESULTS: Positive expression of SPOP & ZEB1 in
addition to negative E- cadherin expression was detected in cc-RCC more than
benign areas of neoplastic kidney specimens (p = 0.004 and p < 0.001
respectively). In cc-RCC Positive expression of SPOP, ZEB1 and negative E-
cadherin expression was associated with higher grade (p = 0.006, 0.007 & <0.001
respectively), advanced AJCC stage (p = 0.013, 0.023 & <0.001 respectively),
presence of L.N metastases (p = 0.002 = 0.010 and <0.001 respectively), distant
metastases (p = 0.001, 0.003 & 0.035 respectively), poor PFS and OS rates (p <
0.001 and p = 0.013 respectively). CONCLUSION: Positive expression of SPOP& ZEB1
in addition to negative E- cadherin are associated with poor prognosis in cc-RCC
patients.
PMID- 29801753
TI - B Lymphocytes in Rheumatoid Arthritis and the Effects of Anti-TNF-alpha Agents on
B Lymphocytes: A Review of the Literature.
AB - PURPOSE: The aim of this article was to review published research related to B
lymphocytes in rheumatoid arthritis, their role in the pathogenesis of the
disease, the effects of tumor necrosis factor (TNF)-alpha inhibitors on B
lymphocytes, the risk for infection, and responses to vaccines. METHODS: A PubMed
search was conducted to review recent advances related to B lymphocytes and the
effects of anti-TNF-alpha on B lymphocytes in rheumatoid arthritis. FINDINGS: B
lymphocytes play an important role in the pathogenesis of rheumatoid arthritis.
In this review, we summarize the major mechanisms by which B lymphocytes play a
pathologic role in the development and propagation of the disease, as B
lymphocytes are recruited to the synovial fluid, where they contribute to local
inflammation through the secretion of pro-inflammatory mediators (cytokines,
chemokines, micro-RNAs) and present antigens to T cells. We discuss the effects
of TNF-alpha, either direct or indirect, on B lymphocytes expressing receptors
for this cytokine. We also show that total B-cell numbers have been reported to
be reduced in the blood of patients with rheumatoid arthritis versus healthy
controls, but are significantly increased up to normal levels in patients
undergoing anti-TNF-alpha therapy. As for B-cell subsets, controversial results
have been reported, with studies showing decreased frequencies of total memory B
cells (and memory subsets) and others showing no differences in patients versus
healthy controls. Studies investigating the effects of anti-TNF-alpha therapy
have also given controversial results, with therapy found to increase (or not)
the frequency of memory B lymphocytes, in patients with rheumatoid arthritis
versus healthy controls. Those highly variable results could have been due to
differences in patient characteristics and limited numbers of subjects. Finally,
we summarize the effects of blocking TNF-alpha with anti-TNF-alpha agents on
possible infections that patients with rheumatoid arthritis may contract, as well
as on responses to vaccination. IMPLICATIONS: B lymphocytes play a significant
role in the pathogenesis of rheumatoid arthritis, and B cell-depletion therapy
has a major effect on the course of the disease. The advances in treatment of
rheumatoid arthritis include the development of targeted therapies. Anti-TNF
alpha therapies are widely used despite potentially serious adverse events. The
data on the effects of anti-TNF-alpha therapies on B lymphocytes are limited and
conflicting. There is a need for larger studies to better understand the effects
of newly discovered therapies on the different cells of the immune system.
PMID- 29801755
TI - Can tackle height influence head injury assessment risk in elite rugby union?
AB - OBJECTIVES: Tackle height laws are an area of controversy in rugby union. It is
reported that the tackler is at most risk of a Head Injury Assessment (HIA).
Therefore, the aim of this study was to use match video evidence of tackles in
elite level rugby union to examine the effect of tackle heights on HIA risk for
the tackler. DESIGN: Qualitative observational case-control study. METHODS: Each
HIA (n=74) and control tackle (n=965) was categorised based on tackle direction
(front- or side-on), tackle type (arm, shoulder or smother) and tackle height
(upper trunk, mid-trunk, lower trunk, upper leg or lower leg). The Relative Risk
(RR), 95% Confidence Interval (CI) and probability (p) values were calculated for
each tackle height. RESULTS: Intended primary contact at the upper trunk of the
ball carrier had a greater propensity to result in a HIA for the tackler for
front-on upper body shoulder tackles (RR=1.48; 95%CI=1.16-1.90; p<0.01) and side
on upper body smother tackles (RR=2.30; 95%CI=1.82-2.92; p<0.01). Intended
primary contact at the upper leg of the ball carrier had a greater propensity to
result in a HIA for the tackler for front-on (RR=2.60; 95%CI=1.70-3.97; p<0.01)
and side-on (RR=3.34; 95%CI=1.65-6.79; p<0.01) lower body shoulder tackles.
CONCLUSIONS: To reduce tackler HIA risk, the results suggest tackling below the
upper trunk for upper body tackles. The results also suggest tackling at the
lower trunk for lower body tackles and avoiding the upper legs. Prevention
strategies should place emphasis on tackling lower risk body regions such as the
mid- and lower trunk.
PMID- 29801754
TI - Associations of physical activity and sedentary behaviour with metabolic syndrome
in rural Australian adults.
AB - OBJECTIVES: Associations between objectively measured sedentary behaviour,
physical activity (PA) and metabolic syndrome (MetS)-classified using three
different definitions were investigated in an inactive sample of rural Australian
adults. DESIGN: Quantitative, cross-sectional. METHODS: 171 adults (50.7+/
12.4years) from two rural South Australian regions underwent seven-day
accelerometer activity monitoring and MetS classification using the National
Cholesterol Education Program, the International Diabetes Federation and the
Harmonized definitions. Associations between sedentary and activity variables and
MetS (adjusted for age, sex, diet and smoking status) were modelled using
logistic regression. In secondary modelling, associations of sedentary and
activity outcomes for each MetS definition were assessed, adjusting for other
activity and sedentary variables. Prediction differences across the definitions
of MetS were directly compared using Akaike's Information Criterion. RESULTS:
Sedentary behaviour increased MetS risk, whereas light physical activity (LPA)
and moderate-to-vigorous physical activity (MVPA) reduced MetS risk, irrespective
of definition. In secondary models, LPA predicted MetS independently of MVPA and
total sedentary time. Time spent in sedentary bouts (>30min) predicted MetS
independently of MVPA and the number of sedentary bouts predicted MetS
independently of LPA and MVPA. Prediction differences for MetS definitions failed
to reach the critical threshold for difference (>10). CONCLUSIONS: This study
highlights the importance of sedentary behaviour and LPA on the prevalence of
MetS in an inactive sample of rural Australian adults. Studies assessing the
efficacy of increasing LPA on MetS in this population are needed. Minimal
predictive differences across the three MetS definitions suggest evidence from
previous studies can be considered cumulative.
PMID- 29801756
TI - DNAJC12 mutation is rare in Chinese Han population with Parkinson's disease.
AB - Recently, mutations of DNAJC12 gene were reported to be associated with early
onset parkinsonism, progressive neurodevelopmental delay, and dystonia in several
unrelated pedigrees. This study aimed to evaluate DNAJC12 coding mutations in
sporadic Chinese Han patients with Parkinson's disease (PD) and test whether an
age-of-onset effect exists. Seven hundred two Chinese Han sporadic PD patients,
including 181 early-onset PD and 521 late-onset PD, and 728 healthy controls were
recruited. No documented disease-causing mutation of DNAJC12 was identified, but
we found 7 single-nucleotide polymorphisms. Allele frequencies did not differ
between all the PD patients and controls or between any 2 subgroups for all these
single-nucleotide polymorphisms. Our study suggests that DNAJC12 mutation is not
a risk factor of PD in Chinese Han population, and no age-of-onset effect was
verified.
PMID- 29801757
TI - Routine In Vitro Culture of Plasmodium falciparum: Experimental Consequences?
AB - The advent of Plasmodium falciparum (Pf) in vitro culturing opened the door for
malaria research, yielding dramatic advancements in our understanding of the
parasite. However, fundamental foundations taken for granted in our research
endeavors can unknowingly be an Achilles heel, resulting in potential
misdirection. In relation to malaria research, this could be our nonquestioning
acceptance of routine in vitro culture of Pf. There is nothing routine or
straightforward regarding the dynamic and intimate relationship between the
parasite and the in vitro environment. Here, we discuss recent studies
demonstrating the impact that slight variations in in vitro Pf culture parameters
can have on scientific conclusions. We reason that culture conditions should be
re-established as a primary consideration in in vitro malaria experimentation.
PMID- 29801758
TI - The biggest barrier to influenza vaccination in pregnant females in India: Poor
sensitization of the care providers.
PMID- 29801759
TI - Implementation of maternal influenza immunization programs.
PMID- 29801760
TI - Thrombin induces protease-activated receptor 1 signaling and activation of human
atrial fibroblasts and dabigatran prevents these effects.
AB - BACKGROUND: Data with animal cells and models suggest that thrombin activates
cardiac fibroblasts (Fib) to myofibroblasts (myoFib) via protease-activated
receptor 1 (PAR1) cleavage, and in this way promotes adverse atrial remodeling
and, thereby, atrial fibrillation (AF). OBJECTIVE: Here, we explored the effects
of thrombin on human atrial Fib and whether they are antagonized by the
clinically available direct thrombin inhibitor, dabigatran. METHODS: Fib isolated
from atrial appendages of patients without AF undergoing elective cardiac surgery
were evaluated for PAR expression and treated with thrombin with or without
dabigatran. PAR1 cleavage, downstream signaling and myoFib markers were
investigated by immunofluorescence and Western blot. Collagen synthesis, activity
of matrix metalloprotease (MMP)-2 and proliferation were assessed by Picro-Sirius
red staining, gelatinolytic zymography and BrdU incorporation, respectively. Fib
function was studied as capability to contract a collagen gel and stimulate the
chemotaxis of peripheral blood monocytes from healthy volunteers. RESULTS:
Primary human atrial Fib expressed PAR1, while levels of the other PARs were very
low. Thrombin triggered PAR1 cleavage and phosphorylation of ERK1/2, p38 and Akt,
elicited a switch to myoFib enriched for alphaSMA, fibronectin and type I
collagen, and induced paracrine/autocrine transforming growth factor beta-1,
cyclooxygenase-2, endothelin-1 and chemokine (C-C motif) ligand 2 (CCL2);
conversely, MMP-2 activity decreased. Thrombin-primed cells displayed enhanced
proliferation, formed discrete collagen-containing cellular nodules, and
stimulated the contraction of a collagen gel. Furthermore, their conditioned
medium caused monocytes to migrate. All these effects were prevented by
dabigatran. CONCLUSION: These results with human cells complete the knowledge
about thrombin actions on cardiac Fib and strengthen the translational potential
of the emerging paradigm that pharmacological blockade of thrombin may counteract
molecular and cellular events underlying AF.
PMID- 29801761
TI - Advanced interatrial block predicts new-onset atrial fibrillation and ischemic
stroke in patients with heart failure: The "Bayes' Syndrome-HF" study.
AB - AIMS: Advanced interatrial block (IAB) is characterized by a prolonged (>=120 ms)
and bimodal P wave in the inferior leads. The association between advanced IAB
and atrial fibrillation (AF) is known as "Bayes' Syndrome", and there is scarce
information about it in heart failure (HF). We examined the prevalence of IAB and
whether advanced IAB could predict new-onset AF and/or stroke in HF patients.
METHODS AND RESULTS: The prospective observational "Bayes' Syndrome-HF" study
included consecutive outpatients with chronic HF. The primary endpoints were new
onset AF, ischemic stroke, and the composite of both. A secondary endpoint
included all-cause death alone or in combination with the primary endpoint.
Comprehensive multivariable Cox regression analyses were performed. Among 1050
consecutive patients, 536 (51.0%) were in sinus rhythm, 464 with a measurable P
wave are the focus of this study. Two-hundred and sixty patients (56.0%) had
normal atrial conduction, 95 (20.5%) partial IAB, and 109 (23.5%) advanced IAB.
During a mean follow-up of 4.5 +/- 2.1 years, 235 patients experienced all-cause
death, new-onset AF, or stroke. In multivariable comprehensive Cox regression
analyses, advanced IAB was associated with new-onset AF (HR 2.71 [1.61-4.56], P <
0.001), ischemic stroke (HR 3.02 [1.07-8.53], P = 0.04), and the composite of
both (HR 2.42 [1.41-4.15], P < 0.001). CONCLUSIONS: In patients with HF advanced
IAB predicts new-onset AF and ischemic stroke. Future studies must assess whether
anticoagulant treatment in Bayes' Syndrome leads to better outcomes in HF.
PMID- 29801762
TI - Increased risk of myocardial infarction with dabigatran etexilate: fact or
fiction? A critical meta-analysis of over 580,000 patients from integrating
randomized controlled trials and real-world studies.
AB - BACKGROUND: The question of whether the use of dabigatran etexilate is associated
with a high risk of myocardial infarction (MI) remains unanswered owing to the
lack of critical evidences. METHODS: A comprehensive search of databases
(Medline, Embase, Cochrane Library databases, and ClinicalTrials.gov Website) was
performed for RCTs that reported MI events and observational nationwide database
studies that reported adjusted hazard ratio (HR) with dabigatran treatment.
Summary HRs and 95% confidence intervals (95% CI) were calculated using random
effects models. Cumulative meta-analysis was conducted for evaluating the results
as a continuum, and subgroup analyses were undertaken on the basis of study type,
indication, controls, and dosage. RESULTS: Finally, 24 studies including 588,047
patients (44,856 patients in 14 RCTs and 543,191 patients in 10 observational
database studies) met the inclusion criteria, among which 222,352 (37.8%)
patients receiving dabigatran and 365,695 (62.2%) patients receiving
placebo/other anticoagulants. In comparison to controls, no significant
association was detected between the use of dabigatran and the higher risk of MI
(HR: 0.97, 95% CI: 0.87-1.06; I2 for heterogeneity: 26.3%, P = 0.089). The
results were consistent across the key subgroups (indication, controls, and
dosage, Pinteraction > 0.05 for each), with the exception of study type (RCTs or
database studies, Pinteraction = 0.046). Cumulative meta-analysis was not
suggestive of a temporal trend in the effect of dabigatran on MI. CONCLUSIONS:
This meta-analysis confirms a low risk of MI in patients exposed to dabigatran,
which seems to be validated when pooling over 580,000 patients from RCTs and real
world studies.
PMID- 29801763
TI - High bleeding risk patients with acute coronary syndromes treated with
contemporary drug-eluting stents and Clopidogrel or Ticagrelor: Insights from
CHANGE DAPT.
AB - BACKGROUND: The prospective observational CHANGE DAPT study compared clopidogrel
versus ticagrelor-based dual antiplatelet (DAPT) regimens in consecutive patients
with acute coronary syndrome (ACS), treated with percutaneous coronary
intervention (PCI) with contemporary drug-eluting stents (DES). During the
ticagrelor period (TP, May 2014-August 2015) there were more major bleedings than
during the clopidogrel period (CP, December 2012-April 2014). METHODS AND
RESULTS: To evaluate whether the excess of major bleedings during TP may be
limited to high bleeding risk (HBR) patients, we performed an explorative
analysis of all 2062 CHANGE DAPT participants, of whom 547(26.5%) were classified
as HBR (CP, n = 245; TP, n = 302). In HBR and non-HBR patients, we assessed the
impact of CP versus TP on propensity score-adjusted rates of major bleeding and a
pre-defined ischemic endpoint (composite of cardiac death, myocardial infarction,
or stroke) at 1-year follow-up. Among HBR patients, the rate of major bleeding
was significantly higher during TP (1.7% vs. 5.0%; HRadjusted 3.70 [95% CI 1.18
11.67], p = 0.03), while there was no significant difference in the ischemic
endpoint (6.6% vs. 8.0%, HRadjusted 1.23 [95% CI 0.63-2.42], p = 0.54). In non
HBR patients, the rates of major bleeding (1.1% vs. 1.7%; HRadjusted 2.13 [95% CI
0.84-5.43], p = 0.11) and the ischemic endpoint (2.8% vs. 3.4%, HRadjusted 1.38
[95% CI 0.74-2.57], p = 0.32) were similar between both periods. CONCLUSIONS:
Among consecutive ACS patients, the increased risk of major bleeding during
ticagrelor-based DAPT was limited to HBR patients. In both HBR and non-HBR
patients, ticagrelor-based DAPT did not reduce ischemic outcomes following
treatment with contemporary DES implantation.
PMID- 29801764
TI - Cultivation of microalgae Chlorella zofingiensis on municipal wastewater and
biogas slurry towards bioenergy.
AB - The high cost of large-scale cultivation of microalgae has limited their
industrial application. This study investigated the potential use of mixed biogas
slurry and municipal wastewater to cultivate microalgae. Pig biogas slurry as the
sole nutrient supplement, was assessed for the cultivation of Chlorella
zofingiensis in municipal wastewater. Batch culture of various ratios of pig
biogas slurry and municipal wastewater were compared. The characteristics of
algal growth and lipid production were analyzed, and the removal rates of
nitrogen and phosphate were examined. Results indicate that 8% pig bio-gas slurry
in municipal wastewater, had a significant effect on microalgal growth. C.
zofingiensis, with 2.5 g L-1 biomass, 93% total nitrogen and 90% total phosphorus
removal. Lipid content was improved by 8% compared to BG11 medium. These findings
show that mixing pig biogas slurry and municipal wastewater, without additional
nutrition sources, allows efficient cultivation of C. zofingiensis. This is of
high research and industrial significance, allowing cultivation of C.
zofingiensis in mixed waste culture solution without additional nutrition
sources.
PMID- 29801765
TI - JACIE: A 20 year-old voluntary body functioning worldwide.
AB - Hematopoietic Stem Cell Transplantation (HSCT) is a well estabished treatment
modality for patients with severe disorders of the hematopoietic system. HSCT is
the pioneer of not the adoptive immunotherapy but also cellular therapies. It was
first performed in 1957; since then the transplantation numbers have increased
every year in almost all parts of the World. However, the increase in the quality
of this procedure was not as fast as the numbers. The first Standards for
hematopoeietic cell collection, processing and transplantation in Europe was
established in 1998 by the European Group for Bone Marrow Transplantation (EBMT)
and The International Society for Hematotherapy and Graft Engineering Europe I
(SHAGE Europe) and the Joint Accreditation Committee of ISCT EBMT (JACIE) was
founded. JACIE is a non-profit voluntary organization that helps all the
stakeholders of HSCT, the teams, goverments, regulators, payers and, mostly, the
patients. In this review the aims and the twenty years history of JACIE in the
World and in Turkey is explained.
PMID- 29801766
TI - Fulminant type 1 diabetes: Report of a new French Caucasian case and recent
findings.
PMID- 29801767
TI - Treatment of dopplerable nummular headache with minimally invasive arterectomy
under local anesthesia.
AB - OBJECTIVE: The objective of the current study is to elucidate the potential role
of surgery in the treatment of nummular headache (NH). BACKGROUND: NH is a
disorder in which pain is localized to a specific area. Treatment has
traditionally been medical, with the recent addition of nerve blocks and botox
injection with equivocal results. DESIGN: Forty-nine patients were identified
using the International Classification of Headache Disorders, third edition, beta
version. Patients were asked to identify the area of maximal pain. Patients who
had an associated Doppler signal within the area of pain underwent surgical
arterectomy using local anesthesia. Preoperative and postoperative headache
frequency, severity, duration, and headache-free days were analyzed. RESULTS:
There were a total of 49 patients included in the study (42F:7M) with an average
age of 45 years (21-65 years). The average follow-up period was 16 months with a
range of 8-33 months. There was a significant reduction in the frequency (-10.7
days; p < 0.001), severity (-3.5; p < 0.001), and duration (-0.3 hours; p = 0.4)
of the headache. There was a significant increase in the number of headache-free
days per month (10 vs. 21; p < 0.001). Headache index decreased by 39.6%, from an
average of 378.6 to 228.4 (p < 0.05). Twelve patients (24.5%) were free from NH
and able to discontinue their medications. There were no complications identified
during the follow-up period. CONCLUSION: NH, although rare, can be associated
with significant disability despite current treatment modalities. In select
patients, surgical arterectomy is a safe, minimally invasive, and effective
treatment for NH.
PMID- 29801768
TI - Corrigendum to "(Non-) robustness of vulnerability assessments to climate change:
An application to New Zealand" [J. Environ. Manag. 203 400-412].
PMID- 29801769
TI - Highlighting the Potential Value of Capnography in Acute Stroke.
PMID- 29801771
TI - Subglottic Stenosis.
AB - Subglottic stenosis refers to narrowing of the airway diameter below the vocal
folds and may be congenital or acquired. Typical signs and symptoms range from
recurrent croup and exertional stridor to complete airflow obstruction requiring
tracheotomy. Management of moderate and severe subglottic stenosis often requires
intricate surgical techniques. To optimize the success of these surgeries, a
thorough assessment of the child's airway, lungs, reflux, and swallow needs to be
evaluated. In order to provide concerted and coordinated care between typically
otolaryngology (ENT), pulmonary, gastroenterology (GI), speech, swallow and
language pathologists (SLP), "aerodigestive" teams have been developed and
increasing in prevalence at children's medical hospitals. This article sets out
to provide a brief overview of an aerodigestive program and evaluation, review a
few of the more common laryngotracheal conditions, and the surgical techniques
involved to augment the airway.
PMID- 29801770
TI - [Consequences of the monoaminergic systems cross-talk in the antidepressant
activity].
AB - Selective serotonin reuptake inhibitors (SSRIs) are the most prescribed
antidepressant treatment for treat major depressive disorders. Despite their
effectiveness, only 30% of SSRI-treated patients reach remission of depressive
symptoms. SSRIs by inhibiting the serotonin transporter present some limits with
residual symptoms. Increasing not only serotonin but also norepinephrine and
dopamine levels in limbic areas seems to improve remission. Anatomical
relationships across serotoninergic, dopaminergic and noradrenergic systems
suggest tight reciprocal regulations among them. This review attempts to present,
from acute to chronic administration the consequences of SSRI administration on
monoaminergic neurotransmission. The serotonin neurons located in the raphe
nucleus (RN) are connected to the locus coeruleus (locus coeruleus), the key
structure of norepinephrine synthesis, through GABAergic-inhibiting interneurons.
Activation of the 5-HT2A receptors expressed on GABAergic interneurons following
SERT-inhibition induces an increase in serotonin leading to inhibitory effect on
NE release. Similarly, the serotonin neurons exert negative regulation on
dopaminergic neurons from the ventral tegmental area (VTA) through a GABAergic
interneuron. These interneurons express the 5-HT2C and 5-HT3 receptors inducing
an inhibitory effect of 5-HT on DA release. Positive reciprocal connections are
also observed through direct projections from the locus coeruleus to the RN and
from the VTA to the RN through alpha1 and D2 receptors respectively, both
stimulating the serotoninergic activity. Acute SSRI treatment induces only a
slight increase in 5-HT levels in limbic areas due to the activation of
presynaptic 5-HT1A and 5-HT1B autoreceptors counteracting the effects of the
transporter blockade. No change in NE levels and a small decrease in the
dopaminergic neurotransmission is also observed. These weak changes in monoamine
in the limbic areas after acute SSRI treatment seems to be one of key point
involved in the onset of action. Following desensitization of the 5-HT1A and 5
HT1B autoreceptors, chronic SSRI treatment induces a large increase in the 5-HT
neurotransmission. Changes in 5-HT levels at the limbic areas results in a
decrease in NE transmission and an increase in DA transmission through an
increase in the post-synaptic D2 receptors sensitivity and not from a change in
DA levels, which is mainly due to a desensitization of the 5-HT2A receptor. The
observed decrease of NE neurotransmission could explain some limits of the SSRI
therapy and the interest to activate NE system for producing more robust effects.
On the other hand, the D2 sensitization, especially in the nucleus accumbens,
stimulates the motivation behavior as well as remission of anhedonia considering
the major role of DA release in this structure. Finally, we need to take into
account the key role of each monoaminergic neurotransmission to reach remission.
Targeting only one system will limit the therapeutic effectiveness. Clinical
evidences, including the STAR*D studies, confirmed this by an increase of the
remission rate following the mobilization of several monoaminergic transmissions.
However, these combinations cannot constitute first line of treatment considering
the observed increase of side effects. Such an approach should be adapted to each
patient in regard to its particular symptoms as well as clinical history. The
next generation of antidepressant therapy will need to take into consideration
the interconnections and the interrelation between the monoaminergic systems.
PMID- 29801772
TI - Refining the Natural Product Repertoire in Entomopathogenic Bacteria.
AB - Members of the genera Xenorhabdus and Photorhabdus are capable of producing a
huge repertoire of different natural products to support a complex life cycle
involving insect pathogenesis and nematode symbiosis. Many of the natural
products have direct functions, specifically targeting different facets of
nematode development or the insect immune system. These adaptations have allowed
the bacteria to thrive in a unique environment and become highly efficient,
versatile insect pathogens. Here, we discuss the ecological advantages afforded
to the bacteria by the acquisition of the gene clusters responsible for producing
this repertoire of chemical compounds.
PMID- 29801773
TI - Biological Approaches for Disease Control in Aquaculture: Advantages, Limitations
and Challenges.
AB - Although aquaculture activity has experienced a great development over the past
three decades, infectious diseases have become a limiting factor for further
intensification. Because the use of antibiotics has led to the widespread
emergence of antibiotic resistance, the search for alternative environmentally
friendly approaches is urgently needed. This Opinion paper offers an update on
the successes and challenges of biological approaches for bacterial disease
prevention and control in aquaculture. Although most of these approaches are
still in research and development stages, some of them have shown promising
results in field trials. Therefore, a better understanding of the mechanisms of
action of these approaches will help to maximise their beneficial properties.
PMID- 29801776
TI - An ICSI rate of 90% minimizes complete failed fertilization and provides
satisfactory implantation rates without elevating fetal abnormalities.
AB - IVF cycles utilizing the ICSI technique for fertilization have been rising over
the 25 years since its introduction, with indications now extending beyond male
factor infertility. We have performed ICSI for 87% of cases compared with the
ANZARD average of 67%. This retrospective study reports on the outcomes of 1547
autologous ART treatments undertaken over a recent 3-year period. Based on
various indications, cases were managed within 3 groupings - IVF Only, ICSI Only
or IVF-ICSI Split insemination where oocytes were randomly allocated. Overall 567
pregnancies arose from mostly single embryo transfer procedures up to December
2016, with 402 live births, comprising 415 infants and a low fetal abnormality
rate (1.9%) was recorded. When the data was adjusted for confounders such as
maternal age, measures of ovarian reserve and sperm quality, it appeared that IVF
generated and ICSI-generated embryos had a similar chance of both pregnancy and
live birth. In the IVF-ICSI Split model, significantly more ICSI-generated
embryos were utilised (2.5 vs 1.8; p < 0.003) with productivity rates of 67.8%
for pregnancy and 43.4% for livebirths per OPU for this group. We conclude that
ART clinics should apply the insemination method which will maximize embryo
numbers and the first treatment for unexplained infertility should be undertaken
within the IVF-ICSI Split model. Whilst ICSI-generated pregnancies are reported
to have a higher rate of fetal abnormalities, our data is consistent with the
view that the finding is not due to the ICSI technique per se.
PMID- 29801774
TI - Does previous abdominal surgery affect the course and outcomes of laparoscopic
bariatric surgery?
AB - BACKGROUND: Global experiences in general surgery suggest that previous abdominal
surgery may negatively influence different aspects of perioperative care. As the
incidence of bariatric procedures has recently increased, it is essential to
assess such correlations in bariatric surgery. OBJECTIVES: To assess whether
previous abdominal surgery influences the course and outcomes of laparoscopic
bariatric surgery. SETTING: Seven referral bariatric centers in Poland. METHODS:
We conducted a retrospective analysis of 2413 patients; 1706 patients who
underwent laparoscopic sleeve gastrectomy (SG) or Roux-en-Y gastric bypass (RYGB)
matched the inclusion criteria. Patients with no history of abdominal surgery
were included as group 1, while those who had undergone at least 1 abdominal
surgery were included as group 2. RESULTS: Group 2 had a significantly prolonged
median operation time for RYGB (P = .012), and the longest operation time was
observed in patients who had previously undergone surgeries in both the upper and
lower abdomen (P = .002). Such a correlation was not found in SG cases (P =
.396). Groups 1 and 2 had similar rates of intraoperative adverse events and
postoperative complications (P = .562 and P = .466, respectively). Group 2 had a
longer median duration of hospitalization than group 1 (P = .034), while the
readmission rate was similar between groups (P = .079). There was no significant
difference between groups regarding the influence of the long-term effects of
bariatric treatment on weight loss (percentage of follow-up was 55%).
CONCLUSIONS: Previous abdominal surgery prolongs the operative time of RYGB and
the duration of postoperative hospitalization, but does not affect the long-term
outcomes of bariatric treatment.
PMID- 29801775
TI - Cell adhesion molecule profiles, proliferation activity and p53 expression in
advanced epithelial ovarian cancer induced malignant ascites-Correlation of
tissue microarray and cytology microarray.
AB - INTRODUCTION: Peritoneal dissemination accompanied by ascites formation is common
in epithelial ovarian cancer (EOC). Adhesion molecules are crucial in metastatic
spread and the latter involves epithelial-mesenchymal transition (EMT). This
study aimed at: (1) clarifying whether E-cadherin and beta-catenin expression and
proliferative activity in metastatic ovarian cancer are inter-related; (2)
Identifying possible correlations between cell adhesion molecular expression
profiles, the proliferative activity and p53 expression of tumor cells and tumor
grade and stage; (3) testing the cytology microarray (CMA) technique in analyzing
metastasis formation. MATERIAL AND METHODS: Both tumorous and ascitic samples
from 27 EOC patients were examined by using tissue microarray (TMA) and cytology
microarray (CMA), respectively. CMA blocks were constructed using cores from each
cell block of the ascitic specimens. Expression of E-cadherin, beta-catenin, Ki
67 and p53 was immunohistochemically detected both in TMA and CMA blocks.
RESULTS: E-cadherin expression was higher in ascitic cells than in primary tumor
cells (p = .294). beta-catenin expression was significantly lower in ascitic
cells than in primary tumor cells (p = .006). Expression of Ki-67 was lower and
expression of p53 was higher in primary tumors than in ascitic cells, for p53 the
difference was significant (p = .001). Both Ki-67 and p53 expression elevated
significantly in high-grade primary tumor cells and in ascites cells (p = .039,
and p = .004, respectively). CONCLUSION: Epithelial-mesenchymal transition-
mesenchymal-epithelial transition is suggested as the best descriptive term for
our IHC observations which accompany increased proliferative activity of ascitic
cells. The CMA method is an adequate and reliable method for the analysis of
ascitic tumor cells disseminating from ovarian malignancies.
PMID- 29801777
TI - Patterns of Multimorbidity in Middle-Aged and Older Adults: An Analysis of the UK
Biobank Data.
AB - OBJECTIVE: To assess the prevalence, disease clusters, and patterns of
multimorbidity using a novel 2-stage approach in middle-aged and older adults
from the United Kingdom. PATIENTS AND METHODS: Data on 36 chronic conditions from
502,643 participants aged 40 to 69 years with baseline measurements between March
13, 2006, and October 1, 2010, from the UK Biobank were extracted. We combined
cluster analysis and association rule mining to assess patterns of multimorbidity
overall and by age, sex, and ethnicity. A maximum of 3 clusters and 30 disease
patterns were mined. Comparisons were made using lift as the main measure of
association. RESULTS: Ninety-five thousand seven hundred-ten participants (19%)
had 2 or more chronic conditions. The first cluster included only myocardial
infarction and angina (lift=13.3), indicating that the likelihood of co
occurrence of these conditions is 13 times higher than in isolation. The second
cluster consisted of 26 conditions, including cardiovascular, musculoskeletal,
respiratory, and neurodegenerative diseases. The strongest association was found
between heart failure and atrial fibrillation (lift=23.6). Diabetes was at the
center of this cluster with strong associations with heart failure, chronic
kidney disease, liver failure, and stroke (lift>2). The third cluster contained 8
highly prevalent conditions, including cancer, hypertension, asthma, and
depression, and the strongest association was observed between anxiety and
depression (lift=5.0). CONCLUSION: Conditions such as diabetes, hypertension, and
asthma are the epicenter of disease clusters for multimorbidity. A more
integrative multidisciplinary approach focusing on better management and
prevention of these conditions may help prevent other conditions in the clusters.
PMID- 29801778
TI - A Deeper Dive Into the CANTOS "Responders" Substudy.
PMID- 29801779
TI - [Artificial penile nodules].
PMID- 29801780
TI - Urging medical students to publish: Advantages, disadvantages and new challenges.
AB - As soon as they get accepted into medical school, students find themselves facing
numerous expectations: coping with tremendous study burden, competing with others
for the best rank, completing internships and participating in the race for
publishing are only to name a few. This big juggle makes it hard for the medical
student to focus on research. It is often easier to postpone publication and
involvement in research to "later". In fact there are many advantages to
publishing in the current publication system but there are many disadvantages as
well. With the widespread of social media and open access systems, new challenges
have arisen. The aim of this paper is to discuss the advantages and disadvantages
of publishing in the current system while highlighting the new challenges that
the students might need to overcome. Its aim is to provide medical students with
information to enhance their understanding of the current publication system and
thus most importantly, probe their desire to publish.
PMID- 29801781
TI - Stress-free microbes lack vitality.
AB - Stress is an inextricable aspect of life, and stress biology has been a field of
intensive study over the last 200-300 years. In human psychology, we consider a
stress-free condition to be one of relaxation or happiness, yet with respect to
microbial cells we do not have a concept that describes being non-stressed.
Stresses within, and stress tolerance of, microbial systems lie at the crux of
critical global challenges, such as optimising soil- and plant-health and crop
yields; reducing food spoilage; bioremediation of polluted environments;
effective biological control and biofuel production; gaining insight into aging
processes in humans; and understanding astrobiology. There is no consensus on how
to measure cellular stress, or even how we define it. 'Stress' implies that
physical forces act on the microbial system in such a way that impairs its
ability to function. Ironically, however, a cell that exhibits optimal growth
also has reduced energy generation, is less resilient to change, and can have
poor competitive ability. Furthermore, rapid growth is associated with a high
level of oxidative damage and compromised vitality of the system. Stresses
induced by temperature, pH, water activity, chaotropicity, reactive oxygen
species, dehydration-rehydration cycles, ionizing radiation, and changes in
turgor or other mechanical forces are well-known. Our knowledge of cellular
stress responses, such as signal-transduction pathways, compatible-solute
metabolism, protein-stabilization proteins, and plasma-membrane adaptations, is
also considerable. However, we have limited understanding of the complex and
dynamic stresses that typically occur in microbial habitats or industrial
systems, and how these impact the biophysics, cellular biology and evolutionary
trajectories of microbes. There is also a paucity of information on why the
cellular system ultimately fails under extremes of stress, and it is even
debatable whether any microbe can ever be completely stress-free. However, cells
that exhibit optimal rates of biotic activity are likely to exhibit low
ecological fitness compared with those that are moderately stressed; in other
words, stress can enhance microbial vitality, vigour and resilience. 'Stress' is
sometimes applied mistakenly to describe the effects of toxic substances that
have target site-specific modes-of-action (e.g. antibiotics) rather than and do
not inhibit the cell via any type of stress-mediated mechanism. Whereas terms
such as 'rapid-growth stress', 'nutrient stress' and 'biotic stress' span a range
of logical categories, their modes-of-action do usually involve a biophysical
component. Stress can impact all levels of biology (from biomacromolecules to
ecosystems), is a potent driver for evolutionary processes and - it could be
argued - is an inherent property of life itself. The published articles that
follow include a number of unprecedented findings and were compiled for this
special issue Biology of Fungal Systems under Stress. Collectively, they are
testament to the breadth and importance of the stress-biology field.
PMID- 29801783
TI - Stress tolerance of soil fungal communities from native Atlantic forests,
reforestations, and a sand mining degraded area.
AB - Microorganisms are essential to the functionality of the soil, particularly in
organic matter decomposition and nutrient cycling, which regulate plant
productivity and shape the soil structure. However, biotic and abiotic stresses
greatly disrupt soil fungal communities and, thereby, disturb the ecosystem. This
study quantified seasonal tolerances to UV-B radiation and heat of fungal
communities, which could be cultured, found in soil from two native Atlantic
forest fragments called F1 and F2, five reforested areas (RA) planted in 1994,
1997, 2004, 2007, and 2009 with native species of the Atlantic forest, and one
sand mining degraded soil (SMDS). The cold activity of the soil fungal
communities (FC) from the eight different areas was also studied. Higher
tolerance to UV-B radiation and heat was found in the FC from the SMDS and the
2009RA, where the incidence of heat and UV radiation from sun was more intense,
which caused selection for fungal taxa that were more UV-B and heat tolerant in
those areas. Conversely, the FC from the native forests and older reforested
sites were very susceptible to heat and UV-B radiation. The cold activity of the
soil FC from different areas of the study showed an erratic pattern of responses
among the sampling sites. Little difference in tolerance to UV-B radiation and
heat was found among the FC of soil samples collected in different seasons; in
general soil FC collected in winter were less tolerant to UV-B radiation, but not
for heat. In conclusion, FC from SMDS soil that receive intense heat and UV
radiation, as well as with low nutrient availability, were more tolerant to both
UV-B radiation and heat.
PMID- 29801782
TI - The second International Symposium on Fungal Stress: ISFUS.
AB - The topic of 'fungal stress' is central to many important disciplines, including
medical mycology, chronobiology, plant and insect pathology, industrial
microbiology, material sciences, and astrobiology. The International Symposium on
Fungal Stress (ISFUS) brought together researchers, who study fungal stress in a
variety of fields. The second ISFUS was held in May 8-11 2017 in Goiania, Goias,
Brazil and hosted by the Instituto de Patologia Tropical e Saude Publica at the
Universidade Federal de Goias. It was supported by grants from CAPES and FAPEG.
Twenty-seven speakers from 15 countries presented their research related to
fungal stress biology. The Symposium was divided into seven topics: 1. Fungal
biology in extreme environments; 2. Stress mechanisms and responses in fungi:
molecular biology, biochemistry, biophysics, and cellular biology; 3. Fungal
photobiology in the context of stress; 4. Role of stress in fungal pathogenesis;
5. Fungal stress and bioremediation; 6. Fungal stress in agriculture and
forestry; and 7. Fungal stress in industrial applications. This article provides
an overview of the science presented and discussed at ISFUS-2017.
PMID- 29801784
TI - Nitrogen-starvation triggers cellular accumulation of triacylglycerol in
Metarhizium robertsii.
AB - Nitrogen starvation can induce cellular triacylglycerol (TAG) accumulation in
different organisms with an unclear mechanism. In this study, we performed
nutrient starvation and lipid droplet (LD) proteomics analyses of the filamentous
fungus Metarhizium robertsii. Our results indicated that nitrogen starvation
activated cell autophagic activity but inhibited the internalization of LDs into
vacuoles for degradation. LD proteomic analyses identified an array of
differentially accumulated proteins including autophagy-related (ATG) proteins,
heat shock proteins, TAG metabolic and phospholipid biosynthetic enzymes when the
fungus was grown in different nutrient conditions. In contrast to the highly
activated MrATG8, the ATG proteins involved in vacuolar LD internalization were
down-regulated after nitrogen starvation. Cellular TAG contents were increased in
different ATG-gene null mutants of M. robertsii. In addition, TAG increase could
be due to the up-regulation of TAG biogenesis along with the down-regulation of
TAG catabolic enzymes in fungal cells after nitrogen deprivation. The data of
this study benefit our understanding of the mechanism of nitrogen starvation
induced TAG increase in different cells.
PMID- 29801785
TI - Lipid biology in fungal stress and virulence: Entomopathogenic fungi.
AB - Broad host range insect pathogenic fungi penetrate through the host cuticle,
necessitating an ability to confront and overcome surface lipids and other
molecules that often include antimicrobial compounds. In this context, induction
of lipid assimilatory pathways by exogenous substrates is crucial for successful
infection to occur, and lipid growth substrates can have significant effects on
the virulence of fungal infectious propagules, e.g. conidia. The production of
lipases is a critical part of the cuticle-degrading repertoire and pathways
involved in triglyceride metabolism and phospholipid homeostasis have been shown
to contribute to host invasion. Mobilization of endogenous lipid stores via the
activities of the caleosin and perilipin lipid storage-turnover proteins, have
been linked to diverse processes including formation of penetration structures,
e.g. germ tubes and appressoria, spore properties and dispersal, and the ability
to respond to lipid growth substrates and virulence. Here, we summarize recent
advances in our understanding of lipid assimilation and mobilization pathways in
the ability of entomogenous fungi to infect and use host substrates. Host surface
and internal lipids can alternatively act as antifungal barriers, inducers of
pathogenesis-related pathways, and/or as fungal growth substrates. Lipids and
lipid assimilation can be considered as forming a co-evolutionary web between the
insect host and entomogenous fungi.
PMID- 29801786
TI - Impact of short-term temperature challenges on the larvicidal activities of the
entomopathogenic watermold Leptolegnia chapmanii against Aedes aegypti, and
development on infected dead larvae.
AB - The oomycete Leptolegnia chapmanii is among the most promising entomopathogens
for biological control of Aedes aegypti. This mosquito vector breeds in small
water collections, where this aquatic watermold pathogen can face short-term
scenarios of challenging high or low temperatures during changing ambient
conditions, but it is yet not well understood how extreme temperatures might
affect the virulence and recycling capacities of this pathogen. We tested the
effect of short-term exposure of encysted L. chapmanii zoospores (cysts) on A.
aegypti larvae killed after infection by this pathogen to stressful low or high
temperatures on virulence and production of cysts and oogonia, respectively.
Cysts were exposed to temperature regimes between -12 degrees C and 40 degrees
C for 4, 6 or 8 h, and then their infectivity was tested against third instar
larvae (L3) at 25 degrees C; in addition, production of cysts and oogonia on L3
killed by infection exposed to the same temperature regimes as well as their
larvicidal activity were monitored. Virulence of cysts to larvae and the degree
of zoosporogenesis on dead larvae under laboratory conditions were highest at 25
degrees C but were hampered or even blocked after 4 up to 8 h exposure of cysts
or dead larvae at both the highest (35 degrees C and 40 degrees C) and the
lowest (-12 degrees C) temperatures followed by subsequent incubation at 25
degrees C. The virulence of cysts was less affected by accelerated than by slow
thawing from the frozen state. The production of oogonia on dead larvae was
stimulated by short-term exposure to freezing temperatures (-12 degrees C and 0
degrees C) or cool temperatures (5 degrees C and 10 degrees C) but was not
detected at higher temperatures (25 degrees C-40 degrees C). These findings
emphasize the susceptibility of L. chapmanii to short-term temperature stresses
and underscore its interest as an agent for biocontrol of mosquitoes in the
tropics and subtropics, especially A. aegypti, that breed preferentially in small
volumes of water that are generally protected from direct sunlight.
PMID- 29801788
TI - Morphological changes in melanized and non-melanized Cryptococcus neoformans
cells post exposure to sparsely and densely ionizing radiation demonstrate
protective effect of melanin.
AB - There is a need for novel and effective prophylactic treatments and
radioprotective materials to protect civilians and military personnel from
ionizing radiation in contaminated environments. Melanin, a naturally occurring,
ubiquitous pigment, has been shown to confer radioresistance, acting as a
potential radioprotective agent. We have demonstrated that melanized Cryptococcus
neoformans (CN) cells had improved survival post ionizing irradiation than non
melanized ones. The goal of this study was to identify morphological changes in
melanized and non-melanized CN cells following irradiation with densely-ionizing
deuterons and alpha particles relative to sparsely-ionizing gamma radiation. We
observed significant differences between the melanized and non-melanized CN
cellular ultrastructure following irradiation. Melanized CN cells were relatively
resistant to mid and max-dose levels of alpha particles and deuterons
irradiation. Following irradiation the capsule was stripped, but the cell wall
was intact and structural integrity was maintained. At the maximum dose,
cytoplasmic vacuolization, and mitochondrial swelling started to occur. In
contrast, the non-melanized CN strain was sensitive to the mid-dose radiation.
Non-melanized cells presented two morphologies: small condensed, and swollen,
lacking structural integrity. This morphological investigation provides the first
direct evidence of the radioprotective properties of melanin in CN cells
subjected to high RBE and high LET ionizing radiation.
PMID- 29801787
TI - In vitro susceptibilities of Neoscytalidium spp. sequence types to antifungal
agents and antimicrobial photodynamic treatment with phenothiazinium
photosensitizers.
AB - Neoscytalidium spp. are ascomycetous fungi consisting of pigmented and hyaline
varieties both able to cause skin and nail infection. Their color-based
identification is inaccurate and may compromise the outcome of the studies with
these fungi. The aim of this study was to genotype 32 isolates morphologically
identified as Neoscytalidiumdimidiatum or N. dimidiatum var. hyalinum by
multilocus sequence typing (MLST), differentiate the two varieties by their
sequence types, evaluate their susceptibility to seven commercial antifungal
drugs [amphotericin B (AMB), voriconazole (VOR), terbinafine (TER), 5-flucytosine
(5FC), ketoconazole (KET), fluconazole (FLU), and caspofungin (CAS)], and also to
the antimicrobial photodynamic treatment (APDT) with the phenothiazinium
photosensitizers (PS) methylene blue (MB), new methylene blue (NMBN), toluidine
blue O (TBO) and the pentacyclic derivative S137. The efficacy of each PS was
determined, initially, based on its minimal inhibitory concentration (MIC).
Additionally, the APDT effects with each PS on the survival of ungerminated and
germinated arthroconidia of both varieties were evaluated. Seven loci of
Neoscytalidium spp. were sequenced on MLST revealing eight polymorphic sites and
six sequence types (ST). All N. dimidiatum var. hyalinum isolates were clustered
in a single ST. AMB, VOR and TER were the most effective antifungal agents
against both varieties. The hyaline variety isolates were much less tolerant to
the azoles than the isolates of the pigmented variety. APDT with S137 showed the
lowest MIC for all the isolates of both varieties. APDT with all the PS killed
both ungerminated and germinated arthroconidia of both varieties reducing the
survival up to 5 logs. Isolates of the hyaline variety were also less tolerant to
APDT. APDT with the four PS also increased the plasma membrane permeability of
arthroconidia of both varieties but only NMBN and S137 caused peroxidation of the
membrane lipids.
PMID- 29801789
TI - Alkane-grown Beauveria bassiana produce mycelial pellets displaying peroxisome
proliferation, oxidative stress, and cell surface alterations.
AB - The entomopathogenic fungus Beauveria bassiana is able to grow on insect cuticle
hydrocarbons, inducing alkane assimilation pathways and concomitantly increasing
virulence against insect hosts. In this study, we describe some physiological and
molecular processes implicated in growth, nutritional stress response, and
cellular alterations found in alkane-grown fungi. The fungal cytology was
investigated using light and transmission electron microscopy while the surface
topography was examined using atomic force microscopy. Additionally, the
expression pattern of several genes associated with oxidative stress, peroxisome
biogenesis, and hydrophobicity were analysed by qPCR. We found a novel type of
growth in alkane-cultured B. bassiana similar to mycelial pellets described in
other alkane-free fungi, which were able to produce viable conidia and to be
pathogenic against larvae of the beetles Tenebrio molitor and Tribolium
castaneum. Mycelial pellets were formed by hyphae cumulates with high peroxidase
activity, exhibiting peroxisome proliferation and an apparent surface thickening.
Alkane-grown conidia appeared to be more hydrophobic and cell surfaces displayed
different topography than glucose-grown cells. We also found a significant
induction in several genes encoding for peroxins, catalases, superoxide
dismutases, and hydrophobins. These results show that both morphological and
metabolic changes are triggered in mycelial pellets derived from alkane-grown B.
bassiana.
PMID- 29801790
TI - Suppression of wheat Fusarium head blight by novel amphiphilic aminoglycoside
fungicide K20.
AB - K20 is a novel amphiphilic aminoglycoside capable of inhibiting many fungal
species. K20's capabilities to inhibit Fusarium graminearum the causal agent
wheat Fusarium head blight (FHB) and to this disease were examined. K20 inhibited
the growth of F. graminearum (minimum inhibitory concentrations, 7.8-15.6 mg L-1)
and exhibited synergistic activity when combined with triazole and strobilurin
fungicides. Application of K20 up to 720 mg L-1 to wheat heads in the greenhouse
showed no phytotoxic effects. Spraying wheat heads in the greenhouse with K20
alone at 360 mg L-1 lowered FHB severity below controls while combining K20 with
half-label rates of Headline (pyraclostrobin) improved its disease control
efficacy. In field trials, spraying K20 at 180 mg L-1 and 360 mg L-1 combined
with half-label rates of Headline, Proline 480 SC (prothioconazole), Prosaro 421
SC (prothioconazole + tebuconazole), and Caramba (metconazole) reduced FHB
indices synergistically. In addition, the K20 plus Proline 480 SC combination
reduced levels of the mycotoxin deoxinivalenol by 75 % compared to the control.
These data suggest that K20 may be useful as a fungicide against plant diseases
such as FHB particularly when combined with commercial fungicides applied at
below recommended rates.
PMID- 29801792
TI - Light, stress, sex and carbon - The photoreceptor ENVOY as a central checkpoint
in the physiology of Trichoderma reesei.
AB - Trichoderma reesei represents one of the most prolific producers of homologous
and heterologous proteins. Discovery of the photoreceptor ENV1 as a regulator of
cellulase gene expression initiated analysis of light response pathways and their
physiological relevance for T. reesei. The function of ENV1 in regulation of
plant cell wall degrading enzymes is conserved in Neurospora crassa. ENV1 emerged
as a central checkpoint for integration of nutrient sensing, light response and
development. This photoreceptor exerts its function by influencing transcript
abundance and feedback cycles of the alpha subunits of the heterotrimeric G
protein pathway and impacts regulation of the beta and gamma subunits via mutual
regulation with the phosducin PhLP1. The output of regulation by ENV1 is in part
mediated by the cAMP pathway and likely aimed at cellulose recognition. Lack of
ENV1 causes deregulation of the pheromone system and female sterility in light. A
regulatory interconnection with VEL1 and influence on other regulators of
secondary metabolism like YPR2 as well as polyketide synthase encoding genes
indicates a function in secondary metabolism. The function of ENV1 in integrating
light response with signaling of osmotic and oxidative stress is evolutionary
conserved in Hypocreales and distinct from other sordariomycetes including N.
crassa.
PMID- 29801791
TI - Evaluation of diuron tolerance and biotransformation by the white-rot fungus
Ganoderma lucidum.
AB - The white rot basidiomycete Ganoderma lucidum was evaluated for its capability to
tolerate and to degrade the herbicide diuron. Diuron at a subtoxic concentration
was added at the start of the cultivation in glucose liquid stationary cultures.
Under this condition diuron was a laccase inducer. Almost 50% of the initially
present diuron was removed after 15 d of cultivation. Two diuron metabolites were
found N'-(3,4-dichlorophenyl)-N-methylurea (DCPMU) and 3,4-dichlorophenylurea
(DCPU). The addition of the cytochrome P450 inhibitors 1-aminobenzotriazole and
piperonyl butoxide reduced significantly the capability of the fungus in
degrading diuron. The activities of superoxide dismutase and catalase were
significantly increased in the mycelial extracts by the presence of diuron. On
the other hand, diuron did not cause any significant alteration in the levels of
reactive oxygen species. Additionally, laccase could also degrade diuron in vitro
and this degradation was increased by the addition of synthetic mediators, 3
ethylbenzthiazoline-6-sulphonic acid and acetylacetone. Significant reduction in
the toxicity, as evaluated by the Lactuca sativa bioassay, was observed after G.
lucidum treatment. In conclusion, G. lucidum is able to metabolize diuron by
intra- and extracellular mechanisms, without the accumulation of toxic products.
PMID- 29801793
TI - The oxygen concentration in cultures modulates protein expression and enzymatic
antioxidant responses in Metarhizium lepidiotae conidia.
AB - Conidia from Metarhizium spp. are used for integrated pest control; however,
environmental factors diminish the effectivity of these programs. Several
approaches tried to improve conidia resistance to overcome this limitation,
although little is known about the mechanisms involved in this effect. Here we
measured the activity of antioxidant enzymes and conidia virulence, comparing the
proteomic profiles of Metarhiziumlepidiotae CP-OAX conidia produced under normal
(21% O2) and high oxygen atmospheres (pulses with 30% O2). We detected a higher
virulence against Tenebrio molitor larvae, in addition to an increase in
ultraviolet light tolerance in conidia produced under 30% O2, which correlates
with increased glutathione reductase activity. Two-dimensional gel
electrophoresis (2D SDS-PAGE) of proteins extracted in conidia harvested from
both experimental conditions revealed a group of proteins that was observed only
in conidia from oxidant atmospheres. Some of those proteins were directly
involved in oxidative stress responses, whereas others were involved in conidial
virulence, thermo-tolerance, and the central metabolism. Thus, a high atmospheric
oxygen concentration (30%) activates antioxidant defence and general stress
response mechanisms involved in conidia resistance to adverse environmental
factors, which can ultimately translate into higher effectivity for the use of
entomopathogenic fungi conidia in pest control.
PMID- 29801794
TI - Glucose sensing and light regulation: A mutation in the glucose sensor RCO-3
modifies photoadaptation in Neurospora crassa.
AB - Light regulates fungal gene transcription transiently leading to photoadaptation.
In the ascomycete Neurospora crassa photoadaptation is mediated by interactions
between a light-regulated transcription factor complex, the white collar complex,
and the small photoreceptor VVD. Other proteins, like the RCO-1/RCM-1 repressor
complex participate indirectly in photoadaptation. We show that RCO-3, a protein
with high similarity to glucose transporters, is needed for photoadaptation. The
mutation in rco-3 modifies the transcriptional response to light of several genes
and leads to changes in photoadaptation without significantly changing the amount
and regulation of WC-1. The mutation in rco-3, however, does not modify the
capacity of the circadian clock to be reset by light. Our results add support to
the proposal that there is a connection between glucose sensing and light
regulation in Neurospora and that the fungus integrates different environmental
signals to regulate transcription.
PMID- 29801795
TI - In vitro, ex vivo and in vivo models: A comparative analysis of Paracoccidioides
spp. proteomic studies.
AB - Members of the Paracoccidioides complex are human pathogens that infect different
anatomic sites in the host. The ability of Paracoccidioides spp. to infect host
niches is putatively supported by a wide range of virulence factors, as well as
fitness attributes that may comprise the transition from mycelia/conidia to yeast
cells, response to deprivation of micronutrients in the host, expression of
adhesins on the cell surface, response to oxidative and nitrosative stresses, as
well as the secretion of hydrolytic enzymes in the host tissue. Our understanding
of how those molecules can contribute to the infection establishment has been
increasing significantly, through the utilization of several models, including in
vitro, ex vivo and in vivo infection in animal models. In this review we present
an update of our understanding on the strategies used by the pathogen to
establish infection. Our results were obtained through a comparative proteomic
analysis of Paracoccidioides spp. in models of infection.
PMID- 29801796
TI - Oxidative stress and aging: Learning from yeast lessons.
AB - The yeast Saccharomyces cerevisiae has played a vital role in the understanding
of the molecular basis of aging and the relationship of aging process with
oxidative stress (non-homeostatic accumulation of Reactive Oxygen Species, ROS).
The mammalian and yeast antioxidant responses are similar and over 25 % of human
degenerative disease related genes have close homologues in yeast. The reduced
genetic redundancy of yeast facilitates visualization of the effect of a deleted
or mutated gene. By manipulating growth conditions, yeast cells can survive only
fermenting (low ROS levels) or respiring (increased ROS levels), which
facilitates the elucidation of the mechanisms involved with acquisition of
tolerance to oxidative stress. Furthermore, the yeast databases are the most
complete of all eukaryotic models. In this work, we highlight the value of S.
cerevisiae as a model to investigate the oxidative stress response and its
potential impact on aging and age-related diseases.
PMID- 29801797
TI - Mechanisms of copper and zinc homeostasis in pathogenic black fungi.
AB - Black fungi comprise a diverse group of melanized microorganisms, many of which
are able to infect humans. One of the recognized diseases that arise with black
fungi infection is chromoblastomycosis, a neglected implantation mycosis.
Considering their ecology, black fungi may face conditions with distinct metal
availability. Zinc and copper are essential transition metals, which become toxic
in excess. During the interaction with host, fungi may face either metal
deprivation or poisoning. Here we report an in silico analysis of four black
fungi genomes concerning zinc and copper homeostasis. Overall, these organisms
share apparatus of metal uptake, storage and detoxification with other pathogenic
and non-pathogenic fungi. Genes coding plasma membrane and organelle
transporters, as well as metal binding proteins were identified. Althought
putatives zinc and copper responsive transcription factors have been found in the
analyzed genomes, remarkable structural differences were perceived when compared
to the already characterized regulators. Black fungi may harbor unique features
concerning the regulation of zinc and copper homeostasis, which is probably a
result of the niches they can inhabit. The data provided here add knowlegde to a
still unexplored aspect of black fungi biology that may be useful in the
understanding of their pathogenicity.
PMID- 29801798
TI - Molecular interactions between entomopathogenic fungi (Hypocreales) and their
insect host: Perspectives from stressful cuticle and hemolymph battlefields and
the potential of dual RNA sequencing for future studies.
AB - Entomopathogenic fungi of the order Hypocreales infect their insect hosts mainly
by penetrating through the cuticle and colonize them by proliferating throughout
the body cavity. In order to ensure a successful infection, fungi first produce a
variety of degrading enzymes that help to breach the insect cuticle, and then
secrete toxic secondary metabolites that facilitate fungal invasion of the
hemolymph. In response, insect hosts activate their innate immune system by
triggering both cellular and humoral immune reactions. As fungi are exposed to
stress in both cuticle and hemolymph, several mechanisms are activated not only
to deal with this situation but also to mimic host epitopes and evade the
insect's immune response. In this review, several components involved in the
molecular interaction between insects and fungal pathogens are described
including chemical, metabolomics, and dual transcriptomics approaches; with
emphasis in the involvement of cuticle surface components in (pre-) infection
processes, and fungal secondary metabolite (non-ribosomally synthesized peptides
and polyketides) analysis. Some of the mechanisms involved in such interaction
are also discussed.
PMID- 29801799
TI - Resistance of an Antarctic cryptoendolithic black fungus to radiation gives new
insights of astrobiological relevance.
AB - The Antarctic black meristematic fungus Cryomyces antarcticus CCFEE 515 occurs
endolithically in the McMurdo Dry Valleys of Antarctica, one of the best analogue
for Mars environment on Earth. To date, this fungus is considered one of the best
eukaryotic models for astrobiological studies and has been repeatedly selected
for space experiments in the last decade. The obtained results are reviewed here,
with special focus on responses to space relevant irradiation, UV radiation, and
both sparsely and densely ionizing radiation, which represent the major injuries
for a putative space-traveller. The remarkable resistance of this model organism
to space stress, its radioresistance in particular, and mechanisms involved,
significantly contributed to expanding our concept of limits for life and
provided new insights on the origin and evolution of life in planetary systems,
habitability, and biosignatures for life detection as well as on human protection
during space missions.
PMID- 29801800
TI - Metarhizium robertsii illuminated during mycelial growth produces conidia with
increased germination speed and virulence.
AB - Light conditions during fungal growth are well known to cause several
physiological adaptations in the conidia produced. In this study, conidia of the
entomopathogenic fungi Metarhizium robertsii were produced on: 1) potato dextrose
agar (PDA) medium in the dark; 2) PDA medium under white light (4.98 W m-2); 3)
PDA medium under blue light (4.8 W m-2); 4) PDA medium under red light (2.8 W m
2); and 5) minimum medium (Czapek medium without sucrose) supplemented with 3 %
lactose (MML) in the dark. The conidial production, the speed of conidial
germination, and the virulence to the insect Tenebrio molitor (Coleoptera:
Tenebrionidae) were evaluated. Conidia produced on MML or PDA medium under white
or blue light germinated faster than conidia produced on PDA medium in the dark.
Conidia produced under red light germinated slower than conidia produced on PDA
medium in the dark. Conidia produced on MML were the most virulent, followed by
conidia produced on PDA medium under white light. The fungus grown under blue
light produced more conidia than the fungus grown in the dark. The quantity of
conidia produced for the fungus grown in the dark, under white, and red light was
similar. The MML afforded the least conidial production. In conclusion, white
light produced conidia that germinated faster and killed the insects faster; in
addition, blue light afforded the highest conidial production.
PMID- 29801801
TI - Species of the Metarhizium anisopliae complex with diverse ecological niches
display different susceptibilities to antifungal agents.
AB - Species of the Metarhizium anisopliae complex are globally ubiquitous soil
inhabiting and predominantly insect-pathogenic fungi. The Metarhizium genus
contains species ranging from specialists, such as Metarhizium acridum that only
infects acridids, to generalists, such as M. anisopliae, Metarhizium brunneum,
and Metarhizium robertsii that infect a broad range of insects and can also
colonize plant roots. There is little information available about the
susceptibility of Metarhizium species to clinical and non-clinical antifungal
agents. We determined the susceptibility of 16 isolates comprising four
Metarhizium species with different ecological niches to seven clinical
(amphotericin B, ciclopirox olamine, fluconazole, griseofulvin, itraconazole,
tebinafine, and voriconazole) and one non-clinical (benomyl) antifungal agents.
All isolates of the specialist M. acridum were clearly more susceptible to most
antifungals than the isolates of the generalists M. anisopliae sensu lato, M.
brunneum, and M. robertsii. All isolates of M. anisopliae, M. brunneum, and M.
robertsii were resistant to fluconazole and some were also resistant to
amphotericin B. The marked differences in susceptibility between the specialist
M. acridum and the generalist Metarhizium species suggest that this
characteristic is associated with their different ecological niches, and may
assist in devising rational antifungal treatments for the rare cases of mycoses
caused by Metarhizium species.
PMID- 29801802
TI - Neurospora crassa developmental control mediated by the FLB-3 transcription
factor.
AB - Here, we report that the Neurospora crassa FLB-3 protein, the ortholog of the
Aspergillus nidulans FlbC transcription factor, is required for developmental
control. Deletion of flb-3 leads to changes in hyphae morphology and affects
sexual and asexual development. We identified, as putative FLB-3 targets, the N.
crassa aba-1, wet-1 and vos-1 genes, orthologs of the ones involved in A.
nidulans asexual development and that work downstream of FlbC (abaA, wetA and
vosA). In N. crassa, these three genes require FLB-3 for proper expression;
however, they appear not to be required for normal development, as demonstrated
by gene expression analyses during vegetative growth and asexual development.
Moreover, mutant strains in the three genes conidiate well and produce viable
conidia. We also determined FLB-3 DNA-binding preferences via protein-binding
microarrays (PBMs) and demonstrated by chromatin immunoprecipitation (ChIP) that
FLB-3 binds the aba-1, wet-1 and vos-1 promoters. Our data support an important
role for FLB-3 in N. crassa development and highlight differences between the
regulatory pathways controlled by this transcription factor in different fungal
species.
PMID- 29801803
TI - Improvement of Brazilian bioethanol production - Challenges and perspectives on
the identification and genetic modification of new strains of Saccharomyces
cerevisiae yeasts isolated during ethanol process.
AB - In Brazil, bioethanol is produced by sucrose fermentation from sugarcane by
Saccharomyces cerevisiae in a fed-batch process that uses high density of yeast
cells (15-25 % of wet weight/v) and high sugar concentration (18-22 % of total
sugars). Several research efforts have been employed to improve the efficiency of
this process through the isolation of yeasts better adapted to the Brazilian
fermentation conditions. Two important wild strains named CAT-1 and PE-2 were
isolated during the fermentation process and were responsible for almost 60 % of
the total ethanol production in Brazil. However, in the last decade the
fermentative substrate composition was much modified, since new sugar cane crops
were developed, the use of molasses instead of sugar cane juice increase and with
the prohibition of burning of sugarcane prior harvest. As consequence, these
previously isolated strains are being replaced by new wild yeasts in most of
ethanol plants. In this new scenario the isolation of novel better adapted yeasts
with improved fermentative characteristics is still a big challenge. Here, we
discuss the main aspects of Brazilian ethanol production and the efforts for the
selection, characterization and genetic modifications of new strains with
important phenotypic traits such as thermotolerance.
PMID- 29801804
TI - The Xenon Test Chamber Q-SUN(r) for testing realistic tolerances of fungi exposed
to simulated full spectrum solar radiation.
AB - The low survival of insect-pathogenic fungi when used for insect control in
agriculture is mainly due to the deleterious effects of ultraviolet radiation and
heat from solar irradiation. In this study, conidia of 15 species of
entomopathogenic fungi were exposed to simulated full-spectrum solar radiation
emitted by a Xenon Test Chamber Q-SUN XE-3-HC 340S (Q-LAB(r) Corporation,
Westlake, OH, USA), which very closely simulates full-spectrum solar radiation. A
dendrogram obtained from cluster analyses, based on lethal time 50 % and 90 %
calculated by Probit analyses, separated the fungi into three clusters: cluster 3
contains species with highest tolerance to simulated full-spectrum solar
radiation, included Metarhizium acridum, Cladosporium herbarum, and Trichothecium
roseum with LT50 > 200 min irradiation. Cluster 2 contains eight species with
moderate UV tolerance: Aschersonia aleyrodis, Isaria fumosorosea, Mariannaea
pruinosa, Metarhizium anisopliae, Metarhizium brunneum, Metarhizium robertsii,
Simplicillium lanosoniveum, and Torrubiella homopterorum with LT50 between 120
and 150 min irradiation. The four species in cluster 1 had the lowest UV
tolerance: Lecanicillium aphanocladii, Beauveria bassiana, Tolypocladium
cylindrosporum, and Tolypocladium inflatum with LT50 < 120 min irradiation. The
QSUN Xenon Test Chamber XE3 is often used by the pharmaceutical and automotive
industry to test light stability and weathering, respectively, but it was never
used to evaluate fungal tolerance to full-spectrum solar radiation before. We
conclude that the equipment provided an excellent tool for testing realistic
tolerances of fungi to full-spectrum solar radiation of microbial agents for
insect biological control in agriculture.
PMID- 29801805
TI - Fungal strategies for dealing with environment- and agriculture-induced stresses.
AB - The Fungal Kingdom is responsible for many ecosystem services as well as many
industrial and agricultural products. Nevertheless, how these fungal species
function and carry out these services is dependent on their capacity to grow
under different stress conditions caused by a variety of abiotic factors such as
ionizing radiation, UV radiation, extremes of temperature, acidity and
alkalinity, and environments of low nutritional status, low water activity, or
polluted with, e.g. toxic metals or xenobiotics. This article reviews some
natural or synthetic environments where fungi thrive under stress and have
important impacts in agriculture and forestry.
PMID- 29801806
TI - Activity of the alpha-glucoside transporter Agt1 in Saccharomyces cerevisiae
cells during dehydration-rehydration events.
AB - Microbial cells can enter a state of anhydrobiosis under desiccating conditions.
One of the main determinants of viability during dehydration-rehydration cycles
is structural integrity of the plasma membrane. Whereas much is known about phase
transitions of the lipid bilayer, there is a paucity of information on changes in
activity of plasma membrane proteins during dehydration-rehydration events. We
selected the alpha-glucoside transporter Agt1 to gain insights into stress
mechanisms/responses and ecophysiology during anhydrobiosis. As intracellular
water content of S. cerevisiae strain 14 (a strain with moderate tolerance to
dehydration-rehydration) was reduced to 1.5 g water/g dry weight, the activity of
the Agt1 transporter decreased by 10-15 %. This indicates that functionality of
this trans-membrane and relatively hydrophobic protein depends on water. Notably,
however, levels of cell viability were retained. Prior incubation in the stress
protectant xylitol increased stability of the plasma membrane but not Agt1.
Studies were carried out using a comparator yeast which was highly resistant to
dehydration-rehydration (S. cerevisiae strain 77). By contrast to S. cerevisiae
strain 14, there was no significant reduction of Agt1 activity in S. cerevisiae
strain 77 cells. These findings have implications for the ecophysiology of S.
cerevisiae strains in natural and industrial systems.
PMID- 29801807
TI - Responses of entomopathogenic fungi to the mutagen 4-nitroquinoline 1-oxide.
AB - Survival of entomopathogenic fungi under solar ultraviolet (UV) radiation is
paramount to the success of biological control of insect pests and disease
vectors. The mutagenic compound 4-nitroquinoline 1-oxide (4-NQO) is often used to
mimic the biological effects of UV radiation on organisms. Therefore, we asked
whether tolerance to 4-NQO could predict tolerance to UV radiation in thirty
isolates of entomopathogenic fungi and one isolate of a xerophilic fungus. A
dendrogram obtained from cluster analyses based on the 50 and 90 % inhibitory
concentrations (IC50 and IC90, respectively) divided the fungal isolates into six
clusters numbered consecutively based on their tolerance to 4-NQO. Cluster 6
contained species with highest tolerance to 4-NQO (IC50 > 4.7 MUM), including
Mariannaea pruinosa, Lecanicillium aphanocladii, and Torrubiella homopterorum.
Cluster 1 contained species least tolerant to 4-NQO (IC50 < 0.2 MUM), such as
Metarhizium acridum (ARSEF 324), Tolypocladium geodes, and Metarhizium brunneum
(ARSEF 7711). With few exceptions, the majority of Metarhizium species showed
moderate to low tolerances (IC50 between 0.4 and 0.9 MUM) and were placed in
cluster 2. Cluster 3 included species with moderate tolerance (IC50 between 1.0
and 1.2 MUM). In cluster 4 were species with moderate to high tolerance (IC50
between 1.3 and 1.6 MUM). Cluster 5 contained the species with high tolerance
(IC50 between 1.9 and 4.0 MUM). The most UV tolerant isolate of M. acridum, ARSEF
324, was the least tolerant to 4-NQO. Also, L. aphanocladii, which is very
susceptible to UV radiation, showed high tolerance to 4-NQO. Our results indicate
that tolerance to 4-NQO does not correlate with tolerance to UV radiation.
Therefore this chemical compound is not a predictor of UV tolerance in
entomopathogenic fungi.
PMID- 29801808
TI - Management of the elderly patient with AL amyloidosis.
AB - Systemic immunoglobulin light chain (AL) amyloidosis is an aging-associated
protein misfolding and deposition disease. This condition is caused by a small
and otherwise indolent plasma cell (or B cell) clone secreting an unstable
circulating light chain, which misfolds and deposits as amyloid fibrils possibly
leading to progressive dysfunction of affected organs. AL amyloidosis can occur
in the typical setting of other, rarer forms of systemic amyloidosis and can
mimic other more prevalent conditions of the elderly. Therefore, its diagnosis
requires a high degree of clinical suspicion and reliable diagnostic tools for
accurate amyloid typing, available at specialized referral centers. In AL
amyloidosis, frailty is dictated by the type and severity of organ involvement,
with heart involvement being the main determinant of morbidity and mortality.
Still, given a similar disease stage, elderly patients with AL amyloidosis are
often an even frailer group, due to significant comorbidities, associated
disability and polypharmacotherapy, socioeconomic restrictions, and limited
access to clinical trials. Recent improvements in the use of biomarkers for early
diagnosis, risk stratification and response monitoring, the flourishing of novel,
effective anti-plasma cell therapies developed against multiple myeloma and
adapted to treat AL amyloidosis, and possibly the introduction of anti-amyloid
therapies are rapidly changing the clinical management of this disease and are
reflected by improved outcomes. Of note, hematologic and organ responses in
elderly patients with AL amyloidosis do translate in better outcome, advocating
the importance of treating these patients and striving for a rapid response to
therapy also in this challenging clinical setting.
PMID- 29801809
TI - No correlation between health care expenditure and mortality in the European
Union.
PMID- 29801810
TI - Sexualised drug use: LGTB communities and beyond.
PMID- 29801811
TI - A response to Pienaar et al (2018) 'Problematizing LGBTIQ drug use, governing
sexuality and gender: A critical analysis of LGBTIQ health policy in Australia'.
PMID- 29801812
TI - Blood Pressure Management after Mechanical Thrombectomy for Acute Ischemic
Stroke: A Survey of the StrokeNet Sites.
AB - BACKGROUND: It is unclear what factors providers take into account to determine
the target blood pressure (BP) after mechanical thrombectomy (MT) in patients who
had acute ischemic stroke. We aimed to understand practice patterns of post-MT BP
management across institutions in the United States. METHODS: We surveyed
StrokeNet institutions providing MT and post-MT care with an online
questionnaire, designed to understand institutional post-MT BP management
practices. RESULTS: Of 131 potential institutions, 58 completed the survey. The
majority of institutions target systolic BP (SBP, n = 53, 91%) during the first
24 hours post-MT (n = 32, 55%) using nicardipine as a first-line agent (n = 43,
74%). At most institutions, BP management is determined by a team of physicians
in a collaborative fashion (n = 30, 52%) and individualized on a case-by-case
basis (n = 39, 67%) after taking the reperfusion status into account (n = 42,
72%). In patients with successful reperfusion, 36% (n = 21) of the institutions
target SBP in the range of 120-139 mm Hg, 21% (n = 12) target 140-159 mm Hg, and
28% (n = 16) would accept any value less than or equal to 180 mm Hg. In patients
with unsuccessful reperfusion, 43% (n = 25) would accept any SBP value less than
or equal to 180 mm Hg and 10% (n = 6) would target SBP less than or equal to 220
mm Hg. CONCLUSIONS: We found that majority of the institutions do not have a
standardized protocol for post-MT BP management. There was interinstitutional
heterogeneity in the preferred target of SBP post-MT and most institutions target
values of SBP lower than 180 mm Hg in post-MT patients. Prospective data and
randomized control trial are needed to identify the optimal target BP.
PMID- 29801813
TI - Rehabilitation Characteristics in High-Performance Hospitals after Acute Stroke.
AB - BACKGROUND: Rehabilitation characteristics in high-performance hospitals after
acute stroke are not clarified. This retrospective observational study aimed to
clarify the characteristics of high-performance hospitals in acute stroke
rehabilitation. METHODS: Patients with stroke discharged from participating acute
hospitals were extracted from the Japan Rehabilitation Database for the period
2006-2015. We found 6855 patients from 14 acute hospitals who were eligible for
analysis in this study after applying exclusion criteria. We divided facilities
into high-performance hospitals and low-performance hospitals using the median of
the Functional Independent Measure efficiency for each hospital. We compared
rehabilitation characteristics between high- and low-performance hospitals.
RESULTS: High-performance hospitals had significantly shorter length of stay.
More patients were discharged to home in the high-performance hospitals compared
with low-performance hospitals. Patients in high-performance hospitals received
greater amounts of physical, occupational, and speech therapy. Patients in high
performance hospitals engaged in more self-exercise, weekend exercise, and
exercise in wards. There was more participation of board-certified physiatrists
and social workers in high-performance hospitals. CONCLUSIONS: Our data suggested
that amount, timing, and type of rehabilitation, and participation of
multidisciplinary staff are essential for high performance in acute stroke
rehabilitation.
PMID- 29801814
TI - Association between Homocysteine and Cerebral Small Vessel Disease: A Meta
Analysis.
AB - BACKGROUND: This study aimed to evaluate whether elevated homocysteine levels is
associated with risk of different subtypes of cerebral small vessel disease
(CSVD) by using meta-analysis. MATERIALS AND METHODS: Electronic databases were
systematically searched up to April 2018 for collecting the studies reporting
homocysteine levels in CSVD or CSVD subtypes. After an inclusion and exclusion
criteria, the data was extracted. All data was analyzed using Stata software
v.12.0 (Stata Corp LP, College Station, TX). The standardized mean difference
(SMD) and 95% confidence interval (CI) were used to compare continuous variables.
RESULTS: Eighteen studies met eligibility criteria with 5088 participants (1987
patients with CSVD and 3101 controls) included in the meta-analysis. Meta
analysis revealed that, compared with the controls group, the CSVD group had
significantly higher homocysteine levels, with the SMD of .50 and 95% CI (.36
.64). Subgroup analyses suggested white matter lesion had significantly higher
levels of homocysteine compared with controls (SMD = .56, 95% CI .39-.73),
followed by silent brain infarction (SMD = .33, 95% CI .24-.42) and lacunar
infarction (SMD = .17, 95% CI -.06 to .40). CONCLUSIONS: This meta-analysis found
that CSVD or CSVD subtypes have a significantly higher homocysteine levels than
in controls. Further prospective population-based studies are needed to
longitudinally evaluate the association between homocysteine levels and
progression of different CSVD subtypes.
PMID- 29801815
TI - Modification of Cerebellar Afferent Pathway in the Subacute Phase of Stroke.
AB - BACKGROUND: This study aims to identify the relationship between
corticopontocerebellar tract (CPCT) and corticospinal tract (CST) integrity as
well as motor function after stroke. MATERIALS AND METHODS: A total of 33
patients with stroke (18 left, 15 right hemispheric lesions) who underwent
diffusion tensor imaging within 2 months of stroke onset and 17 age- and sex
matched healthy controls were retrospectively enrolled. Tract volume and the
asymmetry index based on tract volume (AITV) of the CST and CPCT were used to
identify structural changes in individual tracts and the correlation between
those tracts. Motor function was assessed using the Medical Research Council
(MRC) muscle scale, manual function test (MFT), functional ambulation category,
and modified Barthel index. RESULTS: The volume of the affected CPCT was lower,
and that of the unaffected CPCT was higher than the volumes in the control group
(P < .001, P = .001, respectively). The CPCT AITV showed a strong positive
correlation with the CST AITV in patients with either left or right hemispheric
lesions (rs = .779, P < .001; rs = .732, P = .003, respectively). The CPCT AITV
negatively correlated with the MRC muscle scale of the shoulder, wrist, and ankle
muscles (r = -.490, -.490, -.416; P = .004, .004, .016, respectively). A higher
unaffected CPCT volume was indicative of less affected upper extremity function,
as assessed by MFT (rs = -.546, P = .029). CONCLUSIONS: Modification of the CPCT
depended on CST integrity and was associated with the severity of hemiplegia and
hemiplegic upper extremity function. The CPCT may complement the role of the CST
and help to predict the motor function.
PMID- 29801816
TI - Effects of cationic starch in the presence of cellulose nanofibrils on
structural, optical and strength properties of paper from soda bagasse pulp.
AB - The effects of widely available bio-based additives, cellulose nanofibrils (CNFs)
(0.1, 0.5, 1, and 2 wt%, based on dry weight of pulp) in combination with high
degree of substitution cationic starch (CS) (0.2, 0.4, and 0.6 wt%, based on dry
weight of pulp) on the structural, optical and strength properties of handsheet
paper made from soda bagasse pulp were studied. Obtained results indicated that
both the type and loading level of the additives had meaningful effects (99%
confidence level) on the evaluated properties. Scanning electron microscope (SEM)
images showed the retention and reinforcing effects of the additives on the paper
network. Tensile and burst strengths increased continuously with increasing
levels of the bio-additives up to 33% and 23% (0.6 CS/2% CNFs), respectively.
However, the cellulose nanofibrils improved retention and drainage of the pulp at
the lower levels (0.5 CS/0.1 CNFs), presumably due to complex interaction between
CNFs and CS. Moreover, for the handsheet papers made of semi-bleached soda
bagasse pulp, higher addition of CNFs improved the brightness of paper.
PMID- 29801817
TI - New cyclodextrin-based nanocarriers for drug delivery and phototherapy using an
irinotecan metabolite.
AB - SN38 is an active metabolite of irinotecan, which was approved for clinical use
in metastatic colorectal cancers. However, poor aqueous solubility and
inactivation at pH below 6 are the main limitations of its use. In the current
study, we separately conjugated alpha-, beta- and gamma-cyclodextrins to graphene
oxide sheets to produce stable, biocompatible nanocarriers for SN38 delivery. The
conjugates were coordinated with Fe3O4 in the form of superparamagnetic iron
oxide nanoparticles. Then, SN38 was non-covalently conjugated to the developed
nano-conjugate in order to overcome its solubility and stability problems and
reduce its side effects. The loading efficiency of different formulations was
between 13-22%. alpha-CD-GO-Fe3O4-SN38 and gamma-CD-GO-Fe3O4-SN38 significantly
enhanced the cytotoxicity of the conjugates compared to the free drug. Besides,
combined photothermal/chemotherapy study revealed that all the designed nano
platforms reduced the HT-29 cell line viability synergistically in vitro.
However, beta-CD-GO-Fe3O4-SN38 showed the highest synergistic effect compared to
other formulations. In conclusion, the results of the study revealed that such
combined treatment platforms might find their way as potential therapeutics to
fight against cancer.
PMID- 29801819
TI - Cellulose nanofibers from banana peels as a Pickering emulsifier: High-energy
emulsification processes.
AB - Cellulose nanofibers (CNFs) from banana peels was evaluated as promising
stabilizer for oil-in-water emulsions. CNFs were treated using ultrasound and
high-pressure homogenizer. Changes on the size, crystallinity index and zeta
potential of CNFs were associated with the intense effects of cavitation
phenomenon and shear forces promoted by mechanical treatments. CNFs-stabilized
emulsions were produced under the same process conditions as the particles.
Coalescence phenomenon was observed in the emulsions produced using high-pressure
homogenizer, whereas droplets flocculation occurred in emulsions processed by
ultrasound. In the latter, coalescence stability was associated with effects of
cavitation forces acting on the CNFs breakup. Thus, smaller droplets created
during the ultrasonication process could be recovered by particles that acted as
an effective barrier against droplets coalescence. Our results improved
understanding about the relationship between the choice of emulsification process
and their effects on the CNFs properties influencing the potential application of
CNFs as a food emulsifier.
PMID- 29801818
TI - Cyclic nigerosyl-1,6-nigerose-based nanosponges: An innovative pH and time
controlled nanocarrier for improving cancer treatment.
AB - The design and structural optimisation of a novel polysaccharide-based
nanomaterial for the controlled and sustained release of doxorubicin are here
reported. A cross-linked polymer was obtained by reacting a tetraglucose, named
cyclic nigerosyl-1-6-nigerose (CNN), with pyromellitic dianhydride. The cross
linking reaction formed solid nanoparticles, named nanosponges, able to swell as
a function of the pH. Nanoparticle sizes were reduced using High Pressure
Homogenization, to obtain uniform nanosuspensions. Doxorubicin was incorporated
into the CNN-nanosponges in a good extent. DSC and solid state NMR analyses
proved the drug interaction with the polymer matrix. In vitro studies
demonstrated pH-dependent slow and prolonged release kinetics of the drug from
the nanoformulation. Doxorubicin-loaded CNN-nanosponges were easily internalized
in A2780 cell line. They might considered an intracellular doxorubicin reservoir,
able to slowly release the drug over time. CNN-nanosponges may be promising
biocompatible nanocarriers for the sustained delivery of doxorubicin with
potential localised application in cancer treatments.
PMID- 29801820
TI - Exopolysaccharide produced by Streptococcus thermophiles S-3: Molecular, partial
structural and rheological properties.
AB - Yogurt fermented by Streptococcus thermophiles S-3 strain showed higher viscosity
and thicker mouth feel than the ones using other lactic acid bacteria strains,
which was due to the higher yield of exopolysaccharide (EPS-3) produced during
fermentation process. In the present study, molecular characteristics, partial
structural features and rheological properties of EPS-3 were studied using triple
detector HPSEC, NMR and steady & dynamic rheological testing, respectively. EPS-3
showed relatively high molecular weight (574 kDa) and narrow polydispersity Index
(1.27). Monosaccharides composition analysis indicated that EPS-3 was composed of
N-Acetyl-galactosamine, galactose and glucose in the molar ratio of 1:2:1.
Conformational parameters from Mark-Houwink equation (0.68) and Rg & Mw
relationships (0.56) both indicated a random coil conformation of EPS-3. Results
from steady flow tests showed an obvious shear thinning behavior, which was
enhanced with the increased concentrations and decreased temperatures. Dynamic
rheology indicated that EPS-3 was reluctant to form gel in water solution (G" >
G'). EPS-3 demonstrated compatible interaction with milk protein with less
syneresis in comparison to the yogurts adding agar and/or pectin. With all the
information provided, this study could help promote the application of both EPS-3
and S. thermophiles S-3 strain in different dairy products.
PMID- 29801821
TI - Preparation, characterization, and functional evaluation of proanthocyanidin
chitosan conjugate.
AB - In this study, chitosan (CS) was conjugated with proanthocyanidin (PA) by a free
radical grafting reaction. The successful synthesis of PA-CS conjugate was
confirmed by Fourier transform infrared spectroscopy and proton nuclear magnetic
resonance. The optimal molar ratio of PA to CS repeat unit for the preparation of
PA-CS was 0.13:1, which led to a high PA content of 381.76 mg PAE/g in PA-CS. The
antioxidant assays demonstrated that PA-CS had much stronger radical scavenging
activity and reducing power than the native CS. Especially, the half-inhibition
concentrations of PA-CS against DPPH and ABTS radicals were only 6.2 MUg/mL and
5.9 MUg/mL, respectively. In addition, PA-CS showed an alteration in
antibacterial activity compared with CS, and the alteration varied with bacterial
strain.
PMID- 29801822
TI - One-pot synthesis of graphene/chitin nanofibers hybrids and their remarkable
reinforcement on Poly(vinyl alcohol).
AB - Novel hybrid nanomaterials composed of graphene and chitin nanofibers (ChNFs)
were successfully prepared by one-pot ball milling. Under strong shear and
collision force of ball milling, graphite was exfoliated to mono-layer or few
layer graphene with the assistance of chitin nanofibers. Unexpectedly, the
hybridization of exfoliated graphene and ChNFs was realized simultaneously.
Morphology analysis observed that the ChNFs were adsorbed tightly on the surface
of graphene, providing for reduced graphene hydrophobicity and enhanced stability
of the hybrid dispersion. In addition, the concentration of exfoliated graphene
reaches up to 1.5 mg ml-1. Strong interaction between graphene and ChNFs may
benefit from the large amounts of carboxylate groups on the surface of ChNFs,
which was prepared by TEMPO-mediated oxidation of chitin. As prepared
graphene/ChNFs hybrids can remarkably enhance both the tensile strength and
toughness of Poly(vinyl alcohol). This study provides a green, simple and large
scale synthesis method for preparing water-dispersible graphene/ChNFs hybrid
nanobuilding blocks, which shows great promise potential in various applications
requiring biocompatibility, hydrophilicity, electrical conductivity and strong
mechanical properties.
PMID- 29801823
TI - Characterization of amylose nanoparticles prepared via nanoprecipitation:
Influence of chain length distribution.
AB - The influence of chain length distribution of amylose on size and structure of
the amylose nanoparticles (ANPs) prepared through nanoprecipitation was
investigated. Amylose with different chain length distributions was obtained by
beta-amylase treating amylose paste for different times and measured by size
exclusion chromatography (SEC) and fluorophore-assisted carbohydrate
electrophoresis (FACE). ANPs prepared via precipitation were characterized by
using dynamic light scattering (DLS), scanning electron microscopy (SEM) and X
ray diffraction (XRD). Results showed that the beta-amylase treatments led to
decrease in chain length of amylose, and it was the most important factor
affecting size of ANPs. When hydrolysis degree of amylose was 52.8%, mean size of
ANPs decreased from 206.4 nm to 102.7 nm. All the ANPs displayed a V-type
crystalline structure and the effect of amylose chain length on crystallinity of
the precipitated ANPs was negligible in the investigated range.
PMID- 29801824
TI - On the potential of using nanocellulose for consolidation of painting canvases.
AB - Nanocellulose has been recently proposed as a novel consolidant for historical
papers. Its use for painting canvas consolidation, however, remains unexplored.
Here, we show for the first time how different nanocelluloses, namely
mechanically isolated cellulose nanofibrils (CNF), carboxymethylated cellulose
nanofibrils (CCNF) and cellulose nanocrystals (CNC), act as a bio-based
alternative to synthetic resins and other conventional canvas consolidants.
Importantly, we demonstrate that compared to some traditional consolidants, all
tested nanocelluloses provided reinforcement in the adequate elongation regime.
CCNF showed the best consolidation per added weight; however, it had to be
handled at very low solids content compared to other nanocelluloses, exposing
canvases to larger water volumes. CNC reinforced the least per added weight but
could be used in more concentrated suspensions, giving the strongest
consolidation after an equivalent number of coatings. CNF performed between CNC
and CCNF. All nanocelluloses showed better consolidation than lining with
synthetic adhesive (Beva 371) and linen canvas in the elongation region of
interest.
PMID- 29801825
TI - Cellulosic Cr(salen) complex as an efficient and recyclable catalyst for
copolymerization of SO2 with epoxide.
AB - The search for green catalytic processes for the synthesis of useful polymers and
incorporating the waste SO2 in highly-selective pathways become extremely
important in the coming years. Herein, cellulose was modified by ethylenediamine,
and then synthesized Schiff base with 3,5-di-tert-butyl-2-hydroxybenzaldehyde to
immobilize chromium chloride and formed a novel heterogeneous cellulosic
Cr(salen)-type catalyst for the first time. The cellulosic Cr(salen)-type
catalyst shows high efficiency and recyclability in copolymerization of
cyclohexene oxide with SO2. The influence factors such as the molar ratio of the
catalyst and cyclohexene oxide, reaction temperature, and reaction time were
researched in detail to study the optimal conditions. The copolymer product was
characterized by FTIR and 1H NMR for confirming the structure. The possible
copolymer mechanism is given, and we believed that the novel cellulosic Cr(salen)
type complex will be used as an efficient catalyst in other chemical reactions.
PMID- 29801826
TI - Synthesis and characterization of rice starch laurate as food-grade emulsifier
for canola oil-in-water emulsions.
AB - The effect of esterification on hydrolyzed rice starch was analyzed, for this aim
rice starch was hydrolyzed and subsequently esterified with lauroyl chloride at
three modification levels. Starch derivatives were characterized regarding their
degree of substitution (DS), water solubility index, z-potential, gelatinization,
and digestibility properties. DS of derivatives of rice starch laurate ranged
from 0.042 to 1.86. It was determined that after esterification the water
solubility index increased from 3.44 to 53.61%, the z-potential decreased from
3.18 to -11.27, and the content of slowly digestible starch (SDS) decreased from
26.22 to 5.13%. Different emulsions with starch concentrations ranging from 6 to
30 wt% were evaluated. The most stable emulsions were those having 20 and 30 wt%
of rice starch laurate.
PMID- 29801827
TI - The interfacial, emulsification and encapsulation properties of hydrophobically
modified inulin.
AB - Octenyl- and dodecenyl succinic anhydride derivatives (OSA- and DDSA-) of inulin
have been synthesised and their solution and interfacial properties have been
determined and compared to a commercially available alkylated inulin, Inutec SP1.
All samples formed micellar aggregates in solution above a critical concentration
(critical aggregation concentration) and were able to 'dissolve' a hydrophobic
dye. They were also able to form stable oil-in-water (O/W) emulsions as assessed
by measurements of their droplet size as a function of time. DDSA-inulin with a
high degree of substitution was found to be effective at encapsulating beta
carotene using the solvent evaporation method which yielded a solid which
dissolved readily in simulated gastric fluid. The results confirm the potential
application of these materials in a number of areas including, drug delivery,
pharmaceuticals, neutraceuticals, cosmetics and personal care.
PMID- 29801828
TI - Extraction and characterization of rye grain starch and its susceptibility to
resistant starch formation.
AB - The aim of this work was to isolate starch from different parts of rye grain by a
traditional and newly developed enzymatic method, and to compare the physical,
chemical and molecular properties of isolated starches, especially focusing on
susceptibility to resistant starch (RS) formation. Enzymatic treatment provided a
high yield of starch which differed in granularity depending on the parts of
grain used for isolation. A share of extreme size granules in starches have a
strong impact on swelling and pasting properties. The differences could be
explained by molecular properties of starch fractions in the examination of which
HPSEC with post-column derivatization was especially helpful showing higher
dispersity and molar mass of amylose in starches obtained by the enzymatic
method. The derivatized SEC profiles of RS have not been reported earlier.
Starches isolated by enzymatic method with a high share of small starch granules
were less susceptible to a RS formation.
PMID- 29801829
TI - Starch carboxymethylation by reactive extrusion: Reaction kinetics and structure
analysis.
AB - An efficient reaction of carboxymethylation using reactive extrusion (REX) on
plasticized starch was studied. The reaction products were characterized by
Nuclear Magnetic Resonance (NMR) spectroscopy and high-performance liquid
chromatography (HPLC). Several parameters affecting the reaction were
investigated including the amount of plasticizer, reagent stoichiometry and
reaction time. Reaction efficiency (RE) up to 65% was achieved in one step after
5 min of reaction, showing that the reaction kinetics is hundred times faster
than the same reaction described in solution. The degree of substitution (DS) of
2.1 was obtained in 3 steps. The regioselectivity of the reaction on the
anhydroglucose monomers units (AGU) was respectively C-2 > C-6 > C-3. A
significant deviation from the Spurlin statistical model was observed.
Multisubstituted AGU were analyzed by chromatography. Different multi
substitution patterns were obtained by using different starch sources. Tetra
carboxymethyl glucose corresponding to the complete modification of the terminal
non-reducing glucoses from branched amylopectin chains was analysed.
PMID- 29801831
TI - Chitosan-functionalized supermagnetic halloysite nanotubes for covalent laccase
immobilization.
AB - Halloysite nanotubes (HNTs) were modified with supermagnetic Fe3O4 (M-HNTs) and
functionalized with chitosan (CTA) (termed as M-HNTs-CTA). Furthermore, M-HNTs
CTA were cross-linked using glutaraldehyde and applied for covalent laccase
immobilization (M-HNTs-CTA-Lac). Facile-synthesized modified HNTs were
structurally characterized by scanning electron microscopy, high resolution
transmission electron microscopy, X-ray photoelectron spectroscopy, and
thermogravimetric analyses. M-HNTs-CTA-Lac exhibited 92.74 mg/g of laccase
immobilization capacity and 92% of activity recovery. Biochemical properties of M
HNTs-CTA-Lac exhibited higher pH and temperature stabilities, with exceptional
reusability capabilities until the 11th cycle. Moreover, M-HNTs-CTA-Lac exhibited
87% of 2,2'-azinobis (3-ethylbenzthiazoline-6-sulphonic acid) (ABTS)-mediated
Direct Red 80 (DR80) decolorization. By the 11th cycle, M-HNTs-CTA-Lac exhibited
33% DR80 decolorization. Therefore, M-HNTs-CTA can function as CTA-modified
supermagnetic nonreactors for immobilization of biomacromolecules. The
investigated M-HNTs-CTA-Lac are thus biocompatible and environment-friendly
biocatalysts for degradation of textile waste, such as DR80, and can be rapidly
retrieved from aqueous solution by a magnet after decontamination of
environmental pollutants.
PMID- 29801830
TI - Production and characterization of bacterial cellulose produced by
Gluconacetobacter xylinus isolated from Chinese persimmon vinegar.
AB - This study aimed to characterize the structural and physico-mechanical properties
of bacterial cellulose (BC) produced by Gluconoacetobacter xylinus TJU-S8 which
was isolated from Chinese persimmon vinegar. Thermogravimetric analysis (TGA)
showed that BC exhibited a good thermal stability. Solid-state nuclear magnetic
resonance (NMR), fourier transform infrared spectroscopy (FT-IR) and x-ray
diffraction (XRD) analysis revealed that BC had a typical crystalline form of the
cellulose I. The BC membrane had typical characteristics such as nanodimensional
network and microfibrils obtained by scanning electron microscopy (SEM).
Moreover, the bacterial cellulose chitosan (BC-C) membrane and bacterial
cellulose carboxymethyl chitosan (BC-CC) membrane were synthesized which showed
significant inhibition against the growth of both Escherichia coli and
Staphylococcus aureus. These results indicated superior properties of BC that
advocated its effectiveness for various applications.
PMID- 29801832
TI - Preparation of carrageenan fibers with extraction of Chondrus via wet spinning
process.
AB - Traditionally, the carrageenan fibers were prepared by process of extracting,
drying, dissolving of carrageenan power and wet spinning process of fibers.
However, in this study, carrageenan fibers were prepared by carrageenan solution
directly from the extraction of Chondrus, without the steps of precipitation, and
drying. The properties of carrageenan powder, spinning solution and carrageenan
fibers were characterized with zeta potential, NMR, FTIR, dynamic light
scattering (DLS), SEM, tensile testing and energy dispersive spectrometer (EDS).
The results showed that the Chondrus contained mainly iota-carrageenan. The
extraction of Chondrus was a uniform liquid with good properties of a solution,
which was suitable as a spinning solution. The so prepared carrageenan fibers
possessed good morphology and tensile properties. The excellent tensile
properties of the carrageenan fibers were attributed to the combination of
sulfate ester in the carrageenan and barium ions in the coagulation and then form
a three-dimensional network structure.
PMID- 29801833
TI - Spatially featured porous chitosan conduits with micropatterned inner wall and
seamless sidewall for bridging peripheral nerve regeneration.
AB - In this study, a facile process for efficient and scalable fabrication of
biomaterials conduits with seamless sidewall and longitudinally aligned structure
on luminal inwall by combining use of lyophilization and micromolding method was
firstly developed and reported. The micropatterned chitosan conduits possessed
better mechanical matching with the normal sciatic nerve. The highly aligned
microstructure could accelerate the orientation growth of newborn tissue, whereas
the porous sidewall is anticipated to be beneficial for loading biofactors and
reducing nutrition leakage or neurite exogenesis. The as prepared chitosan
conduits with micropatterned inwall are proven to significantly promote the
regeneration of 10 mm-gapped sciatic nerve of rat. Taken together, the study
provides an important experiment and application basis for design and development
of new generation of artificial implants for peripheral nerve regeneration, which
may also substantially extend current knowledge concerning the engineered
biomaterials conduits for tissue engineering and regenerative medicine.
PMID- 29801834
TI - Preparation and characterization of maltodextrin-based polyurethane.
AB - Maltodextrin (MD) based polyurethane (MDPU) was prepared by the reaction of MD
and polyethyleneglicol (PEG) polyurethane prepolymer (PUP). The structure and
properties of the MDPU were investigated by Fourier transform infrared
spectroscopy (FTIR), nuclear magnetic resonance spectroscopy (1H NMR),
thermogravimetric analysis (TGA), differential scanning calorimetry (DSC),
scanning electron microscope (SEM), Energy dispersive X-ray spectrometry (EDS),
and tensile-testing machine in detail. Chemical structure of MDPU was confirmed
by FTIR and 1H NMR. MDPU with 66.7% of PUP (MDPU-0.5) was a thermoset plastic
with good elasticity but the others (MDPU-1, MDPU-2, and MDPU-3) were
thermoplastics. TGA analysis showed that the MDPUs exhibited three stages of the
thermal degradation, mainly including urethane linkage (I, 197-268 degrees C),
MD and PUP components (II, 268-380 degrees C) and the formed stable structures
during thermal degradation (III, 400-505 degrees C), respectively. The various
degrees of miscibility were presented. The mechanical properties of thermoplastic
MDPUs exhibited relatively high elongation at break under the given relative
humidity.
PMID- 29801836
TI - Chitosan reinforced boehmite nanocomposite desiccant: A promising alternative to
silica gel.
AB - This paper describes the synthesis and performance evaluation of a granular solid
desiccant composite synthesized through a sol-gel process at atmospheric pressure
and ambient temperature. The composite desiccant essentially comprises of a
biopolymer template, chitosan, and nanoscale boehmite particles embedded on the
fibrils of the biopolymer. The chitosan fibers not only help in the formation of
boehmite nanoparticles but also act as a reinforcing agent and enable the
formation of sand like granules upon aging and drying. The composite showed
promising ability to dehumidify the moist air. The desiccant was characterized in
detail to study its textural, morphological and chemical properties. The results
revealed the formation of crystalline, nanostructured composite with moisture
adsorption capacity more than its self-weight (>1.5 g/g at 55-65% RH). The high
moisture removal capacity, ease of synthesis and scale-up, and green synthesize
approach would make the material a sustainable substitute for silica gel.
PMID- 29801835
TI - beta-Cyclodextrin encapsulation elevates antioxidant capacity of tea: A closing
chapter on non-epicatechins, atomistic insights from X-ray analysis, DFT
calculation and DPPH assay.
AB - Polyphenolic catechins prevalent in tea are powerful antioxidants for
therapeutics of various free radical-related diseases. The non-epicatechins are
thermally obtained from the naturally abundant epicatechins. In our study series
on the structure-antioxidant property relationship of the CD inclusion complexes
with tea catechins, this closing chapter presents the beta-CD encapsulation of
three non-epicatechins, i.e., (-)-gallocatechin (GC) 1, (-)-catechin gallate (GC)
2, and (-)-gallocatechin gallate (GCG) 3 investigated by means of single-crystal
X-ray diffraction, DFT calculation and DPPH radical scavenging activity assay.
Detailed structural comparisons of the beta-CD inclusion complexes with both non
epi and epi type catechins reveal that a common host-guest hydrogen bonding
scheme and the shielding of catechin OH groups inside the host circular wall play
a prime role in flourishing antioxidant capacities in the order of 3 > 2 > 1.
This is consistent with the relative thermodynamic stabilities derived from DFT
energy minimization.
PMID- 29801837
TI - Effects of amine loading on the properties of cellulose nanofibrils aerogel and
its CO2 capturing performance.
AB - In this work, cellulose nanofibrils (CNFs) were used to develop novel amine-based
aerogels that can be applied as adsorbents for CO2. Elemental analysis indicated
that there was an increase in the C and N content when the concentration of
aminosilane was higher. Moreover, Fourier transform infrared spectroscopy
confirmed that the aminosilane had been grafted on the CNF. Thermal analysis and
X-ray diffraction revealed that the thermal stability of the aerogel decreased
after the modification, and the crystallinity decreased. However, the higher
amine loading led to a rougher CNF surface and a larger average pore size, which
favored the CO2 capture. Furthermore, CNF grafted with aminosilane displayed a
high CO2 adsorption capacity (1.91 mmol/g 25 degrees C, 1 bar) and an easy
regeneration process by heating at 80 degrees C. Therefore, the prepared polymer
aerogels might have a highly potential use in the capture of CO2.
PMID- 29801838
TI - Effect of chitin nanofibrils on electrospinning of chitosan-based composite
nanofibers.
AB - Electrical conductivity, surface tension and viscosity of chitosan-based
composite nanofibers are reported. 20 wt.% of chitin nanofibrils introduced into
a chitosan solution leads to increase in viscosity of the mixture; the effect of
shear rate becomes more pronounced. This phenomenon is caused by the formation of
cluster structures involving filler particles, and by orientation of chitin
nanofibrils under the action of shear stresses in electromagnetic field. Presence
of chitin facilitated formation of nanofibers in electric field and led to
significant decrease in the amount of defects.
PMID- 29801839
TI - Fortification of extruded snacks with chitosan: Effects on techno functional and
sensory quality.
AB - Chitosan is a dietary fibre that possesses numerous functional, technological and
physiological properties useful in improving food quality. Owing to its fat
absorbing ability, chitosan is widely consumed as a health supplement in the form
of tablets and capsules. With a view to enhance it consumption and availability,
the current work was taken up to evaluate techno-functional quality improvement
of shrimp based extruded snacks fortified with chitosan. Chitosan powder at 1, 2
and 3% (w/w) level was added to the base material (corn flour and rice flour in
the ratio of 70:30 and 15% Acetes powder) for extrusion. Addition of chitosan in
acetes based snacks significantly reduced expansion ratio, porosity and
crispiness and increased the hardness value of the product. Chitosan addition had
a significant effect (p > 0.05) on the moisture retention and total protein
contents of the products as well. Thiobarbituric acid reactive substances (TBARS)
value of chitosan fortified extrudate showed a significantly lower value than the
control sample. A higher level of chitosan also resulted in colour reduction of
the final product. The FTIR spectra of extrudate confirmed the stability of
chitosan during extrusion conditions. The sensory score revealed that extrudate
fortified with 1% chitosan was comparable to control sample. From this study it
is concluded that 1% chitosan can be incorporated in Acetes based extruded snacks
for an increased level of functionality.
PMID- 29801840
TI - Fabrication of novel metal ion imprinted xanthan gum-layered double hydroxide
nanocomposite for adsorption of rare earth elements.
AB - The work focus to enhance the properties of xanthan gum (XG) by anchoring metal
ions (Fe, Zr) and encapsulating inorganic matrix (M@XG-ZA). The fabricated
nanocomposite was characterized by Transmission Electron Microscopy (TEM),
Scanning Electron Microscopy (SEM), Energy-dispersive X-ray spectroscopy (EDX),
Fourier Transform Infrared Spectroscopy (FTIR), surface area (BET) and zeta
potential analysis. The adsorption of Sc, Nd, Tm and Yb was investigated after
screening of synthesized materials in detail to understand the influence of pH,
contact time, temperature and initial REE (rare earth element) concentration both
in single and multicomponent system via batch adsorption. The adsorption
mechanism was verified by FTIR, SEM and elemental mapping. The SEM images of
Zr@XG-ZA demonstrate scutes structure, which disappeared after adsorption of
REEs. The maximum adsorption capacities were 132.30, 14.01, 18.15 and 25.73 mg/g
for Sc, Nd, Tm and Yb, respectively. The adsorption efficiency over Zr@XG-ZA in
multicomponent system was higher than single system and the REEs followed the
order: Sc > Yb > Tm > Nd. The Zr@XG-ZA demonstrate good adsorption behavior for
REEs up to five cycles and then it can be used as photocatalyst for the
degradation of tetracycline. Thus, the work adds a new insight to design and
preparation of efficient bifunctional adsorbents from sustainable materials for
water purification.
PMID- 29801841
TI - Effect of autohydrolysis on Pinus radiata wood for hemicellulose extraction.
AB - The extraction of hemicellulose from pine wood was studied by applying
autohydrolysis treatment. A central composite experimental design was carried out
using different temperatures (150-190 degrees C) and times (30-90 min) to select
the most favorable operating conditions for maximizing the extraction of
hemicellulose and minimizing its degradation. This liquid phase was analyzed by
HPLC to quantify oligosaccharides, monosaccharides and degradation products. The
composition of the autohydrolyzed wood was determined and characterized,
employing FTIR and TGA. Herein, 60% of the hemicelluloses were extracted under a
temperature of 170 degrees C in 60 min, presenting primarily in an oligomeric
form in the liquid phase, with the solid phase remaining enriched in cellulose
and lignin.
PMID- 29801842
TI - Mechanism and structure studies of cinnamaldehyde/cyclodextrins inclusions by
computer simulation and NMR technology.
AB - This work aims to explore the inclusion mechanism and structure of cinnamaldehyde
(CNMA) and cyclodextrins (CDs), and to provide some theoretical information for
the application of CNMA and its inclusion. In this study, we prepared three kinds
of inclusion and investigated the mechanism and structure by theory and
experiment. Molecular docking and dynamical simulations presented a stable 1:1
inclusion complex and the visual structure model. The structural features
indicated that the benzene ring of CNMA was enclosed in the hydrophobic cavity of
CDs, which were consistent with the results of 1H NMR, 2D-ROESY, Fourier
transform infrared spectroscopy. The inclusion mechanism studies showed that the
inclusion process was driven mainly by enthalpy with the binding constant
following the order of DM (dimethyl) > HP (hydroxypropyl) > beta-CD. Moreover,
the inclusion complex showed an advantageous water solubility and dissolution
rate compared with CNMA.
PMID- 29801843
TI - Production of cellulose nanofibers from Aspen and Bode chopsticks using a high
temperature and high pressure steam treatment combined with milling.
AB - Holocellulose was generated from Aspen and Bode chopsticks by high temperature,
high pressure steam treatment combined with milling. The steam treatment
conditions were increased, the holocellulose component ratio in the treated
sample as well as its molecular weight decreased. The treated holocellulose was
subjected to grinder treatment to obtain cellulose nanofibers (CNF). Field
emission scanning electron micrographs indicated that CNF were successfully
produced. The maximum tensile strength values of 86.9 and 109.9 MPa/(g/cm3) for
Aspen and Bode, respectively, were obtained at a steam pressure of 15 atm and
steaming time of 5 min. Furthermore, it was demonstrated that the optimal
molecular weight of holocellulose to produce CNF with high tensile strength was
around 1.2 * 105, while the optimal holocellulose recovery rate (HR; the degree
of fibrillation was defined as HR in this study) was around 1.00.
PMID- 29801844
TI - Carboxymethylation of pectin: Optimization, characterization and in-vitro drug
release studies.
AB - The sequential optimization of carboxymethylation of pectin by Plackett-Burman
(PB) design and response surface methodology (RSM) was reported in this study. PB
design was employed to screen the six process variables (ethanol concentration,
liquid-polymer ratio, NaOH concentration, CAA concentration, temperature and
time). Central composite design (CCD) was used to study the interaction effects
of ethanol concentration, NaOH concentration, CAA concentration and time on
degree of substitution (DS) in carboxymethylated pectin (CMP). Maximum DS value
of 0.496 was predicted at ethanol concentration (80%), NaOH concentration (38%),
CAA concentration (8.5%) and time (60 min). The synthesized CMP was characterized
by FT-IR, XRD, TGA and viscometer. Results of FTIR, XRD and TGA confirmed the
modification made in the pectin polymer and highly methylated. Faster release of
5-FU drug was observed with CMP-chitosan nanoparticles as compared to pectin
chitosan nanoparticles and the drug release followed zero order kinetics model.
PMID- 29801845
TI - Bioactive potential and structural characterization of sulfated polysaccharides
from Bullet tuna (Auxis Rochei) by-products.
AB - The present study deals with the isolation of sulfated polysaccharides (Ps) from
the Bullet tuna by-products (head, skin and bones). Results of chemical
characterization revealed that Ps-bones showed the highest total sugar, uronic
acid and sulfate group contents. Tuna extracted-Ps contained a mixture of neutral
sugars, with high amounts of glucuronic and galacturonic acids and presented
different molecular weights. The presence of sulfate groups in different Ps was
confirmed by FTIR analysis. Interestingly, Ps-bones showed the highest
antioxidant activity among all the extracted Ps. Moreover, results revealed that
all polysaccharides displayed varying degrees of antibacterial activity. Ps-bones
exhibited high and wide spectrum of activities, inhibiting the growth of all
bacteria tested. Ps-bones incorporated during fillet cooking offered an excellent
protection of fish fillet by avoiding pH change, color loss, lipid oxidation and
spoilage. Overall, the results demonstrated that Ps could be potentially used as
natural antioxidant and antibacterial agents.
PMID- 29801846
TI - Flexible and transparent films produced from cellulose nanowhisker reinforced
agarose.
AB - Transparent and flexible nanocomposite films with a range of Agarose to Cellulose
Nano-Whisker (CNW) ratios were produced using never-dried CNWs. The incorporation
of never-dried CNWs within Agarose played an important role in the surface
roughness (Ra 7-15 nm) and light transparency of the films (from 84 to 90%).
Surface induced crystallisation of Agarose by CNWs was also found with increasing
percentage of crystallinity (up to 79%) for the nanocomposite films, where CNW
acted as nucleating sites. The enhanced tensile strength (ca. 30% increase) and
modulus (ca. 90% increase) properties of the nanocomposite films compared to the
control Agarose film indicated the effectiveness of the nanowhiskers
incorporation. The storage modulus of the nanocomposite films increased also to
be tripled Agarose alone as the CNWs content reached 43%. The swelling kinetics
of the nanocomposites revealed that addition of CNWs reduced the long-term
swelling capacity and swelling rate of the nanocomposite.
PMID- 29801848
TI - Preparation of spherical porous hydrogel beads based on ion-crosslinked gum
tragacanth and graphene oxide: Study of drug delivery behavior.
AB - In this study, new spherical pH-sensitive porous hydrogel beads were prepared
based on the water-soluble gum tragacanth (GT) polysaccharide and graphene oxide
(GO) nanosheets by using Ca+2 and Ba+2 ions as crosslink agents, calcium
carbonate particles as solid porogen, and Rivastigmine (RIV) as a model drug. The
prepared hydrogel beads were characterized by FE-SEM, XRD, FTIR, BET, and TGA
techniques. The swelling behavior of the beads was affected by cross-linker
content, type of cross-linker, composition of beads, and pH. These hydrogel beads
exhibited well pH-sensitivity during drug release investigation under simulated
gastric (<45% at pH 1.2) and intestinal (~97% at pH 7.4) media. The cytotoxicity
test was performed on human fibroblast cells using MTT assay. The results
indicated that cell survival rate was more than 98% in <125 MUg/mL beads
concentration. Incorporating of GO in the hydrogel increased the swelling
capacity, entrapment efficiency, and ensuring a controlled release of the
entrapped drug.
PMID- 29801847
TI - Endo-beta-1,3-glucanase digestion combined with the HPAEC-PAD-MS/MS analysis
reveals the structural differences between two laminarins with different
bioactivities.
AB - To resolve the structure of laminarin, the recombinant endo-beta-1,3-glucanase
from Coprinopsis cinerea, which has specific activity on beta-1,3 glycosidic bond
and could hydrolyze the laminarin with complex structure, was used to hydrolyze
laminarin. Then, the structures of enzyme-resistant oligosaccharides were
quantitatively and qualitatively analysed by high-performance anion exchange
chromatography coupled with mass spectrometry. The laminarin from Laminaria
digitata contains 9.51% beta-1,6 glycosidic bonds only in the branches (branch
degree 7.68%). The laminarin from Eisenia bicyclis contains more beta-1,6
glycosidic bonds: 19.42% beta-1,6 glycosidic bonds in backbone and more and
longer beta-1,6 branches (branch degree 25.99%). The differences in the ratio of
glycosidic bonds and branch degree influence their bioactivity: the antioxidant
activity and the antimicrobial activity against Gram positive bacteria of the
laminarin from E. bicyclis is stronger than the laminarin from L. digitata, but
the antimicrobial activity on Gram negative bacteria of the laminarin from E.
bicyclis is weaker.
PMID- 29801849
TI - Structural changes and digestibility of waxy maize starch debranched by different
levels of pullulanase.
AB - Pullulanase is able to debranch amylopectin and result in higher resistant starch
(RS) content in waxy maize starch. Different levels of pullulanase have been used
to debranch waxy maize starch in the literature, but the changes of structure
during debranching are unknown. In this study, waxy maize starch (25% solids) was
cooked and debranched with different levels of pullulanase. The structure of waxy
maize starch during debranching was investigated and the digestibility of the
debranched products was measured. When pullulanase activity was increased, more
amylopectin was debranched in same debranching time, and the degree of
crystallinity and the RS content were increased. With longer debranching time,
more linear chains were cleaved and crystallized to B-type crystalline structure
at 25 degrees C. More than 80% of waxy maize starch could be debranched by
pullulanase. After the debranched starches were crystallized at 25 degrees C for
24 h, the RS content was increased to 70.7%.
PMID- 29801850
TI - Effects of poly (3-hydroxybutyrate-co-3-hydroxyvalerate) microparticles on
morphological, mechanical, thermal, and barrier properties in thermoplastic
potato starch films.
AB - Biocomposites of potato starch/poly (3-hydroxybutyrate-co-3-hydroxyvalerate)
(PHBV) microparticles were prepared through the solvent casting method. Glycerol
was used as a plasticizer. The effects of concentrations of PHBV microparticles
as filler and glycerol on crystallinity behavior, surface morphology, dynamic
mechanical properties, and thermal stability were studied. Humidity absorption
and the water vapor transmission rate (WVTR) were investigated as well. Wide
angle X-ray scattering (WAXS) patterns revealed that the plasticizing process
occurred successfully. Scanning electron microscopy (SEM) micrographs exhibited
good homogeneity of the surfaces for the biocomposites with a lower glycerol
concentration. Dynamic mechanical analysis (DMA) results confirmed the
reinforcing effect of PHBV microparticles inside the matrix. Thermogravimetric
analysis (TGA) indicated that the presence of PHBV microparticles increased the
thermal stability of the starch. Results of humidity absorption tests showed that
the high hydrophilicity of the starch was reduced once the PHBV microparticles
had been incorporated. Also, increasing PHBV microparticles reduced the water
vapor transmission rate. However, samples with reduced glycerol content absorbed
less humidity and showed a lower water vapor transmission rate.
PMID- 29801852
TI - Removal of anionic and cationic dyes with bioadsorbent oxidized chitosans.
AB - Different oxidized chitosans were prepared following various approaches, by
thermo-acid oxidation or by using KMnO4/NaHSO3, (NH4)2S2O8/NaHSO3 and
K2Cr2O7/NaHSO3 redox pairs added sequentially or simultaneously. All these
reactions pursue the formation of carboxylic groups which enhance their
capability to remove model cationic and anionic dyes such as methylene blue and
methyl orange, respectively. The resulting oxidized chitosans were structurally
and thermally characterized by elemental analysis, infrared spectroscopy, nuclear
magnetic resonance, scanning electron microscopy and thermogravimetry. The
swelling capacity of these hydrogels was also determined as well as the
remediation ability of dyes in different conditions. The results showed that the
adsorption of methylene blue followed a pseudo second-order kinetics model, while
the adsorption behavior was in agreement with the Langmuir isotherm model.
Remarkably, the oxidized chitosans showed removal ability for both dyes cationic
and anionic, which of great importance for application of these materials as
versatile bioadsorbents.
PMID- 29801851
TI - The mechanisms of sulfated polysaccharide drug of propylene glycol alginate
sodium sulfate (PSS) on bleeding side effect.
AB - Propylene glycol alginate sodium sulfate (PSS), a sulfated polysaccharide
derivative, has been used as a heparinoid drug to prevent and treat
hyperlipidemia and ischemic cardio-cerebrovascular diseases in China for 30
years. But its bleeding risk should not be overlooked. Here we clarified the
reasons and mechanism leading to bleeding side effect of PSS. It was found that
PSS fractions with low mannuronic acid (M)/guluronic acid (G) ratio and high
molecular weight (Mw) can excessively extend activated partial thromboplastin
time (APTT) and thrombin time (TT), over-inhibit the thrombin (FIIa) activity
mediated by anti-thrombin III (ATIII) to induce bleeding risk. In addition, the
fraction of low M/G ratio can suppress platelet aggregation mediated by adenosine
diphosphate (ADP) and induce platelet reduction by improving platelet antibody
(PA)-IgA/G in serum and by inhibiting or damaging the bone marrow hematopoietic
function. And the fraction of high Mw can restrain the reticulated platelet (RP)
production, then reduce mean platelet volume (MPV) and platelet-large cell counts
or ratio, and finally decrease platelet amount by inhibiting or damaging the bone
marrow hematopoietic function. In brief, PSS fractions with low M/G ratio and
high Mw were the main reasons to bring about bleeding by excessively suppressing
coagulant factors activities and weakening platelet function. Our results
suggested that it is very necessary to control the M/G ratio and the range of Mw
of PSS to guarantee its safety and effectiveness in clinical.
PMID- 29801853
TI - The application of response surface methodology on the synthesis of conductive
polyaniline/cellulosic fiber nanocomposites.
AB - The chemical oxidation polymerization was used in situ aimed at preparing
polyaniline/cellulosic fiber nanocomposites that are highly intrinsic and
conductive in nature. The prepared composites were characterized by Fourier
transform infrared (FTIR) thermal Gravimetric analysis (TGA), and their
morphology was investigated using field emission scanning electron microscope (FE
SEM) to elucidate the mechanism of conductivity decay of the paper nanocomposite.
Box-Behnken experimental design method was applied to determine the optimum
synthesis parameters. The optimum conditions were found to be surfactant type:
cationic, mass ratio of fibers/aniline: 0.5, and time of polymerization: 12 h.
The result of the subsequent investigation of the properties which are mechanical
and related to the paper sheets revealed that adding PANi decreases the amounts
of breaking length, and tear and burst factors.
PMID- 29801854
TI - Development and characterization of irradiated-corn-starch films.
AB - The effect of 60Co gamma ray irradiation on the physicochemical and crystalline
properties of native corn starch were investigated. Fourier transform infrared
spectroscopy and X-ray diffraction showed that irradiation had a slight effect on
the molecular structure of corn starch with crystallinity decreasing with
increasing irradiation dose. Particle size analysis showed that 59.1% of native
corn starch granules had sizes of >=8 MUm, which decreased to only 24.1% after
treatment with an irradiation dose of 40 kGy. Irradiated-corn-starch films were
prepared by casting gelatinized irradiated-corn-starch solutions. An increased
irradiation dose was found to increase the tensile strength of the resultant
films, but caused a significant decrease in water vapor permeability. The
irradiated-corn-starch films showed potential for the development of
biodegradable starch films with improved properties.
PMID- 29801855
TI - Impact of physical and enzymatic cell wall opening on the release of pre
gelatinized starch and viscosity forming potential of potato flakes.
AB - Potato flakes (PFs) are used in instant foods. They contain pre-gelatinized
starch which readily develops viscosity upon hydration. We here provide the first
report on factors influencing their viscosifying potential. Swelling power (SP)
(r = 0.719, p < 0.01) and mean particle size (r = -0.704, p < 0.05) mainly
determine instant viscosity development of PF suspensions while short extractable
extracellular amylose molecules [degree of polymerization between 150 and 1500
(EE-AM150-1500)] positively impact their cold paste viscosity (CPV) (r = 0.717, p
< 0.01) in Rapid Visco Analyzer (RVA) models. Cell wall opening by ball milling
or cellulase treatments increased PF SP resulting in up to 75% higher RVA peak
viscosity readings. Furthermore, the release of EE-AM150-1500 molecules increased
CPV by about 30% since they readily associated upon cooling. Partial cell wall
opening thus improves the viscosifying potential of PFs and expands their
applicability in instant foods.
PMID- 29801856
TI - Incorporation of beads into oral films for buccal and oral delivery of bioactive
molecules.
AB - The association of alginate beads and guar-gum films in a single delivery system
was idealized to promote a more effective buccal and oral delivery of bioactive
molecules. A response surface method (experimental design approach) was performed
to obtain optimal formulations of alginate beads to be incorporated into guar gum
oral films as combined buccal and oral delivery systems for caffeine delivery.
The combined formulation was further characterized regarding physicochemical
properties, drug release, cell viability and buccal permeability. Beads average
size, determined by dynamic light scattering (DLS), was of 3.37 +/- 6.36 MUm.
Film thickness was set to 62 MUm. Scanning electron microscopy micrographs
revealed that beads were evenly distributed onto the film matrix and beads size
was in accordance to data obtained from DLS analysis. Evaluation of Fourier
transform infrared spectra did not indicate the formation of new covalent bonds
between the matrix of guar-gum films, alginate beads and caffeine. In vitro
release assays by dialysis membrane allowed understanding that the combination of
guar-gum films and alginate beads assure a slower release of caffeine when
compared with the delivery profile of free caffeine from alginate beads or guar
gum films alone. MTT assay, performed on human buccal carcinoma TR146 cell line,
allowed concluding that neither guar-gum film, alginate beads nor guar-gum film
incorporated into alginate beads significantly compromised cell viability after
12 h of exposure. As demonstrated by in vitro permeability assay using TR146
human buccal carcinoma cell lines, combination of guar-gum films and alginate
beads also promoted a slower release and, thus, lower apparent permeability
(1.15E-05 +/- 3.50E-06) than for caffeine solution (2.68E-05 +/- 7.30E-06), guar
gum film (3.12E-05 +/- 4.70E-06) or alginate beads (2.01E-05 +/- 3.90E-06). The
conjugation of alginate beads within an orodispersible film matrix represents an
effective oral/buccal delivery system that induces a controlled release along
with an enhanced intimate contact with cell layers that may promote higher in
vivo bioavailability of carried drugs.
PMID- 29801857
TI - Antioxidant activity of xylooligosaccharides produced from glucuronoxylan by
Xyn10A and Xyn30D xylanases and eucalyptus autohydrolysates.
AB - Antioxidant activity of xylooligosaccharides (XOS) released from beechwood and
birchwood glucuronoxylans by two different xylanases, one from family GH10
(Xyn10A) and another from family GH30 (Xyn30D) was examined. The ABTS (2, 2'
Azino-bis(3-ethylbenzothiazoline-6-sulfonic acid)) method was used, since it
resulted more accurate for the antioxidant activity determination of XOS. Thin
layer chromatography and MALDI-TOF MS analysis showed that Xyn10A produced a
mixture of neutral and acidic XOS whereas the XOS produced by Xyn30D were all
acidic, containing a methylglucuronic acid (MeGlcA) ramification. These acidic
XOS, MeGlcA substituted, showed a strongly higher antioxidant activity than the
XOS produced by Xyn10A (80% vs. 10% respectively, at 200 MUg mL-1). Moreover, the
antioxidant activity increased with the degree of polymerization of XOS, and
depended on the xylan substrate used. The antioxidant capacity of eucalyptus
autohydrolysates after xylanase treatment was also analysed, showing a decrease
of their antioxidant activity simultaneous with the decrease in XOS length.
PMID- 29801859
TI - Sodium alginate-grafted submicrometer particles display enhanced reversible
aggregation/disaggregation properties.
AB - In this article, we demonstrate that submicrometer particles with surface-grafted
sodium alginate (SA) display enhanced and reversible aggregation/disaggregation
properties in aqueous solution. 300 nm silica particles were first functionalized
with an aminosilane coupling agent, followed by the grafting of pH-sensitive SA,
as confirmed by zeta potential, XPS and FTIR analyses. The SA-modified particles
show enhanced aggregation properties at acidic pH compared to unmodified silica,
with a 10 times increase in average aggregate diameter. The process is
reversible, as the aggregates can be broken and dispersed again when the pH is
increased back to 7.0. As a result, the sedimentation rate of SA-modified
particles at pH 3.0 is both significantly faster and complete compared to the
unmodified particles. This enhanced aggregation is most likely due to the
formation of intermolecular hydrogen bonds between neighboring SA-modified
particles. This work illustrates how surface-grafted macromolecules of natural
origins can be used to tune interparticle interactions, in order to improve
separation processes.
PMID- 29801858
TI - Cellulose nanocrystal/amino-aldehyde biocomposite films.
AB - From the suspensions of cellulose nanocrystals (CNCs) derived from cotton and
flax by acidic hydrolysis, transparent and smooth films were produced with
different plasticizers and an amino-aldehyde based cross-linking agent in a wide
composition range by a simultaneous casting and wet cross-linking process. The
effect of cross-linker concentration on the optical and tensile properties and on
the morphology of CNC films was investigated by various measurements. The
interaction of films with liquid water and water vapour was also characterized by
water sorption and water contact angle as well as performing a sinking test.
Cross-linking improved the transparency, reduced the porosity and surface free
energy, and prevented the delamination of CNC films in water at a concentration
of 10% or higher. The surface of CNC films is basic in character and has an
electron donor property. The CNC/amino-aldehyde films had a high tensile strength
(45 MPa) and modulus (11 GPa).
PMID- 29801861
TI - Multi-scale characterization of thermoplastic starch structure using Second
Harmonic Generation imaging and NMR.
AB - Starch granules can be extruded to obtain a thermoplastic material. Thermoplastic
starch (TPS) usually requires a significant break down of the starch granular
organization to form a continuous polysaccharide matrix. In this work, we extrude
potato starch with and without a plasticizer and store samples at high humidity
to generate recrystallization. A multi-scale investigation of the microstructure
is performed by combining different techniques: WAXS and solid-state NMR to
describe macromolecule organization and Second Harmonic Generation (SHG) imaging
to provide spatial information. Finally, the ability of the material to swell and
remain sound in water is assessed. Glycerol-plasticized samples swell the least
despite many granules with native-like structure embedded in the starch matrix.
Glycerol limits the fragmentation and melting of the granules and crystallites
during extrusion but also reduces the proportion of starch molecules in
constrained conformations, enabling the formation of a polymer network that can
sustain the penetration of water.
PMID- 29801860
TI - Supramolecular hydrogels based on poly (ethylene glycol)-poly (lactic acid) block
copolymer micelles and alpha-cyclodextrin for potential injectable drug delivery
system.
AB - A supramolecular hydrogel system was prepared by the host-guest interaction
between the alpha-cyclodextrin (alpha-CD) and poly (ethylene glycol) (PEG) chains
of the poly (ethylene glycol)-block-poly (lactic acid) (PEG-b-PLA) micelles. The
formation of inclusion complex (IC) crystals between alpha-CD and the PEG chains
of the micelles was verified by different techniques. Rheological studies
indicated that the gelation kinetics and the mechanical strength of the hydrogels
could be modulated by the alpha-CD concentration. Also, the shear-thinning and
self-healing properties of the hydrogels were confirmed. Doxorubicin (DOX) could
be encapsulated into the hydrogels via the micelles and be released from the
hydrogels sustainably, with the release rate dependent on the alpha-CD
concentration. The released DOX showed higher inhibition efficacy against HeLa
cells compared with the free drug. These attractive features, together with the
superior biocompatibility, make the present hydrogels an potential injectable
drug delivery system for tumour treatment.
PMID- 29801862
TI - Fabrication of lecithin-gum tragacanth muco-adhesive hybrid nano-carrier system
for in-vivo performance of Amphotericin B.
AB - Nano-carriers are excellent systems for improving bioavailability of poor aqueous
soluble drugs. This study focuses fabrication of lecithin-gum tragacanth muco
adhesive hybrid NPs for enhancing Amphotericin B (AmpB) oral bioavailability.
AmpB loaded lecithin NPs were synthesized through solvent diffusion method. Green
synthesis of stable muco-adhesive gum tragacanth (GT) gold NPs was confirmed
through UV-vis spectrophotometer and FT-IR. AmpB loaded lecithin NPs hybrid with
GT gold NPs were characterized for shape, size, polydispersity index (PDI), zeta
potential, drug entrapment efficiency and drug-excepients interactions using
atomic force microscope (AFM), zetasizer, UV-vis spectrophotometer and FT-IR
respectively. In-vivo bioavailability of AmpB loaded in NPs was investigated in
rabbits. AmpB loaded muco-adhesive NPs were found polydispersed with 358.3 +/-
1.78 nm mean size and -19.9 +/- 0.51 mV zeta potential. They entrapped 78.91 +/-
2.44% AmpB and enhanced its oral bioavailability in animals. Results reveal the
hybrid NPs as efficient carriers for enhancing AmpB oral bioavailability in
controlled manner.
PMID- 29801863
TI - High-humidity hot air impingement blanching alters texture, cell-wall
polysaccharides, water status and distribution of seedless grape.
AB - Blanching pretreatment plays an essential role in fruits and vegetables
processing to obtain excellent final products. The purpose of current work was to
characterise the texture, cell-wall polysaccharides and water distribution of
grapes pre- and post- high-humidity hot air impingement blanching (HHAIB). The
cell-wall pectins nanostructure, water status and distribution of samples were
determined by atomic force microscopy (AFM), low field nuclear magnetic resonance
(LF-NMR) and magnetic resonance image (MRI), respectively. Results revealed that
blanching caused significant berry tissue softening which was accompanied by an
increase of water-soluble pectin (WSP, from 39.57 to 57.44 g/100 g fresh weight)
and a decrease of chelate-soluble pectin (CSP, from 79.34 to 53.78 g/100 g fresh
weight) and sodium-carbonate-soluble pectin (NSP, from 364.23 to 187.64 g/100 g
fresh weight) concentration. Obvious depolymerization and degradation was
observed in cell-wall polysaccharides nanostructure in blanched berries. The
length frequencies of WSP chains are mainly distributed in the range of 0.51-2.00
MUm, while it was 1.01-2.00 MUm for the CSP chains of blanched samples. LF-NMR
transverse relaxation time and MRI analysis indicated that HHAIB treatment
resulted in a water loss and migration from berry interior to surface tissue. The
findings in present study provide a deeper understanding in tissue softening and
moisture variation of blanched berries.
PMID- 29801864
TI - A facile template approach to preparing stable NFC/Ag/polyaniline nanocomposites
for imparting multifunctionality to paper.
AB - Nanocomposites of function polymer and inorganic nanoparticles have many
beneficial properties and can be used in many applications. However, the
formation of aggregates of the polymer and inorganic nanoparticles in the
nanocomposites limits their use in practical applications. Here, a facile
approach to preparing stable nanofibrillated cellulose (NFC)/Ag/polyaniline
nanocomposites by the templates of NFC was developed. The Ag nanoparticles and
polyaniline were loaded on the NFC by the reduction of Ag cations and in situ
chemical polymerization in the templates of NFC. The network structure of the NFC
and the electrostatic repulsion resulted in the formation of stable
nanocomposites. Owing to the well-dispersed Ag nanoparticles and polyaniline in
the nanocomposites, the nanocomposites can be coated on the paper uniformly, thus
imparting excellent conductivity and antibacterial properties to paper. The
coated paper can be used as a new type of conductive paper with excellent
antibacterial activity.
PMID- 29801865
TI - Novel SLC20A2 mutation in primary familial brain calcification with disturbance
of sustained phonation and orofacial apraxia.
PMID- 29801866
TI - Memory impairment due to bilateral fornix infarction: Characterisation and follow
up.
PMID- 29801867
TI - Level of consciousness at discharge and associations with outcome after ischemic
stroke.
AB - BACKGROUND: Many factors may potentially complicate the stroke recovery process,
including persistently impaired level of consciousness (LOC)-whether from
residual stroke effects or from superimposed delirium. We aimed to determine the
degree to which impaired LOC at hospital discharge is associated with outcomes
after ischemic stroke. METHODS: We conducted a single-center retrospective cohort
study using prospectively-collected data from 2015 to 2017, collecting total
NIHSS-LOC score at discharge as well as subscores for responsiveness (LOC-R),
orientation questions (LOC-Q), and command-following (LOC-C). We determined
associations between LOC scores and 3-month outcome using logistic regression,
with discharge location (skilled nursing facility [SNF] vs. inpatient
rehabilitation) representing a pre-specified secondary outcome. RESULTS: We
identified 1003 consecutive patients with ischemic stroke who survived to
discharge, of whom 32% had any LOC score > 0. Total LOC score at discharge was
associated with unfavorable 3-month outcome (OR 4.9 [95% CI 2.4-9.8] for LOC = 1;
OR 8.0 [2.7-23.9] for LOC = 2-3; OR 6.3 [2.1-18.5] for LOC = 4-5; all patients
with LOC = 6-7 had poor outcomes), as were subscores for LOC-R (OR 5.3 [1.3-21.2]
for LOC-R = 1; all patients with LOC-R = 2-3 had poor outcomes) and LOC-Q (OR 4.1
[2.1-8.3] for LOC-Q = 1; OR 4.9 [1.8-13.5] for LOC-Q = 2). Total LOC score (OR
2.6 [1.3-5.3] for LOC = 1; OR 3.1 [1.2-8.2] for LOC = 2-3) and LOC-Q (OR 3.3 [1.6
6.6] for LOC-Q = 1; OR 3.4 [1.3-9.0] for LOC-Q = 2) were also associated with
discharge to SNF rather than to inpatient rehabilitation. CONCLUSIONS: The
presence of impaired consciousness or disorientation at discharge is associated
with markedly worse outcomes after ischemic stroke. Further studies are necessary
to determine the separate effects of residual stroke-related LOC changes and
those caused by superimposed delirium.
PMID- 29801868
TI - Sleep disorders and literature: Hypnagogic hallucination inspired Frankenstein
novel.
PMID- 29801869
TI - Homozygote of spinocerebellar Ataxia type 3 correlating with severe phenotype
based on analyses of clinical features.
AB - BACKGROUND: Spinocerebellar ataxia type 3 (SCA3) is the most common subtype of
SCAs worldwide. SCA3 homozygote is defined as expanded CAG repeats in both
alleles that might exhibit severe phenotype due to gene dosage effect. However, a
study on the systematic comparison of clinical phenotypes between homozygotes and
heterozygotes to indicate these verity of phenotypes of homozygotes is still
lacking. METHODS: A total of 14 SCA3 homozygotes (3 Chinese participants and 11
participants from various ethnicity in different published studies) and 143
Chinese heterozygotes of SCA3 were recruited for this study. The 95% confidence
intervals (CIs) of age at onset and disease severity expected from heterozygous
patients were analyzed to detect the phenotypic differences between homozygotes
and heterozygotes. RESULTS: Almost all the homozygotes (13 of 14) were found to
present a significant earlier age at onset compared with heterozygotes, because
age at onset of most homozygotes was lower than the 95% CIs of age at onset of
heterozygotes. Also, the clinical severity in most of the homozygotes (3 of 4)
with identified clinical phenotypes was higher than the 95% CIs of severity in
heterozygotes, indicating more severe clinical phenotypes in SCA3 homozygotes.
CONCLUSIONS: The homozygosity for SCA3 could lead to an earlier age of onset and
putative severe clinical features. The findings of the present study suggested an
influence of gene dosage on SCA3 phenotypes.
PMID- 29801870
TI - Nivolumab-induced acute demyelinating polyradiculoneuropathy mimicking Guillain
Barre syndrome.
PMID- 29801871
TI - Mail order pharmacy use and adherence to secondary prevention drugs among stroke
patients.
AB - BACKGROUND AND PURPOSE: Mail order pharmacies (MOP) are increasingly being used
to deliver medications for chronic disease management. Their use is linked to
similar or even greater medication adherence than local pharmacy (LP) use. We are
unaware of any studies that have evaluated the association of mail order pharmacy
use with drug adherence among stroke patients. METHODS: We conducted cross
sectional analyses of patients discharged with ischemic stroke from 24 hospitals
in a managed care network, who received a new anticoagulant, antiplatelet, anti
glycemic, antihypertensive, and/or lipid-lowering medication between January 1,
2007 and June 30, 2015. We defined good adherence as medication availability
>=80% of the time, and compared adherence between mail-order users (>=66% of
refills by mail) and local pharmacy users (all refills in person). Relationship
between delivery method and adherence was evaluated using multivariate regression
models. RESULTS: A total of 44,658 eligible patients refilled an index
medication. Of these, 13,295 in the LP and 6801 in MOP groups met inclusion
criteria. Patients in the MOP group were more likely to be white, and less likely
to have hypertension, diabetes, and smoke tobacco. Continuous Medication Gap
(CMG) adherence was 0.28 in the LP group and 0.11 in the MOP group (p < 0.001).
At 90-days there were 893 hospital readmissions for the LP group and 375 for the
MOP group for a rate of 0.07 vs 0.06 (p < 0.001). In the multivariable analysis,
adherence was associated with MOP use, (OR 0.12, 95% CI 0.11-0.14) and decreased
readmission at 90 days (OR 0.62, 95% CI 0.55-0.71). CONCLUSIONS: Stroke patients
who use MOP vs. LP are more likely to have good medication adherence. Future
studies should examine the impact of mail-order pharmacy use on vascular risk
marker control and events after stroke.
PMID- 29801872
TI - Notch3 protein expression in skin fibroblasts from CADASIL patients.
AB - AIM: CADASIL is an inherited cerebrovascular disease caused by mutations in the
NOTCH3 gene. Notch signaling is involved in a broad spectrum of function, from
the cell proliferation to apoptosis. Thus far, because the molecular mechanism
underlying the pathological alterations remains unclear and taking into account
that fibroblasts contribute to the integrity of the vasculature, our aims was to
establish whether fibroblasts, in subjects carrying different NOTCH3 mutations,
show abnormalities in the protein expression. METHODS: We performed the
investigation on skin fibroblasts in culture obtained from three CADASIL patients
and normal subjects. The patients were genetically characterized, and carried a
p.R61W, a p.C174T, and p.R103X, mutation respectively. Notch3 expression was
first evaluated on fibroblasts by immunofluorescence analysis, then western blot
on cellular extract was utilized to validate the immunofluorescence results.
RESULTS: The Notch3 immunoreactivity was clearly detected along the cellular body
and in the cellular nuclei of the control fibroblasts. We observed a marked,
statistically significant, reduction of the fluorescence immunoreactivity in the
fibroblasts from patient with the classical C174T cysteine mutation and a less
pronounced reduction in the other two subject's samples with respect to the
normal controls. These data were confirmed by the immunoblot analysis.
CONCLUSIONS: Our results show that the investigated three NOTCH3 mutations are
associated with a reduction of the levels of Notch3 expression in vitro. Because
the smooth muscle cells appear to be predominantly involved in this
cerebrovascular disease, our result, despite the limitation of the sample size
examinated, clearly suggest that also fibroblasts, directly involved in making
the vascular basal lamina and in maintaining the vascular integrity, may play an
important role in the mechanism responsible for the disease.
PMID- 29801873
TI - S100B, NSE and MMP-9 fail to predict neurologic outcome while elevated S100B
associates with milder initial clinical presentation after aneurysmal
subarachnoid hemorrhage.
AB - OBJECTIVE: Despite advances in the treatment of aneurysmal subarachnoid
hemorrhage (aSAH) one-year mortality remains approximately 50%. Making an
accurate prognosis at the early phase of the disease is notoriously difficult. A
clinically reliable biomarker that could be used for better prediction of
prognosis and/or as a surrogate for developing complications after aSAH is still
lacking. In this study, we evaluated the prognostic values of three promising
biomarkers, i.e. S100B, NSE, and MMP-9 in aSAH. METHODS: In this prospective
population-based study, S100B, NSE, and MMP-9 levels were measured in 47 aSAH
patients for up to five days. Blood samples were taken at 0, 12 and 24 h after
the admission to the intensive care unit (ICU) and daily after that until the
patient was transferred from the ICU. The patients' neurological outcome was
evaluated with the modified Rankin Scale (mRS) at six months after aSAH. RESULTS:
Biomarker-levels measured during the first 24 h were not associated with
neurological outcome. S100B levels during the first 24 h were elevated in
patients with a non-severe initial clinical presentation. Otherwise, there was no
association between selected clinical variables and the early biomarker levels.
In 22 patients, whose ICU follow-up lasted for up to five days, the total release
of biomarkers was not associated with the neurological outcome. CONCLUSIONS: None
of the measured biomarkers were associated with the neurological outcome
evaluated at six months after aSAH. Elevated levels of S100B in patients with non
severe initial presentation suggest an adaptive role of this biomarker in aSAH.
Based on our findings it is not advisable to use these biomarkers to guide
clinical decision-making in patients with aSAH.
PMID- 29801874
TI - Quantification of sweat gland innervation in patients with Fabry disease: A case
control study.
AB - INTRODUCTION: Hypohidrosis and heat intolerance, frequently reported by men and
women with Fabry disease (FD), is thought to be related not only to the
deposition of globotriaosylceramide (Gb3) in eccrine sweat glands, but also to
reduced sweat gland sympathetic innervation. METHODS: We performed a case-control
study to compare the density of sweat gland innervation between patients with FD
and healthy controls by examining lower leg skin punch biopsies. We used a
standardized grid of circles superimposed upon the immunofluorescent specimen to
create a simple pattern of circles over the sweat gland. Nerve fibers that
crossed within the circles were manually counted ("crossed circles"). Nerve
fibers that touched the edge of the circle but did not enter were spared
("uncrossed circles"). The percentage of crossed circles from all circles was
determined. RESULTS: Biopsy specimens were available of 37 FD patients (median
age 44 years, 19-67; n = 18 men) and 16 controls (median age 48 years, 24-83, n =
7 men). Totally there were 153 sweat glands from FD patients and 63 from
controls, in which innervation was quantified. While mean sweat gland innervation
per biopsy did not differ between the entire FD cohort and controls, data
stratification for the reported sweating phenotype revealed a stepwise lower
innervation in women with FD and hypohidrosis (n.s.) and anhidrosis (p < .05)
compared to women reporting normal sweating. CONCLUSION: Sweat gland innervation
is reduced in women with FD and anhidrosis compared to female patients without
sweating impairment. Loss of sweat gland innervation may play a role in FD
associated anhidrosis, at least in women.
PMID- 29801876
TI - Antiepileptic treatment and survival in newly diagnosed glioblastoma patients:
Retrospective multicentre study in 285 Italian patients.
AB - Glioblastoma multiforme (GBM) has a dismal prognosis even with the best available
treatment. Different studies have suggested a possible impact of antiepileptic
drugs (AED) on survival in patients with GBM. A recent pooled analysis of
prospective clinical trials in newly diagnosed GBM found no significant survival
benefit in GBM patients treated with AED. We performed a retrospective study on
adult patients with GBM in order to evaluate the impact of AED therapy on overall
survival (OS), after adjusting for known prognostic factor (age, extent of
surgery, Karnofsky performance status, radiochemotherapy). A total of 285
patients were analyzed. Of them 144 received a non-enzyme-inducing (NEIAED) and
95 an enzyme-inducing AED (EIAED). At univariate analysis the OS of patients
receiving AED was not significantly different from that of patients not receiving
an AED (HR 0.98, 95%CI 0.69-1.4, p = 0.925), moreover OS was not significantly
different between patients receiving EIAED or NEIAED. At multivariate analysis a
trend to more prolonged survival (HR 0.8, 95% CI 0.59-1.08, p = 0.15) was
detected in patients treated with NEIAED. The question whether treatment with AED
may increase OS in GBM patients remains unanswered and randomized extremely large
controlled clinical trial would be necessary to elucidate the possible impact of
AED on prognosis. In the meantime the use of AED in GBM patients, based on the
presumed potential antitumour activity, is not recommended.
PMID- 29801875
TI - Patent foramen ovale closure versus medical therapy for cryptogenic stroke: An
updated meta-analysis.
AB - BACKGROUND: The efficacy of patent foramen ovale (PFO) closure for secondary
stroke prevention in cryptogenic stroke (CS) patients with PFO is uncertain. This
meta-analysis aims to assess whether PFO closure is superior to medical therapy.
METHODS: Pooled estimates were calculated using Revman 5.3. The two primary
endpoints were stroke and transient ischemic attack (TIA). Secondary outcomes
included all-cause mortality, new-onset atrial fibrillation or flutter, major
bleeding and any adverse event. RESULTS: Five randomized controlled trials were
included. A total of 3440 patients were randomized to either PFO closure (n =
1829) or medical therapy group (n = 1611) and followed for average 2.0-5.9 years.
PFO closure reduced the incidence of recurrent stroke in CS patients with PFO
compared to medical therapy (Risk ratio (RR) 0.42, 95% confidence intervals (CI)
0.20-0.91, P = 0.03; hazard ratio (HR) 0.34, 95% CI 0.15-0.78, p = 0.01). There
were no significant differences between the two groups in TIA (RR 0.78, 95% CI
0.53-1.15, P = 0.21; HR 0.73, 95% CI 0.49-1.09, p = 0.12), all-cause mortality
(RR 0.76, 95% CI 0.35-1.63, P = 0.48), major bleeding (RR 0.96, 95% CI 0.42-2.20,
P = 0.93) and any adverse event (RR 1.06, 95% CI 0.95-1.18, P = 0.29). Higher
risk of new-onset atrial fibrillation or flutter was found in closure group (RR
4.69, 95% CI 2.17-10.12, P < 0.0001). CONCLUSIONS: PFO closure combined with
medical therapy showed superiority over medical therapy alone for stroke
prevention in carefully selected CS patients with PFO, but increased the risk of
atrial fibrillation or flutter.
PMID- 29801878
TI - MRI venous architecture of insula.
AB - PURPOSE: The purpose of this paper is to describe the venous anatomy of the
insula using conventional MR brain imaging and confocal reconstructions in cases
with glioma induced venous dilatation (venous gliography). METHODS: Routine
clinical MRI brain scans that included thin cut (1.5-2 mm) post contrast T1
weighted imaging were retrospectively reviewed to assess the insular venous
anatomy in 19 cases (11 males and 8 females) with insular gliomas. Reconstruction
techniques (Anatom-e and Osirix) were used to improve understanding of the venous
anatomy. RESULTS: We identified the following insular and peri-insular veins on
MRI: the superficial middle cerebral vein (SMCV), peri-insular sulcus vein, vein
of the anterior limiting sulcus, the precentral, central, and posterior sulcus
veins of the insula, the communicating veins and deep MCV. CONCLUSIONS: We
concluded that venous anatomy of insula is complicated and is often overlooked by
radiologists on MR brain imaging. Use of confocal imaging in different planes
helped us to identify the superficial and deep middle cerebral veins and their
relationship to the insula. The understanding of the insular venous architecture
is also useful to distinguish these vessels from insular arteries. This knowledge
may be helpful for presurgical planning prior to insular glioma resection.
PMID- 29801877
TI - Microbubble signal and trial of org in acute stroke treatment (TOAST)
classification in ischemic stroke.
AB - PURPOSE: Right-to-left shunt (RLS) through a patent foramen ovale (PFO) is likely
associated with ischemic stroke. Many studies have attempted to demonstrate the
association between RLS and ischemic stroke. However, information on the
association between the degree of RLS and the subtypes of ischemic stroke
categorized by the Trial of ORG 10172 in Acute Stroke Treatment (TOAST)
classification is lacking. METHOD: This was a retrospective study involving 508
patients with ischemic stroke who underwent a transcranial Doppler (TCD)
microbubble test between 2013 and 2015. The degree of RLS was divided into 4
grades according to the microbubble signal (MBS) as follows: no MBS, grade 1; MBS
< 20, grade 2; MBS > 20, grade 3; curtain sign, grade 4. The degree of RLS and
the type of ischemic stroke as classified by TOAST were analyzed and compared
with other clinical information and laboratory findings. RESULTS: The higher RLS
grade was associated with the cardioembolism (CE) and stroke of undetermined
etiology (SUE), and the microbubble signals were inversely related with small
vessel disease (SVD). An MBS higher than grade 3 showed a 2.95-fold higher
association with SUE than large artery atherosclerosis (LAA), while grade 4 MBS
revealed an approximately 8-fold higher association with SUE than LAA.
CONCLUSION: RLS identified by the TCD microbubble test was significantly and
independently associated with cryptogenic ischemic stroke (negative evaluation).
Subsequent studies are needed to determine the biologic relationship between RLS
and ischemic stroke, particularly the cryptogenic subtype of ischemic stroke.
PMID- 29801879
TI - Genetic variants regulate NR1H3 expression and contribute to multiple sclerosis
risk.
AB - A recent study analyzed 2053 multiple sclerosis (MS) cases and 799 healthy
controls to investigate whether five genetic variants (rs11039149, rs12221497,
rs2279238, rs7120118 and rs7114704) in NR1H3 are associated with MS risk. However
this study reported negative results. It is very important that the appropriate
samples and approach should be used in replication studies, which may provide the
correct interpretation of the results. Here, we evaluated the above findings
using large-scale MS genome-wide association studies with a total of 27,148
samples including 9772 MS cases and 17,376 controls, and multiple expression
quantitative trait loci datasets. The results suggest that rs7120118 and
rs2279238 variants are significantly associated with MS risk, and could
significantly regulate NR1H3 expression in kinds of human tissues and cells. In
summary, these findings provide important supplementary information about the
association between NR1H3 variants and MS risk.
PMID- 29801880
TI - Orthostatic tachycardia with different onset time in patients with orthostatic
intolerance.
AB - OBJECTIVE: To investigate whether there is a difference in the neuro-autonomic
and hemodynamic parameters in patients with postural tachycardia syndrome (POTS)
according to onset time of orthostatic tachycardia during the Head-up tilt (HUT)
test. METHODS: We retrospectively reviewed neuro-autonomic and hemodynamic data
from patients with orthostatic intolerance. A standardized battery of neuro
autonomic tests, including the HUT, Valsalva maneuver, heart rate deep breathing
and quantitative sudomotor axon reflex test, was performed. The beat-to-beat
derived hemodynamic parameters, including systolic blood pressure (BP) (SBP),
mean BP (MBP), diastolic BP, heart rate (HR), total peripheral resistance, stroke
volume, and cardiac output, were also extracted from HUT test. According to onset
time of orthostatic tachycardia (OT), we divided patients into two groups; HR
increase "within 10 minutes" (i.e., early OT) and HR increase "after 10 minutes"
(i.e., late OT). RESULTS: We identified 210 patients with OT during HUT test.
Forty-three percent of patients were included in early OT group and 57% were late
OT group. Patients in late OT group were older than early OT group. Late OT group
tended to have baseline hypertension more frequently compared to early OT group.
After adjusting for age, late OT group showed higher baseline SBP and MBP, and
lower increase of HR during HUT test than early OT group. However, other neuro
autonomic and hemodynamic parameters did not show significant differences between
two groups. CONCLUSION: Orthostatic tachycardia might be developed in the late
period during the HUT test. There were no remarkable differences in neuro
autonomic and hemodynamic parameters between early and late OT groups. It seems
reasonable to extend the duration of HUT test to at least 20 min, to increase the
diagnostic yield in patients with a history of orthostatic intolerance suspected
as having POTS.
PMID- 29801881
TI - Cortical excitability variability: Insights into biological and behavioral
characteristics of healthy individuals.
AB - Motor threshold (MT) measured by transcranial magnetic stimulation (TMS) has
diagnostic utility in central nervous system disorders. Its diagnostic
sensitivity may be enhanced by identification of non-pathological factors which
may influence this measure. The aim of this study was to provide a description of
MT variability across physiological and non-pathological behaviour
characteristics in a large cohort, including hemispheric asymmetries. In a cross
sectional study, age, handedness, physical activity level, body mass index,
gender/menstrual cycle phase, glycemic index and degree of stress were collected
from 115 healthy participants. The resting MT of the first dorsal interosseous
muscle to TMS was recorded in both hemispheres and served as an indicator of the
cortical excitability level. Repeated measures ANOVAs revealed higher MT values
in the non-dominant hemisphere, elderly people, stressed individuals and women
with amenorrhea. Other biological and behavioral individual characteristics did
not influence cortical excitability. Although the degree of interhemispheric
difference varied (range: 0.2 to 4.3), depending on biological and behavioral
characteristics, this variation was not significant (0.1 <= p <= 0.8). In
conclusion, MT varied considerably between subjects. The difference between the
hemisphere excitability that was less influenced by external factors, may be an
alternative method of TMS measure to identify pathological changes of cortical
excitability.
PMID- 29801882
TI - Reappraising the role of motor surround inhibition in dystonia.
AB - BACKGROUND: Surround inhibition (SI) in the motor system has been described to be
decreased in patients with focal hand dystonia (FHD) but no evidence currently
exists for patients with cervical dystonia (CD). OBJECTIVE: To characterise the
SI profiles in three groups of participants: healthy volunteers, patients with
FHD and patients with CD. To provide sample size calculations for future studies.
METHODS: SI was assessed using Transcranial Magnetic Stimulation (TMS) in 31
right-handed healthy participants, 11 patients with CD and 12 patients with FHD.
In addition data of SI in patients with FHD were extracted from previously
published and analysed for sample size calculations and assessment of SI
variability. RESULTS: No statistically significant difference in SI was found
amongst the groups (healthy, FHD, CD). Analysis of combined current and previous
data suggests that our study and all prior studies were underpowered. At least 26
participants in each group are required for a simple comparison of two groups.
Analysis of published data indicated that SI is more variable in FHD patients
compared to healthy controls. CONCLUSIONS: The highly variable SI in patients
with dystonia can confound statistical comparisons of mean differences. Larger
studies are needed to assess SI in dystonia and to explore the origins of its
variability.
PMID- 29801883
TI - Novel minimally invasive multi-modality monitoring modalities in neurocritical
care.
AB - Elevated intracranial pressure (ICP) following brain injury contributes to poor
outcomes for patients, primarily by reducing the caliber of cerebral vasculature,
and thereby reducing cerebral blood flow. Careful monitoring of ICP is critical
in these patients in order to determine prognosis, implement treatment when ICP
becomes elevated, and to judge responsiveness to treatment. Currently, the gold
standard for monitoring is invasive pressure transducers, usually an
intraventricular monitor, which presents significant risk of infection and
hemorrhage. These risks made discovering non-invasive methods for monitoring ICP
and cerebral perfusion a priority for researchers. Herein we sought to review
recent publications on novel minimally invasive multi-modality monitoring
techniques that provide surrogate data on ICP, cerebral oxygenation, metabolism
and blood flow. While limitations in various forms preclude them from supplanting
the use of invasive monitors, these modalities represent useful screening tools
within our armamentarium that may be invaluable when the risks of invasive
monitoring outweigh the associated benefits.
PMID- 29801884
TI - Neuropathy of peripheral nerves in Leber's hereditary optic neuropathy.
PMID- 29801885
TI - Clinical and radiological determinants of transient symptoms associated with
infarction (TSI).
AB - BACKGROUND: The definition of transient ischemic attack was traditionally based
on clinical features only. The wide use of magnetic resonance imaging (MRI) led
to the definition of a new entity - transient symptoms associated with infarction
(TSI). It is unclear why patients with similar radiological infarctions may have
different clinical manifestation - ranging from complete symptoms resolution to
major neurological sequelae. We sought to determine which factors differentiate
acute diffuse weighted imaging (DWI) lesion presentation - stroke versus TSI.
METHODS: 282 Participants, recruited for the Tel-Aviv Brain Acute Stroke Cohort
study (TABASCO), were enrolled consecutively. Participants underwent extensive
cognitive evaluation, wide laboratory tests and brain MRI scans evaluated for
cerebral small vessel disease (SVD) biomarkers, according to the STRIVE protocol.
Demographic and clinical characteristics were also examined. RESULTS: A total of
239 patients had stroke and 43 patients had TSI. TSI patients had smaller average
lesion volume (0.77 cm3 versus 2.64 cm3, p = 0.002). Lesion location did not
differentiate TSI and stroke. Stroke patients had elevated inflammatory markers,
unrelated to lesion size (CRP 4.2 mg/L versus 1.7 mg/L, p = 0.011). TSI patients
had better global cognitive score and MoCA score at admission and 24 months
following the index event (p < 0.001). TSI patients also had better Berg balance
score (p = 0.004). No significant association was found with MRI SVD markers.
CONCLUSIONS: Lesion size, but not location, differentiates TSI and stroke,
especially at a cutoff value of 10 cm3. Elevated inflammatory response was linked
to worse course independently of lesion volume. Cognitive and high function tests
are associated to the clinical phenotype of ischemic lesion and may be a marker
of brain reserve and compensatory abilities. SVD markers do not differ between
TSI and stroke patients and probably do not fully capture the extent of brain
vascular pathology and reserve.
PMID- 29801886
TI - Ketotic hyperglycemia-related seizure with reversible white matter lesion:
Metabolic implication of its reversibility based on magnetic resonance
spectroscopy study.
PMID- 29801887
TI - A study of Huntington disease-like syndromes in black South African patients
reveals a single SCA2 mutation and a unique distribution of normal alleles across
five repeat loci.
AB - Huntington disease (HD) is a progressive neurodegenerative disease, characterised
by a triad of movement disorder, emotional and behavioural disturbances and
cognitive impairment. The underlying cause is an expanded CAG repeat in the
huntingtin gene. For a small proportion of patients presenting with HD-like
symptoms, the mutation in this gene is not identified and they are said to have a
HD "phenocopy". South Africa has the highest number of recorded cases of an
African-specific phenocopy, Huntington disease-like 2 (HDL2), caused by a repeat
expansion in the junctophilin-3 gene. However, a significant proportion of black
patients with clinical symptoms suggestive of HD still test negative for HD and
HDL2. This study thus aimed to investigate five other loci associated with HD
phenocopy syndromes - ATN1, ATXN2, ATXN7, TBP and C9orf72. In a sample of
patients in whom HD and HDL2 had been excluded, a single expansion was identified
in the ATXN2 gene, confirming a diagnosis of Spinocerebellar ataxia 2. The
results indicate that common repeat expansion disorders do not contribute
significantly to the HD-like phenotype in black South African patients.
Importantly, allele sizing reveals unique distributions of normal repeat lengths
across the associated loci in the African population studied.
PMID- 29801888
TI - A new familial distal myopathy in Japan with predominant upper extremities.
PMID- 29801889
TI - Correlation between serum uric acid and diabetic peripheral neuropathy -
association rather than causation.
PMID- 29801890
TI - Mutational analysis of TARDBP gene in patients affected by Parkinson's disease
from Calabria.
AB - OBJECTIVE: Neurodegenerative diseases are often characterized by the presence of
intracellular or extracellular protein aggregates in the central nervous system.
Mutations of TARDBP gene have been shown to cause Amyotrophic Lateral Sclerosis
and have been reported to present with clinical heterogeneity including
parkinsonism. TDP-43 pathology has been observed across a spectrum of
neurodegenerative disorders, including Alzheimer's and Parkinson's disease.
METHODS: In this study we screened 100 sporadic and 165 familial PD patients and
control series (450) for the TARDBP gene. All cases and controls included in this
study were born and living in Calabria. RESULTS: The p.N267S heterozygous
mutation was detected in one sporadic PD patient. The p.N267S mutation was not
found in a control population of 450 healthy individuals and in our 165 familial
PD. CONCLUSIONS: Sequencing of the TARDBP gene in our patient cohort identified
one sporadic PD carrying the p.N267S mutation. This is the first analysis of
TARDBP mutation in sporadic PD patient from South Italy.
PMID- 29801891
TI - Ticagrelor for stroke prevention in patients with vascular risk factors: A
systematic review and meta-analysis.
AB - BACKGROUND: Even though ticagrelor was beneficial in prior cardiovascular trials,
its efficacy in stroke prevention was inconclusive in recent randomized
controlled clinical trials (RCTs). We sought to consolidate the evidence for
efficacy and safety of ticagrelor for stroke prevention. METHODS: We conducted a
systematic review and meta-analysis of RCTs in major databases reporting
following efficacy and safety outcomes among patients with cerebral or
cardiovascular risk factors treated with ticagrelor (vs. control): ischemic
stroke (IS), combined ischemic and hemorrhagic stroke, myocardial infarction
(MI), cardiovascular death (CVD), all-cause mortality, and major bleeding events.
We pooled risk ratios (RR) and adjusted hazard ratios (HRadjusted) from each
trial using random-effect models, and assessed the heterogeneity using Cochran Q
and I2 statistics. RESULTS: We identified 13 RCTs, comprising 64,360 patients. In
comparison to control group, ticagrelor reduced the risk of IS (RR = 0.86; 95%CI
= 0.78-0.95, p = .003; I2 = 0%), combined ischemic and hemorrhagic strokes (risk
ratio: 0.90; 95%CI: 0.81-1.00, p = .05; I2 = 0%), and composite stroke/MI/CVD (RR
= 0.90; 95%CI = 0.81-0.99, p = .03; I2 = 47%). Ticagrelor was not associated with
increased risk of mortality (RR: 0.95; 95%CI: 0.84-1.07; p = .40) or major
bleeding events (RR: 1.18; 95%CI: 0.92-1.50; p = .19). Additional analyses
demonstrated that ticagrelor reduced the risk of incident strokes (HRadjusted =
0.87; 95%CI = 0.76-0.98; p = .03) and composite stroke/MI/CVD (HRadjusted = 0.88;
95%CI = 0.78-0.98; p = .02) among patients with prior history of IS or transient
ischemic attack. CONCLUSIONS: Ticagrelor seems to be a beneficial option for
primary and secondary stroke prevention in patients with cerebral or
cardiovascular risk factors. Further RCTs are needed to evaluate the role of
ticagrelor in secondary stroke prevention.
PMID- 29801892
TI - Middle cerebral artery extension and the risk for aneurysmal disease.
AB - The intracranial artery aneurysm is an abnormal dilatation at the wall and the
most serious complication is its rupture that is associated with high rates of
neurological mortality and morbidity. Its most common location in arterial
bifurcations suggests that the hemodynamic of blood flow plays a key role, but
the effect of the length of the M1 segment of the middle cerebral artery is
poorly explored. We analyzed biplanar brain angiograms to measure the extent of
the M1 segment and its relationship to the presence of aneurysm at the
bifurcation. Of 475 patients, a total of 682 bilateral measurements were
analyzed. Women accounted for 58.7% and the mean age was 50.2 +/- 15.5. Aneurysms
were detected in 45 arteries, about the average length of the M1 artery; the
right segment measure (2.98 +/- 0.99 cm) was statistically lesser than the left
(3.09 +/- 1.38 cm). Applying the regression coefficient, arteries with aneurysm
have an average length of 0.419 cm smaller than an artery without aneurysm, and
this difference was statistically significant (p < 0.05). Though the wall shear
stress component is a proven risk factor for the development of aneurysms in
bifurcations, a smaller extension of the M1 segment may also be implicated in its
development.
PMID- 29801894
TI - Migraine as possible red flag of PFO presence in suspected demyelinating disease.
AB - OBJECTIVES: To investigate a possible association between isolated white matter
lesions suggestive of demyelinating disease in magnetic resonance imaging (MRI)
and patent foramen ovale (PFO) evidence in migraine patients, with or without
aura. MATERIALS: 31 migraine patients, 28 females and 3 males, with MRI evidence
of white matter lesions suggestive of demyelinating disease according to the
Barkhof Criteria. All patients underwent further diagnostics including lumbar
puncture, autoimmunity panel and cardiological evaluation to detect the presence
of PFO. The mean duration of follow-up was 3.46 years and MIPAV software was used
to analyze MRI imaging. RESULTS: 14 of the 31 patients (45%) had PFO. A
significant association was found between PFO and migraine with visual aura (p <
0.001). No difference in lesion number, volume or area between patients with and
without PFO was found, but the distribution was mainly occipital (p < 0.001) in
patients with PFO. The follow-up showed a stationary lesion load in all PFO
patients; no infratentorial or spinal cord lesion and no enhancement or corpus
callosum lesion was ever detected. At the end of follow-up four patients
developed multiple sclerosis: younger age at first MRI and oligoclonal bands were
associated risk factors. CONCLUSIONS: Migraine is often one of the main symptoms
leading to MRI, and in many cases white matter lesions of unspecific significance
are discovered, thus placing demyelinating diseases in the differential
diagnosis. Our study underlines the potential pathogenetic role of PFO in
generating white matter lesions in migraine patients (45%), particularly those
with visual aura and occipital lesions. For this reason, we affirm that PFO
represents a cardinal point in the differential diagnosis of suspected
demyelinating disease.
PMID- 29801893
TI - Two cases of late onset familial amyloid polyneuropathy with a Glu61Lys
transthyretin variant.
PMID- 29801895
TI - Multimodal neuroimaging analysis in patients with SYNE1 Ataxia.
AB - BACKGROUND: The gene SYNE1 is highly expressed in the cerebellum and its
dysfunction is related to an autosomal recessive ataxia (SYNE1-ataxia). The
disease was firstly considered a pure cerebellar ataxia however, recent studies
have described a broader clinical presentation, including motor neuron disease
symptoms. OBJECTIVES: To investigate cerebellar and potential extra-cerebellar
changes in SYNE1-ataxia using multimodal neuroimaging analyses. METHODS: Six
patients completed clinical and imaging exams, and were compared to age-gender
matched healthy controls. Gray matter was analyzed using FreeSurfer and CERES for
brain and cerebellum, respectively. White matter was analyzed with DTI-TBSS while
we used SpineSeg for spinal cord analysis. RESULTS: We found significantly
reduced cortical thickness (p < 0.05, FDR-corrected) in primary and association
cortices, and volume reduction in subcortical structures, brainstem and
cerebellum. White matter was found disrupted in both brain and cerebellum (p <
0.05, FWE-corrected). These results are consistent with the expression of the
SYNE1 mRNA and its encoded protein in the brain. We failed to demonstrate spinal
cord changes. CONCLUSIONS: SYNE1-ataxia is, therefore, a relatively common cause
of recessive ataxia characterized by complex multisystemic neurostructural
changes consistent with the phenotypic heterogeneity and neuroimaging configures
a potential marker of the disease.
PMID- 29801896
TI - Reduced gray matter volume is correlated with frontal cognitive and behavioral
impairments in Parkinson's disease.
AB - OBJECTIVE: To identify the brain-volume reductions associated with frontal
cognitive and behavioral impairments in Parkinson's disease (PD). METHODS: Forty
PD patients without dementia or amnesia (Hoehn and Yahr stage 3) and 10 age
matched controls underwent brain magnetic resonance imaging. Cognitive and
behavioral impairments were assessed by using the Frontal Assessment Battery
(FAB) and Frontal Systems Behavioral Scale (FrSBe), respectively. We applied
voxel-based morphometry to investigate the correlations of regional gray matter
volume with FAB, FrSBe, and physical disability. RESULTS: FAB was significantly
lower in PD than in controls. FrSBe was significantly higher after PD onset than
before, notably in the apathy subscale. FAB and FrSBe were significantly
intercorrelated. In PD patients, left inferior frontal volume was positively
correlated with FAB, whereas right precentral volume was negatively correlated
with FrSBe total score. The brain volumes in both of these regions were not
correlated with the Unified PD Rating Scale III. CONCLUSION: Behavioral
impairments in PD tended to coexist with progression of frontal cognitive
impairment. Regional atrophy within the frontal lobe was associated with both
frontal cognitive and behavioral impairments. However, the specific region
responsible for behavioral impairment differed from that for frontal cognitive
impairment. These associations were independent of physical disability.
PMID- 29801897
TI - Paraneoplastic seesaw nystagmus and opsoclonus provides evidence for
hyperexcitable reciprocally innervating mesencephalic network.
AB - Seesaw nystagmus is characterized by the rhythmic combination of vertical and
torsional dysconjugate oscillations where one eye moves up and inward while the
other moves down and outward. Common association of seesaw nystagmus with
accessory optic track lesions lead to traditional hypothesis that it is due to
the mismatch in the vision and vestibular systems. Here we propose a novel
mechanism for seesaw nystagmus. We hypothesize that reverberations due to
abnormal increases in the excitability of the reciprocally innervating circuit of
excitatory burst neuron in the midbrain interstitial nucleus of Cajal causes the
seesaw nystagmus. Analogous oscillations of the brainstem burst generators may be
responsible for generation of saccadic oscillations or opsoclonus. The key
difference is that the interstitial nucleus of Cajal lacks inhibitory burst
neurons, hence the lack of post-inhibitory rebound, and relatively lower
frequency of the oscillatory cycles causing pendular seesaw nystagmus. In
contrast the brainstem burst generator, with reciprocally innervating excitatory
and inhibitory burst neurons, and further inhibitory influence of the omnipause
neurons results in the post-inhibitory rebound at the burst neurons, hence high
oscillation frequency. This novel concept is supported by a unique observation in
a patient with antineuronal nuclear autoantibody type 2 due to breast cancer who
had combined seesaw nystagmus and superimposed saccadic oscillations. The patient
neither had cerebellar deficits typically thought to cause paraneoplastic
opsoclonus nor visual deficits that are known cause of seesaw nystagmus. We
propose that hyperexcitability of the burst neurons in the interstitial nucleus
of Cajal due to paraneoplastic antibody caused pendular seesaw nystagmus. On the
other hand, increased excitability of brainstem burst generators and reduced
efficacy of the omnipause neurons caused saccadic oscillations.
PMID- 29801899
TI - Can early dopamine transporter imaging serve as a predictor of Parkinson's
disease progression and late motor complications?
AB - BACKGROUND: The role of nuclear imaging in predicting Parkinson's disease (PD)
progression is unclear. This study investigated whether the degree of reduced
striatal dopamine transporter binding at diagnosis of PD predicts later motor
complications and time to disease progression. METHODS: We retrospectively
studied 41 patients with early PD who underwent 123I-FP-CIT SPECT and were
followed thereafter with a mean disease duration of 9.51 +/- 3.18 years. The
association of quantitatively analyzed 123I-FP-CIT binding in striatal subregions
with the development of motor fluctuations, dyskinesias, freezing of gait (FOG)
and falls as well as the time to Hoehn and Yahr (H&Y) stage 3 was evaluated.
RESULTS: Logistic regression models controlling for age at diagnosis, sex,
disease duration, and L-dopa dose revealed that 123I-FP-CIT binding in the
putamen and striatum significantly predicted FOG (OR = 0.02, p = 0.03; OR = 0.01,
p = 0.04; respectively) but not falls. Cox proportional hazard analysis did not
reveal significant relationship between 123I-FP-CIT binding and motor
fluctuations, dyskinesias, or H&Y stage 3. CONCLUSIONS: Our results suggest that
a more severe depletion of presynaptic dopamine in early PD is a bad prognostic
sign in terms of FOG development. These findings, if replicated, may point to
dopaminergic transmission as part of the mechanism underlying FOG in PD.
PMID- 29801898
TI - Non-right handed primary progressive apraxia of speech.
AB - In recent years a large and growing body of research has greatly advanced our
understanding of primary progressive apraxia of speech. Handedness has emerged as
one potential marker of selective vulnerability in degenerative diseases. This
study evaluated the clinical and imaging findings in non-right handed compared to
right handed participants in a prospective cohort diagnosed with primary
progressive apraxia of speech. A total of 30 participants were included. Compared
to the expected rate in the population, there was a higher prevalence of non
right handedness among those with primary progressive apraxia of speech (6/30,
20%). Small group numbers meant that these results did not reach statistical
significance, although the effect sizes were moderate-to-large. There were no
clinical differences between right handed and non-right handed participants.
Bilateral hypometabolism was seen in primary progressive apraxia of speech
compared to controls, with non-right handed participants showing more right
hemispheric involvement. This is the first report of a higher rate of non-right
handedness in participants with isolated apraxia of speech, which may point to an
increased vulnerability for developing this disorder among non-right handed
participants. This challenges prior hypotheses about a relative protective effect
of non-right handedness for tau-related neurodegeneration. We discuss potential
avenues for future research to investigate the relationship between handedness
and motor disorders more generally.
PMID- 29801900
TI - Practical issues in measuring autoantibodies to neuronal cell-surface antigens in
autoimmune neurological disorders: 190 cases.
AB - OBJECTIVES: To address practical issues in measuring autoantibodies to neuronal
cell-surface antigens (NSAs) in various autoimmune neurological disorders (ANDs).
METHODS: We retrospectively reviewed the clinical information of 221 patients
with clinically suspected ANDs who underwent antibody testing for NSAs between
January 2007 and September 2017. 31 were excluded. In 190 patients, antibody
detection rate (ADR) and antibody-phenotype association were assessed. RESULTS:
Fifty-four patients had NSA-antibodies: NMDA receptor (NMDAR) (n = 39), AMPA
receptor (n = 3), leucine-rich glioma inactivated 1 (LGI1) (n = 3), glycine
receptor (GlyR) (n = 3), GABA(A) receptor (n = 2), GABA(B) receptor (n = 1),
metabotrophic glutamate receptor 5 (n = 1), or unknown (n = 6); 3 had multiple
NSA-antibodies. ADR in patients with diagnostic criteria for "possible autoimmune
encephalitis (AE)", "probable anti-NMDAR encephalitis", "definite autoimmune
limbic encephalitis (ALE)", and "stiff-person spectrum disorder (SPSD)", was 34%
(46/134), 85% (34/40), 46% (11/24), and 22% (4/18), respectively, but NSA
antibodies were not identified in 11 patients with systemic autoimmune disorders
(SADs). Among 134 patients with "possible AE" criteria, NMDAR-antibodies were
more frequently identified in patients with typical anti-NMDAR encephalitis than
those without (34/40 [85%] vs. 4/94 [4%], p < 0.0001). LGI1-antibodies were
identified in patients with ALE but not in the others (3/24 [13%] vs. 0/110 [0%],
p = 0.005). GlyR-antibodies were identified in those with stiff-person syndrome
plus (2/8, 25%) or stiff-limb syndrome (1/6, 17%). CONCLUSIONS: NSA-antibodies
were most frequently identified in "probable anti-NMDAR encephalitis", followed
by "definite ALE", "possible AE", and "SPSD", but not identified in SADs. NMDAR,
LGI1 and GlyR were associated with clinical phenotype. Cell-surface antigens
should be determined based on individual phenotype.
PMID- 29801901
TI - Meningitis-retention syndrome: Clinical features, frequency and prognosis.
AB - BACKGROUND: Meningitis-retention syndrome (MRS) is a peculiar combination of
aseptic meningitis (AM) and acute urinary retention without other neurological
symptoms. MRS has not been well recognised, and the prevalence of MRS in patients
with AM is unknown. OBJECTIVE: To investigate the frequency and clinical features
of MRS. METHODS: Clinical and laboratory features of patients with MRS who were
consecutively admitted to the Chiba Rosai Hospital between 2009 and 2017.
RESULTS: Of the 37 patients with AM, MRS was observed in three (8%). In MRS
patients, the mean latency between the onset of meningeal symptoms (headache
and/or fever) and the three clinical course milestones (the onset of voiding
difficulty, urinary retention and recovery of no residual urine volume) were 8,
9.3 and 18 days, respectively. Patients with MRS frequently showed elevated
cerebrospinal fluid adenosine deaminase levels and decreased cerebrospinal
fluid/serum glucose ratios. All patients with MRS recovered without a specific
treatment, and the mean hospital stay was 18 days. CONCLUSIONS: MRS may be more
common than is generally considered. The long-term prognosis of MRS was good, and
it was a self-limiting condition. However, it is likely to be underreported or
misdiagnosed. Therefore, it is important to recognise that patients with AM may
have MRS.
PMID- 29801902
TI - Dopaminergic dose adjustment and negative affective symptoms after deep brain
stimulation.
PMID- 29801903
TI - Targeted gene capture sequencing in diagnosis of dystonia patients.
AB - BACKGROUND: Dystonia is a movement disorder with high clinical and genetic
heterogeneity. Molecular diagnosis is important for an accurate diagnosis of
dystonia. Targeted gene capture sequencing has been an effective method for
screening multiple candidate genes simultaneously. This method, however, has been
rarely reported to be used with dystonia patients. OBJECTIVES AND METHODS: To
assess the effectiveness of the targeted gene capture sequencing in dystonia, we
performed custom target gene capture followed by next-generation sequencing in
dystonia patients from China. Sanger sequencing was utilized to substantiate the
findings. The effects of identified variants were classified according to the
American College of Medical Genetics and Genomics (ACMG) standards and
guidelines. RESULTS: A total of 65 patients (34 female and 31 male) were
recruited in this study. The mean age at onset was 22.7 +/- 13.3 years ranging
from 2 to 59 years. According to ACMG standards and guidelines, of 65 patients,
12 were identified with pathogenic variants (12/65, 18.5%) in gene TOR1A, PANK2
or ATP1A3, and another four were identified with likely-pathogenic variants
(4/65, 6.2%) in gene PRRT2, GCH1 or THAP1. In total, 24.6% of patients in this
cohort were detected to have a genetic cause of dystonia. Another four patients
(4/65, 6.2%) were identified with variants which were considered to be VUS
(Variants of Uncertain Significance) in gene SGCE, TH, ANO3 and ATP1A3
respectively. The most common detected gene was TOR1A, known to be causative for
DYT1 (8/65, 12.3%). CONCLUSIONS: The study demonstrates that targeted gene
capture sequencing is an effective tool for identifying the genetic cause of
heterogeneous dystonia patients.
PMID- 29801904
TI - Assessing mobility and balance in Autosomal Recessive Spastic Ataxia of
Charlevoix-Saguenay population: Validity and reliability of four outcome
measures.
AB - OBJECTIVE: To assess the construct validity of the 10-Meter Walk Test (10mWT),
Six-Minute Walk Test (6MWT), Berg Balance Scale (BERG), and Timed Up and Go (TUG)
in adults with Autosomal Recessive Spastic Ataxia of Charlevoix-Saguenay
(ARSACS), in addition to the interrater reliability of the 10mWT and 6MWT.
METHODS: Reliability was determined using the intraclass correlation coefficient
(ICC). Validity was determined first by correlating the 10mWT, 6MWT, BERG, and
TUG with participant's age, lower limb coordination, and disease severity, and
then by assessing their capacity to distinguish between participants based on sex
and disease stages. RESULTS: Interrater reliability of the 10mWT at both
comfortable and maximum speed as well as the 6MWT is excellent (ICC = 0.97-0.99).
Construct validity of the four tests was confirmed, as showed by the high
correlations with age, lower limb coordination, and overall disease severity (rho
= 0.64-0.97). CONCLUSIONS: The four tests assessed for their metrological
properties in this study showed to be valid instruments to use in the ARSACS
population. The 10mWT and 6MWT are also highly reliable. BERG and TUG reliability
will need to be assess in a future study.
PMID- 29801905
TI - Isolated bilateral abducens paralysis without ptosis in an anti-LDL receptor
related protein 4 antibody-positive patient treated effectively with steroid
pulse therapy.
PMID- 29801906
TI - Novel management strategies for medically-refractory vasospasm following
aneurysmal subarachnoid hemorrhage.
AB - Delayed cerebral ischemia (DCI) after aneurysmal subarachnoid hemorrhage (SAH) is
an important cause of further morbidity and mortality after an already
devastating condition. Though traditionally attributed to vasospasm of large
capacitance arteries and the resulting down-stream disruption of cerebral blood
flow, the pathogenesis of DCI has proven to be more complex with early brain
injury, blood-brain barrier disruption, microthrombosis, cortical spreading
depolarizations, and the failure of cerebral autoregulation as newly elucidated
factors. Vasospasm is a known consequence of SAH. The standard of care includes
close monitoring for neurological deterioration, most often with serial clinical
examinations, transcranial Doppler ultrasonography, and vascular imaging (crucial
for early detection of DCI and allows for prompt intervention). Nimodipine
continues to remain an important pharmacological strategy to improve functional
outcomes in patients with SAH at risk for developing vasospasm. The paradigm for
first line therapy in patients with vasospasm of induced hypertension,
hypervolemia, and hemodilution has recently been challenged. Current American
Heart Association guidelines recommend targeting euvolemia and judicious use of
the pharmacologically induced hypertension component. Symptomatic vasospasm
patients who do not improve with this first line therapy require rescue
intervention with mechanical or chemical angioplasty and optimization of cardiac
output and hemoglobin levels. This can be escalated in a step-wise fashion to
include adjunct treatments such as intrathecal administration of vasodilators and
sympatholytic or thrombolytic therapies. This review provides a general overview
of the treatment modalities for DCI with a focus on novel management strategies
that show promising results for treating vasospasm to prevent DCI.
PMID- 29801907
TI - Beneficial effect of intravenous immunoglobulin treatment in a patient with
antiphospholipid syndrome associated chorea.
PMID- 29801909
TI - Infantile traumatic brain injury with a biphasic clinical course and late reduced
diffusion.
AB - Reduced diffusion in the subcortical white matter has been reported in some
infants with traumatic brain injury (TBI), including abusive head trauma.
However, the pathomechanisms of the lesions and clinical features are uncertain.
We herein report two infants with TBI who presented with biphasic clinical
courses and late reduced diffusion in the subcortical white matter, and reviewed
seven clinically and radiologically similar patients with TBI. Their clinical
features (secondary neurological symptoms on days 3 to 6) and radiological
findings (normal diffusion on days 1 to 2, followed by reduced diffusion on days
3 to 6) are very similar to those observed in patients with acute encephalopathy
with biphasic seizures and late reduced diffusion (AESD). MR spectroscopy in one
patient revealed a transient increase of glutamine, which is also observed in
AESD, suggesting excitotoxicity as a possible pathomechanism.
PMID- 29801908
TI - The clinical utility of a 30-minute neuropsychological assessment battery in
inpatient stroke rehabilitation.
AB - Cognitive assessment is an important component of inpatient stroke
rehabilitation. Few studies have empirically evaluated the clinical utility of
specific neuropsychological measures in this setting. We investigated the
psychometric properties and clinical utility of a 30-minute neuropsychological
battery developed by the National Institute of Neurologic Disorders and Stroke
(NINDS) and the Canadian Stroke Network (CSN). Clinical data were analyzed from
100 individuals with mild-moderate stroke severity on an acute inpatient
rehabilitation unit who completed the NINDS-CSN battery at admission. The battery
comprised the Symbol-Digit Modalities Test (SDMT), Trail Making Test, Controlled
Oral Word Association Test, Animal Naming, and the Hopkins Verbal Learning Test
Revised. We evaluated the battery's distribution of scores, frequency of impaired
performance, internal consistency, and ability to predict rehabilitation gain and
independence in cognitively-based instrumental activities of daily living (IADL)
at discharge. Results indicated that the NINDS-CSN battery was sensitive to
cognitive impairment, demonstrated moderately strong internal consistency, and
predicted discharge IADL. The SDMT demonstrated the strongest sensitivity to
impairment and predictive validity. The NINDS-CSN battery is a clinically useful
assessment battery in acute inpatient stroke rehabilitation. Complex attention
and processing speed performance may be most informative in predicting amount of
rehabilitation gain and IADL functioning at discharge.
PMID- 29801910
TI - Perampanel as adjunctive therapy in highly refractory epilepsies: Real-world data
from an Italian tertiary care epilepsy centre.
AB - Perampanel (PER) is a selective non-competitive alpha-amino-3-hydroxy-5-methyl-4
isoxazole propionic acid (AMPA) receptor antagonist, licensed as adjunctive
therapy in focal epilepsy and primary generalized tonic-clonic seizures (pGTCSs).
We performed a retrospective study on highly refractory adult patients taking
PER, with 1-year follow-up. Retention rate represented the primary outcome of our
work; seizure frequency reduction (>=50%), "switch rate" and proportion of
adverse events (AEs) were evaluated as secondary endpoints. Eighty-nine subjects
(47 females, age range: 19-78 years) were included. Seventy-three had focal
epilepsy (FE), 9 generalized epilepsy and 7 epileptic encephalopathy. All
patients were highly drug-resistant (medication failures: 5-17). Retention rate
was 87.6%, 63% and 51.7% at 3, 6 and 12 months. Responders were 27/89 (30.3%),
with 8/27 seizure-free. The number of previous treatment failures and the
concomitant use of enzyme inducers negatively influenced clinical response,
whereas no correlation was documented between PER dose and outcome. Responder
proportion was more satisfying in structural FE than in FE of unknown etiology
(33% versus 20%), and in secondarily GTCSs than focal seizures (54% vs 28%),
whereas pGTCSs showed a lower reponse rate (25%). Mild-to-moderate AEs (mainly
dizziness, gait disturbances and psychiatric effects) were reported by 40% of
patients; serious psychiatric AEs usually occurred in subjects with psychiatric
comorbidities. Our study confirms the tolerability and effectiveness of PER in
highly drug-resistant patients with different epilepsy syndromes and aetiologies.
PMID- 29801911
TI - The Many Faces of Neurocysticercosis.
AB - Neurocysticercosis (NCC) is the most common parasitic disease of the central
nervous system, and one of the most common causes of epilepsy worldwide. The
radiologic appearance of neurocysticercosis varies depending on the stage of the
disease, and the stage of the disease determines the appropriate treatment. In
this article, we review key neuroimaging characteristics of the stages of NCC
(vesicular, colloidal, granular, and calcified) and treatment principles for
these different stages of the disease.
PMID- 29801912
TI - Long-term outcomes of acute ischemic stroke patients treated with endovascular
thrombectomy: A real-world experience.
AB - BACKGROUND AND PURPOSE: Long-term follow-up of large trials have confirmed the
superiority of endovascular thrombectomy (ET) for treating acute ischemic stroke
(AIS). However, it is still unknown whether these results can be generalized to
clinical practice. In this study, we aimed to determine the long-term outcomes of
AIS post-ET in the real-world clinical practice. METHODS: This observational
study is based on a single-center prospective registry study. AIS patients were
treated with second-generation stent retrievers from December 2012 to April 2016.
The primary outcome was modified Ranks scale (mRS) at the time of the latest
assessment. Favorable outcome was defined as mRS scores 0-2, and the unfavorable
outcome was defined as mRS scores 3-6. RESULTS: Eighty-nine AIS subjects with
large artery occlusion in anterior circulation undergoing ET were eligible for
analysis. Median follow-up duration was 20 months (interquartile range 6-32), and
47 subjects (53%) achieved favorable outcome whereas 17 subjects (19%) were
functional dependence and 25 subjects (28%) died. Independent predicators for
long-term unfavorable outcome were higher baseline National Institutes of Health
Stroke Scale (NIHSS) score (odd ratio:1.21;95% confidence interval 1.09-1.35; p <
0.001) and symptomatic intracerebral hemorrhage (sICH) (odd ratio:16.45;95%
confidence interval 1.34-193.44; p = 0.026). More subjects of large-artery
atherosclerosis underwent permanent intracranial stenting (22%vs.10%) as compared
with those of cardioembolism, while subjects of cardioembolism were more likely
to experience sICH (13%vs.8%) and died (32%vs.16%). CONCLUSIONS: Over half of AIS
patients can achieve favorable long-term outcomes post-ET. Higher baseline NIHSS
scores and sICH are independently associated with unfavorable outcome. Overall,
clinical practice in this single canter can replicate the long-term outcomes from
the published endovascular clinical trials.
PMID- 29801913
TI - Progressive spasticity, supranuclear gaze palsy and postural instability, without
parkinsonism: what's in a phenotype?
AB - We present a series of patients with vertical supranuclear gaze palsy, postural
instability with falls, and progressive spasticity, who mimic Progressive
Supranuclear Palsy - Richardson's syndrome (PSP-R) but have no parkinsonism, and
in whom dopamine transporter imaging is normal. We suggest possible aetiologies
for this constellation of symptoms, discuss the possible origin of these signs
and highlight this phenotype as it may mimic atypical parkinsonism and in
particular PSP.
PMID- 29801914
TI - Improvement of mouse controlling in Essential tremor by a tremor filter: A case
report.
AB - The interaction with electronic devices is crucial in our technological society.
Hand kinetic tremor complicates mouse driving in Essential tremor patients. To
solve this issue some technological solutions are available and accessible
online. We present a 71-year-old patient with prominent mouse controlling tremor
who improved with one of these systems.
PMID- 29801915
TI - The impact of very short transition times on switching from Natalizumab to
Fingolimod on imaging and clinical effectiveness outcomes in multiple sclerosis.
AB - BACKGROUND: Due to the recurrence of disease activity in multiple sclerosis (MS)
patients, a washout period of <3 months has been suggested for the transition
from natalizumab (NTZ) to fingolimod (FTY). However, very short transition
periods of <1 month may be more beneficial. METHODS: Retrospective analysis of
patients from the Rocky Mountain MS Center at the University of Colorado who
were: a) on NTZ for >=6 months prior to switching to FTY; b) had a transition
period <= 6 months; and c) initiated FTY treatment prior to November 2013.
Transition periods were grouped as follows: <1 month, 1-2 months, and 3-6 months.
Outcomes assessed include clinical and MRI measures within one year of FTY
initiation. RESULTS: Thirty-seven, 56 and 24 patients had a transition period < 1
month, 1-2 months and 3-6 months, respectively. Baseline characteristics were
well matched: mean age 45-49 years (p = 0.17), disease duration 11-13 years (p =
0.42), and ~70% women (p = 1.00). Following the switch (including transition
period), clinical relapses were observed in 0% (<1 month), 12.5% (1-2 months),
37.5% (3-6 month) (p < 0.001) of patients. New gadolinium enhancing lesions
occurred in 3.3% (<1 month), 13% (1-2 months), 21.4% (3-6 months) (p = 0.13)
patients. New T2 lesions were observed in 11.1% (<1 month), 16.3% (1-2 months),
33.3% (3-6 months) (p = 0.28) of patients. There were no unexpected adverse
events or PML observed. CONCLUSIONS: Minimizing transition times from NTZ to FTY
was beneficial and safe.
PMID- 29801917
TI - Cocaine as a potential trigger for Neuromyelitis Optica.
PMID- 29801916
TI - Leukodystrophy with disorders of sex development due to WT1 mutations.
AB - BACKGROUND: Hypomyelinating leukodystrophies represent an expanding group of
neurogenetic disorders characterized primarily by central nervous system
hypomyelination and variable neurological and non-neurological involvement.
Hypomyelinating disorders have been rarely associated with gonadal dysfunction,
being mainly represented by hypogonadotrophic hypogonadism in 4H syndrome. WT1
gene-associated disorders are classically associated with complex phenotypes
including early carcinogenic risk for gonadoblastoma and Wilms' tumor, chronic
renal failure, nephrotic syndrome and sex developmental disorders in intersex
disorders and ambiguous genitalia. METHODS: The authors describe three non
related Brazilian patients with hypomyelinating leukodystrophy associated with
complex neurological and systemic dysfunction with WT1 gene mutations. RESULTS:
All described patients presented with similar neuroimaging features including
thin corpus callosum, mild to moderate cerebellar atrophy and diffuse
periventricular and profound hypomyelinating leukodystrophy involving
supratentorial white matter with classical compromise linked to inherited non
somatic WT1 gene mutations in a similar pattern to Denys-Drash syndrome,
including nephrotic syndrome with different glomerular disease, chronic renal
failure, intersex disorder with ambiguous genitalia, and early occurrence of
specific tumors, such as Wilms' tumor and gonadoblastoma. CONCLUSIONS: Clinicians
must include WT1 gene mutations in the differential diagnosis of hypomyelinating
leukodystrophy with nephrotic syndrome, chronic renal failure, ambiguous
genitalia or sex developmental disorders.
PMID- 29801918
TI - An innovative and comprehensive technique to evaluate different measures of
medication adherence: The network meta-analysis.
AB - BACKGROUND: Poor medication adherence is associated with adverse health outcomes
and higher costs of care. However, inconsistencies in the assessment of adherence
are found in the literature. OBJECTIVE: To evaluate the effect of different
measures of adherence in the comparative effectiveness of complex interventions
to enhance patients' adherence to prescribed medications. METHODS: A systematic
review with network meta-analysis was performed. Electronic searches for relevant
pairwise meta-analysis including trials of interventions that aimed to improve
medication adherence were performed in PubMed. Data extraction was conducted with
eligible trials evaluating short-period adherence follow-up (until 3 months)
using any measure of adherence: self-report, pill count, or MEMS (medication
event monitoring system). To standardize the results obtained with these
different measures, an overall composite measure and an objective composite
measure were also calculated. Network meta-analyses for each measure of adherence
were built. Rank order and surface under the cumulative ranking curve analyses
(SUCRA) were performed. RESULTS: Ninety-one trials were included in the network
meta-analyses. The five network meta-analyses demonstrated robustness and
reliability. Results obtained for all measures of adherence were similar across
them and to both composite measures. For both composite measures, interventions
comprising economic + technical components were the best option (90% of
probability in SUCRA analysis) with statistical superiority against almost all
other interventions and against standard care (odds ratio with 95% credibility
interval ranging from 0.09 to 0.25 [0.02, 0.98]). CONCLUSION: The use of network
meta-analysis was reliable to compare different measures of adherence of complex
interventions in short-periods follow-up. Analyses with longer follow-up periods
are needed to confirm these results. Different measures of adherence produced
similar results. The use of composite measures revealed reliable alternatives to
establish a broader and more detailed picture of adherence.
PMID- 29801919
TI - Corrigendum to "Microwave initiated synthesis of polyacrylamide grafted
carboxymethylstarch (CMS-g-PAM): Application as a novel matrix for sustained drug
release" [Int. J. Biol. Macromol. 45 (2009) 48-55].
PMID- 29801920
TI - Head and Neck Cancer and the Elderly Patient.
AB - Management of head and neck cancer in the elderly patient is particularly
challenging given the high morbidity associated with treatment. Surgery,
radiotherapy, and chemotherapy have all been demonstrated as effective in older
patients; however, older patients are more susceptible to treatment-induced
toxicity, which can limit the survival benefits of certain interventions. This
susceptibility is better associated with the presence of multiple comorbidities
and decreasing functional status than with age alone. Screening tools allow for
risk stratification, treatment deintensification, and even treatment avoidance in
patients who are deemed at high-risk of being harmed by standard therapy.
PMID- 29801921
TI - Neurodevelopmental outcomes in children with prenatally diagnosed corpus callosal
abnormalities.
AB - OBJECTIVE: Although corpus callosal abnormalities are among the most common brain
malformations detected prenatally, few previous studies have described the
neurodevelopmental outcomes of children with this condition. The aim of our study
was to evaluate the neurodevelopmental outcomes and associated clinical features
of children with corpus callosal abnormalities diagnosed by prenatal
ultrasonography. METHODS: Between July 2011 and July 2016, forty-nine children
with corpus callosal abnormalities were born in Asan Medical Center Children's
Hospital. Neurodevelopmental assessments were conducted in 40 patients at a
median age of 24.8 months using the Bayley Scales of Infant Development II or the
Korean Infant and Child Development Test. Patients were categorized according to
their postnatal magnetic resonance imaging (MRI) findings and accompanying
anomalies. Baseline characteristics and developmental outcomes of each group were
compared. RESULTS: Isolated agenesis or hypoplasia of the corpus callosum was
found in 16 (32.7%) patients, other associated central nervous system (CNS)
abnormalities were found in 28 (57.1%) patients, and non-CNS abnormalities were
found in 11 (22.4%) patients. Among the 40 patients who underwent developmental
assessment, 18 (45.0%) showed normal development and 10 (25.0%) showed moderate
to-severe global developmental delay. Seven of the twelve (58.3%) patients with
isolated corpus callosal abnormalities showed normal development. The combination
of corpus callosal abnormalities with non-CNS anomalies was significantly
associated with developmental delay (odds ratio 2.5, 95% confidence interval 1.6
3.9, p = 0.001). Conversely, children with isolated corpus callosal abnormalities
showed relatively favorable neurodevelopmental outcomes. CONCLUSION: Appropriate
evaluation and comprehensive therapeutic approaches are strongly recommended for
neonates who present with corpus callosal abnormalities combined with additional
anomalies.
PMID- 29801922
TI - Association of developing childhood epilepsy subsequent to febrile seizure: A
population-based cohort study.
AB - PURPOSE: Epilepsy is an important neurological condition that frequently
associated with neurobehavioral disorders in childhood. Our aim was to identify
the risk of developing epilepsy subsequent to febrile seizure and the association
between epilepsy risk factors and neurobehavioral disorders. SUBJECTS AND
METHODS: This longitudinal population-based cohort data included 952 patients
with a febrile seizure diagnosis and 3808 age- and sex-matched controls.
Participants were recruited for the study from 1996 to 2011, and all patients
were followed up for maximum 12.34 years. RESULTS: The association of epilepsy
was significantly higher (18.76-fold) in individuals that experienced febrile
seizure compared to controls. Further, of those individuals who experienced
febrile seizure, the frequency of subsequent development of epilepsy was 2.15
fold greater in females, 4.846-fold greater in patients with recurrent febrile
seizure, and 11.26-fold greater patients with comorbid autism. CONCLUSIONS: Our
study showed that being female, comorbid autism with febrile seizure and
recurrent febrile seizure had an increased association with development of
epilepsy. Increased recognition the association for epilepsy might be warranted
in those febrile seizure children with certain characteristics.
PMID- 29801923
TI - An improved incipient fault detection method based on Kullback-Leibler
divergence.
AB - This paper presents an improved incipient fault detection method based on
Kullback-Leibler (KL) divergence under multivariate statistical analysis frame.
Different from the traditional multivariate fault detection methods, this
methodology can detect slight anomalous behaviors by comparing the online
probability density function (PDF) online with the reference PDF obtained from
large scale off-line data set. In the principal and residual subspaces obtained
via PCA, a symmetric evaluation function is defined for both single variate and
multivariate cases. The uniform form of probability distribution and fault
detection thresholds associated with all eigenvalues are given. In addition, the
robust performance is analyzed with respect to a wide range of Signal to Noise
Ratio (SNR). Case studies are conducted with three types of incipient faults on a
numerical example; combining with two nonlinear projections, the proposed scheme
is successfully used for incipient fault detection in non-Gaussian electrical
drive system. The results can demonstrate the superiority of the proposed method
than several other methods.
PMID- 29801924
TI - Heart rate monitoring and therapeutic devices: A wavelet transform based approach
for the modeling and classification of congestive heart failure.
AB - Heart rate monitoring and therapeutic devices include real-time sensing
capabilities reflecting the state of the heart. Current circuitry can be
interpreted as a cardiac electrical signal compression algorithm representing the
time signal information into a single event description of the cardiac activity.
It is observed that some detection techniques developed for ECG signal detection
like artificial neural network, genetic algorithm, Hilbert transform, hidden
Markov model are some sophisticated algorithms which provide suitable results but
their implementation on a silicon chip is very complicated. Due to less
complexity and high performance, wavelet transform based approaches are widely
used. In this paper, after a thorough analysis of various wavelet transforms, it
is found that Biorthogonal wavelet transform is best suited to detect ECG
signal's QRS complex. The main steps involved in ECG detection process consist of
de-noising and locating different ECG peaks using adaptive slope prediction
thresholding. Furthermore, the significant challenges involved in the wireless
transmission of ECG data are data conversion and power consumption. As medical
regulatory boards demand a lossless compression technique, lossless compression
technique with a high bit compression ratio is highly required. Furthermore, in
this work, LZMA based ECG data compression technique is proposed. The proposed
methodology achieves the highest signal to noise ratio, and lowest root mean
square error. Also, the proposed ECG detection technique is capable of
distinguishing accurately between healthy, myocardial infarction, congestive
heart failure and coronary artery disease patients with a detection accuracy,
sensitivity, specificity, and error of 99.92%, 99.94%, 99.92% and 0.0013,
respectively. The use of LZMA data compression of ECG data achieves a high
compression ratio of 18.84. The advantages and effectiveness of the proposed
algorithm are verified by comparing with the existing methods.
PMID- 29801925
TI - Fault detection and isolation in the challenging Tennessee Eastman process by
using image processing techniques.
AB - The early fault detection and isolation in industrial systems is a critical
factor in preventing equipment damage. In the proposed method, instead of using
the time signals of sensors, the 2D image obtained by placing these signals next
to each other in a matrix has been used; and then a novel fault detection and
isolation procedure has been carried out based on image processing techniques.
Different features including texture, wavelet transform, mean and standard
deviation of the image accompanied with MLP and RBF neural networks based
classifiers have been used for this purpose. Obtained results indicate the
notable efficacy and success of the proposed method in detecting and isolating
faults of the Tennessee Eastman benchmark process and its superiority over
previous techniques.
PMID- 29801926
TI - Editorial Comment.
PMID- 29801927
TI - Three-dimensional Printing and Augmented Reality: Enhanced Precision for Robotic
Assisted Partial Nephrectomy.
AB - OBJECTIVE: To describe novel 3-dimensional (3D) printing and augmented reality
(AR) methods of image data visualization to facilitate anatomic understanding and
to assist with surgical planning and decision-making during robotic partial
nephrectomy. MATERIALS AND METHODS: We created a video of the workflow for
creating 3D printed and AR kidney models along with their application to robotic
partial nephrectomy. Key steps in their development are (1) radiology examination
(magnetic resonance imaging and computed tomography), (2) image segmentation, (3)
preparing for 3D printing or AR, and (4) printing the model or deploying the
model to the AR device. RESULTS: We demonstrate the workflow and utility of 3D
printing and AR kidney models applied to a case of a 70-year-old woman with a 3.4
cm renal mass on her left pelvic kidney. A 3D printed kidney model was created
using multicolor PolyJet technology (Stratasys J750), allowing a transparent
kidney with coloring of the renal tumor, artery, vein, and ureter. An AR kidney
model was created using Unity 3D software and deployed to a Microsoft HoloLens.
The 3D printed and AR models were used preoperatively and intraoperatively to
assist in robotic partial nephrectomy. To date, we have created 15 3D printed and
AR kidney models to use for robotic partial nephrectomy planning and
intraoperative guidance. The application of 3D printed and AR models is safe and
feasible and can influence surgical decisions. CONCLUSION: Our video highlights
the workflow and novel application of 3D printed and AR kidney models to provide
preoperative guidance for robotic partial nephrectomy. The insights gained from
advanced visualization can influence surgical planning decisions.
PMID- 29801928
TI - Author Reply.
PMID- 29801929
TI - Author Reply.
PMID- 29801930
TI - Editorial Comment.
PMID- 29801931
TI - Editorial Comment.
PMID- 29801932
TI - There seems to be similar improvement in pain and mouth opening limitation when
comparing glucosamine supplements with a placebo or ibuprofen in patients with
temporomandibular joint osteoarthritis.
PMID- 29801933
TI - Desensitizing gel reduces the risk of experiencing dentin hypersensitivity and
results in a small decrease in hypersensitivity levels after tooth bleaching.
PMID- 29801934
TI - Washer and Post Augmentation of 90/90 Wiring for Proximal Interphalangeal Joint
Arthrodesis: A Biomechanical Study.
AB - PURPOSE: To describe a novel construct for proximal interphalangeal (PIP) joint
arthrodesis using headless cannulated screws as an intramedullary washer to
augment 90/90 intraosseous wiring and compare the biomechanical properties of
this construct with those of the 90/90 intraosseous wiring without headless screw
augmentation. METHODS: Biomechanical evaluation of augmented 90/90 intraosseous
wiring with headless cannulated screws (group 1) or 90/90 intraosseous wiring
without augmentation (group 2) for PIP joint arthrodesis was performed in 3
matched-pair cadaveric specimens (12 digits per group). Each group was loaded to
10 N in the sagittal and coronal planes and the resultant stiffness from the load
displacement curve was calculated. In extension, each group then underwent load
to permanent deformation and load to catastrophic failure. RESULTS: The augmented
90/90 intraosseous wiring with cannulated screws construct demonstrated
significantly greater stiffness by 132%, 64%, 79%, and 75% in flexion, extension,
ulnar, and radial displacement, respectively. During load to permanent
deformation testing, a 42% greater force was required to create permanent
deformation in group 1 compared than group 2. There was no significant difference
between the 2 groups during load to catastrophic failure testing. CONCLUSIONS:
Augmenting 90/90 intraosseous wiring for PIP joint arthrodesis with 2 headless
cannulated screws in the sagittal plane that serve as intramedullary washers for
the sagittal wire and posts for the coronal wire significantly increases
stiffness in all directions as well as load to permanent deformation compared
with 90/90 intraosseous wiring without cannulated screw augmentation. CLINICAL
RELEVANCE: Augmentation of the 90/90 intraosseous wire construct with headless
cannulated screws can be considered in patients at risk for wire cutout or
implant failure.
PMID- 29801935
TI - Accuracy in Screw Selection in a Cadaveric, Small-Bone Fracture Model.
AB - PURPOSE: Using a cadaveric model simulating clinical situations experienced
during open reduction and internal fixation of proximal phalangeal fractures, the
aim of this study was to evaluate the relationship between level of training and
the rates of short, long, and ideal screw length selection based on depth gauge
use without fluoroscopy assistance. METHODS: A dorsal approach to the proximal
phalanx was performed on the index, middle, and ring fingers of 4 cadaveric
specimens, and 3 drill holes were placed in each phalanx. Volunteers at different
levels of training then measured the drill holes with a depth gauge and selected
appropriate screw sizes. The rates of short, long, and ideal screw selection were
compared between groups based on level of training. Ideal screws were defined as
a screw that reached the volar cortex but did not protrude more than 1 mm beyond
it. RESULTS: Eighteen participants including 3 hand fellowship-trained attending
physicians participated for a total of 648 selected screws. The overall rate of
ideal screw selection was lower than expected at 49.2%. There was not a
statistically significant relationship between rate of ideal screw selection and
higher levels of training. Attending surgeons were less likely to place short
screws and screws protruding 2 mm or more beyond the volar cortex CONCLUSIONS:
Overall, the rate of ideal screw selection was lower than expected. The most
experienced surgeons were less likely to place short and excessively long screws.
CLINICAL RELEVANCE: Based on the low rate of ideal screws, the authors recommend
against overreliance on depth gauging alone when placing screws during surgery.
The low-rate ideal screw length selection highlights the potential for future
research and development of more accurate technologies to be used in screw
selection.
PMID- 29801936
TI - Optimal Oblique Radiographs to Identify Fifth Carpometacarpal Dorsal
Subluxations: A Cadaveric Study.
AB - PURPOSE: Carpometacarpal (CMC) joint subluxations of the fifth finger are rare
injuries and are notoriously difficult to diagnose due to severe swelling and
overlapping of bones on radiographs. Various radiographic studies have been
suggested to identify these injuries. We hypothesize that there will be no
difference between various oblique radiographs for detection of a fifth finger
CMC subluxation. METHODS: Using 4 cadaveric specimens, we took radiographs at
various angles (0 degrees , 30 degrees , 45 degrees , and 60 degrees ) with the
fifth metacarpal in anatomic position, subluxated 25% and 50% dorsally. Radiology
and orthopedic residents, fellows, and attending physicians viewed each image to
determine whether a subluxation was present. Data were analyzed using area under
the receiver operating curve, sensitivity, and specificity. RESULTS: A total of
36 responses were obtained from 9 radiologists (4 residents, 3 fellows, 2
attendings) and 27 orthopedic surgeons (16 residents, 8 fellows, 3 attendings).
Radiographs taken at 60 degrees were more sensitive and specific (Sn 85, Sp 60)
than at 0 degrees (Sn 64, Sp 33), 30 degrees (Sn 84, Sp 47), or 45 degrees (Sn
80, Sp 49). Area under the receiver operating curve was also higher for 60
degrees (0.87) than 0 degrees (0.59), 30 degrees (0.75), and 45 degrees
(0.75). CONCLUSIONS: Sensitivity, specificity, and area under the receiver
operating curve were highest for 60 degrees radiographs. We recommend obtaining
radiographs of the hand in 60 degrees of pronation from the lateral if there is
suspicion for a fifth CMC subluxation or dislocation. CLINICAL RELEVANCE: This
study suggests a simple radiographic view to enhance the diagnosis of fifth CMC
subluxations.
PMID- 29801937
TI - Bedside Procedures in Hand Surgery.
AB - Many conditions presenting to the hand surgeon are amenable to a bedside
procedure rather than a formal operating room setting. With proper patient, room,
and surgeon preparation, bedside procedures can provide an efficient and
effective treatment for infection, trauma, and foreign bodies. Key differences
from the operating room environment include patient expectations, analgesia, room
setup, instrumentation, and surgical technique. This article provides a detailed
primer for performing bedside procedures in the emergency department, outpatient
clinic, and inpatient hospital room.
PMID- 29801938
TI - Towards a congruent reclassification and nomenclature of the thermophilic species
of the genus Pseudothermotoga within the order Thermotogales.
AB - The phylum Thermotogae gathers thermophilic, hyperthermophic, mesophilic, and
thermo-acidophilic anaerobic bacteria that are mostly originated from
geothermally heated environments. The metabolic and phenotypic properties
harbored by the Thermotogae species questions the evolutionary events driving the
emergence of this early branch of the universal tree of life. Recent reshaping of
the Thermotogae taxonomy has led to the description of a new genus,
Pseudothermotoga, a sister group of the genus Thermotoga within the order
Thermotogales. Comparative genomics of both Pseudothermotoga and Thermotoga spp.,
including 16S-rRNA-based phylogenetic, pan-genomic analysis as well as signature
indel conservation, provided evidence that Thermotoga caldifontis and Thermotoga
profunda species should be reclassified within the genus Pseudothermotoga and
renamed as Pseudothermotoga caldifontis comb. nov. (type strain=AZM44c09T) and
Pseudothermotoga profunda comb. nov. (type strain=AZM34c06T), respectively. In
addition, based upon whole-genome relatedness indices and DNA-DNA Hybridization
results, the reclassification of Pseudothermotoga lettingae and Pseudothermotoga
subterranea as latter heterotypic synonyms of Pseudothermotoga elfii is proposed.
Finally, potential genetic elements resulting from the distinct evolutionary
story of the Thermotoga and Pseudothermotoga clades are discussed.
PMID- 29801939
TI - High-throughput and selective solid-phase extraction of urinary catecholamines by
crown ether-modified resin composite fiber.
AB - In the present study, we developed a simple and high-throughput solid phase
extraction (SPE) procedure for selective extraction of catecholamines (CAs) in
urine samples. The SPE adsorbents were electrospun composite fibers
functionalized with 4-carboxybenzo-18-crown-6 ether modified XAD resin and
polystyrene, which were packed into 96-well columns and used for high-throughput
selective extraction of CAs in healthy human urine samples. Moreover, the
extraction efficiency of packed-fiber SPE (PFSPE) was examined by high
performance liquid chromatography coupled with fluorescence detector. The
parameters affecting the extraction efficiency and impurity removal efficiency
were optimized, and good linearity ranging from 0.5 to 400 ng/mL was obtained
with a low limit of detection (LOD, 0.2-0.5 ng/mL) and a good repeatability (2.7%
3.7%, n = 6). The extraction recoveries of three CAs ranged from 70.5% to 119.5%.
Furthermore, stable and reliable results obtained by the fluorescence detector
were superior to those obtained by the electrochemical detector. Collectively,
PFSPE coupled with 96-well columns was a simple, rapid, selective, high
throughput and cost-efficient method, and the proposed method could be applied in
clinical chemistry.
PMID- 29801940
TI - Application of non-ionic surfactant as a developed method for the enhancement of
two-phase solvent bar microextraction for the simultaneous determination of three
phthalate esters from water samples.
AB - The extraction of phthalate esters (PEs) from aqueous matrices using two-phase
solvent bar microextraction by organic micellar phase was investigated. A short
hollow fiber immobilized with reverse micelles of Brij 35 surfactant in 1-octanol
was served as the solvent bar for microextraction. Experimental results show that
the extraction efficiency were much higher using two-phase solvent bar
microextraction based on non-ionic surfactant than conventional two-phase solvent
bar microextraction because of a positive effect of surfactant-containing
extraction phase in promoting the partition process by non-ionic intermolecular
forces such as polar and hydrophobicity interactions. The nature of the
extraction solvent, type and concentration of non-ionic surfactant, extraction
time, sample pH, temperature, stirring rate and ionic strength were the effecting
parameters which optimized to obtain the highest extraction recovery. Analysis of
recovered analytes was carried out with high performance liquid chromatography
coupled with ultraviolet detection (HPLC-UV). Under the optimum conditions,
linearity was observed in the range of 1-800 ng mL-1 for dimethylphthalate (DMP)
and 0.5-800 ng mL-1 for diethylphthalate (DEP) and di-n-butyl phthalate (DBP)
with correlation determination values above 0.99 for them. The limits of
detection and quantification were ranged from 0.012 to 0.03 ng mL-1 and 0.04-0.1
ng mL-1, respectively. The ranges of intra-day and inter-day RSD (n = 3) at 20 ng
mL-1 of PEs were 1.8-2.1% and 2.1-2.6%, respectively. Results showed that
developed method can be a very powerful, innovative and promising sample
preparation technique in PEs analysis from environmental and drinking water
samples.
PMID- 29801942
TI - Big data in forensic science and medicine.
AB - In less than a decade, big data in medicine has become quite a phenomenon and
many biomedical disciplines got their own tribune on the topic. Perspectives and
debates are flourishing while there is a lack for a consensual definition for big
data. The 3Vs paradigm is frequently evoked to define the big data principles and
stands for Volume, Variety and Velocity. Even according to this paradigm, genuine
big data studies are still scarce in medicine and may not meet all expectations.
On one hand, techniques usually presented as specific to the big data such as
machine learning techniques are supposed to support the ambition of personalized,
predictive and preventive medicines. These techniques are mostly far from been
new and are more than 50 years old for the most ancient. On the other hand,
several issues closely related to the properties of big data and inherited from
other scientific fields such as artificial intelligence are often underestimated
if not ignored. Besides, a few papers temper the almost unanimous big data
enthusiasm and are worth attention since they delineate what is at stakes. In
this context, forensic science is still awaiting for its position papers as well
as for a comprehensive outline of what kind of contribution big data could bring
to the field. The present situation calls for definitions and actions to
rationally guide research and practice in big data. It is an opportunity for
grounding a true interdisciplinary approach in forensic science and medicine that
is mainly based on evidence.
PMID- 29801941
TI - Solid-phase extraction, quantification, and selective determination of
microcystins in water with a gold-polypyrrole nanocomposite sorbent material.
AB - A novel sorbent material, gold-polypyrrole (Au-PPy) nanocomposite-coated silica,
is described for the efficient solid-phase extraction (SPE) of six common
microcystins (MCs) well below the recommended United States EPA and World Health
Organization (WHO) guidelines. With the optimized SPE protocol, samples spiked
with MCs were determined at ng/L concentrations by liquid chromatography-mass
spectrometry (LC-MS) in different aqueous sample matrices, including HPLC-grade,
tap, and lake water. The average recoveries for all MCs tested in the three water
matrices ranged from 94.1-103.2% with relative standard deviations (RSDs) of 1.6
5.4%, which indicated excellent extraction efficiency and reproducibility. Limits
of detection (LODs) and limits of quantification (LOQs) for all MCs in both tap
and lake water samples were determined to be <=1.5 ng/L and 5.0 ng/L,
respectively. The Au-PPy nanocomposite-coated sorbent material was reusable for
at least three independent MC extractions with a single SPE cartridge in the
concentration range of 10-500 ng/L. Importantly, off-column selective separation
at the sample preparation and preconcentration stage between more hydrophilic and
more hydrophobic MCs was achieved by sequential elution through changes in the
solvent composition and SPE bed size. Therefore, the Au-PPy nanocomposite-coated
silica sorbent is a promising new material for the quantification of MC variants
in water samples.
PMID- 29801943
TI - Multiple brief interventions in police custody: The MuBIC randomized controlled
study for primary prevention in police custody. Protocol and preliminary results
of a feasibility study in the Paris metropolitan area, France.
AB - BACKGROUND: The 15- to 35-year-old population has little contact with the health
care system and is exposed to risk factors. Several studies demonstrated the
feasibility of brief interventions (BIs) in different settings, e.g., in
addiction medicine during police custody, where arrestees are entitled to a
medical examination. Approximately 700,000 individuals are detained in police
custody in France annually, and custody is an opportunity for young people to be
medically examined. The characteristics of the detainees and previous experience
with BIs suggest that custody is an opportunity to contribute to primary
prevention. We propose to investigate the feasibility of such a contribution.
OBJECTIVES: The aim of this article is to present a study protocol and some
preliminary results. The primary research objective is to assess the feasibility
of performing brief interventions without a specific topic in police custody
settings in arrestees aged 15-35 years. The secondary research objectives include
i) testing four strategies for engaging in BIs that maximize the chances of
success of the BI; ii) identifying the determinants that can orient the
practitioner's choice to use a specific strategy over another one; and iii)
analysing the differences between individuals who engage in BIs and those who do
not and, in those who do engage, the determinants of success of the intervention.
METHODS: A two-step randomized and prospective study: i) randomization of
eligible patients into 4 groups of 500 patients each; analysis of the response
rates for each strategy; performance of the BI; and analyses of the associated
factors and ii) a real-life, full-scale phase study evaluating the effectiveness
of BIs performance of the BI; and analyses of the interventions. Analyses of the
determinants of a positive response to BI, of success and of the topic of
intervention will be conducted. EXPECTED RESULTS: The rates of BI performed,
rates of success, and characteristics associated with response and with success
are the main expected results. Additionally, the development and assessment of
filter questions and an improved BI dedicated to primary prevention for police
custody settings will be attained.
PMID- 29801944
TI - Deaths in police custody.
AB - Deaths in police custody often attract a huge amount of public interest and are
frequently associated with controversy related to causation. While systematic
investigations of deaths in police custody are currently available for countries
in Europe, North America and Australia, the different inclusion and exclusion
criteria and the lack of a uniform definition limits their comparability. Rates
of death vary by age and gender with some similarities across different countries
and continents. The male dominance is essentially due to the fact that around the
world women are much less frequently taken into police custody than men.
Similarly, in the U.S., the most common cause of custody death was natural
illness and disease progression such as heart disease and cancer; along with high
rates of suicide deaths. In most European countries there is a considerable
dominance of non-natural deaths. The causes of death are dominated by alcohol,
drugs and medications, but suicide, injury and trauma are also common. Deaths in
custody require careful investigation to determine causality as well as
culpability when appropriate. While many deaths may not be preventable, some are.
Further systematic research of this issue, including detailed analyses and
investigations of such cases, is necessary to develop general and specific
preventative measures to reduce the risk of death in the custody population.
PMID- 29801945
TI - A data model for clinical legal medicine practice and the development of a
dedicated software for both practitioners and researchers.
AB - OBJECTIVE: To present a data model for clinical legal medicine and the software
based on that data model for both practitioners and researchers. The main
functionalities of the presented software are computer-assisted production of
medical certificates and data capture, storage and retrieval. METHODS: The data
model and the software were jointly developed by the department of forensic
medicine of the Jean Verdier Hospital (Bondy, France) and an bioinformatics
laboratory (LIMICS, Paris universities 6-13) between November 2015 and May 2016.
The data model was built based on four sources: i) a template used in our
department for producing standardised medical certificates; ii) a random sample
of medical certificates produced by the forensic department; iii) anterior
consensus between four healthcare professionals (two forensic practitioners, a
psychologist and a forensic psychiatrist) and iv) anatomical dictionaries. The
trial version of the open source software was first designed for examination of
physical assault survivors. RESULTS: An UML-like data model dedicated to clinical
legal practice was built. The data model describes the terminology for
examinations of sexual assault survivors, physical assault survivors, individuals
kept in police custody and undocumented migrants for age estimation. A trial
version of a software relying on the data model was developed and tested by three
physicians. DISCUSSION: The software allows files archiving, standardised data
collection, extraction and assistance for certificate generation. It can be used
for research purpose, by data exchange and analysis. Despite some current
limitations of use, it is a tool which can be shared and used by other
departments of forensic medicine and other specialties, improving data management
and exploitation. Full integration with external sources, analytics software and
use of a semantic interoperability framework are planned for the next months.
PMID- 29801947
TI - The big data potential of epidemiological studies for criminology and forensics.
AB - Big data, the analysis of original datasets with large samples ranging from
~30,000 to one million participants to mine unexplored data, has been under
utilized in criminology. However, there have been recent calls for greater
synthesis between epidemiology and criminology and a small number of scholars
have utilized epidemiological studies that were designed to measure alcohol and
substance use to harvest behavioral and psychiatric measures that relate to the
study of crime. These studies have been helpful in producing knowledge about the
most serious, violent, and chronic offenders, but applications to more
pathological forensic populations is lagging. Unfortunately, big data relating to
crime and justice are restricted and limited to criminal justice purposes and not
easily available to the research community. Thus, the study of criminal and
forensic populations is limited in terms of data volume, velocity, and variety.
Additional forays into epidemiology, increased use of available online judicial
and correctional data, and unknown new frontiers are needed to bring criminology
up to speed in the big data arena.
PMID- 29801946
TI - Semantic interoperability challenges to process large amount of data perspectives
in forensic and legal medicine.
AB - This article is a position paper dealing with semantic interoperability
challenges. It addresses the Variety and Veracity dimensions when integrating,
sharing and reusing large amount of heterogeneous data for data analysis and
decision making applications in the healthcare domain. Many issues are raised by
the necessity to conform Big Data to interoperability standards. We discuss how
semantics can contribute to the improvement of information sharing and address
the problem of data mediation with domain ontologies. We then introduce the main
steps for building domain ontologies as they could be implemented in the context
of Forensic and Legal medicine. We conclude with a particular emphasis on the
current limitations in standardisation and the importance of knowledge
formalization.
PMID- 29801948
TI - A record linkage study on former police detainees who died in Amsterdam between
2013 and 2015.
AB - BACKGROUND: Police detainees are known to have inferior health. This study
identifies the number of former police detainees who received medical care among
deaths examined by forensic physicians and presents their death characteristics.
METHODS: We included all deaths that were examined by forensic physicians of the
Public Health Service Amsterdam from 2013 to 2015. Patient files of subjects were
scanned for the presence of a prior medical consultation in the police cell and
death characteristics were collected from post-mortem examination reports. We
performed statistical analyses to discover what characteristics at post-mortem
examination were associated with a prior consultation in the police cell.
RESULTS: We identified n = 2618 subjects that met the inclusion criteria. Eight
percent of subjects had one or more medical consultation(s) in the police cell in
a mean follow up time of 4.8 (+/-3.0) years. No difference was found in the share
of unnatural deaths between subjects with and without a prior consultation (68%),
but distribution of death causes differed significantly. Male gender OR 2.3 (p <
0.001), age OR 0.98 (p < 0.001), unspecified unnatural dead OR 1.8 (p = 0.002),
crime related dead OR 2.2 (p = 0.012) and accidental drowning and submerging
death OR 4.6 (p < 0.001) were independently associated with the presence of an
earlier consultation in the police cell. CONCLUSION: Our data suggest that a
small percentage of police detainees seen by forensic physicians for provision of
medical care are also examined after death by these physicians, typically young
males who seem to display risk-taking and criminal behavior resulting in
unnatural dead.
PMID- 29801949
TI - A survey of social media data analysis for physical activity surveillance.
AB - Social media data can provide valuable information regarding people's behaviors
and health outcomes. Previous studies have shown that social media data can be
extracted to monitor and predict infectious disease outbreaks. These same
approaches can be applied to other fields including physical activity research
and forensic science. Social media data have the potential to provide real-time
monitoring and prediction of physical activity level in a given region. This tool
can be valuable to public health organizations as it can overcome the time lag in
the reporting of physical activity epidemiology data faced by traditional
research methods (e.g. surveys, observational studies). As a result, this tool
could help public health organizations better mobilize and target physical
activity interventions. The first part of this paper aims to describe current
approaches (e.g. topic modeling, sentiment analysis and social network analysis)
that could be used to analyze social media data to provide real-time monitoring
of physical activity level. The second aim of this paper was to discuss ways to
apply social media analysis to other fields such as forensic sciences and provide
recommendations to further social media research.
PMID- 29801950
TI - Causes of deaths data, linkages and big data perspectives.
AB - The study of cause-specific mortality data is one of the main sources of
information for public health monitoring. In most industrialized countries, when
a death occurs, it is a legal requirement that a medical certificate based on the
international form recommended by World Health Organization's (WHO) is filled in
by a physician. The physician reports the causes of death that directly led or
contributed to the death on the death certificate. The death certificate is then
forwarded to a coding office, where each cause is coded, and one underlying cause
is defined, using the rules of the International Classification of Diseases and
Related Health Problems, now in its 10th Revision (ICD-10). Recently, a growing
number of countries have adopted, or have decided to adopt, the coding software
Iris, developed and maintained by an international consortium1. This whole
standardized production process results in a high and constantly increasing
international comparability of cause-specific mortality data. While these data
could be used for international comparisons and benchmarking of global burden of
diseases, quality of care and prevention policies, there are also many other ways
and methods to explore their richness, especially when they are linked with other
data sources. Some of these methods are potentially referring to the so-called
"big data" field. These methods could be applied both to the production of the
data, to the statistical processing of the data, and even more to process these
data linked to other databases. In the present note, we depict the main domains
in which this new field of methods could be applied. We focus specifically on the
context of France, a 65 million inhabitants country with a centralized health
data system. Finally we will insist on the importance of data quality, and the
specific problematics related to death certification in the forensic medicine
domain.
PMID- 29801951
TI - Prediction of cause of death from forensic autopsy reports using text
classification techniques: A comparative study.
AB - OBJECTIVES: Automatic text classification techniques are useful for classifying
plaintext medical documents. This study aims to automatically predict the cause
of death from free text forensic autopsy reports by comparing various schemes for
feature extraction, term weighing or feature value representation, text
classification, and feature reduction. METHODS: For experiments, the autopsy
reports belonging to eight different causes of death were collected, preprocessed
and converted into 43 master feature vectors using various schemes for feature
extraction, representation, and reduction. The six different text classification
techniques were applied on these 43 master feature vectors to construct a
classification model that can predict the cause of death. Finally, classification
model performance was evaluated using four performance measures i.e. overall
accuracy, macro precision, macro-F-measure, and macro recall. RESULTS: From
experiments, it was found that that unigram features obtained the highest
performance compared to bigram, trigram, and hybrid-gram features. Furthermore,
in feature representation schemes, term frequency, and term frequency with
inverse document frequency obtained similar and better results when compared with
binary frequency, and normalized term frequency with inverse document frequency.
Furthermore, the chi-square feature reduction approach outperformed Pearson
correlation, and information gain approaches. Finally, in text classification
algorithms, support vector machine classifier outperforms random forest, Naive
Bayes, k-nearest neighbor, decision tree, and ensemble-voted classifier.
CONCLUSION: Our results and comparisons hold practical importance and serve as
references for future works. Moreover, the comparison outputs will act as state
of-art techniques to compare future proposals with existing automated text
classification techniques.
PMID- 29801952
TI - Expectations and boundaries for Big Data approaches in social medicine.
AB - It seems no longer possible to produce knowledge, even biological knowledge
regardless of social, cultural and economic environments in which they were
observed. Therefore never the term "social medicine" or more generally "social
biology" has appeared more appropriate. This way of linking the social and the
biological exceeds the sole social medicine by involving also other medical
disciplines. As such, forensics, whose an important activity is represented by
clinical forensics in charge of types of violence (physical, psychological,
sexual, abuse) and persons held in custody could see its practice heavily
modified through the use of various data describing both the clinical situation
of patients but also their context of life. A better understanding of mechanisms
of violence development and potentially a better prevention of these situations
allow forensics not to be restricted (or seen as limited to) a "descriptive
medicine", but to be seen also as a preventive and curative medicine. In this
evolution, the potential contribution of Big Data appears significant insofar as
information on a wide range of characteristics of the environment or context of
life (social, economic, cultural) can be collected and be connected with health
data, for example to develop models on social determinants of health. In the
common thinking, the use of a larger amount of data and consequently a
multiplicity of information via a multiplicity of databases would allow to access
to a greater objectivity of a reality that we are approaching by fragmented
viewpoints otherwise. In this light, the "bigger" and "more varied" would serve
the "better" or at least the "more true". But to be able to consider together or
to link different databases it will be necessary to know how to handle this
diversity regarding hypotheses made to build databases and regarding their
purposes (by whom, for what bases have been made). It will be equally important
to question the representativeness of situations that led to the creation of a
database and to question the validity of information and data according to the
secondary or tertiary uses anticipated from their original purpose. This step of
data validity control for the anticipated use is a sine qua non condition,
particularly in the field of public health, to guarantee a sufficient level of
quality and exploit in the best way the benefits of Big Data approaches.
PMID- 29801953
TI - Custody medicine.
PMID- 29801954
TI - Healthcare and forensic medical aspects of police detainees, suspects and
complainants in Europe.
AB - Death and harm is well-recognised in detainees in police custody worldwide. Based
on the results of previous global surveys and the CPT (European Committee for the
Prevention of Torture) recommendations a questionnaire was developed to summarise
the current medical aspects of police custody in European countries. The survey
was distributed to named contacts in all European countries. Data from 25
European countries was obtained. The results reveal significant differences in
the regulations among the different countries, with nothing close to a harmonised
European standard in place at present. This study has identified interesting
variations in the methods and standards of healthcare and forensic medical
services to detainees in police custody (e.g. quantitative mode of monitoring,
qualification of the doctors, maximum time allowed for holding a detainee in
police custody, body or an organisation that investigates complaints against the
police). There are both very detailed legal regulations in some countries while
in others there are only generally observed provisions that sometimes are only
given in the form of recommendations. A multinational, multiprofessional expert
group is required to identify best practices, recommend basic standards of care
and identify qualifications which would be appropriate for healthcare
professionals working in this field.
PMID- 29801955
TI - Confidentiality & consent in police custody: General principles.
AB - The care of detainees (prisoners) in police custody has had much focus in recent
years. The nature of the role of the doctor or other healthcare professionals
within the police custodial setting may often be subject to conflicts, but their
respective duties as healthcare professionals should generally overide any police
or forensic issue that may be relevant. The laws or rules or statute that govern
doctor, nurse or paramedic practice may vary from country to country, but the
broad principles of healthcare ethics are universal and have been formulated not
only by national healthcare regulatory bodies but by international organizations
such as the World Medical Association. This article discusses in particular the
duties of consent and confidentiality within the police custodial setting, giving
examples of where conflicts may arise, and how they should be dealt with.
PMID- 29801956
TI - Big data uncertainties.
AB - Big data-the idea that an always-larger volume of information is being constantly
recorded-suggests that new problems can now be subjected to scientific scrutiny.
However, can classical statistical methods be used directly on big data? We
analyze the problem by looking at two known pitfalls of big datasets. First, that
they are biased, in the sense that they do not offer a complete view of the
populations under consideration. Second, that they present a weak but pervasive
level of dependence between all their components. In both cases we observe that
the uncertainty of the conclusion obtained by statistical methods is increased
when used on big data, either because of a systematic error (bias), or because of
a larger degree of randomness (increased variance). We argue that the key
challenge raised by big data is not only how to use big data to tackle new
problems, but to develop tools and methods able to rigorously articulate the new
risks therein.
PMID- 29801957
TI - Doctors' attendance with arrestees in police custody: Physicians'
representations.
AB - Police custody is detention in response to a suspicion of crime. In France, it
lasts less than 24 h, in most cases. According to French law, any individual
placed in police custody may, at the individual's request, be examined by a
doctor. The doctor decides whether the detainee's state of health is compatible
with detention in a police station. Our objective was to assess the attending
physicians' representations of police custody and medical intervention in this
setting. In this study, physicians were asked to report on their own practice in
custody and the way they perceived arrestees. We conducted face-to-face semi
structured interviews with 22 physicians who attended arrestees in police
custody. For some doctors, the doctor-patient relationship is unconventional
because arrestees may want to cheat them and because doctors feel that they have
minimal duties that are limited to keeping arrestees alive. Most doctors consider
the conditions of examination markedly unsatisfactory, similar to the material
conditions of detention in police cells. Some physicians are reluctant to
describe traumatic injuries so that they do not appear to support the arrestees
over the police. Although all doctors determine whether a detainee is fit to be
detained in police cells, the rationale for this decision differs from one
physician to another. Physicians consider a medical prescription legitimate when
it fits with their own representation of the needs of arrestees. Physicians
delimit the framework of their relationship with arrestees and restrict the
requests that they consider acceptable. They have limited knowledge of the
conditions of detention and show little interest in this matter. Physicians
manifest a simplistic, usually negative view of the individuals that they examine
in custody. However, some are aware of the deleterious effects of custody
conditions on arrestees.
PMID- 29801958
TI - Hospital referral of detainees during police custody in Amsterdam, The
Netherlands.
AB - This study describes how many detainees have been referred to emergency
departments for further evaluation or emergency care while in police custody in
Amsterdam (years 2012/2013). It provides insights into the diagnoses assigned by
forensic doctors and hospital specialists and the appropriateness of the
referrals. We made use of the electronic registration system of the Forensic
Medicine Department of the Public Health Service Amsterdam. This department is in
charge of the medical care for detainees in the Amsterdam region. Hospital
diagnoses were obtained through collaboration with several Amsterdam-based
hospitals. According to our results, in 1.5% of all consultations performed, the
detainee was referred to hospital. The most frequent reasons for referral were
injuries (66%), intoxication/withdrawal (11%) and cardiac problems (7%). In 18%
of all referrals, hospital admission (defined as at least one night in the
hospital) was the consequence. After review of hospital files, the indication for
referral as stated by the forensic physician was confirmed in 77% of all cases. A
minority of referrals was considered unnecessary (7%). The identified cases allow
for a discussion of cases of over-referral. Future research should focus on the
problem of under-referral and associated health risks.
PMID- 29801959
TI - The health of female arrestees in police cells: A descriptive study.
AB - INTRODUCTION: Little information is available regarding the medical status and
health care needs of female arrestees. Our objective was to evaluate the
perceived health and somatic or psychiatric disorders reported by female
arrestees in police cells. MATERIAL AND METHODS: We conducted an observational
study in a regional reference department of forensic medicine in France. We
studied female arrestees examined in police cells (01/01/2013-06/30/2013). Data
were collected regarding individuals' medical characteristics, addictive
behaviours, and perceived health status, as well as reported assaults or recent
traumatic injuries. We recorded medical decisions regarding fitness for detention
in police cells. RESULTS: A total of 438 women (median age, 29; range, 13-67)
accounted for 5% of the 7408 examined arrestees. Females considered their overall
health as good or very good in 314/395 cases (70%). Women reported chronic
somatic or psychiatric disorders more frequently than men (89/379, 23% vs.
757/6,135, 12%, p < 0.001 and 59/379, 15% vs. 392/6319, 6%, p < 0.001,
respectively). Daily tobacco consumption and cannabis use were reported by
255/403 (63%) and 98/438 female arrestees (22%), respectively. Physical assaults
were reported in 113/415 cases (27%). Female arrestees were considered fit for
detention in 92% of cases. Among 24 pregnant arrestees, 6 (25%) were unfit for
detention, 2 (8%) were fit for custody during daytime only and 16 (67%) were fit
for detention if certain conditions were met. CONCLUSIONS: Detention in police
custody involves a minority of females. Females are older and report somatic or
psychiatric disorders more frequently than males.
PMID- 29801960
TI - Police custody in the north of England: Findings from a health needs assessment
in Durham and Darlington.
AB - AIMS: To report on a health needs assessment undertaken in the Durham
Constabulary (England) in 2013. METHODOLOGY: The health needs assessment employed
a variety of methods: analysis of arrest and healthcare data over a one year
period; semi-structured interviews with the police and healthcare staff; and a
survey of detainees' view of healthcare. MAIN FINDINGS: The main finding was that
the healthcare provider to custody in Durham was delivering an appropriate multi
professional team of nurse, paramedics and forensic medical examiners that was
responsive, trusted by the police and which gave detainee's few concerns.
LIMITATIONS: The main limitations are that the health needs assessment analysed
retrospective data and did not examine healthcare outcomes.
PMID- 29801961
TI - Health needs and co-morbidity among detainees in contact with healthcare
professionals within police custody across the London Metropolitan Police Service
area.
AB - AIMS: Detainees requiring access to healthcare services in police custody have
been shown to suffer from poor physical and mental health, often exacerbated by
substance misuse. This study examines the extent and nature of health needs in
police custody across the Metropolitan Police Service (MPS), London. METHODS: A
survey (n = 1657) was administered by Healthcare Professionals (HCP) for one
month in 2015 across all MPS custody suites representing a 73% response rate. A
logistic regression model was created using four binary outcomes (whether a
detainee was a drug user, had mental health issues including self-harm and had an
alcohol use disorder) with ten prognostics to test for co-morbid associations. A
multiple imputation method using chained equations was used to manage missing
cases. FINDINGS: High rates of physical health conditions, drug use, problematic
alcohol use were noted but are within the upper range of existing studies. Mental
health, self-harm and overall substance misuse levels (illicit drug user and a
current drinker) were shown to be higher than other published studies. The
logistic regression model found statistically significant associations between
drug use, alcohol consumption and mental health including self-harm. Age was also
found to be a key confounding factor. Physical health was broadly negatively
associated with the four main outcomes. DISCUSSION: Levels of need for health
interventions among the detainee population in London are broadly consistent with
other European centres. There is a need for police custody staff to consider
detainees' dual diagnosis needs. The development of integrated interventions
alongside the enhanced clinical management of alcohol, drug use and mental health
was considered.
PMID- 29801962
TI - Corrigendum to "Smith-Robinson Procedure with an Autologous Iliac Crest for
Degenerative Cervical Disc Disease: A 28-Year Follow-Up of 95 Patients" [World
Neurosurgery 92 (2016) 371-377].
PMID- 29801963
TI - A microbiological study to investigate the carriage and transmission-potential of
Clostridium difficile spores on single-use and reusable sharps containers.
AB - BACKGROUND: A 2015 study matching use of disposable and reusable sharps
containers (DSCs, RSCs) with Clostridium difficile infection (CDI) incidence
found a decreased incidence with DSCs. We conducted microbiologic samplings and
examined the literature and disease-transmission principles to evaluate the
scientific feasibility of such an association. METHODS: (i) 197 RSCs were sampled
for C. difficile at processing facilities; (ii) RSCs were challenged with high C.
difficile densities to evaluate efficacy of automated decontamination; and (iii)
50 RSCs and 50 DSCs were sampled in CDI patient rooms in 7 hospitals. Results
were coupled with epidemiologic studies, clinical requirements, and chain-of
infection principles, and tests of evidence of disease transmission were applied.
RESULTS: C. difficile spores were found on 9 of 197 (4.6%) RSCs prior to
processing. Processing completely removed C. difficile. In CDI patient rooms, 4
of 50 RSCs (8.0%) and 8 of 50 DSCs (16.0%) had sub-infective counts of C.
difficile (P = .27). DSCs were in permanent wall cabinets; RSCs were removed and
decontaminated frequently. CONCLUSION: With C. difficile bioburden being sub
infective on both DSCs and RSCs, sharps containers being no-touch, and glove
removal required after sharps disposal, we found 2 links in the chain of
infection to be broken and 5 of 7 tests of evidence to be unmet. We conclude that
sharps containers pose no risk of C. difficile transmission.
PMID- 29801964
TI - Impact of Korea Network for Organ Sharing Expanded Donor Criteria on Delayed
Graft Fuction in Kidney Transplantation: A Single-Center Experience.
AB - BACKGROUND: The shortage of donor organs has been a major challenge in
transplantation. In an effort to reduce the donor shortage, kidney
transplantation (KT) using expanded criteria donors (ECD) was encouraged. In
Korea, transplantation centers used the Korea Network for Organ Sharing (KONOS)
ECD criteria, which is different from the United Network for Organ Sharing (UNOS)
criteria. The aim of this study is to evaluate the predictive power of KONOS
criteria on delayed graft function (DGF) in comparison to UNOS criteria. METHODS:
A total of 376 recipients who underwent deceased donor kidney transplantation
between January 2005 and December 2014 at Severance Hospital were retrospectively
reviewed. Of these, 130 cases satisfied KONOS ECD, while the others followed
KONOS standard criteria donor (SCD). RESULTS: Donor age and history of
hypertension was significantly higher with KONOS ECD than with KONOS SCD. In
KONOS subgroup analysis, donor characteristics were different than with UNOS
criteria. The incidence of DGF was higher in the KONOS ECD group than in the
KONOS SCD group. However, UNOS ECD showed a high incidence of DGF compared to
UNOS SCD with the same KONOS criteria. UNOS ECD was an independent risk factor
for DGF in multivariate analysis. However, KONOS ECD was not a risk factor for
DGF. Although glomerular filtration rate was inferior in the KONOS ECD group
compared to the KONOS SCD group, the UNOS SCD group within the KONOS ECD group
showed similar graft function compared to the KONOS SCD group. CONCLUSION: KONOS
criteria have a lower predictive power for DGF than UNOS criteria.
PMID- 29801967
TI - Novel insights on the functional/nutritional features of the sourdough
fermentation.
AB - As one of the most traditional biotechnologies, sourdough fermentation has deep
effects on rheology, sensory and shelf life attributes of baked goods. The most
recent literature has also highlighted the effects of sourdough fermentations on
several functional/nutritional features of baked goods. While some aspects such
as the potential to lower glycemic index, increase mineral bioavailability and
decrease the gluten content have been proven almost definitively, others
potentialities are emerging, which deserve novel insights. This reviews reports
the main evidence on the use of sourdough fermentation for salt reduction in
baked goods, management of irritable bowel syndrome (IBS), synthesis/release of
bioactive compounds, especially the metabolism of phenolic compounds, and
exploitation of the potential of non-conventional flours (legumes and pseudo
cereals) and milling by-products (bran and germ). A brief description on the
spiritual, cultural and functional/nutritional significance of leavened bread
throughout centuries has also given.
PMID- 29801966
TI - Novel carbamate-linked quaternary ammonium lipids containing unsaturated
hydrophobic chains for gene delivery.
AB - In this paper, two novel carbamate-linked quaternary ammonium lipids (MU18: a
lipid with a mono-ammonium head; GU18: a lipid with a Gemini-ammonium head)
containing unsaturated hydrophobic chains were designed and synthesized. The
chemical structures of the synthetic lipids were characterized by infrared
spectrum, ESI-MS, 1H NMR, 13C NMR, and HPLC. For investigating the effect of
unsaturation on gene delivery, the previous reported saturated cationic liposomes
(MS18 and GS18) were used as comparison. Cationic liposomes were prepared by
using these cationic lipids and neutral lipid DOPE at the molar ratio of 1:1.
Particle sizes and zeta potentials of the cationic liposomes were studied to show
that they were suitable for gene transfection. The binding abilities of the
cationic liposomes were investigated by gel electrophoresis at various N/P ratios
from 0.5/1 to 8/1. The results indicated that the binding ability of GU18 was
much better than MU18 and the saturated cationic liposomes (MS18 and GS18). DNA
transfection of these liposomes comparable to commercially available reagent
(DOTAP) was achieved in vitro against Hela, HepG-2 and NCI-H460 cell lines. GU18
showed higher transfection at the N/P ratio of 3/1 than other cationic liposomes
and the positive control, DOTAP. All of the liposomes presented a relatively low
cytotoxicity, which was measured by MTT. Therefore, the synthetic lipids bearing
unsaturated hydrophobic chains and Gemini-head could be promising candidates for
gene delivery.
PMID- 29801965
TI - Effect of Selective Antibiotic Pressure on the MLS-B Phenotype in Methicillin
Resistant Staphylococcus aureus Strains Originating From Patients From
Transplantation Wards: 24 Years of Observations.
AB - INTRODUCTION: Staphylococcus aureus infection, and health care-associated
methicillin resistant S aureus (HA-MRSA) in particular, is a serious risk for
patients treated with organ transplantation. The frequent combined resistance of
these bacteria to macrolides, lincosamides, and streptogramin-B (MLS-B) limits
the use of these drugs in therapy. AIM: Evaluation of the mechanism of MLS-B
resistance among HA-MRSA strains derived from patients treated in surgical
transplantation wards, over a 24-year period, and assessment of correlation of
clindamycin use and resistance phenotype. MATERIALS AND METHODS: One hundred and
twelve HA-MRSA strains from patients in surgical-transplantation wards (clinical
hospital, Warsaw), hospitalized in the period from 1991 to 2014. Methicillin
resistance was determined using phenotypic and genetic methods by detecting the
mecA gene. Erythromycin/clindamycin resistance was determined by E-test, the iMLS
B (inductive) and cMLS-B (constitutive) phenotypes by the D-test method. The
number of defined daily doses (DDD), statistically per 1000 person-days, was
calculated in accordance with the WHO guidelines. RESULTS: Resistance to
erythromycin/clindamycin in MRSA strains increased from 1991 to 2004-2007 from
64.7/11.8% to 100/76.9%, respectively. The frequency of the cMLS-B phenotype in
the years 1991/2010-2011/2012 was 5.9%/76.9%/69.7%, respectively, and correlated
with the increased use of clindamycin in the examined wards. In 2012, the
percentage of MLS-B-sensitive isolates increased from 3.9 to 21.7%, while
constitutive resistance decreased to 69.7%, which correlated with a decrease in
the use of clindamycin. CONCLUSIONS: The proportion of cMLS-B to iMLS-B
phenotypes in HA-MRSA is related to the amount of clindamycin used in hospital
wards. Limiting the selection pressure of antibiotics can lead to complete loss
of resistance or return to the inductive mechanism of its regulation.
PMID- 29801968
TI - An insurmountable NPY Y5 receptor antagonist exhibits superior anti-obesity
effects in high-fat diet-induced obese mice.
AB - Neuropeptide Y (NPY) Y5 receptor plays a key role in the effects of NPY, an
important neurotransmitter in the control of energy homeostasis including
stimulation of food intake and inhibition of energy expenditure. The NPY-Y5
receptor system has been an attractive drug target for potential use in treating
obesity. Here we report the discovery and characterization of two novel Y5
receptor antagonists, S-2367 and S-234462. Both compounds displayed high affinity
for the Y5 receptor in the radio-ligand binding assay, while in the cell-based
functional assay, S-2367 and S-234462 showed, respectively, surmountable and
insurmountable antagonism. In cell-based washout experiments, S-234462
dissociated from the Y5 receptor more slowly than S-2367. In vivo study showed
that S-234462 effectively suppressed food intake induced by acute central
injection of a selective Y5 receptor agonist. Furthermore, high-fat diet-induced
obese (DIO) mice treated with S-234462 for 5 weeks showed a significant decrease
in body weight gain and food intake compared to those treated with S-2367. In
conclusion, S-234462 exhibits insurmountable antagonism of NPY Y5 receptor in
vitro and superior anti-obesity effects to the surmountable NPY Y5 antagonist S
2367 in DIO mice.
PMID- 29801969
TI - Hydrothermal conversions of waste biomass: Assessment of kinetic models using
liquid-phase electrical conductivity measurements.
AB - This experimental study proposes the systematic monitoring of liquid phase
electrical conductivity as a new technique for evaluating kinetic models for
hydrothermal conversion of biomass. The application to the hydrothermal
carbonization of three different wooden materials is validated by batch
experiments at 200 degrees C, up to 120 min of reaction time, and at a 7:1 water
to solid ratio. Whatever the biomass, the time course of electrical conductivity
follows a unique law, unquestionably corresponding to the evolution of solid
phase carbon content. The model tested comes from literature, and is a simple
first-order pattern. The network of elementary steps satisfactorily explains the
experimental data. The evidence reported demonstrates that the electrical
conductivity should become a standard measurement. In fact, this lumped parameter
is for the first time used for predicting the time variation of furfural, an
important compound ubiquitously found in the HTC liquid phases. Ordered trends
also appear from experiments at higher temperatures, up to 440 degrees C, but
the method highlights a different behavior. The observed discrepancies give
useful feedback for steering the upgrading of kinetic equations toward a more
structured model, which necessarily should account for the bio-crude. Additional
runs with potato peels, an entirely different kind of biomass were used here as a
stress test for the method, and as expected gave different results. This new
response correctly signals that another model is required for describing the
process applied to starchy materials, and confirms the power of the proposed
technique as a tool for build-up suitable kinetic models.
PMID- 29801971
TI - Soluble CD163, a unique biomarker to evaluate the disease activity, exhibits
macrophage activation in systemic juvenile idiopathic arthritis.
AB - This study aims to investigate the clinical significance of serum soluble CD163
(sCD163) levels as a predictor of the disease activity of systemic juvenile
idiopathic arthritis (s-JIA). In this study, we examined 63 patients with s-JIA,
four with Epstein-Barr virus-induced hemophagocytic lymphohistiocytosis (EBV
HLH), and seven with Kawasaki disease (KD), along with 14 healthy controls. We
quantified serum cytokine levels (sCD163, neopterin, IL-18, IL-6) by enzyme
linked immunosorbent assay and compared the results with the clinical features of
s-JIA. Serum sCD163 levels were significantly elevated in patients with s-JIA
associated macrophage activation syndrome (MAS) and EBV-HLH compared to those in
patients with acute-phase s-JIA and KD. In addition, serum sCD163 levels
profoundly increased with the progress of MAS and correlated positively with the
disease activity of s-JIA, even in patients receiving tocilizumab. Furthermore,
serum sCD163 levels significantly decreased in the inactive phase compared to
those in the active phase and normalized in remission. The correlation between
macrophage activation and serum sCD163 levels might be a unique indicator of the
disease activity and a potential diagnostic laboratory criterion for clinical
remission in patients with s-JIA, including those receiving tocilizumab.
PMID- 29801970
TI - An Innovative Voice Analyzer "VA" Smart Phone Program for Quantitative Analysis
of Voice Quality.
AB - OBJECTIVE: The 'VA' Windows program that we developed in 2011 for analyzing voice
quality quantitatively uses zerocross picking to find individual basic pitch
periods. It has a simple and user-friendly user interface and high accuracy. This
program determines the fundamental frequency, jitter, shimmer, PPQ, APQ, and
signal-to-noise ratio (Ra). It needs only a general-purpose Windows PC, USB audio
interface and a microphone. The aim of this study is to improve the version of
the VA Windows program in English and to develop a VA smart phone program to
allow wider use of objective acoustic analysis. STUDY DESIGN: Cross-sectional
study. METHODS: Sustained vowel /a/ sounds from 40 subjects without evident vocal
problems, and 40 subjects with slight hoarseness, were examined. We compared the
analyzed data with data from other software (MDVP and Praat). For a comparison
between VA for Windows and VA for a smart phone, sustained vowel /a/ sounds from
six subjects without hoarseness were recorded with each system simultaneously.
RESULTS: The normal voice and slightly hoarse voice data analyzed with VA showed
a high correlation with most parameters from both MDVP and Praat. There was a
strong correlation between the Windows and smart phone versions of VA in terms of
the fundamental frequency and Ra. CONCLUSIONS: The results showed that the VA
software was not inferior to the other acoustic analysis software tested. The
simple and easy to use smart phone version may facilitate our goal of creating an
objective, widely available method to evaluate hoarseness.
PMID- 29801972
TI - The impact of cytokine gene polymorphisms on the outcome of HLA matched sibling
hematopoietic stem cell transplantation.
AB - Graft-versus-host disease (GVHD) is the major complication of allogeneic
hematopoietic stem cell transplantation (HSCT); cytokines are recognized as
important mediators in its pathogenesis. In this study we investigated the role
of cytokine gene polymorphisms on HSCT outcome. A total of 106 patient and 98
donors were genotyped by polymerase chain reaction sequence specific primers (PCR
SSP) based assay for tumor necrosis factor-alpha-308 (TNFalpha -308), interleukin
(IL)-6-174, IL-10-1082, -819, -592, Interferon-gamma+874 (IFN-gamma+874), and
transforming growth factor-beta1 (TGF-beta1) codon10 and 25 polymorphisms. Except
one in each category, all patients and donors were TNFalpha -308 high producers
and the majority were IL-6-174 high producers (93.3% and 90.8% respectively); a
pattern that would alleviate any potential biological impact. Patient's IFN
gamma+874 showed significant association with the development of chronic GVHD.
Patients with IFN-gamma +874 high producer showed an 8 folds likelihood to
develop chronic GVHD as compared to those with IFN-gamma+874 low producer
predicted phenotype (95% CI: 1.59-40.2, p = 0.01). Patient's TGFbeta1-codon 10
and 25 high/intermediate producers showed a lower incidence of acute GVHD though
it did not achieve statistical significance (p = 0.065) on account of the low
frequency of this genotype in our patients and donors (11.4 and 8.2%
respectively). Other factors contributing to risk of GVHD included older age for
both acute and chronic (p = 0.01 and 0.02 respectively) with age 24 as the best
discriminating cutoff; CD34+ cell dose for chronic GVHD (p = 0.045) with a dose
of 8 * 106/kg as the best discriminating cutoff; and conditioning regimen with
Flu/Bu associated with the lowest incidence of acute GVHD (p = 0.003) and no
impact on chronic GVHD. In conclusion the current study further indicates a
potential role of some cytokine gene polymorphisms in the development of GVHD.
The relative distribution of high and low producer genotypes in different ethnic
groups contributes to their biological impact in different populations.
PMID- 29801973
TI - Subsite heterogeneity in the profiles of circulating cytokines in colorectal
cancer.
AB - Colorectal cancers (CRCs) are treated as one entity but are in fact a
heterogeneous group of diseases. If not addressed, subsite-associated variability
may interfere with mechanism-targeted therapies and accuracy of potential CRC
biomarkers. Little is known about the contribution of systemic inflammatory and
immune mediators to subsite heterogeneity in CRC. Our purpose was to compare the
profiles of key cytokines between right and left colonic and rectal CRCs. Using
Luminex xMAP(r) technology, serum concentrations of eotaxin, IL-1beta, IL-1ra, IL
2, IL-4, IL-5, IL-6, IL-7, IL-8, IL-9, IL-10, IL-12(p70), IL-13, IL-15, IL-17,
IFNgamma, IP-10, FGF-2, G-CSF, GM-CSF, MCP-1, MIP-1alpha and beta, PDGF-BB,
RANTES, TNFalpha, and VEGF-A were determined in 104 CRC patients. We found the
concentrations of IL-12(p70), IL-10, IL-1ra, IL-4, IL-6, IL-7, IL-8, G-CSF and
TNFalpha to be significantly higher in right-sided and GM-CSF in left-sided than
rectal CRCs. The concentrations of IFNgamma and MIP-1alpha were significantly
higher in right-sided CRCs as compared to cancers of other locations combined. In
turn, MIP-1beta was higher in rectal CRCs as compared to colon cancers. Taken
together, our results show subsite heterogeneity of CRC cancers in terms of
systemic inflammatory and immune responses that ought to be taken into account
when attempting immunotherapy or developing biomarkers. Additionally, more
pronounced TH2 response accompanied by TH1 immunity and more prominent tumor
promoting inflammation in CRC patients with primary tumors originating from right
sided colon may constitute a molecular background of unfavorable prognosis
associated with this location.
PMID- 29801974
TI - [Palliative care for patients with chronic respiratory failure].
AB - The increasing prevalence of chronic respiratory diseases and the longer survival
of patients with these disorders have lead to a rise in the number of individuals
in a terminal condition with no chances of responding to additional medication.
Nevertheless, this epidemiological fact has not been accompanied by a parallel
development of palliative care programmes, and this prevents the patients from
receiving optimal medical care to ensure their well-being and to avoid further
unnecessary admissions to hospital. Our local experience in the Lucus Augusti
University Hospital reveals that 7% of the admissions to the respiratory ward
correspond to patients with advanced and non-neoplastic chronic respiratory
disorders. Only 65% of these patients benefit from a well-structured palliative
care plan and practically all of them die in the hospital. Altogether, these data
highlight the need for a strategy that involves not only pneumologists but also
general practitioners, nurses and palliative care physicians.
PMID- 29801975
TI - Understanding the Contrast Mechanism in Rotation Elastogram: A Parametric Study.
AB - Ultrasound elastography has been found to be useful in different clinical
applications. For example, in breast imaging, axial strain elastography provides
information related to tissue stiffness, which is used to characterize breast
lesions as either benign or malignant. In addition, these lesions also differ in
their bonding properties. Benign breast lesions are loosely bonded and malignant
breast lesions are firmly bonded to the surrounding tissues. Therefore, only
benign breast lesions will rotate/slip on the application of deformation. This
rotation of lesions can be visualized with rotation elastography, which utilizes
axial and lateral shear strain components. The contrast obtained in rotation
elastography depends on various mechanical as well as ultrasound elastography
parameters. However, there is no reported work that provides an understanding of
the influence of these parameters on the visualized rotation contrast. In this
work, the authors studied the rotation contrast by varying the mechanical
parameters such as the inclusion b/a ratio, relative inclusion-background Young's
modulus, amount of applied deformation and orientation of the inclusion. First,
the authors performed finite-element analysis to understand the fundamental
rotation contrast of the inclusion. Next, rotation elastograms obtained from
ultrasound simulations in Field II and experiments on tissue-mimicking phantoms
were investigated. Mean contrast was used as a metric to evaluate the quality of
rotation elastograms in finite-element analysis, and contrast-to-noise ratio was
used in Field II simulations and phantom experiments. The results indicate that
rotation contrast was observed only in the case of loosely bonded inclusions.
Further, the rotation contrast was found to depend on the inclusion asymmetry and
its orientation with respect to the axis of deformation. Interestingly, it was
found that a loosely bonded inclusion contrasts with surrounding tissue in
rotation elastography, even in the absence of any inclusion-background modulus
contrast.
PMID- 29801977
TI - Application of Various Additional Imaging Techniques for Thyroid Ultrasound:
Direct Comparison of Combined Various Elastography and Doppler Parameters to Gray
Scale Ultrasound in Differential Diagnosis of Thyroid Nodules.
AB - This study was conducted to evaluate the role in the differential diagnosis of
thyroid nodules of various elastographic and Doppler parameters when added to
gray-scale ultrasonography (US). One-hundred seventy-one thyroid nodules (63
malignant, 108 benign) in 169 patients were included. Elastography (strain and
shear wave elastography) and Doppler (power Doppler, superb microvascular imaging
and microflow imaging) images of the same thyroid nodule were obtained using a
single US machine. The diagnostic performance parameters of gray-scale US with
and without elastography and those of Doppler US were calculated and compared.
The specificity, positive predictive value and accuracy of gray-scale US were
significantly higher than those of US combined with elastographic parameters (all
p values < 0.05). The area under the receiver operating characteristic curve for
gray-scale US was 0.877, significantly higher than that for US combined with
elastography patterns, shear wave elastography ratio (all p values < 0.05) and
Doppler parameters. Adding additional imaging modalities such as elastography and
Doppler does not improve the diagnostic performance of gray-scale US in
differentiating thyroid nodules.
PMID- 29801976
TI - Intra- and Inter-Observer Reproducibility of a 2-D Shear Wave Elastography
Technique and the Impact of Ultrasound Experience in Achieving Reliable Data.
AB - The aim of the study described here was to evaluate the reproducibility of a new
shear-wave elastography (SWE) technique, 2-D SWE.GE, and the impact of ultrasound
experience in acquiring reliable measurements, as no official recommendations are
available for this system. Elastographic measurements (EMs) were obtained in 60
patients using 2-D-SWE with the GE Logiq E9. Three examiners with different
levels of experience in ultrasound-based elastography performed 10 valid EMs on
each subject: a novice (C.P.) who had no experience in liver elastography and had
performed fewer than 50 ultrasound examinations; an elastography expert (A.M.S.)
who had more than 1 y of liver elastographic experience in four elastographic
methods and had performed more than 1000 ultrasonography examinations; and an
ultrasound expert (T.M.) who had no experience in liver elastography and had
performed more than 1000 ultrasound examinations. Medians and interquartile
ranges were calculated (m/s). We used the inter-class correlation coefficient and
Bland-Altman plots with 95% lower and upper limits of agreement to assess the
inter- and intra-observer reproducibility of 2-D-SWE.GE measurements. The final
study group included 60 patients, 56.7% women and 43.3% men, with a mean age of
33.08 +/- 13.83 y and mean body mass index of 22.85 +/- 4.04 kg/m2. In this
group, 73.3% were healthy volunteers and 26.7% had compensated liver cirrhosis.
We did not find significant differences between EMs taken by the examiners
overall and across study groups. The overall agreement between examiners was
excellent: 0.915 (95% confidence interval [CI]: 0.870-0.946). The agreement
between the novice and the experienced examiners, respectively, was good to
excellent (novice and ultrasound expert: 0.908, 95% CI: 0.846-0.945; novice and
elastography expert: 0.885, 95% CI: 0.808-0.931). The intra-observer
reproducibility for each of the examiners was excellent; however, the inter-class
correlation coefficients were higher for the examiners more experienced in
elastography: 0.936 (95% CI: 0.896-0.963) versus 0.966 (95% CI: 0.943-0.980)
versus 0.984 (95% CI: 0. 973-0.991). The good ICCs for the median values indicate
that 2-D-SWE.GE is a reproducible method. Ultrasound experience did not
significantly influence the results.
PMID- 29801979
TI - [Insect bites initially diagnosed as varicella].
PMID- 29801978
TI - A Low-Cost, Durable and Re-Usable Bladder Phantom: Teaching Intravesical
Ultrasound Contrast Administration.
AB - Contrast-enhanced voiding urosonography (ceVUS) is a radiation-free and highly
sensitive examination for detecting vesicoureteral reflux and imaging the urethra
in children. This examination is performed with ultrasound and intravesical
administration of a gas-filled microbubble US contrast agent. The U.S. Food and
Drug Administration recently approved the use of a US contrast agent for ceVUS in
children. Because of the growing interest among physicians and US technologists
in using ceVUS in children, a urinary bladder phantom was developed to teach
intravesical ultrasound contrast administration to perform ceVUS procedures.
Described here are the preparation and utility of a low-cost, durable and re
usable phantom that simulates the administration, distribution and effects of
different US parameters on US contrast agent appearance in the bladder during
ceVUS in children.
PMID- 29801980
TI - [Comments on Spanish translation and cross-cultural adaptation of the ARMS
scale].
PMID- 29801981
TI - Eyes and ears: Using eye tracking and pupillometry to understand challenges to
speech recognition.
AB - Although human speech recognition is often experienced as relatively effortless,
a number of common challenges can render the task more difficult. Such challenges
may originate in talkers (e.g., unfamiliar accents, varying speech styles), the
environment (e.g. noise), or in listeners themselves (e.g., hearing loss, aging,
different native language backgrounds). Each of these challenges can reduce the
intelligibility of spoken language, but even when intelligibility remains high,
they can place greater processing demands on listeners. Noisy conditions, for
example, can lead to poorer recall for speech, even when it has been correctly
understood. Speech intelligibility measures, memory tasks, and subjective reports
of listener difficulty all provide critical information about the effects of such
challenges on speech recognition. Eye tracking and pupillometry complement these
methods by providing objective physiological measures of online cognitive
processing during listening. Eye tracking records the moment-to-moment direction
of listeners' visual attention, which is closely time-locked to unfolding speech
signals, and pupillometry measures the moment-to-moment size of listeners'
pupils, which dilate in response to increased cognitive load. In this paper, we
review the uses of these two methods for studying challenges to speech
recognition.
PMID- 29801982
TI - Investigation of the 2f1-f2 and 2f2-f1 distortion product otoacoustic emissions
using a computational model of the gerbil ear.
AB - In this work, a three-dimensional computational model of the gerbil ear is used
to investigate the generation of the 2f1-f2 and 2f2-f1 distortion product
otoacoustic emissions (DPOAEs). In order to predict both the distortion and
reflection sources, cochlear roughness is modeled by introducing random
inhomogeneities in the outer hair cell properties. The model was used to simulate
the generation of DPOAEs in response to a two-tone stimulus for various primary
stimulus levels and frequency ratios. As in published experiments, the 2f1-f2
DPOAEs are mostly dominated by the distortion component while the 2f2-f1 DPOAEs
are dominated by the reflection component; furthermore, the influence of the
levels and frequency ratio of the primaries are consistent with measurements.
Analysis of the intracochlear response shows that the distortion component has
the highest magnitude at all longitudinal locations for the 2f1-f2 distortion
product (DP) while the distortion component only dominates close to the DP best
place in the case of the 2f2-f1 DP. Decomposition of the intracochlear DPs into
forward and reverse waves demonstrates that the 2f1-f2 DP generates reverse waves
for both the distortion and reflection components; however, a reverse wave is
only generated for the reflection component in the case of the 2f2-f1 DP. As in
experiments in the gerbil, the group delay of the reflection component of the
DPOAE is between 1* and 2* the forward group delay, which is consistent with the
propagation of DP towards the stapes as slow reverse waves.
PMID- 29801983
TI - Corrigendum to "The role of genetic variation in the glucocorticoid receptor
(NR3C1) and mineralocorticoid receptor (NR3C2) in the association between
cortisol response and cognition under acute stress" [Psychoneuroendocrinology 87
(2018) 173-180].
PMID- 29801985
TI - Efficacy of Idursulfase therapy in patients with Mucopolysaccharidosis type II
who initiated enzyme replacement therapy in adult age. A systematic review of the
literature.
AB - BACKGROUND: Enzyme replacement therapy (ERT) has been shown to decrease urine
glycosaminoglycans (uGAGs) and liver and spleen volumes, and to improve clinical
symptoms in mucopolysaccharidosis type II (MPS-II) patients. METHODS: A
systematic search of the literature, from inception to August 2017, was conducted
to identify randomized trials or observational studies including >=1 MPS-II
patients with ERT initiated in adult age (>=16 years) and evaluating ERT
efficacy. Evidence was rated according to GRADE criteria. Common efficacy
outcomes of the clinical studies were analyzed. Case reports were separately
evaluated. RESULTS: One randomized clinical trial, 4 observational studies and 5
case reports were selected. ERT decreased uGAG levels and liver and spleen size
with moderate evidence level and led to anti-ERT antibody and IRRS development in
a significant proportion of patients with moderate evidence level. There were no
conclusive results for beneficial effects on 6MWT, respiratory, cardiac and
neurological function, joint mobility, sleep disorders of respiratory origin, and
quality of life. LIMITATIONS: Excluding one observational study, all others were
not conducted specifically in the target population (ERT >=16 years). Data were
from subgroup analyses of selected studies. There was a great heterogeneity
between study designs and clinical outcomes evaluated. CONCLUSIONS: ERT improves
uGAGs and liver/spleen volume with a moderate evidence level in MPS-II patients
initiating therapy as adults, although the putative associated clinical benefit
is unclear.
PMID- 29801984
TI - Residential distance to major roadways and semen quality, sperm DNA integrity,
chromosomal disomy, and serum reproductive hormones among men attending a
fertility clinic.
AB - OBJECTIVE: We examined associations of residential distance to major roadways, as
a proxy for traffic-related air pollution exposures, with sperm characteristics
and male reproductive hormones. DESIGN: The cohort included 797 men recruited
from Massachusetts General Hospital Fertility Center between 2000 and 2015 to
participate in fertility research studies. MATERIALS AND METHODS: Men reported
their residential addresses at enrollment and provided 1-6 semen samples and a
blood sample during follow-up. We estimated the Euclidean distance to major
roadways (e.g. interstates and highways: limited access highways, multi-lane
highways (not limited access), other numbered routes, and major roads) using
information from the Massachusetts Department of Geographic Information Systems.
Semen parameters (1238 semen samples), sperm DNA integrity (389 semen samples),
chromosomal disomy (101 semen samples), and serum reproductive hormones (405
serum samples) were assessed following standard procedures. RESULTS: Men in this
cohort were primarily Caucasian (86%), not current smokers (92%), with a college
or higher education (88%), and had an average age of 36 years and BMI of 27.7
kg/m2. The median (interquartile range) residential distance to a major roadway
was 111 (37, 248) meters. Residential proximity to major roadways was not
associated with semen parameters, sperm DNA integrity, chromosomal disomy, or
serum reproductive hormone concentrations. The adjusted percent change (95% CI)
in semen quality parameters associated with a 500 m increase in residential
distance to a major roadway was -1.0% (-6.3, 4.5) for semen volume, 4.3% (-5.8,
15.7) for sperm concentration, 3.1% (-7.2, 14.5) for sperm count, 1.1% (-1.2,
3.4) for % total motile sperm, and 0.1% (-0.3, 0.5) for % morphologically normal
sperm. Results were consistent when we modeled the semen parameters dichotomized
according to WHO 2010 reference values. CONCLUSION: Residential distance to major
roadways, as a proxy for traffic-related air pollution exposure, was not related
to sperm characteristics or serum reproductive hormones among men attending a
fertility clinic in Massachusetts.
PMID- 29801987
TI - Neuropsychological consequences of pallidal deep brain stimulation altering brain
networks.
AB - The purpose of this study was to evaluate postoperative changes in the
neuropsychological function of cervical dystonia patients who had undergone deep
brain stimulation (DBS) of the internal segment of the globus pallidus (GPi) and
to investigate how DBS affects neuropsychological function by altering the neural
networks of the brain. In 12 cervical dystonia patients, the Toronto Western
Spasmodic Torticollis Rating Scale (TWSTRS) was used to measure the preoperative
and postoperative status of cervical dystonia, and the Seoul Neuropsychological
Screening Battery was used to gather neuropsychological data. The data were
analyzed using a Wilcoxon signed-rank test. The average improvement in the TWSTRS
score at the time of the postoperative neuropsychological battery was 56.1 +/-
26.8%. In the neuropsychological battery, inhibitory control, as evaluated by the
Stroop test, was significantly decreased after GPi DBS. The average pre
/postoperative Stroop test word and color reading correct response score were
107.9/99.2 (P = 0.043) and 85.3/75.8 (P = 0.032), respectively. The observed
neuropsychological consequence of GPi DBS in this study, i.e., decreased
inhibitory control, implies that electrical stimulation of the GPi may alter
brain networks via the centromedian-parafascicular nuclear complex, suppressing
the inhibitory control function of the prefrontal cortex.
PMID- 29801986
TI - Conditional disruption of hepatic carbamoyl phosphate synthetase 1 in mice
results in hyperammonemia without orotic aciduria and can be corrected by liver
directed gene therapy.
AB - Carbamoyl phosphate synthetase 1 (CPS1) is a urea cycle enzyme that forms
carbamoyl phosphate from bicarbonate, ammonia and ATP. Bi-allelic mutations of
the CPS1 gene result in a urea cycle disorder presenting with hyperammonemia,
often with reduced citrulline, and without orotic aciduria. CPS1 deficiency is
particularly challenging to treat and lack of early recognition typically results
in early neonatal death. Therapeutic interventions have limited efficacy and most
patients develop long-term neurologic sequelae. Using transgenic techniques, we
generated a conditional Cps1 knockout mouse. By loxP/Cre recombinase technology,
deletion of the Cps1 locus was achieved in adult transgenic animals using a Cre
recombinase-expressing adeno-associated viral vector. Within four weeks from
vector injection, all animals developed hyperammonemia without orotic aciduria
and died. Minimal CPS1 protein was detectable in livers. To investigate the
efficacy of gene therapy for CPS deficiency following knock-down of hepatic
endogenous CPS1 expression, we injected these mice with a helper-dependent
adenoviral vector (HDAd) expressing the large murine CPS1 cDNA under control of
the phosphoenolpyruvate carboxykinase promoter. Liver-directed HDAd-mediated gene
therapy resulted in survival, normalization of plasma ammonia and glutamine, and
13% of normal Cps1 expression. A gender difference in survival suggests that
female mice may require higher hepatic CPS1 expression. We conclude that this
conditional murine model recapitulates the clinical and biochemical phenotype
detected in human patients with CPS1 deficiency and will be useful to investigate
ammonia-mediated neurotoxicity and for the development of cell- and gene-based
therapeutic approaches.
PMID- 29801989
TI - Ten-year survival in glioblastoma. A systematic review.
AB - Glioblastoma (GBM) is among the most deadly neoplasms associated with one of the
worst 5-year overall survival (OS) rates among all human cancers. The aim of this
systematic review is to present all cases with OS of a decade or more and to
perform a descriptive analysis of the group. This systematic review was conducted
in compliance with the Preferred Reporting Items for Systematic Reviews and Meta
Analyses (PRISMA) guideline. A comprehensive search for relevant articles was
performed on PubMed, Embase and Google Scholar for a period until June 10, 2016,
using the following search words: glioblastoma multiforme, glioblastoma, GBM,
long-term survival/survivors. Reports containing cases with the long-term
survival of 10 years or longer were included in the review. The search produced
36 studies with 162 cases published in the years 1950-2014. The rate of long
survivors in the cohort studied was established 0.76%. Mean age at diagnosis, OS
and PFS were 31.1 +/- 11.1, 15.9 +/- 6.3, 11.9 +/- 5.6 years respectively. Total
and subtotal resections were found in 82 and 58 patients respectively. Nine cases
received a biopsy alone. No statistical differences were found in a comparison of
PFS, OS and age between total and subtotal resection groups. A regression
analysis showed a significant correlation between PFS and OS, with an inverse
relationship stated between age at diagnosis and OS. The 10-year survival rate in
the cohort studied with GBM was estimated 0.71%. OS was positively correlated
with the length of PFS and inversely related with age at diagnosis.
PMID- 29801988
TI - Presence of a foramen arcuale as a possible cause for headaches and migraine:
Systematic review and meta-analysis.
AB - The foramen arcuale (FA) is an osseous bridge that can be formed on the posterior
arch of the atlas, often observed on lateral radiographs of the cervical spine.
Currently, there is a lack of studies that systematically review the available
literature on the FA and its role in headaches and migraines. Therefore, we
conducted an extensive search of the major electronic databases to identify all
studies that reported relevant data on patients with versus without FA and
prevalence of headaches or migraines. No date or language restrictions were
applied. Data on the prevalence, type (complete and incomplete), and laterality
of the FA and relationship with headaches or migraines were extracted and pooled
into a meta-analysis. There were 168/412 (40.8%) patients with headaches in the
FA group compared to 368/1691 (21.8%) patients with headaches in the no FA group
(OR 4.68; P = 0.002). The proportion of headaches for complete FA was 73/125
(58.4%) compared with 80/413 (19.4%) for incomplete FA (OR 5.04; P = 0.04). When
comparing incomplete FA to no FA, the proportion of headaches was 36/85 (42.3%)
vs 65/560 (11.6%), and this was significantly different (OR 6.13; P = 0.009). The
proportion of headaches in patients with bilateral FA was 67/132 (50.8%) compared
to 80/140 (57.1%) in the unilateral group (OR 1.36; P = 0.72). We performed the
first meta-analysis to demonstrate the significant association between FA and
headaches, and provided further support for the notion of the clinical relevance
of this anatomical variant.
PMID- 29801990
TI - Subdivision of MRSA CC398 isolates using MALDI-TOF MS.
AB - Outbreak investigations demand a fast and discriminative typing method. MALDI-TOF
MS has been shown to be a rapid, easy and inexpensive method of subtyping
MRSA.The aim of the present study is to explore whether it is possible to
subdivide isolates of MRSA CC398, commonly livestock associated, using an
enhanced version of the MALDI-TOF MS typing method that we previously described
(Ostergaard et al, 2015). We included MALDI-TOF spectra from 378 isolates of MRSA
belonging to CC398, of which 322 were epidemiologically independent. We
identified 17 peaks as discriminatorily useful and could therefore reliably
subdivide the isolates into 23 subtypes, including a distinct type corresponding
to a strain from an unusual and initially undiscovered hospital outbreak. Whole
genome sequencing was carried out for 193 of the isolates and compared with both
the spa type and an antibiogram of these strains. The proposed MALDI-TOF
subdivision method for MRSA CC398 was found to be more discriminative than both
spa typing and resistotyping, and had a high negative predictive value for ruling
out a close genetic relationship between pairs of strains with different MALDI
TOF types. We conclude that the MALDI-TOF-based typing method can be used for
rapid and inexpensive routine subdivision of MRSA belonging to CC398.
PMID- 29801991
TI - Turning over a new leaf: The health-enabling capacities of nature contact in
prison.
AB - In this paper we explore the potential applicability of evidence of health
enabling effects of elements of the built environment - particularly access to
nature - deriving from research in healthcare facilities to evidence-based design
in the custodial context. Drawing on comparative qualitative research conducted
in the UK and the Nordic region, we argue that although available data lack
direct comparability, there is evidence that access to nature generates the same
health-enabling effects in custody as are recognised in healthcare facilities.
Reflecting on the differing political contexts of imprisonment in the two study
areas, we conclude by advocating further research both to better understand
health-enabling elements of the custodial built environment, and to better enable
robust findings from healthcare facilities to be applied in custodial contexts.
PMID- 29801992
TI - A contemporary population-based analysis of the incidence, cost, and outcomes of
postoperative delirium following major urologic cancer surgeries.
AB - PURPOSE: Postoperative delirium (PD) is associated with poor outcomes and
increased health care costs. The incidence, outcomes, and cost of delirium for
major urologic cancer surgeries have not been previously characterized in a
population-based analysis. MATERIALS AND METHODS: We performed a population
based, retrospective cohort study of patients with PD at 490 US hospitals between
2003 and 2013 to evaluate the incidence, outcomes, and cost of delirium after
radical prostatectomy, radical nephrectomy, partial nephrectomy, and radical
cystectomy (RC). Delirium was defined using ICD-9 codes in combination with
postoperative antipsychotics, sitters, and restraints. Regression models were
constructed to assess mortality, discharge disposition, length of stay (LOS), and
direct hospital admission costs. Survey-weighted adjustment for hospital
clustering achieved estimates generalizable to the US population. RESULTS: We
identified 165,387 patients representing a weighted total of 1,097,355 patients.
The overall incidence of PD was 2.7%, with the greatest incidence occurring after
RC, with 6,268 cases (11%). Delirious patients had greater adjusted odds of in
hospital mortality (odds ratio [OR] = 3.65, P<0.001), 90-day mortality (OR =
1.47, P = 0.013), discharge with home health services (OR = 2.25, P<0.001),
discharge to skilled nursing facilities (OR = 4.64, P<0.001), and a 0.9-day
increase in median LOS (P<0.001). Patients with delirium also experienced a
$2,697 increase in direct admission costs (P<0.001), with the greatest costs
incurred in RC patients ($30,859 vs. $26,607; P<0.001). CONCLUSIONS: Patients
with PD after urologic cancer surgeries experienced worse outcomes, prolonged
LOS, and increased admission costs. The greatest incidence and costs were seen
after RC. Further research is warranted to identify high-risk patients and devise
preventative strategies.
PMID- 29801994
TI - A systematic review of different substance injection and dry needling for
treatment of temporomandibular myofascial pain.
AB - Temporomandibular myofascial pain presents a major challenge in the diagnosis of
temporomandibular disorders (TMD). Due to the characteristics of this condition,
intramuscular injection procedures are often needed for adequate control of
symptoms and treatment. Thus, the aim of this systematic review was to evaluate
the effectiveness of dry needling and injection with different substances in
temporomandibular myofascial pain. Electronic databases PubMed, EMBASE,
CENTRAL/Cochrane, Lilacs, Scopus, Web of Science and CAPES Catalog of
Dissertations and Theses were searched for randomized clinical trials until
January 2018. Manual search was performed in relevant journals and in the
references/citations of the included studies. The selection of studies was
carried out by two independent reviewers according to eligibility criteria. From
7128 eligible studies, 137 were selected for full-text analysis and 18 were
included. Due to the heterogeneity of the primary studies it was not possible to
perform a meta-analysis. The narrative analysis of the results showed that most
of the studies had methodological limitations and biases that compromised the
quality of the findings. Dry needling and local anaesthesic injections seem
promising, but there is a need to conduct further randomized clinical trials,
with larger samples and longer follow-up times, to evaluate the real
effectiveness of the technique and evaluated substances.
PMID- 29801993
TI - Is imperative partial nephrectomy feasible for kidney cancer with venous thrombus
involvement? Outcomes of 42 cases and matched pair analysis with a large radical
nephrectomy cohort.
AB - BACKGROUND: Radical nephrectomy (RN) with/without (+/-) thrombus excision (ThE)
is the undisputed standard treatment for kidney cancer (KC) with renal or caval
thrombus (Th). However, partial nephrectomy (PN) +/- ThE may be considered in
rare cases due to imperative (I) indications. OBJECTIVE: To evaluate the efficacy
of IPN +/- ThE and to compare it with RN +/- ThE for KC with Th. DESIGN, SETTING,
AND PARTICIPANTS: Records of 2,549 patients undergoing surgery for KC with Th at
24 institutions between 1971 and 2014 were retrospectively reviewed. OUTCOME
MEASUREMENTS AND STATISTICAL ANALYSIS: Primary outcomes were overall survival
(OS) and cancer specific survival (CSS), renal function variation after surgery
and complications. Secondary outcomes were predictors of OS and CSS for IPN
cases. To reduce bias IPN group was matched with RN using a propensity score with
greedy algorithm on the basis of age, gender, tumor size, TNM, and histology.
RESULTS AND LIMITATIONS: Forty-two patients underwent IPN +/- Th. All thrombi
were >=level I; 5 patients experienced Clavien >= 3 complications with 2
complications-related deaths. At 27.3 (interquartile range: 7.1-47.7) months OS
and CSS were 54.8% and 78.6%, respectively whereas at 9.7 (interquartile range:
1.4-43.7) months eGFR change was -17.3 +/- 27.0ml/min. On univariate analysis
tumour size, preoperative eGFR, transfusions, hospital stay, high serum
creatinine, operating time, complications, lymphadenectomy, and metastases
related to an increased risk of death. After matching (n = 38 per arm) no
significant differences were present except for tumor necrosis (IPN = 39.5%;
15.8%; P = 0.01), thrombus level (P = 0.02), so as for operating time (P = 0.27),
perioperative transfusions (P = 0.74) and complications (P = 0.35). A 5-year OS
and CSS for IPN were 57.9% and 73.7%, respectively with no significant
differences with RN (OS = 63.2, P = 0.611; CSS = 68.4, P>0.99). After 14.9 months
creatinine and eGFR changes were (+0.4 +/- 0.6mg/dl and -23.2 +/- 37.3ml/min; P =
0.2879). CONCLUSIONS: In selected cases due to imperative indications PN +/- ThE
is a complex procedure and may be an alternative to RN +/- ThE for KC with Th
yielding noninferior oncological outcomes, functional outcomes, and
complications. Further studies are needed to determine the role of PN +/- ThE for
KC with Th.
PMID- 29801995
TI - Prevalence of insulin glargine vial use beyond 28 days in a Medicaid population.
AB - OBJECTIVES: Insulin glargine, one of the most commonly prescribed drugs for
diabetes, has a 28-day limit on the use of a 10-mL (1000 units) multiple-dose
vial once the bottle is punctured. If patients who are using smaller doses or are
not adherent continue to use insulin glargine beyond the 28-day window, it can
result in questionable stability and sterility of the product. The aim of this
study was to determine the proportion of patients who used each insulin glargine
vial for more than 28 days, the mean number of days the vial was used after 28
days, the reason for the extended use, and whether that use had any association
with diabetes control and injection site infection. METHODS: The study was
conducted in 2 phases. Phase I was a retrospective database analysis of insulin
glargine 10-mL vial use by the adult Medicaid population with type 2 diabetes
served by Molina Healthcare to determine the proportion of patients who used each
vial beyond 28 days. Phase II was a cross-sectional telephone interview to
identify the reasons for the extended use. RESULTS: Of the 269 patients
identified, 81% used it for more than 28 days, with a mean of 43 days. Of the
interviewed patients, 60% did not discard the vials after 28 days because of a
lack of awareness. Patients who were aware of the 28-day limit were informed by a
pharmacist or diabetes educator. CONCLUSION: A large proportion of Medicaid
patients were found to use insulin glargine past the recommended 28-day limit.
More work is needed with a larger sample size to determine whether reasons
besides lack of awareness affect the use of insulin glargine beyond its
expiration and the role of pharmacists and diabetes educators in improving
adherence to disposing of the drug after 28 days.
PMID- 29801996
TI - Whale and dolphin behavioural responses to dead conspecifics.
AB - The scientific study of death across animal taxa-comparative thanatology
investigates how animals respond behaviourally, physiologically and
psychologically to dead conspecifics, and the processes behind such responses.
Several species of cetaceans have been long known to care for, attend to, be
aroused by, or show interest in dead or dying individuals. We investigated
patterns and variation in cetacean responses to dead conspecifics across cetacean
taxa based on a comprehensive literature review. We analysed 78 records reported
between 1970 and 2016, involving 20 of the 88 extant cetacean species. We adopted
a weighted comparative approach to take observation effort into account and found
that odontocetes (toothed cetaceans) were much more likely than mysticetes
(baleen whales) to attend to dead conspecifics. Dolphins (Delphinidae) had the
greatest occurrence of attentive behaviour (92.3% of all records), with a weighed
attendance index 18 times greater than the average of all other cetacean
families. Two dolphin genera, Sousa and Tursiops, constituted 55.1% of all
cetacean records (N=43) and showed the highest incidence of attentive behaviour.
Results of analyses intended to investigate the reasons behind these differences
suggested that encephalisation may be an important predictor, consistent with the
"social brain" hypothesis. Among attending individuals or groups of known sex
(N=28), the majority (75.0%) were adult females with dead calves or juveniles
(possibly their own offspring, with exceptions), consistent with the strong
mother-calf bond, or, in a few cases, with the bond between mothers and other
females in the group. The remaining records (25.0%) involved males either showing
sexual interest in a dead adult or subadult, or carrying a dead calf in the
presence of females. Because an inanimate individual is potentially rescuable,
responses to dead conspecifics-especially by females-can be explained at least in
part by attempts to revive and protect, having a clear adaptive value. In some
cases such responses are followed by apparently maladaptive behaviour such as the
long-term carrying of, or standing by, a decomposed carcass, similar to
observations of certain terrestrial mammals. Among the possible explanations for
the observed cetacean behavioural responses to dead conspecifics are strong
attachment resulting in a difficulty of "letting go"-possibly related to grieving
or perhaps individuals failing to recognise or accept that an offspring or
companion has died. Our current understanding is challenged by small sample size,
incomplete descriptions, and lack of information on the physiology and neural
processes underpinning the observed behaviour. We provide research
recommendations that would improve such understanding.
PMID- 29801997
TI - Structure-activity relationship of uridine-based nucleoside phosphoramidate
prodrugs for inhibition of dengue virus RNA-dependent RNA polymerase.
AB - To identify a potent and selective nucleoside inhibitor of dengue virus RNA
dependent RNA polymerase, a series of 2'- and/or 4'-ribose sugar modified uridine
nucleoside phosphoramidate prodrugs and their corresponding triphosphates were
synthesized and evaluated. Replacement of 2'-OH with 2'-F led to be a poor
substrate for both dengue virus and human mitochondrial RNA polymerases. Instead
of 2'-fluorination, the introduction of fluorine at the ribose 4'-position was
found not to affect the inhibition of the dengue virus polymerase with a
reduction in uptake by mitochondrial RNA polymerase. 2'-C-ethynyl-4'-F-uridine
phosphoramidate prodrug displayed potent anti-dengue virus activity in the
primary human peripheral blood mononuclear cell-based assay with no significant
cytotoxicity in human hepatocellular liver carcinoma cell lines and no
mitochondrial toxicity in the cell-based assay using human prostate cancer cell
lines.
PMID- 29801998
TI - Economic burden of seasonal influenza in the United States.
AB - BACKGROUND: Seasonal influenza is responsible for a large disease and economic
burden. Despite the expanding recommendation of influenza vaccination, influenza
has continued to be a major public health concern in the United States (U.S.). To
evaluate influenza prevention strategies it is important that policy makers have
current estimates of the economic burden of influenza. OBJECTIVE: To provide an
updated estimate of the average annual economic burden of seasonal influenza in
the U.S. population in the presence of vaccination efforts. METHODS: We evaluated
estimates of age-specific influenza-attributable outcomes (ill-non medically
attended, office-based outpatient visit, emergency department visits,
hospitalizations and death) and associated productivity loss. Health outcome
rates were applied to the 2015 U.S. population and multiplied by the relevant
estimated unit costs for each outcome. We evaluated both direct healthcare costs
and indirect costs (absenteeism from paid employment) reporting results from both
a healthcare system and societal perspective. Results were presented in five age
groups (<5 years, 5-17 years, 18-49 years, 50-64 years and >=65 years of age).
RESULTS: The estimated average annual total economic burden of influenza to the
healthcare system and society was $11.2 billion ($6.3-$25.3 billion). Direct
medical costs were estimated to be $3.2 billion ($1.5-$11.7 billion) and indirect
costs $8.0 billion ($4.8-$13.6 billion). These total costs were based on the
estimated average numbers of (1) ill-non medically attended patients (21.6
million), (2) office-based outpatient visits (3.7 million), (3) emergency
department visit (0.65 million) (4) hospitalizations (247.0 thousand), (5) deaths
(36.3 thousand) and (6) days of productivity lost (20.1 million). CONCLUSIONS:
This study provides an updated estimate of the total economic burden of influenza
in the U.S. Although we found a lower total cost than previously estimated, our
results confirm that influenza is responsible for a substantial economic burden
in the U.S.
PMID- 29802000
TI - Human papillomavirus vaccine effectiveness by number of doses: Systematic review
of data from national immunization programs.
AB - BACKGROUND: Human papillomavirus (HPV) vaccines were first licensed as a three
dose series; a two-dose series is now recommended in some age groups and there is
interest in possible one-dose vaccination. METHODS: We conducted a systematic
literature review of HPV vaccine effectiveness by number of doses, including
assessment of biases and impact of varying buffer periods (time between
vaccination and outcome counting). RESULTS: Of 3787 articles identified, 26 full
articles were assessed and 14 included in our review. All studies were conducted
within the context of recommended three-dose schedules of bivalent (3) or
quadrivalent HPV vaccine (11). Two evaluated effectiveness for prevention of HPV
prevalence, six anogenital warts, and six abnormal cervical cytology or
histology. Many studies found differences between three-, two- and one-dose
vaccine recipients, indicating possible differences in HPV exposure prior to
vaccination or in risk behavior. Adjusted or stratified analyses were conducted
to control for potential confounding. All studies found significant vaccine
effectiveness with three doses, 11 with two doses at various intervals, and six
with one dose. Most studies showed a relationship (not always statistically
significant) between effectiveness and number of doses, with greater decreases in
HPV-related outcomes with three, followed by two and one dose(s). Few studies
conducted formal comparisons of three vs fewer doses. Three of four studies that
examined buffer periods found higher effectiveness and a smaller difference by
number of doses with longer periods. CONCLUSION: Most post-licensure studies
report highest effectiveness with three doses; some found no statistically
significant difference between two and three doses. Additionally, almost half
found some effectiveness with one dose. Several biases impact estimates, with
most biasing two- and one-dose results away from showing effectiveness. Future
effectiveness studies, examining persons vaccinated prior to sexual activity and
using methods to reduce potential sources of bias, can help inform vaccination
policy.
PMID- 29802001
TI - Computational comparison of availability in CTL/gag epitopes among patients with
acute and chronic HIV-1 infection.
AB - BACKGROUND: Recent studies indicate that there is selection bias for transmission
of viral polymorphisms associated with higher viral fitness. Furthermore, after
transmission and before a specific immune response is mounted in the recipient,
the virus undergoes a number of reversions which allow an increase in their
replicative capacity. These aspects, and others, affect the viral population
characteristic of early acute infection. METHODS: 160 singlegag-gene
amplifications were obtained by limiting-dilution RT-PCR from plasma samples of 8
ARV-naive patients with early acute infection (<30 days, 22 days average) and 8
ARV-naive patients with approximately a year of infection (10 amplicons per
patient). Sanger sequencing and NGS SMRT technology (Pacific Biosciences) were
implemented to sequence the amplicons. Phylogenetic analysis was performed by
using MEGA 6.06. HLA-I (A and B) typing was performed by SSOP-PCR method. The
chromatograms were analyzed with Sequencher 4.10. Epitopes and immune-proteosomal
cleavages prediction was performed with CBS prediction server for the 30 HLA-A
and -B alleles most prevalent in our population with peptide lengths from 8 to 14
mer. Cytotoxic response prediction was performed by using IEDB Analysis Resource.
RESULTS: After implementing epitope prediction analysis, we identified a total
number of 325 possible viral epitopes present in two or more acute or chronic
patients. 60.3% (n = 196) of them were present only in acute infection (prevalent
acute epitopes) while 39.7% (n = 129) were present only in chronic infection
(prevalent chronic epitopes). Within p24, the difference was equally dramatic
with 59.4% (79/133) being acute epitopes (p < 0.05). This is consistent with
progressive viral adaptation to immune response in time and further supported by
the fact that cytotoxic responses prediction showed that acute epitopes are more
likely to generate immune response than chronic epitopes. Interestingly, only
27.5% of acute epitopes match the population-level consensus sequence of the
virus. CONCLUSIONS: Our results indicate that certain non-consensus viral
residues might be transmitted more frequently than consensus-residues when
located in immunological relevant positions (epitopes). This observation might be
relevant to the rationale behind development of an effective vaccineto reduce
viral reservoir and induce functional cure of HIV infection based in prevalent
acute epitopes.
PMID- 29801999
TI - Retinoic acid elicits a coordinated expression of gut homing markers on T
lymphocytes of Zambian men receiving oral Vivotif, but not Rotarix, Dukoral or
OPVERO vaccines.
AB - All-trans retinoic acid (ATRA) up-regulates, in laboratory animals, the
expression of the gut homing markers alpha4beta7 integrin and CCR9 on
lymphocytes, increasing their gut tropism. Here, we show that, in healthy adult
volunteers, ATRA induced an increase of these gut homing markers on T cells in
vivo in a time dependent manner. The coordinated increase of alpha4beta7 and CCR9
by ATRA was seen in 57% (12/21) of volunteers and only when given together with
an oral Vivotif vaccine. When this coordinated response to ATRA and Vivotif
vaccine was present, it was strongly correlated with the gut immunoglobulin A
(IgA) specific response to vaccine LPS (rho = 0.82; P = 0.02). Using RNA-Seq
analysis of whole blood transcription, patients receiving ATRA and Vivotif in
conjunction showed transcriptomic changes in immune-related pathways,
particularly including interferon alpha/beta signaling pathway, membrane-ECM
interactions and immune hubs. These results suggest that exogenous ATRA can be
used to manipulate responses to a subclass of oral vaccines, so far limited to a
live attenuated Vivotif vaccine.
PMID- 29802003
TI - Diagnostic yield of neuroimaging in syncope patients without high-risk symptoms
indicating neurological syncope.
AB - OBJECTIVES: Diagnostic tests are widely used for patients with syncope in the
emergency department (ED). This study aimed to determine the diagnostic yield of
neuroimaging in patients with syncope without high-risk symptoms. METHODS: Adult
patients who presented to the ED with syncope in 2016 were screened
retrospectively. Patients who suffered from mild head trauma due to syncope were
also included. Patients with neurological examination findings (confusion,
amnesia, focal neurological deficit, severe headache, dizziness, nausea and
vomiting), patients on anticoagulants, patients with known intracranial
malignancies and those whose loss of consciousness was attributed to reasons
other than syncope were excluded from the study. RESULTS: A total of 1114
patients were included in the study. The median age was 48 years (IQR = 34-66
years) and 576 (51.7%) of the patients were male. The neuroimaging tests
performed were cranial computerized tomography (CT) in 694 (62.3%) cases and
magnetic resonance imaging (MRI) in 114 (10.2%) cases. Mild head trauma due to
syncope was observed in 116 (10.4%) patients. None of the neuroimaging studies
revealed any clinically significant findings. CONCLUSION: Neuroimaging is not
beneficial in patients whose medical history and physical examination do not
indicate neurogenic syncope, even if the patient has mild head trauma.
PMID- 29802004
TI - Safety and effectiveness of Factor Eight Inhibitor Bypassing Activity for direct
oral anticoagulant-related hemorrhage reversal.
AB - BACKGROUND: The incidence of patients who present with life-threatening bleeding
complications has been increasing as the use of direct oral anticoagulation
(DOAC) has increased. Therefore, effective reversal agents are urgently needed.
Current guidelines recommend the use of prothrombin complex concentrates (PCCs)
and activated PCCs (aPCC) for reversal of DOAC anticoagulant activity in the
setting of traumatic and non-traumatic intracranial hemorrhage (ICH). However,
little data is available. OBJECTIVE: Herein, we investigated the safety and
effectiveness of Factor Eight Inhibitor Bypassing Activity [FEIBA (an aPCC)] in a
population of patients who required emergent reversal of DOAC for hemorrhage or
urgent surgical interventions. METHODS: This is a case series study. Medical
records from patients who required emergent reversal of DOAC for life threatening
hemorrhage or urgent surgical interventions were collected from February 1, 2014,
to April 1, 2017 and reviewed. Data, including demographics as well as safety,
outcomes, and dosing of FEIBA for reversal of DOAC effects were collected and
descriptive statistics were obtained. RESULTS: Forty-two patients who received
FEIBA were included in the study. The rates of thrombotic events (10%),
hemorrhage progression (10%), and observed mortality (29%) were similar to rates
previously published in the limited literature evaluating aPCC use in this
population. CONCLUSION: This case series suggests that FEIBA administration is
relatively safe and effective to reverse DOACs in the setting of hemorrhage or
need for urgent surgical procedures. Until target-specific reversal agents are
available, future studies are warranted to evaluate the effectiveness of aPCC
administration for DOAC-associated hemorrhagic complications.
PMID- 29802002
TI - Rotavirus diarrhoea hospitalizations among children under 5 years of age in
Nigeria, 2011-2016.
AB - BACKGROUND: The high burden of rotavirus acute gastroenteritis (AGE) is well
documented among children under 5 years of age, with the majority of mortality
occurring in developing countries. Nigeria ranked second worldwide in the number
of rotavirus deaths in 2013. As Nigeria plans to introduce rotavirus vaccine
soon, a pre-vaccine documentation of rotavirus disease burden is necessary to
determine vaccine impact. METHODS: Routine rotavirus surveillance was conducted
during 2011-2016 in 3 sentinel sites in Nigeria using the standard WHO protocol.
Children under 5 years of age hospitalized for acute gastroenteritis were
enrolled and demographic, clinical and outcome data were collected. A stool
sample was subsequently obtained and tested for human rotavirus antigen using the
Enzyme-linked immunosorbent assay (ELISA). RESULTS: 2694 children with acute
gastroenteritis were enrolled during January 2011 to December 2016; of these,
1242 (46%) tested positive for rotavirus. Among the rotavirus positive cases, 66%
and 94% were younger than 12 months and 24 months respectively. Marked peaks in
rotavirus positivity were seen in January of each year. Vomiting, and use of oral
and intravenous fluids occurred more often in rotavirus positive cases as
compared to rotavirus negative cases. CONCLUSION: The high prevalence of
rotavirus disease highlights the need for urgent introduction of rotavirus
vaccine in Nigeria. Additionally, this study provides pre-vaccine introduction
disease-burden data that will serve as a baseline for rotavirus vaccine impact
assessment once vaccine has been introduced in the national immunization program.
PMID- 29802005
TI - Surgical Outcomes of Plaque Excision and Grafting and Supplemental Tunica
Albuginea Plication for Treatment of Peyronie's Disease With Severe Compound
Curvature.
AB - BACKGROUND: There are limited data in the literature that describe the management
of Peyronie's disease (PD) with severe compound curvature, which often requires
additional straightening procedures after plaque excision and grafting (PEG) to
achieve functional penile straightening (<20 degrees). AIM: This study highlights
the clinical distinction and our experience with men with PD and severe compound
curvature treated with PEG and supplemental tunica albuginea plication (TAP).
METHODS: We performed a retrospective chart review of patients with PD and acute
angulation who underwent PEG (group 1) and patients with compound curvature who
underwent PEG with TAP (group 2) between 2007 and 2016. OUTCOMES: Primary post
operative outcomes of interest include change in penile curvature, change in
measured stretched penile length, and subjective report on penile sensation and
sexually induced penile rigidity. RESULTS: 240 Men with PD were included in the
study, of which 79 (33%) patients in group 1 underwent PEG and 161 (67%) in group
2 underwent PEG and TAP. There was no difference in associated PD co-morbidities
including age, hypertension, hyperlipidemia, hypogonadism, diabetes, or tobacco
use. After artificial induction of erection with intracorporal trimix injection,
the average primary curvature was 73 (range, 20-120) degrees for group 1 compared
to 79 (range, 35-140) degrees for group 2 (P = .01). Group 2 had an average
secondary curvature of 36 (20-80 degrees). After completion of PEG, men in group
2 had an average residual curvature of 30 (range, 20-50) degrees which required 1
6 TAPs to achieve functional straightness (<20 degrees). At an average follow-up
of 61 months, there was no difference for group 1 and group 2, respectively, for
recurrent curvature (11.4% vs 12.4%, P = .33), change in penile length (+0.57 vs
+0.36 cm, P = .27) or decreased penile sensation (6% vs 13%, P = .12). In all,
81% of group 1 and 79% of group 2 were able to engage in penetrative sex after
penile straightening with or without pharmacotherapy (P = .73). CLINICAL
TRANSLATION: Our review shows promising surgical outcomes for the use of PEG and
supplemental TAP for this subtype of complex PD. STRENGTHS AND LIMITATIONS: This
article reports the largest experience with treatment of PD with compound
curvature to date. Limitations of this study include the retrospective nature of
the analysis as well as the lack of a validated objective measurement of erectile
function after penile straightening. CONCLUSION: Our study found no baseline
difference in underlying co-morbidities in men with severe compound curvature
compared with men with acute severe angulated curvature. Men with severe compound
curvature represent a severe and under-recognized population of men with PD who
can be surgically corrected with PEG and supplemental TAP(s) when needed without
an increased risk of loss of penile length, recurrent curvature, decreased penile
sensation, or erectile dysfunction when compared to men treated with PEG alone.
Chow AK, Sidelsky SA, Levine LA. Surgical Outcomes of Plaque Excision and
Grafting and Supplemental Tunica Albuginea Plication for Treatment of Peyronie's
Disease With Severe Compound Curvature. J Sex Med 2018;15:1021-1029.
PMID- 29802007
TI - Estimation of blood loss during adult burn surgery.
AB - INTRODUCTION: Large burns excision and graft can produce major blood loss. The
main objective of this study is to evaluate the blood loss in relation with the
excision size in square centimeters (cm2) in adults. PATIENTS AND METHODS: We
conducted a monocentric, observational, prospective and open study in a burn
intensive care unit. Patients aged-over 18 with burn wounds excision and
autografting covering at least 5% of total body surface area (TBS) were enrolled.
Blood loss was evaluated with Mercuriali formula. RESULTS: 139 procedures were
evaluated: median graft size was 1637cm2, median blood loss was 0.8ml/cm2 excised
and grafted skin and median total blood loss was 1444ml. 84 procedures (i.e.
60.4%) required transfusion. 66 procedures concerned upper limbs, 75 lower limbs,
17 head and 72 trunk. 126 procedures used tangential excision, 10 used fascia
excision and 3 used the two techniques. Patients with comorbidities (ASA score 3
or 4) had more bleeding (p=0.001). CONCLUSION: The results that were obtained,
i.e. approximately 0.8ml/cm2 of excised and grafted skin, are similar to those of
other published studies, which concerned specific populations such as pediatrics.
Determining blood loss in one centre can help physicians to calculate the
excisable area without any transfusion. However, blood loss can vary widely
between patients and one must consider individual clinical situation to provide
safe surgery.
PMID- 29802006
TI - From traditional biochemical signals to molecular markers for detection of sepsis
after burn injuries.
AB - Sepsis is a life-threatening organ-dysfunction condition caused by a dysregulated
response to an infectious condition that can cause complications in patients with
major trauma. Burns are one of the most destructive forms of trauma; despite the
improvements in medical care, infections remain an important cause of burn injury
related mortality and morbidity, and complicated sepsis predisposes patients to
diverse complications such as organ failure, lengthening of hospital stays, and
increased costs. Accurate diagnosis and early treatment of sepsis may have a
beneficial impact on clinical outcome of burn-injured patients. In this review,
we offer a comprehensive description of the current and traditional markers used
as indicative of sepsis in burned patients. However, although these are markers
of the inflammatory post-burn response, they usually fail to predict sepsis in
severely burned patients due to that they do not reflect the severity of the
infection. Identification and measurement of biomarkers in early stages of
infection is important in order to provide timely response and effective
treatment of burned patients. Therefore, we compiled important experimental
evidence, demonstrating novel biomarkers, including molecular markers such as
genomic DNA variations, alterations of transcriptome profiling (mRNA, miRNAs,
lncRNAs and circRNAs), epigenetic markers, and advances in proteomics and
metabolomics. Finally, this review summarizes next-generation technologies for
the identification of markers for detection of sepsis after burn injuries.
PMID- 29802008
TI - [Peri-operative management of smoking].
AB - Smoking is a public health issue, especially during the perioperative period.
Tobacco increases the risk of hospital mortality by 20% and major postoperative
complications by 40%. Active smoking is associated with respiratory complications
particularly bronchospasm and pneumonia, but also all surgical complications as
scar infections, local thrombosis, suture release and delayed bone healing. The
perioperative period is an opportunity to stop smoking. Smoking cessation should
always be recommended, regardless of the surgery and the date of intervention.
All health professionals, doctors, surgeons, anesthetists, but also nurses and
physiotherapists, must inform smokers of the benefits of stopping smoking, offer
them a dedicated support and a personalized follow-up. Tobacco consultation and
the prescription of nicotine replacement increase the rate of smoking cessation.
Stopping smoking reduces perioperative complications and is associated with
health benefits that increase with time.
PMID- 29802010
TI - Experiencing life in an asylum.
PMID- 29802009
TI - Effectiveness and Safety of Therapeutic Regimens for Elderly Patients With Acute
Myeloid Leukemia: A Systematic Literature Review.
AB - Acute myeloid leukemia (AML) is the second most common leukemia among adults.
Although the median age at diagnosis is 67 years, with approximately one third of
patients aged 75 years or older, limited treatment options exist for the elderly,
who have 5-year survival rates of only 5%. A systematic review was conducted to
examine effectiveness and safety outcomes of treatment regimens in elderly (>=60
years old) patients with AML. Published literature on the topic was scant, and
the review included only 22 articles examining outcomes. Twelve studies examined
treatment-specific outcomes; most of these examined azacitidine or intensive
chemotherapy (IC). An international randomized controlled trial found that
azacitidine significantly improved overall survival relative to conventional
regimens including IC and low-dose cytarabine in patients aged > 65 years.
Similar results in favor of azacitidine were demonstrated in 2 other studies. IC
was generally associated with longer survival versus lower-intensity therapy or
best supportive care. Findings suggest that azacitidine is a viable option for
elderly AML patients who are ineligible for IC, and emerging agents used in
combination with azacitidine could have a major impact in this difficult-to-treat
population.
PMID- 29802011
TI - Is clonal hematopoiesis a new risk factor for cardiovascular diseases?: Clinical
and experimental evidences.
PMID- 29802012
TI - Ovarian Torsion: ED Recognition and Management.
PMID- 29802013
TI - Positioning accuracy of a patient-tailored rimmed wedge implant for corrective
osteotomy of the distal radius.
AB - Conventional corrective osteotomy surgery is based on 2-D imaging for planning
and evaluation of bone positioning. In this feasibility study we propose and
evaluate the use of 3-D preoperative planning and design of a custom rimmed wedge
to be inserted into the osteotomy gap. The shape of the wedge provides 3-D bone
positioning as planned, while the rims keep the bone segments in place. The
method is evaluated experimentally using 3-D printed radii specimens of five
different malunion patients, as well as in a human cadaver specimen. Positioning
was accurate and reproducible showing residual displacements along the x-, y- and
z-axes of (mean +/- SD): (-0.19 +/- 0.75, 0.38 +/- 1.09, and 0.47 +/- 0.48) mm
and residual rotations about these axes of (mean +/- SD): (-1.22 +/- 1.66, -0.40
+/- 0.93, and -0.33 +/- 1.50) degrees for artificial bone specimens. The cadaver
experiment showed similar displacements along the x-, y- and z-axes (-0.17, 1.11,
and -0.35) mm and residual rotations about these axes (-2.93, -1.53, and 2.31)
degrees . Positioning by inserting a rimmed wedge in corrective osteotomy surgery
is accurate with residual errors comparable to bilateral differences. The method
seems promising for future utilization in corrective osteotomy surgery and may
ultimately render the procedure minimally invasive.
PMID- 29802014
TI - Aberrant growth of maxillary canine teeth in male babirusa (genus Babyrousa).
AB - A worldwide survey of babirusa skulls curated in museum and private collections
located 431 that were from adult males and had retained at least one maxillary
canine tooth. Eighty-three of these skulls were identified as exhibiting aberrant
maxillary canine tooth growth. Twenty-four of the skulls represented babirusa
from Buru and the Sula Islands, and forty-five skulls represented babirusa from
Sulawesi and the Togian Islands. The remaining series of fourteen babirusa skulls
originally came from zoo animals. Fifteen skulls showed anomalous alveolar and
tooth rotation in a median plane. Twenty-nine skulls had maxillary canine teeth
that did not grow symmetrically towards the median plane of the cranium. Fourteen
skulls showed evidence that the tips of one or both maxillary canine teeth had
eroded the nasal bones. Twenty-one skulls had maxillary canine teeth that had
eroded the frontal bones. The teeth of two skulls had eroded a parietal bone. One
skull had two maxillary canines arising from an adjacent pair of alveoli on the
left side of the cranium. Three skulls exhibited alveoli with no formed maxillary
canine teeth in them. Analysis suggested that approximately 12% of the adult male
babirusa in the wild experience erosion of the cranial bony tissues as a result
of maxillary canine tooth growth. There was no skeletal evidence that maxillary
canine teeth penetrate the eye.
PMID- 29802015
TI - Coronary CT Angiography to Guide Treatment Decision Making: Lessons From the
SYNTAX II Trial.
PMID- 29802016
TI - Fractional Flow Reserve Derived From Computed Tomographic Angiography in Patients
With Multivessel CAD.
AB - BACKGROUND: The functional SYNTAX score (FSS) has been shown to improve the
discrimination for major adverse cardiac events compared with the anatomic SYNTAX
score (SS) while reducing interobserver variability. However, evidence supporting
the noninvasive FSS in patients with multivessel coronary artery disease (CAD) is
scarce. OBJECTIVES: The purpose of this study was to assess the feasibility of
and validate the noninvasive FSS derived from coronary computed tomography
angiography (CTA) with fractional flow reserve (FFRCT) in patients with 3-vessel
CAD. METHODS: The CTA-SS was calculated in patients with 3-vessel CAD included in
the SYNTAX II (SYNergy between percutaneous coronary intervention with TAXus and
cardiac surgery II) study. The noninvasive FSS was determined by including only
ischemia-producing lesions (FFRCT <=0.80). SS derived from different imaging
modalities were compared using the Bland-Altman and Passing-Bablok method, and
the agreement on the SS tertiles was investigated with Cohen's Kappa. The risk
reclassification was compared between the noninvasive and invasive physiological
assessment, and the diagnostic accuracy of FFRCT was assessed by the area under
the receiver-operating characteristic curve using instantaneous wave-free ratio
as a reference. RESULTS: The CTA-SS was feasible in 86% of patients (66 of 77),
whereas the noninvasive FSS was feasible in 80% (53 of 66). The anatomic SS was
overestimated by CTA compared with conventional angiography (27.6 +/- 6.4 vs.
25.3 +/- 6.9; p < 0.0001) whereas the calculation of the FSS yielded similar
results between the noninvasive and invasive imaging modalities (21.6 +/- 7.8 vs.
21.2 +/- 8.8; p = 0.589). The noninvasive FSS reclassified 30% of patients from
the high- and intermediate-SS tertiles to the low-risk tertile, whereas invasive
FSS reclassified 23% of patients from the high- and intermediate-SS tertiles to
the low-risk tertile. The agreement on the classic SS tertiles based on Kappa
statistics was slight for the anatomic SS (Kappa = 0.19) and fair for the FSS
(Kappa = 0.32). The diagnostic accuracy of FFRCT to detect functional significant
stenosis based on an instantaneous wave-free ratio <=0.89 revealed an area under
the receiver-operating characteristics curve of 0.85 (95% CI: 0.79 to 0.90) with
a sensitivity of 95% (95% CI: 89% to 98%), specificity of 61% (95% CI: 48% to
73%), positive predictive value of 81% (95% CI: 76% to 86%), and negative
predictive value of 87% (95% CI: 74% to 94%). CONCLUSIONS: Calculation of the
noninvasive FSS is feasible and yielded similar results to those obtained with
invasive pressure-wire assessment. The agreement on the SYNTAX score tertile
classification improved with the inclusion of the functional component from
slight to fair agreement. FFRCT has good accuracy in detecting functionally
significant lesions in patients with 3-vessel CAD. (A Trial to Evaluate a New
Strategy in the Functional Assessment of 3-Vessel Disease Using SYNTAX II Score
in Patients Treated With PCI; NCT02015832).
PMID- 29802018
TI - Management of the blood supply for a Jk(a-b-) patient with an anti-Jk3 in
preparation for an urgent heart transplant: An illustrative example of a
successful international cooperation.
AB - The Kidd blood group system currently comprises two polymorphic and antithetical
antigens, Jka and Jkb, and one high-prevalence antigen, Jk3. Jknull individuals
do not express any of the Kidd antigens, and are at risk of developing an anti
Jk3 which is known to be dangerous and responsible for acute or delayed hemolytic
transfusion reaction. We report a case of an immunized Jknull patient, who was
scheduled to undergo a heart transplant. In order to organize his blood provision
management, two conference calls were held between the clinical team and the
different staff involved in this challenging blood supply. In light of the blood
needs, the available resources, and the constraints, a mix of fresh and frozen
units were used. As the supply from France was not sufficient, Finland and New
Zealand provided the majority of the fresh units. We report here how this
international supply chain was organized, including the difficulties that we
encountered. Anticipation, communication and flexibility were essential in making
this heart transplant possible without needing to transfuse incompatible units.
PMID- 29802017
TI - Topical Lyophilized Targeted Lipid Nanoparticles in the Restoration of Skin
Barrier Function following Burn Wound.
AB - Lyophilized keratinocyte-targeted nanocarriers (TLNkappa) loaded with locked
nucleic acid (LNA) modified anti-miR were developed for topical application to
full thickness burn injury. TLNkappa were designed to selectively deliver LNA
anti-miR-107 to keratinocytes using the peptide sequence ASKAIQVFLLAG. TLNkappa
employed DOTAP/DODAP combination pH-responsive lipid components to improve
endosomal escape. To minimize interference of clearance by non-targeted cells,
especially immune cells in the acute wound microenvironment, surface charge was
neutralized. Lyophilization was performed to extend the shelf life of the lipid
nanoparticles (LNPs). Encapsulation efficiency of anti-miR in lyophilized
TLNkappa was estimated to be 96.54%. Cargo stability of lyophilized TLNkappa was
tested. After 9 days of loading with anti-miR-210, TLNkappa was effective in
lowering abundance of the hypoxamiR miR-210 in keratinocytes challenged with
hypoxia. Keratinocyte uptake of DiD-labeled TLNkappa was selective and exceeded
90% within 4 hr. Topical application of hydrogel-dispersed lyophilized TLNkappa
encapsulating LNA anti-miR-107 twice a week significantly accelerated wound
closure and restoration of skin barrier function. TLNkappa/anti-miR-107
application depleted miR-107 and upregulated dicer expression, which accelerated
differentiation of keratinocytes. Expression of junctional proteins such as
claudin-1, loricrin, filaggrin, ZO-1, and ZO-2 were significantly upregulated
following TLNkappa/anti-miR-107 treatment. These LNPs are promising as topical
therapeutic agents in the management of burn injury.
PMID- 29802019
TI - Anti-apoptotic Protein BIRC5 Maintains Survival of HIV-1-Infected CD4+ T Cells.
AB - HIV-1 infection of CD4+ T cells leads to cytopathic effects and cell demise,
which is counter to the observation that certain HIV-1-infected cells possess a
remarkable long-term stability and can persist lifelong in infected individuals
treated with suppressive antiretroviral therapy (ART). Using quantitative mass
spectrometry-based proteomics, we showed that HIV-1 infection activated cellular
survival programs that were governed by BIRC5, a molecular inhibitor of cell
apoptosis that is frequently overexpressed in malignant cells. BIRC5 and its
upstream regulator OX40 were upregulated in productively and latently infected
CD4+ T cells and were functionally involved in maintaining their viability.
Moreover, OX40-expressing CD4+ T cells from ART-treated patients were enriched
for clonally expanded HIV-1 sequences, and pharmacological inhibition of BIRC5
resulted in a selective decrease of HIV-1-infected cells in vitro. Together,
these findings suggest that BIRC5 supports long-term survival of HIV-1-infected
cells and may lead to clinical strategies to reduce persisting viral reservoirs.
PMID- 29802022
TI - Effects of Lycra suits in children with cerebral palsy.
AB - Lycra garments have recently been used for children with cerebral palsy (CP),
with favorable effects on alignment, biomechanics and neuromuscular activity. The
aim of the present study is to determine the efficacy of a Lycra suit in
improving motor function and static balance in children with CP. Five children
with CP wore the Lycra suit for more than 4 h per day for 6 months. They were all
assessed at baseline and 6 months after with an evaluation of static balance,
using a "seated stabilometry exam", and a motor function assessment, using the
Gross Motor Function Measure and Gross Motor function Classification System. The
assessment of static balance was performed with and without the suit. Another 5
children with CP performed the same assessments and were used as a control group.
An immediate improvement of static balance was observed at baseline, with the
first use of the Lycra suit. Further improvement was observed at the 6 month
follow up, with a statistical significance for the parameters assessing the
antero-posterior axis. Both parents and children also reported functional
benefits. Further studies are needed on long-term functional effects in a large
cohort of children.
PMID- 29802021
TI - Sex differences in the outcome after percutaneous coronary intervention - A
propensity matching analysis.
AB - BACKGROUND: Whether there are sex differences in the outcome of patients with
coronary artery disease (CAD) undergoing percutaneous coronary intervention (PCI)
remains controversial. We undertook this study to assess whether there are sex
related differences in the long-term mortality in a large series of patients with
CAD after PCI. METHODS: The study included 18,334 patients (4735 women and 13,599
men) with CAD treated with PCI. Propensity matching was performed to obtain a
group of patients (3000 women and 3000 men) matched for all characteristics
available in database. The primary outcome was a composite of cardiac mortality,
myocardial infarction or stroke at 3 years of follow-up. RESULTS: The primary
outcome occurred in 660 women and 1440 men (Kaplan-Meier [KM] estimates, 15.2% in
women and 11.6% in men, unadjusted hazard ratio [HR] = 1.35, 95% confidence
interval [CI] 1.24 to 1.49; P < 0.001). Women were at higher risk of all-cause
mortality (15.4% vs. 12.3%; P < 0.001), cardiac mortality (10.2% vs. 7.6%; P <
0.001) and stroke (2.6% vs. 1.4%; P < 0.001) than men. In matched patients, the
primary outcome occurred in 371 women and 322 men (KM estimates, 13.4% vs. 11.6%,
HR = 1.18 [1.01-1.36], P = 0.033). Women were at higher risk of myocardial
infarction (4.2% vs. 3.1%; P = 0.044) but not cardiac (8.7% vs. 8.2%; P = 0.306)
or all-cause death (12.5% vs. 12.9%; P = 0.991) or stroke (1.9% vs. 1.6%; P =
0.550) than men. CONCLUSIONS: After propensity matching, women remained at a
higher risk of a composite of cardiac mortality, myocardial infarction or stroke
up to 3 years after PCI than men.
PMID- 29802023
TI - Neuropsychological profile in Italian children with neurofibromatosis type 1
(NF1) and their relationships with neuroradiological data: Preliminary results.
AB - BACKGROUND: Neurofibromatosis type 1 is a genetic disorder associated with
cognitive deficits, learning disabilities and behavioral problems. These domains
appear to have a still controversial debated association with local areas of T2
hyperintensities on MRI images, called unidentified bright objects (UBOs).
METHODS: A cohort of 36 children (aged 7-11 years) included consecutively,
underwent neuropsychological and behavioral assessment to determine their
cognitive and neuropsychological profile, and the frequency of specific learning
disabilities. MRI examination was used to determine the impact of UBOs' presence,
number, and location on the cognitive, neuropsychological and behavioral profile,
and also the presence of optic glioma. RESULTS: The mean full intelligence
quotient was 104.6; only one child had mild intellectual disability. Forty one
percent of children had a diagnosis of specific learning disabilities and reading
was mainly involved. Twenty per cent had attention problems. All children had
normal scores in visuo-motor and visuo-perceptual tests. UBOs were present in
94.0% of the MRI examinations. Two children had optic glioma. Children with UBOs
in a specific location and children with UBOs elsewhere were statistically
compared, no one of the location seemed to have an impact on general cognition
measured with full intelligence quotient. The thalamus was associated with
problems in calculation and striatum with behavioral problems. An inverse
relationship between the number of UBOs and the full intelligence quotient was
present, but without a statistical significance. CONCLUSIONS: In this study, the
specific location of UBOs did not seem to influence the general cognitive profile
and also the relationship between their number and the full intelligence quotient
was not significant; these results are still controversial in literature.
Finally, the presence of UBOs in the thalamus and striatum may represent a
neuroradiological pattern that influences performances in calculation and
behavior respectively in children with Neurofibromatosis type 1.
PMID- 29802020
TI - Autoimmune Th17 Cells Induced Synovial Stromal and Innate Lymphoid Cell Secretion
of the Cytokine GM-CSF to Initiate and Augment Autoimmune Arthritis.
AB - Despite the importance of Th17 cells in autoimmune diseases, it remains unclear
how they control other inflammatory cells in autoimmune tissue damage. Using a
model of spontaneous autoimmune arthritis, we showed that arthritogenic Th17
cells stimulated fibroblast-like synoviocytes via interleukin-17 (IL-17) to
secrete the cytokine GM-CSF and also expanded synovial-resident innate lymphoid
cells (ILCs) in inflamed joints. Activated synovial ILCs, which expressed CD25,
IL-33Ra, and TLR9, produced abundant GM-CSF upon stimulation by IL-2, IL-33, or
CpG DNA. Loss of GM-CSF production by either ILCs or radio-resistant stromal
cells prevented Th17 cell-mediated arthritis. GM-CSF production by Th17 cells
augmented chronic inflammation but was dispensable for the initiation of
arthritis. We showed that GM-CSF-producing ILCs were present in inflamed joints
of rheumatoid arthritis patients. Thus, a cellular cascade of autoimmune Th17
cells, ILCs, and stromal cells, via IL-17 and GM-CSF, mediates chronic joint
inflammation and can be a target for therapeutic intervention.
PMID- 29802024
TI - Letter to the editor about "Diet and primary prevention of stroke: Systematic
review and dietary recommendations by the ad hoc Working Group of the Italian
Society of Human Nutrition".
PMID- 29802025
TI - Corrigendum.
PMID- 29802026
TI - Physical Stress, Consumer Control, and New Theory in Ecology.
AB - Consumer-prey interactions form the foundation of food webs and are affected by
the physical environment. Multiple foundational theories in ecology [e.g., the
environmental stress model (ESM), the stress-gradient hypothesis (SGH), and
ecosystem resilience theory] assume increased physical stress dampens top-down
control of prey. In the large majority of empirical studies, however, physical
stress either does not affect or amplifies consumer control. Additive and
synergistic impacts of physical stress on consumer control appear more common,
for example, for herbivory versus predation, and for warm- versus cold-blooded
consumers. Predictability in how physical stress affects consumer control,
however, remains largely unknown. We expand classical theories in ecology so that
their assumption about physical stress-consumer control relationships can be
inclusive of what primarily occurs in nature.
PMID- 29802027
TI - Accommodative insufficiency in a student population in Iran.
AB - PURPOSE: To determine the prevalence of accommodative insufficiency (AI) and its
relation with age, gender, and refractive errors in a college-age student
population in Iran. METHODS: The present study was conducted cross-sectionally in
2017. All students had optometric tests including measurement of visual acuity,
objective and subjective refraction, as well as binocular vision and
accommodative examinations. Amplitude of accommodation was measured with the
Donders' push-up method using the Royal Air Force (RAF) rule. Monocular
accommodative facility was measured with +/-2.00diopter flipper lenses. The
accommodative response was tested using dynamic retinoscopy with the monocular
estimation method (MEM). RESULTS: The prevalence of AI in the studied population
was 4.07% (95% CI: 2.61-5.52). The rate was 6.04% (95% CI: 3.58-8.50) in females
and 2.01% (95% CI: 0.53-3.48) in males, and logistic regression showed a
significantly higher odds of AI in females (OR=3.14, 95% CI: 1.33-7.45, p
value=0.009). The prevalence of AI was 2.59% (95% CI: 0.55-7.56) in the 18-19
year-old age group and 4.08% (95% CI: 0.09-8.07) in the 24-25-year-old group (p
value=0.848). The prevalence of AI among emmetropic, myopic, and hyperopic
individuals was 3.74% (95% CI: 1.88-5.61), 4.44% (95% CI: 2.07-6.81), and 5.26%
(95% CI: 4.79-16.32), respectively (p-value=0.869). In the multiple regression
model, only gender showed significant relationship with AI (Odds ratio=3.14, 95%
CI: 1.33-7.45; p-values=0.009). CONCLUSION: The prevalence of AI in the present
study is lower than the most prevalence rates reported in previous studies. In
the present study, gender and AI showed a strong association, such that AI
prevalence was significantly higher in females than males.
PMID- 29802028
TI - Outcomes of surgical resection for primary duodenal adenocarcinoma: A systematic
review.
AB - Primary duodenal adenocarcinoma (PDAC) is a rare malignancy. The aim of this
study was to evaluate the published evidence for resection with curative intent
in patients with PDAC. A literature search was conducted in PubMed and EMBASE
databases for eligible studies that reported 5-year overall survival (OS) after
surgical resection of PDAC from January 1990 to January 2018. Independent
prognostic factors related to OS were evaluated using meta-analytical techniques.
Odds ratio (OR) and hazard ratio (HR) with their 95% confidence interval (CI)
were calculated as appropriate. Thirty-seven observational studies comprising a
total of 1728 patients who underwent resection for PDAC were reviewed. The
overall 30-day postoperative mortality was 3.2% (range, 0-16.0%) and the median 5
year OS was 46.4% (range, 16.6-71.1%). Surgical resection significantly improved
the prognosis as compared with the palliative therapy (OR 15.76, P < 0.001).
Lymph node metastasis (HR 2.58, P < 0.001), poor tumor differentiation (HR 1.43,
P = 0.05), perineural invasion (HR 2.21, P = 0.002), and lymphovascular invasion
(HR 2.18, 95% CI 1.18-4.03; P = 0.01) were found to be independently associated
with decreased OS after surgical resection. The present study provides evidence
that surgical resection can be performed safely for PDAC patients and offers a
favorable long-term outcome. Tumor-specific factors have prognostic significance.
PMID- 29802029
TI - Definitive chemoradiation therapy or surgery for clinical T1-3N0-1M0 thoracic
esophageal squamous cell carcinoma: A propensity score matching analysis.
AB - BACKGROUND: To compare overall survival in patients with clinical T1-3N0-1
thoracic esophageal squamous cell carcinoma treated with surgery or definitive
chemoradiation therapy (CRT). METHODS: We used propensity-score matching to
derive 1:1 cohorts of surgery versus definitive CRT. Statistical analysis was
performed using chi2 or Fisher's exact tests. Survival functions were estimated
using Kaplan-Meier survival plots, and survival distributions were compared using
log-rank tests. Cox proportional hazards modeling was used to analyze the factors
affecting overall survival. RESULTS: A total of 334 patients treated with surgery
and 252 treated with definitive CRT were included. 129 (38.6%) of 334 patients
had recurrence after surgery versus 118 (46.8%) of 252 after definitive CRT.
Before matching, the median overall survival were 39.5 months (95% CI, 28.8-50.2)
and 23.5 months (95% CI, 18.5-28.5) (P < 0.001) in the surgery and definitive CRT
group, respectively. After matching (112 patients in each treatment group),
median overall survival was 43.6 months (95% CI, 28.1-59.1) with surgery versus
19.3 months (95% CI, 14.4-24.2) with CRT (P < 0.001). CONCLUSIONS: In this
retrospective analysis, surgery was associated with better overall survival
compared with definitive CRT.
PMID- 29802030
TI - Estimation of lifetime risks of Alzheimer's disease dementia using biomarkers for
preclinical disease.
AB - INTRODUCTION: Lifetime risks are the probabilities of progressing to Alzheimer's
disease (AD) dementia during one's lifespan. Here, we report the first estimates
of the lifetime and ten-year risks of AD dementia based on age, gender, and
biomarker tests for preclinical disease. METHODS: We used a multistate model for
the disease process together with US death rates. RESULTS: Lifetime risks of AD
dementia vary considerably by age, gender, and the preclinical or clinical
disease state of the individual. For example, the lifetime risks for a female
with only amyloidosis are 8.4% for a 90-year old and 29.3% for a 65-year old.
Persons younger than 85 years with mild cognitive impairment, amyloidosis, and
neurodegeneration have lifetime risks of AD dementia greater than 50%.
DISCUSSION: Most persons with preclinical AD will not develop AD dementia during
their lifetimes. Lifetime risks help interpret the clinical significance of
biomarker screening tests for AD.
PMID- 29802031
TI - Arthroscopic resection of an osteochondral loose body in the distal radioulnar
joint: A case report.
AB - We report a 34-year-old man who presented with ulnar wrist pain, painful click,
and locking during forearm rotation following a motorcycle accident. Plain
radiographs showed a loose body in the distal radioulnar joint (DRUJ), deformity
of the sigmoid notch and ulnar head, and ulnar minus variant. The DRUJ deformity
was assumed to be associated with physeal injury of the distal ulna during
childhood. Conservative treatment with a splint and oral analgesics for 3 months
failed. During DRUJ arthroscopy, osteoarthritic changes were found, and the loose
body was resected using DRUJ arthroscopy. Histological examination showed an
osteochondral loose body. The patient remained asymptomatic without recurrence or
DRUJ instability 2 years postoperatively.
PMID- 29802033
TI - Machine learning for predicting death and heart attacks from CCTA.
PMID- 29802035
TI - A DNA Nanorobot Uprises against Cancer.
AB - DNA-based nanorobots have been shown to sense and respond to molecular triggers,
such as intracellular pH and cell surface receptors. A recent report describes
DNA nanorobots as potential cancer therapeutic agents that can be programmed to
trigger coagulation inside blood vessels at the tumor site, starving tumor cells
to death.
PMID- 29802034
TI - Isometric muscle strength in children and adolescents using Handheld dynamometry:
reliability and normative data for the Brazilian population.
AB - OBJECTIVE: Isometric muscle strength measured by Handheld dynamometer indicates
physical ability. There is no normative data for the Brazilian population. This
study aims (a) to describe the development of isometric muscle strength in
healthy children and adolescents 5-15 years of age; (b) to evaluate Handheld
dynamometer inter and intra-rater reliability. METHODS: Isometric muscle strength
was obtained for shoulder abduction, elbow and knee flexion and extension,
dorsiflexion and plantar flexion in 55 boys and 55 girls, aged between 5 and 15
years. Inter-rater reliability was determined based on the evaluation of 2
raters, with a 20-min interval between them. Intra-rater reliability was based on
2 evaluations from the same rater, one week apart. Interclass correlation
coefficient (ICC2,1; 3,1), Bland Altman plots and linear regression models with
mixed effects were used to quantify inter and intra-rater reliability, agreement
and associations with physical activity level and maturational factors. RESULTS:
A linear development of isometric muscular strength was observed for ages between
5 and 10. After age 10, boys showed a larger isometric muscular strength, when
compared to girls. Both inter and intra-rater measurements of the Handheld
dynamometer are reliable (ICC>0.63). CONCLUSIONS: This study shows increase in
isometric muscle strength starting at 10 years of age for boys, when compared to
girls and inter and intra-rater reliability for the assessment of isometric
strength, using the Handheld dynamometer for the muscle groups tested on the
dominant and non-dominant side, for children between 5 and 15 years of age.
PMID- 29802032
TI - Impact of Non-obstructive left main disease on the progression of coronary artery
disease: A PARADIGM substudy.
AB - BACKGROUND: The aim of the study is examine the impact of non-obstructive
(<50%stenosis) left main (LM) disease on the natural history of coronary artery
disease using serial coronary computed tomography angiography (CTA). METHODS:
CTAs from the PARADIGM (Progression of atherosclerotic plaque determined by
computed tomographic angiography imaging) study, a prospective multinational
registry of patients who underwent serial CTA at a >=2 year interval were
analyzed. Those without evidence of CAD on their baseline scan were excluded, as
were those with obstructive left main disease. Coronary artery vessels and their
branches underwent quantification of: plaque volume and composition; diameter
stenosis; presence of high-risk plaque. RESULTS: Of 944 (62 +/- 9 years, 60%
male) who had evidence of CAD at baseline, 444 (47%) had LM disease. Those with
LM disease had a higher baseline plaque volume (194.8 +/- 221mm3 versus 72.9 +/-
84.3mm3, p < 0.001) and a higher prevalence of high-risk plaque (17.5% versus
13%, p < 0.001) than those without LM disease. On multivariable general linear
model, patients with LM disease had greater annual rates of progression of total
(26.5 +/- 31.4mm3/yr versus 14.9 +/- 20.1mm3/yr, p < 0.001) and calcified plaque
volume (17 +/- 24mm3/yr versus 7 +/- 11mm3/yr, p < 0.001), with no difference in
fibrous, fibrofatty or necrotic core plaque components. CONCLUSION: The presence
of non-obstructive LM disease is associated with greater rates of plaque
progression and a higher prevalence of high-risk plaque throughout the entire
coronary artery tree compared to CAD without LM involvement. Our data suggests
that non-obstructive LM disease may be a marker for an aggressive phenotype of
CAD that may benefit from more intensive treatment strategies.
PMID- 29802037
TI - Predictors of Breast Cancer Screening Among Korean American Women: Is Having an
Annual Checkup Critical?
AB - Objective: Korean American (KA) immigrant women underutilize breast cancer
screening. This study examinedfactors predicting breast cancer screening behavior
among KA women in the United States. Methods: 233 KA womenaged 19 to 85 were
recruited in the metro area of Atlanta, Georgia. Andersen's behavioral model
theoretically guidedthe study. Result: Of the predisposing factors, age
(OR=1.043, p<.01, 95% CI [1.017, 1.069]) and marital status(OR=2.154, p<.05, 95%
CI [1.022, 4.539]) were significantly associated with clinical breast exam (CBE),
while income(OR=2.289, p<.05, 95% CI [1.060, 4.945]) and annual checkup
(OR=2.725, p<.01, 95% CI [1.342, 5.533]) werelinked to CBE as enabling factors.
For the receipt of mammographic screening, annual checkup was only
significantlyassociated among enabling factors (OR=4.509, p<.05, 95% CI [1.263,
16.102]), while family cancer history wasidentified as the only significant need
factor (OR=.112, p<.01, 95% CI [.023, .552]). Conclusion: Differing
factorsexplained the receipt of CBEs and mammographic use among KA women.
Specifically, the findings shed light on theimportance of having an annual
checkup for the uptake of both CBEs and mammographic use. Intervention
strategiesshould focus on promoting health accessibility for KA women to adhere
to recommended breast cancer screening.
PMID- 29802038
TI - Differences in corneo-scleral topographic profile between healthy and keratoconus
corneas.
AB - PURPOSE: To evaluate the differences in corneo-scleral topographic profile
between healthy and keratoconus eyes, and their potential diagnostic ability for
keratoconus detection. METHODS: Prospective comparative study including 21
keratoconic eyes (11 patients) and 88 healthy eyes (88 patients). In all cases, a
complete eye exam was performed including an evaluation of the corneo-scleral
profile. The diagnostic ability of corneo-scleral topographic parameters to
detect keratoconus was evaluated using the receiver operating characteristic
(ROC) curve. RESULTS: A significant lower inferior tangent angle at limbus (ITA)
was found in the keratoconic group compared to the control group (p = 0.024).
Regarding sagittal heights, significant differences between groups were found in
temporal sagittal height (TSH) for 11 mm (p = 0.040), 12 mm (p = 0.041) and 13 mm
corneal chords (p = 0.040), difference between temporal and nasal sagittal
heights (T-NSH) for 12 mm (p = 0.025) and 13 mm (p = 0.034), and maximum sagittal
height (MaxSH) for 12 mm (p = 0.043), with higher values in keratoconus. In
bilateral cases, these differences were not found when comparing with the least
severe keratoconus eye. Statistical significance for the ROC curve was only found
for ITA (p = 0.025), 12-mm (p = 0.048) and 13-mm TSH (p = 0.042), and 13-mm T-NSH
(p = 0.037), with cutoff values associated to limited values of sensitivity and
specificity. CONCLUSIONS: The corneo-scleral profile in keratoconus presents
higher levels of asymmetry compared to healthy eyes, especially in eyes with
moderate and advanced stages of the disease. The diagnostic accuracy of corneo
scleral topographic data alone for keratoconus detection is limited and must be
used in conjunction with other clinical parameters.
PMID- 29802036
TI - Building Blood Vessels with Vascular Progenitor Cells.
AB - Vascular progenitor cells have been identified from perivascular cell fractions
and peripheral blood and bone marrow mononuclear fractions. These vascular
progenitors share the ability to generate some of the vascular lineages,
including endothelial cells, smooth muscle cells, and pericytes. The potential
therapeutic uses for vascular progenitor cells are broad and relate to stroke,
ischemic disease, and to the engineering of whole organs and tissues that require
a vascular component. This review summarizes the best-characterized sources of
vascular progenitor cells and discusses advances in 3D printing and
electrospinning using blended polymers for the creation of biomimetic vascular
grafts. These advances are pushing the field of regenerative medicine closer to
the creation of small-diameter vascular grafts with long-term clinical utility.
PMID- 29802039
TI - Efficacy, acceptability, and tolerability of antipsychotics in children and
adolescents with schizophrenia: A network meta-analysis.
AB - Children and adolescents with schizophrenia are a particularly vulnerable group.
Thus, we integrated all the randomized evidence from the available antipsychotics
used for this subgroup by performing a network-meta-analysis and pairwise meta
analysis using a random-effects model. We searched multiple databases up to Nov
17, 2016 (final update search in PubMed: Dec 12, 2017). The primary outcome was
efficacy as measured by overall change/endpoint in symptoms of schizophrenia.
Secondary outcomes included positive and negative symptoms, response, dropouts,
quality of life, social functioning, weight gain, sedation, prolactin,
extrapyramidal side effects (EPS) and antiparkinsonian medication. Twenty-eight
randomized controlled trials (RCTs) with 3003 unique participants (58% males;
mean age 14.41 years) published from 1967 to 2017 were identified. Clozapine was
significantly more effective than all other analyzed antipsychotics. Nearly all
antipsychotics were more efficacious compared to placebo, but ziprasidone showed
no efficacy. In terms of preventing weight gain, molindone, lurasidone and
ziprasidone were benign. The highest weight gain was found for clozapine,
quetiapine and olanzapine. Most antipsychotics had some sedating effects.
Risperidone, haloperidol, paliperidone and olanzapine were associated with
prolactin increase. There were evidence gaps for some drugs and many outcomes,
especially safety outcomes. Most of the comparisons are based only on one study
or just on indirect evidence. Nevertheless, the available direct and indirect
evidence showed that the treatment effects were similar compared to findings in
adult patients with schizophrenia.
PMID- 29802040
TI - Biomarkers in cerebrospinal fluid of patients with bipolar disorder versus
healthy individuals: A systematic review.
AB - BACKGROUND: The pathophysiological processes of bipolar disorder (BD) may be
detectable by the use of cerebrospinal fluid (CSF) biomarkers. AIM: We aimed for
the first time to review studies of CSF biomarkers in patients with BD compared
to healthy control individuals (HC). We investigated the effect of diagnosis,
age, gender, clinical state, medication, technical characteristics of tests,
fasting state and, cognitive function if applicable. METHOD: We did a systematic
review according to the PRISMA Statement based on comprehensive database searches
for studies on cerebrospinal biomarkers in patients with bipolar disorder versus
HC. Risk of bias was systematically assessed. RESULTS: The search strategy
identified 410 studies of which thirty-four fulfilled the inclusion criteria. A
total of 117 unique biomarkers were investigated, out of which 11 were evaluated
in more than one study. Forty biomarkers showed statistically significant
differences between BD and HC in single studies. Only the findings of elevated
homovanillic acid and 5-hydroxy-indoleacetic acid were replicated across studies.
Most studies had a cross sectional design and were influenced by risk of bias
mainly due to small sample size, lack of data on mood state at the time of the
CSF puncture and not considering potential confounders including age, gender,
diagnoses, BMI, life style factors such as smoking, and psychotropic medication.
CONCLUSION: Specific monoamine CSF biomarkers may be related to the
pathophysiology of BD. Future studies must aim at increasing the level of
evidence by validating the positive findings in prospective studies with
stringent methodology.
PMID- 29802042
TI - Prognostic value of the Society for Vascular Surgery Wound, Ischemia, and foot
Infection (WIfI) classification in patients with no-option chronic limb
threatening ischemia.
AB - OBJECTIVE: The Wound, Ischemia, and foot Infection (WIfI) classification was
developed to assess amputation risk and hence to aid in clinical decision-making
in patients with chronic limb-threatening ischemia (CLTI). WIfI has been
validated in multiple CLTI cohorts worldwide. In this study, we examined the
relationship between WIfI stage and clinical outcomes in a well-defined
subpopulation of CLTI patients considered not eligible for conventional
revascularization. The aim of this study was to assess the prognostic value of
the WIfI classification for clinical outcomes in a "no-option" CLTI population.
METHODS: The Rejuvenating Endothelial Progenitor Cells via Transcutaneous Intra
arterial Supplementation (JUVENTAS) trial was a single-center, double-blinded,
randomized placebo-controlled trial studying the effects of autologous bone
marrow mononuclear cells in no-option CLTI patients (N = 160). We conducted a
retrospective analysis incorporating baseline and follow-up data from the
JUVENTAS trial. All wounds were photographed and described at the start and end
of the trial to allow WIfI staging. Two independent researchers retrospectively
classified all limbs according to the WIfI scheme, which was then related to
prospectively collected trial data. Outcomes including wound healing, clinical
improvement, minor and major amputation rate, amputation-free survival, and
mortality were assessed using Kaplan-Meier analyses. RESULTS: Of the 160
patients, 150 could be included in this study. Most patients had been classified
as Rutherford stage 4 (34%) and stage 5 (61%), with corresponding WIfI stage 2
(33%), stage 3 (21%), or stage 4 (35%). Diabetes, impaired renal function, and
ankle-brachial index were independently associated with WIfI stage. On univariate
analysis, WIfI stage was strongly associated with wound healing (P = .001),
improvement of Rutherford stage (P = .009), amputation rate (P < .001), and long
term mortality (median follow-up, 21.1 months; P = .025). Of note, WIfI stage 2
patients had a worse 6-month major amputation rate compared with stage 3. Of the
seven amputated stage 2 patients, six were in WIfI category W0-I3-fI0 and scored
a maximum grade 3 on ischemia. Reclassification of ischemic rest pain (W0-I3-fI0)
to stage 3 improved and reordered the discrimination of outcomes by WIfI stage in
this cohort. CONCLUSIONS: This is the first study to demonstrate that WIfI
classification is associated with important clinical outcomes in a no-option CLTI
population. Our data suggest that limb prognosis is poor in patients with classic
ischemic rest pain, without wounds or infection (W0-I3-fI0), when they lack
revascularization options. Further studies are needed to determine whether
reassignment of this population from WIfI stage 2 to WIfI stage 3 may be
appropriate to reflect amputation risk in the absence of successful
revascularization for patients suffering from ischemic rest pain in general.
PMID- 29802044
TI - Precautions in prescribing serotonin reuptake inhibitors.
PMID- 29802041
TI - Anatomic and clinical characterization of the narrow distal aorta and
implications after endovascular aneurysm repair.
AB - OBJECTIVE: The purpose of this analysis was to compare 1-year clinical outcomes
after endovascular repair of abdominal aortic aneurysms with the EXCLUDER device
in patients with standard and narrow aortic bifurcations (AOBs). METHODS: Data
were prospectively collected from a 1055-participant subset of the multicenter
Global Registry for Endovascular Aortic Treatment (GREAT) treated for abdominal
aortic aneurysm repair between August 2010 and September 2015. There were 117
patients with a narrow AOB (NB; defined as <16 mm) and 938 patients with a
standard bifurcation (SB). The 30-day and 1-year morbidity, mortality, and
reintervention outcomes were analyzed, with Kaplan-Meier survival curve analysis
conducted on freedom from mortality and freedom from reintervention. RESULTS: The
mean distal aortic neck diameter was 12.4 mm in the NB cohort and 25.3 mm in the
SB cohort (P < .001), with NB patients also exhibiting significantly smaller
diameter proximal aortic necks (P < .001). Patients in the NB cohort were more
often female (25.6% vs 15.1%; P = .004) and with more severe comorbidity burden.
There was a significantly higher rate of surgical cutdown access in the NB cohort
(P < .001). Procedural survival was 100% in both groups. The 30-day mortality and
safety outcomes were similar; however, all-cause mortality was significantly
higher in the SB cohort through 1 year (P = .02). The 1-year freedom from
mortality was estimated as 92.1% in the SB cohort and 99.1% in the NB cohort.
Freedom from reintervention was estimated as 95.1% in the SB cohort and 92.8% in
the NB cohort at 1 year. Through 1-year follow-up, 24 SB patients (2.6%) and 4 NB
patients (3.4%) exhibited an endoleak requiring reintervention (P > .99). Type II
endoleaks represented 72% and 60% of treated endoleaks, respectively. Through 1
year, 10 SB patients (1.0%) and 2 NB patients (1.7%) exhibited
occlusive/thrombotic events (P = .54). There were no reported instances of
kinking, migration, fracture, compression, or dissection through 1 year in either
cohort. One SB patient experienced thoracic aortic aneurysm rupture. CONCLUSIONS:
The 1-year outcomes after endovascular aneurysm repair with the EXCLUDER device
were comparable in the NB and SB cohorts. A narrow AOB was not found to be
associated with a higher incidence of later limb occlusions or endoleaks. Female
patients were disproportionately more likely to have a narrow AOB, which
correlated with narrowed proximal necks and access vessels, and a more severe
comorbidity burden.
PMID- 29802043
TI - Comparative study of clinical outcome of endovascular aortic aneurysms repair in
large diameter aortic necks (>31 mm) versus smaller necks.
AB - BACKGROUND: This study compares short-term (30 days) and intermediate term (3
years) clinical outcomes in patients with large (>=31 mm) versus small aortic
neck diameters (<=28 and <=31 mm). METHODS: Prospectively collected data from 741
patients who underwent endovascular aortic aneurysm repair were analyzed. Some
surgeons have reported the threshold for a large aortic neck for endovascular
aortic aneurysm repair to be 28 mm, whereas for others it is 31 mm. Therefore, we
classified aortic neck diameter into less than or equal to 28 versus greater than
28 mm; and less than or equal to 31 versus greater than 31 mm. Logistic
regression and Kaplan-Meier analyses were used to compare outcomes. RESULTS:
There were 688 patients who had a defined aortic neck diameter: 592 with less
than or equal to 28 mm, 96 with greater than 28 mm, 655 with less than or equal
to 31 mm, and 33 with greater than 31 mm. The mean follow-up was 25.2 months for
less than or equal to 31 mm versus 31.8 months for greater than 31 mm. Clinical
characteristics were similar in all groups, except that there were more patients
outside the instructions for use in the greater than 31 mm versus less than or
equal to 31 mm group (94% vs 44%; P < .0001). There was a significant increase in
early type I endoleak for patients with an aortic neck diameter of greater than
31 versus less than or equal to 31 mm (9 [27%] vs 74 [11%]; P = .01); late type I
endoleaks (4 [14%] vs 18 [3%]; P = .01); sac expansion (5 [17%] vs 28 [5%]; P =
.01); late intervention (5 [17%] vs 23 [4%]; P = .01); and death (9 [31%] vs 48
[8%]; P < .0001). There were no differences in outcomes between the patients with
greater than 28 mm aortic neck diameters and the less than or equal to 28 mm
diameters. Freedom from late type I endoleak at 1, 2, and 3 years were 96%, 88%,
and 88% for patients with a neck diameter of greater than 31 mm versus 97%, 97%,
and 97% for a diameter less than or equal to 31 mm (P = .19). The rate of freedom
from sac expansion for patients with a diameter greater than 31 mm was 88%, 81%,
and 81% at 1, 2, and 3 years versus 99%, 97%, and 92% for a diameter less than or
equal to 31 mm (P = .02). Freedom from late intervention for 1, 2, and 3 years
for patients with a diameter greater than 31 mm were 91%, 91%, and 91% versus
99%, 97%, and 96% for those with a diameter less than or equal to 31 mm. Survival
rates at 1, 2, and 3 years for a diameter greater than 31 mm were 83%, 74%, and
68% versus 96%, 92%, and 90% for a diameter less than or equal to 31 mm (P <
.001). Multivariate logistic regression analysis showed that patients with a
diameter greater than 31 mm had an odds ratio of 6.1 (95% confidence interval
[CI], 2.2-16.8) for mortality, 4.7 (95% CI, 1.4-15.5) for sac expansion, and 4.9
(95% CI, 1.4-17.4) for late type I endoleak. CONCLUSIONS: Patients with large
aortic neck diameters (>31 mm) had higher rates of early and late type I
endoleak, sac expansion, late intervention, and mortality.
PMID- 29802045
TI - Rethinking suicide in urban and rural areas. A critical approach to research of
suicide in Castellon.
PMID- 29802046
TI - Pediatric Nurses' Knowledge, Values, and Implementation of Evidence-based
Practice and Use of Two Patient Safety Goals.
AB - PURPOSE: To describe knowledge, values, and implementation of evidence-based
practice (EBP) and use of two National Patient Safety Goals-Central Line
Associated Blood Stream Infections (CLABSI) and Surgical Site Infections (SSI)
between nurses working in Magnet(r) versus non-Magnet(r) designated hospitals.
BACKGROUND: There is a gap in the literature concerning nurses' understanding of
EBP and how EBP guidelines are used in practice and if guidelines are being used
as intended. The Conceptual Model of Nursing and Health Policy was used to guide
the study. METHODS: A descriptive survey methodology using three instruments was
delivered electronically to members of the Society of Pediatric Nurses-the Quick
EBP-VIK, the Use of Evidence-based Practice Questionnaire, and a Background Data
Sheet. RESULTS: Results revealed statistically significant differences between
the Magnet and non-Magnet participants only for the Quick-EBP-VIK value domain;
nurses from Magnet hospitals had a higher value for EBP compared with nurses from
non-Magnet hospitals, nurses from both groups had a moderate amount of knowledge
about EBP, and very few nurses indicated they were implementing EBP. There were
no differences in CLABSI and SSI prevention care for patients in acute care
hospitals in the United States by nurses working in Magnet versus non Magnet
designated hospitals. CONCLUSION: The findings of this study have implications
for practice, education, policy, and research. A disconnect between the use of
policy and implementation of EBP has been identified. Specifically, nurses need
to understand how EBP is embedded in hospital and organizational policy.
PMID- 29802047
TI - Multiple Endocrine Neoplasia in Children and the Importance of Screening: Part 1.
PMID- 29802048
TI - [Congenital duodenal obstruction in an infant].
PMID- 29802049
TI - [Giant breast fibroadenomas in adolescents: Diagnostic and therapeutic
procedures].
PMID- 29802050
TI - Utility of drain fluid amylase measurement on the first postoperative day after
distal pancreatectomy.
AB - BACKGROUND: Early exclusion of a postoperative pancreatic fistula (POPF) may
facilitate earlier drain removal in selected patients after distal
pancreatectomy. The purpose of this study was to evaluate the role of first
postoperative day drain fluid amylase (DFA1) measurement to predict POPF.
METHODS: Patients in whom DFA1 was measured after distal pancreatectomy were
identified from a prospectively maintained database over a five-year period. A
cut-off value of DFA1 was derived using ROC analysis, which yielded sensitivity
and negative predictive value of 100% for excluding POPF. RESULTS: DFA1 was
available in 53 of 138 (38%) patients who underwent distal pancreatectomy. 19 of
53 patients (36%) developed a pancreatic fistula (Grade A - 15, Grade B - 3,
Grade C - 1). Median DFA1 was significantly higher in those who developed a
pancreatic fistula (5473; range 613-28,450) compared those without (802; range 57
2350). p < 0.0001. Using ROC analysis, a DFA1 less than 600 excluded pancreatic
fistula with a sensitivity of 100% (AUROC of 0.91; SE = 0.04, p < 0.001).
CONCLUSION: First postoperative day drain fluid amylase measurement may have a
role in excluding pancreatic fistula after distal pancreatectomy. Such patients
may be suitable for earlier drain removal.
PMID- 29802051
TI - Transurethral Resection of Bladder Tumors: Next-generation Virtual Reality
Training for Surgeons.
AB - BACKGROUND: The number of virtual reality (VR) simulators is increasing. The aim
of this prospective trial was to determine the benefit of VR cystoscopy (UC) and
transurethral bladder tumor resection (TURBT) training in students. DESIGN,
SETTING, AND PARTICIPANTS: Medical students without endoscopic experience (n=51,
median age=25 yr, median 4th academic year) were prospectively randomized into
groups A and B. After an initial VR-UC and VR-TURBT task, group A (n=25)
underwent a video-based tutorial by a skilled expert. Group B (n=26) was trained
using a VR training program (Uro-Trainer). Following the training, every
participant performed a final VR-UC and VR-TURBT task. Performance indicators
were recorded via the simulator. Data was analyzed by Mann-Whitney U test.
INTERVENTION: VR cystoscopy and TURBT. RESULTS AND LIMITATIONS: No baseline and
post-training differences were found for VR-UC between groups. During baseline,
VR-TURBT group A showed higher inspected bladder surface than group B (56% vs
73%, p=0.03). Subgroup analysis detected differences related to sex before
training (male: 31.2% decreased procedure time; 38.1% decreased resectoscope
movement; p=0.02). After training, significant differences in procedure time
(3.9min vs 2.7min, p=0.007), resectoscope movement (857mm vs 529mm, p=0.005), and
accidental bladder injury (n=3.0 vs n=0.88, p=0.003) were found. Male
participants showed reduced blood loss (males: 3.92ml vs females: 10.12ml;
p=0.03) after training. CONCLUSIONS: Measuring endoscopic skills within a virtual
environment can be done easily. Short training improved efficacy and safety of VR
TURBT. Nevertheless, transfer of improved VR performance into real world surgery
needs further clarification. PATIENT SUMMARY: We investigated how students
without endoscopic experience profit from simulation-based training. The safe
environment and repeated simulations can improve the surgical training. It may be
possible to enhance patient's safety and the training of surgeons in long term.
PMID- 29802052
TI - Validation of the Social Security Administration Life Tables (2004-2014) in
Localized Prostate Cancer Patients within the Surveillance, Epidemiology, and End
Results database.
AB - BACKROUND: Accurate life expectancy estimation is crucial in clinical decision
making including management and treatment of clinically localized prostate cancer
(PCa). OBJECTIVE: We hypothesized that Social Security Administration (SSA) life
tables' derived survival estimates closely follow observed survival of PCa
patients. To test this relationship, we examined 10-yr overall survival rates in
patients with clinically localized PCa and compared it with survival estimates
derived from the SSA life tables. PATIENTS AND METHODS: Within the Surveillance,
Epidemiology, and End Results database (2004), we identified patients aged >50
<90yr. Follow-up was at least 10 yr for patients who did not die of disease or
other causes. OUTCOME MEASUREMENTS AND STATISTICAL ANALYSIS: Monte Carlo method
was used to define individual survival in years, according to the SSA life tables
(2004-2014). Subsequently, SSA life tables' predicted survival was compared with
observed survival rates in Kaplan-Meier analyses. Subgroup analyses were
stratified according to treatment type and D'Amico risk classification. RESULTS
AND LIMITATIONS: Overall, 39191 patients with localized PCa were identified. At
10-yr follow-up, the SSA life tables' predicted survival was 69.5% versus 73.1%
according to the observed rate (p<0.0001). The largest differences between
estimated versus observed survival rates were recorded for D'Amico low-risk PCa
(8.0%), brachytherapy (9.1%), and radical prostatectomy (8.6%) patients.
Conversely, the smallest differences were recorded for external beam radiotherapy
(1.7%) and unknown treatment type (1.6%) patients. CONCLUSIONS: Overall, SSA life
tables' predicted life expectancy closely approximate observed overall survival
rates. However, SSA life tables' predicted rates underestimate by as much as 9.1%
the survival in brachytherapy patients, as well as in D'Amico low-risk and
radical prostatectomy patients. In these patient categories, an adjustment for
the degree of underestimation might be required when counseling is provided in
clinical practice. PATIENT SUMMARY: Social Security Administration (SSA) life
tables' predicted life expectancy closely approximate observed overall survival
rates. However, SSA life tables' predicted rates underestimate by as much as 9.1%
the survival in brachytherapy patients, as well as in D'Amico low-risk and
radical prostatectomy patients.
PMID- 29802053
TI - Predicting Benign Prostate Pathology on Magnetic Resonance Imaging/Ultrasound
Fusion Biopsy in Men with a Prior Negative 12-core Systematic Biopsy: External
Validation of a Prognostic Nomogram.
AB - BACKGROUND: Magnetic resonance imaging (MRI) of the prostate after a prior
negative biopsy may reduce the need for unnecessary repeat biopsies. OBJECTIVE:
To externally validate a previously developed nomogram predicting benign prostate
pathology on MRI/ultrasound (US) fusion-targeted biopsy in men with a Prostate
Imaging Reporting and Data System (PI-RADS) 3-5 region of interest and a prior
negative 12-core systematic biopsy, and update this nomogram to improve its
performance. DESIGN, SETTING, AND PARTICIPANTS: A total of 2063 men underwent
MRI/US fusion-targeted biopsy from April 2012 to September 2017; 104 men with a
negative systematic biopsy followed by MRI-US fusion-targeted biopsy of a PI-RADS
3-5 region of interest (58%) met the study inclusion criteria. OUTCOME
MEASUREMENTS AND STATISTICAL ANALYSIS: An MRI-based nomogram that had previously
been developed in a multi-institutional clinical setting was externally
validated. Predictive characteristics were age, prostate volume, MRI PI-RADS
score, and prostate-specific antigen (PSA). Bayesian logistic regression was used
to update the previous model. RESULTS AND LIMITATIONS: Median age of the external
validation cohort was 68 yr, PSA was 7.2ng/ml, and biopsy confirmed benign
pathology in 30% (n=31), suggesting a lower baseline risk compared with the
nomogram development cohort. Receiver operating characteristic curve analysis
showed areas under curve (AUCs) from 0.77 to 0.80 for nomogram validation. An
updated model was constructed with improved calibration and similar
discrimination (AUC 0.79). CONCLUSIONS: Age, prostate volume, PI-RADS, and PSA
predict benign pathology on MRI/US fusion-targeted biopsy in men with a prior
negative 12-core systematic biopsy. The validated and updated nomogram
demonstrated high diagnostic accuracy and may further aid in the decision to
avoid a biopsy in men with a prior negative biopsy. PATIENT SUMMARY: We
externally validated a clinically useful tool that predicts benign prostate
pathology on magnetic resonance imaging/ultrasound fusion-targeted biopsy in men
with a prior negative 12-core systematic biopsy and updated this predictive tool
to improve its performance in patient counseling regarding the need for a repeat
biopsy.
PMID- 29802055
TI - Accuracy of CAD/CAM mandibular reconstruction: A three-dimensional, fully virtual
outcome evaluation method.
AB - PURPOSE: Computer-aided design/computer-aided manufacturing (CAD/CAM) methods for
mandibular reconstruction have improved both functional and morphological
results. We evaluated the accuracy of the CAD/CAM method for mandibular
reconstruction and assessed the quantitative and qualitative reproducibility of
virtual preoperative planning. MATERIALS AND METHODS: A total of 34 consecutive
patients treated with mandibular reconstruction using the CAD/CAM method between
January 2011 and October 2017 were included in this study. The accuracy of the
reconstruction was assessed using the automated Hausdorff distance function of
the simulation software, which set the postoperative mesh as the target. This
made it possible to calculate the minimum error, the maximum error, and the mean
error for each reconstruction in exactly the same way and with the same settings
as the difference between the postoperative mesh and virtual planning. Finally,
the coloured quality mapper function was applied to superimposition of the STL
files, allowing us to visually render the obtained data on differences between
preoperative planning and surgical outcome. RESULTS: The average mean error
obtained after performing an accuracy evaluation of our reconstructions was 1 mm
(range 0.4-2.46 mm). Based on the colour map areas, the maximum error was located
in the symphysis area. The body and ramus areas showed the greatest accuracy in
terms of planning reproducibility. CONCLUSION: This is the first study to assess
the three-dimensional reproducibility of virtual planning using the CAD/CAM
method for mandibular reconstruction, in a homogeneous sample of 34 cases. Our
data suggest that CAD/CAM microvascular reconstruction can result in a very high
degree of reproducibility. This occurs in complex areas as well as the condylar
region and in the case of extensive mandibular reconstructions.
PMID- 29802056
TI - Non-syndromic cleft lip and/or cleft palate: Epidemiology and risk factors in
Lubumbashi (DR Congo), a case-control study.
AB - PURPOSE: To determine the incidence and risk factors of occurrence of non
syndromic cleft lip and/or cleft palate (NSCLP) in Lubumbashi. METHOD: A case
control study was conducted in the health district of Lubumbashi from February
2012 to December 2015. An exhaustive sampling, collecting all newborns with cleft
lip and/or cleft palate (CL +/- P) in maternity wards was conducted. From a total
of 172 cases, 162 non-syndromic cases were recruited. For each case, one
clinically normal newborn control was selected. RESULTS: NSCLP had an incidence
of 1/1258 live births (0.8/1000). We found significant associations with a family
history of cleft lip and palate (CLP) (x2family history = 11.5, p = 0.0007),
maternal alcohol intake (OR = 19.3, 95% CI: 1.9-197.1), paternal alcohol during
the periconceptional period and the first trimester of pregnancy (OR = 18.7, 95%
CI: 3.9-89.2), maternal educational level lower than high school (OR = 9.5, 95%
CI: 2.0-44.7), clay (Pemba) consumption during pregnancy (OR = 38.3, 95% CI: 9.3
157.0), the use of insecticides in the evening (OR = 130.3, 95% CI: 13.2-1286.9),
indoor cooking with charcoal (Makala) (OR = 6.5, 95% CI: 1.22-34.5), and regular
consumption of Kapolowe fish, supposedly contaminated with heavy metals (OR =
29.5, 95% CI: 7.4-116.7). CONCLUSION: Several environmental risk factors highly
prevalent in Central Africa for facial clefting were found.
PMID- 29802054
TI - Pre-operative Color Doppler Ultrasonography Predicts Endovenous Heat Induced
Thrombosis after Endovenous Radiofrequency Ablation.
AB - OBJECTIVES: The aim was to identify pre-operative color Doppler ultrasound (CDUS)
variables predictive of post-operative endovenous heat induced thrombosis (EHIT)
after radiofrequency ablation (RFA) of the saphenous veins. DESIGN: This was a
single centre, observational study with retrospective analysis of consecutive
patients treated from December 2010 to February 2017. MATERIALS AND METHODS: Pre
operatively, the diameter of the sapheno-femoral junction (dSFJ), distance
between epigastric vein and SFJ (dEV-SFJ), maximum great saphenous vein (GSV)
diameter (mdGSV), diameter of the saphenous-popliteal junction (dSPJ), and mean
small saphenous vein (SSV) diameter (adSSV) were measured. All patients received
low molecular weight heparin (LWMH) at a prophylactic dose for a week. Post
operatively, CDUS was performed after 72 h, 1 week, and 3 months. RESULTS: Venous
interventions on 512 patients were performed: 449 (87.7%) underwent RFA of the
GSV (Group 1), and 63 (12.3%) of the SSV (Group 2). At Day 3 post-operatively,
CDUS documented 100% complete closure of the treated saphenous vein segment.
Overall, 40 (7.8%) cases of post-operative EHIT were identified: 29 in Group 1,
and 11 in Group 2 (6.4% vs. 17.5%, p = .005). Deep venous thrombosis or pulmonary
embolism did not occur in either group. At the 1 month follow up, all cases of
EHIT regressed. In Group 1, on multivariate analysis, dEV-SFJ (OR, 1.13, p =
.036; 95% CI 1.01-1.27) was the only statistically significant predictor for
EHIT. A dEV-SFJ distance of 4.5 mm yielded an 84% of sensitivity for EHIT
prediction with a 72.4% positive predictive value. In Group 2, univariate
analysis did not identify independent risk factors for EHIT occurrence.
CONCLUSIONS: EHIT was higher than previously reported. The dEV-SFJ was the most
significant predictor for EHIT in the GSV group. A greater distance between the
tip of the radiofrequency catheter and the SFJ may decrease the risk of
developing this complication.
PMID- 29802057
TI - Definitive treatment of the negative vector orbit.
AB - In a negative vector orbit, the most anterior globe portion protrudes past the
malar eminence. As bulging eyes are considered unaesthetic, patients usually seek
correction. However, most current correction techniques produce suboptimal
results. Here, we present a surgical technique that sets back the globe and
protrudes the malar bone using an intraoral approach. All five patients (aged 17
41 years) in our case series reported being satisfied with the improvement
achieved using this technique. Based on our experience, a transoral approach to
malar augmentation by valgisation osteotomy may address the bulging eyes aspect
through transantral orbital fat reduction in select cases.
PMID- 29802058
TI - A novel microsurgical anastomosis training model using gradually thawed
cryopreserved microvessels of rat cadavers.
AB - In consideration of the 3-R-rule (Refine-Replace-Reduce) as a guideline for
promoting ethical use of animals for surgical training, we present a novel
training model for microvessel anastomosis. In a rat cadaveric study, we
evaluated the surgical anatomy of the common carotid artery (CCA), external
jugular vein (EJV) and femoral vessels (FV) which were then used as templates for
the present investigation. Anatomical dissection of 30 rat cadavers was
performed. Two residents without prior microsurgical experience were included in
the study and performed 5 CCA, 5 femoral artery, 5 EJV and 5 femoral vein
anastomoses. Patency and leakage served as qualitative variables and operation
time as a quantitative variable for efficiency control. The average time improved
for arterial and venous anastomoses (45 min-22 and 60 to 32 min, respectively)
for both surgeons. While both surgeons experienced patency failure or leakage
within the first half of performed arterial and venous anastomoses, they could
improve to a 100% patency rate without the occurrence of leakage for the last
half of trials. The rat head & neck anatomy presents various characteristics
related to the harvest of the vessels of interest. We provide anatomical
knowledge about the topography related to the harvest of the CCA, EJV, and FV.
Our model is an easily accessible, low-cost microsurgical simulation model,
allowing a realistic and instructive performance of anastomoses. Since cadaveric
vessels are used, an approval of the local ethics committee is not needed.
PMID- 29802059
TI - Conservative surgical treatment of medication related osteonecrosis of the jaw
(MRONJ) lesions in patients affected by osteoporosis exposed to oral
bisphosphonates: 24 months follow-up.
AB - The purpose of the study was to evaluate the efficacy of conservative surgical
treatment of medication related osteonecrosis of the jaw (MRONJ) in patients
affected by osteoporosis and exposed to oral bisphosphonates (BPs). Subjects
diagnosed with MRONJ and osteoporosis under oral BPs that had undergone
conservative surgery and had at least 24 months follow-up were included. All
patients received medical-antibiotic therapy and then underwent conservative
surgical treatment consisting of sequestrectomy, soft tissue debridement and bone
curettage with limited or no extension. A total of 53 patients, mean age of 71.9
+/- 10.2 years (range 41-87), were enrolled. Two years after conservative
surgical therapy 45 patients (91.8%) showed complete healing. The presence of
rheumatoid arthritis (p = 0.003) and a more severe initial MRONJ stage (p =
0.023) were associated with a negative surgical outcome while the presence of
bone sequestrum was strongly associated with a positive outcome (p = 0.036).
Conservative surgical treatment of MRONJ lesions in patients affected by
osteoporosis and receiving only oral BPs may represent a valid therapeutic
approach determining a high number of complete healing cases. Conservative
surgery should be encouraged at early MRONJ stages and after medical therapy
failure.
PMID- 29802060
TI - Impact of different placement depths on the crestal bone level of immediate
versus delayed placed platform-switched implants.
AB - PURPOSE: The preservation of peri-implant bone is one requirement for long-term
success of dental implants. The purpose of this study was to evaluate the impact
of subcrestal placement on the crestal bone level of immediate versus delayed
placed implants after loading. MATERIALS AND METHODS: In this retrospective
study, data of 159 patients who received 330 implants was analyzed. Implants were
placed subcrestally, crestally or supracrestally into fresh sockets or healed
sites. Vertical bone level height was assessed radiographically and implants were
followed up annually. The influence of patient and implant related risk factors
for peri-implant bone loss was evaluated using a linear mixed model. RESULTS:
Depth of implant placement was significantly correlated with peri-implant bone
loss (P = 0.001, 95% CI). Least effective loss of crestal bone was determined
when implants were placed between 1 mm and 1.99 mm subcrestally. Smoking
significantly enhanced the risk of peri-implant bone loss (P = 0.04, 95% CI).
Immediate implant placement was not positively correlated with peri-implant bone
loss (P = 0.51, 95% CI). CONCLUSION: Within the limits of this study, implant
placement 1.08 mm subcrestally may be recommendable in order to avoid
supracrestal expositions of platform-switched titanium implants over time.
PMID- 29802061
TI - Predicting Prognosis With Biparametric Prostate Imaging: One Step at a Time.
PMID- 29802062
TI - Rotator cuff repair with all-suture anchors: a midterm magnetic resonance imaging
evaluation of repair integrity and cyst formation.
AB - BACKGROUND: This study investigated the feasibility and safety of all-suture
anchors in arthroscopic rotator cuff repair. METHODS: All patients were diagnosed
with a rotator cuff tear by ultrasound or magnetic resonance imaging (MRI).
Patients with partial tears, massive tears, subscapularis tears, or previous
shoulder surgery, were excluded. MRI and clinical outcome were investigated in
all patients at 1.58 years (range, 1.0-2.0 years) after rotator cuff repair with
all-suture anchors (prospective case series). Integrity of the cuff repair, cyst
formation (encapsulated fluid signal around the anchor), ingrowth of the bone
into the anchor, and integrity of the bone tunnel border were evaluated for 47
anchors. Clinical results were evaluated using the Constant-Murley score.
RESULTS: An MRI evaluation was performed in 20 patients at 1.58 years (range, 1.0
2.0 years) after rotator cuff repair with all-suture anchors. MRI evaluation
showed a very small rim of fluid around 10% of the anchors. None of the anchors
showed cyst formation with fluid diameter more than twice the anchor diameter. In
approximately 90% of the anchors, no fluid could be detected between the anchors
and the edge of the bony tunnel. Full rotator cuff integrity was seen in 19
patients. Only 1 patient sustained a retear. Clinical results comparable with an
arthroscopic rotator cuff repair using classic anchors were seen. CONCLUSIONS:
This prospective clinical cohort study shows promising early radiographic and
clinical results after arthroscopic rotator cuff repair using all-suture anchors.
PMID- 29802063
TI - Correction to Lancet Glob Health 2017; 5: e40-50.
PMID- 29802064
TI - Postoperative enlargement and prognostic effects of portal venous bypass grafts
in children undergoing Rex shunt.
AB - OBJECTIVE: Patency of the bypass vein after a Rex shunt is an important indicator
of prognosis. However, there is no report about the change of caliber of the
bypass vein after a Rex shunt. The aim of this study was to identify
postoperative changes of the bypass vein and to assess the relationship with
prognosis. METHODS: Between October 2008 and October 2016 in our center, 114
children were diagnosed with extrahepatic portal venous obstruction. The portal
cavernoma-portal bypass with interposition of grafted portal vessels was
performed in 31 children, the gastroportal shunt was performed in 54 children,
and other Rex shunts were performed in another 29 children. At follow-up, the
patency and diameter of the bypass vein were assessed with ultrasound and
computed tomography. The intraoperative and postoperative diameters of the bypass
vein were compared to identify postoperative changes of the bypass vein.
Prognosis was compared between children with and children without an enlarged
bypass vein. RESULTS: The caliber of the bypass vein was enlarged in 50% of
children (40/80) at 6 months postoperatively. The postoperative incidences of
rebleeding and esophageal varices were significantly lower in children with an
enlarged bypass vein than in those without (P < .05). Postoperatively, the
reduced splenic size was significantly higher in children with an enlarged bypass
vein than in those without (P < .05). The postoperative increase in platelet
count in children with an enlarged bypass vein was significantly higher than in
those without (P = .006). There was no significant difference in the flow
velocity of the bypass vein between children with and children without an
enlarged bypass vein (P = .133). The portal pressure was significantly reduced
after surgery in children with an enlarged bypass vein than in children without
an enlarged bypass vein (P = .017). CONCLUSIONS: The caliber of the bypass vein
increases in 50% of children after a Rex shunt using a grafted portal vessel,
which is related to a better prognosis.
PMID- 29802065
TI - IBE Commission on e-Solutions, Game Plan.
PMID- 29802066
TI - Diagnostic Value of Clinical Tests for Supraspinatus Tendon Tears.
AB - PURPOSE: The purpose of this study was to analyze the diagnostic value of 7
clinical tests for the diagnosis of supraspinatus tendon tears, to investigate
the ability of these tests to distinguish between partial- and full-thickness
tears, and to compare 3 different ways of interpreting positive test results
(weakness and pain): (1) in case of pain, (2) in case of weakness, regardless if
with pain or not, and (3) when any of the 2 symptoms occurs, regardless if in
combination or not. Moreover, this study aimed to investigate whether a
combination of tests can improve the diagnostic accuracy. METHODS: A total of 115
consecutive patients who presented with different shoulder symptoms were
prospectively enrolled in the study from March 2015 to April 2017. The inclusion
criterion was that a shoulder arthroscopy was scheduled. Patients with the
following characteristics were excluded from the study: patients (1) with
shoulder instability, (2) with adhesive capsulitis, or (3) with any history of
previous shoulder surgery including rotator cuff repair or patients (4) who did
not provide informed consent. To assess the sensitivity, specificity, positive
and negative predictive values, accuracy, diagnostic odds ratio, positive and
negative likelihood ratio, and area under the curve (AUC) of each test, the
intraoperative findings were compared with the results of the preoperative
clinical examination of 7 established clinical tests: the empty can test, the
full can test, the zero-degree abduction test, the Whipple test, the scapular
retraction test, the drop arm test, and the modified drop arm test. RESULTS: A
significant correlation was found between the findings for the empty can (P =
.004) and full can (P = .001) tests and the intraoperative findings, wherein the
full can test achieved better AUC. Muscle weakness showed the best diagnostic
precision compared with pain or using both criteria. No single clinical test was
found to be useful to distinguish between partial- and full-thickness tears. A
combination of at least 3 or more tests improved the diagnostic value. The
combination of the empty can, the full can, and the zero-degree abduction tests
showed the best AUC (0.795) and correlation with the intraoperative findings (P =
.02). CONCLUSIONS: The results of the present study indicate that of all clinical
tests studied, only the empty can and full can tests were effectively able to
diagnose tears of the supraspinatus tendon accurately. The greater AUC and
correlation with the arthroscopic findings suggest that muscle weakness should be
considered the gold standard when interpreting the test results. Furthermore, the
present study showed that the analyzed tests are not capable of distinguishing
between partial- and full-thickness tears of the supraspinatus tendon and that
the combination of at least 3 tests, including the empty can, the full can, and
the zero-degree abduction tests, improved the diagnostic value significantly. In
addition, the empty and full can tests have showed higher diagnostic precision
and fair AUC when supraspinatus tendon tears were more than 1 cm in size. LEVEL
OF EVIDENCE: Level 1, diagnostic study.
PMID- 29802067
TI - Roles of Plant-Associated Microbiota in Traditional Herbal Medicine.
AB - The microbiome of medicinal plants may directly impact the metabolome of the
host, and thus could influence the efficacy of herbal medicine. We advocate a
herb for traditional Chinese medicine (TCM), Salvia miltiorrhiza, as a prime
model system to study how microbes may interact with medicinal plants to modify
phytochemical production.
PMID- 29802068
TI - Array of MADS-Box Genes: Facilitator for Rapid Adaptation?
AB - In a world of global warming, the question emerges whether all plants have
suitable mechanisms to keep pace with the rapidly changing environment. Most
previous studies have focused on either the ability of plants to rapidly
acclimatize via physiological and developmental plasticity, or long-term
adaptation over thousands of years. However, we wonder whether plants can also
adapt to changes in the environment within only a few generations. We hypothesize
that rapidly evolving clusters of tandemly duplicated developmental control genes
represent a source for fast adaptation. Specifically, we propose that a tandem
cluster of FLC-like MADS-box genes involved in the transition to flowering in
Arabidopsis functions as a facilitator for rapid adaptation to changes in ambient
temperature.
PMID- 29802069
TI - Light element distribution in fresh and frozen-thawed human ovarian tissues: a
preliminary study.
AB - RESEARCH QUESTION: Does synchrotron X-ray fluorescence (XRF) provide novel
chemical information for the evaluation of human ovarian tissue cryopreservation
protocols? DESIGN: Tissues from five patients undergoing laparoscopic surgery for
benign gynaecological conditions were fixed for microscopic analysis either
immediately or after cryopreservation. After fixation, fresh and slowly frozen
samples were selected by light microscopy and transmission electron microscopy,
and subsequently analysed with synchrotron XRF microscopy at different incident
energies. RESULTS: The distributions of elements detected at 7.3 keV (S, P, K,
Cl, Fe, and Os) and 1.5 keV (Na and Mg) were related to the changes revealed by
light microscopy and transmission electron microscopy analyses. The light
elements showed highly informative findings. The S distribution was found to be
an indicator of extracellular component changes in the stromal tissues of the
freeze-stored samples, further revealed by the transmission electron microscopy
analyses. Low-quality follicles, frequent in the freeze-thawed tissues, showed a
high Na level in the ooplasm. On the contrary, good-quality follicles were
detected by a homogeneous Cl distribution. The occurrence of vacuolated follicles
increased after cryopreservation, and the XRF analyses showed that the vacuolar
structures contained mainly Cl and Na. CONCLUSIONS: The study demonstrates that
elemental imaging techniques, particularly revealing the distribution of light
elements, could be useful in establishing new cryopreservation protocols.
PMID- 29802070
TI - BDNF plasma levels and genotype in depression and the response to
electroconvulsive therapy.
AB - BACKGROUND: Brain derived neurotrophic factor (BDNF) has been implicated in the
pathophysiology of depression and the antidepressant response. Electroconvulsive
therapy (ECT) is reported to increase BDNF levels in blood, though only a small
number of studies have been conducted to date. OBJECTIVE: Our objectives were to:
1) compare plasma BDNF levels in medicated patients with depression and controls;
2) assess the effect of ECT on plasma BDNF levels in medicated patients with
depression; 3) explore the relationship between plasma BDNF levels and the
Val66Met (rs6265) BDNF polymorphism; and 4) examine the relationship between
plasma BDNF levels and clinical symptoms and outcomes with ECT. METHODS: Plasma
BDNF levels were analyzed in samples from 61 medicated patients with a major
depressive episode and 50 healthy controls, and in patient samples following a
course of ECT. Fifty-two samples from the depressed patient group were genotyped
for the Val66Met BDNF polymorphism. RESULTS: There was no difference in plasma
BDNF levels between the control and depressed groups, and there was no difference
in plasma BDNF levels in patients following treatment with ECT. In line with
previous reports, we show that, in medicated patients with depression, Met
carriers had higher plasma BDNF levels than Val-carriers, though genotype was not
related to clinical response. We found no association between plasma BDNF levels
and depression severity or the clinical response to ECT. CONCLUSIONS: Our results
suggest that plasma BDNF does not represent a suitable candidate biomarker for
determining the therapeutic response to ECT.
PMID- 29802071
TI - Predictive value of dorso-lateral prefrontal connectivity for rTMS response in
treatment-resistant depression: A brain perfusion SPECT study.
AB - BACKGROUND: Previous clinical trials have suggested that repetitive transcranial
magnetic stimulation (rTMS) has a significant antidepressant effect in patients
with treatment resistant depression (TRD). However, results remain heterogeneous
with many patients without effective response. OBJECTIVE: The aim of this SPECT
study was to determine before treatment the predictive value of the connectivity
of the stimulated area on further rTMS response in patients with TRD. METHODS:
Fifty-eight TRD patients performed a brain perfusion SPECT before high frequency
rTMS of the left dorsolateral prefrontal cortex (DLPFC). A voxel based-analysis
was achieved to compare connectivity of the left DLPFC in responders and non
responders using inter-regional correlations (p < 0.005, corrected for cluster
volume). A multiple logistic regression model was thereafter used with the goal
of establishing a predictive score. RESULTS: Before rTMS, responders exhibited
increased SPECT connectivity between the left DLPFC and the right cerebellum in
comparison to non-responders, independently of age, gender, severity of
depression, and severity of treatment resistance. The area under the curve for
the combination of these two SPECT clusters to predict rTMS response was 0.756 (p
< 0.005). CONCLUSIONS: SPECT connectivity of the left DLPFC predicts rTMS
response before treatment.
PMID- 29802072
TI - Glycated hemoglobin assay in a Tlemcen population: Retrospective study.
AB - The understanding of the relationship between the standard values of glycated
hemoglobin (HbA1c) and related parameters of the subject (age, sex, BMI, and
complications etc ...) could be a good track for following and the screening of
diabetes. In this side, we recruited ten non diabetic subjects as witnesses and
ninety diabetic type 2. Diabetic subjects were assayed for their blood glucose
and glycated hemoglobin levels and a calculation of their body mass index. Our
results showed that the diabete is more common in women than men. The most
affected age group by diabetes is between 45 and 55 years for women (24.44%),
while among men it over 65 years (20%). Obesity affects 31.11% of women and 889%
of men in the studied population. We also found an increase in HbA1c values with
age in both sexes. The correlation study between the values of HbA1c and blood
glucose in diabetic patients shows the existence of a moderate positive
correlation (r = 0.68). Finally we found that diabetes related complications are
more common in females than males. Knowledge of the range of reference
corresponding patients to better interpret diabetes is important for clinicians.
The correlation HbA1c / blood glucose level allow better control of glycemic
control.
PMID- 29802073
TI - Short-term predictors of amputation in patients with diabetic foot ulcers.
AB - BACKGROUND: Diabetic foot ulcers are the leading cause of non-traumatic lower
extremity amputation. The aim of this study was to establish short-term
predictors of lower extremity amputation (LEA) in patients with diabetic foot
ulcers (DFU). METHODS: A retrospective observational study was performed.
Patients observed for the first time in a multidisciplinary outpatient diabetic
foot clinic during 1-year were reviewed. We examined demographic and clinical
data and the occurrence of LEA within 180 days. Bivariate descriptive analysis
was performed and three logistic regression models were created to predict short
term LEA. RESULTS: During 1-year 613 patients were observed for the first time in
our diabetic foot clinic. Relevant data and eligibility was available from 479
patients with DFU; 38.41%, 40,71% and 20,88% had neuropathic, neuroischemic and
ischemic ulcers, respectively. Considering a follow-up of 180 days, amputation
occurred in 10.02% (n = 48) of patients: 3.13% (n = 15) major amputation and
6.89% (n = 33) minor amputation. Independent predictors of short-term LEA
included moderate to severe infection [OR 5.23 (IC 95% 2.51-10.80; p < 0.001)],
previous history of LEA [OR 3.93 (IC 95% 1.81-8.53; p = 0.001)], peripheral
arterial disease [OR 3.51 (IC 95% 1.29-9.58; p = 0.014)] and presence of any
walking disability [OR 3.35 (IC 95% 1.58-7.13; p = 0.002)]. CONCLUSION: In DFU
patients the risk of LEA at 180 days is associated to moderate to severe
infection at the initial presentation, previous history of LEA, peripheral
arterial disease and presence of any walking disability. To prevent future
amputations these patients should be identified early and managed by a
multidisciplinary team. LEVEL OF CLINICAL EVIDENCE: Level 2.
PMID- 29802074
TI - Detrimental association between diabetes and tuberculosis: An unresolved double
trouble.
AB - Despite significant efforts made to control tuberculosis (TB) through DOTS
program, the increasing burden of diabetes mellitus (DM) threatens the progress
in reducing TB-related mortality, particularly in developing countries. In recent
years, TB-DM comorbidity continues to remain high in countries where DM is on
rampant. DM increases the risk of TB, reactivates the dormant TB and worsens the
TB treatment outcome. The present review highlights the current findings
regarding the prevalence and association of TB-DM comorbidity along with their
public health implications. This review will increase the awareness among
researchers, policymakers and clinicians, regarding the current scenario of TB-DM
association.
PMID- 29802075
TI - Squatting, lunging and kneeling provided similar kinematic profiles in healthy
knees-A systematic review and meta-analysis of the literature on deep knee
flexion kinematics.
AB - BACKGROUND: Understanding healthy deep flexion kinematics will inform the design
of conservative clinical rehabilitation strategies for knee osteoarthritis and
contribute to improved knee prosthesis design. This study is a systematic review
and meta-analysis of the kinematic outcomes measured at the healthy tibiofemoral
joint during loaded deep knee flexion. METHODS: A computerised literature search
and bibliography review without date restriction identified twelve studies with
164 participants aged 25-61 years in-vivo, and 69-93 years in-vitro. Flexion
higher than 120 degrees was achieved by squatting, lunging or kneeling.
Measurement technologies in-vivo included radiographs, open MRI and 2D-3D MRI or
CT image registration on fluoroscopy. Microscribe was used in-vitro. RESULTS:
Outcomes were either six degrees-of-freedom based on femur movement or contact
patterns on the tibial plateau. The meta-analysis demonstrated that in-vivo,
between 120 degrees and 135 degrees of flexion, the tibia internally rotated
(mean difference (MD) = 4.6 degrees , 95% CI 3.55 degrees to 5.64 degrees ).
Both the medial-femoral-condyle and lateral-femoral-condyle translated
posteriorly, (MD = 10.4 mm, 95% CI 6.9 to 13.9 mm) and (MD = 5.55 mm, 95% CI 4.64
to 6.46 mm) respectively. There was some evidence of femoral medial translation
(3.8 mm) and adduction (1.9 degrees to 3.3 degrees ), together with medial
compression (1.7 mm) and lateral distraction (1.9) mm. CONCLUSIONS: Across the in
vivo studies, consistent kinematic patterns emerged; despite the various
measurement technologies and reference methods. In contrast, in-vivo and in-vitro
results were contradictory. TRIAL REGISTRATION: This systematic review protocol
was registered with the International Prospective Register of Systematic Reviews
(PROSPERO) on 25 February 2017 (registration number: 42017057614).
PMID- 29802077
TI - Importance of pancreatic exocrine dysfunction in patients with type 2 diabetes: A
randomized crossover study.
AB - BACKGROUND: Levels of faecal elastase-1 (FE-1), a marker of exocrine pancreatic
function, are lower in patients with type 2 diabetes than without diabetes. We
aimed to investigate the association between FE-1 and nutritional status,
gastrointestinal symptoms, and lipid absorption. METHODS: This randomized, open
label, crossover study included 315 patients with type 2 diabetes aged 18-70
years treated with oral antidiabetics, with HbA1c 6.5-9.0% and BMI 18-40 kg/m2.
Assessments included levels of FE-1 and blood biomarkers of nutrition, and
Bristol Stool Scale and Gastrointestinal Symptom Rating Scale (GSRS) scores.
Plasma exposure of docosahexaenoic acid (DHA) and eicosapentaenoic acid (EPA)
after oral administration of free omega-3 carboxylic acids or ethyl esters with
breakfast was investigated in patients with low, intermediate, and normal FE-1
levels. RESULTS: The prevalence of low and intermediate FE-1 levels was 5.2% and
4.9%, respectively. Bristol Stool Scale scores and mean values of GSRS Diarrhoea
and Indigestion domain symptoms were similar across groups, but patients with low
FE-1 were heavier and reported lower stool frequency. FE-1 levels correlated
positively with plasma levels of amylase, lipase, 25-hydroxy vitamin D, and
albumin. Mean EPA + DHA exposure was similarly higher after intake of free vs.
esterified omega-3 fatty acids in all FE-1 groups. CONCLUSIONS: The prevalence of
low FE-1 (<100 MUg/g) as a measure of pancreatic exocrine insufficiency was
infrequent in type 2 diabetes. Except for low plasma concentrations of EPA and 25
hydroxy vitamin D, type 2 diabetes patients with low FE-1 had no other signs of
malabsorption or gastrointestinal disorders. Plasma levels of EPA and DHA after
the intake of esterified versus free EPA and DHA did not correlate with FE-1
levels. TRIAL REGISTRATION: ClinicalTrials.gov NCT02370537.
PMID- 29802076
TI - Anatomical features of tibia and femur: Influence on laxity in the anterior
cruciate ligament deficient knee.
AB - BACKGROUND: Until now, there has been a lack of in vivo analysis of the
correlation between bony morphological features and laxity values after an
anterior cruciate ligament (ACL) injury. METHODS: Forty-two patients who
underwent ACL-reconstruction were enrolled. Static laxity was evaluated as:
antero-posterior displacement and internal-external rotation at 30 degrees and
90 degrees of flexion (AP30, AP90, IE30, IE90) and varus-valgus rotation at 0
degrees and 30 degrees of flexion (VV0, VV30). The pivot-shift (PS) test
defined the dynamic laxity. Using magnetic resonance imaging, we evaluated the
transepicondylar distance (TE), the width of the lateral and medial femoral
condyles (LFCw and MFCw) and tibial plateau (LTPw and MTPw), the notch width
index (NWI) and the ratio of width and height of the femoral notch (N-ratio), the
ratio between the height and depth of the lateral and medial femoral condyle (LFC
ratio and MFC-ratio), the lateral and medial posterior tibial slopes (LTPs and
MTPs) and the anterior subluxation of the lateral and medial tibial plateau with
respect to the femoral condyle (LTPsublx and MTPsublx). RESULTS: Concerning the
AP30, LTPs (P=0.047) and MTPsublx (P=0.039) were shown to be independent
predictors while for the AP90 only LTPs (P=0.049) was an independent predictor.
The LTPs (P=0.039) was shown to be an independent predictor for IE90 laxity,
while for the VV0 test it was identified as the LFCw (P=0.007). CONCLUSIONS: A
higher antero-posterior laxity at 30 degrees and 90 degrees of flexion was
found in those with a lateral tibial slope <5.5 degrees .
PMID- 29802078
TI - The role of extra-pancreatic infections in the prediction of severity and local
complications in acute pancreatitis.
AB - BACKGROUND: The aim of our study was to determine the risk factors for
extrapancreatic infection (EPI) occurrence and its predictive power for assessing
severity and local complications in acute pancreatitis including infected
pancreatic necrosis (IPN). METHODS: Clinical data of 176 AP patients
prospectively enrolled were analysed. EPI analysed were bacteraemia, lung
infection, urinary tract infection and catheter line infection. Risk factors
analysed were: Leukocyte count, C-reactive protein, liver function test, serum
calcium, serum glucose, Blood urea nitrogen, mean arterial pressure at admission,
total parenteral nutrition (TPN), enteral nutrition, hypotension, respiratory,
cardiovascular and renal failure at admission, persistent systemic inflammatory
response (SIRS) and intrapancreatic necrosis. Severity outcomes assessed were
defined according to the Atlanta Criteria definition for acute pancreatitis. The
predictive accuracy of EPI for morbidity and mortality was measured using area
under-the-curve (AUC) receiver-operating characteristics. RESULTS: Forty-four
cases of EPI were found (25%). TPN (OR:9.2 CI95%: 3.3-25.7), APACHE-II>8 (OR:6.2
CI95%:2.48-15.54) and persistent SIRS (OR:2.9 CI95%: 1.1-7.8), were risk factors
related with EPI. Bacteraemia, when compared with others EPI, showed the best
accuracy in predicting significantly persistent organ failure (AUC:0.76,
IC95%:0.64-0.88), ICU admission (AUC:0.80 IC95%:0.65-0.94), and death (AUC:0.73
CI95%:0.54-0.91); and for local complications including IPN (AUC:0.72 CI95%:0.53
0.92) as well. Besides, it was also needed for an interventional procedure
against necrosis (AUC:0.74 IC95%: 0.57-0.91). When bacteraemia and IPN occurs,
bacteraemia preceded infected necrosis in all cases. On multivariate analysis,
risk factor for IPN were lung infection (OR:6.25 CI95%1.1-35.7 p = 0.039) and TPN
(OR:22.0CI95%:2.4-205.8, p = 0.007), and for mortality were persistent SIRS at
first week (OR: 22.9 CI95%: 2.6-203.7, p = 0.005) and Lung infection (OR: 9.7
CI95%: 1.7-53.8). CONCLUSION: In our study, EPI, played a role in predicting the
severity and local complications in acute pancreatitis.
PMID- 29802079
TI - Mortality from Parkinson's disease in China: Findings from a ten-year follow up
study in Shanghai.
AB - BACKGROUND: Standardized mortality ratio (SMR) is the most frequently used index
in Parkinson's disease (PD) survival survey. However, there is little SMR data in
PD from China. OBJECTIVE: To examine the outcome, including overall and cause
specific mortality, of PD patients subsequent to 10 years of surveillance in
Shanghai, China. This is an extension study of our previous investigation on
mortality.? METHODS: One hundred fifty-seven PD patients recruited from the
movement disorder clinic of Rui Jin Hospital in 2006 were followed up until
December 31, 2016 or death, representing a follow-up period of up to 10 years.
Overall and cause-specific standardized mortality ratios (SMR) were calculated,
and predictors for survival at disease onset were estimated.? RESULTS: Thirty one
patients had died by December 31, 2016, and the SMR at 10 years of follow-up was
0.87 (0.59-1.25). The primary direct cause of death was respiratory disease (SMR
= 3.52, 95% CI 1.98-5.78). Employing Cox's proportional hazard modeling, postural
instability gait disorder (PIGD) type and older age at onset predicted poor
survival in this cohort. CONCLUSIONS: This finding confirms the similar survival
of patients with PD to the control population in the post-levodopa era. PIGD type
and older age at onset had a negative impact on survival.
PMID- 29802080
TI - Does dual-task training improve spatiotemporal gait parameters in Parkinson's
disease?
AB - INTRODUCTION: The DUALITY trial recently showed that both integrated and
consecutive dual-task training improve dual-task gait velocity, without
increasing fall risks in patients with Parkinson's disease (PD). Gait velocity
was the primary outcome; not reported, however, were important gait measures
related to the risk of falling such as gait variability. In this secondary
analysis, we compared the efficacy of the two training programs with respect to
spatiotemporal outcome parameters. METHODS: 121 PD patients (Hoehn and Yahr stage
II-III while ON medication) were randomly assigned to either a consecutive group
(n = 65) in which cognitive and gait tasks were trained separately, or an
integrated group (n = 56) in which cognitive and gait tasks were trained
simultaneously. Both groups received 24 in-home physiotherapy sessions for six
consecutive weeks. Two baseline measurements were performed during a six-week
control period prior to the interventions. Gait was evaluated under three
different (and untrained) dual-task conditions immediately after the treatment
period and at 12-week follow-up. RESULTS: Both training modalities had a
comparable effect on spatiotemporal gait parameters. A significant post-training
increase in stride length (P < .001) and cadence (P < .001) was found under both
the single and the dual-task conditions. These improvements were maintained at
follow-up, although the effect was slightly reduced. No significant changes were
found for gait variability under single and dual-task conditions. CONCLUSION: We
found both integrated and consecutive dual-task training to be safe and effective
in improving several spatiotemporal gait parameters under trained and untrained
dual-task conditions.
PMID- 29802082
TI - Plasma exchange to remove heparin-induced thrombocytopenia antibodies and the use
of heparin during cardiopulmonary bypass in critically ill cardiac patients.
PMID- 29802081
TI - Donor heart selection and outcomes: An analysis of over 2,000 cases.
AB - BACKGROUND: Decision-making when offered a donor heart for transplantation is
complex, and supportive data describing outcomes according to acceptance or non
acceptance choices are sparse. Our aim was to analyze donor heart acceptance
decisions and associated outcomes at a single center, and after subsequent
acceptance elsewhere. METHODS: This investigation was a retrospective analysis of
data obtained from the University of Vienna Medical Center and Eurotransplant
centers for the period 2001 to 2015. RESULTS: Our center accepted 31.8% (699 of
2,199) of donor hearts offered. Unlike other centers, the acceptance rate, with
or without transplantation, did not increase over time. Of the donor hearts
rejected by our center, 38.1% (572 of 1,500) were later accepted elsewhere.
Acceptance rates were twice as high for donor hearts initially rejected for non
quality reasons (339 of 601, 56.4%) compared with initial rejection for quality
reasons (233 of 899, 25.9%). Three-year patient survival rate was 79% at Vienna;
for donor hearts initially rejected by Vienna for non-quality reasons or quality
reasons, it was 73% and 63%, respectively (p < 0.001). Outcomes at other centers
after transplantation of grafts rejected by Vienna varied according to the reason
for rejection, with good 3-year survival rates for rejection due to positive
virology (77%), high catecholamines (68%), long ischemic time (71%), or low
ejection fraction (68%), but poor survival was observed for hearts rejected for
hypernatremia (46%), cardiac arrest (21%), or valve pathology (50%). CONCLUSIONS:
A less restrictive policy for accepting donor hearts at our center, particularly
regarding rejection for non-quality reasons or for positive virology, high
catecholamine levels, longer ischemic time, or low ejection fraction, could
expand our donor pool while maintaining good outcomes.
PMID- 29802083
TI - Interagency registry for mechanically assisted circulatory support report on the
total artificial heart.
AB - BACKGROUND: We sought to better understand the patient population who receive a
temporary total artificial heart (TAH) as bridge to transplant or as bridge to
decision by evaluating data from the Interagency Registry for Mechanically
Assisted Circulatory Support (INTERMACS) database. METHODS: We examined data
related to survival, adverse events, and competing outcomes from patients who
received TAHs between June 2006 and April 2017 and used hazard function analysis
to explore risk factors for mortality. RESULTS: Data from 450 patients (87% men;
mean age, 50 years) were available in the INTERMACS database. The 2 most common
diagnoses were dilated cardiomyopathy (50%) and ischemic cardiomyopathy (20%).
Risk factors for right heart failure were present in 82% of patients. Most
patients were INTERMACS Profile 1 (43%) or 2 (37%) at implantation. There were
266 patients who eventually underwent transplantation, and 162 died. Overall 3-,
6-, and 12-month actuarial survival rates were 73%, 62%, and 53%, respectively.
Risk factors for death included older age (p = 0.001), need for pre-implantation
dialysis (p = 0.006), higher creatinine (p = 0.008) and lower albumin (p < 0.001)
levels, and implantation at a low-volume center (<=10 TAHs; p < 0.001). Competing
outcomes analysis showed 71% of patients in high-volume centers were alive on the
device or had undergone transplantation at 12 months after TAH implantation vs
57% in low-volume centers (p = 0.003). CONCLUSIONS: Patients receiving TAHs have
rapidly declining cardiac function and require prompt intervention. Experienced
centers have better outcomes, likely related to patient selection, timing of
implantation, patient care, and device management. Organized transfer of
knowledge to low-volume centers could improve outcomes.
PMID- 29802084
TI - Usefulness of thrombosis and inflammation biomarkers in chronic thromboembolic
pulmonary hypertension-sampling plasma and surgical specimens.
AB - BACKGROUND: Chronic thromboembolic pulmonary hypertension (CTEPH) results from
persistent pulmonary vascular obstructions, presumably due to inflammatory
thrombosis. Because estimates of thrombus volume at diagnosis have no predictive
value, we investigated the role of the thrombosis marker, D-dimer, and the
inflammation marker, C-reactive protein (CRP), for predicting outcomes in CTEPH.
METHODS: A total 289 consecutive patients with CTEPH were followed for 57 (median
45 to 69) months. One hundred fifty-seven of these patients underwent surgical
pulmonary endarterectomy (PEA). D-dimer and CRP were collected at the time of
CTEPH diagnosis and their impact on outcome was analyzed using Cox and logistic
regression models. Their association with thrombus composition was analyzed
utilizing HistoQuest. RESULTS: D-dimer and CRP levels were separately and
independently predictive of death or need for lung transplantation (p = 0.012 and
p = 0.025, respectively). For example, 5-year survival was 90% (confidence limits
84% to 96%) in patients with D-dimer levels <0.5 ug/ml and CRP <1 mg/dl at
diagnosis, as compared with 50% (36% to 64%) for patients with D-dimer >=0.5
ug/ml and CRP >=1 mg/dl (p < 0.001). D-dimer and CRP both decreased significantly
after PEA (p < 0.01). The amount of fresh red thrombus in thrombendarterectomy
specimens correlated positively with D-dimer levels at diagnosis (r = 0.37, p =
0.003). CONCLUSIONS: D-dimer and CRP at the time of diagnosis are independent and
significant predictors of outcome in CTEPH, available at the time of diagnosis.
This observation suggests an important role for fibrin turnover and inflammation
in the pathogenesis of CTEPH and the associated complications.
PMID- 29802086
TI - Uric acid is an independent predictor of cardiac allograft vasculopathy after
heart transplantation.
AB - BACKGROUND: Cardiac allograft vasculopathy (CAV) is a major complication after
heart transplantation (HT). Uric acid (UA) may play a role in CAV due to its role
in stimulating T-cell-mediated immunity. Sirolimus is associated with CAV
attenuation through a number of mechanisms, including immune-mediated effects. We
aimed to determine whether UA is an independent predictor of CAV and whether
conversion to sirolimus as primary immunosuppression modulates UA levels.
METHODS: We retrospectively analyzed a cohort of 224 patients who underwent HT
between 2004 and 2015 and had serial coronary intravascular ultrasound (IVUS)
studies. Serum UA levels were measured at baseline and last follow-up IVUS in all
participants. CAV progression was assessed by measuring the change in plaque
volume (DeltaPV) and plaque index (ratio of plaque volume to vessel volume
[DeltaPI]) between last follow-up and baseline IVUS after correction for time of
follow-up. RESULTS: Patients with high (>=7 mg/dl) compared with low (<7 mg/dl)
UA had increased median DeltaPV (0.33 [interquartile range 0.08 to 0.93] vs 0.07
[-0.17 to 0.38] mm3/mm/year; p < 0.001) and DeltaPI (2.0% [0.31% to 3.9%] vs
0.33% [-1.2% to 2.0%]; p < 0.001). Elevated UA levels were associated with a
significantly increased risk of developing significant CAV progression (DeltaPV
>0.50 mm3/mm) (hazard ratio 2.2, 95% confidence interval 1.1 to 4.6; p = 0.037).
Sirolimus resulted in decreased UA levels (5.8 +/- 1.4 vs 5.2 +/- 1.5; p = 0.002)
and patients converted to sirolimus and had low UA levels had the least CAV
progression (p < 0.001). After adjustment for potential confounders, change in UA
level was also an independent predictor of CAV progression. CONCLUSIONS: UA is an
independent predictor of CAV after HT. Sirolimus is associated with decreased UA
levels and may explain one of the mechanisms by which sirolimus attenuates CAV
progression.
PMID- 29802087
TI - Defining and Understanding Adaptive Resistance in Cancer Immunotherapy.
AB - Despite the unprecedented tumor regression and long-term survival benefit
observed with anti-programmed death (PD) [anti-PD-1 or anti-B7-homolog 1 (B7-H1)]
therapy in patients with advanced cancers, a large portion of patients do not
benefit from such treatment and a fraction of responders relapse. Current efforts
to overcome resistance and improve efficacy of anti-PD therapy require a clear
understanding of resistance and should precede current avenues using random
combinations with available treatment regimens. Here, we categorized three types
of resistance, namely target-missing, primary, and acquired resistance. This
categorization requires reliable, accurate tissue sampling and appropriate
interpretation of results based on the four classifications of tumor immunity in
the microenvironment (TIME). We believe that fundamental understanding of these
complex tumor-immune interactions and of the cellular and molecular mechanisms
underlying these types of true resistance is the key for targeting the right
targets in combination with or beyond anti-PD therapy in the future.
PMID- 29802085
TI - Clinical phenomapping and outcomes after heart transplantation.
AB - BACKGROUND: Survival after heart transplantation (HTx) is limited by
complications related to alloreactivity, immune suppression, and adverse effects
of pharmacologic therapies. We hypothesize that time-dependent phenomapping of
clinical and molecular data sets is a valuable approach to clinical assessments
and guiding medical management to improve outcomes. METHODS: We analyzed
clinical, therapeutic, biomarker, and outcome data from 94 adult HTx patients and
1,557 clinical encounters performed between January 2010 and April 2013.
Multivariate analyses were used to evaluate the association between
immunosuppression therapy, biomarkers, and the combined clinical end point of
death, allograft loss, retransplantation, and rejection. Data were analyzed by K
means clustering (K = 2) to identify patterns of similar combined
immunosuppression management, and percentile slopes were computed to examine the
changes in dosages over time. Findings were correlated with clinical parameters,
human leucocyte antigen antibody titers, and peripheral blood mononuclear cell
gene expression of the AlloMap (CareDx, Inc., Brisbane, CA) test genes. An
intragraft, heart tissue gene coexpression network analysis was performed.
RESULTS: Unsupervised cluster analysis of immunosuppressive therapies identified
2 groups, 1 characterized by a steeper immunosuppression minimization, associated
with a higher likelihood for the combined end point, and the other by a less
pronounced change. A time-dependent phenomap suggested that patients in the group
with higher event rates had increased human leukocyte antigen class I and II
antibody titers, higher expression of the FLT3 AlloMap gene, and lower expression
of the MARCH8 and WDR40A AlloMap genes. Intramyocardial biomarker-related
coexpression network analysis of the FLT3 gene showed an immune system-related
network underlying this biomarker. CONCLUSIONS: Time-dependent precision
phenotyping is a mechanistically insightful, data-driven approach to characterize
patterns of clinical care and identify ways to improve clinical management and
outcomes.
PMID- 29802088
TI - The Health and Retirement Study: Analysis of Associations Between Use of the
Internet for Health Information and Use of Health Services at Multiple Time
Points.
AB - BACKGROUND: The use of the internet for health information among older people is
receiving increasing attention, but how it is associated with chronic health
conditions and health service use at concurrent and subsequent time points using
nationally representative data is less known. OBJECTIVE: This study aimed to
determine whether the use of the internet for health information is associated
with health service utilization and whether the association is affected by
specific health conditions. METHODS: The study used data collected in a
technology module from a nationally representative sample of community-dwelling
older Americans aged 52 years and above from the 2012 Health and Retirement Study
(HRS; N=991). Negative binomial regressions were used to examine the association
between use of Web-based health information and the reported health service uses
in 2012 and 2014. Analyses included additional covariates adjusting for
predisposing, enabling, and need factors. Interactions between the use of the
internet for health information and chronic health conditions were also tested.
RESULTS: A total of 48.0% (476/991) of Americans aged 52 years and above reported
using Web-based health information. The use of Web-based health information was
positively associated with the concurrent reports of doctor visits, but not over
2 years. However, an interaction of using Web-based health information with
diabetes showed that users had significantly fewer doctor visits compared with
nonusers with diabetes at both times. CONCLUSIONS: The use of the internet for
health information was associated with higher health service use at the
concurrent time, but not at the subsequent time. The interaction between the use
of the internet for health information and diabetes was significant at both time
points, which suggests that health-related internet use may be associated with
fewer doctor visits for certain chronic health conditions. Results provide some
insight into how Web-based health information may provide an alternative health
care resource for managing chronic conditions.
PMID- 29802089
TI - Perspectives of Nurses Toward Telehealth Efficacy and Quality of Health Care:
Pilot Study.
AB - BACKGROUND: Telehealth nursing, or the delivery, management, and coordination of
nursing care services provided via telecommunications technology, is one of the
methods of delivering health care to patients in the United States. It is
important to assess the service quality of the involved health professionals as
well as the telehealth nursing process. The focus of this study is the innovative
model of telehealth care delivery by nurses for managing patients with chronic
disease while they are living in their own residence. OBJECTIVE: The primary
objective of this pilot study was to examine whether telehealth technology
impacts the perceived level of internal service quality delivered by nurses
within a telehealth organization. To address this research goal, the notion of
telehealth nursing service quality (TNSQ) is empirically tested and validated
with a survey instrument. METHODS: Data were collected from nurses belonging to a
home care agency based on interview questions inquiring about facilitators and
inhibitors to TNSQ. A survey to measure TNSQ based on the SERVQUAL instrument was
completed by adjusting descriptions of the original instrument to suit the
context. Follow-up interviews were conducted to validate questions on the revised
instrument. RESULTS: The findings of this survey research were positive, based on
mean differences between expectations and perceptions of TNSQ. This indicates
satisfaction with TNSQ and shows that the quality of the service is higher than
what the respondents expect. The Wilcoxon signed-rank test using the P value for
the test, which is .35, did not show a statistically significant change between
the median differences of perception and expectation. The total number of
respondents was 13. Results indicate that overall perceived service quality is a
positive value (0.05332). This means the perceptions of the level of service are
slightly higher than what they expect, indicating there is satisfaction with
TNSQ. CONCLUSIONS: The responses to the interview questions and data gathered
from the survey showed overall satisfaction with TNSQ. The SERVQUAL instrument
was a good framework to assess TNSQ. In a nutshell, the study highlighted how the
telehealth process provides daily monitoring of patient health, leading to the
benefits of immediate feedback for patients, family, and caregivers as well as
convenience of scheduling.
PMID- 29802090
TI - Computerized Cognitive Training in Children With Autism and Intellectual
Disabilities: Feasibility and Satisfaction Study.
AB - BACKGROUND: Researchers are increasingly interested in testing and developing
computerized cognitive training interventions for individuals with autism
spectrum disorder due to the limited accessibility of treatments for this
disorder. Understanding the feasibility of testing cognitive interventions for
this population is critical, especially for individuals with ASD who have low to
moderate intellectual ability. OBJECTIVE: The aim of the study was to evaluate
the feasibility of computerized cognitive training as measured by attrition rate
and a parent satisfaction survey. METHODS: A total of 26 participants aged 8-17
years with an autism spectrum disorder diagnosis and significant intellectual
impairment were enrolled (mean age 11.1 years). They were instructed to complete
25 sessions of Cogmed Working Memory Training in 5 to 6 weeks with coach
assistance. Attrition rate and parent satisfaction surveys were measured after
the completion of training. RESULTS: Most participants (96%, 25/26) completed the
training and indicated high satisfaction (>88%). However, among the participants
who completed the training, 5 participants (19%) were unable to finish in 6
weeks, the recommended training period by Cogmed. Parents noted various positive
(eg, voice-overs) and negative (eg, particular graphic and sounds associated with
a stimulus) features of the game that they thought affected their child's
response. CONCLUSIONS: Children with autism spectrum disorder and intellectual
impairments can successfully participate in computerized cognitive training
interventions but may require additional weeks to complete the training beyond
the time needed for children without intellectual impairments. The overall
completion rate, with extended time to complete the training, was high.
Developers of cognitive training programs for this population should take into
account potential issues regarding the noise level of stimuli and characteristics
of the visual graphics.
PMID- 29802091
TI - Examining the Impact of Trauma-Informed Cognitive Behavioral Therapy on Perinatal
Mental Health Outcomes Among Survivors of Intimate Partner Violence (The PATH
Study): Protocol for a Feasibility Study.
AB - BACKGROUND: Intimate partner violence (IPV) is a pervasive public health problem,
impacting the health and quality of life of survivors worldwide. The trauma of
IPV is associated with a high incidence of mental illness, namely depressive and
anxiety disorders, and posttraumatic stress disorder (PTSD). Moreover, literature
endorses cognitive behavioral therapy (CBT) interventions as a gold standard for
those with symptomatology consistent with anxiety disorders, mood disorders, and
PTSD. However, efficacy has not been evaluated among a population of pregnant
survivors of IPV. OBJECTIVE: We present the protocol that will be used to explore
the efficacy of trauma-informed cognitive behavioral therapy on maternal and
child health outcomes for pregnant women with PTSD, depression, or anxiety
symptomatology resulting from IPV. A secondary aim will be to test the validity
and feasibility of study methodology to support the successful implementation of
a full-scale randomized controlled trial. METHODS: The Promoting Attachment
Through Healing (PATH) study will use a mixed-methods approach grounded in an
intersectional feminist framework to explore the effectiveness of trauma-informed
CBT for pregnant survivors of IPV. Study participants will be recruited through
the hospital-based Perinatal Mental Health Clinic (London, Ontario, Canada). A
feasibility sample of 20 pregnant women (cohort 1) will be selected to engage in
an eight-session antenatal CBT intervention facilitated by the program's
perinatal clinical nurse specialist, with evaluation at baseline, at two months
postpartum (intervention and online questionnaire), and at six and twelve months
postpartum (online questionnaire only). Concurrently, we will conduct a
retrospective audit of 100 medical charts (cohort 2; 50 charts of perinatal women
who received CBT and 50 charts of women who did not receive perinatal CBT) from
the past five years. The efficacy of the intervention will be based on a
reduction of mental illness symptomatology, improved maternal-infant attachment,
maternal coping, and maternal quality of life. Additionally, the feasibility of
the protocol and acceptability of the intervention from the women's perspective
will be examined. Inductive content analysis of all qualitative data will be used
to determine common themes. Additionally, descriptive statistics, including
measures of central tendency and dispersion, will be computed for all continuous
variables. Alternatively, frequency tables will be constructed for all
categorical variables. RESULTS: The work reported here is in the proposal phase.
Once the protocol is implemented, we will report the results in a follow-up
paper. Participant recruitment for cohort 1 has started and we have finished data
collection for cohort 2. It is anticipated that the results will be available by
the end of 2018. CONCLUSIONS: Findings will assess the acceptability of the study
methodology and protocol for a full-scale randomized controlled trial.
Furthermore, if CBT is proven effective for pregnant survivors of IPV, this
intervention could be readily adopted by health care and social support services,
thereby contributing to an improved standard of care for this unique population.
TRIAL REGISTRATION: ClinicalTrials.gov NCT03536442;
https://www.clinicaltrials.gov/ct2/show/NCT03536442 (Archived by WebCite at
http://www.webcitation.org/6zeurv1ay). REGISTERED REPORT IDENTIFIER: RR1
10.2196/9820.
PMID- 29802092
TI - A Healthy Eating Education Program for Midwives to Investigate and Explore Their
Knowledge, Understanding, and Confidence to Support Pregnant Women to Eat
Healthily: Protocol for a Mixed-Methods Study.
AB - BACKGROUND: Nutrition and healthy eating behaviors during pregnancy are vitally
important for the health of a mother and her developing baby. However, some
midwives have reported a lack of evidence-based nutrition knowledge for providing
information about healthy eating to women during pregnancy. OBJECTIVE: In this
study, the aim is to design and evaluate a healthy eating education program to
enhance midwives' knowledge, understanding, and confidence to support pregnant
women in South Australia to make healthy eating choices. METHODS: This mixed
methods study consists of two phases. The first phase, Phase 1, consists of an
education program for midwives, "Healthy Eating in Pregnancy," to be delivered
through a workshop or webinar. Each midwife will attend one workshop or webinar,
which will be approximately two hours in length. This program will be evaluated
through pre-, immediate-, and post-educational questionnaires utilizing a website
specifically designed for this study. The participants will be midwives who are
members of the Australian College of Midwives and the Australian Nursing and
Midwives Federation, and users of social media (eg, Facebook and Twitter)
residing and employed in South Australia. Phase 2 will consist of semistructured
interviews with a purposive sample of midwives. These interviews will be
undertaken to gain an in-depth understanding of midwives' views and how confident
they feel educating pregnant women after receiving the healthy eating education.
Interviews will be face-to-face or conducted by telephone with midwives who have
participated in the healthy eating educational program. RESULTS: A systematic
review has previously been undertaken to inform this study protocol. This paper
describes and discusses the protocol for this mixed-methods study, which will be
completed in April 2019. CONCLUSIONS: The results from the systematic review
suggest that there is clear justification to undertake this mixed-methods study
to investigate and explore midwives' knowledge, understanding and confidence to
support healthy eating in pregnant women. The results and conclusions from the
systematic review provided some guidance for the design and development of this
study protocol. This mixed-methods study will address a gap in the literature.
The results from quantitative and qualitative data sources in this proposed study
will help to draw conclusions to address the research topic. REGISTERED REPORT
IDENTIFIER: RR1-10.2196/9861.
PMID- 29802095
TI - Developmental follow-up of children and young people born preterm, NICE guideline
2017.
PMID- 29802094
TI - Holding It Together-Patients' Perspectives on Postoperative Recovery When Using
an e-Assessed Follow-Up: Qualitative Study.
AB - BACKGROUND: There is an emerging trend to perform surgeries as day surgery. After
a day surgery, most of the recovery period takes place at home, and patients are
responsible for their own recovery. It has been suggested that electronic health
(eHealth) technologies can support patients in this process. A mobile app has
recently been developed to assess and follow up on postoperative recovery after a
day surgery. OBJECTIVE: The aim of this study was to explore experiences
associated with postoperative recovery after a day surgery in patients using a
mobile app to assess the quality of their recovery. METHODS: This is a
qualitative interview study with an explorative and descriptive design.
Participants were recruited from 4 different day surgery units in different parts
of Sweden. The study included 18 participants aged >17 years who had undergone
day surgery and used the Recovery Assessment by Phone Points, a mobile app for
follow-up on postoperative recovery after day surgery. Participants were
purposively selected to ensure maximum variation. Semistructured individual
interviews were conducted. Data were analyzed using thematic analysis. RESULTS: A
total of two themes and six subthemes emerged from the data: (1) the theme Give
it all you've got with the subthemes Believing in own capacity, Being prepared,
and Taking action, where participants described their possibilities of
participating and themselves contributing to improving their postoperative
recovery; and (2) the theme The importance of feeling safe and sound with the
subthemes Feeling safe and reassured, Not being acknowledged, and Not being left
alone, which describe the importance of support from health care professionals
and next of kin. CONCLUSIONS: It is important that patients feel safe, reassured,
and acknowledged during their postoperative recovery. They can achieve this
themselves with sufficient support and information from the health care
organization and their next of kin. Using a mobile app, both for assessment and
to enable contact with the day surgery unit during the postoperative recovery
period, can improve care and create a feeling of not being alone after surgery.
We propose that postoperative recovery starts in the prerecovery phase when
patients prepare for their recovery to get the best possible outcome from their
surgery.
PMID- 29802096
TI - Fifteen-minute consultation: The angry child.
AB - Children who present with difficult behaviours due to underlying anger are
commonly presented to paediatricians for help and advice. This paper gives a
basic structure of how to approach the subject, by exploring whether the problems
occur at home, school or both, and analysing the behaviour by focusing on
antecedents, behaviour and consequences. It is important to find the underlying
causes in order to know what to recommend, as this can vary from medication,
anger management strategies, school-based interventions, parenting programmes or
family therapy.
PMID- 29802093
TI - Computer-Based Driving in Dementia Decision Tool With Mail Support: Cluster
Randomized Controlled Trial.
AB - BACKGROUND: Physicians often find significant challenges in assessing automobile
driving in persons with mild cognitive impairment and mild dementia and deciding
when to report to transportation administrators. Care must be taken to balance
the safety of patients and other road users with potential negative effects of
issuing such reports. OBJECTIVE: The aim of this study was to assess whether a
computer-based Driving in Dementia Decision Tool (DD-DT) increased appropriate
reporting of patients with mild dementia or mild cognitive impairment to
transportation administrators. METHODS: The study used a parallel-group cluster
nonblinded randomized controlled trial design to test a multifaceted knowledge
translation intervention. The intervention included a computer-based decision
support system activated by the physician-user, which provides a recommendation
about whether to report patients with mild dementia or mild cognitive impairment
to transportation administrators, based on an algorithm derived from earlier
work. The intervention also included a mailed educational package and Web-based
specialized reporting forms. Specialists and family physicians with expertise in
dementia or care of the elderly were stratified by sex and randomized to either
use the DD-DT or a control version of the tool that required identical data input
as the intervention group, but instead generated a generic reminder about the
reporting legislation in Ontario, Canada. The trial ran from September 9, 2014 to
January 29, 2016, and the primary outcome was the number of reports made to the
transportation administrators concordant with the algorithm. RESULTS: A total of
69 participating physicians were randomized, and 36 of these used the DD-DT; 20
of the 35 randomized to the intervention group used DD-DT with 114 patients, and
16 of the 34 randomized to the control group used it with 103 patients. The
proportion of all assessed patients reported to the transportation administrators
concordant with recommendation did not differ between the intervention and the
control groups (50% vs 49%; Z=-0.19, P=.85). Two variables predicted algorithm
based reporting-caregiver concern (odds ratio [OR]=5.8, 95% CI 2.5-13.6, P<.001)
and abnormal clock drawing (OR 6.1, 95% CI 3.1-11.8, P<.001). CONCLUSIONS: On the
basis of this quantitative analysis, in-office abnormal clock drawing and
expressions of concern about driving from caregivers substantially influenced
physicians to report patients with mild dementia or mild cognitive impairment to
transportation administrators, but the DD-DT tool itself did not increase such
reports among these expert physicians. TRIAL REGISTRATION: ClinicalTrials.gov
NCT02036099; https://clinicaltrials.gov/ct2/show/NCT02036099 (Archived by WebCite
at http://www.webcitation.org/6zGMF1ky8).
PMID- 29802097
TI - 15-minute consultation: Using point of care ultrasound to assess children with
respiratory failure.
AB - Point of care ultrasound (POCUS) is well established in adult emergency medicine
and critical care. It is used for immediate diagnosis and evaluation of the
impact of bedside interventions in the acutely unwell child. This article
highlights how ultrasound can be helpful in paediatric practice when dealing with
the neonate, infant or older child with undifferentiated respiratory distress,
respiratory failure or ventilation problems. It highlights indications for use,
key diagnostic features of common pathology and outlines the benefits of POCUS in
everyday practice.
PMID- 29802098
TI - Prostate screening could rise again after new US cancer data.
PMID- 29802099
TI - Infographic: Exercise to prevent falls in older adults.
PMID- 29802100
TI - Sickness absence rates across the NHS.
PMID- 29802101
TI - Neratinib: Inching Up on the Cure Rate of HER2+ Breast Cancer?
AB - Neratinib was recently approved by the FDA for extended adjuvant treatment of
HER2+ breast cancer. The ExteNET trial showed improvement in invasive disease
free survival (iDFS) in the neratinib arm compared with placebo. The benefit was
more pronounced in patients with estrogen receptor-positive (ER+)/HER2+ tumors,
suggesting bidirectional cross-talk between the ER and HER pathways. Clin Cancer
Res; 24(15); 3483-5. (c)2018 AACRSee related article by Singh et al., p. 3486.
PMID- 29802102
TI - Comparison of infant heart rate assessment by auscultation, ECG and oximetry in
the delivery room.
AB - Clinical assessment of an infant's heart rate (HR) in the delivery room (DR) has
been reported to be inaccurate. We compared auscultation of the HR using a
stethoscope with electrocardiography (ECG) and pulse oximetry (PO) for
determining the HR in 92 low-risk newborn infants in the DR. Caregivers
auscultated the HR while masked to the HR on the monitor. Auscultation
underestimated ECG HR (mean difference (95% CI) by -9 (-15 to -2) beats per
minute (bpm)) and PO HR (mean difference (95% CI) by -5 (-12 to 2) bpm). The
median (IQR) time to HR by auscultation was 14 (10-18) s. As HR was determined
quickly and with reasonable accuracy by auscultation in low-risk newborns, study
in high-risk infants is warranted.
PMID- 29802104
TI - Brexit uncertainty is unsettling EU doctors working in UK.
PMID- 29802103
TI - Learning from claims: hyperbilirubinaemia and kernicterus.
AB - We examined claims made against the National Health Service (NHS) involving
neonatal jaundice in order to determine whether there were lessons that could be
learnt from common themes.This was a retrospective anonymised study using
information from the NHS Resolution database for 2001-2011.Twenty cases (16
males) had sufficient information for analysis. Fifteen had confirmed cerebral
palsy and two young children had damage to the globus pallidus without confirmed
CP. In three cases, the outcome was uncertain. Two were extremely preterm, five
were born at 34-36 weeks' gestation. Jaundice was typically present very early in
life; in four cases, it was noted at less than 24hours of age, and in 14 cases,
it was first noted on the second to third day. There was a lag between
recognition and readmission, with a range of 26-102 hours. The peak serum
bilirubin level was over 600 umol/L in all the babies born at term. An underlying
diagnosis was found in all but two; six had glucose-6-phosphatase deficiency (one
also had Gilbert's syndrome); five were diagnosed with ABO incompatibility; three
with Rh haemolytic disease; one with spherocytosis and three preterm. The total
cost of these claims by August 2017 was almost L150.5 million. This figure is
likely to rise.These data show that, in the group who litigate, babies who
develop kernicterus generally have an underlying diagnosis. We recommend
adherence to theNational Institute for Health and Care Excellence guideline that
recommends measuring the bilirubin level within 6 hours in all babies who are
visibly jaundiced.
PMID- 29802105
TI - Pharmacists say public awareness could aid 340B program.
PMID- 29802107
TI - Summaries of safety labeling changes approved by FDA-Boxed warnings highlights,
January-March 2018.
PMID- 29802108
TI - Bringing medication prescribing out of the dark: Time for full disclosure.
PMID- 29802109
TI - Reduction of anxiety after treatment with transdermal clonidine.
PMID- 29802110
TI - Proprotein convertase subtilisin/kexin type 9 inhibitors for reduction of
cardiovascular events.
AB - PURPOSE: The efficacy, safety, and place in therapy of proprotein convertase
subtilisin/kexin type 9 (PCSK9) inhibitors for lipid lowering are reviewed.
SUMMARY: PCSK9 inhibitors are injectable monoclonal antibodies that increase the
availability of low-density lipoprotein (LDL) receptors, resulting in a reduction
in serum LDL cholesterol (LDL-C). The currently available PCSK9 inhibitors
alirocumab and evolocumab were shown to reduce LDL-C concentrations by
approximately 55-60% relative to placebo use when used as monotherapy or added to
other lipid-lowering therapies. A large randomized controlled trial of evolocumab
demonstrated a reduction in cardiovascular events that translated to a 16%
relative risk reduction per 1-mmol/L (39-mg/dL) reduction in LDL-C over 2 years,
nearly identical to risk reductions reported with use of statins for LDL-C
lowering. Another large outcome trial with alirocumab is ongoing. PCSK9
inhibitors are well tolerated, and minor injection-site reaction is the only
known adverse effect. Routine use of these agents in all patients with
cardiovascular disease is not cost-effective at the current annual cost of
therapy of approximately $14,000 in the United States and $7,000 in other Western
countries. Careful patient selection may increase the benefit-to-cost ratio of
these agents. CONCLUSION: The PCSK9 inhibitors alirocumab and evolocumab, as
adjuncts to oral lipid-lowering agents or as monotherapy, lower serum LDL-C
concentrations and reduce the risk of cardiovascular events. These agents are
safe and well tolerated, but high cost and lack of cost-effectiveness limit their
routine use.
PMID- 29802111
TI - Pharmacotherapy considerations for long-term management of patients with left
ventricular assist devices.
AB - PURPOSE: Current guidelines and research pertaining to pharmacotherapy
considerations for management of patients with left ventricular assist devices
(LVADs) are reviewed. SUMMARY: LVADs are being used more frequently to sustain
patients with end-stage heart failure who are on a waitlist or ineligible for
heart transplantation. The devices significantly impact patient physiology
leading to unique medical complications and pharmacotherapy considerations.
Pharmacists can play a vital role in understanding and relaying relevant
medication concerns to the interdisciplinary healthcare team. Although optimal
treatment regimens for some complications are still unclear, evolving research
provides key information to incorporate into decisions. The introduction of an
LVAD requires antithrombotic therapy in the absence of contraindications to
prevent thrombosis. Warfarin with a device-dependent International Normalized
Ratio (INR) goal is preferred. The baseline INR goal is usually 2-3 but can be
adjusted for recurrent thrombosis or refractory bleeding. When infection is
suspected, cultures should be obtained, covering likely pathogens with
consideration of resistance in long-standing infections. Chronic antimicrobial
suppression may be warranted. Elimination rate constant and volume of
distribution may be altered. LVAD implantation may improve glycemic control.
Doppler probe is preferred to monitor blood pressure, with a goal mean arterial
pressure of <=80 mm Hg. CONCLUSION: Many pharmacotherapy considerations are
necessary for the long-term management of patients with an LVAD. Awareness of
LVAD structure, pathophysiologic alterations after LVAD implantation, and
pharmacologic considerations will help pharmacists provide better
recommendations.
PMID- 29802112
TI - Tools of the trade: Creativity, innovation, influence, and advocacy.
PMID- 29802113
TI - Roles of the emergency medicine pharmacist: A systematic review.
AB - PURPOSE: Results of a systematic literature review to identify roles for
emergency medicine (EM) pharmacists beyond traditionally reported activities and
to quantify the benefits of these roles in terms of patient outcomes are
reported. SUMMARY: Emergency department (ED)-based clinical pharmacy is a rapidly
growing practice area that has gained support in a number of countries globally,
particularly over the last 5-10 years. A systematic literature search covering
the period 1995-2016 was conducted to characterize emerging EM pharmacist roles
and the impact on patient outcomes. Six databases were searched for research
publications on pharmacist participation in patient care in a general ED or
trauma center that documented interventions by ED-based pharmacists; 15 results
satisfied the inclusion criteria. Six reported studies evaluated EM pharmacist
involvement in the care of critically ill patients, 5 studies evaluated
antimicrobial stewardship (AMS) activities via pharmacist review of positive
cultures, 2 studies assessed pharmacist involvement in generating orders for
nurse-administered home medications and 2 reviewed publications focused on EM
pharmacist involvement in management of healthcare-associated pneumonia and
dosing of phenytoin. A diverse range of positive patient outcomes was identified.
The included studies were assessed to be of low quality. CONCLUSION: A systematic
review of the literature revealed 3 key emerging areas of practice for the EM
pharmacist that are associated with positive patient outcomes. These included
involvement in management of critically ill patients, AMS roles, and ordering of
home medications in the ED.
PMID- 29802114
TI - Preparation times and costs for various solutions used for continuous renal
replacement therapy.
AB - PURPOSE: Results of a study to determine time and cost requirements for final
preparation of continuous renal replacement therapy (CRRT) products are reported.
METHODS: A 3-phase observational study was conducted at a tertiary care
university hospital to evaluate costs associated with manual addition of
phosphate and/or potassium to 3 commercial 5-L CRRT products. In the first phase
of the study, pharmacy workflow processes for solution preparation were
established; in the second phase, pharmacist and pharmacy technician time spent
in the CRRT workflow and all materials used were observed and recorded. In the
third phase, time and personnel requirements were analyzed in economic terms to
estimate final preparation costs. RESULTS: Through direct observation over 35
days, the CRRT workflow was observed and work times recorded for 511 bag
preparations. The main cost contributors were the base CRRT solution and
electrolyte additive prices. Technician compounding time differed substantially
by solution brand and the need for electrolyte addition. Pharmacist verification
time did not differ meaningfully by product. CONCLUSION: Preparation and
verification of premade CRRT solutions that contained physiological electrolyte
concentrations required less technician and pharmacist time than solutions that
needed addition of electrolytes in the pharmacy. Personnel costs, which were a
small part of the total cost of dispensed CRRT bags, were higher for technicians
than pharmacists. The baseline costs of the solutions and the electrolyte
additives, if needed, were the main contributors to total cost.
PMID- 29802115
TI - Drug shortages roundtable: Minimizing the impact on patient care.
PMID- 29802116
TI - Summaries of safety labeling changes approved by FDA-Boxed warnings highlights,
January-March 2018.
PMID- 29802117
TI - Promoting Oral Health in Childhood: A Quality Improvement Project.
AB - BACKGROUND AND OBJECTIVES: The American Academy of Pediatrics recommends periodic
oral health risk assessments (OHRAs) for young children to prevent early
childhood caries and promote oral health. The objective of this quality
improvement project was to incorporate OHRAs, including documentation of the oral
screening examination, into well-child visits for patients aged 12 to 47 months
to drive (1) improved rates of preventive fluoride varnish (FV) application and
(2) improved dental referrals for children at high risk for caries. METHODS: We
identified a quality gap in our OHRAs, oral examination completion, FV
application rates, and dental referral rates via retrospective data collection.
Plan-Do-Study-Act cycles targeted modification of electronic medical record
templates, oral health education, and standardization of work processes. Process
and outcome measures were analyzed with statistical process control charts.
RESULTS: At baseline, OHRAs and oral screening examinations were documented in
<2% of patients. Of eligible children, 42% had FV applied. Routine dental
referrals before age 3 years were uncommon. After multiple Plan-Do-Study-Act
cycles, documentation of OHRAs and oral screening examinations (process measures)
improved to 45% and 73%, respectively. The primary outcome measure, FV rates,
improved to 86%. Referral of high-risk patients to a dentist improved to 54%.
CONCLUSIONS: A systematic, evidence-based approach to improving oral health,
including electronic medical record-based interventions, resulted in improved
documentation of oral health risks and oral screening, improved rates of FV
application in young children, and increased identification and referral of high
risk patients.
PMID- 29802119
TI - 'No' to lesbian motherhood using human nuclear genome transfer.
AB - Giulia Cavaliere and Cesar Palacios-Gonzalez argue that lesbian couples should
have access to human nuclear genome transfer (so-called mitochondrial
replacement) so that both members of the couple can have a genetic link to the
child they intend to parent. Their argument is grounded in an appeal to
reproductive freedom. In this Response, I address a number of concerns with their
argument. These concerns relate to nomenclature, treating like cases alike,
genetic-relatedness and the limits of reproductive rights. On this last point, I
insist that we should not mistake 'wants' for 'needs' or 'rights'. I maintain
that there is no right to biological parenthood, there is no compelling need for
human nuclear genome transfer to satisfy a so-called need for genetically-related
children, and we ought not to pander to an acquired desire (ie, want) for genetic
filiation.
PMID- 29802118
TI - DIS3 isoforms vary in their endoribonuclease activity and are differentially
expressed within haematological cancers.
AB - DIS3 (defective in sister chromatid joining) is the catalytic subunit of the
exosome, a protein complex involved in the 3'-5' degradation of RNAs. DIS3 is a
highly conserved exoribonuclease, also known as Rrp44. Global sequencing studies
have identified DIS3 as being mutated in a range of cancers, with a considerable
incidence in multiple myeloma. In this work, we have identified two protein
coding isoforms of DIS3. Both isoforms are functionally relevant and result from
alternative splicing. They differ from each other in the size of their N-terminal
PIN (PilT N-terminal) domain, which has been shown to have endoribonuclease
activity and tether DIS3 to the exosome. Isoform 1 encodes a full-length PIN
domain, whereas the PIN domain of isoform 2 is shorter and is missing a segment
with conserved amino acids. We have carried out biochemical activity assays on
both isoforms of full-length DIS3 and the isolated PIN domains. We find that
isoform 2, despite missing part of the PIN domain, has greater endonuclease
activity compared with isoform 1. Examination of the available structural
information allows us to provide a hypothesis to explain this altered behaviour.
Our results also show that multiple myeloma patient cells and all cancer cell
lines tested have higher levels of isoform 1 compared with isoform 2, whereas
acute myeloid leukaemia and chronic myelomonocytic leukaemia patient cells and
samples from healthy donors have similar levels of isoforms 1 and 2. Taken
together, our data indicate that significant changes in the ratios of the two
isoforms could be symptomatic of haematological cancers.
PMID- 29802120
TI - The Protein Tyrosine Phosphatase Activity of Eyes Absent Contributes to Tumor
Angiogenesis and Tumor Growth.
AB - DNA damage repair capacity is required for cells to survive catastrophic DNA
damage and proliferate under conditions of intratumoral stress. The ability of
the minor histone protein H2AX to serve as a hub for the assembly of a productive
DNA damage repair complex is a necessary step in preventing DNA damage-induced
cell death. The Eyes Absent (EYA) proteins dephosphorylate the terminal tyrosine
residue of H2AX, thus permitting assembly of a productive DNA repair complex.
Here, we use genetic and chemical biology approaches to separately query the
roles of host vascular endothelial cell and tumor cell EYA in tumor growth.
Deletion of Eya3 in host endothelial cells significantly reduced tumor
angiogenesis and limited tumor growth in xenografts. Deletion of Eya3 in tumor
cells reduced tumor cell proliferation and tumor growth without affecting tumor
angiogenesis. A chemical inhibitor of the EYA tyrosine phosphatase activity
inhibited both tumor angiogenesis and tumor growth. Simultaneously targeting the
tumor vasculature and tumor cells is an attractive therapeutic strategy because
it could counter the development of the more aggressive phenotype known to emerge
from conventional antiangiogenic agents. Mol Cancer Ther; 17(8); 1659-69. (c)2018
AACR.
PMID- 29802121
TI - Telomerase can't handle the stress.
AB - Telomerase counteracts the telomere shortening that occurs with each round of
cell division. In normal human cells, telomerase is repressed, leading to
telomere shortening that triggers replicative senescence. However, in most
tumors, telomerase is up-regulated and is essential for telomere maintenance and
tumor cell growth. Although long considered a viable target for tumor therapy,
successful inhibition of telomerase in cancer therapy remains to be described. In
this issue of Genes & Development, Ahmed and Lingner (pp. 658-669) uncover a
vulnerability in telomerase upon exposure of cancer cells to oxidative stress. It
has long been known that telomeres are sensitive to damage by reactive oxygen
species (ROS), but the impact of oxidation on telomerase function in living cells
was not known. Using gene knockouts in colon cancer cells, the investigators
demonstrate that the antioxidant enzyme peroxiredoxin 1 (PRDX1) and the nudix
phosphohydrolase superfamily enzyme (MTH1) cooperate to retain, upon oxidative
stress, telomeres in a telomerase-extendible state. Considering that cancer cells
are more vulnerable to ROS than noncancer cells, this work may open new avenues
targeting telomeres and telomerase in tumor cells.
PMID- 29802122
TI - Size matters: conserved proteins function in length-dependent nuclear export of
circular RNAs.
AB - Circular RNAs (circRNAs) comprise a recently appreciated category of RNAs that
are in high abundance and serve important biological functions. Although several
discoveries have been made regarding the biogenesis and functions of circRNAs,
their subcellular trafficking has remained largely unknown. In this issue of
Genes & Development, Huang and colleagues (pp. 639-644) reported the first study
of the nuclear export of circRNAs. Drosophila Hel25E and its human homologs,
UAP56 and URH49, are required for nuclear export of circRNAs. Nuclear export of
circRNAs is surprisingly length-dependent, and the length measurement mechanism
was shown to be controlled by motifs in Hel25E and its homologs consisting of
four amino acids.
PMID- 29802125
TI - Clinical Pharmacology of Oral Anticoagulants in Patients with Kidney Disease.
AB - Oral anticoagulants are commonly used drugs in patients with CKD and patients
with ESKD to treat atrial fibrillation to reduce stroke and systemic embolism.
Some of these drugs are used to treat or prevent deep venous thrombosis and
pulmonary embolism in patients with CKD who undergo knee and hip replacement
surgeries. Warfarin is the only anticoagulant that is approved for use by the
Food and Drug Administration in individuals with mechanical heart valves. Each
oral anticoagulant affects the coagulation profile in the laboratory uniquely.
Warfarin and apixaban are the only anticoagulants that are Food and Drug
Administration approved for use in patients with CKD and patients with ESKD.
However, other oral anticoagulants are commonly used off label in this patient
population. Given the acquired risk of bleeding from uremia, these drugs are
known to cause increased bleeding events, hospitalization, and overall morbidity.
Each anticoagulant has unique pharmacologic properties of which nephrologists
need to be aware to optimally manage patients. In addition, nephrologists are
increasingly asked to aid in the management of adverse bleeding events related to
oral anticoagulant use in patients with CKD and patients with ESKD. This article
summarizes the clinical pharmacology of these drugs and identifies knowledge gaps
in the literature related to their use.
PMID- 29802126
TI - ATXN3 Positively Regulates Type I IFN Antiviral Response by Deubiquitinating and
Stabilizing HDAC3.
AB - Ataxin-3 (ATXN3) belongs to the Josephin family of deubiquitinases. So far, ATXN3
is majorly linked to the neurodegenerative disease, Machado-Joseph disease. The
role of ATXN3 in the antiviral function has not been explored, and the in vivo
deubiquitinating activity of ATXN3 remains largely unknown. In this study, we
report that ATXN3 is an important positive regulator of type I IFN (IFN-I)
mediated antiviral activity in murine primary lung cells and human epithelial and
fibroblast cell lines. We clarify that ATXN3 does not promote IFN-I production,
but enhances the IFN-I-mediated signaling pathway. Furthermore, ATXN3 physically
interacts with histone deacetylase 3 (HDAC3) and upregulates the level of HDAC3
protein. Moreover, ATXN3 deubiquitinates HDAC3, thereby enhancing HDAC3 protein
stability. Interestingly, the interaction between ATXN3 and HDAC3 increases
during viral infection, which promotes IFN-I-induced signaling in murine primary
lung cells. Finally, we reveal the ATXN3/HDAC3 axis-mediated regulation of IFN-I
antiviral response. These findings reveal a novel biological function of ATXN3
and an important antiviral mechanism by which the deubiquitinase ATXN3 positively
regulates IFN-I antiviral response, and they may provide a novel strategy for
enhancing IFN-based antiviral therapy.
PMID- 29802123
TI - Regulation of lipid peroxidation and ferroptosis in diverse species.
AB - Lipid peroxidation is the process by which oxygen combines with lipids to
generate lipid hydroperoxides via intermediate formation of peroxyl radicals.
Vitamin E and coenzyme Q10 react with peroxyl radicals to yield peroxides, and
then these oxidized lipid species can be detoxified by glutathione and
glutathione peroxidase 4 (GPX4) and other components of the cellular antioxidant
defense network. Ferroptosis is a form of regulated nonapoptotic cell death
involving overwhelming iron-dependent lipid peroxidation. Here, we review the
functions and regulation of lipid peroxidation, ferroptosis, and the antioxidant
network in diverse species, including humans, other mammals and vertebrates,
plants, invertebrates, yeast, bacteria, and archaea. We also discuss the
potential evolutionary roles of lipid peroxidation and ferroptosis.
PMID- 29802127
TI - Human Naive T Cells Express Functional CXCL8 and Promote Tumorigenesis.
AB - Naive T cells are thought to be functionally quiescent. In this study, we studied
and compared the phenotype, cytokine profile, and potential function of human
naive CD4+ T cells in umbilical cord and peripheral blood. We found that naive
CD4+ T cells, but not memory T cells, expressed high levels of chemokine CXCL8.
CXCL8+ naive T cells were preferentially enriched CD31+ T cells and did not
express T cell activation markers or typical Th effector cytokines, including IFN
gamma, IL-4, IL-17, and IL-22. In addition, upon activation, naive T cells
retained high levels of CXCL8 expression. Furthermore, we showed that naive T
cell-derived CXCL8 mediated neutrophil migration in the in vitro migration assay,
supported tumor sphere formation, and promoted tumor growth in an in vivo human
xenograft model. Thus, human naive T cells are phenotypically and functionally
heterogeneous and can carry out active functions in immune responses.
PMID- 29802124
TI - The impact of mitotic errors on cell proliferation and tumorigenesis.
AB - Mitosis is a delicate event that must be executed with high fidelity to ensure
genomic stability. Recent work has provided insight into how mitotic errors shape
cancer genomes by driving both numerical and structural alterations in
chromosomes that contribute to tumor initiation and progression. Here, we review
the sources of mitotic errors in human tumors and their effect on cell fitness
and transformation. We discuss new findings that suggest that chromosome
missegregation can produce a proinflammatory environment and impact tumor
responsiveness to immunotherapy. Finally, we survey the vulnerabilities exposed
by cell division errors and how they can be exploited therapeutically.
PMID- 29802128
TI - A2A Adenosine Receptor Gene Deletion or Synthetic A2A Antagonist Liberate Tumor
Reactive CD8+ T Cells from Tumor-Induced Immunosuppression.
AB - Tumor hypoxia-driven accumulation of extracellular adenosine was shown to
facilitate tumor evasion by engaging the immunosuppressive, intracellular cAMP
elevating A2 adenosine receptors (A2R) on tumor-reactive effector T cells, but
there remains a need for careful evaluation of the limiting factors and
properties of A2R blockade-enabled antitumor immunity. In studies of A2AR and/or
A2BR gene-deficient mice, we found that A2AR deletion-but not A2BR deletion
liberates endogenous CD8+ T cell antitumor immunity against weakly immunogenic
MCA205 sarcomas. Studies of adoptively transferred A2AR-/-, A2BR-/-, or A2AR-/
/A2BR-/- tumor-reactive T cells confirmed that immunosuppression in the tumor
microenvironment was mediated by A2AR on CD8+ T cells. Treatment with A2AR
antagonist mimicked A2AR gene deletion in adoptive T cell immunotherapy. This
therapeutic benefit of targeting A2AR was independent of the anatomical location
of tumor growth. The enhanced antitumor reactivity also led to the eradication of
established intracranial tumors, which was associated with mouse survival and the
maintenance of long-lasting, tumor-specific immunological memory. The blockade of
the A2AR on adoptively transferred T cells by synthetic A2AR antagonist led to
higher levels of IFN-gamma secretion by tumor-infiltrating CD8+ T cells. These
data clarify the mechanism of hypoxia-driven immunosuppression in the tumor
microenvironment by A2AR on tumor-reactive CD8+ T cells and show that selective
A2AR antagonists can be effective in improving the outcomes of T cell-based
immunotherapies. Demonstration of the T cell dose dependency of tumor rejection
points to a major limitation of current cancer immunotherapies, in which the
presence of sufficient numbers of tumor-reactive T cells in a patient is not
known.
PMID- 29802129
TI - Production of Elastin-Derived Peptides Contributes to the Development of
Nonalcoholic Steatohepatitis.
AB - Affecting more than 30% of the Western population, nonalcoholic fatty liver
disease (NAFLD) is the most common liver disease and can lead to multiple
complications, including nonalcoholic steatohepatitis (NASH), cancer,
hypertension, and atherosclerosis. Insulin resistance and obesity are described
as potential causes of NAFLD. However, we surmised that factors such as
extracellular matrix remodeling of large blood vessels, skin, or lungs may also
participate in the progression of liver diseases. We studied the effects of
elastin-derived peptides (EDPs), biomarkers of aging, on NAFLD progression. We
evaluated the consequences of EDP accumulation in mice and of elastin receptor
complex (ERC) activation on lipid storage in hepatocytes, inflammation, and
fibrosis development. The accumulation of EDPs induces hepatic lipogenesis (i.e.,
SREBP1c and ACC), inflammation (i.e., Kupffer cells, IL-1beta, and TGF-beta), and
fibrosis (collagen and elastin expression). These effects are induced by
inhibition of the LKB1-AMPK pathway by ERC activation. In addition,
pharmacological inhibitors of EDPs demonstrate that this EDP-driven lipogenesis
and fibrosis relies on engagement of the ERC. Our data reveal a major role of
EDPs in the development of NASH, and they provide new clues for understanding the
relationship between NAFLD and vascular aging.
PMID- 29802130
TI - How to avoid common problems when using ClinicalTrials.gov in research: 10 issues
to consider.
PMID- 29802131
TI - Incidence and trends of central line associated pneumothorax using radiograph
report text search versus administrative database codes.
AB - BACKGROUND: Central line associated pneumothorax (CLAP) could be a good quality
of care indicator because they are objectively measured, clearly undesirable and
possibly avoidable. We measured the incidence and trends of CLAP using radiograph
report text search with manual review and compared them with measures using
routinely collected health administrative data. METHODS: For each hospitalisation
to a tertiary care teaching hospital between 2002 and 2015, we searched all chest
radiography reports for a central line with a sensitive computer algorithm.
Screen positive reports were manually reviewed to confirm central lines. The
index and subsequent chest radiography reports were screened for pneumothorax
followed by manual confirmation. Diagnostic and procedural codes were used to
identify CLAP in administrative data. RESULTS: In 685 044 hospitalisations, 10
819 underwent central line insertion (1.6%) with CLAP occurring 181 times (1.7%).
CLAP risk did not change over time. Codes for CLAP were inaccurate (sensitivity
13.8%, positive predictive value 6.6%). However, overall code-based CLAP risk
(1.8%) was almost identical to actual values possibly because patient strata with
inflated CLAP risk were balanced by more common strata having underestimated CLAP
risk. Code-based methods inflated central line incidence 2.2 times and
erroneously concluded that CLAP risk decreased significantly over time.
CONCLUSIONS: Using valid methods, CLAP incidence was similar to those in the
literature but has not changed over time. Although administrative database codes
for CLAP were very inaccurate, they generated CLAP risks very similar to actual
values because of offsetting errors. In contrast to those from radiograph report
text search with manual review, CLAP trends decreased significantly using
administrative data. Hospital CLAP risk should not be measured using
administrative data.
PMID- 29802132
TI - Stroke: Highlights of Selected Articles.
PMID- 29802133
TI - Hunt's ACOs could undermine NHS principles, judge hears.
PMID- 29802134
TI - Qualitative analysis of serious case reviews into unexpected infant deaths.
AB - OBJECTIVE: To develop a detailed understanding of the circumstances of sudden
unexpected death in infancy (SUDI) cases subject to serious case review (SCR).
DESIGN: This was a thematic analysis of SCRs relating to cases of SUDI in
England. SCRs were obtained for SUDI cases dying between 1 April 2011 and 31
March 2014. These were cases (aged 0-2 years) that presented as a SUDI and for
which no clear medical or forensic cause of death was found. RESULTS: SCRs were
held for 30 SUDI cases, published reports were available for 27/30. The median
(range) age at death was 2 (0-19) months. Background risk factors in families
included: alcohol or drug dependency in 18/27, parental mental health problems in
14/27, domestic abuse in 9/27 and parental criminal records in 13/27. Nineteen
infants had received support from social care, 10/19 were subject to child
protection plans. Neglect was a feature in 15/27 cases. Parents did not engage
with professionals in 18/27 cases, involving social care in 14/18, health care in
13/18 and drug and substance misuse services in 5/18. Eighteen of 27 deaths
occurred in highly hazardous sleep environments, 16/18 involved cosleeping and
13/16 cosleeping deaths occurred with parents who were intoxicated with alcohol
or impaired by drugs. CONCLUSION: Most SUDI cases occurred in hazardous sleep
environments and are potentially preventable. They occurred in families well
known to services with concerns about neglect, substance misuse and poor
engagement. More consideration is needed on how best to support such vulnerable
families.
PMID- 29802135
TI - A rare complication of ventriculoperitoneal shunt in a neonate.
PMID- 29802136
TI - Distress during airway sampling in children with cystic fibrosis.
AB - BACKGROUND: Oropharyngeal suction and oropharyngeal swab are two methods of
obtaining airway samples with similar diagnostic accuracy in children with cystic
fibrosis (CF). The primary aim was comparing distress between suctioning and
swabbing. A secondary aim was establishing the reliability of the Groningen
Distress Rating Scale (GDRS). METHODS: Randomised oropharyngeal suction or swab
occurred over two visits. Two physiotherapists and the child's parent rated
distress using the GDRS. Heart rate (HR) was also measured. RESULTS: 24 children
with CF, mean age of 3 years, participated. Both physiotherapist and parent
rating showed significantly higher distress levels during suction than swab.
Inter-rater reliability for the GDRS was very good between physiotherapists, and
good between physiotherapist and parents. CONCLUSION: The study found that
oropharyngeal swab is less distressing in obtaining samples than oropharyngeal
suction and that the GDRS was reliable and valid.
PMID- 29802137
TI - Batten's disease presenting as visual loss in twins.
PMID- 29802138
TI - Identification and treatment of paediatric sepsis: getting the balance right.
PMID- 29802139
TI - An exploration of bioactive peptides: My collaboration with Ervin G. Erdos.
AB - This paper provides a brief historical sketch of the science of biologically
active peptides. It also offers the story of how Ervin G. Erdos, a pioneer in the
study of metabolism of various peptides, influenced me through collaborations
that span many years. I worked in Dr. Erdos's research laboratories in Oklahoma
City, Dallas, and Chicago, and we shared research interests through visits across
the Atlantic between the former Yugoslavia and the United States. Among other
findings, we discovered angiotensin-converting enzyme in the retina, which opened
up a new research direction for many scientists interested in serious ocular
diseases. This tribute to my mentor paints a portrait of a man who, in addition
to his dedication to science and his seminal discoveries about the metabolism of
peptides, took the time to invest in training many young scientists. His fine
personal qualities explain why all of those who worked with him hold him in such
high regard.
PMID- 29802140
TI - Notch inhibitor screening reveals an unexpected HES1 heterodimer.
AB - Notch signaling plays critical roles in cancer progression, motivating efforts to
identify inhibitors of this pathway. Perron et al. report a small-molecule screen
intended to discover compounds that could interfere with the downstream
transcription factor HES1. Target validation of their compounds unexpectedly
identified a novel HES1-interacting protein, prohibitin 2. This highlights a new
mechanism to block Notch signaling and prompting further exploration of HES1
biology.
PMID- 29802141
TI - A missed Fe-S cluster handoff causes a metabolic shakeup.
AB - The general framework of pathways by which iron-sulfur (Fe-S) clusters are
assembled in cells is well-known, but the cellular consequences of disruptions to
that framework are not fully understood. Crooks et al. report a novel cellular
system that creates an acute Fe-S cluster deficiency, using mutants of ISCU, the
main scaffold protein for Fe-S cluster assembly. Surprisingly, the resultant
metabolic reprogramming leads to the accumulation of lipid droplets, a situation
encountered in many poorly understood pathological conditions, highlighting
unanticipated links between Fe-S assembly machinery and human disease.
PMID- 29802142
TI - Protein phosphatase PP5 controls bone mass and the negative effects of
rosiglitazone on bone through reciprocal regulation of PPARgamma (peroxisome
proliferator-activated receptor gamma) and RUNX2 (runt-related transcription
factor 2).
PMID- 29802143
TI - Erratum. Impact of Liraglutide on Amylase, Lipase, and Acute Pancreatitis in
Participants With Overweight/Obesity and Normoglycemia, Prediabetes, or Type 2
Diabetes: Secondary Analyses of Pooled Data From the SCALE Clinical Development
Program. Diabetes Care 2017;40:839-848.
PMID- 29802144
TI - Type 1 Diabetes Self-Management From Emerging Adulthood Through Older Adulthood.
AB - OBJECTIVE: The purpose of this study of adults with type 1 diabetes was to
analyze patterns of diabetes self-management behaviors and predictors of glycemic
control across the adult life span. RESEARCH DESIGN AND METHODS: This study was a
secondary cross-sectional analysis of data of 7,153 adults enrolled in the T1D
Exchange Clinic Registry who were divided into four developmental stages
(emerging, young, middle-aged, and older adults). Data were collected by
questionnaire and medical record review at enrollment. Statistical analyses
compared sociodemographic, clinical, and diabetes-related factors across groups.
Logistic regressions were conducted for each group to identify factors associated
with hemoglobin A1c >=7%. RESULTS: The sample was divided according to adult
developmental stage: emerging adults, age 18 to <25 years (n = 2,478 [35%]);
young adults, age 25 to <45 years (n = 2,274 [32%]); middle-aged adults, age 45
to <65 years (n = 1,868 [26%]); and older adults, age >=65 years (n = 533 [7%]).
Emerging adults had the highest mean hemoglobin A1c level (8.4 +/- 1.7% [68
mmol/mol]), whereas older adults had the lowest level (7.3 +/- 0.97% [56
mmol/mol]; P < 0.0001). Emerging adults were less likely to use an insulin pump
(56%) or a continuous glucose monitor (7%) but were more likely to miss at least
one insulin dose per day (3%) and to have had an episode of diabetic ketoacidosis
in the past year (7%) (all P < 0.0001). Different factors were associated with
hemoglobin A1c >=7% in each age-group, but two factors were noted across several
groups: the frequency of blood glucose checks and missed insulin doses.
CONCLUSIONS: When discussing diabetes self-management, providers may consider a
patient's developmental stage, with its competing demands (such as work and
family), psychosocial adjustments, and the potential burden of comorbidities.
PMID- 29802145
TI - Single- Versus 2-Stent Strategies for Coronary Bifurcation Lesions: A Systematic
Review and Meta-Analysis of Randomized Trials With Long-Term Follow-up.
AB - BACKGROUND: The majority of coronary bifurcation lesions are treated with a
provisional single-stent strategy rather than an up-front 2-stent strategy. This
approach is supported by multiple randomized controlled clinical trials with
short- to medium-term follow-up; however, long-term follow-up data is evolving
from many data sets. METHODS AND RESULTS: Meta-analysis of randomized controlled
trials evaluating long-term outcomes (>=1 year) according to treatment strategy
for coronary bifurcation lesions. Nine randomized controlled trials with 3265
patients reported long-term clinical outcomes at mean weighted follow-up of 3.1+/
1.8 years. Provisional single stenting was associated with lower all-cause
mortality (2.94% versus 4.23%; risk ratio: 0.69; 95% confidence interval, 0.48
1.00; P=0.049; I2=0). There was no difference in major adverse cardiac events
(15.8% versus 15.4%; P=0.79), myocardial infarction (4.8% versus 5.5%; P=0.51),
target lesion revascularization (9.3% versus 7.6%; P=0.19), or stent thrombosis
(1.8% versus 1.6%; P=0.28) between the groups. Prespecified sensitivity analysis
of long-term mortality at a mean of 4.7 years of follow-up showed that the
provisional single-stent strategy was associated with reduced all-cause mortality
(3.9% versus 6.2%; risk ratio: 0.63; 95% confidence interval, 0.42-0.97; P=0.036;
I2=0). CONCLUSIONS: Coronary bifurcation percutaneous coronary intervention using
a provisional single-stent strategy is associated with a reduction in all-cause
mortality at long-term follow-up.
PMID- 29802146
TI - Risk Score to Predict Need for Intensive Care in Initially Hemodynamically Stable
Adults With Non-ST-Segment-Elevation Myocardial Infarction.
AB - BACKGROUND: Intensive care unit (ICU) use for initially stable patients
presenting with non-ST-segment-elevation myocardial infarction (NSTEMI) varies
widely across hospitals and minimally correlates with severity of illness. We
aimed to develop a bedside risk score to assist in identifying high-risk patients
with NSTEMI for ICU admission. METHODS AND RESULTS: Using the Acute Coronary
Treatment and Intervention Outcomes Network (ACTION) Registry linked to Medicare
data, we identified patients with NSTEMI aged >=65 years without cardiogenic
shock or cardiac arrest on presentation. Complications requiring ICU care were
defined as subsequent development of cardiac arrest, shock, high-grade
atrioventricular block, respiratory failure, stroke, or death during the index
hospitalization. We developed and validated a model and integer risk score (Acute
Coronary Treatment and Intervention Outcomes Network (ACTION) ICU risk score)
that uses variables present at hospital admission to predict requirement for ICU
care. Of 29 973 patients with NSTEMI, 4282 (14%) developed a complication
requiring ICU-level care, yet 12 879 (43%) received care in an ICU. Signs or
symptoms of heart failure, initial heart rate, initial systolic blood pressure,
initial troponin, initial serum creatinine, prior revascularization, chronic lung
disease, ST-segment depression, and age had statistically significant
associations with requirement for ICU care after adjusting for other risk
factors. The ACTION ICU risk score had a C-statistic of 0.72. It identified 11%
of patients as having very high risk (>30%) of developing complications requiring
ICU care and 49% as having low likelihood (<10%) of requiring an ICU.
CONCLUSIONS: The ACTION ICU risk score quantifies the risk of initially stable
patients with NSTEMI developing a complication requiring ICU care, and could be
used to more effectively allocate limited ICU resources.
PMID- 29802147
TI - Adjustment for Atherosclerosis Diagnosis Distorts the Effects of Percutaneous
Coronary Intervention and the Ranking of Hospital Performance.
AB - BACKGROUND: Coronary atherosclerosis raises the risk of acute myocardial
infarction (AMI), and is usually included in AMI risk-adjustment models.
Percutaneous coronary intervention (PCI) does not cause atherosclerosis, but may
contribute to the notation of atherosclerosis in administrative claims. We
investigated how adjustment for atherosclerosis affects rankings of hospitals
that perform PCI. METHODS AND RESULTS: This was a retrospective cohort study of
414 715 Medicare beneficiaries hospitalized for AMI between 2009 and 2011. The
outcome was 30-day mortality. Regression models determined the association
between patient characteristics and mortality. Rankings of the 100 largest PCI
and non-PCI hospitals were assessed with and without atherosclerosis adjustment.
Patients admitted to PCI hospitals or receiving interventional cardiology more
frequently had an atherosclerosis diagnosis. In adjustment models,
atherosclerosis was associated, implausibly, with a 42% reduction in odds of
mortality (odds ratio=0.58, P<0.0001). Without adjustment for atherosclerosis,
the number of expected lives saved by PCI hospitals increased by 62% (P<0.001).
Hospital rankings also changed: 72 of the 100 largest PCI hospitals had better
ranks without atherosclerosis adjustment, while 77 of the largest non-PCI
hospitals had worse ranks (P<0.001). CONCLUSIONS: Atherosclerosis is almost
always noted in patients with AMI who undergo interventional cardiology but less
often in medically managed patients, so adjustment for its notation likely
removes part of the effect of interventional treatment. Therefore, hospitals
performing more extensive imaging and more PCIs have higher atherosclerosis
diagnosis rates, making their patients appear healthier and artificially reducing
the expected mortality rate against which they are benchmarked. Thus,
atherosclerosis adjustment is detrimental to hospitals providing more thorough
AMI care.
PMID- 29802148
TI - Association of Fast-Food and Full-Service Restaurant Densities With Mortality
From Cardiovascular Disease and Stroke, and the Prevalence of Diabetes Mellitus.
AB - BACKGROUND: We explored whether higher densities of fast-food restaurants (FFRs)
and full-service restaurants are associated with mortality from cardiovascular
disease (CVD) and stroke and the prevalence of type 2 diabetes mellitus (T2D)
across the mainland United States. METHODS AND RESULTS: In this cross-sectional
study county-level data for CVD and stroke mortality, and prevalence of T2D, were
combined with per capita densities of FFRs and full-service restaurants and
analyzed using regression. Mortality and diabetes mellitus prevalence were
corrected for poverty, ethnicity, education, physical inactivity, and smoking.
After adjustment, FFR density was positively associated with CVD (beta=1.104,
R2=2.3%), stroke (beta=0.841, R2=1.4%), and T2D (beta=0.578, R2=0.6%) and full
service restaurant density was positively associated with CVD mortality
(beta=0.19, R2=0.1%) and negatively related to T2D prevalence (beta=-0.25,
R2=0.3%). In a multiple regression analysis (FFRs and full-service restaurants
together in same model), only the densities of FFRs were significant (and
positive). If we assume these relationships are causal, an impact analysis
suggested that opening 10 new FFRs in a county would lead to 1 extra death from
CVD every 42 years and 1 extra death from stroke every 55 years. Repeated
nationally across all counties, that would be an extra 748 CVD deaths and 567
stroke deaths (and 390 new cases of T2D) over the next 10 years. CONCLUSIONS:
These results suggest that an increased density of FFRs is associated with
increased risk of death from CVD and stroke and increased T2D prevalence, but the
maximal impact (assuming the correlations reflect causality) of each individual
FFR is small. CLINICAL TRIAL REGISTRATION: URL: http://www.clinicaltrials.gov.
Unique identifier: NCT03243253.
PMID- 29802150
TI - A targeted 3D EM and correlative microscopy method using SEM array tomography.
AB - Using electron microscopy to localize rare cellular events or structures in
complex tissue is challenging. Correlative light and electron microscopy
procedures have been developed to link fluorescent protein expression with
ultrastructural resolution. Here, we present an optimized scanning electron
microscopy (SEM) workflow for volumetric array tomography for asymmetric samples
and model organisms (Caenorhabditis elegans, Drosophila melanogaster, Danio
rerio). We modified a diamond knife to simplify serial section array acquisition
with minimal artifacts. After array acquisition, the arrays were transferred to a
glass coverslip or silicon wafer support. Using light microscopy, the arrays were
screened rapidly for initial recognition of global anatomical features (organs or
body traits). Then, using SEM, an in-depth study of the cells and/or organs of
interest was performed. Our manual and automatic data acquisition strategies make
3D data acquisition and correlation simpler and more precise than alternative
methods. This method can be used to address questions in cell and developmental
biology that require the efficient identification of a labeled cell or organelle.
PMID- 29802152
TI - A Conversation with Tim Stearns.
PMID- 29802149
TI - miR-133-mediated regulation of the Hedgehog pathway orchestrates embryo
myogenesis.
AB - Skeletal myogenesis serves as a paradigm to investigate the molecular mechanisms
underlying exquisitely regulated cell fate decisions in developing embryos. The
evolutionarily conserved miR-133 family of microRNAs is expressed in the myogenic
lineage, but how it acts remains incompletely understood. Here, we performed
genome-wide differential transcriptomics of miR-133 knockdown (KD) embryonic
somites, the source of vertebrate skeletal muscle. These analyses, performed in
chick embryos, revealed extensive downregulation of Sonic hedgehog (Shh) pathway
components: patched receptors, Hedgehog interacting protein and the
transcriptional activator Gli1. By contrast, Gli3, a transcriptional repressor,
was de-repressed and confirmed as a direct miR-133 target. Phenotypically, miR
133 KD impaired myotome formation and growth by disrupting proliferation,
extracellular matrix deposition and epithelialization. Together, these
observations suggest that miR-133-mediated Gli3 silencing is crucial for
embryonic myogenesis. Consistent with this idea, we found that activation of Shh
signalling by either purmorphamine, or KD of Gli3 by antisense morpholino,
rescued the miR-133 KD phenotype. Thus, we identify a novel Shh/myogenic
regulatory factor/miR-133/Gli3 axis that connects epithelial morphogenesis with
myogenic fate specification.
PMID- 29802151
TI - How the Genome Folds, Divides, Lives, and Dies.
PMID- 29802153
TI - Co-occurrence of a maternally inherited DNMT3A duplication and a paternally
inherited pathogenic variant in EZH2 in a child with growth retardation and
severe short stature: atypical Weaver syndrome or evidence of a DNMT3A dosage
effect?
AB - Overgrowth syndromes are a clinically heterogeneous group of disorders
characterized by localized or generalized tissue overgrowth and varying degrees
of developmental and intellectual disability. An expanding list of genes
associated with overgrowth syndromes include the histone methyltransferase genes
EZH2 and NSD1, which cause Weaver and Sotos syndrome, respectively, and the DNA
methyltransferase (DNMT3A) gene that results in Tatton-Brown-Rahman syndrome
(TBRS). Here, we describe a 5-year-old female with a paternally inherited
pathogenic mutation in EZH2 (c.2050C>T, p.Arg684Cys) and a maternally inherited
505-kb duplication of uncertain significance at 2p23.3 (encompassing five genes,
including DNMT3A) who presented with intrauterine growth restriction, slow
postnatal growth, short stature, hypotonia, developmental delay, and
neuroblastoma diagnosed at the age of 8 mo. Her father had tall stature,
dysmorphic facial features, and intellectual disability consistent with Weaver
syndrome, whereas her mother had short stature, cognitive delays, and chronic
nonprogressive leukocytosis. It has been previously shown that EZH2 directly
controls DNA methylation through physical association with DNMTs, including
DNMT3A, with concomitant H3K27 methylation and CpG promoter methylation leading
to repression of EZH2 target genes. Interestingly, NSD1 is involved in H3K36
methylation, a mark associated with transcriptional activation, and exhibits
exquisite dosage sensitivity leading to overgrowth when deleted and severe
undergrowth when duplicated in vivo. Although there is currently no evidence of
dosage effects for DNMT3A, the co-occurrence of a duplication involving this gene
and a pathogenic alteration in EZH2 in a patient with severe undergrowth is
suggestive of a similar paradigm and further study is warranted.
PMID- 29802154
TI - Association between long non-coding RNA polymorphisms and cancer risk: a meta
analysis.
AB - Several studies have suggested that long non-coding RNA (lncRNA) gene
polymorphisms are associated with cancer risk. In the present study, we conducted
a meta-analysis related to studies on the association between lncRNA single
nucleotide polymorphisms (SNPs) and the overall risk of cancer. A total of 12
SNPs in five common lncRNA genes were finally included in the meta-analysis. In
the lncRNA antisense non-coding RNA (ncRNA) in the INK4 locus (ANRIL), the
rs1333048 A/C, rs4977574 A/G, and rs10757278 A/G polymorphisms, but not rs1333045
C/T, were correlated with overall cancer risk. Our study also demonstrated that
other SNPs were correlated with overall cancer risk, namely, metastasis
associated lung adenocarcinoma transcript 1 (MALAT1, rs619586 A/G), HOXA distal
transcript antisense RNA (HOTTIP, rs1859168 A/C), and highly up-regulated in
liver cancer (HULC, rs7763881 A/C). Moreover, four prostate cancer-associated
ncRNA 1 (PRNCR1, rs16901946 G/A, rs13252298 G/A, rs1016343 T/C, and rs1456315
G/A) SNPs were in association with cancer risk. No association was found between
the PRNCR1 (rs7007694 C/T) SNP and the risk of cancer. In conclusion, our results
suggest that several studied lncRNA SNPs are associated with overall cancer risk.
Therefore, they might be potential predictive biomarkers for the risk of cancer.
More studies based on larger sample sizes and more lncRNA SNPs are warranted to
confirm these findings.
PMID- 29802155
TI - Comparison of the oxidative reactivity of recombinant fetal and adult human
hemoglobin: implications for the design of hemoglobin-based oxygen carriers.
AB - Hemoglobin (Hb)-based oxygen carriers (HBOCs) have been engineered to replace or
augment the oxygen carrying capacity of erythrocytes. However, clinical results
have generally been disappointing, in part due to the intrinsic oxidative
toxicity of Hb. The most common HBOC starting material is adult human or bovine
Hb. However, it has been suggested that fetal Hb may offer advantages due to
decreased oxidative reactivity. Large-scale manufacturing of HBOC will likely and
ultimately require recombinant sources of human proteins. We, therefore, directly
compared the functional properties and oxidative reactivity of recombinant fetal
(rHbF) and recombinant adult (rHbA) Hb. rHbA and rHbF produced similar yields of
purified functional protein. No differences were seen in the two proteins in:
autoxidation rate; the rate of hydrogen peroxide reaction; NO scavenging
dioxygenase activity; and the NO producing nitrite reductase activity. The rHbF
protein was: less damaged by low levels of hydrogen peroxide; less damaging when
added to human umbilical vein endothelial cells (HUVEC) in the ferric form; and
had a slower rate of intrinsic heme loss. The rHbA protein was: more readily
reducible by plasma antioxidants such as ascorbate in both the reactive ferryl
and ferric states; less readily damaged by lipid peroxides; and less damaging to
phosphatidylcholine liposomes. In conclusion in terms of oxidative reactivity,
there are advantages and disadvantages to the use of rHbA or rHbF as the basis
for an effective HBOC.
PMID- 29802156
TI - Curcumin improves age-related and surgically induced osteoarthritis by promoting
autophagy in mice.
AB - Reduced autophagy has been implied in chondrocyte death and osteoarthritis.
Curcumin (Cur) owns therapeutic effect against osteoarthritis (OA) and enhances
autophagy in various tumor cells. Whether the cartilage protection of curcumin is
associated with autophagy promotion and the potential signaling pathway involved
remains unclear. The present study aimed to investigate the role of autophagy in
the anti-OA activity of curcumin using spontaneous and surgically induced OA mice
model. Spontaneous and surgically induced OA mice model was established and
treated with Cur. Articular cartilage destruction and proteoglycan loss were
scored through Safranin O/Fast green staining. Apoptotic cell death was detected
with TUNEL (terminal deoxynucleotidyl transferase-mediated dTUP-biotin nick end
labeling assay) staining and Western blot for caspase-3, Bcl-2 associated X
protein (Bax), and Bcl-2 (B-cell lymphoma-2). Light chain 3 (LC3)
immunohistochemistry was used to evaluate autophagy. In vitro, primary
chondrocytes were treated with interleukin 1 beta (IL-1beta) and Cur. Autophagy
was inhibited using 3-methyladenine. Apoptosis and autophagy were detected using
flow cytometry and Western blotting assay. Curcumin treatment enhanced autophagy,
reduced apoptosis, and cartilage loss in both OA models. In vitro, curcumin
treatment improved IL-1beta induced autophagy inhibition, cell viability
decrease, and apoptosis. Mechanistically, in vivo studies suggested curcumin
promoted autophagy through regulating Akt/mTOR pathway. In conclusion, our
results demonstrate that curcumin-induced autophagy via Akt/mTOR signaling
pathway contributes to the anti-OA effect of curcumin.
PMID- 29802159
TI - Endoplasmic Reticulum Stress Drives Latent Pancreatic Tumor Metastases.
AB - Unresolved ER stress promotes quiescence and immune escape of latent disseminated
PDAC.
PMID- 29802157
TI - Defect of branched-chain amino acid metabolism promotes the development of
Alzheimer's disease by targeting the mTOR signaling.
AB - Diabetes is a risk factor for Alzheimer's disease (AD) in humans. Branched-chain
amino acids (BCAAs, namely valine, leucine, and isoleucine) metabolic defect is
observed in human diabetes, which is associated with insulin resistance. But
whether BCAAs connect diabetes and AD remains unknown. Here, we show that BCAA
metabolic defect may be one of the drivers of AD. BCAA levels were increased in
the blood in human patients and mice with diabetes or AD. BCAA-enriched diet
promoted the development of AD in mice as evidenced by the behavior and
pathological analysis. Branched-chain amino acid transaminase 1 and 2 (BCAT1 and
BCAT2) are the two enzymes for the first step metabolism of BCAAs by catalyzing
BCAAs to generate branched-chain ketoacids. The expression of Bcat1 but not Bcat2
was significantly down-regulated in the brain tissues of diabetic, aged, and AD
mice. Leucine up-regulated the phosphorylation of Tau but not affected the
accumulation of amyloid beta in the brain tissues or isolated neurons. In
addition, knockdown of the expression of Bcat1, which would result in the
accumulation of BCAAs, led to the same phenotype as BCAAs supplement in neurons.
Interestingly, leucine supplement or Bcat1 knockdown promoted the activation of
the mTOR signaling in the brains of AD mice or neurons. Subsequently, mTOR was
critically involved in leucine and Bcat1 knockdown-mediated phosphorylation of
Tau. Taken together, our findings demonstrated that diabetes-related BCAA
accumulation in the brain tissues led to the phosphorylation of Tau and,
subsequently, the development of diabetes-related AD.
PMID- 29802160
TI - Microbial Signals Promote Preleukemic Myeloproliferation in Tet2-/- Mice.
AB - TET2 deficiency increases systemic bacterial dissemination to promote preleukemic
myeloproliferation (PMP).
PMID- 29802161
TI - MLL3 Mutations Disrupt COMPASS Recruitment to Enhancer Chromatin.
AB - Mutations in the MLL3 PHD domain promote oncogenesis by disrupting its
interaction with BAP1.
PMID- 29802162
TI - KLHL22 Promotes MTORC1 Activation and Breast Tumorigenesis.
AB - Amino acids promote CUL3-KLHL22-mediated DEPDC5 degradation to relieve mTORC1
inhibition.
PMID- 29802158
TI - NRG1 Fusions in KRAS Wild-Type Pancreatic Cancer.
AB - We used whole-genome and transcriptome sequencing to identify clinically
actionable genomic alterations in young adults with pancreatic ductal
adenocarcinoma (PDAC). Molecular characterization of 17 patients with PDAC
enrolled in a precision oncology program revealed gene fusions amenable to
pharmacologic inhibition by small-molecule tyrosine kinase inhibitors in all
patients with KRAS wild-type (KRASWT) tumors (4 of 17). These alterations
included recurrent NRG1 rearrangements predicted to drive PDAC development
through aberrant ERBB receptor-mediated signaling, and pharmacologic ERBB
inhibition resulted in clinical improvement and remission of liver metastases in
2 patients with NRG1-rearranged tumors that had proved resistant to standard
treatment. Our findings demonstrate that systematic screening of KRASWT tumors
for oncogenic fusion genes will substantially improve the therapeutic prospects
for a sizeable fraction of patients with PDAC.Significance: Advanced PDAC is a
malignancy with few treatment options that lacks molecular mechanism-based
therapies. Our study uncovers recurrent gene rearrangements such as NRG1 fusions
as disease-driving events in KRASwt tumors, thereby providing novel insights into
oncogenic signaling and new therapeutic options in this entity. Cancer Discov;
8(9); 1087-95. (c)2018 AACR.This article is highlighted in the In This Issue
feature, p. 1047.
PMID- 29802163
TI - Redefining 'success': a systematic review and meta-analysis comparing outcomes
between incomplete and complete revascularization.
AB - BACKGROUND: Conventionally, 'successful' endovascular thrombectomy (EVT) had been
defined as achieving revascularization of thrombolysis in cerebral infarction
(TICI)-2B or greater, rather than as 'complete' (TICI-3) versus 'incomplete'
(TICI-2B) revascularization. PURPOSE: We performed a systematic review and meta
analysis of studies comparing clinical outcomes between patients with TICI-2B and
TICI-3 revascularization. METHODS: Multiple databases were searched for relevant
publications between January 2003 and March 2018. Studies comparing outcomes
between the TICI-2B and the TICI-3 group of acute ischemic stroke (AIS) patients
treated with EVT were included. Random effects meta-analysis was performed to
evaluate outcomes among TICI-2B and TICI-3 groups. The following outcomes were
assessed: good neurologic outcome (modified Rankin Scale (mRS)<=2 at day 90),
mortality, and intracerebral hemorrhage (ICH). RESULTS: Twenty-one studies
comprising 2747 patients were identified. Patients with TICI-2B revascularization
had mRS<=2 at day 90 rates of 46% (391/847) compared with 66% (522/791) for TICI
3 patients (OR 0.46, 95% CI 0.37 to 0.57). Mortality rates were significantly
higher in the TICI-2B group (78/570, 14%) than in the TICI-3 group (55/709, 8%)
(OR 2.00, 95% CI 1.38 to 2.91). The ICH rates were also significantly higher in
the TICI-2B group as compared with the TICI-3 group (31% [134/439] vs. 22%
[108/490]; OR 2.20, 95% CI 1.47 to 3.30). CONCLUSIONS: Differences in all major
outcome measures were markedly better in patients with complete versus incomplete
but still 'successful' revascularization using prior thresholds, with ORs in the
order of those seen in recent definitive trials comparing EVT to an intravenous
tissue plasminogen activator.
PMID- 29802164
TI - Impact of the global outflow angle on recanalization after endovascular treatment
of middle cerebral artery bifurcation aneurysms.
AB - BACKGROUND AND PURPOSE: Intracranial aneurysm recanalization after endovascular
treatment (EVT) remains a major problem. The goal of this study was to find new
predictive factors of recanalization after EVT of middle cerebral artery (MCA)
bifurcation aneurysms. METHODS: 96 MCA bifurcationaneurysms, ruptured or
unruptured, treated by EVT between Septembre 2009 and December 2014, were
retrospectively included. Clinical parameters and aneurysm characteristics were
recorded. From the initial three-dimensional DSA, spatial coordinates found on
parent and daughter arteries of MCA bifurcations gave four different flow angle
values; inflow, outflows 1 and 2, and the global outflow angle (the sum of the
two outflow angles). Inter- and intra-observer reproducibilities of three
dimensional angle value measurements were performed. RESULTS: Recanalization
occurred in 25 cases (26%) and retreatment was performed in 11 cases (11%). Only
1 patient (1%) had rebleeding. Univariate analysis established the following as
predictive factors of recanalization: high blood pressure (P=0.014), aneurysm
height (P<0.001), aneurysm width (P<0.001), neck size (P<0.001), postoperative
occlusion class (P=0.040), percentage of packing volume (P<0.001), as well as the
two outflow angles (P=0.006 and 0.045), and the global outflow angle (P<0.001).
Multivariate analysis revealed two independent risk factors for recanalization:
the global outflow angle (OR=1.05; 95% CI 1.02 to 1.08; P<0.002) and aneurysm
width (OR=0.67; 95% CI 0.46 to 0.96; P=0.031). A global outflow angle threshold
<192 degrees was found to be a risk factor for recanalization (OR=13.75; 95% CI
4.46 to 42.44), with a sensitivity of 80% and specificity of 77%. CONCLUSIONS:
This study emphasizes that a new parameter, the global outflow angle, can be
predictive of recanalization for MCA bifurcation aneurysms treated by EVT.
PMID- 29802166
TI - Former editor in chief of RCP journal is struck off for dishonesty.
PMID- 29802165
TI - Single-stage flow diversion with adjunctive coiling for cerebral aneurysm:
outcomes and technical considerations in 72 cases.
AB - BACKGROUND: Adjunctive coiling may improve occlusion outcomes when combined in a
single stage with cerebral aneurysm flow diversion. This technique has not been
well described. OBJECTIVE: To present a series of aneurysm patients treated by
single-stage flow diversion with adjunctive coiling, describing technical
considerations and outcomes. METHODS: This was a retrospective cohort study using
an IRB-approved database of procedures performed at a single institution.
Treatment selection was based on large aneurysm size, morphological irregularity,
branch vessel location, and wide neck. RESULTS: A total of 72 Pipeline with
adjunctive coiling (PAC) procedures were performed on 69 patients. Average
aneurysm size was 11.0 mm and 86% were wide-necked. Three progressively complex
techniques were performed approximately equally: 27 sequential (38%), 23 jailed
single-intermediate (32%), and 22 bifemoral jailed microcatheter (31%) cases.
Aneurysm dome (P=0.0223) and neck size (P=0.001) increased with procedural
complexity and there was a trend toward increased procedure length, radiation
exposure, and stent thrombosis. A 'light' coil pack was used with an average
packing density of 14% that did not vary by technique. Of the three major
complications (4.2%), none were observed with the sequential approach (0%), one
with the jailed single-intermediate (4.3%), and two with bifemoral cases (9.1%)
(P=0.116). Complete occlusion was achieved in 85% of PAC cases at 6 months and
96% at 12-month follow-up angiography. CONCLUSIONS: There are multiple approaches
to flow diversion with adjunctive coiling, each with technical challenges,
suitable to different types of aneurysms. Flow diversion with coiling can
expedite and improve occlusion outcomes without a significant increase in
morbidity.
PMID- 29802167
TI - Falls among older people-are intervention duration and specificity the keys to
making a difference?
PMID- 29802168
TI - Academic productivity after retirement in pediatric neurology and neuropathology.
AB - Many academic neurologists and neuropathologists who retire at the peak of their
careers continue to be productive in research and teaching, enhanced by years of
experience and mature perspective. The early 20th-century model of institutions
depending upon the generosity of such individuals to donate their time and
efforts without proper recognition or compensation, despite the service,
prestige, and recognition they bring to their institutions, should be
reconsidered in the early 21st century in the context of fairness, honesty,
dignity, and increased longevity. University pensions do not distinguish retirees
who continue to contribute from those who stop working. This essay represents the
author's personal reflections and experience, reinforced by similar thoughts and
encouragement by numerous distinguished colleagues named at the end of the text.
Funding of stipends for active emeritus professors lacks precedent but should be
sought.
PMID- 29802169
TI - High plasma levels of ghrelin and des-acyl ghrelin in responders to antiepileptic
drugs.
AB - OBJECTIVE: To reconsider ghrelin and des-acyl ghrelin plasma levels in children
with epilepsy in order to establish a possible relation with response to
antiepileptic drugs (AEDs). METHODS: We designed an observational study in which
114 patients with epilepsy were classified as responders (77) or nonresponders
(37) and compared to 59 controls. In these patients, we measured ghrelin and des
acyl ghrelin by immunoassays in blood samples obtained after overnight fast.
RESULTS: Ghrelin plasma levels were higher (+94%; p < 0.001, Dunn test) in
responders compared to controls. Des-acyl ghrelin plasma levels were also higher
in the same group (+55%; p < 0.001). In addition, both hormones were unmodified
in nonresponders compared to controls. By comparing responders to nonresponders,
ghrelin and des-acyl ghrelin, respectively, were +126% (p < 0.001) and +29% (p <
0.001) in patients with a positive response to AEDs. CONCLUSIONS: These results
indicate that ghrelin and des-acyl ghrelin plasma levels are especially high in
patients with epilepsy who positively respond to AEDs. In view of the
anticonvulsant properties of ghrelin and des-acyl ghrelin, we propose that their
higher levels could play a role in modulating the response to AEDs. Moreover,
these peptides could be promising markers of response to AEDs.
PMID- 29802170
TI - Comment: Ghrelin and des-acyl ghrelin: Do they predict success of AED treatment?
PMID- 29802171
TI - Associations between lesions and domain-specific cognitive decline in poststroke
dementia.
AB - OBJECTIVE: To investigate whether the effect of prestroke and stroke-related
lesions on incident poststroke dementia (PSD) is mediated by a unique pattern of
domain-specific cognitive impairment, and the relative strength of these
anatomical-cognitive associations in predicting incident PSD. METHODS: In this
incident case-control study (n = 150), we defined incident cases as acute stroke
patients who developed PSD and controls as acute stroke patients who remained
free from dementia at a 6 month follow-up, matched on age, prestroke cognitive
status, and number of stroke-related lesions. MRI was performed at initial
clinical presentation; neuropsychological assessments and clinical diagnosis of
PSD was performed 6 months poststroke. Moderated mediation analysis evaluated the
interactions among PSD, anatomical lesions, cognitive domains, and individual
demographic and medical characteristics. RESULTS: Compared to stroke-related
lesions, prestroke lesions were associated with the widest range of cognitive
domain impairments and had stronger clinical utility in predicting incident PSD.
Specifically, global cortical atrophy (GCA) and deep white matter
hyperintensities (WMH) were indirectly associated with PSD by disrupting
executive functions, memory, and language. Acute infarcts were indirectly
associated with PSD by disrupting executive functions and language. The strongest
mediator was executive dysfunction, increasing risk of PSD in patients with deep
WMH, GCA, and large infarcts by more than 9 times, with sex and educational
attainment moderating the magnitude of association. Periventricular WMH were
directly associated with incident PSD but not mediated by deficits in cognitive
domains. CONCLUSION: We provide an anatomical-cognitive framework that can be
applied to stratify patients at highest risk of PSD and to guide personalized
interventions.
PMID- 29802172
TI - Prophylactic angiographic embolisation after endoscopic control of bleeding to
high-risk peptic ulcers: a randomised controlled trial.
AB - OBJECTIVES: In the management of patients with bleeding peptic ulcers, recurrent
bleeding is associated with high mortality. We investigated if added angiographic
embolisation after endoscopic haemostasis to high-risk ulcers could reduce
recurrent bleeding. DESIGN: After endoscopic haemostasis to their bleeding
gastroduodenal ulcers, we randomised patients with at least one of these criteria
(ulcers>=20 mm in size, spurting bleeding, hypotensive shock or haemoglobin<9
g/dL) to receive added angiographic embolisation or standard treatment. Our
primary endpoint was recurrent bleeding within 30 days. RESULTS: Between January
2010 and July 2014, 241 patients were randomised (added angiographic embolisation
n=118, standard treatment n=123); 22 of 118 patients (18.6%) randomised to
angiography did not receive embolisation. In an intention-to-treat analysis, 12
(10.2%) in the embolisation and 14 (11.4%) in the standard treatment group
reached the primary endpoint (HR 1.14, 95% CI 0.53 to 2.46; p=0.745). The rate of
reinterventions (13 vs 17; p=0.510) and deaths (3 vs 5, p=0.519) were similar. In
a per-protocol analysis, 6 of 96 (6.2%) rebled after embolisation compared with
14 of 123 (11.4%) in the standard treatment group (HR 1.89, 95% CI 0.73 to 4.92;
p=0.192). None of 96 patients died after embolisation compared with 5 (4.1%)
deaths in the standard treatment group (p=0.108). In a posthoc analysis,
embolisation reduced recurrent bleeding only in patients with ulcers>=15 mm in
size (2 (4.5%) vs 12 (23.1%); p=0.027). CONCLUSIONS: After endoscopic
haemostasis, added embolisation does not reduce recurrent bleeding. TRIAL
REGISTRATION NUMBER: NCT01142180.
PMID- 29802173
TI - Long-term proton pump inhibitor use after Helicobacter pylori eradication may
create a gastric environment for N-nitrosamine formation and gastric cancer
development.
PMID- 29802175
TI - Correction: Sex reversal induces size and performance differences among females
of the African pygmy mouse, Mus minutoides (doi: 10.1242/jeb.157552).
PMID- 29802176
TI - Link between prescriptions and the electronic health record.
AB - PURPOSE: The extent to which medication prescriptions had a reason for the
medication use documented in form of a potential indication within the electronic
health record (EHR) problem lists using a MEDication Indication (MEDI) resource
was evaluated. METHODS: Prescriptions from January 1 to June 30, 2015, comparing
them to patients' problem lists using MEDI and the MEDI High Precision Subset
(MEDI-HPS) were analyzed. RxNorm generic ingredient name codes in MEDI were used
to map prescriptions to problems using codes from the International
Classification of Diseases, 9th edition. A reference standard was established to
evaluate the MEDI precision and recall by having 2 pharmacists independently
manually review prescriptions and problem lists from 30 randomly selected
patients. RESULTS: For 62,191 patients, 61% of prescriptions matched a potential
indication on the patient's problem list using MEDI, whereas only 38% had a match
using MEDI-HPS. The precision of MEDI compared to the reference standard was 47%
with a recall of 57%, whereas MEDI-HPS had a precision of 79% and recall of 96%.
Secondary analysis excluding medication prescribed with a supply of <=14 days
gave slightly better, yet not significant, results. CONCLUSION: Analysis of
patient records found most patients did not have an indication listed in the EHR
problem list that would match a specific medication, suggesting that the problem
lists may be incomplete. When using MEDI, 61% of prescriptions matched to the
problem list, compared with only 38% using MEDI-HPS. Likewise, 37% of problems
matched to prescriptions when using MEDI, compared with only 21% using MEDI-HPS.
PMID- 29802177
TI - Pharmacist-driven initiative for management of Staphylococcus aureus bacteremia
using a clinical decision support system.
AB - PURPOSE: The development and implementation of a clinical decision support system
(CDSS) for pharmacists to use for identification of and intervention on patients
with Staphylococcus aureus bacteremia (SAB) are described. SUMMARY: A project
team consisting of 3 informatics pharmacists and 2 infectious diseases (ID)
pharmacists was formed to develop the CDSS. The primary CDSS component was a
scoring system that generates a score in real time for a patient with a positive
blood culture for S. aureus. In addition, 4 tools were configured in the CDSS to
facilitate pharmacists' workflow and documentation tasks: a patient list, a
patient list report, a handoff note, and a standardized progress note.
Pharmacists are required to evaluate the patient list at least once per shift to
identify newly listed patients with a blood culture positive for S. aureus and
provide recommendations if necessary. The CDSS was implemented over a period of
2.5 months, with a pharmacy informatics resident dedicating approximately 200
hours in total. An audit showed that the standardized progress note was completed
for 100% of the patients, with a mean time to completion of 8.5 hours.
Importantly, this initiative can be implemented in hospitals without specialty
trained ID pharmacists. This study provides a framework for future antimicrobial
stewardship program initiatives to incorporate pharmacists into the process of
providing real-time recommendations. CONCLUSION: A pharmacist-driven patient
scoring system was successfully used to improve adherence to quality performance
measures for management of SAB. A pharmacist-driven CDSS can be utilized to
assist in the management of SAB.
PMID- 29802174
TI - Effect of Huaier granule on recurrence after curative resection of HCC: a
multicentre, randomised clinical trial.
AB - OBJECTIVE: There is little evidence that adjuvant therapy after radical surgical
resection of hepatocellular carcinoma (HCC) improves recurrence-free survival
(RFS) or overall survival (OS). We conducted a multicentre, randomised,
controlled, phase IV trial evaluating the benefit of an aqueous extract of
Trametes robinophila Murr (Huaier granule) to address this unmet need. DESIGN AND
RESULTS: A total of 1044 patients were randomised in 2:1 ratio to receive either
Huaier or no further treatment (controls) for a maximum of 96 weeks. The primary
endpoint was RFS. Secondary endpoints included OS and tumour extrahepatic
recurrence rate (ERR). The Huaier (n=686) and control groups (n=316) had a mean
RFS of 75.5 weeks and 68.5 weeks, respectively (HR 0.67; 95% CI 0.55 to 0.81).
The difference in the RFS rate between Huaier and control groups was 62.39% and
49.05% (95% CI 6.74 to 19.94; p=0.0001); this led to an OS rate in the Huaier and
control groups of 95.19% and 91.46%, respectively (95% CI 0.26 to 7.21;
p=0.0207). The tumour ERR between Huaier and control groups was 8.60% and 13.61%
(95% CI -12.59 to -2.50; p=0.0018), respectively. CONCLUSIONS: This is the first
nationwide multicentre study, involving 39 centres and 1044 patients, to prove
the effectiveness of Huaier granule as adjuvant therapy for HCC after curative
liver resection. It demonstrated a significant prolongation of RFS and reduced
extrahepatic recurrence in Huaier group. TRIAL REGISTRATION: NCT01770431; Post
results.
PMID- 29802178
TI - Impact of an antimicrobial stewardship program on outcomes in patients with
community-acquired pneumonia admitted to a tertiary community hospital.
AB - PURPOSE: Results of a study evaluating the impact of an antimicrobial stewardship
program (ASP) on clinical outcomes in patients hospitalized for community
acquired pneumonia (CAP) are reported. METHODS: A retrospective records review
was conducted at a 400-bed hospital to identify patients admitted over 3 years
with CAP documented as a primary or secondary diagnosis. Clinical and medication
use outcomes during a 1-year baseline period and in the first and second years
after ASP implementation (post-ASP years 1 and 2) were analyzed. A local CAP
guideline was implemented around the beginning of post-ASP year 2. RESULTS: The
mean hospital length of stay declined from 7.24 days in the baseline period to
5.71 days in post-ASP year 1 (p = 0.011) and 5.52 days in post-ASP year 2 (p =
0.008). Mean inpatient antimicrobial days of therapy (DOT) declined from 5.68
days in the baseline period to 5.08 days (p = 0.045) and 4.99 days (p = 0.030) in
post-ASP years 1 and 2, respectively. Mean DOT per 100 total days of
antimicrobial therapy declined from 9.69 days in the baseline period to 8.85 days
in post-ASP year 1 (p = 0.019) and 8.38 days in post-ASP year 2 (p = 0.001).
CONCLUSION: ASP implementation was associated with specific clinical benefits in
patients with CAP, including decreased length of stay, decreased durations of
antimicrobial therapy, and a shift in utilization to a primary regimen shown to
produce superior clinical outcomes.
PMID- 29802179
TI - Implementation and evaluation of a sterile compounding robot in a satellite
oncology pharmacy.
AB - PURPOSE: The purpose of this study was to quantify the impact of robotic
technology on efficiency, accuracy, and cost in a satellite oncology pharmacy.
METHODS: A 33-week quasi-experimental study was conducted at an academic,
quaternary care institution with 1,119 licensed beds from June 2016 to February
2017 to evaluate the turnaround time (TAT) for preparations compounded by
automated robotic compounding technology (ARCT) versus historical procedures.
Secondary endpoints included mean preparation time and percentage of doses with a
TAT of <30 minutes before and after the implementation of ARCT and were evaluated
using time-segmented regression analysis. The cost savings in the satellite
oncology pharmacy was determined by comparing usage of closed-system transfer
devices (CSTDs) and labor costs between study phases. Accuracy of the
intervention was expressed through a descriptive analysis of mean ARCT dose
preparation deviations and preparation failures. RESULTS: Data for 1,453
preparations were included for analysis. The mean +/- S.D. preimplementation TAT
was 64.1 +/- 27.9 minutes, which decreased to 53.2 +/- 32.2 minutes after ARCT
implementation (p < 0.01). Financial benefit was demonstrated through supply cost
savings. Breakeven was estimated at 8.6 years after capital expenditure, with an
annualized projected savings of $129,477. The mean +/- S.D. deviation of the
doses compounded using ARCT was -0.58% +/- 0.01% from the ordered dosage.
CONCLUSION: Adoption of ARCT for compounding of admixtures containing 4 oncology
agents reduced TAT and preparation time and led to lower expenditures for CSTDs.
PMID- 29802180
TI - Maternal and fetal outcomes in pregnant women with heart failure.
AB - OBJECTIVES: The goal of this study is to report the prevalence, aetiology and
clinical outcome of pregnant women with heart failure. METHODS: This is a
retrospective community-based cohort study that included pregnant women in the
Kaiser Permanente Health System between 2003 and 2014. Women with heart failure
were identified using International Classification of Disease, Ninth Revision
codes. Medical records were manually reviewed to confirm diagnosis and adjudicate
outcomes. RESULTS: In a cohort of 385 935 pregnancies, 488 (0.13%) had a
diagnosis of heart failure, corresponding to 126 cases per 100 000 pregnancies.
Peripartum cardiomyopathy was the most common cause of heart failure, accounting
for 333 (68.2%) cases. Preterm birth and caesarean delivery were more common in
patients with heart failure. Neonatal death rate was higher in the heart failure
group (1.0% vs 0.4%, p=0.03). Infants delivered to women with heart failure had
lower birth weights (3112.0+/-774.0 g vs 3331.9+/-575.5 g, p<0.001) and lower
Apgar score at 1 min (7.9+/-1.5 vs 8.3+/-1.1, p<0.001). Median follow-up was 6.2
years (IQR 3.2-9.2). During follow-up, 7 (1.4%) in the heart failure group and
423 (0.11%) in the control group died. Heart failure was associated with a 7.7
fold increase risk of death (adjusted HR 7.7, 95% CI 3.6 to 16.4, p<0.001).
CONCLUSION: Heart failure during pregnancy is associated with unfavourable fetal
outcomes including prematurity and low birth weight. While the overall mortality
rate was low, pregnant women with heart failure carried an excess risk of death
compared with controls.
PMID- 29802181
TI - Age-dependent aortic elongation: a new predictor for type A aortic dissection?
PMID- 29802182
TI - A Novel Degradation Mechanism for Pyridine Derivatives in Alcaligenes faecalis
JQ135.
AB - 5-Hydroxypicolinic acid (5HPA), a natural pyridine derivative, is microbially
degraded in the environment. However, the physiological, biochemical, and genetic
foundations of 5HPA metabolism remain unknown. In this study, an operon (hpa),
responsible for 5HPA degradation, was cloned from Alcaligenes faecalis JQ135.
HpaM was a monocomponent flavin adenine dinucleotide (FAD)-dependent
monooxygenase and shared low identity (only 28 to 31%) with reported
monooxygenases. HpaM catalyzed the ortho decarboxylative hydroxylation of 5HPA,
generating 2,5-dihydroxypyridine (2,5DHP). The monooxygenase activity of HpaM was
FAD and NADH dependent. The apparent Km values of HpaM for 5HPA and NADH were
45.4 MUM and 37.8 MUM, respectively. The genes hpaX, hpaD, and hpaF were found to
encode 2,5DHP dioxygenase, N-formylmaleamic acid deformylase, and maleamate
amidohydrolase, respectively; however, the three genes were not essential for
5HPA degradation in A. faecalis JQ135. Furthermore, the gene maiA, which encodes
a maleic acid cis-trans isomerase, was essential for the metabolism of 5HPA,
nicotinic acid, and picolinic acid in A. faecalis JQ135, indicating that it might
be a key gene in the metabolism of pyridine derivatives. The genes and proteins
identified in this study showed a novel degradation mechanism of pyridine
derivatives.IMPORTANCE Unlike the benzene ring, the uneven distribution of the
electron density of the pyridine ring influences the positional reactivity and
interaction with enzymes; e.g., the ortho and para oxidations are more difficult
than the meta oxidations. Hydroxylation is an important oxidation process for the
pyridine derivative metabolism. In previous reports, the ortho hydroxylations of
pyridine derivatives were catalyzed by multicomponent molybdenum-containing
monooxygenases, while the meta hydroxylations were catalyzed by monocomponent FAD
dependent monooxygenases. This study identified the new monocomponent FAD
dependent monooxygenase HpaM that catalyzed the ortho decarboxylative
hydroxylation of 5HPA. In addition, we found that the maiA gene coding for maleic
acid cis-trans isomerase was pivotal for the metabolism of 5HPA, nicotinic acid,
and picolinic acid in A. faecalis JQ135. This study provides novel insights into
the microbial metabolism of pyridine derivatives.
PMID- 29802183
TI - Manganese Is Essential for PlcP Metallophosphoesterase Activity Involved in Lipid
Remodeling in Abundant Marine Heterotrophic Bacteria.
AB - In vast areas of the ocean, microbes must adapt to the availability of scarce
nutrients, and a key strategy for reducing the cellular phosphorus (P) quota is
to remodel membranes by replacing phospholipids with non-P surrogate lipids. A
metallophosphoesterase, PlcP, is essential for lipid remodeling in cosmopolitan
marine bacteria of the Roseobacter (e.g., Phaeobacter sp. strain MED193) and
SAR11 (e.g., Pelagibacter sp. strain HTCC7211) clades, and transcription of plcP
is known to be induced by P limitation. In order to better understand PlcP
mediated lipid remodeling, we sought to characterize PlcP for its metal ion
requirement and to determine its selectivity for native bacterial phospholipids.
Here, we report the occurrence of a highly conserved binuclear ion center in
PlcPs from MED193 and HTCC7211 and show that manganese is the preferred metal for
metallophosphoesterase activity. PlcP displayed high activity towards the major
bacterial phospholipids, e.g., phosphatidylglycerol but also phosphatidic acid, a
key intermediate in phospholipid biosynthesis. In contrast, phosphatidylserine
and phosphatidylinositol, both of which are rare lipids in bacteria, are not
preferred substrates. These data suggest that PlcP undertakes a generic lipid
remodeling role during the cellular response of marine bacteria to P deficiency
and that manganese availability may play a key role in regulating the lipid
remodeling process.IMPORTANCE Membrane lipids form the structural basis of all
cells. In the marine environment, it is well established that phosphorus
availability significantly affects lipid composition in cosmopolitan marine
bacteria, whereby non-phosphorus-containing lipids are used to replace
phospholipids in response to phosphorus stress. Central to this lipid remodeling
pathway is a newly identified phospholipase C-type metallophosphoesterase (PlcP).
However, little is known about how PlcP activity is regulated. Here, we
determined the role of metal ions in regulating PlcP activity and compared PlcP
substrate specificities in PlcP enzymes from two model marine bacteria from the
marine Roseobacter clade and the SAR11 clade. Our data provide new insights into
the regulation of lipid remodeling in these marine bacteria.
PMID- 29802184
TI - The Beauveria bassiana Gas3 beta-Glucanosyltransferase Contributes to Fungal
Adaptation to Extreme Alkaline Conditions.
AB - Fungal beta-1,3-glucanosyltransferases are cell wall-remodeling enzymes
implicated in stress response, cell wall integrity, and virulence, with most
fungal genomes containing multiple members. The insect-pathogenic fungus
Beauveria bassiana displays robust growth over a wide pH range (pH 4 to 10). A
random insertion mutant library screening for increased sensitivity to alkaline
(pH 10) growth conditions resulted in the identification and mapping of a mutant
to a beta-1,3-glucanosyltransferase gene (Bbgas3). Bbgas3 expression was pH
dependent and regulated by the PacC transcription factor, which activates genes
in response to neutral/alkaline growth conditions. Targeted gene knockout of
Bbgas3 resulted in reduced growth under alkaline conditions, with only minor
effects of increased sensitivity to cell wall stress (Congo red and calcofluor
white) and no significant effects on fungal sensitivity to oxidative or osmotic
stress. The cell walls of DeltaBbgas3 aerial conidia were thinner than those of
the wild-type and complemented strains in response to alkaline conditions, and
beta-1,3-glucan antibody and lectin staining revealed alterations in cell surface
carbohydrate epitopes. The DeltaBbgas3 mutant displayed alterations in cell wall
chitin and carbohydrate content in response to alkaline pH. Insect bioassays
revealed impaired virulence for the DeltaBbgas3 mutant depending upon the pH of
the media on which the conidia were grown and harvested. Unexpectedly, a
decreased median lethal time to kill (LT50, i.e., increased virulence) was seen
for the mutant using intrahemocoel injection assays using conidia grown at acidic
pH (5.6). These data show that BbGas3 acts as a pH-responsive cell wall
remodeling enzyme involved in resistance to extreme pH (>9).IMPORTANCE Little is
known about adaptations required for growth at high (>9) pH. Here, we show that a
specific fungal membrane-remodeling beta-1,3-glucanosyltransferase gene (Bbgas3)
regulated by the pH-responsive PacC transcription factor forms a critical aspect
of the ability of the insect-pathogenic fungus Beauveria bassiana to grow at
extreme pH. The loss of Bbgas3 resulted in a unique decreased ability to grow at
high pH, with little to no effects seen with respect to other stress conditions,
i.e., cell wall integrity and osmotic and oxidative stress. However, pH-dependent
alternations in cell wall properties and virulence were noted for the DeltaBbgas3
mutant. These data provide a mechanistic insight into the importance of the
specific cell wall structure required to stabilize the cell at high pH and link
it to the PacC/Pal/Rim pH-sensing and regulatory system.
PMID- 29802186
TI - Heterologous Expression of Mycobacterium Alkene Monooxygenases in Gram-Positive
and Gram-Negative Bacterial Hosts.
AB - Alkene monooxygenases (MOs) are soluble di-iron-containing enzymes found in
bacteria that grow on alkenes. Here, we report improved heterologous expression
systems for the propene MO (PmoABCD) and ethene MO (EtnABCD) from Mycobacterium
chubuense strain NBB4. Strong functional expression of PmoABCD and EtnABCD was
achieved in Mycobacterium smegmatis mc2155, yielding epoxidation activities (62
and 27 nmol/min/mg protein, respectively) higher than any reported to date for
heterologous expression of a di-iron MO system. Both PmoABCD and EtnABCD were
specialized for the oxidation of gaseous alkenes (C2 to C4), and their activity
was much lower on liquid alkenes (C5 to C8). Despite intensive efforts to express
the complete EtnABCD enzyme in Escherichia coli, this was not achieved, although
recombinant EtnB and EtnD proteins could be purified individually in soluble
form. The biochemical function of EtnD as an oxidoreductase was confirmed (1.36
MUmol cytochrome c reduced/min/mg protein). Cloning the EtnABCD gene cluster into
Pseudomonas putida KT2440 yielded detectable epoxidation of ethene (0.5
nmol/min/mg protein), and this could be stimulated (up to 1.1 nmol/min/mg
protein) by the coexpression of cpn60 chaperonins from either Mycobacterium spp.
or E. coli Successful expression of the ethene MO in a Gram-negative host was
validated by both whole-cell activity assays and peptide mass spectrometry of
induced proteins seen on SDS-PAGE gels.IMPORTANCE Alkene MOs are of interest for
their potential roles in industrial biocatalysis, most notably for the
stereoselective synthesis of epoxides. Wild-type bacteria that grow on alkenes
have high activities for alkene oxidation but are problematic for biocatalysis,
since they tend to consume the epoxide products. Using recombinant biocatalysts
is the obvious alternative, but a major bottleneck is the low activities of
recombinant alkene MOs. Here, we provide new high-activity recombinant
biocatalysts for alkene oxidation, and we provide insights into how to further
improve these systems.
PMID- 29802187
TI - Bifidobacterium pseudolongum has characteristics of a keystone species in
bifidobacterial blooms in the ceca of rats fed Hi-Maize starch.
AB - Starches resistant to mammalian digestion are present in foods and pass to the
large bowel where they may be degraded and fermented by the microbiota. Increases
in relative abundances of bifidobacteria (blooms) have been reported in rats
whose diet was supplemented with Hi-Maize resistant starch. We determined that
the bifidobacterial species present in the rat cecum under these circumstances
mostly belonged to Bifidobacterium animalis However, cultures of B. animalis
isolated from the rats failed to degrade Hi-Maize starch to any extent. In
contrast, Bifidobacterium pseudolongum also detected in the rat microbiota had
high starch-degrading ability. Transcriptional comparisons showed increased
expression of a Type 1 pullulanase, alpha amylase, and 'glycogen debranching
enzyme' by B. pseudolongum when cultured in medium containing Hi-Maize starch.
Maltose was released into the culture medium and B. animalis cultures had shorter
doubling times in maltose medium compared to B. pseudolongum Thus B.
pseudolongum, which was present at a consistently low abundance in the
microbiota, but which has extensive enzymic capacity to degrade resistant starch,
showed the attributes of a keystone species associated with the bifidobacterial
bloom.IMPORTANCE This study addresses the microbiology and function of a natural
ecosystem (the rat gut) using DNA-based observations and in vitro
experimentation. The microbial community (microbiota, microbiome) of the large
bowel of animals, including humans, has been studied extensively by use of high
throughput DNA sequencing methods and advanced bioinformatics analysis. These
studies reveal the compositions and genetic capacities of microbiotas, but not
the intricacies of how microbial communities function. Our work, combining DNA
sequence analysis and laboratory experiments with cultured strains of bacteria,
revealed that increased abundance of bifidobacteria in the rat gut, induced by
feeding indigestible starch, involved a species that cannot itself degrade the
starch (Bifidobacterium animalis) but cohabits with a species that can
(Bifidobacterium pseudolongum). This latter species has the characteristics of a
keystone species in the community because it had low abundance but high ability
to perform a critical function (hydrolysis of resistant starch).
PMID- 29802185
TI - Short-Term Transcriptional Response of Microbial Communities to Nitrogen
Fertilization in a Pine Forest Soil.
AB - Numerous studies have examined the long-term effect of experimental nitrogen (N)
deposition in terrestrial ecosystems; however, N-specific mechanistic markers are
difficult to disentangle from responses to other environmental changes. The
strongest picture of N-responsive mechanistic markers is likely to arise from
measurements over a short (hours to days) time scale immediately after inorganic
N deposition. Therefore, we assessed the short-term (3-day) transcriptional
response of microbial communities in two soil strata from a pine forest to a high
dose of N fertilization (ca. 1 mg/g of soil material) in laboratory microcosms.
We hypothesized that N fertilization would repress the expression of fungal and
bacterial genes linked to N mining from plant litter. However, despite N
suppression of microbial respiration, the most pronounced differences in
functional gene expression were between strata rather than in response to the N
addition. Overall, ~4% of metabolic genes changed in expression with N addition,
while three times as many (~12%) were significantly different across the
different soil strata in the microcosms. In particular, we found little evidence
of N changing expression levels of metabolic genes associated with complex
carbohydrate degradation (CAZymes) or inorganic N utilization. This suggests that
direct N repression of microbial functional gene expression is not the principle
mechanism for reduced soil respiration immediately after N deposition. Instead,
changes in expression with N addition occurred primarily in general cell
maintenance areas, for example, in ribosome-related transcripts. Transcriptional
changes in functional gene abundance in response to N addition observed in longer
term field studies likely result from changes in microbial composition.IMPORTANCE
Ecosystems are receiving increased nitrogen (N) from anthropogenic sources,
including fertilizers and emissions from factories and automobiles. High levels
of N change ecosystem functioning. For example, high inorganic N decreases the
microbial decomposition of plant litter, potentially reducing nutrient recycling
for plant growth. Understanding how N regulates microbial decomposition can
improve the prediction of ecosystem functioning over extended time scales. We
found little support for the conventional view that high N supply represses the
expression of genes involved in decomposition or alters the expression of
bacterial genes for inorganic N cycling. Instead, our study of pine forest soil 3
days after N addition showed changes in microbial gene expression related to cell
maintenance and stress response. This highlights the challenge of establishing
predictive links between microbial gene expression levels and measures of
ecosystem function.
PMID- 29802188
TI - Agmatine Production by Aspergillus oryzae Is Elevated by Low pH during Solid
State Cultivation.
AB - Sake (rice wine) produced by multiple parallel fermentation (MPF) involving
Aspergillus oryzae (strain RW) and Saccharomyces cerevisiae under solid-state
cultivation conditions contained 3.5 mM agmatine, while that produced from
enzymatically saccharified rice syrup by S. cerevisiae contained <0.01 mM
agmatine. Agmatine was also produced in ethanol-free rice syrup prepared with A.
oryzae under solid-state cultivation (3.1 mM) but not under submerged
cultivation, demonstrating that A. oryzae in solid-state culture produces
agmatine. The effect of cultivation conditions on agmatine production was
examined. Agmatine production was boosted at 30 degrees C and reached the highest
level (6.3 mM) at pH 5.3. The addition of l-lactic, succinic, and citric acids
reduced the initial culture pHs to 3.0, 3.5, and 3.2, respectively, resulting in
a further increase in agmatine accumulation (8.2, 8.7, and 8.3 mM, respectively).
Homogenate from a solid-state culture exhibited a maximum l-arginine
decarboxylase (ADC) activity (74 pmol . min-1 . MUg-1) at pH 3.0 at 30 degrees C;
homogenate from a submerged culture exhibited an extremely low activity (<0.3
pmol . min-1 . MUg-1) under all conditions tested. These observations indicated
that efficient agmatine production in ethanol-free rice syrup is achieved by an
unidentified low-pH-dependent ADC induced during solid-state cultivation of A.
oryzae, even though A. oryzae lacks ADC orthologs and instead possesses four
ornithine decarboxylases (ODC1 to ODC4). Recombinant ODC1 and ODC2 exhibited no
ADC activity at acidic pH (pH < 4.0), suggesting that other decarboxylases or an
unidentified ADC is involved in agmatine production.IMPORTANCE It has been
speculated that, in general, fungi do not synthesize agmatine from l-arginine
because they do not possess genes encoding arginine decarboxylase. Numerous
preclinical studies have shown that agmatine exerts pleiotropic effects on
various molecular targets, leading to an improved quality of life. In the present
study, we first demonstrated that l-arginine was a feasible substrate for
agmatine production by the fungus Aspergillus oryzae RW. We observed that the
productivity of agmatine by A. oryzae RW was elevated at low pH only during solid
state cultivation. A. oryzae is utilized in the production of various Asian
fermented foods. The saccharification conditions optimized in the current study
could be employed not only in the production of an agmatine-containing ethanol
free rice syrup but also in the production of many types of fermented foods, such
as soy sauce (shoyu), rice vinegar, etc., as well as for use as novel therapeutic
agents and nutraceuticals.
PMID- 29802189
TI - Involvement of beta-Carbonic Anhydrase Genes in Bacterial Genomic Islands and
Their Horizontal Transfer to Protists.
AB - Genomic islands (GIs) are a type of mobile genetic element (MGE) that are present
in bacterial chromosomes. They consist of a cluster of genes that produce
proteins that contribute to a variety of functions, including, but not limited
to, the regulation of cell metabolism, antimicrobial resistance, pathogenicity,
virulence, and resistance to heavy metals. The genes carried in MGEs can be used
as a trait reservoir in times of adversity. Transfer of genes using MGEs,
occurring outside reproduction, is called horizontal gene transfer (HGT).
Previous data have shown that numerous HGT events have occurred through
endosymbiosis between prokaryotes and eukaryotes. beta-Carbonic anhydrase (beta
CA) enzymes play a critical role in the biochemical pathways of many prokaryotes
and eukaryotes. We previously suggested the horizontal transfer of beta-CA genes
from plasmids of some prokaryotic endosymbionts to their protozoan hosts. In this
study, we set out to identify beta-CA genes that might have been transferred
between prokaryotic and protist species through HGT in GIs. Therefore, we
investigated prokaryotic chromosomes containing beta-CA-encoding GIs and utilized
multiple bioinformatics tools to reveal the distinct movements of beta-CA genes
among a wide variety of organisms. Our results identify the presence of beta-CA
genes in GIs of several medically and industrially relevant bacterial species,
and phylogenetic analyses reveal multiple cases of likely horizontal transfer of
beta-CA genes from GIs of ancestral prokaryotes to protists.IMPORTANCE The
evolutionary process is mediated by mobile genetic elements (MGEs), such as
genomic islands (GIs). A gene or set of genes in the GIs is exchanged between and
within various species through horizontal gene transfer (HGT). Based on the
crucial role that GIs can play in bacterial survival and proliferation, they were
introduced as environment- and pathogen-associated factors. Carbonic anhydrases
(CAs) are involved in many critical biochemical pathways, such as the regulation
of pH homeostasis and electrolyte transfer. Among the six evolutionary families
of CAs, beta-CA gene sequences are present in many bacterial species, which can
be horizontally transferred to protists during evolution. This study shows the
involvement of bacterial beta-CA gene sequences in the GIs and suggests their
horizontal transfer to protists during evolution.
PMID- 29802190
TI - A Stable, Autonomously Replicating Plasmid Vector Containing Pichia pastoris
Centromeric DNA.
AB - The methylotrophic yeast Pichia pastoris is widely used to produce recombinant
proteins, taking advantage of this species' high-density cell growth and strong
ability to secrete proteins. Circular plasmids containing the P. pastoris
specific autonomously replicating sequence (PARS1) permit transformation of P.
pastoris with higher efficiency than obtained following chromosomal integration
by linearized DNA. Unfortunately, however, existing autonomously replicating
plasmids are known to be inherently unstable. In this study, we used
transcriptome sequencing (RNA-seq) data and genome sequence information to
independently identify, on each of the four chromosomes, centromeric DNA
sequences consisting of long inverted repeat sequences. By examining the
chromosome 2 centromeric DNA sequence (Cen2) in detail, we demonstrate that an
~111-bp region located at one end of the putative centromeric sequence had
autonomous replication activity. In addition, the full-length Cen2 sequence,
which contains two long inverted repeat sequences and a nonrepetitive central
core region, is needed for the accurate replication and distribution of plasmids
in P. pastoris Thus, we constructed a new, stable, autonomously replicating
plasmid vector that harbors the entire Cen2 sequence; this episome facilitates
genetic manipulation in P. pastoris, providing high transformation efficiency and
plasmid stability.IMPORTANCE Secretory production of recombinant proteins is the
most important application of the methylotrophic yeast Pichia pastoris, a species
that permits mass production of heterologous proteins. To date, the genetic
engineering of P. pastoris has relied largely on integrative vectors due to the
lack of user-friendly tools. Autonomously replicating Pichia plasmids are
expected to facilitate genetic manipulation; however, the existing systems, which
use autonomously replicating sequences (ARSs) such as the P. pastoris-specific
ARS (PARS1), are known to be inherently unstable for plasmid replication and
distribution. Recently, the centromeric DNA sequences of P. pastoris were
identified in back-to-back studies published by several groups; therefore, a new
episomal plasmid vector with centromere DNA as a tool for genetic manipulation of
P. pastoris is ready to be developed.
PMID- 29802191
TI - Experimental Evolution of Escherichia coli K-12 at High pH and with RpoS
Induction.
AB - Experimental evolution of Escherichia coli K-12 W3110 by serial dilutions for
2,200 generations at high pH extended the range of sustained growth from pH 9.0
to pH 9.3. pH 9.3-adapted isolates showed mutations in DNA-binding regulators and
envelope proteins. One population showed an IS1 knockout of phoB (encoding the
positive regulator of the phosphate regulon). A phoB::kanR knockout increased
growth at high pH. phoB mutants are known to increase production of fermentation
acids, which could enhance fitness at high pH. Mutations in pcnB [poly(A)
polymerase] also increased growth at high pH. Three out of four populations
showed deletions of torI, an inhibitor of TorR, which activates expression of
torCAD (trimethylamine N-oxide respiration) at high pH. All populations showed
point mutations affecting the stationary-phase sigma factor RpoS, either in the
coding gene or in genes for regulators of RpoS expression. RpoS is required for
survival at extremely high pH. In our microplate assay, rpoS deletion slightly
decreased growth at pH 9.1. RpoS protein accumulated faster at pH 9 than at pH 7.
The RpoS accumulation at high pH required the presence of one or more
antiadaptors that block degradation (IraM, IraD, and IraP). Other genes with
mutations after high-pH evolution encode regulators, such as those encoded by
yobG (mgrB) (PhoPQ regulator), rpoN (nitrogen starvation sigma factor), malI, and
purR, as well as envelope proteins, such as those encoded by ompT and yahO
Overall, E. coli evolution at high pH selects for mutations in key
transcriptional regulators, including phoB and the stationary-phase sigma factor
RpoS.IMPORTANCEEscherichia coli in its native habitat encounters high-pH stress
such as that of pancreatic secretions. Experimental evolution over 2,000
generations showed selection for mutations in regulatory factors, such as
deletion of the phosphate regulator PhoB and mutations that alter the function of
the global stress regulator RpoS. RpoS is induced at high pH via multiple
mechanisms.
PMID- 29802192
TI - Filamentous giant Beggiatoaceae from Guaymas Basin are capable of both
denitrification and dissimilatory nitrate reduction to ammonium (DNRA).
AB - Filamentous large sulfur-oxidizing bacteria (FLSB) of the family Beggiatoaceae
are globally-distributed, aquatic bacteria that can control geochemical fluxes
from the sediment to the water column through their metabolic activity. FLSB mats
from hydrothermal sediments of Guaymas Basin, Mexico typically have a "fried egg"
appearance, with orange filaments dominating near the center and wider white
filaments at the periphery, likely reflecting areas of higher and lower sulfide
fluxes, respectively. These FLSB store large quantities of intracellular nitrate
that they use to oxidize sulfide. By applying a combination of 15N-labelling
techniques and genome sequence analysis, we demonstrate that the white FLSB
filaments were capable of reducing their intracellular nitrate stores to both
nitrogen gas and ammonium by denitrification and dissimilatory nitrate reduction
to ammonium (DNRA), respectively. On the other hand, our combined results show
that the orange filaments were primarily capable of DNRA. Microsensor profiles
through a laboratory-incubated white FLSB mat revealed a 2-3 mm vertical
separation between the oxic and sulfidic zones. Denitrification was most intense
just below the oxic zone, as shown by the production of nitrous oxide following
exposure to acetylene, which blocks nitrous oxide reduction to nitrogen gas.
Below this zone, a local pH maximum coincided with sulfide oxidation, consistent
with nitrate reduction by DNRA. The balance between internally and externally
available electron acceptor (nitrate) and electron donor (reduced sulfur) likely
controlled the end product of nitrate reduction both between orange and white
FLSB mats and between different spatial and geochemical niches within the white
FLSB mat.Importance Whether large sulfur bacteria of the family Beggiatoaceae
reduce NO3- to N2 via denitrification or to NH4+ via DNRA has been debated in the
literature for more than 25 years. We resolve this debate by showing that certain
members of the Beggiatoaceae use both metabolic pathways. This is important for
the ecological role of these bacteria, as N2 production removes bioavailable
nitrogen from the ecosystem, whereas NH4+ production retains it. For this reason,
the environmental controls on the competition for NO3- between N2-producing and
NH4+-producing bacteria is a topic of great scientific interest. Recent
experiments on the competition between these two types of microorganism have
demonstrated that the balance between electron donor and electron acceptor
availability strongly influences the end product of NO3- reduction. Our results
suggest that this is also the case at the even more fundamental level of enzyme
system regulation within a single organism.
PMID- 29802193
TI - Significance of Enterotoxigenic Escherichia coli (ETEC) Heat-Labile Toxin (LT)
Enzymatic Subunit Epitopes in LT Enterotoxicity and Immunogenicity.
AB - Enterotoxigenic Escherichia coli (ETEC) strains producing heat-labile toxin (LT)
and/or heat-stable toxin (STa) are a top cause of children's diarrhea and
travelers' diarrhea. Holotoxin-structured GM1-binding LT is a strong immunogen
and an effective adjuvant, and can serve a carrier or a platform for multivalent
vaccine development. However, the significance of peptide domains or epitopes of
LT particularly enzymatic LTA subunit in association with LT enterotoxicity and
immunogenicity has not been characterized. In this study, we identified B-cell
epitopes in silico from LTA subunit and examined epitopes for immunogenicity and
association with LT enterotoxicity. Epitopes identified from LTA subunit were
individually fused to a modified chicken ovalbumin carrier protein, and each
epitope-ovalbumin fusion was used to immunize mice. Data showed all 11 LTA
epitopes were immunogenic; epitope 7 (105SPHPYEQEVSA115) induced greater titers
of anti-LT antibodies which neutralized LT enterotoxicity more effectively. To
examine these epitopes for the significance in LT enterotoxicity, we constructed
LT mutants by substituting each of 10 epitopes at the toxic A1 domain of LTA
subunit with a foreign epitope and examined LT mutants for enterotoxicity and GM1
binding activity. Data showed that LT mutants exhibited no enterotoxicity but
retained GM1-binding activity. The results from this study indicated that while
not all immunodominant LTA epitopes were neutralizing, LT mutants with an
individual epitope substituted lost enterotoxicity but retained GM1-binding
activity. These results provided additional information to understand LT
immunogenicity and enterotoxicity and suggested the potential application of LT
platform for multivalent vaccines against ETEC diarrhea and other
diseases.IMPORTANCE No vaccine is licensed for enterotoxigenic Escherichia coli
(ETEC) strains, which remain a leading cause of diarrhea in children from
developing countries and international travelers. GM1-binding heat-labile toxin
(LT) which is a key virulence factor of ETEC diarrhea is a strong vaccine antigen
and a self-adjuvant. LT can also serve a backbone or platform for MEFA
(multiepitope fusion antigen), a newly developed structural vaccinology
technology, to present heterogeneous epitopes (by replacing LT epitopes) and to
mimic epitope antigenicity for development of broadly protective vaccines. Data
from this study identified neutralizing LT epitopes and demonstrated that
substitution of LT epitopes eliminated LT enterotoxicity without altering GM1
binding activity, suggesting LT is potentially a versatile MEFA platform to
present heterogeneous epitopes for multivalent vaccines against ETEC and other
pathogens.
PMID- 29802194
TI - Transmission of Monospecies and Dual-Species Biofilms from Smooth to Nanopillared
Surfaces.
AB - The transmission of bacteria in biofilms from donor to receiver surfaces precedes
the formation of biofilms in many applications. Biofilm transmission is different
from bacterial adhesion, because it involves biofilm compression in between two
surfaces, followed by a separation force leading to the detachment of the biofilm
from the donor surface and subsequent adhesion to the receiver surface.
Therewith, the transmission depends on a balance between donor and receiver
surface properties and the cohesiveness of the biofilm itself. Here, we compare
bacterial transmission from biofilms of an extracellular-polymeric-substance
(EPS)-producing and a non-EPS-producing staphylococcal strain and a dual-species
oral biofilm from smooth silicon (Si) donor surfaces to smooth and nanopillared
Si receiver surfaces. Biofilms were fully covering the donor surface before
transmission. However, after transmission, the biofilms only partly covered the
donor and receiver surfaces regardless of nanopillaring, indicating bacterial
transmission through adhesive failure at the interface between biofilms and donor
surfaces as well as through cohesive failure in the biofilms. The numbers of
bacteria per unit volume in EPS-producing staphylococcal biofilms before
transmission were 2-fold smaller than in biofilms of the non-EPS-producing strain
and of dual species. This difference increased after transmission in the biofilm
left behind on the donor surfaces due to an increased bacterial density for the
non-EPS-producing strain and a dual-species biofilm. This suggests that biofilms
of the non-EPS-producing strain and dual species remained compressed after
transmission, while biofilms of the EPS-producing strain were induced to produce
more EPS during transmission and relaxed toward their initial state after
transmission due to the viscoelasticity conferred to the biofilm by its
EPS.IMPORTANCE Bacterial transmission from biofilm-covered surfaces to surfaces
is mechanistically different from bacterial adhesion to surfaces and involves
detachment from the donor and adhesion to the receiver surfaces under pressure.
Bacterial transmission occurs, for instance, in food processing or packaging, in
household situations, or between surfaces in hospitals. Patients admitted to a
hospital room previously occupied by a patient with antibiotic-resistant
pathogens are at elevated infection risk by the same pathogens through
transmission. Nanopillared receiver surfaces did not collect less biofilm from a
smooth donor than a smooth receiver, likely because the pressure applied during
transmission negated the smaller contact area between bacteria and nanopillared
surfaces, generally held responsible for reduced adhesion. Biofilm left behind on
smooth donor surfaces of a non-extracellular-polymeric-substance (EPS)-producing
strain and dual species had undergone different structural changes than an EPS
producing strain, which is important for their possible further treatment by
antimicrobials or disinfectants.
PMID- 29802195
TI - LEA Proteins and the Evolution of the WHy Domain.
AB - The late embryogenesis abundant (LEA) family is composed of a diverse collection
of multidomain and multifunctional proteins found in all three domains of the
tree of life, but they are particularly common in plants. Most members of the
family are known to play an important role in abiotic stress response and stress
tolerance in plants but are also part of the plant hypersensitive response to
pathogen infection. The mechanistic basis for LEA protein functionality is still
poorly understood. The group of LEA 2 proteins harbor one or more copies of a
unique domain, the water stress and hypersensitive response (WHy) domain. This
domain sequence has recently been identified as a unique open reading frame (ORF)
in some bacterial genomes (mostly in the phylum Firmicutes), and the recombinant
bacterial WHy protein has been shown to exhibit a stress tolerance phenotype in
Escherichia coli and an in vitro protein denaturation protective function.
Multidomain phylogenetic analyses suggest that the WHy protein gene sequence may
have ancestral origins in the domain Archaea, with subsequent acquisition in
Bacteria and eukaryotes via endosymbiont or horizontal gene transfer mechanisms.
Here, we review the structure, function, and nomenclature of LEA proteins, with a
focus on the WHy domain as an integral component of the LEA constructs and as an
independent protein.
PMID- 29802196
TI - Histone demethylase JMJD1A promotes colorectal cancer growth and metastasis by
enhancing Wnt/beta-catenin signaling.
AB - The histone demethylase Jumonji domain containing 1A (JMJD1A) is overexpressed in
multiple tumors and promotes cancer progression. JMJD1A has been shown to promote
colorectal cancer (CRC) progression, but its molecular role in CRC is unclear.
Here, we report that JMJD1A is overexpressed in CRC specimens and that its
expression is positively correlated with that of proliferating cell nuclear
antigen (PCNA). JMJD1A knockdown decreased the expression of proliferative genes
such as c-Myc, cyclin D1, and PCNA, suppressed CRC cell proliferation, arrested
cell cycle progression, and reduced xenograft tumorigenesis. Furthermore, JMJD1A
knockdown inhibited CRC cell migration, invasion, and lung metastasis by
decreasing matrix metallopeptidase 9 (MMP9) expression and enzymatic activity.
Moreover, bioinformatics analysis of GEO profile datasets revealed that JMJD1A
expression in human CRC specimens is positively correlated with the expression of
Wnt/beta-catenin target genes, including c-Myc, cyclin D1, and MMP9.
Mechanistically, JMJD1A enhanced Wnt/beta-catenin signaling by promoting beta
catenin expression and interacting with beta-catenin to enhance its
transactivation. JMJD1A removed the methyl groups of H3K9me2 at the promoters of
c-Myc and MMP9 genes. In contrast, the JMJD1AH1120Y variant, which lacked
demethylase activity, did not demethylate H3K9me2 at these promoters, failed to
assist beta-catenin to induce the expression of Wnt/beta-catenin target genes,
and failed to promote CRC progression. These findings suggest that JMJD1A's
demethylase activity is required for Wnt/beta-catenin activation. Of note, high
JMJD1A levels in CRC specimens predicted poor cancer outcomes. In summary, JMJD1A
promotes CRC progression by enhancing Wnt/beta-catenin signaling, implicating
JMJD1A as a potential molecular target for CRC management.
PMID- 29802197
TI - Coupling of Smoothened to inhibitory G proteins reduces voltage-gated K+ currents
in cardiomyocytes and prolongs cardiac action potential duration.
AB - SMO (Smoothened), the central transducer of Hedgehog signaling, is coupled to
heterotrimeric Gi proteins in many cell types, including cardiomyocytes. In this
study, we report that activation of SMO with SHH (Sonic Hedgehog) or a small
agonist, purmorphamine, rapidly causes a prolongation of the action potential
duration that is sensitive to a SMO inhibitor. In contrast, neither of the SMO
agonists prolonged the action potential in cardiomyocytes from transgenic
GiCT/TTA mice, in which Gi signaling is impaired, suggesting that the effect of
SMO is mediated by Gi proteins. Investigation of the mechanism underlying the
change in action potential kinetics revealed that activation of SMO selectively
reduces outward voltage-gated K+ repolarizing (Kv) currents in isolated
cardiomyocytes and that it induces a down-regulation of membrane levels of Kv4.3
in cardiomyocytes and intact hearts from WT but not from GiCT/TTA mice. Moreover,
perfusion of intact hearts with Shh or purmorphamine increased the ventricular
repolarization time (QT interval) and induced ventricular arrhythmias. Our data
constitute the first report that acute, noncanonical Hh signaling mediated by Gi
proteins regulates K+ currents density in cardiomyocytes and sensitizes the heart
to the development of ventricular arrhythmias.
PMID- 29802198
TI - Striatin-1 is a B subunit of protein phosphatase PP2A that regulates dendritic
arborization and spine development in striatal neurons.
AB - Striatin-1, a subunit of the serine/threonine phosphatase PP2A, is preferentially
expressed in neurons in the striatum. As a member of the striatin family of B
subunits, striatin-1 is a core component together with PP2A of a multiprotein
complex called STRIPAK, the striatin-interacting phosphatase and kinase complex.
Little is known about the function of striatin-1 or the STRIPAK complex in the
mammalian striatum. Here, we identify a selective role for striatin-1 in striatal
neuron maturation. Using a small hairpin RNA (shRNA) knockdown approach in
primary striatal neuronal cultures, we determined that reduced expression of
striatin-1 results in increased dendritic complexity and an increased density of
dendritic spines, classified as stubby spines. The dendritic phenotype was
rescued by co-expression of a striatin-1 mutant construct insensitive to the
knockdown shRNA but was not rescued by co-expression of PP2A- or Mob3-binding
deficient striatin-1 constructs. Reduction of striatin-1 did not result in
deficits in neuronal connectivity in this knockdown model, as we observed no
abnormalities in synapse formation or in spontaneous excitatory postsynaptic
currents. Thus, this study suggests that striatin-1 is a regulator of neuronal
development in striatal neurons.
PMID- 29802199
TI - C6orf106 is a novel inhibitor of the interferon-regulatory factor 3-dependent
innate antiviral response.
AB - Host recognition of intracellular viral RNA and subsequent induction of cytokine
signaling are tightly regulated at the cellular level and are a target for
manipulation by viruses and therapeutics alike. Here, we characterize chromosome
6 ORF 106 (C6orf106) as an evolutionarily conserved inhibitor of the innate
antiviral response. C6orf106 suppresses the synthesis of interferon (IFN)
alpha/beta and proinflammatory tumor necrosis factor (TNF) alpha in response to
the dsRNA mimic poly(I:C) and to Sendai virus infection. Unlike canonical
inhibitors of antiviral signaling, C6orf106 blocks interferon-regulatory factor 3
(IRF3) and, to a lesser extent, NF-kappaB activity without modulating their
activation, nuclear translocation, cellular expression, or degradation. Instead,
C6orf106 interacts with IRF3 and inhibits IRF3 recruitment to type I IFN promoter
sequences while also reducing the nuclear levels of the coactivator proteins p300
and CREB-binding protein (CBP). In summary, we have defined C6orf106 as a
negative regulator of antiviral immunity that blocks IRF3-dependent cytokine
production via a noncanonical and poorly defined mechanism. This work presents
intriguing implications for antiviral immunity, autoimmune disorders, and cancer.
PMID- 29802200
TI - RNA-binding proteins with basic-acidic dipeptide (BAD) domains self-assemble and
aggregate in Alzheimer's disease.
AB - The U1 small nuclear ribonucleoprotein 70 kDa (U1-70K) and other RNA-binding
proteins (RBPs) are mislocalized to cytoplasmic neurofibrillary Tau aggregates in
Alzheimer's disease (AD), yet the co-aggregation mechanisms are incompletely
understood. U1-70K harbors two disordered low-complexity domains (LC1 and LC2)
that are necessary for aggregation in AD brain extracts. The LC1 domain contains
highly repetitive basic (Arg/Lys) and acidic (Asp/Glu) residues, referred to as a
basic-acidic dipeptide (BAD) domain. We report here that this domain shares many
of the properties of the Gln/Asn-rich LC domains in RBPs that also aggregate in
neurodegenerative disease. These properties included self-assembly into oligomers
and localization to nuclear granules. Co-immunoprecipitations of recombinant U1
70K and deletions lacking the LC domain(s) followed by quantitative proteomic
analyses were used to resolve functional classes of U1-70K-interacting proteins
that depend on the BAD domain for their interaction. Within this interaction
network, we identified a class of RBPs with BAD domains nearly identical to that
found in U1-70K. Two members of this class, LUC7L3 and RBM25, required their
respective BAD domains for reciprocal interactions with U1-70K and nuclear
granule localization. Strikingly, a significant proportion of RBPs with BAD
domains had elevated insolubility in the AD brain proteome. Furthermore, we show
that the BAD domain of U1-70K can interact with Tau from AD brains but not from
other tauopathies. These findings highlight a mechanistic role for BAD domains in
stabilizing RBP interactions and in potentially mediating co-aggregation with the
pathological AD-specific Tau isoforms.
PMID- 29802201
TI - The Hippo pathway effector proteins YAP and TAZ have both distinct and
overlapping functions in the cell.
AB - The Hippo pathway plays an important role in regulating tissue homeostasis, and
its effectors, the transcriptional co-activators Yes-associated protein (YAP) and
WW domain-containing transcription regulator 1 (WWTR1 or TAZ), are responsible
for mediating the vast majority of its physiological functions. Although YAP and
TAZ are thought to be largely redundant and similarly regulated by Hippo
signaling, they have developmental, structural, and physiological differences
that suggest they may differ in their regulation and downstream functions. To
better understand the functions of YAP and TAZ in the Hippo pathway, using
CRISPR/Cas9, we generated YAP KO, TAZ KO, and YAP/TAZ KO cell lines in HEK293A
cells. We evaluated them in response to many environmental conditions and stimuli
and used RNA-Seq to compare their transcriptional profiles. We found that YAP
inactivation has a greater effect on cellular physiology (namely, cell spreading,
volume, granularity, glucose uptake, proliferation, and migration) than TAZ
inactivation. However, functional redundancy between YAP and TAZ was also
observed. In summary, our findings confirm that the Hippo pathway effectors YAP
and TAZ are master regulators for multiple cellular processes but also reveal
that YAP has a stronger influence than TAZ.
PMID- 29802202
TI - Persistent but Labile Synaptic Plasticity at Excitatory Synapses.
AB - Short-term synaptic plasticity contributes to many computations in the brain and
allows synapses to keep a finite record of recent activity. Here we have
investigated the mechanisms underlying an intriguing form of short-term
plasticity termed labile LTP, at hippocampal and PFC synapses in male rats and
male and female mice. In the hippocampus, labile LTP is triggered by high
frequency activation of presynaptic axons and is rapidly discharged with further
activation of those axons. However, if the synapses are quiescent, they remain
potentiated until further presynaptic activation. To distinguish labile LTP from
NMDAR-dependent forms of potentiation, we blocked NMDARs in all experiments.
Labile LTP was synapse-specific and was accompanied by a decreased paired pulse
ratio, consistent with an increased release probability. Presynaptic Ca2+ and
protein kinase activation during the tetanus appeared to be required for its
initiation. Labile LTP was not reversed by a PKC inhibitor and did not require
either RIM1alpha or synaptotagmin-7, proteins implicated in other forms of
presynaptic short-term plasticity. Similar NMDAR-independent potentiation could
be elicited at synapses in mPFC. Labile LTP allows for rapid information storage
that is erased under controlled circumstances and could have a role in a variety
of hippocampal and prefrontal cortical computations related to short-term
memory.SIGNIFICANCE STATEMENT Changes in synaptic strength are thought to
represent information storage relevant to particular nervous system tasks. A
single synapse can exhibit multiple overlapping forms of plasticity that shape
information transfer from presynaptic to postsynaptic neurons. Here we
investigate the mechanisms underlying labile LTP, an NMDAR-independent form of
plasticity induced at hippocampal synapses. The potentiation is maintained for
long periods as long as the synapses are infrequently active, but with regular
activation, the synapses are depotentiated. Similar NMDAR-independent
potentiation can also be induced at L2/3-to-L5 synapses in mPFC. Labile LTP
requires a rise in presynaptic Ca2+ and protein kinase activation but is
unaffected in RIM1alpha or synaptotagmin-7 mutant mice. Labile LTP may contribute
to short-term or working memory in hippocampus and mPFC.
PMID- 29802204
TI - Should We Accept Colistin-Induced Nephrotoxicity To Ensure Attainment of a
Therapeutic Steady State in Those with Good Renal Function?
PMID- 29802203
TI - Copine-6 Binds to SNAREs and Selectively Suppresses Spontaneous
Neurotransmission.
AB - Recent studies suggest that spontaneous and action potential-evoked
neurotransmitter release processes are independently regulated. However, the
mechanisms that uncouple the two forms of neurotransmission remain unclear. In
cultured mouse and rat neurons, we show that the two C2 domain-containing protein
copine-6 is localized to presynaptic terminals and binds to synaptobrevin2 as
well as other SNARE proteins in a Ca2+-dependent manner. Ca2+-dependent
interaction of copine-6 with synaptobrevin2 selectively suppresses spontaneous
neurotransmission in a reaction that requires the tandem tryptophan residues at
the C-terminal region of synaptobrevin2. Accordingly, copine-6 loss of function
augmented presynaptic Ca2+ elevation-mediated neurotransmitter release.
Intracellular Ca2+ chelation, on the other hand, occluded copine-6-mediated
suppression of release. We also evaluated the molecular specificity of the copine
6-dependent regulation of spontaneous release and found that overexpression of
copine-6 did not suppress spontaneous release in synaptobrevin2-deficient
neurons. Together, these results suggest that copine-6 acts as a specific Ca2+
dependent suppressor of spontaneous neurotransmission.SIGNIFICANCE STATEMENT
Synaptic transmission occurs both in response to presynaptic action potentials
and spontaneously, in the absence of stimulation. Currently, much more is
understood about the mechanisms underlying action potential-evoked
neurotransmission compared with spontaneous release. However, recent studies have
shown selective modulation of spontaneous neurotransmission process by several
neuromodulators, suggesting specific molecular regulation of spontaneous release.
In this study, we identify copine-6 as a specific regulator of spontaneous
neurotransmission. By both gain-of-function and loss-of-function experiments, we
show that copine-6 functions as a Ca2+-dependent suppressor of spontaneous
release. These results further elucidate the mechanisms underlying differential
regulation of evoked and spontaneous neurotransmitter release.
PMID- 29802207
TI - Regulatory T cells induce activation rather than suppression of human basophils.
AB - Basophils are a rare granulocyte population that has been associated with
allergic and inflammatory responses. It is essential to understand the regulatory
mechanisms by which basophils are kept in check, considering the impact of
dysregulated basophil function on immune responses under different pathological
conditions. Among immunoregulatory cells, CD4+CD25+FoxP3+ regulatory T cells
(Tregs) are the key players that maintain immune tolerance. The mechanisms by
which Tregs regulate and suppress diverse immune cell subsets have been studied
extensively, but the impact of Tregs on basophil functions is not well
understood. We report that human basophils are refractory to Treg-mediated
suppression and found that Tregs stimulate resting basophils to induce the
expression of activation markers including CD69, CD203c, and CD13 and the release
of basophil cytokines including IL-13, IL-8, and IL-4. Mechanistically, Tregs
could induce human basophil activation via IL-3 and STAT5 activation, whereas
cellular contact was dispensable. Inhibition of either IL-3-IL-3 receptor
interactions or STAT5 phosphorylation abrogated Treg-mediated activation of
basophils. These results provide evidence of direct positive effects that human
Tregs have on basophil activation and reveal a previously unrecognized feature of
this cell subset well known for immunosuppressive functions.
PMID- 29802205
TI - Functional Relevance of the Anaphylatoxin Receptor C3aR for Platelet Function and
Arterial Thrombus Formation Marks an Intersection Point Between Innate Immunity
and Thrombosis.
AB - BACKGROUND: Platelets have distinct roles in the vascular system in that they are
the major mediator of thrombosis, critical for restoration of tissue integrity,
and players in vascular inflammatory conditions. In close spatiotemporal
proximity, the complement system acts as the first line of defense against
invading microorganisms and is a key mediator of inflammation. Whereas the fluid
phase cross-talk between the complement and coagulation systems is well
appreciated, the understanding of the pathophysiological implications of such
interactions is still scant. METHODS: We analyzed coexpression of the
anaphylatoxin receptor C3aR with activated glycoprotein IIb/IIIa on platelets of
501 patients with coronary artery disease using flow cytometry; detected C3aR
expression in human or murine specimen by polymerase chain reaction,
immunofluorescence, Western blotting, or flow cytometry; and examined the
importance of platelet C3aR by various in vitro platelet function tests, in vivo
bleeding time, and intravital microscopy. The pathophysiological relevance of
C3aR was scrutinized with the use of disease models of myocardial infarction and
stroke. To approach underlying molecular mechanisms, we identified the platelet
small GTPase Rap1b using nanoscale liquid chromatography coupled to tandem mass
spectrometry. RESULTS: We found a strong positive correlation of platelet
complement C3aR expression with activated glycoprotein IIb/IIIa in patients with
coronary artery disease and coexpression of C3aR with glycoprotein IIb/IIIa in
thrombi obtained from patients with myocardial infarction. Our results
demonstrate that the C3a/C3aR axis on platelets regulates distinct steps of
thrombus formation such as platelet adhesion, spreading, and Ca2+ influx. Using
C3aR-/- mice or C3-/- mice with reinjection of C3a, we uncovered that the
complement activation fragment C3a regulates bleeding time after tail injury and
thrombosis. Notably, C3aR-/- mice were less prone to experimental stroke and
myocardial infarction. Furthermore, reconstitution of C3aR-/- mice with C3aR+/+
platelets and platelet depletion experiments demonstrated that the observed
effects on thrombosis, myocardial infarction, and stroke were specifically caused
by platelet C3aR. Mechanistically, C3aR-mediated signaling regulates the
activation of Rap1b and thereby bleeding arrest after injury and in vivo thrombus
formation. CONCLUSIONS: Overall, our findings uncover a novel function of the
anaphylatoxin C3a for platelet function and thrombus formation, highlighting a
detrimental role of imbalanced complement activation in cardiovascular diseases.
PMID- 29802208
TI - The depressor axis of the renin-angiotensin system and brain disorders: a
translational approach.
AB - All the components of the classic renin-angiotensin system (RAS) have been
identified in the brain. Today, the RAS is considered to be composed mainly of
two axes: the pressor axis, represented by angiotensin (Ang) II/angiotensin
converting enzyme/AT1 receptors, and the depressor and protective one,
represented by Ang-(1-7)/ angiotensin-converting enzyme 2/Mas receptors. Although
the RAS exerts a pivotal role on electrolyte homeostasis and blood pressure
regulation, their components are also implicated in higher brain functions,
including cognition, memory, anxiety and depression, and several neurological
disorders. Overactivity of the pressor axis of the RAS has been implicated in
stroke and several brain disorders, such as cognitive impairment, dementia, and
Alzheimer or Parkinson's disease. The present review is focused on the role of
the protective axis of the RAS in brain disorders beyond its effects on blood
pressure regulation. Furthermore, the use of drugs targeting centrally RAS and
its beneficial effects on brain disorders are also discussed.
PMID- 29802209
TI - Recent advances in the detection of brown adipose tissue in adult humans: a
review.
AB - The activation of brown adipose tissue (BAT) is associated with reductions in
circulating lipids and glucose in rodents and contributes to energy expenditure
in humans indicating the potential therapeutic importance of targetting this
tissue for the treatment of a variety of metabolic disorders. In order to
evaluate the therapeutic potential of human BAT, a variety of methodologies for
assessing the volume and metabolic activity of BAT are utilized. Cold exposure is
often utilized to increase BAT activity but inconsistencies in the
characteristics of the exposure protocols make it challenging to compare
findings. The metabolic activity of BAT in response to cold exposure has most
commonly been measured by static positron emission tomography of 18F
fluorodeoxyglucose in combination with computed tomography (18F-FDG PET-CT)
imaging, but recent studies suggest that under some conditions this may not
always reflect BAT thermogenic activity. Therefore, recent studies have used
alternative positron emission tomography and computed tomography (PET-CT) imaging
strategies and radiotracers that may offer important insights. In addition to PET
CT, there are numerous emerging techniques that may have utility for assessing
BAT metabolic activity including magnetic resonance imaging (MRI), skin
temperature measurements, near-infrared spectroscopy (NIRS) and contrast
ultrasound (CU). In this review, we discuss and critically evaluate the various
methodologies used to measure BAT metabolic activity in humans and provide a
contemporary assessment of protocols which may be useful in interpreting research
findings and guiding the development of future studies.
PMID- 29802206
TI - Enhanced Cardiomyocyte NLRP3 Inflammasome Signaling Promotes Atrial Fibrillation.
AB - Background -Atrial fibrillation (AF) is frequently associated with enhanced
inflammatory response. The "NACHT, LRR and PYD domain containing protein 3"
(NLRP3)-inflammasome mediates caspase-1 activation and interleukin-1beta release
in immune cells, but is not known to play a role in cardiomyocytes (CMs). Here,
we assessed the role of CM NLRP3-inflammasome in AF. Methods -NLRP3-inflammasome
activation was assessed by immunoblot in atrial whole-tissue lysates and CMs from
patients with paroxysmal (pAF) or long-standing persistent (chronic) AF (cAF). To
determine whether CM-specific activation of NLPR3 is sufficient to promote AF, a
CM-specific knock-in mouse model expressing constitutively active NLRP3 (CM-KI)
was established. In vivo electrophysiology was used to assess atrial arrhythmia
vulnerability. To evaluate the mechanism of AF, electrical activation pattern,
Ca2+ spark frequency (CaSF), atrial effective refractory period (AERP), and
morphology of atria were evaluated in CM-KI mice and WT littermates. Results
NLRP3-inflammasome activity was increased in atrial CMs of pAF and cAF patients.
CM-KI mice developed spontaneous premature atrial contractions and inducible AF,
which was attenuated by a specific NLRP3-inflammasome inhibitor, MCC950. CM-KI
mice exhibited ectopic activity, abnormal sarcoplasmic-reticulum Ca2+-release,
AERP shortening and atrial hypertrophy. Adeno-associated virus subtype-9 mediated
CM-specific knockdown of Nlrp3 suppressed AF development in CM-KI mice. Finally,
genetic inhibition of Nlrp3 prevented AF development in CREM transgenic mice, a
well-characterized mouse model of spontaneous AF. Conclusions -Our study
establishes a novel pathophysiological role for CM NLRP3-inflammasome signaling
with a mechanistic link to the pathogenesis of AF, and establishes inhibition of
NLRP3 as a potential novel AF-therapy approach.
PMID- 29802210
TI - Neuroprotection via AT2 receptor agonists in ischemic stroke.
AB - Stroke is a devastating disease that afflicts millions of people each year
worldwide. Ischemic stroke, which accounts for ~88% of cases, occurs when blood
supply to the brain is decreased, often because of thromboembolism or
atherosclerotic occlusion. This deprives the brain of oxygen and nutrients,
causing immediate, irreversible necrosis within the core of the ischemic area,
but more delayed and potentially reversible neuronal damage in the surrounding
brain tissue, the penumbra. The only currently approved therapies for ischemic
stroke, the thrombolytic agent recombinant tissue plasminogen activator (rtPA)
and the endovascular clot retrieval/destruction processes, are aimed at restoring
blood flow to the infarcted area, but are only available for a minority of
patients and are not able in most cases to completely restore neurological
deficits. Consequently, there remains a need for agents that will protect
neurones against death following ischemic stroke. Here, we evaluate angiotensin
II (Ang II) type 2 (AT2) receptor agonists as a possible therapeutic target for
this disease. We first provide an overview of stroke epidemiology,
pathophysiology, and currently approved therapies. We next review the large
amount of preclinical evidence, accumulated over the past decade and a half,
which indicates that AT2 receptor agonists exert significant neuroprotective
effects in various animal models, and discuss the potential mechanisms involved.
Finally, after discussing the challenges of delivering blood-brain barrier (BBB)
impermeable AT2 receptor agonists to the infarcted areas of the brain, we
summarize the evidence for and against the development of these agents as a
promising therapeutic strategy for ischemic stroke.
PMID- 29802211
TI - Leflunomide counter akt s cardiac hypertrophy.
AB - Cardiac hypertrophy (CH) is a major independent risk factor for heart failure and
mortality. However, therapeutic interventions that target hypertrophy signaling
in a load-independent way are unavailable. In a recent issue of Clinical Science
(vol. 132, issue 6, 685-699), Ma et al. describe that the anti-inflammatory drug
leflunomide markedly antagonized CH, dysfunction, and fibrosis induced by aortic
banding or angiotensin-II in mice or by agonists in cultured cells. Unexpectedly,
this occurred not via anti-inflammatory mechanisms but rather via inhibtion of
Akt (protein kinase B, PKB) signaling. We further discuss the mechanisms
underlying Akt activation and its effects on CH and review possible mechanisms of
leflunomide effects. Despite some caveats, the availability of such a newly
repurposed compound to treat CH can be a relevant advance.
PMID- 29802213
TI - ERULUS Is a Plasma Membrane-Localized Receptor-Like Kinase That Specifies Root
Hair Growth by Maintaining Tip-Focused Cytoplasmic Calcium Oscillations.
PMID- 29802212
TI - The Chromatin Remodelers PKL and PIE1 Act in an Epigenetic Pathway That
Determines H3K27me3 Homeostasis in Arabidopsis.
AB - Selective, tissue-specific gene expression is facilitated by the epigenetic
modification H3K27me3 (trimethylation of lysine 27 on histone H3) in plants and
animals. Much remains to be learned about how H3K27me3-enriched chromatin states
are constructed and maintained. Here, we identify a genetic interaction in
Arabidopsis thaliana between the chromodomain helicase DNA binding chromatin
remodeler PICKLE (PKL), which promotes H3K27me3 enrichment, and the SWR1-family
remodeler PHOTOPERIOD INDEPENDENT EARLY FLOWERING1 (PIE1), which incorporates the
histone variant H2A.Z. Chromatin immunoprecipitation-sequencing and RNA
sequencing reveal that PKL, PIE1, and the H3K27 methyltransferase CURLY LEAF act
in a common gene expression pathway and are required for H3K27me3 levels genome
wide. Additionally, H3K27me3-enriched genes are largely a subset of H2A.Z
enriched genes, further supporting the functional linkage between these marks. We
also found that recombinant PKL acts as a prenucleosome maturation factor,
indicating that it promotes retention of H3K27me3. These data support the
existence of an epigenetic pathway in which PIE1 promotes H2A.Z, which in turn
promotes H3K27me3 deposition. After deposition, PKL promotes retention of
H3K27me3 after DNA replication and/or transcription. Our analyses thus reveal
roles for H2A.Z and ATP-dependent remodelers in construction and maintenance of
H3K27me3-enriched chromatin in plants.
PMID- 29802215
TI - Aspirin Effect on Adverse Pregnancy Outcomes Associated With Stage 1 Hypertension
in a High-Risk Cohort.
AB - Recently, the American College of Cardiology/American Heart Association Task
Force on Clinical Practice Guidelines revised the recommendations for diagnosis
of chronic hypertension. The new classification system includes a diagnosis of
stage 1 hypertension in adults with blood pressures 130 to 139/80 to 89 mm Hg. We
sought to compare outcomes among women at high risk for preeclampsia with stage 1
hypertension and assessed whether women with stage 1 hypertension had benefit
from aspirin treatment compared with high-risk normotensive women. We performed a
secondary analysis of the high-risk aspirin trial and included women with prior
preeclampsia or diabetes mellitus. Among these women, 827 (81%) were classified
as normotensive, whereas 193 (19%) were classified as stage 1 hypertensive. Among
women receiving placebo, preeclampsia occurred significantly more often in women
with stage 1 hypertension compared with normotensive high-risk women after
adjustment for maternal age and body mass index (39.1% versus 15.1%; risk ratio,
2.49; 95% confidence interval, 1.74-3.55). Further, women with stage 1
hypertension had a significant risk reduction related to aspirin prophylaxis
(risk ratio, 0.61; 95% confidence interval, 0.39-0.94) that was not seen in
normotensive high-risk women (risk ratio, 0.97; 95% confidence interval, 0.70
1.34). Application of the American College of Cardiology/American Heart
Association guidelines in a high-risk population demonstrates that in the setting
of other risk factors, the presence of stage 1 hypertension is associated with a
significantly increased risk of preeclampsia when compared with high-risk
normotensive women. These findings emphasize the importance of recognition of
stage 1 hypertension as an additive risk factor in women at high risk for
preeclampsia and the benefit of aspirin.
PMID- 29802217
TI - Role of mucins in lung homeostasis: regulated expression and biosynthesis in
health and disease.
AB - In humans and mice, the first line of innate defense against inhaled pathogens
and particles in the respiratory tract is airway mucus. The primary solid
components of the mucus layer are the mucins MUC5AC and MUC5B, polymeric
glycoproteins whose changes in abundance and structure can dramatically affect
airway defense. Accordingly, MUC5AC/Muc5ac and MUC5B/Muc5b are tightly regulated
at a transcriptional level by tissue-specific transcription factors in
homeostasis and in response to injurious and inflammatory triggers. In addition
to modulated levels of mucin gene transcription, translational and post
translational biosynthetic processes also exert significant influence upon mucin
function. Mucins are massive macromolecules with numerous functional domains that
contribute to their structural composition and biophysical properties. Single
MUC5AC and MUC5B apoproteins have molecular masses of >400 kDa, and von
Willebrand factor D-like as well as other cysteine-rich domain segments
contribute to mucin polymerization and flexibility, thus increasing apoprotein
length and complexity. Additional domains serve as sites for O-glycosylation,
which increase further mucin mass several-fold. Glycosylation is a defining
process for mucins that is specific with respect to additions of glycans to mucin
apoprotein backbones, and glycan additions influence the physical properties of
the mucins via structural modifications as well as charge interactions.
Ultimately, through their tight regulation and complex assembly, airway mucins
follow the biological rule of 'form fits function' in that their structural
organization influences their role in lung homeostatic mechanisms.
PMID- 29802216
TI - Eat it right: ER-phagy and recovER-phagy.
AB - The endoplasmic reticulum (ER) is the site of protein, lipid, phospholipid,
steroid and oligosaccharide synthesis and modification, calcium ion storage, and
detoxification of endogenous and exogenous products. Its volume (and activity)
must be maintained under normal growth conditions, must be expanded in a
controlled manner on activation of ER stress programs and must be reduced to pre
stress size during the recovery phase that follows ER stress termination. ER
phagy is the constitutive or regulated fragmentation and delivery of ER fragments
to lysosomal compartments for clearance. It gives essential contribution to the
maintenance of cellular homeostasis, proteostasis, lipidostasis and
oligosaccharidostasis (i.e. the capacity to produce the proteome, lipidome and
oligosaccharidome in appropriate quality and quantity). ER turnover is activated
on ER stress, nutrient deprivation, accumulation of misfolded polypeptides,
pathogen attack and by activators of macroautophagy. The selectivity of these
poorly characterized catabolic pathways is ensured by proteins displayed at the
limiting membrane of the ER subdomain to be removed from cells. These proteins
are defined as ER-phagy receptors and engage the cytosolic macroautophagy
machinery via specific modules that associate with ubiquitin-like, cytosolic
proteins of the Atg8/LC3/GABARAP family. In this review, we give an overview on
selective ER turnover and on the yeast and mammalian ER-phagy receptors
identified so far.
PMID- 29802214
TI - Response to Persistent ER Stress in Plants: A Multiphasic Process That
Transitions Cells from Prosurvival Activities to Cell Death.
AB - The unfolded protein response (UPR) is a highly conserved response that protects
plants from adverse environmental conditions. The UPR is elicited by endoplasmic
reticulum (ER) stress, in which unfolded and misfolded proteins accumulate within
the ER. Here, we induced the UPR in maize (Zea mays) seedlings to characterize
the molecular events that occur over time during persistent ER stress. We found
that a multiphasic program of gene expression was interwoven among other cellular
events, including the induction of autophagy. One of the earliest phases involved
the degradation by regulated IRE1-dependent RNA degradation (RIDD) of RNA
transcripts derived from a family of peroxidase genes. RIDD resulted from the
activation of the promiscuous ribonuclease activity of ZmIRE1 that attacks the
mRNAs of secreted proteins. This was followed by an upsurge in expression of the
canonical UPR genes indirectly driven by ZmIRE1 due to its splicing of Zmbzip60
mRNA to make an active transcription factor that directly upregulates many of the
UPR genes. At the peak of UPR gene expression, a global wave of RNA processing
led to the production of many aberrant UPR gene transcripts, likely tempering the
ER stress response. During later stages of ER stress, ZmIRE1's activity declined,
as did the expression of survival modulating genes, Bax inhibitor1 and Bcl-2
associated athanogene7, amid a rising tide of cell death. Thus, in response to
persistent ER stress, maize seedlings embark on a course of gene expression and
cellular events progressing from adaptive responses to cell death.
PMID- 29802218
TI - Coenzyme A, protein CoAlation and redox regulation in mammalian cells.
AB - In a diverse family of cellular cofactors, coenzyme A (CoA) has a unique design
to function in various biochemical processes. The presence of a highly reactive
thiol group and a nucleotide moiety offers a diversity of chemical reactions and
regulatory interactions. CoA employs them to activate carbonyl-containing
molecules and to produce various thioester derivatives (e.g. acetyl CoA, malonyl
CoA and 3-hydroxy-3-methylglutaryl CoA), which have well-established roles in
cellular metabolism, production of neurotransmitters and the regulation of gene
expression. A novel unconventional function of CoA in redox regulation, involving
covalent attachment of this coenzyme to cellular proteins in response to
oxidative and metabolic stress, has been recently discovered and termed protein
CoAlation (S-thiolation by CoA or CoAthiolation). A diverse range of proteins was
found to be CoAlated in mammalian cells and tissues under various experimental
conditions. Protein CoAlation alters the molecular mass, charge and activity of
modified proteins, and prevents them from irreversible sulfhydryl overoxidation.
This review highlights the role of a key metabolic integrator CoA in redox
regulation in mammalian cells and provides a perspective of the current status
and future directions of the emerging field of protein CoAlation.
PMID- 29802219
TI - Immune Checkpoint Inhibitor-Associated Myocarditis.
AB - : Immune checkpoint inhibitors (ICIs) are approved for a wide range of
malignancies. They work by priming the immune system response to cancer and have
changed the landscape of available cancer treatments. As anticipated, modulation
of the regulatory controls in the immune system with ICIs results in diverse
immune-related adverse events, targeting any organ or gland. These toxicities are
rarely fatal and generally regress after treatment discontinuation and/or
prescription of corticosteroids. Recently, several cases of ICI-related
cardiotoxicity have been reported with complications ranging from cardiogenic
shock to sudden death. The true incidence of ICI-associated myocarditis is likely
underestimated, due to a combination of factors including the lack of specificity
in the clinical presentation, the potential of overlap with other cardiovascular
and general medical illnesses, the challenges in the diagnosis, and a general
lack of awareness of this condition. Currently, there are no clear guidelines for
surveillance, diagnosis, or management of this entity. There are multiple
unresolved issues including, but not limited to, identifying those at risk of
this uncommon toxicity, elucidating the pathophysiology, determining if and what
type of surveillance is appropriate, optimal work-up of suspected patients, and
methods for resolution of myocarditis. Here we describe a clinical vignette and
discuss the salient features and management strategies of ICI-associated
myocarditis. KEY POINTS: The incidence of immune checkpoint inhibitor (ICI)
associated myocarditis is unclear and has been reported to range from 0.06% to 1%
of patients prescribed an ICI.Myocarditis may be difficult to diagnose.The risk
factors for ICI-associated myocarditis are not well understood but may include
underlying autoimmune disease and diabetes mellitus.The prevalence of myocarditis
has been reported to be higher with combination immune therapies.Myocarditis with
ICI's typically occurs early, with an elevated troponin, may present with an
normal left ventricular ejection fraction and may have a fulminant course.The
optimal management of myocarditis associated with ICI's is unclear but most cases
are treated with high-dose steroids.
PMID- 29802221
TI - Association Between Serum Folate Level and Toxicity of Capecitabine During
Treatment for Colorectal Cancer.
AB - BACKGROUND: Folate level was proposed to be a predictor for fluoropyrimidine
related toxicity. We conducted a prospective study to determine the association
between serum and red-cell folate and capecitabine-related toxicity in patients
with colorectal cancers. MATERIALS AND METHODS: Eligibility criteria included
diagnosis of colorectal cancers; eligible patients who were scheduled to undergo
capecitabine monotherapy or capecitabine-oxaliplatin (CAPOX) for adjuvant or
palliative purposes. Exclusion criteria included concomitant radiotherapy or
chemotherapy other than capecitabine or CAPOX and creatinine clearance <30
mL/min. Fasting serum and red-cell folate were measured prior to chemotherapy.
Capecitabine was administered at 2,500 mg/m2 per day (monotherapy) or 2,000 mg/m2
per day (CAPOX) for 14 days every 3 weeks. The toxicity of the first four cycles
was documented by clinical investigators who were blinded to folate levels.
RESULTS: A total of 144 patients were recruited, of whom 126 were eligible; 40
patients had capecitabine alone, and 86 patients received CAPOX. The rates of
grade 2 and grade 3 toxicity were 63.5% and 14.3%, respectively. Nausea and
vomiting were the most common grade >=2 adverse event (47.7%), followed by hand
foot syndrome (25.4%), diarrhea (23.1%), and neutropenia (22.3%). Combination
with oxaliplatin (odds ratio [OR], 2.77; p = .043) and serum folate (OR, 10.33; p
= .002) were independent predictors of grade >=2 toxicity. Red-cell folate was
not predictive of toxicity. For every 10 nmol/L increment in serum folate, the
risk of grade >=2 toxicity increased by 9%. CONCLUSION: Serum folate level, but
not red-cell folate, was associated with higher rate of grade >=2 toxicity during
capecitabine-based treatment. Excessive folate intake may be avoided before and
during capecitabine-based chemotherapy. IMPLICATIONS FOR PRACTICE: This is the
first prospective study to evaluate the association between serum folate level
and capecitabine-related toxicity in patients with colon cancers. It shows that
higher serum folate level is associated with increased risks of moderate to
severe toxicity during capecitabine-based treatment. Excessive folate intake
should be avoided before and during capecitabine-based chemotherapy.
PMID- 29802222
TI - IGF-1 facilitates thrombopoiesis primarily through Akt activation.
AB - It is known that insulin-like growth factor-1 (IGF-1) also functions as a
hematopoietic factor, although its direct effect on thrombopoiesis remains
unclear. In this study, we show that IGF-1 is able to promote CD34+ cell
differentiation toward megakaryocytes (MKs), as well as the facilitation of
proplatelet formation (PPF) and platelet production from cultured MKs. The in
vivo study demonstrates that IGF-1 administration accelerates platelet recovery
in mice after 6.0 Gy of irradiation and in mice that received bone marrow
transplantation following 10.0 Gy of lethal irradiation. Subsequent
investigations reveal that extracellular signal-regulated kinase 1/2 (ERK1/2) and
Akt activation mediate the effect of IGF-1 on thrombopoiesis. Notably, Akt
activation induced by IGF-1 is more apparent than that of ERK1/2, compared with
that of thrombopoietin (TPO) treatment. Moreover, the effect of IGF-1 on
thrombopoiesis is independent of TPO signaling because IGF-1 treatment can also
lead to a significant increase of platelet counts in homozygous TPO receptor
mutant mice. Further analysis indicates that the activation of Akt triggered by
IGF-1 requires the assistance of steroid receptor coactivator-3 (SRC-3).
Therefore, our data reveal a distinct role of IGF-1 in regulating thrombopoiesis,
providing new insights into TPO-independent regulation of platelet generation.
PMID- 29802223
TI - Association between use of non-steroidal anti-inflammatory drugs and risk of
myocardial infarction in patients with spondyloarthritis and osteoarthritis.
PMID- 29802220
TI - Myocarditis Associated with Immune Checkpoint Inhibitors: An Expert Consensus on
Data Gaps and a Call to Action.
AB - Immune checkpoint inhibitors (ICIs) have transformed the treatment landscape for
cancer. Due to the mechanism of action of ICIs, inflammatory reactions against
normal tissue were an anticipated side effect of these agents; these immune
related adverse events have been documented and are typically low grade and
manageable. Myocarditis has emerged as an uncommon but potentially life
threatening adverse reaction in patients treated with ICIs. Assessment and
characterization of ICI-associated myocarditis is challenging because of its low
incidence and protean manifestations. Nevertheless, the seriousness of ICI
associated myocarditis justifies a coordinated effort to increase awareness of
this syndrome, identify patients who may be at risk, and enable early diagnosis
and appropriate treatment. The "Checkpoint Inhibitor Safety Working Group," a
multidisciplinary committee of academic, industry, and regulatory partners,
convened at a workshop hosted by Project Data Sphere, LLC, on December 15, 2017.
This meeting aimed to evaluate the current information on ICI-associated
myocarditis, determine methods to collect and share data on this adverse
reaction, and establish task forces to close the identified knowledge gaps. In
this report, we summarize the workshop findings and proposed steps to address the
impact of ICI-associated myocarditis in patients with cancer.
PMID- 29802224
TI - Rheumatology 4.0: big data, wearables and diagnosis by computer.
PMID- 29802225
TI - TRKA expression and NTRK1 gene copy number across solid tumours.
AB - AIMS: Neurotrophic Tropomyosin Kinase Receptor 1 (NTRK1) gene encodes for the
protein Tropomyosin-related kinase A (TRKA). Deregulated activity of TRKA has
been shown to have oncogenic potential. We present here the results of an
immunohistochemical (IHC) observational cohort study of TRKA expression together
with gene copy number (GCN) assessment in various solid tumours. METHODS:
Formalin-fixed, paraffin-embedded consecutive samples of different tumour types
were tested for TRKA expression. Samples showing TRKA IHC staining in at least
10% of cells were analysed by fluorescence in situ hybridisation to assess NTRK1
gene rearrangements and/or individual GCN gain. All patients underwent this
molecular assessment within the phase I ALKA-001 clinical trial. RESULTS: 1043
samples were tested and annotation for histology was available in 1023. Most of
the samples were colorectal adenocarcinoma (CRC) (n=550, 52.7%) and lung
adenocarcinoma (n=312, 29.9%). 24 samples (2.3%) were biliary tract carcinoma
(BTC). Overall, 17 (1.6%) samples were characterised by TRKA IHC expression (four
weak, eight moderate, five strong): 9/17 lung adenocarcinoma, 3/17 CRC, 3/17 BTC,
1/17 thyroid cancer and 1/17 cancer of unknown primary. Of these, 1/17 with
strong TRKA IHC staining displayed NTRK1 gene rearrangement and 15/17 NTRK1 GCN
gain by FISH. No correlation was found between intensity of TRKA IHC staining and
number of copies of NTRK1. CONCLUSIONS: TRKA expression can be found in 1.6% of
solid tumours and can be paralleled by NTRK1 gene rearrangements or mostly GCN
gain. The prognostic and translational therapeutic impact of the latter remains
to be established.
PMID- 29802226
TI - Identification of early B cell precursors (stage 1 and 2 hematogones) in the
peripheral blood.
AB - Differentiating malignant B-lymphoblasts from early benign B cell precursors
(hematogones) is a vital component of the diagnosis of B-lymphoblastic leukaemia.
It has been previously reported that only late-stage B cell precursors circulate
in the peripheral blood. Consequently, flow cytometric detection of cells with
immunophenotypic findings similar to earlier stage precursors in the peripheral
blood justifiably raises concern for involvement by B-lymphoblastic leukaemia. We
report here, however, that benign early B cell precursors can indeed be detected
in the peripheral blood, thus complicating the interpretation of flow cytometric
findings derived from these sample types. A retrospective search of our
collective databases identified 13 cases containing circulating early stage B
cell precursors. The patients ranged in age from 15 days to 85 years old. All
positive cases demonstrated that the earlier B cell precursors were associated
with later stage precursors, a finding that could help differentiate these cells
from B-lymphoblastic leukaemia.
PMID- 29802227
TI - Going on offense against the gram-negative defense.
PMID- 29802229
TI - Dynamic regimes of electrified liquid filaments.
AB - We investigate the dynamics of an electrified liquid filament in a nozzle-to
substrate configuration with a close separation. The interplay between
compressive viscous and electrostatic stresses dictates previously undocumented
transitions between dynamic regimes of "jetting," "coiling," and "whipping." In
particular, the onsets of both coiling and whipping instabilities are
significantly influenced by the minimum radius along the liquid filament. Using a
low-interfacial-tension system, we unravel the physics behind the transitions
between jetting, coiling, and whipping of an electrified filament for a range of
liquid properties and geometric parameters. Our results enrich the overall
physical picture of the electrically forced jets, and provide insights for the
emerging high-resolution instability-assisted printing of materials such as
folded assemblies and scaffolds.
PMID- 29802230
TI - Heme redox potentials hold the key to reactivity differences between nitric oxide
reductase and heme-copper oxidase.
AB - Despite high structural homology between NO reductases (NORs) and heme-copper
oxidases (HCOs), factors governing their reaction specificity remain to be
understood. Using a myoglobin-based model of NOR (FeBMb) and tuning its heme
redox potentials (E degrees ') to cover the native NOR range, through
manipulating hydrogen bonding to the proximal histidine ligand and replacing heme
b with monoformyl (MF-) or diformyl (DF-) hemes, we herein demonstrate that the E
degrees ' holds the key to reactivity differences between NOR and HCO. Detailed
electrochemical, kinetic, and vibrational spectroscopic studies, in tandem with
density functional theory calculations, demonstrate a strong influence of heme E
degrees ' on NO reduction. Decreasing E degrees ' from +148 to -130 mV
significantly impacts electronic properties of the NOR mimics, resulting in 180-
and 633-fold enhancements in NO association and heme-nitrosyl decay rates,
respectively. Our results indicate that NORs exhibit finely tuned E degrees '
that maximizes their enzymatic efficiency and helps achieve a balance between
opposite factors: fast NO binding and decay of dinitrosyl species facilitated by
low E degrees ' and fast electron transfer facilitated by high E degrees '. Only
when E degrees ' is optimally tuned in FeBMb(MF-heme) for NO binding, heme
nitrosyl decay, and electron transfer does the protein achieve multiple (>35)
turnovers, previously not achieved by synthetic or enzyme-based NOR models. This
also explains a long-standing question in bioenergetics of selective cross
reactivity in HCOs. Only HCOs with heme E degrees ' in a similar range as NORs
(between -59 and 200 mV) exhibit NOR reactivity. Thus, our work demonstrates
efficient tuning of E degrees ' in various metalloproteins for their optimal
functionality.
PMID- 29802228
TI - Oxygen radicals, nitric oxide, and peroxynitrite: Redox pathways in molecular
medicine.
AB - Oxygen-derived free radicals and related oxidants are ubiquitous and short-lived
intermediates formed in aerobic organisms throughout life. These reactive species
participate in redox reactions leading to oxidative modifications in
biomolecules, among which proteins and lipids are preferential targets. Despite a
broad array of enzymatic and nonenzymatic antioxidant systems in mammalian cells
and microbes, excess oxidant formation causes accumulation of new products that
may compromise cell function and structure leading to cell degeneration and
death. Oxidative events are associated with pathological conditions and the
process of normal aging. Notably, physiological levels of oxidants also modulate
cellular functions via homeostatic redox-sensitive cell signaling cascades. On
the other hand, nitric oxide (*NO), a free radical and weak oxidant, represents a
master physiological regulator via reversible interactions with heme proteins.
The bioavailability and actions of *NO are modulated by its fast reaction with
superoxide radical ([Formula: see text]), which yields an unusual and reactive
peroxide, peroxynitrite, representing the merging of the oxygen radicals and *NO
pathways. In this Inaugural Article, I summarize early and remarkable
developments in free radical biochemistry and the later evolution of the field
toward molecular medicine; this transition includes our contributions disclosing
the relationship of *NO with redox intermediates and metabolism. The biochemical
characterization, identification, and quantitation of peroxynitrite and its role
in disease processes have concentrated much of our attention. Being a mediator of
protein oxidation and nitration, lipid peroxidation, mitochondrial dysfunction,
and cell death, peroxynitrite represents both a pathophysiologically relevant
endogenous cytotoxin and a cytotoxic effector against invading pathogens.
PMID- 29802232
TI - Reply to Queimado et al.: E-cigarettes induce DNA damage and inhibit DNA repair
in mice and human cells.
PMID- 29802233
TI - Electronic cigarette aerosols induce DNA damage and reduce DNA repair:
Consistency across species.
PMID- 29802231
TI - Transcription factor profiling reveals molecular choreography and key regulators
of human retrotransposon expression.
AB - Transposable elements (TEs) represent a substantial fraction of many eukaryotic
genomes, and transcriptional regulation of these factors is important to
determine TE activities in human cells. However, due to the repetitive nature of
TEs, identifying transcription factor (TF)-binding sites from ChIP-sequencing
(ChIP-seq) datasets is challenging. Current algorithms are focused on subtle
differences between TE copies and thus bias the analysis to relatively old and
inactive TEs. Here we describe an approach termed "MapRRCon" (mapping repeat
reads to a consensus) which allows us to identify proteins binding to TE DNA
sequences by mapping ChIP-seq reads to the TE consensus sequence after whole
genome alignment. Although this method does not assign binding sites to
individual insertions in the genome, it provides a landscape of interacting TFs
by capturing factors that bind to TEs under various conditions. We applied this
method to screen TFs' interaction with L1 in human cells/tissues using ENCODE
ChIP-seq datasets and identified 178 of the 512 TFs tested as bound to L1 in at
least one biological condition with most of them (138) localized to the promoter.
Among these L1-binding factors, we focused on Myc and CTCF, as they play
important roles in cancer progression and 3D chromatin structure formation.
Furthermore, we explored the transcriptomes of The Cancer Genome Atlas breast and
ovarian tumor samples in which a consistent anti-/correlation between L1 and
Myc/CTCF expression was observed, suggesting that these two factors may play
roles in regulating L1 transcription during the development of such tumors.
PMID- 29802234
TI - Paying for Point-of-Care Nucleic Acid Amplification Tests: a Micro-Comic Strip.
PMID- 29802235
TI - Retraction for Flynt et al., "Comparison of Etest to Broth Microdilution for
Testing of Susceptibility of Pseudomonas aeruginosa to Ceftolozane-Tazobactam".
PMID- 29802236
TI - Photo Quiz: A Fishy Tale of Endocarditis.
PMID- 29802237
TI - Answer to June 2018 Photo Quiz.
PMID- 29802238
TI - The Brief Case: Misidentification of Brucella melitensis as Ochrobactrum anthropi
by Matrix-Assisted Laser Desorption Ionization-Time of Flight Mass Spectrometry
(MALDI-TOF MS).
PMID- 29802239
TI - Closing the Brief Case: Misidentification of Brucella melitensis as Ochrobactrum
anthropi by Matrix-Assisted Laser Desorption Ionization-Time of Flight Mass
Spectrometry (MALDI-TOF MS).
PMID- 29802240
TI - Association Between Polymorphisms of Interleukin 1 Family Genes and
Hepatocellular Carcinoma.
AB - BACKGROUND Hepatocellular carcinoma (HCC) is one of the most common malignancies
occurring worldwide and is most frequent type of liver cancer. The risk for
developing HCC increases with the severity of inflammation and fibrosis. The
members of the interleukin-1 (IL-1) family are primarily proinflammatory
cytokines due to their ability to stimulate the expression of genes associated
with inflammation and autoimmune diseases. Several studies have suggested that
some proinflammatory cytokines, such as the IL-1 family (IL-1alpha, IL-1beta, and
IL-1 receptor antagonist) are involved in the pathogenesis of HCC. MATERIAL AND
METHODS This study aimed to determine whether polymorphisms in the IL-1 family of
genes are associated with HCC. We analyzed 178 HCC patients and 397 controls to
investigate the association between polymorphisms in IL-1alpha, IL-1beta, and IL
1 receptor antagonist (IL-1RA) genes and HCC in the Korean population. All
subjects were genotyped for the selected SNPs in IL-1alpha, IL-1beta, and IL-1RA
genes by Golden-Gate SNP Genotyping Assay. RESULTS Statistical analysis revealed
a significant association at IL-1beta between HCC and controls. Three individual
polymorphisms (rs1143633, rs3917356, and rs1143627) were found to be associated
with HCC. The SNPs of IL-1b gene (rs1143633A>G and rs1143627T>C) protected
against HCC in the dominant model (p=0.027, OR=0.59, 95% CI=0.37-0.94; p=0.019,
OR=0.56, 95% CI=0.34-0.91). The SNP of IL-1beta gene (rs3917356G>A) increased the
risk of HCC in the recessive model (p<0.001, OR=2.58, 95% CI=1.53-4.33), whereas
other SNPs in IL-1alpha and IL-1RA showed no significant association between HCC
patients and controls. CONCLUSIONS These results suggest that IL-1beta in the IL
1 family contributes to HCC susceptibility.
PMID- 29802241
TI - Cultural hitchhiking and competition between patrilineal kin groups explain the
post-Neolithic Y-chromosome bottleneck.
AB - In human populations, changes in genetic variation are driven not only by genetic
processes, but can also arise from cultural or social changes. An abrupt
population bottleneck specific to human males has been inferred across several
Old World (Africa, Europe, Asia) populations 5000-7000 BP. Here, bringing
together anthropological theory, recent population genomic studies and
mathematical models, we propose a sociocultural hypothesis, involving the
formation of patrilineal kin groups and intergroup competition among these
groups. Our analysis shows that this sociocultural hypothesis can explain the
inference of a population bottleneck. We also show that our hypothesis is
consistent with current findings from the archaeogenetics of Old World Eurasia,
and is important for conceptions of cultural and social evolution in prehistory.
PMID- 29802244
TI - High temperature deformability of ductile flash-sintered ceramics via in-situ
compression.
AB - Flash sintering has attracted significant attention as its remarkably rapid
densification process at low sintering furnace temperature leads to the retention
of fine grains and enhanced dielectric properties. However, high-temperature
mechanical behaviors of flash-sintered ceramics remain poorly understood. Here,
we present high-temperature (up to 600 degrees C) in situ compression studies on
flash-sintered yttria-stabilized zirconia (YSZ). Below 400 degrees C, the YSZ
exhibits high ultimate compressive strength exceeding 3.5 GPa and high inelastic
strain (~8%) due primarily to phase transformation toughening. At higher
temperatures, crack nucleation and propagation are significantly retarded, and
prominent plasticity arises mainly from dislocation activity. The high
dislocation density induced in flash-sintered ceramics may have general
implications for improving the plasticity of sintered ceramic materials.
PMID- 29802242
TI - Testosterone is an endogenous regulator of BAFF and splenic B cell number.
AB - Testosterone deficiency in men is associated with increased risk for autoimmunity
and increased B cell numbers through unknown mechanisms. Here we show that
testosterone regulates the cytokine BAFF, an essential survival factor for B
cells. Male mice lacking the androgen receptor have increased splenic B cell
numbers, serum BAFF levels and splenic Baff mRNA. Testosterone deficiency by
castration causes expansion of BAFF-producing fibroblastic reticular cells (FRCs)
in spleen, which may be coupled to lower splenic noradrenaline levels in
castrated males, as an alpha-adrenergic agonist decreases splenic FRC number in
vitro. Antibody-mediated blockade of the BAFF receptor or treatment with the
neurotoxin 6-hydroxydopamine revert the increased splenic B cell numbers induced
by castration. Among healthy men, serum BAFF levels are higher in men with low
testosterone. Our study uncovers a previously unrecognized regulation of BAFF by
testosterone and raises important questions about BAFF in testosterone-mediated
protection against autoimmunity.
PMID- 29802243
TI - Live-cell single-molecule dynamics of PcG proteins imposed by the DIPG H3.3K27M
mutation.
AB - Over 80% of diffuse intrinsic pontine gliomas (DIPGs) harbor a point mutation in
histone H3.3 where lysine 27 is substituted with methionine (H3.3K27M); however,
how the mutation affects kinetics and function of PcG proteins remains elusive.
We demonstrate that H3.3K27M prolongs the residence time and search time of Ezh2,
but has no effect on its fraction bound to chromatin. In contrast, H3.3K27M has
no effect on the residence time of Cbx7, but prolongs its search time and
decreases its fraction bound to chromatin. We show that increasing expression of
Cbx7 inhibits the proliferation of DIPG cells and prolongs its residence time.
Our results highlight that the residence time of PcG proteins directly correlates
with their functions and the search time of PcG proteins is critical for
regulating their genomic occupancy. Together, our data provide mechanisms in
which the cancer-causing histone mutation alters the binding and search dynamics
of epigenetic complexes.
PMID- 29802245
TI - ZIKA virus infection causes persistent chorioretinal lesions.
AB - Zika-infected patients can have eye involvement ranging from mild conjunctivitis
to severe chorioretinal lesions, however the possible long-term sequelae of
infection and timeline to recovery remain unknown. Here we describe the partial
recovery of chorioretinal lesions in an immunocompetent patient diagnosed with
bilateral posterior uveitis associated with Zika infection and show that some
lesions resolved with focal atrophy evident as pigmentary changes on funduscopy.
To better understand the progression of the lesions and correlate the changes in
fundus imaging with local viral load, immune responses, and retinal damage, we
developed a symptomatic mouse model of ocular Zika virus infection. Imaging of
the fundus revealed multiple hypopigmentary patches indicative of chorioretinal
degeneration as well as thinning of the retina that mirror the lesions in
patients. Microscopically, the virus primarily infected the optic nerve, retinal
ganglion cells, and inner nuclear layer cells, showing thinning of the outer
plexiform layer. During acute infection, the eyes showed retinal layer
disorganization, retinitis, vitritis, and focal choroiditis, with mild cellular
infiltration and increased expression of tumor necrosis factor, interferon-gamma,
granzyme B, and perforin. Focal areas of gliosis and retinal degeneration
persisted 60 dpi. The model recapitulates features of ZIKA infections in patients
and should help elucidate the mechanisms underlying the damage to the eyes and
aid in the development of effective therapeutics.
PMID- 29802246
TI - Fossilized skin reveals coevolution with feathers and metabolism in feathered
dinosaurs and early birds.
AB - Feathers are remarkable evolutionary innovations that are associated with complex
adaptations of the skin in modern birds. Fossilised feathers in non-avian
dinosaurs and basal birds provide insights into feather evolution, but how
associated integumentary adaptations evolved is unclear. Here we report the
discovery of fossil skin, preserved with remarkable nanoscale fidelity, in three
non-avian maniraptoran dinosaurs and a basal bird from the Cretaceous Jehol biota
(China). The skin comprises patches of desquamating epidermal corneocytes that
preserve a cytoskeletal array of helically coiled alpha-keratin tonofibrils. This
structure confirms that basal birds and non-avian dinosaurs shed small epidermal
flakes as in modern mammals and birds, but structural differences imply that
these Cretaceous taxa had lower body heat production than modern birds. Feathered
epidermis acquired many, but not all, anatomically modern attributes close to the
base of the Maniraptora by the Middle Jurassic.
PMID- 29802248
TI - Neuroglobin mediates neuroprotection of hypoxic postconditioning against
transient global cerebral ischemia in rats through preserving the activity of
Na+/K+ ATPases.
AB - Hypoxic postconditioning (HPC) is an innovative neuroprotective strategy with
cytoprotective effects on the hippocampal neurons against transient global
cerebral ischemia (tGCI) in adult rats. However, its molecular mechanisms have
not yet been adequately elucidated. Neuroglobin (Ngb) is an endogenous
neuroprotectant with hypoxia-inducible property, and its role in experimental
stroke has been increasingly attractive. Hence, the purpose of this study is to
explore the involvement of Ngb in HPC-mediated neuroprotection and to further
investigate its underlying molecular mechanism. We found that HPC increased Ngb
expression in CA1 subregion after tGCI. Also, the inhibition of Ngb expression
with Ngb antisense oligodeoxynucleotide (AS-ODNs) eliminated the neuroprotective
effect mediated by HPC, whereas overexpression of Ngb ameliorated neuronal damage
in CA1 after tGCI, indicating that HPC conferred neuroprotective effects via
upregulation of Ngb. We further showed that HPC increased the membranous level of
Na+/K+ ATPases beta1 subunit (Atp1b1) in CA1 after tGCI. Furthermore, we
demonstrated that Ngb upregulation in CA1 after HPC maintained the membranous
level of Atp1b1 through Ngb-Atp1b1 interaction and reduced the glutathionylation
of membranous Atp1b1 via suppression of reactive oxygen species (ROS), ultimately
preserving the activity of NKA. Taken together, these data indicate that Ngb is
involved in the neuroprotection of HPC against tGCI via maintenance of NKA
activity in the hippocampal CA1.
PMID- 29802250
TI - Coil-globule transitions drive discontinuous volume conserving deformation in
locally restrained gels.
AB - The equilibrium volume of a thermoresponsive polymer gel changes dramatically
across a temperature due to the coil-globule transitions of the polymers. When
cofacially oriented nanosheets are embedded in such a gel, the composite gel
deforms at the temperature, without changing the volume, and the response time is
considerably shorter. We here theoretically predict that the deformation of the
composite gel results from the fact that the nanosheets restrain the deformation
of some polymers, while other polymers deform relatively freely. The unrestrained
polymers collapse due to the coil-globule transitions and this generates the
solvent flows to the restrained regions. The response time of this process is
rather fast because solvent molecules travel only by the distance of the size of
a nanosheet, instead of permeating out to the external solution. This concept may
provide insight in the physics of composite gels and the design of
thermoresponsive gels of fast response.
PMID- 29802247
TI - The genomic landscape of TERT promoter wildtype-IDH wildtype glioblastoma.
AB - The majority of glioblastomas can be classified into molecular subgroups based on
mutations in the TERT promoter (TERTp) and isocitrate dehydrogenase 1 or 2 (IDH).
These molecular subgroups utilize distinct genetic mechanisms of telomere
maintenance, either TERTp mutation leading to telomerase activation or ATRX
mutation leading to an alternative lengthening of telomeres phenotype (ALT).
However, about 20% of glioblastomas lack alterations in TERTp and IDH. These
tumors, designated TERTpWT-IDHWT glioblastomas, do not have well-established
genetic biomarkers or defined mechanisms of telomere maintenance. Here we report
the genetic landscape of TERTpWT-IDHWT glioblastoma and identify SMARCAL1
inactivating mutations as a novel genetic mechanism of ALT. Furthermore, we
identify a novel mechanism of telomerase activation in glioblastomas that occurs
via chromosomal rearrangements upstream of TERT. Collectively, our findings
define novel molecular subgroups of glioblastoma, including a telomerase-positive
subgroup driven by TERT-structural rearrangements (IDHWT-TERTSV), and an ALT
positive subgroup (IDHWT-ALT) with mutations in ATRX or SMARCAL1.
PMID- 29802249
TI - Integrin beta3 regulates clonality and fate of smooth muscle-derived
atherosclerotic plaque cells.
AB - Smooth muscle cells (SMCs) play a key role in atherogenesis. However, mechanisms
regulating expansion and fate of pre-existing SMCs in atherosclerotic plaques
remain poorly defined. Here we show that multiple SMC progenitors mix to form the
aorta during development. In contrast, during atherogenesis, a single SMC gives
rise to the smooth muscle-derived cells that initially coat the cap of
atherosclerotic plaques. Subsequently, highly proliferative cap cells invade the
plaque core, comprising the majority of plaque cells. Reduction of integrin beta3
(Itgb3) levels in SMCs induces toll-like receptor 4 expression and thereby
enhances Cd36 levels and cholesterol-induced transdifferentiation to a macrophage
like phenotype. Global Itgb3 deletion or transplantation of Itgb3(-/-) bone
marrow results in recruitment of multiple pre-existing SMCs into plaques.
Conditioned medium from Itgb3-silenced macrophages enhances SMC proliferation and
migration. Together, our results suggest SMC contribution to atherogenesis is
regulated by integrin beta3-mediated pathways in both SMCs and bone marrow
derived cells.
PMID- 29802251
TI - Author Correction: Oxidative rearrangement of (+)-sesamin by CYP92B14 co
generates twin dietary lignans in sesame.
AB - The original version of of the Supplementary Information associated with this
Article inadvertently omitted oligonucleotide primer sequences from Supplementary
Table 3 and Supplementary Methods describing the molecular cloning of CYP92B14,
CPR1 and CYP81Q cDNA fragments. The HTML has been updated to include a corrected
version of the Supplementary Information.
PMID- 29802252
TI - The development of human social learning across seven societies.
AB - Social information use is a pivotal characteristic of the human species. Avoiding
the cost of individual exploration, social learning confers substantial fitness
benefits under a wide variety of environmental conditions, especially when the
process is governed by biases toward relative superiority (e.g., experts, the
majority). Here, we examine the development of social information use in children
aged 4-14 years (n = 605) across seven societies in a standardised social
learning task. We measured two key aspects of social information use: general
reliance on social information and majority preference. We show that the extent
to which children rely on social information depends on children's cultural
background. The extent of children's majority preference also varies cross
culturally, but in contrast to social information use, the ontogeny of majority
preference follows a U-shaped trajectory across all societies. Our results
demonstrate both cultural continuity and diversity in the realm of human social
learning.
PMID- 29802253
TI - Self-activated surface dynamics in gold catalysts under reaction environments.
AB - Nanoporous gold (NPG) with sponge-like structures has been studied by atomic
scale and microsecond-resolution environmental transmission electron microscopy
(ETEM) combined with ab initio energy calculations. Peculiar surface dynamics
were found in the reaction environment for the oxidation of CO at room
temperature, involving residual silver in the NPG leaves as well as gold and
oxygen atoms, especially on {110} facets. The NPG is thus classified as a novel
self-activating catalyst. The essential structure unit for catalytic activity was
identified as Au-AgO surface clusters, implying that the NPG is regarded as a
nano-structured silver oxide catalyst supported on the matrix of NPG, or an
inverse catalyst of a supported gold nanoparticulate (AuNP) catalyst. Hence, the
catalytically active structure in the gold catalysts (supported AuNP and NPG
catalysts) can now be experimentally unified in low-temperature CO oxidation, a
step forward towards elucidating the fascinating catalysis mechanism of gold.
PMID- 29802254
TI - Developmental temperature has persistent, sexually dimorphic effects on zebrafish
cardiac anatomy.
AB - Over the next century, climate change of anthropogenic origin is a major threat
to global biodiversity. We show here that developmental temperature can have
significant effects on zebrafish cardiac anatomy and swimming performance.
Zebrafish embryos were subjected to three developmental temperature treatments
(TD = 24, 28 or 32 degrees C) up to metamorphosis and then all maintained under
common conditions (28 degrees C) to adulthood. We found that developmental
temperature affected cardiac anatomy of juveniles and adults even eight months
after the different thermal treatments had been applied. The elevation of TD
induced a significant increase of the ventricle roundness in juvenile (10%
increase) and male (22% increase), but not in female zebrafish. The aerobic
exercise performance of adult zebrafish was significantly decreased as TD
elevated from 24 to 32 degrees C. Gene expression analysis that was performed at
the end of the temperature treatments revealed significant up-regulation of nppa,
myh7 and mybpc3 genes at the colder temperature. Our work provides the first
evidence for a direct link between developmental temperature and cardiac form at
later life-stages. Our results also add to the emerging rationale for
understanding the potential effects of global warming on how fish will perform in
their natural environment.
PMID- 29802255
TI - CD11c+ M1-like macrophages (MPhis) but not CD206+ M2-like MPhi are involved in
folliculogenesis in mice ovary.
AB - Macrophages (MPhis) are involved in folliculogenesis and ovulation. However, it
is unknown which type of MPhi, M1 or M2, plays a more essential role in the
ovary. CD206 or CD11c diphtheria toxin receptor transgenic (DTR) mice, which
enable depletion of CD206+ M2 MPhis and CD11c+ MPhi or CD11c+ Dendritic cells
(DCs), respectively, were used. Oocytes were used for in vitro fertilization and
embryo transfer. In vitro fertilized embryos derived from M2 MPhi depleted
oocytes were transferred to pseudo pregnant wild type mice. CD11c DTR mice were
also used to investigate the role of CD11c cells, M1 MPhi and DCs in
folliculogenesis. In WT mice, the proportion of CD206+ M2-like MPhis was not
increased in follicular induction, while that of CD11c+ M1-like MPhis was
increased. In CD206 DTR mice, folliculogenesis was normal and the ovulation
number, fertilization rate, and implantation rate were similar to those in WT
mice. In CD11c DTR mice, folliculogenesis was impaired with ovarian hemorrhage
and the staining of platelet derived growth factor-receptor beta (PDGF-Rbeta), a
marker of pericytes, and CD34, a marker of endothelial cells, was reduced. CD11c+
cells, M1 MPhis or DCs, may be involved in folliculogenesis, while M2 MPhis are
not involved in folliculogenesis.
PMID- 29802256
TI - Fibrotic microtissue array to predict anti-fibrosis drug efficacy.
AB - Fibrosis is a severe health problem characterized by progressive stiffening of
tissues which causes organ malfunction and failure. A major bottleneck in
developing new anti-fibrosis therapies is the lack of in vitro models that
recapitulate dynamic changes in tissue mechanics during fibrogenesis. Here we
create membranous human lung microtissues to model key biomechanical events
occurred during lung fibrogenesis including progressive stiffening and
contraction of alveolar tissue, decline in alveolar tissue compliance and
traction force-induced bronchial dilation. With these capabilities, we provide
proof of principle for using this fibrotic tissue array for multi-parameter,
phenotypic analysis of the therapeutic efficacy of two anti-fibrosis drugs
recently approved by the FDA. Preventative treatments with Pirfenidone and
Nintedanib reduce tissue contractility and prevent tissue stiffening and decline
in tissue compliance. In a therapeutic treatment regimen, both drugs restore
tissue compliance. These results highlight the pathophysiologically relevant
modeling capability of our novel fibrotic microtissue system.
PMID- 29802257
TI - Proteomic identification of Axc, a novel beta-lactamase with carbapenemase
activity in a meropenem-resistant clinical isolate of Achromobacter xylosoxidans.
AB - The development of antibiotic resistance during treatment is a threat to patients
and their environment. Insight in the mechanisms of resistance development is
important for appropriate therapy and infection control. Here, we describe how
through the application of mass spectrometry-based proteomics, a novel beta
lactamase Axc was identified as an indicator of acquired carbapenem resistance in
a clinical isolate of Achromobacter xylosoxidans. Comparative proteomic analysis
of consecutively collected susceptible and resistant isolates from the same
patient revealed that high Axc protein levels were only observed in the resistant
isolate. Heterologous expression of Axc in Escherichia coli significantly
increased the resistance towards carbapenems. Importantly, direct Axc mediated
hydrolysis of imipenem was demonstrated using pH shift assays and 1H-NMR,
confirming Axc as a legitimate carbapenemase. Whole genome sequencing revealed
that the susceptible and resistant isolates were remarkably similar. Together
these findings provide a molecular context for the fast development of meropenem
resistance in A. xylosoxidans during treatment and demonstrate the use of mass
spectrometric techniques in identifying novel resistance determinants.
PMID- 29802258
TI - Photonic force optical coherence elastography for three-dimensional mechanical
microscopy.
AB - Optical tweezers are an invaluable tool for non-contact trapping and micro
manipulation, but their ability to facilitate high-throughput volumetric
microrheology of biological samples for mechanobiology research is limited by the
precise alignment associated with the excitation and detection of individual bead
oscillations. In contrast, radiation pressure from a low-numerical aperture
optical beam can apply transversely localized force over an extended depth range.
Here we present photonic force optical coherence elastography (PF-OCE),
leveraging phase-sensitive interferometric detection to track sub-nanometer
oscillations of beads, embedded in viscoelastic hydrogels, induced by modulated
radiation pressure. Since the displacements caused by ultra-low radiation
pressure force are typically obscured by absorption-mediated thermal effects,
mechanical responses of the beads were isolated after independent measurement and
decoupling of the photothermal response of the hydrogels. Volumetric imaging of
bead mechanical responses in hydrogels with different agarose concentrations by
PF-OCE was consistent with bulk mechanical characterization of the hydrogels by
shear rheometry.
PMID- 29802260
TI - Altered plasma arginine metabolome precedes behavioural and brain arginine
metabolomic profile changes in the APPswe/PS1DeltaE9 mouse model of Alzheimer's
disease.
AB - While amyloid-beta (Abeta) peptides play a central role in the development of
Alzheimer's disease (AD), recent evidence also implicates altered metabolism of L
arginine in the pathogenesis of AD. The present study systematically investigated
how behavioural function and the brain and plasma arginine metabolic profiles
changed in a chronic Abeta accumulation model using male APPswe/PS1DeltaE9
transgenic (Tg) mice at 7 and 13 months of age. As compared to their wild-type
(WT) littermates, Tg mice displayed age-related deficits in spatial water maze
tasks and alterations in brain arginine metabolism. Interestingly, the plasma
arginine metabolic profile was markedly altered in 7-month Tg mice prior to major
behavioural impairment. Receiver operating characteristic curve analysis revealed
that plasma putrescine and spermine significantly differentiated between Tg and
WT mice. These results demonstrate the parallel development of altered brain
arginine metabolism and behavioural deficits in Tg mice. The altered plasma
arginine metabolic profile that preceded the behavioural and brain profile
changes suggests that there may be merit in an arginine-centric set of ante
mortem biomarkers for AD.
PMID- 29802259
TI - A novel tick-borne phlebovirus, closely related to severe fever with
thrombocytopenia syndrome virus and Heartland virus, is a potential pathogen.
AB - Tick-borne viral diseases have attracted much attention in recent years because
of their increasing incidence and threat to human health. Severe fever with
thrombocytopenia syndrome phlebovirus (SFTSV) and Heartland virus (HRTV) were
recently identified as tick-borne phleboviruses (TBPVs) in Asia and the United
States, respectively, and are associated with severe human diseases with similar
clinical manifestations. In this study, we report the first identification and
isolation of a novel TBPV named Guertu virus (GTV) from Dermacentor nuttalli
ticks in Xinjiang Province, China, where TBPVs had not been previously
discovered. Genome sequence and phylogenetic analyses showed that GTV is closely
related to SFTSV and HRTV and was classified as a member of the genus
Phlebovirus, family Phenuiviridae, order Bunyavirales. In vitro and in vivo
investigations of the properties of GTV demonstrated that it was able to infect
animal and human cell lines and can suppress type I interferon signaling, similar
to SFTSV, that GTV nucleoprotein (NP) can rescue SFTSV replication by replacing
SFTSV NP, and that GTV infection can cause pathological lesions in mice.
Moreover, a serological survey identified antibodies against GTV from serum
samples of individuals living in Guertu County, three of which contained
neutralizing antibodies, suggesting that GTV can infect humans. Our findings
suggested that this virus is a potential pathogen that poses a threat to animals
and humans. Further studies and surveillance of GTV are recommended to be carried
out in Xinjiang Province as well as in other locations.
PMID- 29802261
TI - Penetrating cations induce pleiotropic drug resistance in yeast.
AB - Substrates of pleiotropic drug resistance (PDR) transporters can induce the
expression of corresponding transporter genes by binding to their transcription
factors. Penetrating cations are substrates of PDR transporters and theoretically
may also activate the expression of transporter genes. However, the accumulation
of penetrating cations inside mitochondria may prevent the sensing of these
molecules. Thus, whether penetrating cations induce PDR is unclear. Using
Saccharomyces cerevisiae as a model, we studied the effects of penetrating
cations on the activation of PDR. We found that the lipophilic cation
dodecyltriphenylphosphonium (C12TPP) induced the expression of the plasma
membrane PDR transporter genes PDR5, SNQ2 and YOR1. Moreover, a 1-hour incubation
with C12TPP increased the concentration of Pdr5p and Snq2p and prevented the
accumulation of the PDR transporter substrate Nile red. The transcription factor
PDR1 was required to mediate these effects, while PDR3 was dispensable. The
deletion of the YAP1 or RTG2 genes encoding components of the mitochondria-to
nucleus signalling pathway did not prevent the C12TPP-induced increase in Pdr5
GFP. Taken together, our data suggest (i) that the sequestration of lipophilic
cations inside mitochondria does not significantly inhibit sensing by PDR
activators and (ii) that the activation mechanisms do not require mitochondria as
a signalling module.
PMID- 29802262
TI - Corneal biomechanical properties are associated with the activity and prognosis
of Angioid Streaks.
AB - The aim of the current study is to investigate corneal biomechanical properties
in detail using Ocular Response Analyzer (ORA) and Corvis ST (CST) tonometry and
to analyze the association between corneal biomechanical properties and the
frequency of intravitreal anti-vascular endothelial growth factor (VEGF)
injections (FIV) in AS eyes with choroidal neovascularization (CNV). Twenty-eight
eyes of 15 patients with AS were enrolled. Mean age of AS patients was 67.9 +/-
9.8 years. ORA and CST measurements were carried out, in addition to
comprehensive ophthalmic examinations. LogMAR visual acuity (VA) and DeltaVA (the
change of VA from baseline to the final visit) were calculated in each eye. Also,
the relationships between FIV, and the variables of initial age at the
observation period, axial length, and corneal biomechanical properties were
investigated in eyes with AS using linear mixed model with model selection using
AICc. In 28 AS eyes, 16 eyes underwent intravitreal anti-VEGF injections during
follow-up period. Lower corneal hysteresis (CH), higher corneal resistant factor
(CRF) and higher CST measured the DA ratio were associated with the increase of
FIV in AS eyes (p = 0.01, p = 0.002, p = 0.027, respectively), suggesting the
usefulness for monitoring of corneal biomechanical properties.
PMID- 29802265
TI - Author Correction: Highly (001)-textured p-type WSe2 Thin Films as Efficient
Large-Area Photocathodes for Solar Hydrogen Evolution.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29802263
TI - Glutamate and GABA in autism spectrum disorder-a translational magnetic resonance
spectroscopy study in man and rodent models.
AB - Autism spectrum disorder (ASD) is a pervasive neurodevelopmental syndrome with a
high human and economic burden. The pathophysiology of ASD is largely unclear,
thus hampering development of pharmacological treatments for the core symptoms of
the disorder. Abnormalities in glutamate and GABA signaling have been
hypothesized to underlie ASD symptoms, and may form a therapeutic target, but it
is not known whether these abnormalities are recapitulated in humans with ASD, as
well as in rodent models of the disorder. We used translational proton magnetic
resonance spectroscopy ([1H]MRS) to compare glutamate and GABA levels in adult
humans with ASD and in a panel of six diverse rodent ASD models, encompassing
genetic and environmental etiologies. [1H]MRS was performed in the striatum and
the medial prefrontal cortex, of the humans, mice, and rats in order to allow for
direct cross-species comparisons in specific cortical and subcortical brain
regions implicated in ASD. In humans with ASD, glutamate concentration was
reduced in the striatum and this was correlated with the severity of social
symptoms. GABA levels were not altered in either brain region. The reduction in
striatal glutamate was recapitulated in mice prenatally exposed to valproate, and
in mice and rats carrying Nlgn3 mutations, but not in rodent ASD models with
other etiologies. Our findings suggest that glutamate/GABA abnormalities in the
corticostriatal circuitry may be a key pathological mechanism in ASD; and may be
linked to alterations in the neuroligin-neurexin signaling complex.
PMID- 29802264
TI - Development and validation of a LC-MS/MS assay for pharmacokinetic studies of
complement C5a receptor antagonists PMX53 and PMX205 in mice.
AB - PMX53 and PMX205 are cyclic hexapeptide inhibitors of complement C5a receptors
(C5aR1), that are widely used to study C5aR1 pathobiology in mouse models of
disease. Despite their widespread use, limited information regarding their
pharmacokinetics have been reported. Here, a bioanalytical method for the
quantitative determination of PMX53 and PMX205 in plasma, brain and spinal cord
of mice was developed using liquid chromatography-tandem mass spectrometry (LC
MS/MS) techniques. The LC-MS/MS method was validated in all three matrices
according to regulatory guidelines and successfully applied to pharmacokinetic
studies of PMX53 and PMX205 in C57BL/6 J mice following intravenous
administration. The developed method was highly sensitive and sufficiently
accurate with a lower limit of quantification within the range of 3-6 ng/ml in
extracted plasma samples and 3-6 ng/g in processed tissue samples, which
outperforms previously published LC-MS/MS methods. The results thus support the
suitability, reliability, reproducibility and sensitivity of this validated
technique. This method can therefore be applied to perform a complete pre
clinical investigation of PMX53 and PMX205 pharmacokinetics in mice.
PMID- 29802266
TI - Non-blocking modulation contributes to sodium channel inhibition by a covalently
attached photoreactive riluzole analog.
AB - Sodium channel inhibitor drugs decrease pathological hyperactivity in various
diseases including pain syndromes, myotonia, arrhythmias, nerve injuries and
epilepsies. Inhibiting pathological but not physiological activity, however, is a
major challenge in drug development. Sodium channel inhibitors exert their
effects by a dual action: they obstruct ion flow ("block"), and they alter the
energetics of channel opening and closing ("modulation"). Ideal drugs would be
modulators without blocking effect, because modulation is inherently activity
dependent, therefore selective for pathological hyperactivity. Can block and
modulation be separated? It has been difficult to tell, because the effect of
modulation is obscured by conformation-dependent association/dissociation of the
drug. To eliminate dynamic association/dissociation, we used a photoreactive
riluzole analog which could be covalently bound to the channel; and found,
unexpectedly, that drug-bound channels could still conduct ions, although with
modulated gating. The finding that non-blocking modulation is possible, may open
a novel avenue for drug development because non-blocking modulators could be more
specific in treating hyperactivity-linked diseases.
PMID- 29802267
TI - Metabolic alterations in the bone tissues of aged osteoporotic mice.
AB - Age-related osteoporosis is characterized by reduced bone mineralization and
reduced bone strength, which increases the risk of fractures. We examined
metabolic changes associated with age-related bone loss by profiling lipids and
polar metabolites in tibia and femur bone tissues from young (5 months old) and
old (28 months old) male C57BL/6J mice using ultra-performance liquid
chromatography quadrupole-time-of-flight mass spectrometry. Partial least-squares
discriminant analysis showed clear differences in metabolite levels in bone
tissues of young and old mice. We identified 93 lipid species, including free
fatty acids, sphingolipids, phospholipids, and glycerolipids, that were
significantly altered in bone tissues of old mice. In addition, the expression of
26 polar metabolites differed significantly in bone tissues of old mice and young
mice. Specifically, uremic toxin metabolite levels (p-cresyl sulfate, hippuric
acid, and indoxylsulfate) were higher in bone tissues of old mice than in young
mice. The increase in p-cresyl sulfate, hippuric acid, and indoxylsulfate levels
were determined using targeted analysis of plasma polar extracts to determine
whether these metabolites could serve as potential osteoporosis biomarkers. This
study demonstrates that LC-MS-based global profiling of lipid and polar
metabolites can elucidate metabolic changes that occur during age-related bone
loss and identify potential biomarkers of osteoporosis.
PMID- 29802268
TI - Multiplexed profiling of GPCR activities by combining split TEV assays and EXT
based barcoded readouts.
AB - G protein-coupled receptors (GPCRs) are the largest class of cell surface
receptors and are implicated in the physiological regulation of many biological
processes. The high diversity of GPCRs and their physiological functions make
them primary targets for therapeutic drugs. For the generation of novel
compounds, however, selectivity towards a given target is a critical issue in
drug development as structural similarities between members of GPCR subfamilies
exist. Therefore, the activities of multiple GPCRs that are both closely and
distantly related to assess compound selectivity need to be tested
simultaneously. Here, we present a cell-based multiplexed GPCR activity assay,
termed GPCRprofiler, which uses a beta-arrestin recruitment strategy and combines
split TEV protein-protein interaction and EXT-based barcode technologies. This
approach enables simultaneous measurements of receptor activities of multiple
GPCR-ligand combinations by applying massively parallelized reporter assays. In
proof-of-principle experiments covering 19 different GPCRs, both the specificity
of endogenous agonists and the polypharmacological effects of two known
antipsychotics on GPCR activities were demonstrated. Technically, normalization
of barcode reporters across individual assays allows quantitative pharmacological
assays in a parallelized manner. In summary, the GPCRprofiler technique
constitutes a flexible and scalable approach, which enables simultaneous
profiling of compound actions on multiple receptor activities in living cells.
PMID- 29802269
TI - Enabling STD-NMR fragment screening using stabilized native GPCR: A case study of
adenosine receptor.
AB - Structural studies of integral membrane proteins have been limited by the
intrinsic conformational flexibility and the need to stabilize the proteins in
solution. Stabilization by mutagenesis was very successful for structural biology
of G protein-coupled receptors (GPCRs). However, it requires heavy protein
engineering and may introduce structural deviations. Here we describe the use of
specific calixarenes-based detergents for native GPCR stabilization. Wild type,
full length human adenosine A2A receptor was used to exemplify the approach. We
could stabilize native, glycosylated, non-aggregated and homogenous A2AR that
maintained its ligand binding capacity. The benefit of the preparation for
fragment screening, using the Saturation-Transfer Difference nuclear magnetic
resonance (STD-NMR) experiment is reported. The binding of the agonist adenosine
and the antagonist caffeine were observed and competition experiments with CGS
21680 and ZM241385 were performed, demonstrating the feasibility of the STD-based
fragment screening on the native A2A receptor. Interestingly, adenosine was shown
to bind a second binding site in the presence of the agonist CGS-21680 which
corroborates published results obtained with molecular dynamics simulation.
Fragment-like compounds identified using STD-NMR showed antagonistic effects on
A2AR in the cAMP cellular assay. Taken together, our study shows that
stabilization of native GPCRs represents an attractive approach for STD-based
fragment screening and drug design.
PMID- 29802270
TI - Treatment of T1D via optimized expansion of antigen-specific Tregs induced by IL
2/anti-IL-2 monoclonal antibody complexes and peptide/MHC tetramers.
AB - Type 1 diabetes can be overcome by regulatory T cells (Treg) in NOD mice yet an
efficient method to generate and maintain antigen-specific Treg is difficult to
come by. Here, we devised a combination therapy of peptide/MHC tetramers and IL
2/anti-IL-2 monoclonal antibody complexes to generate antigen-specific Treg and
maintain them over extended time periods. We first optimized treatment protocols
conceived to obtain an improved islet-specific Treg/effector T cell ratio that
led to the in vivo expansion and activation of these Treg as well as to an
improved suppressor function. Optimized protocols were applied to treatment for
testing diabetes prevention in NOD mice as well as in an accelerated T cell
transfer model of T1D. The combined treatment led to robust protection against
diabetes, and in the NOD model, to a close to complete prevention of insulitis.
Treatment was accompanied with increased secretion of IL-10, detectable in total
splenocytes and in Foxp3- CD4 T cells. Our data suggest that a dual protection
mechanism takes place by the collaboration of Foxp3+ and Foxp3- regulatory cells.
We conclude that antigen-specific Treg are an important target to improve current
clinical interventions against this disease.
PMID- 29802271
TI - Adaptive Group-combined P-values Test for Two-sample Location Problem with
Applications to Microarray Data.
AB - The purpose of this article is to propose a test for two-sample location problem
in high-dimensional data. In general highdimensional case, the data dimension can
be much larger than the sample size and the underlying distribution may be far
from normal. Existing tests requiring explicit relationship between the data
dimension and sample size or designed for multivariate normal distributions may
lose power significantly and even yield type I error rates strayed from nominal
levels. To overcome this issue, we propose an adaptive group p-values combination
test which is robust against both high dimensionality and normality. Simulation
studies show that the proposed test controls type I error rates correctly and
outperforms some existing tests in most situations. An Ageing Human Brain
Microarray data are used to further exemplify the method.
PMID- 29802272
TI - Molecular basis of dimer formation during the biosynthesis of benzofluorene
containing atypical angucyclines.
AB - Lomaiviticin A and difluostatin A are benzofluorene-containing aromatic
polyketides in the atypical angucycline family. Although these dimeric compounds
are potent antitumor agents, how nature constructs their complex structures
remains poorly understood. Herein, we report the discovery of a number of
fluostatin type dimeric aromatic polyketides with varied C-C and C-N coupling
patterns. We also demonstrate that these dimers are not true secondary
metabolites, but are instead derived from non-enzymatic deacylation of
biosynthetic acyl fluostatins. The non-enzymatic deacylation proceeds via a
transient quinone methide like intermediate which facilitates the subsequent C
C/C-N coupled dimerization. Characterization of this unusual property of acyl
fluostatins explains how dimerization takes place, and suggests a strategy for
the assembly of C-C and C-N coupled aromatic polyketide dimers. Additionally, a
deacylase FlsH was identified which may help to prevent accumulation of toxic
quinone methides by catalyzing hydrolysis of the acyl group.
PMID- 29802273
TI - Extended-conjugation pi-electron systems in carbon nanotubes.
AB - Extending pi-electron systems are among the most important topics in physics,
chemistry and materials science because they can result in functional materials
with applications in electronics and optics. Conventional processes for pi
electron extension, however, can generate products exhibiting chemical
instability, poor solubility or disordered structures. Herein, we report a novel
strategy for the synthesis of pi-conjugated polymers within the interiors of
carbon nanotubes (CNTs). In this process, thiophene-based oligomers are
encapsulated within CNTs as precursors and are subsequently polymerized by
thermal annealing. This polymerization increases the effective conjugation length
of the thiophenes, as confirmed by transmission electron microscopy and
absorption peak red shifts. This work also demonstrates that these polythiophenes
can serve as effective markers for individual CNTs during Raman imaging with
single-wavelength laser excitation due to their strong absorbance. In addition,
stable carrier injection into the encapsulated polythiophenes is found to be
possible via electrochemical doping. Such doping has the potential to produce pi
electron-based one-dimensional conductive wires and highly stable electrochromic
devices.
PMID- 29802274
TI - Quantum simulation of ultrafast dynamics using trapped ultracold atoms.
AB - Ultrafast electronic dynamics are typically studied using pulsed lasers. Here we
demonstrate a complementary experimental approach: quantum simulation of
ultrafast dynamics using trapped ultracold atoms. Counter-intuitively, this
technique emulates some of the fastest processes in atomic physics with some of
the slowest, leading to a temporal magnification factor of up to 12 orders of
magnitude. In these experiments, time-varying forces on neutral atoms in the
ground state of a tunable optical trap emulate the electric fields of a pulsed
laser acting on bound charged particles. We demonstrate the correspondence with
ultrafast science by a sequence of experiments: nonlinear spectroscopy of a many
body bound state, control of the excitation spectrum by potential shaping,
observation of sub-cycle unbinding dynamics during strong few-cycle pulses, and
direct measurement of carrier-envelope phase dependence of the response to an
ultrafast-equivalent pulse. These results establish cold-atom quantum simulation
as a complementary tool for studying ultrafast dynamics.
PMID- 29802275
TI - One-way edge modes in a photonic crystal of semiconductor at terahertz
frequencies.
AB - Electromagnetic edge mode in a photonic crystal (PhC), which is a square array of
semiconductor rods in air, is theoretically investigated for terahertz
frequencies. In the PhC, gyroelectric anisotropy is introduced in the
semiconductor rods by applying an external magnetic field and consequently, a
degeneracy point, at which two dispersion surfaces intersect, is lifted and a new
band gap is created. The edge mode sustained by the PhC possesses the character
of one-way propagation, and it even can be immune to backscattering at large
defect on the wavelength scale and 90 degrees sharp bend. The properties of the
one-way mode are closely dependent on the cladding layer structure of the PhC.
PMID- 29802276
TI - A human huntingtin SNP alters post-translational modification and pathogenic
proteolysis of the protein causing Huntington disease.
AB - Post-translational modifications (PTMs) are key modulators of protein function.
Huntington disease (HD) is a dominantly inherited neurodegenerative disorder
caused by an expanded CAG trinucleotide repeat in the huntingtin (HTT) gene. A
spectrum of PTMs have been shown to modify the normal functions of HTT, including
proteolysis, phosphorylation and lipidation, but the full contribution of these
PTMs to the molecular pathogenesis of HD remains unclear. In this study, we
examine all commonly occurring missense mutations in HTT to identify potential
human modifiers of HTT PTMs relevant to HD biology. We reveal a SNP that modifies
post-translational myristoylation of HTT, resulting in downstream alterations to
toxic HTT proteolysis in human cells. This is the first SNP shown to functionally
modify a PTM in HD and the first validated genetic modifier of post-translational
myristoylation. This SNP is a high-priority candidate modifier of HD phenotypes
and may illuminate HD biology in human studies.
PMID- 29802278
TI - Correction to: Ret is essential to mediate GDNF's neuroprotective and
neuroregenerative effect in a Parkinson disease mouse model.
AB - Correction to: Cell Death Dis. (2016) 7, e2359;
https://doi.org/10.1038/cddis.2016.263 ; published online 08 September 2016.
PMID- 29802277
TI - Identification of copy number variations among fetuses with ultrasound soft
markers using next-generation sequencing.
AB - A prospective analysis investigating the associations between pathogenic copy
number variations (pCNVs) and ultrasound soft markers (USMs) in fetuses and
evaluating the clinical value of copy number variation sequencing (CNV-seq) in
such pregnancy studies was carried out. 3,398 unrelated Chinese women with
singleton pregnancies and undergone amniocentesis at 18-36 weeks of gestation for
fetal CNV-seq were included. According to the prenatal fetal ultrasound screening
results, the samples were divided into 3 groups: normal ultrasound (n = 2616),
solitary USM (n = 663), and two or more USMs (n = 119). CNV-seq was performed
successfully using all samples. The prevalence of pCNVs in fetuses with normal
ultrasound and USMs was 3.03% (79/2616) and 2.94% (23/782), respectively. The
risk of segmental aneuploidies was significantly higher in the two or more USMs
group (5/119, 4.20%) than in the normal ultrasound (27/2616, 1.04%) or solitary
USM (9/663, 1.36%) groups (p = 0.002 and p = 0.031, respectively). Assuming that
the resolution of karyotyping is ~5 Mb, a cytogenetic analysis would miss 33 of
102 (32.35%) pCNVs in these samples. Our results suggest an association between
pCNVs and fetal USMs; multiple USMs indicate an increased risk of fetal segmental
aneuploidies. In prenatal diagnostic testing, CNV-Seq identified additional,
clinically significant cytogenetic information.
PMID- 29802279
TI - An in vitro method to keep human aortic tissue sections functionally and
structurally intact.
AB - The pathophysiology of aortic aneurysms (AA) is far from being understood. One
reason for this lack of understanding is basic research being constrained to
fixated cells or isolated cell cultures, by which cell-to-cell and cell-to-matrix
communications are missed. We present a new, in vitro method for extended
preservation of aortic wall sections to study pathophysiological processes.
Intraoperatively harvested, live aortic specimens were cut into 150 MUm sections
and cultured. Viability was quantified up to 92 days using immunofluorescence.
Cell types were characterized using immunostaining. After 14 days, individual
cells of enzymatically digested tissues were examined for cell type and
viability. Analysis of AA sections (N = 8) showed a viability of 40% at 7 days
and smooth muscle cells, leukocytes, and macrophages were observed. Protocol
optimization (N = 4) showed higher stable viability at day 62 and proliferation
of new cells at day 92. Digested tissues showed different cell types and a
viability up to 75% at day 14. Aortic tissue viability can be preserved until at
least 62 days after harvesting. Cultured tissues can be digested into viable
single cells for additional techniques. Present protocol provides an appropriate
ex vivo setting to discover and study pathways and mechanisms in cultured human
aneurysmal aortic tissue.
PMID- 29802280
TI - Metamaterials with index ellipsoids at arbitrary k-points.
AB - Propagation behaviors of electromagnetic waves are governed by the equifrequency
surface of the medium. Up to now, ordinary materials, including the medium exist
in nature and the man-made metamaterials, always have an equifrequency surface
(ellipsoid or hyperboloid) centered at zero k-point. Here we propose a new type
of metamaterial possessing multiple index ellipsoids centered at arbitrary
nonzero k-points. Their locations in momentum space are determined by the
connectivity of a set of interpenetrating metallic scaffolds, whereas the group
velocities of the modes are determined by the geometrical details. Such system is
a new class of metamaterial whose properties arise from global connectivity and
hence can have broadband functionality in applications such as negative
refraction, orientation-dependent coupling effect, and cavity without walls, and
they are fundamentally different from ordinary resonant metamaterials that are
inherently bandwidth limited. We perform microwave experiments to confirm our
findings.
PMID- 29802281
TI - Laser Scribed Graphene Cathode for Next Generation of High Performance Hybrid
Supercapacitors.
AB - Hybrid supercapacitors have been regarded as next-generation energy storage
devices due to their outstanding performances. However, hybrid supercapacitors
remain a great challenge to enhance the energy density of hybrid supercapacitors.
Herein, a novel approach for high-energy density hybrid supercapacitors based on
a laser scribed graphene cathode and AlPO4-carbon hybrid coated H2Ti12O25 (LSG/H
HTO) was designed. Benefiting from high-energy laser scribed graphene and high
power H-HTO, it was demonstrated that LSG/H-HTO delivers superior energy and
power densities with excellent cyclability. Compared to previous reports on other
hybrid supercapacitors, LSG/H-HTO electrode composition shows extraordinary
energy densities of ~70.8 Wh/kg and power densities of ~5191.9 W/kg. Therefore,
LSG/H-HTO can be regarded as a promising milestone in hybrid supercapacitors.
PMID- 29802282
TI - An in vitro erythrocyte preference assay reveals that Plasmodium falciparum
parasites prefer Type O over Type A erythrocytes.
AB - Malaria has been one of the strongest selective forces on the human genome. The
increased frequency of haemoglobinopathies, as well as numerous other blood
groups, in malaria endemic regions is commonly attributed to a protective effect
of these alleles against malaria. In the majority of these cases however there
have been no systematic functional studies to test protective mechanisms, in
large part because most host-parasite interaction assays are not quantitative or
scalable. We describe the development of an erythrocyte preference assay which
uses differential labelling with fluorescent dyes to distinguish invasion into
four different erythrocyte populations which are all co-incubated with a single
Plasmodium falciparum parasite culture. Testing this assay on erythrocytes across
the ABO blood system from forty independent donors reveals for the first time
that P. falciparum parasites preferentially invade group O over Group A
erythrocytes. This runs counter to the known protective effect of group O against
severe malaria, but emphasises the complexities of host-pathogen interactions,
and the need for highly quantitative and scalable assays to systematically
explore them.
PMID- 29802283
TI - Rapid Optimization of External Quantum Efficiency of Thin Film Solar Cells Using
Surrogate Modeling of Absorptivity.
AB - This paper uses surrogate modeling for very fast design of thin film solar cells
with improved solar-to-electricity conversion efficiency. We demonstrate that the
wavelength-specific optical absorptivity of a thin film multi-layered amorphous
silicon-based solar cell can be modeled accurately with Neural Networks and can
be efficiently approximated as a function of cell geometry and wavelength.
Consequently, the external quantum efficiency can be computed by averaging
surrogate absorption and carrier recombination contributions over the entire
irradiance spectrum in an efficient way. Using this framework, we optimize a
multi-layer structure consisting of ITO front coating, metallic back-reflector
and oxide layers for achieving maximum efficiency. Our required computation time
for an entire model fitting and optimization is 5 to 20 times less than the best
previous optimization results based on direct Finite Difference Time Domain
(FDTD) simulations, therefore proving the value of surrogate modeling. The
resulting optimization solution suggests at least 50% improvement in the external
quantum efficiency compared to bare silicon, and 25% improvement compared to a
random design.
PMID- 29802284
TI - High-throughput screening identified selective inhibitors of exosome biogenesis
and secretion: A drug repurposing strategy for advanced cancer.
AB - Targeting exosome biogenesis and release may have potential clinical implications
for cancer therapy. Herein, we have optimized a quantitative high throughput
screen (qHTS) assay to identify compounds that modulate exosome biogenesis and/or
release by aggressive prostate cancer (PCa) CD63-GFP-expressing C4-2B cells. A
total of 4,580 compounds were screened from the LOPAC library (a collection of
1,280 pharmacologically active compounds) and the NPC library (NCGC collection of
3,300 compounds approved for clinical use). Twenty-two compounds were found to be
either potent activators or inhibitors of intracellular GFP signal in the CD63
GFP-expressing C4-2B cells. The activity of lead compounds in modulating the
secretion of exosomes was validated by a tunable resistive pulse sensing (TRPS)
system (qNano-IZON) and flow cytometry. The mechanism of action of the lead
compounds in modulating exosome biogenesis and/or secretion were delineated by
immunoblot analysis of protein markers of the endosomal sorting complex required
for transport (ESCRT)-dependent and ESCRT-independent pathways. The lead
compounds tipifarnib, neticonazole, climbazole, ketoconazole, and triademenol
were validated as potent inhibitors and sitafloxacin, forskolin, SB218795,
fenoterol, nitrefazole and pentetrazol as activators of exosome biogenesis and/or
secretion in PC cells. Our findings implicate the potential utility of drug
repurposing as novel adjunct therapeutic strategies in advanced cancer.
PMID- 29802285
TI - Multiple implications of an active site phenylalanine in the catalysis of aryl
alcohol oxidase.
AB - Aryl-alcohol oxidase (AAO) has demonstrated to be an enzyme with a bright future
ahead due to its biotechnological potential in deracemisation of chiral
compounds, production of bioplastic precursors and other reactions of interest.
Expanding our understanding on the AAO reaction mechanisms, through the
investigation of its structure-function relationships, is crucial for its
exploitation as an industrial biocatalyst. In this regard, previous computational
studies suggested an active role for AAO Phe397 at the active-site entrance. This
residue is located in a loop that partially covers the access to the cofactor
forming a bottleneck together with two other aromatic residues. Kinetic and
affinity spectroscopic studies, complemented with computational simulations using
the recently developed adaptive-PELE technology, reveal that the Phe397 residue
is important for product release and to help the substrates attain a
catalytically relevant position within the active-site cavity. Moreover, removal
of aromaticity at the 397 position impairs the oxygen-reduction activity of the
enzyme. Experimental and computational findings agree very well in the timing of
product release from AAO, and the simulations help to understand the experimental
results. This highlights the potential of adaptive-PELE to provide answers to the
questions raised by the empirical results in the study of enzyme mechanisms.
PMID- 29802286
TI - Mutations in BRCA1, BRCA2, and PALB2, and a panel of 50 cancer-associated genes
in pancreatic ductal adenocarcinoma.
AB - Mutations in genes of the breast cancer susceptibility gene (BRCA) pathway,
namely, BRCA1, BRCA2, and PALB2, can provide useful information for the efficacy
of platinum-based or poly ADP-ribose polymerase inhibitors chemotherapeutic
regimens. Pancreatic ductal adenocarcinoma (PDAC) is an important target for such
precision chemotherapies because of its dismal prognosis. We analyzed mutations
in the entire coding regions of the BRCA pathway genes, expression of breast
cancer 2 (BRCA2), and mutations in hotspots of 50 cancer-associated genes in 42
surgically resected PDACs, and evaluated their associations with
clinicopathological features. We identified 13 rare germline mutations in the
BRCA pathway genes; 68 somatic mutations in KRAS, TP53, SMAD4, CDKN2A, GNAS,
SMARCB1, and RB1; and 2 germline variations in MLH1. Among them, BRCA2S2148fs was
known to be pathogenic. BRCA2R18H and BRCA2G2044V were enriched in tumor tissues.
BRCA2K799R and BRCA2R2964T were novel germline variations. Patients harboring
potentially deleterious mutations in the BRCA pathway genes showed significantly
better prognosis than those with benign mutations or no mutation. These results
indicate that rare germline variations in BRCA pathway genes could be found more
frequently than previously anticipated and, more importantly, potentially
deleterious mutations of them could be a favorable prognostic factor in patients
with resectable PDACs.
PMID- 29802287
TI - Effects of dietary supplementation of formaldehyde and crystalline amino acids on
gut microbial composition of nursery pigs.
AB - Formaldehyde-based feed additives are approved in the US for Salmonella control
and reducing bacterial contamination in animal feed. However, we hypothesize
formaldehyde inclusion in swine diets may influence gut microbial composition due
to its antimicrobial properties which might negatively influence microbial
populations and pig growth performance. Also, formaldehyde inclusion in diets is
known to reduce the dietary availability of amino acids. Therefore, our study was
conducted to characterize if the effects of feed formaldehyde-treatment are due
to influences on microbial population or diet amino acid (AA) sources. Dietary
treatments were arranged in a (2 * 2) + 1 factorial with formaldehyde treatment
(none vs. 1000 ppm formaldehyde) and crystalline AA inclusion (low vs. high) with
deficient AA content plus a positive control diet to contain adequate AA content
without dietary formaldehyde. Treating diets with formaldehyde reduced growth
rate (P = 0.001) while the AA inclusion had no evidence of impact. Formaldehyde
reduced feed bacterial content and altered fecal microbial communities (P <
0.05). Therefore, we conclude that the negative influence on growth was due to
the impact on the fecal microbial community. Implications are that strategies for
feed pathogen control need to take into account potential negative impacts on the
gut microbial community.
PMID- 29802288
TI - Network-guided genomic and metagenomic analysis of the faecal microbiota of the
critically endangered kakapo.
AB - The kakapo is a critically endangered, herbivorous parrot endemic to New Zealand.
The kakapo hindgut hosts a dense microbial community of low taxonomic diversity,
typically dominated by Escherichia fergusonii, and has proven to be a remarkably
stable ecosystem, displaying little variation in core membership over years of
study. To elucidate mechanisms underlying this robustness, we performed 16S rRNA
gene-based co-occurrence network analysis to identify potential interactions
between E. fergusonii and the wider bacterial community. Genomic and metagenomic
sequencing were employed to facilitate interpretation of potential interactions
observed in the network. E. fergusonii maintained very few correlations with
other members of the microbiota, and isolates possessed genes for the generation
of energy from a wide range of carbohydrate sources, including plant fibres such
as cellulose. We surmise that this dominant microorganism is abundant not due to
ecological interaction with other members of the microbiota, but its ability to
metabolise a wide range of nutrients in the gut. This research represents the
first concerted effort to understand the functional roles of the kakapo
microbiota, and leverages metagenomic data to contextualise co-occurrence
patterns. By combining these two techniques we provide a means for studying the
diversity-stability hypothesis in the context of bacterial ecosystems.
PMID- 29802290
TI - Identification of spoilage microorganisms in blueberry juice and their
inactivation by a microchip pulsed electric field system.
AB - Blueberry juice is a healthy and nutritious food that has become increasingly
popular worldwide. However, little is known about the microbial groups of this
juice that can cause its spoilage. This study aimed to identify the main spoilage
microorganisms in blueberry juice and explore whether a microchip pulsed electric
field (MPEF) can effectively inactivate them. We performed polymerase chain
reaction (PCR) amplification, as well as 16S rDNA, 18S rDNA, internal transcribed
spacer (ITS), and 26S rDNA gene sequence analyses. Nine species belonging to
eight genera, including Pantoea, Burkholderia, Pichia, Meyerozyma, Cryptococcus,
Aureobasidium, Cladosporium, and Penicillium were identified as spoilage
microorganisms. Cryptococcus sp., Meyerozyma sp., and Pichia sp. were specific
spoilage organisms (SSO) owing to their rising numbers throughout spoilage
progression. The effect of MPEF on the potential inactivation of these
microorganisms was to induce significant inactivation of viable Cryptococcus sp.,
Meyerozyma sp., and Pichia sp. This research provides a theoretical basis for the
application of MPEF in improving the quality of blueberry juice.
PMID- 29802289
TI - The CaMKII/NMDA receptor complex controls hippocampal synaptic transmission by
kinase-dependent and independent mechanisms.
AB - CaMKII is one of the most studied synaptic proteins, but many critical issues
regarding its role in synaptic function remain unresolved. Using a CRISPR-based
system to delete CaMKII and replace it with mutated forms in single neurons, we
have rigorously addressed its various synaptic roles. In brief, basal AMPAR and
NMDAR synaptic transmission both require CaMKIIalpha, but not CaMKIIbeta,
indicating that, even in the adult, synaptic transmission is determined by the
ongoing action of CaMKIIalpha. While AMPAR transmission requires kinase activity,
NMDAR transmission does not, implying a scaffolding role for the CaMKII protein
instead. LTP is abolished in the absence of CaMKIIalpha and/or CaMKIIbeta and
with an autophosphorylation impaired CaMKIIalpha (T286A). With the exception of
NMDAR synaptic currents, all aspects of CaMKIIalpha signaling examined require
binding to the NMDAR, emphasizing the essential role of this receptor as a master
synaptic signaling hub.
PMID- 29802291
TI - Complex Hydrogels Composed of Chitosan with Ring-opened Polyvinyl Pyrrolidone as
a Gastroretentive Drug Dosage Form to Enhance the Bioavailability of
Bisphosphonates.
AB - Complex hydrogels formed with chitosan (CS) and ring-opened polyvinyl pyrrolidone
(roPVP) as a swellable mucoadhesive gastroretentive drug dosage form (smGRDDF)
were prepared and characterized. CS/roPVP hydrogels were produced by blending CS
with roPVP obtained by basic treatment of PVP. Effects of the heating time and
NaOH concentration employed for preparing roPVP, and CS molecular weights (Mws),
and roPVP/CS ratios on the swelling ability of the resultant hydrogels were
characterized. Rheological characteristics were further examined. Results
demonstrated that roPVP obtained in a 0.5 M NaOH solution heated to 50 degrees C
for 4 h was suitable for producing complex hydrogels with CS. At a roPVP/CS ratio
of 20:1, hydrogels composed of three different Mws of CS possessed optimal
swelling and mucoadhesive abilities and rheological properties. In vitro
dissolution revealed sustained drug release. A pharmacokinetic study exhibited
that the plasma profile of alendronate followed a sustained manner with 3-fold
enhancement of the oral bioavailability. In conclusion, the smGRDDF composed of
CS/roPVP complex hydrogels was successfully developed and is potentially
applicable to improve the clinical efficacy of bisphosphonates.
PMID- 29802292
TI - Stark control of electrons along nanojunctions.
AB - Ultrafast control of currents on the nanoscale is essential for future
innovations in nanoelectronics. Recently it was experimentally demonstrated that
strong non-resonant few-cycle 4 fs laser pulses can be used to induce phase
controllable currents along gold-silica-gold nanojunctions in the absence of a
bias voltage. However, since the effect depends on a highly non-equilibrium state
of matter, its microscopic origin is unclear and the subject of recent
controversy. Here we present atomistically detailed (time-dependent non
equilibrium Green's function) electronic transport simulations that recover the
main experimental observations and offer a simple intuitive picture of the
effect. The photoinduced currents are seen to arise due to a difference in
effective silica-metal coupling for negative and positive field amplitudes
induced by lasers with low temporal symmetry. These insights can be employed to
interpret related experiments, and advance our ability to control electrons in
matter using lasers.
PMID- 29802293
TI - Evaluation of eLearning for the teaching of undergraduate ophthalmology at
medical school: a randomised controlled crossover study.
AB - AIM: To compare ophthalmology teaching delivered by eLearning with traditional
lectures, in terms of undergraduate performance and satisfaction. METHODS:
Randomised controlled crossover study at King's College London Medical School
with 245 third year medical students. The ophthalmology syllabus was divided into
ten topics. Five topics were randomised to be taught by traditional lectures and
five by electronic learning (eLearning). For the second rotation of students the
topics were crossed over, so that those topics taught by traditional lectures
were taught by eLearning and vice versa. At the end of each rotation the students
sat an optional online mock examination containing 100 questions (ten on each
topic). Students' examination performance was compared between the two teaching
methods. Student satisfaction was assessed using an online satisfaction survey.
Outcome measures were the mean percentage of correct answers across all ten
topics, student satisfaction and self-assessed knowledge. RESULTS: The mean
examination score for questions taught by eLearning was 58% (95% CI, 55.7-59.6),
versus 55% (95% CI 53.1-56.8) for traditional lectures (P = 0.047). Across all
topics students were more satisfied with eLearning than traditional lectures,
with 87% (95% CI 84.5-88.4) rating eLearning as 'excellent' or 'good' versus 65%
(95% CI 62.0-67.4) for lectures (p < 0.0001). Overall 180 (75.6%) preferred
eLearning compared to traditional lectures, with 166 (69.7%) rating eLearning
'much better' or 'better,' 61 (25.6%) 'neutral' and 11 (4.6%) 'worse' or 'much
worse.' CONCLUSIONS: Student satisfaction and examination performance are both
enhanced by ophthalmology eLearning. Similar eLearning modules may be suitable
for other specialties and postgraduate learning.
PMID- 29802294
TI - Zinc ion flux during mammalian sperm capacitation.
AB - Sperm capacitation, the ultimate maturation event preparing mammalian spermatozoa
for fertilization, was first described in 1951, yet its regulatory mechanisms
remain poorly understood. The capacitation process encompasses an influx of
bicarbonate and calcium ions, removal of decapacitating factors, changes of pH
and sperm proteasomal activities, and the increased protein tyrosine
phosphorylation. Here, we document a novel biological phenomenon of a unique zinc
(Zn2+) ion redistribution associated with mammalian sperm in vitro capacitation
(IVC). Using image-based flow cytometry (IBFC), we identified four distinct types
of sperm zinc ion distribution patterns (further zinc signature) and their
changes during IVC. The zinc signature was altered after sperm capacitation,
reduced by proteasomal inhibitors, removed by zinc chelators, and maintained with
addition of external ZnCl2. These findings represent a fundamental shift in the
understanding of mammalian fertilization, paving the way for improved semen
analysis, in vitro fertilization (IVF), and artificial insemination (AI).
PMID- 29802296
TI - Meta-analysis of the association between the dietary inflammatory index (DII) and
breast cancer risk.
AB - BACKGROUND: Recent studies have reported mixed results on the association between
the pro-inflammatory dietary index and risk of breast cancer. We perform this
comprehensive meta-analysis to figure out whether high dietary inflammatory index
(DII) score is a risk factor for the occurrence of breast cancer. METHODS: We
comprehensively searched the PubMed, EMBASE and Cochrane databases to identify
included studies updated to September 12, 2017. All studies that reported risk
estimates by comparing the highest DII score to the lowest were assessed.
RESULTS: A total of seven observational studies were identified: three case
controls and four cohorts, involving 319,993 participants. Overall, the meta
analysis reported that individuals with the highest DII score were associated
with a 25% increased risk of breast cancer versus those with the lowest DII score
(relative risk [RR] = 1.25; 95% confidence interval [CI] 1.09-1.44; I2 = 82.7%, p
= 0.000). Upon stratified analysis, significant positive associations remained
for postmenopausal women (RR = 1.15; 95% CI 1.02-1.30; p = 0.020), case-control
studies (RR = 1.68; 95% CI 1.13-2.49; p = 0.010), Asia (RR = 2.30; 95% CI 1.7
3.12; p = 0.0031) and Europe (RR = 1.26; 95% CI 1.01-1.58; p = 0.0477). When
analysed on hormonal receptor status, 36% increased risk was explored for hormone
receptor negative. CONCLUSION: This meta-analysis suggested that more pro
inflammatory diets (higher DII scores) are associated with increased breast
cancer incidence. However, the research is not about significant associations but
about moderate effect sizes.
PMID- 29802295
TI - Identification of a unique Ca2+-binding site in rat acid-sensing ion channel 3.
AB - Acid-sensing ion channels (ASICs) evolved to sense changes in extracellular
acidity with the divalent cation calcium (Ca2+) as an allosteric modulator and
channel blocker. The channel-blocking activity is most apparent in ASIC3, as
removing Ca2+ results in channel opening, with the site's location remaining
unresolved. Here we show that a ring of rat ASIC3 (rASIC3) glutamates (Glu435),
located above the channel gate, modulates proton sensitivity and contributes to
the formation of the elusive Ca2+ block site. Mutation of this residue to
glycine, the equivalent residue in chicken ASIC1, diminished the rASIC3 Ca2+
block effect. Atomistic molecular dynamic simulations corroborate the involvement
of this acidic residue in forming a high-affinity Ca2+ site atop the channel
pore. Furthermore, the reported observations provide clarity for past
controversies regarding ASIC channel gating. Our findings enhance understanding
of ASIC gating mechanisms and provide structural and energetic insights into this
unique calcium-binding site.
PMID- 29802297
TI - Defects, Dopants and Lithium Mobility in Li 9 V 3 (P 2 O 7 ) 3 (PO 4 ) 2.
AB - Layered Li9V3(P2O7)3(PO4)2 has attracted considerable interest as a novel cathode
material for potential use in rechargeable lithium batteries. The defect
chemistry, doping behavior and lithium diffusion paths in Li9V3(P2O7)3(PO4)2 are
investigated using atomistic scale simulations. Here we show that the activation
energy for Li migration via the vacancy mechanism is 0.72 eV along the c-axis.
Additionally, the most favourable intrinsic defect type is Li Frenkel (0.44
eV/defect) ensuring the formation of Li vacancies that are required for Li
diffusion via the vacancy mechanism. The only other intrinsic defect mechanism
that is close in energy is the formation of anti-site defect, in which Li and V
ions exchange their positions (1.02 eV/defect) and this can play a role at higher
temperatures. Considering the solution of tetravalent dopants it is calculated
that they require considerable solution energies, however, the solution of GeO2
will reduce the activation energy of migration to 0.66 eV.
PMID- 29802298
TI - Flexible Organic Thin Film Transistors Incorporating a Biodegradable CO2-Based
Polymer as the Substrate and Dielectric Material.
AB - Employing CO2-based polymer in electronic applications should boost the
consumption of CO2 feedstocks and provide the potential for non-permanent CO2
storage. In this study, polypropylene carbonate (PPC) is utilized as a dielectric
and substrate material for organic thin film transistors (OTFTs) and organic
inverter. The PPC dielectric film exhibits a surface energy of 47 mN m-1, a
dielectric constant of 3, a leakage current density of less than 10-6 A cm-2, and
excellent compatibility with pentacene and PTCDI-C8 organic semiconductors.
Bottom-gate top-contact OTFTs are fabricated using PPC as a dielectric; they
exhibits good electrical performance at an operating voltage of 60 V, with
electron and hole mobilities of 0.14 and 0.026 cm2 V-1 s-1, and on-to-off ratios
of 105 and 103, respectively. The fabricated p- and n-type transistors were
connected to form a complementary inverter that operated at supply voltages of 20
V with high and low noise margins of 85 and 69%, respectively. The suitability of
PPC as a substrate is demonstrated through the preparation of PPC sheets by
casting method. The fabricated PPC sheets has a transparency of 92% and
acceptable mechanical properties, yet they biodegraded rapidly through enzymatic
degradation when using the lipase from Rhizhopus oryzae.
PMID- 29802299
TI - Mid-IR Hollow-core microstructured fiber drawn from a 3D printed PETG preform.
AB - Mid-infrared (mid-IR) optical fibers have long attracted great interest due to
their wide range of applications in security, biology and chemical sensing.
Traditionally, research was directed towards materials with low absorption in the
mid-IR region, such as chalcogenides, which are difficult to manipulate and often
contain highly toxic elements. In this paper, we demonstrate a Polyethylene
Terephthalate Glycol (PETG) hollow-core fiber (HCF) with guiding properties in
the mid-IR. Guiding is provided by the fiber geometry, as PETG exhibits a
material attenuation 2 orders of magnitude larger than the HCF propagation loss.
The structured plastic fiber preforms were fabricated using commercial 3D
printing technology and then drawn using a conventional fiber drawing tower. The
final PETG fiber outer diameter was 466 um with a hollow-core diameter of 225 um.
Thermal imaging at the fiber facet performed within the wavelength range 3.5-5 um
clearly indicates air guidance in the fiber hollow-core.
PMID- 29802300
TI - Linearly polarized photoluminescence of InGaN quantum disks embedded in GaN
nanorods.
AB - We have investigated the emission from InGaN/GaN quantum disks grown on the tip
of GaN nanorods. The emission at 3.21 eV from the InGaN quantum disk doesn't show
a Stark shift, and it is linearly polarized when excited perpendicular to the
growth direction. The degree of linear polarization is about 39.3% due to the
anisotropy of the nanostructures. In order to characterize a single
nanostructure, the quantum disks were dispersed on a SiO2 substrate patterned
with a metal reference grid. By rotating the excitation polarization angle from
parallel to perpendicular relative to the nanorods, the variation of overall PL
for the 3.21 eV peak was recorded and it clearly showed the degree of linear
polarization (DLP) of 51.5%.
PMID- 29802302
TI - Anti-influenza effect and action mechanisms of the chemical constituent
gallocatechin-7-gallate from Pithecellobium clypearia Benth.
AB - Host cdc2-like kinase 1 (CLK1) is responsible for the alternative splicing of the
influenza virus M2 gene during influenza virus infection and replication that has
been recognized as a potential anti-influenza virus target. In this study, we
showed that gallocatechin-7-gallate (J10688), a novel CLK1 inhibitor isolated
from Pithecellobium clypearia Benth, exerted potent anti-influenza virus activity
in vivo and in vitro. ICR mice were intranasally infected with a lethal dose of
H1N1. Administration of J10688 (30 mg.kg-1.d-1, iv, for 5 days) significantly
increased the survival rate of the H1N1-infected mice to 91.67% and prolong their
mean survival time from 5.83 +/- 1.74 days to 13.66 +/- 1.15 days. J10688
administration also slowed down body weight loss, significantly alleviated
influenza-induced acute lung injury, reduced lung virus titer, elevated the
spleen and thymus indexes, and enhanced the immunological function. We further
explored its anti-influenza mechanisms in the H1N1-infected A549 cells: as a
novel CLK1 inhibitor, J10688 (3, 10, 30 MUmol/L) dose-dependently impaired
synthesis of the viral proteins NP and M2, and significantly downregulated the
phosphorylation of splicing factors SF2/ASF and SC35, which regulate virus M2
gene alternative splicing. As a novel CLK1 inhibitor with potent anti-influenza
activity in vitro and in vivo, J10688 could be a promising antiviral drug for the
therapy of influenza A virus infection.
PMID- 29802301
TI - Identification on mitogen-activated protein kinase signaling cascades by
integrating protein interaction with transcriptional profiling analysis in
cotton.
AB - Plant mitogen-activated protein kinase (MAPK) cascades play important roles in
development and stress responses. In previous studies, we have systematically
investigated the mitogen-activated protein kinase kinase (MKK) and MAPK gene
families in cotton. However, the complete interactions between MAPK gene family
members in MAPK signaling cascade is poorly characterized. Herein, we
investigated the mitogen-activated protein kinase kinase kinase (MAPKKK) family
members and identified a total of 89 MAPKKK genes in the Gossypium raimondii
genome. We cloned 51 MAPKKKs in G. hirsutum and investigated the interactions
between MKK and MAPKKK proteins through yeast-two hybrid assays. A total of 18
interactive protein pairs involved in 14 MAPKKKs and six MKKs were found. Among
these, 13 interactive pairs had not been reported previously. Gene expression
patterns revealed that 12 MAPKKKs were involved in diverse signaling pathways
triggered by hormone treatments or abiotic stresses. By combining the MKK-MAPK
and MKK-MAPKKK protein interactions with gene expression patterns, 38 potential
MAPK signaling modules involved in the complicated cross-talks were identified,
which provide a basis on elucidating biological function of the MAPK cascade in
response to hormonal and/or stress responses. The systematic investigation in
MAPK signaling cascades will lay a foundation for understanding the functional
roles of different MAPK cascades in signal transduction pathways, and for the
improvement of various defense responses in cotton.
PMID- 29802304
TI - Demonstration of Ru as the 4th ferromagnetic element at room temperature.
AB - Development of novel magnetic materials is of interest for fundamental studies
and applications such as spintronics, permanent magnetics, and sensors. We report
on the first experimental realization of single element ferromagnetism, since Fe,
Co, and Ni, in metastable tetragonal Ru, which has been predicted. Body-centered
tetragonal Ru phase is realized by use of strain via seed layer engineering. X
ray diffraction and electron microscopy confirm the epitaxial mechanism to obtain
tetragonal phase Ru. We observed a saturation magnetization of 148 and 160 emu cm
3 at room temperature and 10 K, respectively. Control samples ensure the
ferromagnetism we report on is from tetragonal Ru and not from magnetic
contamination. The effect of thickness on the magnetic properties is also
studied, and it is observed that increasing thickness results in strain
relaxation, and thus diluting the magnetization. Anomalous Hall measurements are
used to confirm its ferromagnetic behavior.
PMID- 29802303
TI - Microfluidic device for real-time formulation of reagents and their subsequent
encapsulation into double emulsions.
AB - Emulsion drops are often employed as picoliter-sized containers to perform
screening assays. These assays usually entail the formation of drops encompassing
discrete objects such as cells or microparticles and reagents to study
interactions between the different encapsulants. Drops are also used to screen
influences of reagent concentrations on the final product. However, these latter
assays are less frequently performed because it is difficult to change the
reagent concentration over a wide range and with high precision within a single
experiment. In this paper, we present a microfluidic double emulsion drop maker
containing pneumatic valves that enable real-time formulation of different
reagents using pulse width modulation and consequent encapsulation of the mixed
solutions. This device can produce drops from reagent volumes as low as 10 uL
with minimal sample loss, thereby enabling experiments that would be
prohibitively expensive using drop generators that do not contain valves. We
employ this device to monitor the kinetics of the cell-free synthesis of green
fluorescent proteins inside double emulsions. To demonstrate the potential of
this device for real-time formulation, we perform DNA titration experiments to
test the influence of DNA concentration on the amount of green fluorescence
protein produced in double emulsions by a coupled cell-free transcription /
translation system.
PMID- 29802305
TI - Classification of volcanic ash particles using a convolutional neural network and
probability.
AB - Analyses of volcanic ash are typically performed either by qualitatively
classifying ash particles by eye or by quantitatively parameterizing its shape
and texture. While complex shapes can be classified through qualitative analyses,
the results are subjective due to the difficulty of categorizing complex shapes
into a single class. Although quantitative analyses are objective, selection of
shape parameters is required. Here, we applied a convolutional neural network
(CNN) for the classification of volcanic ash. First, we defined four basal
particle shapes (blocky, vesicular, elongated, rounded) generated by different
eruption mechanisms (e.g., brittle fragmentation), and then trained the CNN using
particles composed of only one basal shape. The CNN could recognize the basal
shapes with over 90% accuracy. Using the trained network, we classified ash
particles composed of multiple basal shapes based on the output of the network,
which can be interpreted as a mixing ratio of the four basal shapes. Clustering
of samples by the averaged probabilities and the intensity is consistent with the
eruption type. The mixing ratio output by the CNN can be used to quantitatively
classify complex shapes in nature without categorizing forcibly and without the
need for shape parameters, which may lead to a new taxonomy.
PMID- 29802306
TI - Effects of thermal treatment on the adhesion strength and osteoinductive activity
of single-layer graphene sheets on titanium substrates.
AB - In recent years, dental implants have become the preferred approach for the
restoration of missing teeth. At present, most dental implants are made of pure
titanium, and are affected by peri-implantitis and bone resorption, which usually
start from the implant neck, due to the complex environment in this region. To
address these issues, in this study we modified the surface of titanium (Ti)
implants to exploit the antibacterial and osteoinductive effects of single-layer
graphene sheets. Chemical vapor deposition (CVD)-grown single-layer graphene
sheets were transferred to titanium discs, and a method for improving the
adhesion strength of graphene on Ti was developed due to compromised adhesion
strength between graphene and titanium surface. A thermal treatment of 2 h at 160
degrees C was found to enhance the adhesion strength of graphene on Ti to
facilitate clinical transformation. Graphene coatings of Ti enhanced cell
adhesion and osteogenic differentiation, and imparted antibacterial activity to
Ti substrate; these favorable effects were not affected by the thermal treatment.
In summary, the present study elucidated the effects of a thermal treatment on
the adhesion strength and osteoinductive activity of single-layer graphene sheets
on titanium substrates.
PMID- 29802307
TI - TDP-43 induces p53-mediated cell death of cortical progenitors and immature
neurons.
AB - TAR DNA-binding protein 43 (TDP-43) is a key player in neurodegenerative diseases
including frontotemporal lobar degeneration (FTLD) and amyotrophic lateral
sclerosis (ALS). Accumulation of TDP-43 is associated with neuronal death in the
brain. How increased and disease-causing mutant forms of TDP-43 induce cell death
remains unclear. Here we addressed the role of TDP-43 during neural development
and show that reduced TDP-43 causes defects in neural stem/progenitor cell
proliferation but not cell death. However, overexpression of wild type and TDP
43A315T proteins induce p53-dependent apoptosis of neural stem/progenitors and
human induced pluripotent cell (iPS)-derived immature cortical neurons. We show
that TDP-43 induces expression of the proapoptotic BH3-only genes Bbc3 and Bax,
and that p53 inhibition rescues TDP-43 induced cell death of embryonic mouse, and
human cortical neurons, including those derived from TDP-43G298S ALS patient iPS
cells. Hence, an increase in wild type and mutant TDP-43 induces p53-dependent
cell death in neural progenitors developing neurons and this can be rescued.
These findings may have important implications for accumulated or mutant TDP-43
induced neurodegenerative diseases.
PMID- 29802308
TI - Human single neuron activity precedes emergence of conscious perception.
AB - Identifying the neuronal basis of spontaneous changes in conscious experience in
the absence of changes in the external environment is a major challenge.
Binocular rivalry, in which two stationary monocular images lead to continuously
changing perception, provides a unique opportunity to address this issue. We
studied the activity of human single neurons in the medial temporal and frontal
lobes while patients were engaged in binocular rivalry. Here we report that
internal changes in the content of perception are signaled by very early (~-2000
ms) nonselective medial frontal activity, followed by selective activity of
medial temporal lobe neurons that precedes the perceptual change by ~1000 ms.
Such early activations are not found for externally driven perceptual changes.
These results suggest that a medial fronto-temporal network may be involved in
the preconscious internal generation of perceptual transitions.
PMID- 29802310
TI - Two yellow luminescence bands in undoped GaN.
AB - Two yellow luminescence bands related to different defects have been revealed in
undoped GaN grown by hydride vapor phase epitaxy (HVPE). One of them, labeled
YL1, has the zero-phonon line (ZPL) at 2.57 eV and the band maximum at 2.20 eV at
low temperature. This luminescence band is the ubiquitous yellow band observed in
GaN grown by metalorganic chemical vapor deposition, either undoped (but
containing carbon with high concentration) or doped with Si. Another yellow band,
labeled YL3, has the ZPL at 2.36 eV and the band maximum at 2.09 eV. Previously,
the ZPL and fine structure of this band were erroneously attributed to the red
luminescence band. Both the YL1 and YL3 bands show phonon-related fine structure
at the high-energy side, which is caused by strong electron-phonon coupling
involving the LO and pseudo-local phonon modes. The shapes of the bands are
described with a one-dimensional configuration coordinate model, and the Huang
Rhys factors are found. Possible origins of the defect-related luminescence bands
are discussed.
PMID- 29802309
TI - Hot-Hole Cooling Controls the Initial Ultrafast Relaxation in Methylammonium Lead
Iodide Perovskite.
AB - Understanding the initial ultrafast excited state dynamics of methylammonium lead
iodide (MAPI) perovskite is of vital importance to enable its fullest utilisation
in optoelectronic devices and the design of improved materials. Here we have
combined advanced measurements of the ultrafast photoluminescence from MAPI films
up to 0.6 eV above the relaxed excited state with cutting-edge advanced non
adiabatic quantum dynamics simulations, to provide a powerful unique insight into
the earliest time behaviour in MAPI. Our joint experimental-theoretical approach
highlights that the cooling of holes from deep in the valence band to the valence
band edge is fast, occurring on a 100-500 fs timescale. Cooling of electrons from
high in the conduction band to the conduction band edge, however, is much slower,
on the order of 1-10 ps. Density of states calculations indicate that excited
states with holes deep in the valence band are greatly favoured upon
photoexcitation, and this matches well with the fast (100-500 fs) formation time
for the relaxed excited state observed in our ultrafast PL measurements.
Consequently we are able to provide a complete observation of the initial excited
state evolution in this important prototypical material.
PMID- 29802311
TI - Robust nonfullerene solar cells approaching unity external quantum efficiency
enabled by suppression of geminate recombination.
AB - Nonfullerene solar cells have increased their efficiencies up to 13%, yet quantum
efficiencies are still limited to 80%. Here we report efficient nonfullerene
solar cells with quantum efficiencies approaching unity. This is achieved with
overlapping absorption bands of donor and acceptor that increases the photon
absorption strength in the range from about 570 to 700 nm, thus, almost all
incident photons are absorbed in the active layer. The charges generated are
found to dissociate with negligible geminate recombination losses resulting in a
short-circuit current density of 20 mA cm-2 along with open-circuit voltages >1
V, which is remarkable for a 1.6 eV bandgap system. Most importantly, the unique
nano-morphology of the donor:acceptor blend results in a substantially improved
stability under illumination. Understanding the efficient charge separation in
nonfullerene acceptors can pave the way to robust and recombination-free organic
solar cells.
PMID- 29802312
TI - Precision imaging of 4.4 MeV gamma rays using a 3-D position sensitive Compton
camera.
AB - Imaging of nuclear gamma-ray lines in the 1-10 MeV range is far from being
established in both medical and physical applications. In proton therapy, 4.4 MeV
gamma rays are emitted from the excited nucleus of either 12C* or 11B* and are
considered good indicators of dose delivery and/or range verification. Further,
in gamma-ray astronomy, 4.4 MeV gamma rays are produced by cosmic ray
interactions in the interstellar medium, and can thus be used to probe
nucleothynthesis in the universe. In this paper, we present a high-precision
image of 4.4 MeV gamma rays taken by newly developed 3-D position sensitive
Compton camera (3D-PSCC). To mimic the situation in proton therapy, we first
irradiated water, PMMA and Ca(OH)2 with a 70 MeV proton beam, then we identified
various nuclear lines with the HPGe detector. The 4.4 MeV gamma rays constitute a
broad peak, including single and double escape peaks. Thus, by setting an energy
window of 3D-PSCC from 3 to 5 MeV, we show that a gamma ray image sharply
concentrates near the Bragg peak, as expected from the minimum energy threshold
and sharp peak profile in the cross section of 12C(p,p)12C*.
PMID- 29802313
TI - Multiple Reaction Monitoring for quantitative laccase kinetics by LC-MS.
AB - Laccases (EC 1.10.3.2) are enzymes known for their ability to catalyse the
oxidation of phenolic compounds using molecular oxygen as the final electron
acceptor. Lignin is a natural phenylpropanoids biopolymer whose degradation in
nature is thought to be aided by enzymatic oxidation by laccases. Laccase
activity is often measured spectrophotometrically on compounds such as
syringaldazine and ABTS which poorly relate to lignin. We employed natural
phenolic hydroxycinnamates having different degree of methoxylations, p-coumaric,
ferulic and sinapic acid, and a lignin model OH-dilignol compound as substrates
to assess enzyme kinetics by HPLC-MS on two fungal laccases Trametes versicolor
laccase, Tv and Ganoderma lucidum laccase, Gl. The method allowed accurate
kinetic measurements and detailed insight into the product profiles of both
laccases. Both Tv and Gl laccase are active on the hydroxycinnammates and show a
preference for substrate with methoxylations. Product profiles were dominated by
the presence of dimeric and trimeric species already after 10 minutes of reaction
and similar profiles were obtained with the two laccases. This new HPLC-MS method
is highly suitable and accurate as a new method for assaying laccase activity on
genuine phenolic substrates, as well as a tool for examining laccase oxidation
product profiles.
PMID- 29802316
TI - Publisher Correction: Farming with crops and rocks to address global climate,
food and soil security.
AB - In the version of this Perspective originally published, 'acidification' was
incorrectly spelt as 'adification' in Fig. 4. This has now been corrected.
PMID- 29802314
TI - In vivo reprogramming drives Kras-induced cancer development.
AB - The faithful shutdown of the somatic program occurs in the early stage of
reprogramming. Here, we examined the effect of in vivo reprogramming on Kras
induced cancer development. We show that the transient expression of
reprogramming factors (1-3 days) in pancreatic acinar cells results in the
transient repression of acinar cell enhancers, which are similarly observed in
pancreatitis. We next demonstrate that Kras and p53 mutations are insufficient to
induce ERK signaling in the pancreas. Notably, the transient expression of
reprogramming factors in Kras mutant mice is sufficient to induce the robust and
persistent activation of ERK signaling in acinar cells and rapid formation of
pancreatic ductal adenocarcinoma. In contrast, the forced expression of acinar
cell-related transcription factors inhibits the pancreatitis-induced activation
of ERK signaling and development of precancerous lesions in Kras-mutated acinar
cells. These results underscore a crucial role of dedifferentiation-associated
epigenetic regulations in the initiation of pancreatic cancers.
PMID- 29802315
TI - Impact of rural-urban environment on metabolic profile and response to a 5-day
high-fat diet.
AB - Epidemiological studies have indicated that rural living might be protective
against type 2 diabetes development. We compared the metabolic profile and
response to a short-term high-fat high-calorie diet (HFD) of men with the same
genetic background living in an urban and rural area of Indonesia. First, we
recruited 154 Floresian male subjects (18-65 years old), of whom 105 lived in a
rural area (Flores) and 49 had migrated and lived in urban area (Jakarta) for
more than 1 year. The urban group had significantly higher whole-body insulin
resistance (IR), as assessed by homeostatic-model-assessment of IR (HOMA-IR),
[mean difference (95% CI), p-value: 0.10 (0.02-0.17), p = 0.01]. Next, we
recruited 17 urban and 17 rural age-and-BMI-matched healthy-young-male volunteers
for a 5-day HFD challenge. The HOMA-IR increased in both groups similarly -0.77 (
2.03-0.49), p = 0.22]. Neither rural living nor factors associated with rural
living, such as current helminth infection or total IgE, were associated with
protection against acute induction of IR by HFD.
PMID- 29802318
TI - Rett syndrome: a seminal book on extensive multidisciplinary analyses for rare
disease.
PMID- 29802317
TI - Blood lipid-related low-frequency variants in LDLR and PCSK9 are associated with
onset age and risk of myocardial infarction in Japanese.
AB - Recent studies have revealed the importance of rare variants in myocardial
infarction (MI) susceptibility in European populations. Because genetic
architectures vary in different populations, we investigated how they contribute
to MI susceptibility in Japanese subjects. We performed targeted sequencing of 36
coronary artery disease risk genes, identified by genome-wide association
studies, in 9,956 cases and 8,373 controls. Gene-based association tests
identified significant enrichment of rare variants in LDLR and PCSK9 in MI cases.
We identified 52 (novel 22) LDLR variants predicted to be damaging. Carriers of
these variants showed a higher risk of MI (carriers/non-carriers 89/9867 in
cases, 17/8356 controls, OR = 4.4, P = 7.2 * 10-10), higher LDL-cholesterol
levels and younger age of onset for MI. With respect to PCSK9, E32K carriers
showed higher LDL-cholesterol levels and younger age of onset for MI, whereas
R93C carriers had lower LDL-cholesterol levels. A significant correlation between
LDL-cholesterol levels and onset age of MI was observed in these variant
carriers. In good agreement with previous studies in patients with familial
hypercholesterolaemia, our study in the Japanese general population showed that
rare variants in LDLR and PCSK9 were associated with the onset age of MI by
altering LDL-cholesterol levels.
PMID- 29802319
TI - Frequency of genetic variants associated with arrhythmogenic right ventricular
cardiomyopathy in the genome aggregation database.
AB - Arrhythmogenic right ventricular cardiomyopathy (ARVC) is a rare inherited heart
muscle disorder, which is the most common cause of life-threatening arrhythmias
and sudden cardiac death (SCD) in young adults and athletes. Early and accurate
diagnosis can be crucial in effective ARVC management and prevention of SCD.The
genome Aggregation Database (gnomAD) population of 138,632 unrelated individuals
was searched for previously identified ARVC variants, classified as pathogenic or
unknown on the disease genetic variant database ( http://www.arvcdatabase.info/
), in five most-commonly mutated genes: PKP2, DSP, DSG2, DSC2 and JUP, where
variants account for 40-50% of all the ARVC cases. Minor allele frequency (MAF)
of 0.001 was used to define variants as rare or common.The gnomAD data contained
117/364 (32%) of the previously reported pathogenic and 152/266 (57%) of the
unknown ARVC variants. The cross-ethnic analysis of MAF revealed that 11
previously classified pathogenic and 57 unknown variants were common (MAF >=
0.001) in at least one ethnic gnomAD population and therefore unlikely to be ARVC
causing.After applying our MAF analysis the overall frequency of pathogenic ARVC
variants in gnomAD was one in 257 individuals, but a more stringent cut-off (MAF
>= 0.0001) gave a frequency of one in 845, closer to the estimated phenotypic
frequency of the disease.Our study demonstrates that the analysis of large cross
ethnic population sequencing data can significantly improve disease variant
interpretation. Higher than expected frequency of ARVC variants suggests that a
proportion of ARVC-causing variants may be inaccurately classified, implying
reduced penetrance of some variants, and/or a polygenic aetiology of ARVC.
PMID- 29802320
TI - Patient preferences for massively parallel sequencing genetic testing of
colorectal cancer risk: a discrete choice experiment.
AB - This study enumerated patients' preference-based personal utility and willingness
to-pay for massively parallel sequencing (MPS) genetic testing of colorectal
cancer (CRC) risk. Our setting was the New Exome Technology in (NEXT) Medicine
Study, a randomized control trial of usual care genetic testing vs. exome
sequencing. Using a discrete choice experiment (DCE), we elicited patient
preferences for information on genetic causes of CRC. We estimated personal
utility for the following four attributes: proportion of individuals with a
genetic cause of CRC who receive a diagnosis, number of tests used, wait time for
results, and cost. A total of 122 patients completed our DCE (66% response rate).
On average, patients preferred genetic tests identifying more individuals with a
diagnosis and involving a shorter wait time. Assuming MPS identifies more
individuals with a Mendelian form of CRC risk, involves fewer tests, and results
in a shorter wait than traditional diagnostic testing, average willingness-to-pay
(WTP) for MPS ranged from US$400 (95% CI: $300, $500) to US$1541 (95% CI: $1224,
$1859). These results indicate that patients value information on genetic causes
of CRC and replacing traditional diagnostic testing with MPS testing will
increase patients' utility. Future research exploring the costs and benefits of
MPS for CRC risk is warranted.
PMID- 29802322
TI - Uneven-Layered Coding Metamaterial Tile for Ultra-wideband RCS Reduction and
Diffuse Scattering.
AB - In this paper, a novel uneven-layered coding metamaterial tile is proposed for
ultra-wideband radar cross section (RCS) reduction and diffuse scattering. The
metamaterial tile is composed of two kinds of square ring unit cells with
different layer thickness. The reflection phase difference of 180 degrees (+/-37
degrees ) between two unit cells covers an ultra-wide frequency range. Due to the
phase cancellation between two unit cells, the metamaterial tile has the
scattering pattern of four strong lobes deviating from normal direction. The
metamaterial tile and its 90-degree rotation can be encoded as the '0' and '1'
elements to cover an object, and diffuse scattering pattern can be realized by
optimizing phase distribution, leading to reductions of the monostatic and bi
static RCSs simultaneously. The metamaterial tile can achieve -10 dB RCS
reduction from 6.2 GHz to 25.7 GHz with the ratio bandwidth of 4.15:1 at normal
incidence. The measured and simulated results are in good agreement and validate
the proposed uneven-layered coding metamaterial tile can greatly expanding the
bandwidth for RCS reduction and diffuse scattering.
PMID- 29802321
TI - Dissecting Clinical and Metabolomics Associations of Left Atrial Phasic Function
by Cardiac Magnetic Resonance Feature Tracking.
AB - Among community cohorts, associations between clinical and metabolite factors and
complex left atrial (LA) phasic function assessed by cardiac magnetic resonance
(CMR) feature tracking (FT) are unknown. Longitudinal LA strain comprising
reservoir strain (epsilons), conduit strain (epsilone) and booster strain
(epsilona) and their corresponding peak strain rates (SRs, SRe, SRa) were
measured using CMR FT. Targeted mass spectrometry measured 83 circulating
metabolites in serum. Sparse Principal Component Analysis was used for data
reduction. Among community adults (n = 128, 41% female) (mean age: 70.5 +/- 11.6
years), age was significantly associated with epsilons (beta = -0.30, p <
0.0001), epsilone (beta = -0.3, p < 0.0001), SRs (beta = -0.02, p < 0.0001), SRe
(beta = 0.04, p < 0.0001) and SRe/SRa (beta = -0.01, p = 0.012). In contrast,
heart rate was significantly associated with epsilona (beta = 0.1, p = 0.001) and
SRa (beta = -0.02, p < 0.0001). Serine was significantly associated with epsilons
(beta = 10.1, p = 0.015), SRs (beta = 0.5, p = 0.033) and SRa (beta = -0.9, p =
0.016). Citrulline was associated with epsilons (beta = -4.0, p = 0.016),
epsilona (beta = -3.4, p = 0.002) and SRa (beta = 0.4, p = 0.019). Valine was
associated with ratio of SRe:SRa (beta = -0.4, p = 0.039). Medium and long chain
dicarboxyl carnitines were associated with epsilons (beta = -0.6, p = 0.038).
Phases of LA function were differentially associated with clinical and metabolite
factors. Metabolite signals may be used to advance mechanistic understanding of
LA disease in future studies.
PMID- 29802323
TI - The antiviral protein Viperin suppresses T7 promoter dependent RNA synthesis
possible implications for its antiviral activity.
AB - Viperin is a multifunctional interferon-inducible broad-spectrum antiviral
protein. Viperin belongs to the S-Adenosylmethionine (SAM) superfamily of enzymes
known to catalyze a wide variety of radical-mediated reactions. However, the
exact mechanism by which viperin exerts its functions is still unclear.
Interestingly, for many RNA viruses viperin was shown to inhibit viral RNA
accumulation by interacting with different viral non-structural proteins. Here,
we show that viperin inhibits RNA synthesis by bacteriophage T7 polymerase in
mammalian cells. This inhibition is specific and occurs at the RNA level. Viperin
expression significantly reduced T7-mediated cytoplasmic RNA levels. The data
showing that viperin inhibits the bacteriophage T7 polymerase supports the
conservation of viperin's antiviral activity between species. These results
highlight the possibility that viperin might utilize a broader mechanism of
inhibition. Accordingly, our results suggest a novel mechanism involving
polymerase inhibition and provides a tractable system for future mechanistic
studies of viperin.
PMID- 29802325
TI - Author Correction: MAD2B acts as a negative regulatory partner of TCF4 on
proliferation in human dermal papilla cells.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29802326
TI - IL-27 links lipids to autoimmunity.
PMID- 29802324
TI - Advanced glycation end products-induced insulin resistance involves repression of
skeletal muscle GLUT4 expression.
AB - Little is known about advanced glycation end products (AGEs) participation in
glucose homeostasis, a process in which skeletal muscle glucose transporter GLUT4
(Scl2a4 gene) plays a key role. This study investigated (1) the in vivo and in
vitro effects of AGEs on Slc2a4/GLUT4 expression in skeletal muscle of healthy
rats, and (2) the potential involvement of endoplasmic reticulum and inflammatory
stress in the observed regulations. For in vivo analysis, rats were treated with
advanced glycated rat albumin (AGE-albumin) for 12 weeks; for in vitro analysis,
soleus muscles from normal rats were incubated with bovine AGE-albumin for 2.5 to
7.5 hours. In vivo, AGE-albumin induced whole-body insulin resistance; decreased
(~30%) Slc2a4 mRNA and GLUT4 protein content; and increased (~30%) the nuclear
content of nuclear factor NF-kappa-B p50 subunit (NFKB1), and cellular content of
78 kDa glucose-regulated protein (GRP78). In vitro, incubation with AGE-albumin
decreased (~50%) the Slc2a4/GLUT4 content; and increased cellular content of
GRP78/94, phosphorylated-IKK-alpha/beta, nuclear content of NFKB1 and RELA, and
the nuclear protein binding into Slc2a4 promoter NFKB-binding site. The data
reveal that AGEs impair glucose homeostasis in non-diabetic states of increased
AGEs concentration; an effect that involves activation of endoplasmic reticulum-
and inflammatory-stress and repression of Slc2a4/GLUT4 expression.
PMID- 29802327
TI - Response to 'Evolving M-protein pattern in patients with smoldering multiple
myeloma: impact on early progression'.
PMID- 29802328
TI - The conserved RNA recognition motif and C3H1 domain of the Not4 ubiquitin ligase
regulate in vivo ligase function.
AB - The Ccr4-Not complex controls RNA polymerase II (Pol II) dependent gene
expression and proteasome function. The Not4 ubiquitin ligase is a Ccr4-Not
subunit that has both a RING domain and a conserved RNA recognition motif and
C3H1 domain (referred to as the RRM-C domain) with unknown function. We
demonstrate that while individual Not4 RING or RRM-C mutants fail to replicate
the proteasomal defects found in Not4 deficient cells, mutation of both exhibits
a Not4 loss of function phenotype. Transcriptome analysis revealed that the Not4
RRM-C affects a specific subset of Pol II-regulated genes, including those
involved in transcription elongation, cyclin-dependent kinase regulated nutrient
responses, and ribosomal biogenesis. The Not4 RING, RRM-C, or RING/RRM-C
mutations cause a generalized increase in Pol II binding at a subset of these
genes, yet their impact on gene expression does not always correlate with Pol II
recruitment which suggests Not4 regulates their expression through additional
mechanisms. Intriguingly, we find that while the Not4 RRM-C is dispensable for
Ccr4-Not association with RNA Pol II, the Not4 RING domain is required for these
interactions. Collectively, these data elucidate previously unknown roles for the
conserved Not4 RRM-C and RING domains in regulating Ccr4-Not dependent functions
in vivo.
PMID- 29802329
TI - Evolutionarily Conserved and Divergent Roles of Unfolded Protein Response (UPR)
in the Pathogenic Cryptococcus Species Complex.
AB - The unfolded protein response (UPR) pathway, consisting of the evolutionarily
conserved Ire1 kinase/endonuclease and the bZIP transcription factor Hxl1, is
critical for the pathogenicity of Cryptococcus neoformans; however, its role
remains unknown in other pathogenic Cryptococcus species. Here, we investigated
the role of the UPR pathway in C. deuterogattii, which causes pneumonia and
systemic cryptococcosis, even in immunocompetent individuals. In response to ER
stress, C. deuterogattii Ire1 triggers unconventional splicing of HXL1 to induce
the expression of UPR target genes such as KAR2, DER1, ALG7, and ERG29.
Furthermore, C. deuterogattii Ire1 is required for growth at mammalian body
temperature, similar to C. neoformans Ire1. However, deletion of HXL1 does not
significantly affect the growth of C. deuterogattii at 37 degrees C, which is in
contrast to the indispensable role of HXL1 in the growth of C. neoformans at 37
degrees C. Nevertheless, both C. deuterogattii ire1Delta and hxl1Delta mutants
are avirulent in a murine model of systemic cryptococcosis, suggesting that a non
thermotolerance phenotypic trait also contributes to the role of the UPR pathway
in the virulence of pathogenic Cryptococcus species. In conclusion, the UPR
pathway plays redundant and distinct roles in the virulence of members of the
pathogenic Cryptococcus species complex.
PMID- 29802330
TI - Single step synthesis of Schottky-like hybrid graphene - titania interfaces for
efficient photocatalysis.
AB - The development of 2D nanomaterial coatings across metal surfaces is a challenge
due to the mismatch between the metal microstructure and the nanoscale materials.
The naturally occurring thin oxidative layer present across all metal surfaces,
may lead to low adherence and connectivity. In this paper,
graphene/titania/Titanium hybrid films were for the first time fabricated by a
single step chemical vapour deposition process across Titanium foils. The
presence of graphene as a dopant was found to enhance the photocatalytic
performance of the final products, applied to the degradation of organic
molecules and to lead to Schottky-like junction formation at the metal/oxide
interface. These Schottky junctions, where vacancies are present across the
titania material due to the graphene doping and where Ti3+ ions are predominantly
located, yield enhanced catalytic performance. The highest degradation rate was
found to be 9.66 * 10-6 min-1, achieved by the sample grown at 700 degrees C for
5 min, which was 62% higher than the sample just treated at that temperature
without graphene growth. This work provides evidence that graphene may be grown
across pure Titanium metal and opens new avenues in biomedical devices design,
tribological or separation applications.
PMID- 29802331
TI - Synthesis and Characterization of a Nano-Polyplex system of GNRs-PDMAEA-pDNA: An
Inert Self-Catalyzed Degradable Carrier for Facile Gene Delivery.
AB - Engineering molecules at nano-scale is a promising approach in targeting and
curing diseases. In this research, fabricated new hybrid system called nano
polyplex represents an example of the molecular engineering at nano-scale.
Polymer of PDMAEAs with four different molecular weights were synthesized using
the RAFT method, attached onto the gold nano-rod surface, which modified and
produced a safe novel system with an average size less than 100 nm. The hybrid
system was characterized by ultra violet-visible spectrophotometer (UV-Vis),
dynamic light scattering (DLS), 1H NMR, gel permeation chromatography (GPC),
Fourier transform-infrared (FT-IR) spectroscopy, Zeta potential analyzer and
transmission electron microscopy (TEM). Features of higher transfection and lower
toxicity compared to the previously reported polyplex of PDMAEA, as well as the
gold standard PEI, have been shown in all molecular weights and defined N/P
ratios (10-200). The ideal physicochemical properties for escaping from the cell
barriers, covering the large volume of genetic material (pDNA) and high
efficiency of loading polyplexes on GNRs' surface make it an ideal carrier. The
results of this effort pave way in designing a new generation of nanoparticle
based delivery systems for nucleic acid therapy and gene editing.
PMID- 29802332
TI - Betulinic acid chemosensitizes breast cancer by triggering ER stress-mediated
apoptosis by directly targeting GRP78.
AB - Stress-induced cellular defense machinery has a critical role in mediating cancer
drug resistance, and targeting stress-related signaling has become a novel
strategy to improve chemosensitivity. Betulinic acid (BA) is a naturally
occurring pentacyclic triterpenoid with potent anticancer bioactivities in
multiple malignancies, whereas its underlying mechanisms remain unclear. Here in,
we found that BA has synergistic effects with taxol to induce breast cancer cells
G2/M checkpoint arrest and apoptosis induction, but had little cytotoxicity
effects on normal mammary epithelial cells. Drug affinity responsive target
stability (DARTS) strategy further identified glucose-regulated protein 78
(GRP78) as the direct interacting target of BA. BA administration significantly
elevated GRP78-mediated endoplasmic reticulum (ER) stress and resulted in the
activation of protein kinase R-like ER kinase (PERK)/eukaryotic initiation factor
2a/CCAAT/enhancer-binding protein homologous protein apoptotic pathway. GRP78
silencing or ER stress inhibitor salubrinal administration was revealed to
abolish the anticancer effects of BA, indicating the critical role of GRP78 in
mediating the bioactivity of BA. Molecular docking and coimmunoprecipitation
assay further demonstrated that BA might competitively bind with ATPase domain of
GRP78 to interrupt its interaction with ER stress sensor PERK, thereby initiating
the downstream apoptosis cascade. In vivo breast cancer xenografts finally
validated the chemosensitizing effects of BA and its biofunction in activating
GRP78 to trigger ER stress-mediated apoptosis. Taken together, our study not only
uncovers GRP78 as a novel target underlying the chemosensitizing effects of BA,
but also highlights GRP78-based targeting strategy as a promising approach to
improve breast cancer prognosis.
PMID- 29802334
TI - Author Correction: The Staphylococcus aureus extracellular matrix protein (Emp)
has a fibrous structure and binds to different extracellular matrices.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29802333
TI - Insight into the fission mechanism by quantitative characterization of Drp1
protein distribution in the living cell.
AB - One of the main players in the process of mitochondrial fragmentation is dynamin
related protein 1 (Drp1), which assembles into a helical ring-like structure on
the mitochondria and facilitates fission. The fission mechanism is still poorly
understood and detailed information concerning oligomeric form of Drp1, its
cellular distribution and the size of the fission complex is missing. To estimate
oligomeric forms of Drp1 in the cytoplasm and on the mitochondria, we performed a
quantitative analysis of Drp1 diffusion and distribution in gene-edited HeLa cell
lines. This paper provides an insight into the fission mechanism based on the
quantitative description of Drp1 cellular distribution. We found that
approximately half of the endogenous GFP-Drp1 pool remained in the cytoplasm,
predominantly in a tetrameric form, at a concentration of 28 +/- 9 nM. The Drp1
mitochondrial pool included many different oligomeric states with equilibrium
distributions that could be described by isodesmic supramolecular polymerization
with a Kd of 31 +/- 10 nM. We estimated the average number of Drp1 molecules
forming the functional fission complex to be approximately 100, representing not
more than 14% of all Drp1 oligomers. We showed that the upregulated fission
induced by niclosamide is accompanied by an increase in the number of large Drp1
oligomers.
PMID- 29802335
TI - Sorting Five Human Tumor Types Reveals Specific Biomarkers and Background
Classification Genes.
AB - We applied two state-of-the-art, knowledge independent data-mining methods -
Dynamic Quantum Clustering (DQC) and t-Distributed Stochastic Neighbor Embedding
(t-SNE) - to data from The Cancer Genome Atlas (TCGA). We showed that the RNA
expression patterns for a mixture of 2,016 samples from five tumor types can sort
the tumors into groups enriched for relevant annotations including tumor type,
gender, tumor stage, and ethnicity. DQC feature selection analysis discovered 48
core biomarker transcripts that clustered tumors by tumor type. When these
transcripts were removed, the geometry of tumor relationships changed, but it was
still possible to classify the tumors using the RNA expression profiles of the
remaining transcripts. We continued to remove the top biomarkers for several
iterations and performed cluster analysis. Even though the most informative
transcripts were removed from the cluster analysis, the sorting ability of
remaining transcripts remained strong after each iteration. Further, in some
iterations we detected a repeating pattern of biological function that wasn't
detectable with the core biomarker transcripts present. This suggests the
existence of a "background classification" potential in which the pattern of gene
expression after continued removal of "biomarker" transcripts could still
classify tumors in agreement with the tumor type.
PMID- 29802337
TI - Formation of nano-sized M2C carbides in Si-free GH3535 alloy.
AB - GH3535 alloy is one of the most promising structural materials for molten salt
reactors (MSRs). Its microstructure is characterized by equiaxed grains and
coarser primary M6C carbide strings. In this study, stable nano-sized M2C
carbides were obtained in GH3535 alloy by the removal of Si and thermal exposure
at 650 degrees C. Nano-sized M2C carbide particles precipitate preferentially at
grain boundaries during the initial stage of thermal exposure and then spread all
over the grain interior in two forms, namely, arrays along the {1 1 1} planes and
randomly distributed particles. The precipitate-free zones (PFZs) and the
precipitate-enriched zones (PEZs) of the M2C carbides were found to coexist in
the vicinity of the grain boundaries. All M2C carbides possess one certain
orientation relationship (OR) with the matrix. Based on microstructural
characterizations, the formation process of M2C carbides with different
morphologies was discussed. The results suggested that the more-stable morphology
and OR of M2C carbides in the Si-free alloy provide higher hardness and better
post-irradiation properties, as reported previously. Our results indicate the
preferential application of Si-free GH3535 alloy for the low-temperature
components in MSRs.
PMID- 29802336
TI - Intraperitoneal hypertension, a novel risk factor for sepsis-associated
encephalopathy in sepsis mice.
AB - Sepsis associated encephalopathy (SAE), appears often indicates the deterioration
of the sepsis disease and which have high risk of death. Although several
mechanism and hypotheses have been proposed and studied, there is no breakthrough
in the treatment of SAE. We performed a systematic research to evaluate the
effect of intraperitoneal pressure on SAE. A mice model of sepsis was established
by intraperitoneal injection of endotoxin. A total of 48 female BALB/c mouse (30
days old) were randomly divided into a control group (n = 12) and an injection of
endotoxin referred to bacterial lipopolysaccharide (LPS) group (n = 12).
Intraperitoneal hypertension (IAH) referred to IAH group (n = 12), and LPS + IAH
group (n = 12). Following sepsis induction, diagnosis, the brains were analyzed
for both function and ultrastructural morphology.We determined that IAH
exacerbated sepsis induces sepsis-associated encephalopathy when examining low
score of neurological function and more delta wave in EEG, increased neuronal
edema in LPS + IAH group, as well as an escalation of Bax and Cleaved-caspase-3,
Cleaved-parp, and reduction of Bcl-2 and Mfsd2a in LPS + IAH group. Therefore,
IAH can exacerbate and increase incident rate of sepsis-related encephalopathy in
sepsis mice by promoting neuronal apoptosis and destruction of the blood-brain
barrier.
PMID- 29802338
TI - Lack of plakoglobin impairs integrity and wound healing in corneal epithelium in
mice.
AB - We generated cornea-specific plakoglobin (Jup; junctional plakoglobin) knockout
mice in order to investigate the function of plakoglobin on the maintenance of
the homeostasis of corneal epithelium in mice. Cornea epithelium-specific
conditional knockouts (JupCEDelta/CEDelta) (cKO) were obtained by breeding
keratin12-Cre (Krt12-Cre) mice to Jup-floxed (Jupf/f) mice. Light and
transmission electron microscopic and immunohistochemical analyses were carried
out to determine consequence of the loss of plakoglobin on maintaining corneal
epithelium integrity under mechanical stress, e.g., brushing and wound healing.
Immunohistochemistry analysis demonstrated that, although Jup ablation did not
affect BrdU incorporation, basal cell-like cells labeled for keratin 14 were
ectopically present in the supra-basal layer in mutant corneal epithelium,
suggestive of altered cell differentiation. Plakoglobin-deficient epithelium
exhibits increased fragility against mechanical intervention when compared to
wild-type controls under identical treatment. Closure of an epithelial defect was
significantly delayed in JupCEDelta/CEDelta epithelium. Our findings indicate
that the lack of plakoglobin significantly affects corneal epithelium
differentiation, as well as its structural integrity. Plakoglobin is essential to
the maintenance of the structure of the corneal epithelium and its wound healing.
PMID- 29802339
TI - Anti-inflammatory effects of heat-killed Lactobacillus plantarum L-137 on cardiac
and adipose tissue in rats with metabolic syndrome.
AB - The effects of heat-killed Lactobacillus plantarum L-137 (HK L-137) on chronic
inflammation associated with metabolic disorders have remained unknown. We
examined the effects of HK L-137 on cardiac and adipose tissue pathophysiology in
DahlS.Z-Lepr fa /Lepr fa (DS/obese) rats as a model of metabolic syndrome.
DS/obese rats were treated orally with HK L-137 (2 or 75 mg kg-1 day-1) from 9 to
13 weeks of age. HK L-137 attenuated left ventricular (LV) inflammation and
fibrosis as well as adipocyte hypertrophy, inflammation, and up-regulation of
sterol regulatory element-binding protein-1c (SREBP-1c) gene expression in
visceral and subcutaneous adipose tissue, without affecting body weight gain or
hypertension. The low dose of HK L-137 also ameliorated LV diastolic dysfunction,
the increase in subcutaneous fat mass, and insulin resistance as well as
attenuated the down-regulation of Akt phosphorylation in visceral and
subcutaneous adipose tissue, and the elevation of the circulating interleukin-6
concentration. Furthermore, the proportion of regulatory T (Treg) cells among
CD4+ T cells in the spleen was increased by HK L-137. These results suggest that
the anti-inflammatory effects of HK L-137 on the heart and adipose tissue are
related, at least partly, to suppression of systemic inflammation associated with
an increase in splenic Treg cell.
PMID- 29802341
TI - Dental calculus and isotopes provide direct evidence of fish and plant
consumption in Mesolithic Mediterranean.
AB - In this contribution we dismantle the perceived role of marine resources and
plant foods in the subsistence economy of Holocene foragers of the Central
Mediterranean using a combination of dental calculus and stable isotope analyses.
The discovery of fish scales and flesh fragments, starch granules and other plant
and animal micro-debris in the dental calculus of a Mesolithic forager dated to
the end of the 8th millenium BC and buried in the Vlakno Cave on Dugi Otok Island
in the Croatian Archipelago demonstrates that marine resources were regularly
consumed by the individual together with a variety of plant foods. Since previous
stable isotope data in the Eastern Adriatic and the Mediterranean region
emphasises that terrestrial-based resources contributed mainly to Mesolithic
diets in the Mediterranean Basin, our results provide an alternative view of the
dietary habits of Mesolithic foragers in the Mediterranean region based on a
combination of novel methodologies and data.
PMID- 29802343
TI - The complex biology of KIT+ cells in the heart.
PMID- 29802340
TI - Socioeconomic, environmental and lifestyle factors associated with gestational
diabetes mellitus: A matched case-control study in Beijing, China.
AB - Gestational diabetes mellitus (GDM) is a common health problem during pregnancy
and its prevalence is increasing globally, especially in China. The aim of this
study was to investigate socioeconomic, environmental and lifestyle factors
associated with GDM in Chinese women. A matched pair case-control study was
conducted with 276 GDM women and 276 non-GDM women in two hospitals in Beijing,
China. Matched factors include age and pre-pregnancy body mass index (BMI). GDM
subjects were defined based on the International Association of Diabetes Study
Group criteria for GDM. A conditional logistic regression model with backward
stepwise selection was performed to predict the odds ratio (OR) for associated
factors of GDM. The analyses of data show that passive smoking at home (OR =
1.52, p = 0.027), passive smoking in the workplace (OR = 1.71, p = 0.01), and
family history of diabetes in first degree relatives (OR = 3.07, p = 0.004), were
significant factors associated with GDM in Chinese women. These findings may be
utilized as suggestions to decrease the incidence of GDM in Chinese women by
improving the national tobacco control policy and introducing public health
interventions to focus on the social environment of pregnant women in China.
PMID- 29802342
TI - Genome-scale identification of transcription factors that mediate an inflammatory
network during breast cellular transformation.
AB - Transient activation of Src oncoprotein in non-transformed, breast epithelial
cells can initiate an epigenetic switch to the stably transformed state via a
positive feedback loop that involves the inflammatory transcription factors STAT3
and NF-kappaB. Here, we develop an experimental and computational pipeline that
includes 1) a Bayesian network model (AccessTF) that accurately predicts protein
bound DNA sequence motifs based on chromatin accessibility, and 2) a scoring
system (TFScore) that rank-orders transcription factors as candidates for being
important for a biological process. Genetic experiments validate TFScore and
suggest that more than 40 transcription factors contribute to the oncogenic state
in this model. Interestingly, individual depletion of several of these factors
results in similar transcriptional profiles, indicating that a complex and
interconnected transcriptional network promotes a stable oncogenic state. The
combined experimental and computational pipeline represents a general approach to
comprehensively identify transcriptional regulators important for a biological
process.
PMID- 29802344
TI - An individual differences approach to semantic cognition: Divergent effects of
age on representation, retrieval and selection.
AB - Semantic cognition refers to the appropriate use of acquired knowledge about the
world. This requires representation of knowledge as well as control processes
which ensure that currently-relevant aspects of knowledge are retrieved and
selected. Although these abilities can be impaired selectively following brain
damage, the relationship between them in healthy individuals is unclear. It is
also commonly assumed that semantic cognition is preserved in later life, because
older people have greater reserves of knowledge. However, this claim overlooks
the possibility of decline in semantic control processes. Here, semantic
cognition was assessed in 100 young and older adults. Despite having a broader
knowledge base, older people showed specific impairments in semantic control,
performing more poorly than young people when selecting among competing semantic
representations. Conversely, they showed preserved controlled retrieval of less
salient information from the semantic store. Breadth of semantic knowledge was
positively correlated with controlled retrieval but was unrelated to semantic
selection ability, which was instead correlated with non-semantic executive
function. These findings indicate that three distinct elements contribute to
semantic cognition: semantic representations that accumulate throughout the
lifespan, processes for controlled retrieval of less salient semantic
information, which appear age-invariant, and mechanisms for selecting task
relevant aspects of semantic knowledge, which decline with age and may relate
more closely to domain-general executive control.
PMID- 29802346
TI - Vibrational and electrical properties of Cu2-xTe films: experimental data and
first principle calculations.
AB - Vibrational and electrical properties of sputtered films of the copper telluride
system are presented. Despite of its technological importance in photovoltaics,
the fundamental properties of copper tellurides are poorly understood. Films were
deposited at 200 degrees C by rf sputtering from targets containing mixtures of
copper and tellurium powders at nominal concentrations of Cu1.25Te, Cu1.5Te,
Cu1.75Te and Cu2Te. Remarkably for the copper telluride system, it was possible
to obtain single-phase vulcanite (CuTe) from the Cu1.25Te target. Two-phase
mixtures of rickardite (Cu7Te5) and weissite (Cu2-xTe) were achieved for other
cases. Raman spectra were obtained using two laser lines: 633 and 488 nm. Density
functional theory was employed to calculate the phonon dispersion curves and
density of states for vulcanite. The Raman bands were in good correspondence with
the calculated frequencies. In general, the Raman spectra consisted of high
intensity totally symmetric modes superimposed on monotonically decaying signals.
These were explained in terms of three contributing phenomena: convolution of
vibrational normal modes, phonon-coupled charge density fluctuations and time
varying local-field contributions to the electric susceptibility. Studies on the
conductivity, mobility and carrier concentration were carried out by the Van der
Pauw method. Micro/nano scale surface potential studies were performed through
Kelvin probe force microscopy mapping.
PMID- 29802347
TI - A surface topography analysis of the curling stone curl mechanism.
AB - The curling motion of the curling stone on ice is well-known: if a small
clockwise rotational velocity is imposed to the stone when it is released, in
addition to the linear propagation velocity, the stone will curl to the right. A
similar curl to the left is obtained by counter-clockwise rotation. This effect
is widely used in the game to reach spots behind the already thrown stones, and
the rotation also causes the stone to propagate in a more predictable fashion.
Here, we report on novel experimental results which support one of the proposed
theories to account for the curling motion of the stone, known as the "scratch
guiding theory". By directly scanning the ice surface with a white light
interferometer before and after each slide, we observed cross-scratches caused by
the leading and trailing parts of the circular contact band of the linearly
moving and rotating stone. By analyzing these scratches and a typical curling
stone trajectory, we show that during most of the slide, the transverse force
responsible for the sideways displacement of the stone is linearly proportional
to the angle between these cross-scratches.
PMID- 29802345
TI - Identification of rare de novo epigenetic variations in congenital disorders.
AB - Certain human traits such as neurodevelopmental disorders (NDs) and congenital
anomalies (CAs) are believed to be primarily genetic in origin. However, even
after whole-genome sequencing (WGS), a substantial fraction of such disorders
remain unexplained. We hypothesize that some cases of ND-CA are caused by
aberrant DNA methylation leading to dysregulated genome function. Comparing DNA
methylation profiles from 489 individuals with ND-CAs against 1534 controls, we
identify epivariations as a frequent occurrence in the human genome. De novo
epivariations are significantly enriched in cases, while RNAseq analysis shows
that epivariations often have an impact on gene expression comparable to loss-of
function mutations. Additionally, we detect and replicate an enrichment of rare
sequence mutations overlapping CTCF binding sites close to epivariations,
providing a rationale for interpreting non-coding variation. We propose that
epivariations contribute to the pathogenesis of some patients with unexplained ND
CAs, and as such likely have diagnostic relevance.
PMID- 29802351
TI - Ciliary signalling in cancer.
AB - Although tumours initiate from oncogenic changes in a cancer cell, subsequent
tumour progression and therapeutic response depend on interactions between the
cancer cells and the tumour microenvironment (TME). The primary monocilium, or
cilium, provides a spatially localized platform for signalling by Hedgehog,
Notch, WNT and some receptor tyrosine kinase pathways and mechanosensation.
Changes in ciliation of cancer cells and/or cells of the TME during tumour
development enforce asymmetric intercellular signalling in the TME. Growing
evidence indicates that some oncogenic signalling pathways as well as some
targeted anticancer therapies induce ciliation, while others repress it. The
links between the genomic profile of cancer cells, drug treatment and ciliary
signalling in the TME likely affect tumour growth and therapeutic response.
PMID- 29802352
TI - Inverted architecture.
PMID- 29802348
TI - Enhanced ZnR/GPR39 Activity in Breast Cancer, an Alternative Trigger of Signaling
Leading to Cell Growth.
AB - Acquired resistance to the estrogen receptor (ER) antagonist tamoxifen, is a
major obstacle in treatment of breast cancer. Changes in Zn2+ accumulation and
distribution are associated with tamoxifen-resistance and breast cancer
progression. The Zn2+-sensing G-protein coupled receptor, ZnR/GPR39, triggers
signaling leading to cell growth, but a role for this receptor in breast cancer
in unknown. Using fluorescence imaging, we found Zn2+-dependent Ca2+ release,
mediated by ZnR/GPR39 activity, in TAMR tamoxifen-resistant cells derived from
MCF-7 cells, but not in ER-expressing MCF-7 or T47D cells. Furthermore, ZnR/GPR39
signaling was monitored in ER negative BT20, MDA-MB-453 and JIMT-1 cells.
Expression of ZnR/GPR39 was increased in grade 3 human breast cancer biopsies
compared to grade 2. Consistently, analysis of two breast cancer patient cohorts,
GDS4057 and TCGA, indicated that in ER-negative tumors higher ZnR/GPR39 mRNA
levels are associated with more aggressive tumors. Activation of ZnR/GPR39 in
TAMR cells triggered MAPK, mTOR and PI3K signaling. Importantly, enhanced cell
growth and invasiveness was observed in the ER negative breast cancer cells,
TAMR, MDA-MB-453 and BT20 cells but not in the ER expressing MCF-7 cells. Thus,
we suggest ZnR/GPR39 as a potential therapeutic target for combination treatment
in breast cancer, particularly relevant in ER negative tumors.
PMID- 29802350
TI - Serum Autotaxin Is a Useful Disease Progression Marker in Patients with Primary
Biliary Cholangitis.
AB - Autotaxin (ATX) is a secreted enzyme metabolized by liver sinusoidal endothelial
cells that has been associated with liver fibrosis. We evaluated serum ATX values
in 128 treatment-naive, histologically assessed primary biliary cholangitis (PBC)
patients and 80 healthy controls for comparisons of clinical parameters in a case
control study. The median ATX concentrations in controls and PBC patients of
Nakanuma's stage I, II, III, and IV were 0.70, 0.80, 0.87, 1.03, and 1.70 mg/L,
respectively, which increased significantly with disease stage (r = 0.53, P <
0.0001) as confirmed by Scheuer's classification (r = 0.43, P < 0.0001). ATX
correlated with Wisteria floribunda agglutinin-positive Mac-2 binding protein
(M2BPGi) (r = 0.51, P < 0.0001) and fibrosis index based on four factors (FIB-4)
index (r = 0.51, P < 0.0001). While ALP and M2BPGi levels had decreased
significantly (both P < 0.001) by 12 months of ursodeoxycholic acid treatment,
ATX had not (0.95 to 0.96 mg/L) (P = 0.07). We observed in a longitudinal study
that ATX increased significantly (P < 0.00001) over 18 years in an independent
group of 29 patients. Patients succumbing to disease-related death showed a
significantly higher ATX increase rate (0.05 mg/L/year) than did survivors (0.02
mg/L/year) (P < 0.01). ATX therefore appears useful for assessing disease stage
and prognosis in PBC.
PMID- 29802349
TI - Transgenerational effects of maternal sexual interactions in seed beetles.
AB - Mating often bears large costs to females, especially in species with high levels
of sexual conflict over mating rates. Given the direct costs to females
associated with multiple mating, which include reductions in lifespan and
lifetime reproductive success, past research focused on identifying potential
indirect benefits (through increases in offspring fitness) that females may
accrue. Far less attention has, however, been devoted to understanding how costs
of sexual interactions to females may extend across generations. Hence, little is
known about the transgenerational implications of variation in mating rates, or
the net consequences of maternal sexual activities across generations. Using the
seed beetle, Callosobruchus maculatus, a model system for the study of sexual
conflict, we investigate the effects of mating with multiple males versus a
single male, and tease apart effects due to sexual harassment and those due to
mating per se, over three generations. A multigenerational analysis indicated
that females that were exposed to ongoing sexual harassment and who also were
permitted to mate with multiple males showed no difference in net fitness
compared to females that mated just once without ongoing harassment.
Intriguingly, however, females that were continually harassed, but permitted to
mate just once, suffered a severe decline in net fitness compared to females that
were singly (not harassed) or multiply mated (harassed, but potentially gaining
benefits via mating with multiple males). Overall, the enhanced fitness in
multiply mated compared to harassed females may indicate that multiple mating
confers transgenerational benefits. These benefits may counteract, but do not
exceed (i.e., we found no difference between singly and multiply mated females),
the large transgenerational costs of harassment. Our study highlights the
importance of examining transgenerational effects from an inclusive (looking at
both indirect benefits but also costs) perspective, and the need to investigate
transgenerational effects across several generations if we are to fully
understand the consequences of sexual interactions, sexual conflict evolution,
and the interplay of sexual conflict and multi-generational costs and benefits.
PMID- 29802354
TI - Cerebral small vessel disease: from a focal to a global perspective.
AB - Cerebral small vessel disease (SVD) is commonly observed on neuroimaging among
elderly individuals and is recognized as a major vascular contributor to
dementia, cognitive decline, gait impairment, mood disturbance and stroke.
However, clinical symptoms are often highly inconsistent in nature and severity
among patients with similar degrees of SVD on brain imaging. Here, we provide a
new framework based on new advances in structural and functional neuroimaging
that aims to explain the remarkable clinical variation in SVD. First, we discuss
the heterogeneous pathology present in SVD lesions despite an identical
appearance on imaging and the perilesional and remote effects of these lesions.
We review effects of SVD on structural and functional connectivity in the brain,
and we discuss how network disruption by SVD can lead to clinical deficits. We
address reserve and compensatory mechanisms in SVD and discuss the part played by
other age-related pathologies. Finally, we conclude that SVD should be considered
a global rather than a focal disease, as the classically recognized focal lesions
affect remote brain structures and structural and functional network connections.
The large variability in clinical symptoms among patients with SVD can probably
be understood by taking into account the heterogeneity of SVD lesions, the
effects of SVD beyond the focal lesions, the contribution of neurodegenerative
pathologies other than SVD, and the interaction with reserve mechanisms and
compensatory mechanisms.
PMID- 29802353
TI - Effects of Decade Long Freezing Storage on Adipose Derived Stem Cells
Functionality.
AB - Over the last decade and half, the optimization of cryopreservation for adipose
tissue derived stromal/stem cells (ASCs) especially in determining the optimal
combination of cryoprotectant type, cooling rate, and thawing rate have been
extensively studied. In this study, we examined the functionality of ASCs that
have been frozen-stored for more than 10 years denoted as long-term freezing,
frozen within the last 3 to 7 years denoted as short-term freezing and compared
their response with fresh ASCs. The mean post-thaw viability for long-term frozen
group was 78% whereas for short-term frozen group 79% with no significant
differences between the two groups. The flow cytometry evaluation of stromal
surface markers, CD29, CD90, CD105, CD44, and CD73 indicated the expression
(above 95%) in passages P1-P4 in all of the frozen-thawed ASC groups and fresh
ASCs whereas the hematopoietic markers CD31, CD34, CD45, and CD146 were expressed
extremely low (below 2%) within both the frozen-thawed and fresh cell groups.
Quantitative real time polymerase chain reaction (qPCR) analysis revealed some
differences between the osteogenic gene expression of long-term frozen group in
comparison to fresh ASCs. Intriguingly, one group of cells from the short-term
frozen group exhibited remarkably higher expression of osteogenic genes in
comparison to fresh ASCs. The adipogenic differentiation potential remained
virtually unchanged between all of the frozen-thawed groups and the fresh ASCs.
Long-term cryopreservation of ASCs, in general, has a somewhat negative impact on
the osteogenic potential of ASCs, especially as it relates to the decrease in
osteopontin gene expression but not significantly so with respect to RUNX2 and
osteonectin gene expressions. However, the adipogenic potential, post thaw
viability, and immunophenotype characteristics remain relatively intact between
all the groups.
PMID- 29802355
TI - Rapid in situ assessment of Cu-ion mediated effects and antibacterial efficacy of
copper surfaces.
AB - Release of metal ions from metal-based surfaces has been considered one of the
main drivers of their antimicrobial activity. Here we describe a method that
enables parallel assessment of metal ion release from solid metallic surfaces and
antimicrobial efficacy of these surfaces in a short time period. The protocol
involves placement of a small volume of bioluminescent bacteria onto the tested
surface and direct measurement of bioluminescence at various time points. In this
study, two recombinant Escherichia coli strains, one expressing bioluminescence
constitutively and applicable for general antimicrobial testing, and the other
induced by Cu ions, were selected. Decrease in bioluminescence of constitutive E.
coli on the surfaces showed a good correlation with the decrease in bacterial
viability. Response of Cu-inducible E. coli showed a correlation with Cu content
in the tested surfaces but not with Cu dissolution suggesting the role of direct
bacteria-surface contact in Cu ion-driven antibacterial effects. In summary, the
presented protocol enables the analysis of microbial toxicity and bioavailability
of surface-released metal ions directly on solid surfaces within 30-60 min.
Although optimized for copper and copper alloy surfaces and E. coli, the method
can be extended to other types of metallic surfaces and bacterial strains.
PMID- 29802356
TI - Engineered Healing of Avascular Meniscus Tears by Stem Cell Recruitment.
AB - Meniscus injuries are extremely common with approximately one million patients
undergoing surgical treatment annually in the U.S. alone. Upon injury, the outer
zone of the meniscus can be repaired and expected to functionally heal but tears
in the inner avascular region are unlikely to heal. To date, no regenerative
therapy has been proven successful for consistently promoting healing in inner
zone meniscus tears. Here, we show that controlled applications of connective
tissue growth factor (CTGF) and transforming growth factor beta 3 (TGFbeta3) can
induce seamless healing of avascular meniscus tears by inducing recruitment and
step-wise differentiation of synovial mesenchymal stem/progenitor cells (syMSCs).
A short-term release of CTGF, a selected chemotactic and profibrogenic cue,
successfully recruited syMSCs into the incision site and formed an integrated
fibrous matrix. Sustain-released TGFbeta3 then led to a remodeling of the
intermediate fibrous matrix into fibrocartilaginous matrix, fully integrating
incised meniscal tissues with improved functional properties. Our data may
represent a novel clinically relevant strategy to improve healing of avascular
meniscus tears by recruiting endogenous stem/progenitor cells.
PMID- 29802358
TI - IDO expression in breast cancer: an assessment of 281 primary and metastatic
cases with comparison to PD-L1.
AB - The immune inhibitory enzyme indoleamine 2,3-dioxygenase (IDO) has been
associated with immune evasion in numerous malignancies and may mark these
cancers as susceptible to anti-IDO therapies. We herein address IDO expression in
breast cancers, examine the relationship between IDO and PD-L1, and investigate
IDO fidelity across breast cancer primaries and metastases. IDO and PD-L1
expression was assessed in tissue microarrays containing 242 invasive primary
breast cancers, 20 nodal metastases, and 19 distant metastases. IDO and PD-L1
were scored by extent in the tumor cells and immune infiltrate. Tumor IDO
staining was seen in 14% of primaries including 38% of triple-negative cancers.
IDO immune cell staining was seen in 14% of primaries and 29% of triple-negative
cancers. Tumoral IDO and PD-L1 co-expression was seen in 8% of primaries,
including 70% of tumoral PD-L1-positive cases. Immune IDO and PD-L1 co-expression
was identified in 14% of primaries, including 48% of immune PD-L1-positive cases.
Tumoral and immune cell IDO was conserved in 94% of matched primary/metastasis.
In summary, IDO expression is common among high-grade, triple-negative breast
cancers and is frequently associated with PD-L1 co-expression, suggesting that
IDO might be a mechanism of anti-PD-1/PD-L1 immunotherapy resistance and that
dual therapy may be of utility. Tumoral and immune cell IDO expression shows
fidelity between primary and metastatic sites in treatment-naive cancers, arguing
against IDO as an independent driver for metastatic spread. Clinical trials are
needed to assess the efficacy of IDO inhibition relative to IDO expression, as
well as its possible role in combination with anti-PD-1/PD-L1 immunotherapy.
PMID- 29802359
TI - Duplications of KIAA1549 and BRAF screening by Droplet Digital PCR from formalin
fixed paraffin-embedded DNA is an accurate alternative for KIAA1549-BRAF fusion
detection in pilocytic astrocytomas.
AB - Pilocytic astrocytomas represent the most common glioma subtype in young patients
and account for 5.4% of all gliomas. They are characterized by alterations in the
RAS-MAP kinase pathway, the most frequent being a tandem duplication on
chromosome 7q34 involving the BRAF gene, resulting in oncogenic BRAF fusion
proteins. BRAF fusion involving the KIAA1549 gene is a hallmark of pilocytic
astrocytoma, but it has also been recorded in rare cases of gangliogliomas,
1p/19q co-deleted oligodendroglial tumors, and it is also a common feature of
disseminated oligodendroglial-like leptomeningeal neoplasm. In some difficult
cases, evidence for KIAA1549-BRAF fusion is of utmost importance for the
diagnosis. Moreover, because the KIAA1549-BRAF fusion constitutively activates
the MAP kinase pathway, it represents a target for drugs such as MEK inhibitors,
and therefore, the detection of this genetic abnormality is highly relevant in
the context of clinical trials applying such new approaches. In the present
study, we aimed to use the high sensitivity of Droplet Digital PCR (DDPCRTM) to
predict KIAA1549-BRAF fusion on very small amounts of formalin-fixed paraffin
embedded tissue in routine practice. Therefore, we analyzed a training cohort of
55 pilocytic astrocytomas in which the KIAA1549-BRAF fusion status was known by
RNA sequencing used as our gold standard technique. Then, we analyzed a
prospective cohort of 40 pilocytic astrocytomas, 27 neuroepithelial tumors
remaining difficult to classify (pilocytic astrocytoma versus ganglioglioma or
diffuse glioma), 15 dysembryoplastic neuroepithelial tumors, and 18
gangliogliomas. We could demonstrate the usefulness and high accuracy (100%
sensitivity and specificity when compared to RNA sequencing) of DDPCRTM to assess
the KIAA1549-BRAF fusion from very low amounts of DNA isolated from formalin
fixed paraffin-embedded specimens. BRAF duplication is both necessary and
sufficient to predict this fusion in most cases and we propose that this single
analysis could be used in routine practice to save time, money, and precious
tissue.
PMID- 29802357
TI - Robustness of STDP to spike timing jitter.
AB - In Hebbian plasticity, neural circuits adjust their synaptic weights depending on
patterned firing. Spike-timing-dependent plasticity (STDP), a synaptic Hebbian
learning rule, relies on the order and timing of the paired activities in pre-
and postsynaptic neurons. Classically, in ex vivo experiments, STDP is assessed
with deterministic (constant) spike timings and time intervals between successive
pairings, thus exhibiting a regularity that differs from biological variability.
Hence, STDP emergence from noisy inputs as occurring in in vivo-like firing
remains unresolved. Here, we used noisy STDP pairings where the spike timing
and/or interval between pairings were jittered. We explored with
electrophysiology and mathematical modeling, the impact of jitter on three forms
of STDP at corticostriatal synapses: NMDAR-LTP, endocannabinoid-LTD and
endocannabinoid-LTP. We found that NMDAR-LTP was highly fragile to jitter,
whereas endocannabinoid-plasticity appeared more resistant. When the frequency or
number of pairings was increased, NMDAR-LTP became more robust and could be
expressed despite strong jittering. Our results identify endocannabinoid
plasticity as a robust form of STDP, whereas the sensitivity to jitter of NMDAR
LTP varies with activity frequency. This provides new insights into the
mechanisms at play during the different phases of learning and memory and the
emergence of Hebbian plasticity in in vivo-like activity.
PMID- 29802360
TI - Low risk of lymph node metastasis in 495 early gastric cardiac carcinomas: a
multicenter clinicopathologic study of 2101 radical gastrectomies for early
gastric carcinoma.
AB - Clinical decision-making on endoscopic vs. surgical resection of early gastric
cardiac carcinoma remains challenging because of uncertainty on risk of lymph
node metastasis. The aim of this multicenter study was to investigate risk
factors of lymph node metastasis in early gastric cardiac carcinoma. Guided with
the World Health Organization diagnostic criteria, we studied 2101 radical
resections of early gastric carcinoma for risk factors associated with lymph node
metastasis, including tumor location, gross pattern, size, histology type,
differentiation, invasion depth, lymphovascular, and perineural invasion. We
found that the risk of lymph node metastasis was significantly lower in early
gastric cardiac carcinomas (6.7%, 33/495), compared with early gastric non
cardiac carcinomas (17.1%, 275/1606) (p < 0.0001). In early gastric cardiac
carcinoma, no lymph node metastasis was identified in intramucosal carcinoma
(0/193) and uncommon types of carcinomas (0/24), irrespective of the gross
pattern, size, histologic type, differentiation, and invasion depth. Ulceration,
size > 3 cm, and submucosal invasion were not significant independent risk
factors for lymph node metastasis. In 33 early gastric cardiac carcinomas with
lymph node metastasis, either lymphovascular invasion or poor differentiation was
present in 16 (48.5%) cases and together in six cases. By multivariate analysis,
independent risk factors of lymph node metastasis in early gastric cardiac
carcinoma included lymphovascular invasion (Odds Ratio (OR): 7.6, 95% Confidence
Interval (CI): 2.8-20.2) (p < 0.0001) and poor differentiation (OR: 6.0, 95% CI:
1.4-25.9) (p < 0.05). In conclusion, lymph node metastasis was not identified in
early gastric cardiac intramucosal carcinoma and uncommon types of carcinoma. The
risk of lymph node metastasis was also significantly lower in tumors with
submucosal invasion, especially for cases without lymphovascular invasion or poor
differentiation. These results lend support to the role of endoscopic therapy in
the treatment of patients with early gastric cardiac carcinoma.
PMID- 29802361
TI - The use of Ki-67 labeling index to grade pulmonary well-differentiated
neuroendocrine neoplasms: current best evidence.
AB - Although Ki-67 labeling index (Ki-67%) is not a diagnostic or grading criterion
in the World Health Organization classification of pulmonary carcinoid tumor,
oncologists often request this test. A survey was administered at a North
American Society for Neuroendocrine Tumors meeting to understand how Ki-67% is
used in oncologic practices. A systematic literature review was performed to
gather best evidence regarding the use of Ki-67%. Consecutive pulmonary
carcinoids were stratified into pulmonary typical carcinoids with Ki-67% <5%
(group A, n = 187), typical carcinoids with Ki-67% >=5% (group B, n = 38) and
atypical carcinoids irrespective of Ki-67% (group C, n = 31). Overall survival,
progression-free survival, recurrence proportions and time to recurrence were
compared, by group, using the log-rank test, chi-square statistics and ANOVA,
respectively. Our survey confirmed that Ki-67% is frequently used by specialists
caring for these patients. Ki-67% of 1-7% significantly correlated with overall
survival in the literature but we found no information about Ki-67% cut-off
values that would accurately distinguish pulmonary typical from atypical
carcinoids or estimate the prognosis of patients stratified by World Health
Organization diagnosis and Ki-67% cut-off. Overall survival was significantly
different in our 3 patient groups (p < 0.001), with survival probabilities
decreasing from groups A to C. Progression-free survival was significantly longer
in group A than B (p < 0.007). Our results support the concept that by combining
World Health Organization diagnosis and Ki-67%, pulmonary carcinoids can be
stratified into 3 grades: G1 (typical carcinoids with Ki-67% <5), G2 (typical
carcinoids with Ki-67% >=5%) and G3 (atypical carcinoids) with different
prognoses.
PMID- 29802363
TI - Highly Responsive Blue Light Sensor with Amorphous Indium-Zinc-Oxide Thin-Film
Transistor based Architecture.
AB - A single layer of amorphous InZnO is chosen as the channel material for a thin
film transistor (TFT)-based driver and sensing layer for a blue-light sensor,
respectively, with a completely compatible process integrated into in-cell
embedded photo sensor architecture. The photo sensor exhibits a high optical
responsivity (1280 A/W) and excellent signal to noise ratio (~105) under the blue
light illumination. Afterwards, the detail studies and important issues about the
sensing and material characteristics of a-IZO thin film in the TFT sensor are
well discussed. The results suggest that the numbers of the deep, neutral oxygen
vacancy are the key factors for carrier generation under illumination. In
addition, a positive gate pulse is applied on the devices to eliminate persistent
photoconductivity in order to ensure the recover ability for the photo sensor
application. The practical concepts of a sensor circuit, which can be integrated
on RGB pixel with interactive display, are also proposed on the basis of photo
sensor TFT.
PMID- 29802364
TI - Observing Kelvin-Helmholtz instability in solar blowout jet.
AB - Kelvin-Helmholtz instability (KHI) is a basic physical process in fluids and
magnetized plasmas, with applications successfully modelling e.g. exponentially
growing instabilities observed at magnetospheric and heliospheric boundaries, in
the solar or Earth's atmosphere and within astrophysical jets. Here, we report
the discovery of the KHI in solar blowout jets and analyse the detailed evolution
by employing high-resolution data from the Interface Region Imaging Spectrograph
(IRIS) satellite launched in 2013. The particular jet we focus on is rooted in
the surrounding penumbra of the main negative polarity sunspot of Active Region
12365, where the main body of the jet is a super-penumbral structure. At its
maximum, the jet has a length of 90 Mm, a width of 19.7 Mm, and its density is
about 40 times higher than its surroundings. During the evolution of the jet, a
cavity appears near the base of the jet, and bi-directional flows originated from
the top and bottom of the cavity start to develop, indicating that magnetic
reconnection takes place around the cavity. Two upward flows pass along the left
boundary of the jet successively. Next, KHI develops due to a strong velocity
shear (~204 km s-1) between these two flows, and subsequently the smooth left
boundary exhibits a sawtooth pattern, evidencing the onset of the instability.
PMID- 29802365
TI - Advances in the research and development of therapeutic antibodies against the
Zika virus.
PMID- 29802362
TI - Inferior olive CRF plays a role in motor performance under challenging
conditions.
AB - A well-coordinated stress response is pivotal for an organisms' survival.
Corticotropin-releasing factor (CRF) is an essential component of the emotional
and neuroendocrine stress response, however its role in cerebellar functions is
poorly understood. Here, we explore the role of CRF in the inferior olive (IO)
nucleus, which is a major source of input to the cerebellum. Using a CRF reporter
line, in situ hybridization and immunohistochemistry, we demonstrate very high
levels of the CRF neuropeptide expression throughout the IO sub-regions. By
generating and characterizing IO-specific CRF knockdown and partial IO-CRF
knockout, we demonstrate that reduction in IO-CRF levels is sufficient to induce
motor deficiency under challenging conditions, irrespective of basal locomotion
or anxiety-like behavior. Furthermore, we show that chronic social defeat stress
induces a persistent decrease in IO-CRF levels, and that IO-CRF mRNA is
upregulated shortly following stressful situations that demand a complex motor
response. Taken together our results indicate a role for IO-CRF in challenge
induced motor responses.
PMID- 29802366
TI - (2R,6R)-Hydroxynorketamine is not essential for the antidepressant actions of (R)
ketamine in mice.
AB - (R,S)-Ketamine has rapid and sustained antidepressant effects in depressed
patients. Although the metabolism of (R,S)-ketamine to (2 R,6 R)
hydroxynorketamine (HNK), a metabolite of (R)-ketamine, has been reported to be
essential for its antidepressant effects, recent evidence suggests otherwise. The
present study investigated the role of the metabolism of (R)-ketamine to (2 R,6
R)-HNK in the antidepressant actions of (R)-ketamine. Antidepressant effects were
evaluated using the forced swimming test in the lipopolysaccharide (LPS)-induced
inflammation model of mice and the tail suspension test in naive mice. To prevent
the metabolism of (R)-ketamine to (2 R,6 R)-HNK, mice were pretreated with
cytochrome P450 (CYP) inhibitors. The concentrations of (R)-ketamine, (R)
norketamine, and (2 R,6 R)-HNK in plasma, brain, and cerebrospinal fluid (CSF)
samples were determined using enantioselective liquid chromatography-tandem mass
spectrometry. The concentrations of (R)-norketamine and (2 R,6 R)-HNK in plasma,
brain, and CSF samples after administration of (R)-norketamine (10 mg/kg) and (2
R,6 R)-HNK (10 mg/kg), respectively, were higher than those generated after
administration of (R)-ketamine (10 mg/kg). Nonetheless, while (R)-ketamine
attenuated, neither (R)-norketamine nor (2 R,6 R)-HNK significantly altered
immobility times of LPS-treated mice. Treatment with CYP inhibitors prior to
administration of (R)-ketamine increased the plasma levels of (R)-ketamine, while
generation of (2 R,6 R)-HNK was almost completely blocked. (R)-Ketamine exerted
the antidepressant effects at a lower dose in the presence of CYP inhibitors than
in their absence, which is consistent with exposure levels of (R)-ketamine but
not (2 R,6 R)-HNK. These results indicate that metabolism to (2 R,6 R)-HNK is not
necessary for the antidepressant effects of (R)-ketamine and that unmetabolized
(R)-ketamine itself may be responsible for its antidepressant actions.
PMID- 29802370
TI - Osteoglycin - linking bone and energy homeostasis.
PMID- 29802367
TI - Differences in the subjective and motivational properties of alcohol across
alcohol use severity: application of a novel translational human laboratory
paradigm.
AB - The Allostatic Model proposes that Alcohol Use Disorder (AUD) is associated with
a transition in the motivational structure of alcohol drinking: from positive
reinforcement in early-stage drinking to negative reinforcement in late-stage
dependence. However, direct empirical support for this preclinical model from
human experiments is limited. This study tests predictions derived from the
Allostatic Model in humans. Specifically, this study tested whether alcohol use
severity (1) independently predicts subjective responses to alcohol (SR;
comprised of stimulation/hedonia, negative affect, sedation and craving domains),
and alcohol self-administration and 2) moderates associations between domains of
SR and alcohol self-administration. Heavy drinking participants ranging in
severity of alcohol use and problems (N = 67) completed an intravenous alcohol
administration paradigm combining an alcohol challenge (target BrAC = 60 mg%),
with progressive ratio self-administration. Alcohol use severity was associated
with greater baseline negative affect, sedation, and craving but did not predict
changes in any SR domain during the alcohol challenge. Alcohol use severity also
predicted greater self-administration. Craving during the alcohol challenge
strongly predicted self-administration and sedation predicted lower self
administration. Neither stimulation, nor negative affect predicted self
administration. This study represents a novel approach to translating preclinical
neuroscientific theories to the human laboratory. As expected, craving predicted
self-administration and sedation was protective. Contrary to the predictions of
the Allostatic Model, however, these results were inconsistent with a transition
from positively to negatively reinforced alcohol consumption in severe AUD.
Future studies that assess negative reinforcement in the context of an acute
stressor are warranted.
PMID- 29802368
TI - Long-Term Administration of Conjugated Estrogen and Bazedoxifene Decreased Murine
Fecal beta-Glucuronidase Activity Without Impacting Overall Microbiome Community.
AB - Conjugated estrogens (CE) and Bazedoxifene (BZA) combination is used to alleviate
menopause-associated symptoms in women. CE+BZA undergo first-pass-metabolism in
the liver and deconjugation by gut microbiome via beta-glucuronidase (GUS) enzyme
inside the distal gut. To date, the impact of long-term exposure to CE+BZA on the
gut microbiome or GUS activity has not been examined. Our study using an
ovariectomized mouse model showed that CE+BZA administration did not affect the
overall cecal or fecal microbiome community except that it decreased the
abundance of Akkermansia, which was identified as a fecal biomarker correlated
with weight gain. The fecal GUS activity was reduced significantly and was
positively correlated with the abundance of Lactobacillaceae in the fecal
microbiome. We further confirmed in Escherichia coli K12 and Lactobacillus
gasseri ADH that Tamoxifen-, 4-hydroxy-Tamoxifen- and Estradiol-Glucuronides
competed for GUS activity. Our study for the first time demonstrated that long
term estrogen supplementation directly modulated gut microbial GUS activity. Our
findings implicate that long-term estrogen supplementation impacts composition of
gut microbiota and microbial activity, which affects estrogen metabolism in the
gut. Thus, it is possible to manipulate such activity to improve the efficacy and
safety of long-term administered estrogens for postmenopausal women or breast
cancer patients.
PMID- 29802369
TI - Effects of Low-level Brodifacoum Exposure on the Feline Immune Response.
AB - Anticoagulant rodenticides have been implicated as a potential inciting factor in
the development of mange in wild felids, but a causative association between
anticoagulant rodenticide exposure and immune suppression has not been
established. Specific-pathogen-free domestic cats were exposed to brodifacoum
over a 6-week period to determine whether chronic, low-level exposure altered the
feline immune response. Cats were vaccinated with irrelevant antigens at
different points during the course of the experiment to assess recall and direct
immune responses. Measures of immune response included delayed-type
hypersensitivity tests and cell proliferation assays. IgE and antigen-specific
antibodies were quantified via ELISA assays, and cytokine induction following
exposure to vaccine antigens was also analyzed. While cats had marked levels of
brodifacoum present in blood during the study, no cats developed coagulopathies
or hematologic abnormalities. Brodifacoum-exposed cats had transient,
statistically significant decreases in the production of certain cytokines, but
all other measures of immune function remained unaffected throughout the study
period. This study indicates that cats may be more resistant to clinical effects
of brodifacoum exposure than other species and suggests that the gross impacts of
environmentally realistic brodifacoum exposure on humoral and cell-mediated
immunity against foreign antigen exposures in domestic cats are minimal.
PMID- 29802372
TI - Absence of dynamic strain aging in an additively manufactured nickel-base
superalloy.
AB - Dynamic strain aging (DSA), observed macroscopically as serrated plastic flow,
has long been seen in nickel-base superalloys when plastically deformed at
elevated temperatures. Here we report the absence of DSA in Inconel 625 made by
additive manufacturing (AM) at temperatures and strain rates where DSA is present
in its conventionally processed counterpart. This absence is attributed to the
unique AM microstructure of finely dispersed secondary phases (carbides, N-rich
phases, and Laves phase) and textured grains. Based on experimental observations,
we propose a dislocation-arrest model to elucidate the criterion for DSA to occur
or to be absent as a competition between dislocation pipe diffusion and carbide
carbon reactions. With in situ neutron diffraction studies of lattice strain
evolution, our findings provide a new perspective for mesoscale understanding of
dislocation-solute interactions and their impact on work-hardening behaviors in
high-temperature alloys, and have important implications for tailoring
thermomechanical properties by microstructure control via AM.
PMID- 29802371
TI - Three dimensional two-photon brain imaging in freely moving mice using a
miniature fiber coupled microscope with active axial-scanning.
AB - We present a miniature head mounted two-photon fiber-coupled microscope (2P-FCM)
for neuronal imaging with active axial focusing enabled using a miniature
electrowetting lens. We show three-dimensional two-photon imaging of neuronal
structure and record neuronal activity from GCaMP6s fluorescence from multiple
focal planes in a freely-moving mouse. Two-color simultaneous imaging of GFP and
tdTomato fluorescence is also demonstrated. Additionally, dynamic control of the
axial scanning of the electrowetting lens allows tilting of the focal plane
enabling neurons in multiple depths to be imaged in a single plane. Two-photon
imaging allows increased penetration depth in tissue yielding a working distance
of 450 MUm with an additional 180 MUm of active axial focusing. The objective NA
is 0.45 with a lateral resolution of 1.8 MUm, an axial resolution of 10 MUm, and
a field-of-view of 240 MUm diameter. The 2P-FCM has a weight of only ~2.5 g and
is capable of repeatable and stable head-attachment. The 2P-FCM with dynamic
axial scanning provides a new capability to record from functionally distinct
neuronal layers, opening new opportunities in neuroscience research.
PMID- 29802373
TI - Effect of straw mulch and seeding rate on the harvest index, yield and water use
efficiency of winter wheat.
AB - Straw mulching has been used to improve water use efficiency (WUE, yield per unit
evapotranspiration) in the Loess Plateau of China, but the seeding rate may need
to be adjusted from conventional practice. We tested the three-way interaction
between seeding rate, soil management (conventional tillage and straw mulching)
and seasons. Wheat yield ranged from 2851 to 6981 kg ha-1 and WUE from 5.3 to
16.2 kg ha-1 mm-1. Generally, soil water storage was higher and soil temperature
was lower under straw mulching than under conventional practice.
Evapotranspiration was higher under straw mulching. Yield was significantly
affected by the interaction between straw mulching and season. Conventional
practice showed significantly higher mean harvest index (HI), yield, and WUE than
straw mulching in favorable seasons. However, yield was significantly higher
under mulching than under conventional tillage in very dry season. Seeding rate
had no effect on yield, but low seeding rate increased HI compared to high
seeding rate. It is concluded that low seeding rate would be suitable for straw
mulching.
PMID- 29802374
TI - Effect of PAK1 gene silencing on proliferation and apoptosis in hepatocellular
carcinoma cell lines MHCC97-H and HepG2 and cells in xenograft tumor.
AB - This study intends to explore the effect of the PAK1 gene silencing on apoptosis
and proliferation of hepatocellular carcinoma (HCC) MHCC97-H and HepG2 cells and
cells in xenograft tumor. MHCC97-H and HepG2 cells and mice with xenograft tumor
in vivo were randomly divided into control, empty vector and PAK1 shRNA groups.
Morphology and the expression of green fluorescent protein of MHCC97-H and HepG2
cells and cells in xenograft tumor were observed. MTT assay and flow cytometry
were used to detect proliferation, cell cycle and apoptosis of MHCC97-H and HepG2
cells and cells in xenograft tumor. The expressions of PAK1, PCNA, Ki67, Cyclin
E, CDK2, p21, p53, Bax and Bcl-2 were measured using the quantitative reverse
transcription polymerase chain reaction and western blotting. Compared with the
control and empty vector groups, number of adherent cells of MHCC97-H and HepG2
cells and cells in xenograft tumor was reduced, and green fluorescent cells
became round and reduced in the PAK1 shRNA group. Cell proliferation, the cells
at S phase, the mRNA and protein expressions of PAK1, PCNA, Ki67, Cyclin E, CDK2
and Bcl-2 of MHCC97-H and HepG2 cells and cells in xenograft tumor were
decreased, while the cells at G1 phase, apoptosis rate, the mRNA and protein
expressions of p21, p53 and Bax of MHCC97-H and HepG2 cells and cells in
xenograft tumor were increased in the PAK1 shRNA group. PAK1 gene silencing
decreases proliferation of MHCC97-H cells, HepG2 cells and cells in xenograft
tumor through the p53/p21 pathway.
PMID- 29802375
TI - Evaluation of direct and indirect effects of seasonal malaria chemoprevention in
Mali.
AB - Randomized controlled trials have established that seasonal malaria
chemoprevention (SMC) in children is a promising strategy to reduce malaria
transmission in Sahelian West Africa. This strategy was recently introduced in a
dozen countries, and about 12 million children received SMC in 2016. However,
evidence on SMC effectiveness under routine programme conditions is sparse. We
aim to measure the effects of the nationwide SMC programme in Mali on the
prevalence of malaria and anemia in children 6-59 months. We used data from the
2015 nationally representative malaria indicator survey. A post-test only with
non-randomized control group study was designed. We fitted a generalized
structural equation model that controlled for potential bias on observed and non
observed variables (endogenous treatment effect model). Having received SMC
reduced by 44% (95% CI [0.39-0.49]) the risk of having a positive rapid
diagnostic test for malaria. In addition, the programme indirectly reduced by 18%
the risk of moderate-to-severe anemia (95% CI [0.15-0.21]). SMC in Mali has
substantial protective effects under routine nationwide programme conditions.
Endogenous treatment effects analyses can contribute to rigorously measuring the
effectiveness of health programmes and to bridging a widening gap in evaluation
methods to measure progress towards achieving malaria elimination.
PMID- 29802376
TI - The neurotrophic tyrosine kinase receptor TrkA and its ligand NGF are increased
in squamous cell carcinomas of the lung.
AB - The neurotrophic tyrosine kinase receptor TrkA (NTRK1) and its ligand nerve
growth factor (NGF) are emerging promoters of tumor progression. In lung cancer,
drugs targeting TrkA are in clinical trials, but the clinicopathological
significance of TrkA and NGF, as well as that of the precursor proNGF, the
neurotrophin co-receptor p75NTR and the proneurotrophin co-receptor sortilin,
remains unclear. In the present study, analysis of these proteins was conducted
by immunohistochemistry and digital quantification in a series of 204 lung
cancers of different histological subtypes versus 121 normal lung tissues. TrkA
immunoreactivity was increased in squamous cell carcinoma compared with benign
and other malignant lung cancer histological subtypes (p < 0.0001). NGF and
proNGF were also increased in squamous cell carcinoma, as well as in
adenocarcinoma (p < 0.0001). In contrast, p75NTR was increased across all lung
cancer histological subtypes compared to normal lung (p < 0.0001). Sortilin was
higher in adenocarcinoma and small cell carcinoma (p < 0.0001). Nerves in the
tumor microenvironment were negative for TrkA, NGF, proNGF, p75NTR and sortilin.
In conclusion, these data suggest a preferential therapeutic value of targeting
the NGF-TrkA axis in squamous cell carcinomas of the lung.
PMID- 29802377
TI - Annexin A5 regulates hepatocarcinoma malignancy via CRKI/II-DOCK180-RAC1 integrin
and MEK-ERK pathways.
AB - As a calcium-dependent phospholipid binding annexin protein, annexin A5 (Anxa5)
links to the progression, metastasis, survival, and prognosis of a variety of
cancers. Current work showed ANXA5 overexpression was positively correlated with
the upregulations of CRKI/II and RAC1 in hepatocarcinoma (HCC) patients' tissues,
which potentially enhanced the clinical progression and lymphatic metastasis of
HCC. The role and action mechanism of ANXA5 in hepatocarcinoma was then
investigated using a hepatocarcinoma Hca-P cell line, an ideal and well
established murine cell model with 100% inducible tumorigenicity of implanted
mice with low (~25%) lymph node metastatic (LNM) rate. In vitro evidences
indicated ANXA5 stable knockdown resulted in decreased proliferation, migration,
invasion and adhesion to lymph node (LN), and increased intercellular cohesion
behaviors of hepatocarcinoma Hca-P cells. Consistently, stable ANXA5 knockdown
led to reduced in vivo tumorigenicity and malignancy, LNM rate and level
potentials of Hca-P- transplanted mice via inhibiting CD34 and VEGF3. The levels
of CRKI/II and RAC1 were reduced in tumor tissues from mice transplanted with Hca
P cells with stable ANXA5 knockdown. Molecular action investigation further
showed ANXA5 downregulation apparently suppressed the expressions of molecules
CRKI/II, DOCK180, RAC1 in integrin pathway, p-MEK, p-ERK, c-Myc, and MMP-9 in MEK
ERK pathway together with VIMINTIN in Hca-P cells in appropriate to knockdown
extent. Collectively, Anxa5 was able to mediate HCC carcinogenesis via integrin
and MEK-ERK pathways. It is of potential use in the research and treatment of
HCC.
PMID- 29802379
TI - Vocal specialization through tracheal elongation in an extinct Miocene pheasant
from China.
AB - Modifications to the upper vocal tract involving hyper-elongated tracheae have
evolved many times within crown birds, and their evolution has been linked to a
'size exaggeration' hypothesis in acoustic signaling and communication, whereby
smaller-sized birds can produce louder sounds. A fossil skeleton of a new extinct
species of wildfowl (Galliformes: Phasianidae) from the late Miocene of China,
preserves an elongated, coiled trachea that represents the oldest fossil record
of this vocal modification in birds and the first documentation of its evolution
within pheasants. The phylogenetic position of this species within Phasianidae
has not been fully resolved, but appears to document a separate independent
origination of this vocal modification within Galliformes. The fossil preserves a
coiled section of the trachea and other remains supporting a tracheal length
longer than the bird's body. This extinct species likely produced vocalizations
with a lower fundamental frequency and reduced harmonics compared to similarly
sized pheasants. The independent evolution of this vocal feature in galliforms
living in both open and closed habitats does not appear to be correlated with
other factors of biology or its open savanna-like habitat. Features present in
the fossil that are typically associated with sexual dimorphism suggest that
sexual selection may have resulted in the evolution of both the morphology and
vocalization mechanism in this extinct species.
PMID- 29802378
TI - Interfacial engineering of graphene for highly efficient blue and white organic
light-emitting devices.
AB - Graphene as anodes of flexible organic light-emitting devices (OLEDs) has
intrinsic drawbacks of a low work function and a high sheet resistance although
it can eliminate the brittle feature of ITO. Chemical doping as a conventional
approach is universally used to decrease the sheet resistance and adjust the work
function of graphene electrodes, but it suffers from instability problems due to
the volatility of chemical species. Here, an insulated poly(4-styrenesulphonate)
(PSS) modification layer is firstly coated on the graphene surface along with
improved air-stability and hole-injection ability via interfacial dipoles.
Besides, the utilization of PSS is beneficial to reduce the leakage current of
OLEDs. Then a gradient injection layer of poly(3,4-ethylenedioxythiophene):PSS
(PEDOT:PSS)/tetrafluoroethyleneperfluoro-3,6-dioxa-4-methyl-7-octenesulphonic
acid copolymer-doped PEDOT:PSS is covered onto the PSS-modified graphene to
further promote hole injection and improve carrier balance inside OLEDs. With
above interfacial modification technique, very high efficiencies of 201.9 cd A-1
(76.1 lm W-1, 45.2%) and 326.5 cd A-1 (128.2 lm W-1, 99.5%) for blue and white
emissions are obtained, which are comparable to the most efficient display and
lighting technologies so far.
PMID- 29802380
TI - Deterministic Current Induced Magnetic Switching Without External Field using
Giant Spin Hall Effect of beta-W.
AB - Giant spin Hall effect (GSHE) has received significant attention for its
potential in future spintronic applications. Spin current via GSHE-based thin
films provides an effective and promising means to manipulate magnetization.
However, an external in-plane magnetic field is required to consistently switch
the perpendicular magnetic moment. We present an approach to realize field-free
deterministic perpendicular magnetic switching with a new structure of FM/NM/FM.
Our method takes advantage of the large spin Hall angle of transition metal beta
W, so that the critical switching current density is only on the order of
106A/cm2 in the absence of magnetic field.
PMID- 29802381
TI - RNA synthesis is modulated by G-quadruplex formation in Hepatitis C virus
negative RNA strand.
AB - DNA and RNA guanine-rich oligonucleotides can form non-canonical structures
called G-quadruplexes or "G4" that are based on the stacking of G-quartets. The
role of DNA and RNA G4 is documented in eukaryotic cells and in pathogens such as
viruses. Yet, G4 have been identified only in a few RNA viruses, including the
Flaviviridae family. In this study, we analysed the last 157 nucleotides at the
3'end of the HCV (-) strand. This sequence is known to be the minimal sequence
required for an efficient RNA replication. Using bioinformatics and biophysics,
we identified a highly conserved G4-prone sequence located in the stem-loop IIy'
of the negative strand. We also showed that the formation of this G-quadruplex
inhibits the in vitro RNA synthesis by the RdRp. Furthermore, Phen-DC3, a
specific G-quadruplex binder, is able to inhibit HCV viral replication in cells
in conditions where no cytotoxicity was measured. Considering that this domain of
the negative RNA strand is well conserved among HCV genotypes, G4 ligands could
be of interest for new antiviral therapies.
PMID- 29802382
TI - Acaricidal target and mite indicator as color alteration using 3,7-dimethyl-2,6
octadienal and its derivatives derived from Melissa officinalis leaves.
AB - Toxicities and color deformation were evaluated of essential oils of Melissa
officinalis cultivated in France, Ireland, and Serbia and their constituents,
along with the control efficacy of spray formulations (0.25, 0.5, and 1%)
containing M. officinalis oils cultivated in France and its main compound against
Dermatophagoides farinae and D. pteronyssinus adults. In a contact + fumigant
bioassay, M. officinalis oil (France) was more active against D. farinae and D.
pteronyssinus, compared to M. officinalis oils (Ireland and Serbia).
Interestingly, color alteration of D. farinae and D. pteronyssinus was exhibited,
changing from colorless to golden brown through the treatment with M. officinalis
oils. The acaricidal and color alteration principle of three M. officinalis oils
was determined to be 3,7-dimethyl-2,6-octadienal. M. officinalis oil (France) and
3,7-dimethyl-2,6-octadienal were significantly more effective in closed
containers than in open containers, indicating that their acaricidal route of
action was largely a result of vapor action. Sprays (0.5 and 1%) containing 3,7
dimethyl-2,6-octadienal and 1% spray containing M. officinalis oil (France)
resulted in 100% mortality and color alteration against D. farinae and D.
pteronyssinus. These results indicated that M. officinalis oil and 3,7-dimethyl
2,6-octadienal could be developed as a suitable acaricidal and mite indicator
ingredient for the control of dust mites.
PMID- 29802385
TI - Cheating cell death.
PMID- 29802383
TI - ON-OFF receptive fields in auditory cortex diverge during development and
contribute to directional sweep selectivity.
AB - Neurons in the auditory cortex exhibit distinct frequency tuning to the onset and
offset of sounds, but the cause and significance of ON and OFF receptive field
(RF) organisation are not understood. Here we demonstrate that distinct ON and
OFF frequency tuning is largely absent in immature mouse auditory cortex and is
thus a consequence of cortical development. Simulations using a novel
implementation of a standard Hebbian plasticity model show that the natural
alternation of sound onset and offset is sufficient for the formation of non
overlapping adjacent ON and OFF RFs in cortical neurons. Our model predicts that
ON/OFF RF arrangement contributes towards direction selectivity to frequency
modulated tone sweeps, which we confirm by neuronal recordings. These data reveal
that a simple and universally accepted learning rule can explain the organisation
of ON and OFF RFs and direction selectivity in the developing auditory cortex.
PMID- 29802386
TI - High-fibre diet beats flu.
PMID- 29802384
TI - Cognition During and After Multiple Sclerosis Relapse as Assessed With the Brief
International Cognitive Assessment for Multiple Sclerosis.
AB - There is some evidence that cognition may be impaired during multiple sclerosis
(MS) relapse. The aims of this study were to assess the cognitive status with the
Brief International Cognitive Assessment for Multiple Sclerosis (BICAMS) in MS
patients during relapse, in stable patients, and in healthy controls; to evaluate
cognitive changes up to 3 months after relapse; and to estimate the impact of
different factors on cognition after relapse. BICAMS was performed in 60
relapsing, 30 stable patients and 30 controls. Relapsing MS patients were
assessed during relapse and one and three months after relapse. SDMT score was
lower in relapsing than in stable patients. The mean scores of all BICAMS tests
were higher one month after relapse than during relapse (p < 0.001). SDMT score
after relapse improved in younger patients, who had more severe relapse (p <
0.05). BVMT-R score improved more in men, in patients with biologically active
interferon-beta, in patients treated with methylprednisolone and in patients who
were rehabilitated (p < 0.05). CVLT-II score improved in women and in patients
with shorter relapse (p < 0.05). A neuropsychological assessment, like the
evaluation of physical disability, is important during relapse. BICAMS may be
suitable for a quick and effective assessment of cognition during relapse.
PMID- 29802387
TI - Iridescence impairs object recognition in bumblebees.
AB - Iridescence is a taxonomically widespread and striking form of animal coloration,
yet despite advances in understanding its mechanism, its function and adaptive
value are poorly understood. We test a counterintuitive hypothesis about the
function of iridescence: that it can act as camouflage through interference with
object recognition. Using an established insect visual model (Bombus terrestris),
we demonstrate that both diffraction grating and multilayer iridescence impair
shape recognition (although not the more subtle form of diffraction grating seen
in some flowers), supporting the idea that both strategies can be effective means
of camouflage. We conclude that iridescence produces visual signals that can
confuse potential predators, and this might explain the high frequency of
iridescence in many animal taxa.
PMID- 29802389
TI - Sculpting light to reveal brain function.
PMID- 29802388
TI - A molecular network of the aging human brain provides insights into the pathology
and cognitive decline of Alzheimer's disease.
AB - There is a need for new therapeutic targets with which to prevent Alzheimer's
disease (AD), a major contributor to aging-related cognitive decline. Here we
report the construction and validation of a molecular network of the aging human
frontal cortex. Using RNA sequence data from 478 individuals, we first build a
molecular network using modules of coexpressed genes and then relate these
modules to AD and its neuropathologic and cognitive endophenotypes. We confirm
these associations in two independent AD datasets. We also illustrate the use of
the network in prioritizing amyloid- and cognition-associated genes for in vitro
validation in human neurons and astrocytes. These analyses based on unique
cohorts enable us to resolve the role of distinct cortical modules that have a
direct effect on the accumulation of AD pathology from those that have a direct
effect on cognitive decline, exemplifying a network approach to complex diseases.
PMID- 29802391
TI - A neural network for intermale aggression to establish social hierarchy.
AB - Intermale aggression is used to establish social rank. Several neuronal
populations have been implicated in aggression, but the circuit mechanisms that
shape this innate behavior and coordinate its different components (including
attack execution and reward) remain elusive. We show that dopamine transporter
expressing neurons in the hypothalamic ventral premammillary nucleus (PMvDAT
neurons) organize goal-oriented aggression in male mice. Activation of PMvDAT
neurons triggers attack behavior; silencing these neurons interrupts attacks.
Regenerative PMvDAT membrane conductances interacting with recurrent and
reciprocal excitation explain how a brief trigger can elicit a long-lasting
response (hysteresis). PMvDAT projections to the ventrolateral part of the
ventromedial hypothalamic and the supramammillary nuclei control attack execution
and aggression reward, respectively. Brief manipulation of PMvDAT activity
switched the dominance relationship between males, an effect persisting for
weeks. These results identify a network structure anchored in PMvDAT neurons that
organizes aggressive behavior and, as a consequence, determines intermale
hierarchy.
PMID- 29802390
TI - Genome-wide distribution of linker histone H1.0 is independent of MeCP2.
AB - Previous studies suggested that MeCP2 competes with linker histone H1, but this
hypothesis has never been tested in vivo. Here, we performed chromatin
immunoprecipitation followed by sequencing (ChIP-seq) of Flag-tagged-H1.0 in
mouse forebrain excitatory neurons. Unexpectedly, Flag-H1.0 and MeCP2 occupied
similar genomic regions and the Flag-H1.0 binding was not changed upon MeCP2
depletion. Furthermore, mild overexpression of H1.0 did not alter MeCP2 binding,
suggesting that the functional binding of MeCP2 and H1.0 are largely independent.
PMID- 29802392
TI - Mitigation of severe urban haze pollution by a precision air pollution control
approach.
AB - Severe and persistent haze pollution involving fine particulate matter (PM2.5)
concentrations reaching unprecedentedly high levels across many cities in China
poses a serious threat to human health. Although mandatory temporary cessation of
most urban and surrounding emission sources is an effective, but costly, short
term measure to abate air pollution, development of long-term crisis response
measures remains a challenge, especially for curbing severe urban haze events on
a regular basis. Here we introduce and evaluate a novel precision air pollution
control approach (PAPCA) to mitigate severe urban haze events. The approach
involves combining predictions of high PM2.5 concentrations, with a hybrid
trajectory-receptor model and a comprehensive 3-D atmospheric model, to pinpoint
the origins of emissions leading to such events and to optimize emission
controls. Results of the PAPCA application to five severe haze episodes in major
urban areas in China suggest that this strategy has the potential to
significantly mitigate severe urban haze by decreasing PM2.5 peak concentrations
by more than 60% from above 300 MUg m-3 to below 100 MUg m-3, while requiring
~30% to 70% less emission controls as compared to complete emission reductions.
The PAPCA strategy has the potential to tackle effectively severe urban haze
pollution events with economic efficiency.
PMID- 29802394
TI - Sir Roger Bannister (1929-2018).
PMID- 29802393
TI - Self-management interventions for skin care in people with a spinal cord injury:
part 1-a systematic review of intervention content and effectiveness.
AB - STUDY DESIGN: Systematic review. OBJECTIVES: To review the content and
effectiveness of skin care self-management interventions for people with SCI.
SETTING: International. METHODS: We searched electronic bibliographic databases,
trial registers, and relevant reference lists. Eligibility criteria for the
reviews of intervention content and effectiveness were identical with the
exception of study design. The review of intervention content included non
randomized and randomized controlled trials (RCTs). The review of effectiveness
included RCTs. A Behavior Change Technique (BCT) taxonomy of 93 BCTs was used to
code intervention content. Intervention effects on outcomes of interest are
summarized descriptively. Effect sizes were calculated, and the Cochrane risk of
bias tool applied. RESULTS: In all, 15 studies testing 17 interventions were
included in the review of intervention content. Interventions in these studies
included 28 BCTs. The most common were "instructions on how to perform behavior"
(16 interventions), "credible source" (12 interventions), and "social support
(unspecified)" (9 interventions). Ten RCTs were included in the review of
intervention effectiveness and they measured knowledge, self-efficacy, and skills
relating to skin care/pressure ulcer (PU) prevention, skin care behaviors, skin
status (PU prevalence, severity, and time to PU), and health-care utilization for
skin problems. Evidence to support intervention effects on these outcomes was
limited, particularly for clinical outcomes. Risk of bias assessments was often
inconclusive due to poor reporting. CONCLUSIONS: There is potential to design SCI
skin care interventions that include currently untested BCTs. Further research
and better consistency in outcome measurements and reporting are required to
synthesize evidence on effectiveness.
PMID- 29802396
TI - Fibrocartilaginous embolic myelopathy: demographics, clinical presentation, and
functional outcomes.
AB - STUDY DESIGN: Retrospective cohort study. OBJECTIVES: To describe the
demographics, clinical presentation, and functional outcomes of
fibrocartilaginous embolic myelopathy (FCEM). SETTING: Academic inpatient
rehabilitation unit in the midwestern United States. METHODS: We retrospectively
searched our database to identify patients admitted between January 1, 1995 and
March 31, 2016, with a high probability of FCEM. Demographic, clinical, and
functional outcome measures, including Functional Independence Measure (FIM)
information was obtained by chart review. RESULTS: We identified 31 patients with
findings suggestive of FCEM (52% male), which was 2% of the nontraumatic spinal
cord injury population admitted to inpatient rehabilitation. The age distribution
was bimodal, with peaks in the second and sixth-to-seventh decades. The most
common clinical presentation was acute pain and rapid progression of neurologic
deficits consistent with a vascular myelopathy. Only three patients (10%) had
FCEM documented as a diagnostic possibility. Most patients had paraplegia and
neurologically incomplete injuries and were discharged to home. Nearly half of
the patients required no assistive device for bladder management at discharge,
but most were discharged with medications for bowel management. Median FIM
walking locomotion score for all patients was 5, but most patients were
discharged using a wheelchair for primary mobility. Median motor FIM subscale
score was 36 at admission and 69 at discharge, with a median motor efficiency of
1.41. CONCLUSIONS: FCEM may be underdiagnosed and should be considered in those
with the appropriate clinical presentation, because their functional outcomes may
be more favorable than those with other causes of spinal cord infarction.
PMID- 29802395
TI - Development of Persian version of the Spinal Cord Independence Measure III
assessed by interview: a psychometric study.
AB - STUDY DESIGN: Cross-sectional psychometric study. OBJECTIVES: To translate the
Spinal Cord Independence Measure III (SCIM-III) into Persian, to evaluate it
culturally and to analyze the validity and reliability of the Persian version of
the SCIM-III (P-SCIM). SETTING: Brain and Spinal Injury Research Center (BASIR),
Tehran, Iran. METHODS: The P-SCIM was developed by forward translation, back
translation, and cultural equivalence assessment procedure. The authors studied:
(a) correlation of P-SCIM with the Functional Independence Measure (FIMTM) for
determining convergence validity, (b) P-SCIM scores in neurological categories
for comparison and evaluating discriminative validity, (c) Inter-rater
reliability of P-SCIM, (d) Cronbach's alpha for measuring internal consistency of
P-SCIM-III. RESULTS: The validity of the scale was supported by a Pearson
correlation coefficient of > 0.9 (p < 0.001) between FIMTM and P-SCIM. The
Persian SCIM was found to be valid in discriminating different neurological
categories. The Inter-rater reliability was concluded by Intraclass correlations
of a coefficient > 0.9. Bland-Altman analysis demonstrated good agreement between
our raters (mean difference: 0.7, limit of agreement: - 8.09-9.58). Also internal
consistency of the scale was shown by Cronbach's alpha to be > 0.7 (0.86).
CONCLUSION: P-SCIM-III is a valid and consistent tool for determining
functionality in Persian speaking people with spinal cord injury.
PMID- 29802397
TI - OCT-Angiography reveals reduced vessel density in the deep retinal plexus of
CADASIL patients.
AB - Optical coherence tomography angiography (OCT-A) represents the most recent tool
in ophthalmic imaging. It allows for a non-invasive, depth-selective and
quantitative visualization of blood flow in central retinal vessels and it has an
enormous diagnostic potential not only in ophthalmology but also with regards to
neurologic and systemic diseases. Cerebral autosomal dominant arteriopathy with
subcortical infarcts and leukoencephalopathy (CADASIL) is a hereditary vascular
small-vessel disease caused by Notch3 mutations and represents the most common
form of hereditary stroke disorder. In this study, CADASIL patients prospectively
underwent OCT-A imaging to evaluate retinal and choriocapillaris blood flow as
well as blood flow at the optic nerve head. The vessel density of the macular
region and the size of the foveal avascular zone in the superficial and deep
retinal plexus were determined as well as the vessel density at the optic nerve
head and in the choriocapillaris. Additionally, cerebral magnetic resonance
images were evaluated. The main finding was that vessel density of the deep
retinal plexus was significantly decreased in CADASIL patients compared to
healthy controls which may reflect pericyte dysfunction in retinal capillaries.
PMID- 29802398
TI - Obstructive sleep apnoea is associated with progression of arterial stiffness
independent of obesity in participants without hypertension: A KoGES Prospective
Cohort Study.
AB - Accumulating evidence shows that obstructive sleep apnoea (OSA) is associated
with an increased risk of cardiovascular disease. However, there are no published
prospective studies on the relationship between OSA and the progression of
arterial stiffness. We hypothesised that OSA would increase the risk of arterial
stiffness progression, independent of obesity. In the present large cohort study,
1921 participants were randomly selected and underwent polysomnography. The
brachial ankle pulse wave velocity (baPWV) was measured at baseline and during
the follow-period using a standard protocol. Elevated baPWV was defined as a
value greater than the cut-off of highest tertile level in the complete study
cohort. The percentage of elevated baPWV and the DeltabaPWV significantly
increased with OSA severity. After adjusting for potential confounding factors,
participants with moderate-to-severe OSA without hypertension had a significantly
higher risk of elevated DeltabaPWV than those without OSA. More importantly,
using multivariate mixed-effect models, we found that the DeltabaPWV over 6 years
significantly differed according to OSA severity. Therefore, moderate-to-severe
OSA in participants without hypertension was a predictor of future burden of
arterial stiffness progression, independent of obesity, suggesting that it may
contribute to the increased risk of cardiovascular disease.
PMID- 29802400
TI - Cancer in kidney transplant recipients.
AB - Cancer is the second most common cause of mortality and morbidity in kidney
transplant recipients after cardiovascular disease. Kidney transplant recipients
have at least a twofold higher risk of developing or dying from cancer than the
general population. The increased risk of de novo and recurrent cancer in
transplant recipients is multifactorial and attributed to oncogenic viruses,
immunosuppression and altered T cell immunity. Transplant candidates and
potential donors should be screened for cancer as part of the assessment process.
For potential recipients with a prior history of cancer, waiting periods of 2-5
years after remission - largely depending on the cancer type and stage of initial
cancer diagnosis - are recommended. Post-transplantation cancer screening needs
to be tailored to the individual patient, considering the cancer risk of the
individual, comorbidities, overall prognosis and the screening preferences of the
patient. In kidney transplant recipients diagnosed with cancer, treatment
includes conventional approaches, such as radiotherapy and chemotherapy, together
with consideration of altering immunosuppression. As the benefits of
transplantation compared with dialysis in potential transplant candidates with a
history of cancer have not been assessed, current clinical practice relies on
evidence from observational studies and registry analyses.
PMID- 29802401
TI - AhR in SLE.
PMID- 29802399
TI - Obeticholic acid protects against hepatocyte death and liver fibrosis in a murine
model of nonalcoholic steatohepatitis.
AB - Accumulating evidence has suggested that farnesoid X receptor (FXR) agonists,
such as obeticholic acid (OCA) are therapeutically useful for non-alcoholic
steatohepatitis (NASH). However, it is still unclear how FXR agonists protect
against NASH and which cell type is the main target of FXR agonists. In this
study, we examined the effects of OCA on the development of NASH using
melanocortin 4 receptor-deficient (MC4R-KO) mice that progressively developed
hepatic steatosis and NASH on Western diet (WD). Treatment with OCA effectively
prevented chronic inflammation and liver fibrosis in WD-fed MC4R-KO mice with
only marginal effect on body weight and hepatic steatosis. Hepatic crown-like
structure (hCLS) is a unique histological structure characteristic of NASH, which
triggers hepatocyte death-induced interstitial fibrosis. Intriguingly, treatment
with OCA markedly reduced hCLS formation even after MC4R-KO mice developed NASH,
thereby inhibiting the progression of liver fibrosis. As its mechanism of action,
OCA suppressed metabolic stress-induced p53 activation and cell death in
hepatocytes. Our findings in this study highlight the role of FXR in hepatocytes
in the pathogenesis of NASH. Collectively, this study demonstrates the anti
fibrotic effect of OCA in a murine model of NASH with obesity and insulin
resistance, which suggests the clinical implication for human NASH.
PMID- 29802402
TI - The BET inhibitor I-BET762 inhibits pancreatic ductal adenocarcinoma cell
proliferation and enhances the therapeutic effect of gemcitabine.
AB - As one of the most fatal malignancies, pancreatic ductal adenocarcinoma (PDAC)
has significant resistance to the currently available treatment approaches.
Gemcitabine, the standard chemotherapeutic agent for locally advanced and
metastatic PDAC, has limited efficacy, which is attributed to innate/acquired
resistance and the activation of prosurvival pathways. Here, we investigated the
in vitro efficacy of I-BET762, an inhibitor of the bromodomain and extraterminal
(BET) family of proteins, in treating PDAC cell lines alone and in combination
with gemcitabine (GEM). The effect of these two agents was also examined in
xenograft PDAC tumors in mice. We found that I-BET762 induced cell cycle arrest
in the G0/G1 phase and cell death and suppressed cell proliferation and
metastatic stem cell factors in PDAC cells. In addition, the BH3-only protein
Bim, which is related to chemotherapy resistance, was upregulated by I-BET762,
which increased the cell death triggered by GEM in PDAC cells. Moreover, GEM and
I-BET762 exerted a synergistic effect on cytotoxicity both in vitro and in vivo.
Furthermore, Bim is necessary for I-BET762 activity and modulates the synergistic
effect of GEM and I-BET762 in PDAC. In conclusion, we investigated the effect of
I-BET762 on PDAC and suggest an innovative strategy for PDAC treatment.
PMID- 29802403
TI - Single-cell analysis identifies a CD33+ subset of human cord blood cells with
high regenerative potential.
AB - Elucidation of the identity and diversity of mechanisms that sustain long-term
human blood cell production remains an important challenge. Previous studies
indicate that, in adult mice, this property is vested in cells identified
uniquely by their ability to clonally regenerate detectable, albeit highly
variable levels and types, of mature blood cells in serially transplanted
recipients. From a multi-parameter analysis of the molecular features of very
primitive human cord blood cells that display long-term cell outputs in vitro and
in immunodeficient mice, we identified a prospectively separable CD33+CD34+CD38
CD45RA-CD90+CD49f+ phenotype with serially transplantable, but diverse, cell
output profiles. Single-cell measurements of the mitogenic response, and the
transcriptional, DNA methylation and 40-protein content of this and closely
related phenotypes revealed subtle but consistent differences both within and
between each subset. These results suggest that multiple regulatory mechanisms
combine to maintain different cell output activities of human blood cell
precursors with high regenerative potential.
PMID- 29802407
TI - A confetti trail of tumour evolution.
PMID- 29802405
TI - Regulation of cell cycle progression by cell-cell and cell-matrix forces.
AB - It has long been proposed that the cell cycle is regulated by physical forces at
the cell-cell and cell-extracellular matrix (ECM) interfaces1-12. However, the
evolution of these forces during the cycle has never been measured in a tissue,
and whether this evolution affects cell cycle progression is unknown. Here, we
quantified cell-cell tension and cell-ECM traction throughout the complete cycle
of a large cell population in a growing epithelium. These measurements unveil
temporal mechanical patterns that span the entire cell cycle and regulate its
duration, the G1-S transition and mitotic rounding. Cells subjected to higher
intercellular tension exhibit a higher probability to transition from G1 to S, as
well as shorter G1 and S-G2-M phases. Moreover, we show that tension and
mechanical energy are better predictors of the duration of G1 than measured
geometric properties. Tension increases during the cell cycle but decreases 3
hours before mitosis. Using optogenetic control of contractility, we show that
this tension drop favours mitotic rounding. Our results establish that cell cycle
progression is regulated cooperatively by forces between the dividing cell and
its neighbours.
PMID- 29802404
TI - Tracing the temporal-spatial transcriptome landscapes of the human fetal
digestive tract using single-cell RNA-sequencing.
AB - The development of the digestive tract is critical for proper food digestion and
nutrient absorption. Here, we analyse the main organs of the digestive tract,
including the oesophagus, stomach, small intestine and large intestine, from
human embryos between 6 and 25 weeks of gestation as well as the large intestine
from adults using single-cell RNA-seq analyses. In total, 5,227 individual cells
are analysed and 40 cell types clearly identified. Their crucial biological
features, including developmental processes, signalling pathways, cell cycle,
nutrient digestion and absorption metabolism, and transcription factor networks,
are systematically revealed. Moreover, the differentiation and maturation
processes of the large intestine are thoroughly investigated by comparing the
corresponding transcriptome profiles between embryonic and adult stages. Our work
offers a rich resource for investigating the gene regulation networks of the
human fetal digestive tract and adult large intestine at single-cell resolution.
PMID- 29802406
TI - Spectrin is a mechanoresponsive protein shaping fusogenic synapse architecture
during myoblast fusion.
AB - Spectrin is a membrane skeletal protein best known for its structural role in
maintaining cell shape and protecting cells from mechanical damage. Here, we
report that alpha/betaH-spectrin (betaH is also called karst) dynamically
accumulates and dissolves at the fusogenic synapse between fusing Drosophila
muscle cells, where an attacking fusion partner invades its receiving partner
with actin-propelled protrusions to promote cell fusion. Using genetics, cell
biology, biophysics and mathematical modelling, we demonstrate that spectrin
exhibits a mechanosensitive accumulation in response to shear deformation, which
is highly elevated at the fusogenic synapse. The transiently accumulated spectrin
network functions as a cellular fence to restrict the diffusion of cell-adhesion
molecules and a cellular sieve to constrict the invasive protrusions, thereby
increasing the mechanical tension of the fusogenic synapse to promote cell
membrane fusion. Our study reveals a function of spectrin as a mechanoresponsive
protein and has general implications for understanding spectrin function in
dynamic cellular processes.
PMID- 29802409
TI - Protein quality and miRNA slicing get into phase.
PMID- 29802408
TI - Multicolour lineage tracing reveals clonal dynamics of squamous carcinoma
evolution from initiation to metastasis.
AB - Tumour cells are subjected to evolutionary selection pressures during progression
from initiation to metastasis. We analysed the clonal evolution of squamous skin
carcinomas induced by DMBA/TPA treatment using the K5CreER-Confetti mouse and
stage-specific lineage tracing. We show that benign tumours are polyclonal, but
only one population contains the Hras driver mutation. Thus, benign papillomas
are monoclonal in origin but recruit neighbouring epithelial cells during growth.
Papillomas that never progress to malignancy retain several distinct clones,
whereas progression to carcinoma is associated with a clonal sweep. Newly
generated clones within carcinomas demonstrate intratumoural invasion and clonal
intermixing, often giving rise to metastases containing two or more distinct
clones derived from the matched primary tumour. These data demonstrate that late
stage tumour progression and dissemination are governed by evolutionary selection
pressures that operate at a multicellular level and, therefore, differ from the
clonal events that drive initiation and the benign-malignant transition.
PMID- 29802411
TI - Stress less: targeting the stress response in advanced disease.
PMID- 29802410
TI - Effect of multiple cyclic RGD peptides on tumor accumulation and intratumoral
distribution of IRDye 700DX-conjugated polymers.
AB - Strategic delivery of IRDye 700DX (photosensitizer) is a key for improving its
effect in photodynamic therapy. In this study, we have synthesized IRDye 700DX
conjugated polymers containing multiple cyclic RGD peptides to deliver IRDye
700DX selectively to tumor cells and tumor-associated blood vessels
overexpressing alphavbeta3 integrin. Our polymer has a backbone of hydrophilic
poly(ethylene glycol)-poly(L-glutamic acid) block copolymer, and cyclic RGD
peptides are conjugated to side chains of the poly(L-glutamic acid) while IRDye
700DX is conjugated to the terminal of poly(ethylene glycol). The polymers
exhibited selective accumulation to the target sites in a subcutaneous solid
tumor, and the accumulation was augmented with the increased number of cyclic RGD
peptides. More importantly, the polymer containing 15 cyclic RGD peptides in one
construct revealed preferential accumulation on the tumor-associated blood
vessels without compromising penetration to deep portions of the tumor, thereby
drastically inhibiting tumor growth upon photoirradiation, while the polymer
containing 5 cyclic RGD peptides showed moderate antitumor activity despite
efficient accumulation in the tumor with almost homogenous intratumoral
distribution. These results suggest that controlling the intratumoral
distribution of IRDye 700DX is critical for successful PDT, and our polymer
containing multiple cyclic RGD peptides may be a promising carrier for this
spatial control.
PMID- 29802412
TI - The histamine H3 receptor inverse agonist pitolisant reduces body weight in obese
mice.
AB - The pharmacological profile of pitolisant, a histamine H3 receptor
antagonist/inverse agonist, indicates that this compound might reduce body weight
and metabolic disturbances. Therefore, we studied the influence of pitolisant on
body weight, water and sucrose intake as well as metabolic disturbances in the
high-fat and high-sugar diet-induced obesity model in mice. To induce obesity,
male CD-1 mice were fed a high-fat diet consisting of 40% fat blend for 14 weeks,
water and 30% sucrose solution available ad libitum. Glucose tolerance test was
performed at the beginning of week 15. Insulin tolerance was tested the day
after. At the end of study, plasma levels of triglycerides and cholesterol were
determined. Pitolisant at dose of 10 mg/kg bw (ip) was administrated during 14
days, starting from the beginning of week 13. Metformin at dose of 100 mg/kg bw
(ip) was used as reference drug. Mice fed with high-fat diet and sucrose solution
showed more weight gain throughout the 12-week period of inducing obesity.
Animals fed with high-fat diet and treated with pitolisant (for the next 14 days)
showed significantly less weight gain than mice from the control group consuming
a high-fat feed. In the group treated with pitolisant, glucose levels were
significantly lower than glucose levels of control obese mice after glucose load.
The plasma triglyceride levels in pitolisant-treated mice were significantly
lower compared with those in control obese group. In conclusion, pitolisant has a
favorable influence of body weight and improves glucose tolerance and the lipid
profile in obese mice.
PMID- 29802414
TI - Big data: why ignorance is no longer acceptable.
PMID- 29802413
TI - Open sacrocolpopexy and vaginal apical repair: retrospective comparison of
success and serious complications.
AB - INTRODUCTION AND HYPOTHESIS: We compared treatment success and adverse events
between women undergoing open abdominal sacrocolpopexy (ASC) vs vaginal repair
(VAR) using data from women enrolled in one of three multicenter trials. We
hypothesized that ASC would result in better outcomes than VAR. METHODS:
Participants underwent apical repair of stage 2-4 prolapse. Vaginal repair
included uterosacral, sacrospinous, and iliococcygeal suspensions;
sacrocolpopexies were via laparotomy. Success was defined as no bothersome bulge
symptoms, no prolapse beyond the hymen, and no retreatment up to 24 months.
Adverse events were collected at multiple time points. Outcomes were analyzed
using longitudinal mixed-effects models to obtain valid outcome estimates at
specific visit times, accounting for data missing at random. Comparisons were
controlled for center, age, body mass index (BMI), initial Pelvic Organ Prolapse
Quantification (POP-Q) stage, baseline scores, prior prolapse repair, and
concurrent repairs. RESULTS: Of women who met inclusion criteria (1022 of 1159
eligibile), 701 underwent vaginal repair. The ASC group (n = 321) was older, more
likely white, had prior prolapse repairs, and stage 4 prolapse (all p < 0.05).
While POP-Q measurements and symptoms improved in both groups, treatment success
was higher in the ASC group [odds ratio (OR) 6.00, 95% confidence interval (CI)
3.45-10.44). The groups did not differ significantly in most questionnaire
responses at 12 months and overall improvement in bowel and bladder function. By
24 months, fewer patients had undergone retreatment (2% ASC vs 5% VAR); serious
adverse events did not differ significantly through 6 weeks (13% vs 5%, OR 2.0,
95% CI 0.9-4.7), and 12 months (26% vs 13%, OR 1.6, 95% CI 0.9-2.9),
respectively. CONCLUSIONS: Open sacrocolpopexy resulted in more successful
prolapse treatment at 2 years.
PMID- 29802417
TI - [Resilience].
PMID- 29802416
TI - [Injuries of the medial collateral ligament and spring ligament complexes].
AB - The medial collateral ligament (MCL) complex is characterized by a complex
anatomical arrangement of the individual ligamentous structures including three
joints and the spring ligament complex. Biomechanically it serves as the main
stabilizing structure in the ankle region against rotational and pronating
forces. Lesions in the region of the MCL complex are more frequent than
previously thought and like lesions of the spring ligament complex can lead to
pain and instability. A thorough examination including the patient history with
possible injury mechanisms often yields valuable information on the diagnosis of
injuries to the MCL or spring ligament complex. In many cases these are primarily
overlooked and concomitant lesions, such as fractures, syndesmotic and lateral
ligament lesions frequently occur; however, the clinical assessment of stability
is often primarily impossible in an acute setting. High-resolution magnetic
resonance imaging (MRI) plays a key role in identifying the ligamentous
components. In addition, MRI plays a supportive role in the preoperative planning
before reconstruction of acute and especially chronic lesions. In most cases the
surgical treatment of acute ruptures of the MCL is not indicated. Various options
for treatment of acute and chronic lesions of the MCL and spring ligament complex
are available including the use of free tendon grafts. Controversy exists
regarding the operative treatment of MCL lesions in the case of ankle fractures.
It is recommended for cases with impinging tissue in the medial gutter serving as
a barrier to adequate reduction of the joint and in cases of unstable fractures
after reduction.
PMID- 29802415
TI - Cardiovascular disease prevention at the workplace: assessing the prognostic
value of lifestyle risk factors and job-related conditions.
AB - OBJECTIVES: The prognostic utility of lifestyle risk factors and job-related
conditions (LS&JRC) for cardiovascular disease (CVD) risk stratification remains
to be clarified. METHODS: We investigated discrimination and clinical utility of
LS&JRC among 2532 workers, 35-64 years old, CVD-free at the time of recruitment
(1989-1996) in four prospective cohorts in Northern Italy, and followed up
(median 14 years) until first major coronary event or ischemic stroke, fatal or
non-fatal. From a Cox model including cigarette smoking, alcohol intake,
occupational and sport physical activity and job strain, we estimated 10-year
discrimination as the area under the ROC curve (AUC), and clinical utility as the
Net Benefit. RESULTS: N = 162 events occurred during follow-up (10-year risk:
4.3%). The LS&JRC model showed the same discrimination (AUC = 0.753, 95% CI 0.700
0.780) as blood lipids, blood pressure, smoking and diabetes (AUC = 0.753),
consistently across occupational classes. Among workers at low CVD risk (n =
1832, 91 CVD events), 687 were at increased LS&JRC risk; of these, 1 every 15 was
a case, resulting in a positive Net Benefit (1.27; 95% CI 0.68-2.16).
CONCLUSIONS: LS&JRC are as accurate as clinical risk factors in identifying
future cardiovascular events among working males. Our results support initiatives
to improve total health at work as strategies to prevent cardiovascular disease.
PMID- 29802418
TI - Necessary Sequencing Depth and Clustering Method to Obtain Relatively Stable
Diversity Patterns in Studying Fish Gut Microbiota.
AB - The 16S rRNA gene is one of the most commonly used molecular markers for
estimating bacterial diversity during the past decades. However, there is no
consistency about the sequencing depth (from thousand to millions of sequences
per sample), and the clustering methods used to generate OTUs may also be
different among studies. These inconsistent premises make effective comparisons
among studies difficult or unreliable. This study aims to examine the necessary
sequencing depth and clustering method that would be needed to ensure a stable
diversity patterns for studying fish gut microbiota. A total number of 42 samples
dataset of Siniperca chuatsi (carnivorous fish) gut microbiota were used to test
how the sequencing depth and clustering may affect the alpha and beta diversity
patterns of fish intestinal microbiota. Interestingly, we found that the
sequencing depth (resampling 1000-11,000 per sample) and the clustering methods
(UPARSE and UCLUST) did not bias the estimates of the diversity patterns during
the fish development from larva to adult. Although we should acknowledge that a
suitable sequencing depth may differ case by case, our finding indicates that a
shallow sequencing such as 1000 sequences per sample may be also enough to
reflect the general diversity patterns of fish gut microbiota. However, we have
shown in the present study that strict pre-processing of the original sequences
is required to ensure reliable results. This study provides evidences to help
making a strong scientific choice of the sequencing depth and clustering method
for future studies on fish gut microbiota patterns, but at the same time reducing
as much as possible the costs related to the analysis.
PMID- 29802419
TI - Characterization and Risk Analysis of Metals Associated with Urban Dust in Rawang
(Malaysia).
AB - This study was designed to determine the particle size distribution and develop
road dust index combining source and transport factors involving road dust for
dust pollution quantification in Rawang. Principal component analysis (PCA) was
applied to identify possible sources of potentially toxic elements and spot major
pollution areas in Rawang. The health risks (carcinogenic and noncarcinogenic) to
adults and children were assessed using the hazard index and total lifetime
cancer Risk, respectively. A total of 75 road dust samples were collected and
particle sizes (1000, 500, 250, 160, 125 and 63 um) were determined.
Concentrations of potentially toxic elements (Cu, Cd, Co, Cr, Pb, Ni, Zn and As)
in particle size of 63 um were analyzed. The results demonstrated that the
highest grain size of 250 um has contributed almost more than 25% of atmospheric
particulate pollution. The highest potentially toxic element concentration was Pb
(593.3 mg/kg), whereas the lowest was Co (5.6 mg/kg). Road dust index output
indicated that pollution risk fell into moderate levels in eastern and northern
areas of Rawang. Similarly, PCA results revealed that potentially toxic elements
(Cu, Cd, Pb, Zn, Ni and Cr) were linked with anthropogenic sources (urbanization
process, industrial and commercial growth, urban traffic congestion) in northern
and southern parts of Rawang. Cobalt and As concentrations were explained mainly
from natural sources. Noncarcinogenic risk by hazard index value more than 1.0
was indicated for adults and children. Similarly, carcinogenic risk by total
lifetime cancer risk value also showed carcinogenic risks among adults and
children.
PMID- 29802420
TI - Diversity and evolution of Lactobacillus casei group isolated from fermented
dairy products in Tibet.
AB - Bacteria in Lactobacillus casei group, including Lactobacillus casei (L. casei),
Lactobacillus paracasei (L. paracasei), and Lactobacillus rhamnosus (L.
rhamnosus) are important lactic acid bacteria in the production of fermented
dairy products and are faced with the controversial nomenclatural status due to
their close phylogenetic similarity. To probe the evolution and phylogeny of L.
casei group, 100 isolates of lactic acid bacteria originated from naturally
fermented dairy products in Tibet of China were subjected to multilocus sequence
typing (MLST). The MLST scheme, based on analysis of the housekeeping genes fusA,
ileS, lepA, leuS, pyrG, recA and recG, revealed that all the isolates belonged to
a group containing the L. paracasei reference strains and were clearly different
from the strains of L. casei and L. rhamnosus. Although nucleotide diversity (pi)
was low for the seven genes (ranging from 0.00341 for fusA to 0.01307 for recG),
high genetic diversity represented by 83 sequence types (STs) with a
discriminatory index of 0.98 was detected. A network-like structure based on
split decomposition analysis, and the high values of the relative effect of
recombination and mutation in the diversification of the lineages (r/m = 4.76)
and the relative frequency of occurrence of recombination and mutation (rho/theta
= 2.62) indicated that intra-species recombination occurred frequently and
homologous recombination played a key role in generating genotypic diversity
amongst L. paracasei strains in Tibet. The discovery of 51 new STs and the
results of STRUCTURE analysis suggested that the L. casei group in Tibet had an
individual and particular population structure in comparison to European
isolates. Overall, this research might be the first report about genetic
diversity and population structure of Lactobacillus populations isolated from
naturally fermented dairy products in Tibet based on MLST scheme.
PMID- 29802421
TI - Fictibacillus iocasae sp. nov., isolated from the deep-sea sediment in Pacmanus,
Manus Basin.
AB - A novel bacterium, designed strain S38T, was isolated from the deep-sea sediment
of Pacmanus hydrothermal field in Manus Basin. The isolate was gram-positive,
aerobic, motile, and was defined by endospore-forming rods. Strain S38T grew at
16-38 degrees C, pH 6-8, and in the presence of 0-7% (w/v) NaCl. Based on 16S
rRNA gene sequence, S38T was grouped into the genus Fictibacillus and was most
closely related to Fictibacillus phosphorivorans CCM 8426T (97.8%), Fictibacillus
nanhaiensis DSM 23009T (97.8%), Fictibacillus halophilus DSM 100124T (97.7%), and
Fictibacillus barbaricus DSM 14730T (97.5%). The diagnostic diamino acid of the
cell wall was meso-diaminopimelic acid. The major fatty acids were iso-C15:0 and
anteiso-C15:0. MK-7 was the predominant respiratory quinone and
diphosphatidylglycerol, phosphatidylglycerol, and phosphatidylethanolamine were
the major polar lipids. The genomic DNA G + C content was 46.8 mol%. Phylogenetic
analysis, DNA-DNA relatedness, and phenotypic characteristics supported that
strain S38T represents a novel species within the genus Fictibacillus, for which
the name Fictibacillus iocasae sp. nov. was proposed. The type strain is S38T (=
KCTC 33865T = DSM 104298T = CGMCC 1.16031T).
PMID- 29802422
TI - [Endophthalmitis].
AB - Endophthalmitis is a severe intraocular infection with potentially devastating
consequences, such as becoming blind or loss of an eyeball. It can be exogenous
(postoperative or posttraumatic) or endogenous. The most frequent causes of
postoperative endophthalmitis are cataract surgery and intravitreal injections.
Typical symptoms are pain and loss of visual acuity. Hypopyon and infiltration of
the vitreous body with the loss of the red reflex are the most important clinical
findings for endophthalmitis. The diagnosis is primarily clinical and supported
by microbiological cultures and PCR from the vitreous body and/or anterior
chamber and is of use for a targeted treatment. Treatment is a combination of
intravitreal, systemic, and topical antibiotics, anterior chamber lavage, and
vitrectomy. The decisive factor for the prognosis is the triggering pathogen.
Infections with coagulase-negative staphylococci or Candida spp. have a good
prognosis. Infections with Streptococcus spp., Bacillus spp. or Aspergillus spp.
are unfavorable.
PMID- 29802424
TI - [Gastrointestinal motility in critically ill patients].
AB - Up to 80% of all critically ill patients develop gastrointestinal dysfunction,
predominantly gastrointestinal motility disorder. In critically ill patients,
gastrointestinal dysfunction or gastrointestinal failure is associated with
increased morbidity and mortality. Correct diagnosis and early start of treatment
are essential and can influence the outcome. Therapeutic options are normal
potassium and magnesium levels, restrictive fluid balance, improved
gastrointestinal microcirculation, individual sedoanalgetic concepts and early
enteral nutrition. In addition, numerous target-oriented medical therapeutic
options are available.
PMID- 29802425
TI - Response to the letter to the Editor regarding Zeukeng et al.'s article A
comparison of new drugs approved by the FDA, the EMA, and Swissmedic: an
assessment of the international harmonization of drugs.
PMID- 29802423
TI - Direct anterior approach to the hip joint in the lateral decubitus position for
joint replacement.
AB - SURGICAL PRINCIPLE AND OBJECTIVE: The direct anterior approach for total hip
arthroplasty is associated with higher complication rates and difficult femoral
component positioning. Performing a modified technique in the lateral position
allows secure component positioning. INDICATIONS: Primary hip replacement
(including femoral neck fracture) and cup revision without bone deficiency.
CONTRAINDICATIONS: Destruction/deformities of proximal femur or acetabulum, bone
deficiency or malignancy. SURGICAL TECHNIQUE: Strict lateral decubitus position.
Straight anterior incision of 10-12 cm, starting 2 cm lateral to the anterior
superior iliac spine. Incision of the fascia over the tensor fascia lata muscle
(TFL). Lateral retraction of the TFL. Incision of the fascia and medial
retraction of rectus femoris. Ligation of the ascending branch of the lateral
femoral circumflex artery. Detachment of the iliocapsularis muscle from the
capsule in a medial direction. Anterior capsule excision. Femoral neck osteotomy
and removal of the head. Reaming of the acetabulum; insertion the acetabular
component. Exposure of the femur. Incision/excision of the capsule medial to the
greater trochanter for easy anteriorization of the femur. Reaming and
implantation of femoral component. POSTOPERATIVE MANAGEMENT: Weight bearing on
day one with crutches for 4 weeks; deep vein thrombosis prophylaxis. RESULTS: In
all, 138 patients (72 women, 66 men, mean age of 67 years) were followed up over
2 years. Overall complication rate was 3.6%: 3 patients (2.2%) with grade III
complications required additional intervention. Acetabular cup inclination: 35-50
degrees in 88% of patients. Neutral femoral stem position observed in 99% of
patients. Mean Harris hip score improved from 61 preoperatively to 97 after 2
years. Patient satisfaction on a visual analogue scale improved from 3.7 to 9.5.
PMID- 29802427
TI - Correction to: Tumor fragmentation estimated by volume surface ratio of tumors
measured on 18F-FDG PET/CT is an independent prognostic factor of diffuse large B
cell lymphoma.
AB - A unit error concerning the tumor volume surface ratio (TVSR) is present
throughout the article. The unit reported is "cm" but is actually "mm".
PMID- 29802428
TI - Medical impulsive therapy (MIT): the impact of 1 week of preoperative tamsulosin
on deployment of 16-French ureteral access sheaths without preoperative ureteral
stent placement.
AB - INTRODUCTION AND OBJECTIVES: Medical expulsive therapy is based on pharmacologic
ureteral relaxation. We hypothesized this concept may facilitate the deployment
of the large 16 French (F) ureteral access sheath (UAS) when patients are
intentionally pre-treated with oral tamsulosin, i.e., medical impulsive therapy.
METHODS: We retrospectively analyzed our experience with UAS deployment during
endoscopic-guided percutaneous nephrolithotomy in prone position in patients pre
treated for 1 week with oral tamsulosin with a contemporary untreated cohort.
Between January 2015 and September 2016, seventy-seven patients without a pre
existing ureteral stent met inclusion criteria. Demographic data, tamsulosin
usage, UAS size, deployment failure, ureteral injuries, stone-free rates, and
complications were recorded. Univariate and multivariate analysis was conducted
to assess the impact of tamsulosin on deployment of the 16F UAS. RESULTS: There
was no statistical difference between the tamsulosin (n = 40) group and non
tamsulosin (n = 37) group in regard to demographic data. The tamsulosin group had
a significantly higher percentage of 16F UAS deployment, 87 vs. 43% (p < 0.001),
and no significant difference in ureteral injuries (p = 0.228). Univariate and
multivariate analysis revealed that tamsulosin significantly increased the odds
ratio (9.3 and 19.4, respectively) for successful passage of a 16F UAS. Despite a
larger stone volume, there was no significant difference in computed tomography
scan complete stone-free rates (29 vs. 42%; p = 0.277) at median post-operative
time of only 3 days. CONCLUSIONS: In this retrospective study, 1 week of
preoperative tamsulosin was associated with an increase in the deployment of a
16F UAS in patients without preoperative ureteral stent placement.
PMID- 29802429
TI - Genotoxic Assessment of Different Sizes of Iron Oxide Nanoparticles and Ionic
Iron in Earthworm (Eisenia hortensis) Coelomocytes by Comet Assay and
Micronucleus Test.
AB - The current study was designed to evaluate genotoxicity of different sizes of
iron oxide nanoparticles (IONPs) and ionic iron using coelomocytes of the
earthworms Eisenia hortensis. Earthworms were exposed to different series of
IONPs and ionic iron concentrations to find the respective LC50 of the chosen
chemicals. LC50 for < 50, <100 nm and the ionic iron of IONPs were 500, 200, 250
ug/mL respectively. Concentrations of LC50/2 (250, 100, 125 ug/mL for < 50, <100
nm and the ionic iron respectively) and LC50 for 48 h were used to perform the
comet assay and micronucleus test. Statistically significant (p < 0.05) increase
in DNA and chromosomal damage was observed for all sizes of IONPs and ionic iron.
In the comet assay system, the greatest genotoxicity was observed in the
treatments with < 100 nm IONPs, whereas the greatest numbers of micronuclei and
binucleate cells were observed in the treatments with ionic iron. It was
concluded that different types of nanoparticles (i.e. sizes, shapes) may have
different genotoxic potencies in different assays with E. hortensis earthworms.
PMID- 29802426
TI - Regulatory NK1.1-CD4+NKG2D+ subset induced by NKG2DL+ cells promotes tumor
evasion in mice.
AB - Regulatory T cells play critical roles in self-tolerance and tumor evasion.
CD4+NKG2D+ cells with regulatory activity are present in patients with NKG2DL+
tumors and juvenile systemic lupus erythematosus. We previously showed that TGF
beta-producing CD4+NKG2D+ T cells are present in pCD86-Rae-1epsilon transgenic
mice. Here, we performed both ex vivo and in vivo studies on pCD86-Rae-1epsilon
transgenic mice and an MC38 tumor-bearing mouse model and show that NK1.1
CD4+NKG2D+ T cells have regulatory activity in pCD86-Rae-1epsilon transgenic
mice. Furthermore, this T-cell subset was induced in mice transplanted with
NKG2DL+ tumor cells and produced TGF-beta and FasL, and secreted low amounts of
IFN-gamma. This T-cell subset downregulated the function of effector T cells and
dendritic cells, which were abolished by anti-TGF-beta antibody. In vivo,
adoptive transfer of NK1.1-CD4+NKG2D+ T cells promoted TGF-beta-dependent tumor
growth in mice. We further found that ex vivo induction of NK1.1-CD4+NKG2D+ T
cells was dependent on both anti-CD3 and NKG2DL stimulation. Furthermore,
regulatory NK1.1-CD4+NKG2D+ T cells did not express Foxp3 or CD25 and expressed
intermediate levels of T-bet. Western-blotting showed that STAT3 signaling was
activated in NK1.1-CD4+NKG2D+ T cells of MC38 tumor-bearing and pCD86-Rae
1epsilon transgenic mice. In conclusion, we describe a regulatory NK1.1
CD4+NKG2D+ T-cell population, different from other regulatory T cells and
abnormally elevated in pCD86-Rae-1epsilon transgenic and MC38 tumor-bearing mice.
PMID- 29802430
TI - Chronic PFOS Exposure Disrupts Thyroid Structure and Function in Zebrafish.
AB - Perfluorooctane sulfonic acid (PFOS), as a potential endocrine disrupting
chemical, is widely detected in the environment, wildlife and human. Currently
few studies have documented the effects of chronic PFOS exposure on thyroid in
aquatic organisms and the underlying mechanisms are largely unknown. The present
study assessed the effect of chronic PFOS exposure on thyroid structure and
function using zebrafish model. Zebrafish at 8 h post fertilization (hpf) were
exposed to PFOS (250 ug/l) until 120 d post fertilization (dpf). Thyroid hormone
(T3 and T4) level, thyroid morphology and thyroid function related gene
expression were evaluated in zebrafish at 120 dpf. Our findings demonstrated that
chronic PFOS exposure altered thyroid hormone level, thyroid follicular cell
structure and thyroid hormone related gene expression, suggesting the validity of
zebrafish as an alternative model for PFOS chronic toxicity screening.
PMID- 29802431
TI - Human bone marrow-resident and blood-circulating memory T lymphocytes.
PMID- 29802433
TI - LC/MS analysis of vitamin D metabolites by dielectric barrier discharge
ionization and a comparison with electrospray ionization and atmospheric pressure
chemical ionization.
AB - Serum vitamin D metabolite levels are of interest as biomarkers for vitamin D
status, which has influence on numerous body functions and pathologies. The
determination of vitamin D metabolite levels by liquid chromatography/mass
spectrometry (LC/MS) is challenging due to their low concentrations and
relatively low ionization efficiencies. Three ionization sources, dielectric
barrier discharge ionization (DBDI), atmospheric pressure chemical ionization
(APCI), and electrospray ionization (ESI), were compared regarding achievable
limits of detection and occurring matrix effects. The latter were mainly caused
by phospholipids. Therefore, in addition to a conventional solid phase extraction
(SPE) stationary phase, a material for selective removal of phospholipids was
examined. The selective removal of phospholipids significantly reduced observed
matrix effects, especially when ESI was applied. Achievable limits of detection
and observed matrix effects were lowest for APCI and with some limitations, also
for DBDI. Graphical abstract.
PMID- 29802432
TI - Assessment of morphological and anatomical characteristics of mental foramen
using cone beam computed tomography.
AB - PURPOSE: To determine the shape, position, vertical height, surrounding bone
characteristics, and opening angle of mental foramen (MF) using dental cone beam
computed tomography (CBCT). METHODS: A retrospective study was performed on 663
patients. CBCT records analyzed for the shape, position, and surrounding bone
measurements of the MF using Simplant 3D software (Hasselt, Belgium). Opening
angle of MF was also assessed. Kruskal-Wallis and Mann-Whitney U tests were
employed to test significant differences between parameters, genders and ages.
RESULTS: All mental foramina were visualized. Regarding location, 49.2% of the
MFs were located between first and second premolars, 7.7 distal and 39.7%
coincident to the apex of the mandibular second premolar. The mean MF opening
angle was 45.4 degrees on the right side, and 45.9 degrees on the left. There
were no statistically differences between gender groups with regard to the
opening angle degrees. CONCLUSIONS: This study may provide useful information
about variations in the position, shape and size, angle of mental foramen, which
may help the practitioners to perform safer mental nerve blocks and surgical
procedures.
PMID- 29802434
TI - Non-coplanar VMAT combined with non-uniform dose prescription markedly reduces
lung dose in breath-hold lung SBRT.
AB - BACKGROUND AND PURPOSE: In this retrospective treatment planning study, the
effect of a uniform and non-uniform planning target volume (PTV) dose coverage as
well as a coplanar and non-coplanar volumetric modulated arc therapy (VMAT)
delivery approach for lung stereotactic body radiation therapy (SBRT) in deep
inspiration breath-hold (DIBH) were compared. MATERIALS AND METHODS: For 46
patients with lesions in the peripheral lungs, three different treatment plans
were generated: First, a coplanar 220 degrees VMAT sequence with a uniform PTV
dose prescription (UC). Second, a coplanar 220 degrees VMAT treatment plan with
a non-uniform dose distribution in the PTV (nUC). Third, a non-coplanar VMAT dose
delivery with four couch angles (0 degrees , +/-35 degrees , 90 degrees ) and a
non-uniform prescription (nUnC) was used. All treatment plans were optimized for
pareto-optimality with respect to PTV coverage and ipsilateral lung dose.
Treatment sequences were delivered on a flattening-filter-free linear accelerator
and beam-on times were recorded. Dosimetric comparison between the three
techniques was performed. RESULTS: For the three scenarios (UC, nUC, nUnC),
median gross tumor volume (GTV) doses were 63.4 +/- 2.5, 74.4 +/- 3.6, and 77.9
+/- 3.8 Gy, and ipsilateral V10Gy lung volumes were 15.7 +/- 6.1, 13.9 +/- 4.7,
and 12.0 +/- 5.1%, respectively. Normal tissue complication probability of the
ipsilateral lung was 3.9, 3.1, and 2.8%, respectively. The number of monitor
units were 5141 +/- 1174, 4104 +/- 786, and 3657 +/- 710 MU and the corresponding
beam-on times were 177 +/- 54, 143 +/- 29, and 148 +/- 26 s. CONCLUSION: For SBRT
treatments in DIBH, a non-uniform dose prescription in the PTV, combined with a
non-coplanar VMAT arc arrangement, significantly spares the ipsilateral lung
while increasing dose to the GTV without major treatment time increase.
PMID- 29802437
TI - [Pain therapy for intensive care patients-are we doing everything we can?]
PMID- 29802436
TI - Overexpression of gene encoding the key enzyme involved in proline-biosynthesis
(PuP5CS) to improve salt tolerance in switchgrass (Panicum virgatum L.).
AB - KEY MESSAGE: Genetic improvement through overexpressing PuP5CS in switchgrass is
feasible for enhancing plant salt stress tolerance. Switchgrass (Panicum virgatum
L.) has developed into a dedicated bioenergy crop. To improve the biomass
production of switchgrass grown on different types of soil, abiotic stress
tolerance traits are considered for its genetic improvement. Proline accumulation
is a widespread response when plants are subjected to abiotic stresses such as
drought, cold and salinity. In plants, P5CS gene encodes the key regulatory
enzyme that plays a crucial role in proline biosynthesis. Here, we introduced the
PuP5CS gene (from Puccinellia chinampoensis) into switchgrass by Agrobacterium
mediated transformation. Transgenic lines overexpressing the PuP5CS gene showed
phenotypic advantages, in leaf width, internode diameter, internode length,
tiller numbers and precocious flowering under normal conditions, and the
transgenic lines displayed better regenerative capacity in forming more tillers
after harvest. Moreover, the PuP5CS gene enhanced the salt tolerance of
transgenic switchgrass by altering a wide range of physiological responses. In
accordance with the physiological results, histological analysis of cross
sections through the leaf blade showed that the areas of bulliform cells and
bundle sheath cells were significantly increased in PuP5CS-overexpressing leaves.
The expression levels of ROS scavenging-associated genes in transgenic plants
were higher than in control plants under salt stress. The results show that
genetic improvement through overexpressing PuP5CS in switchgrass is feasible for
enhancing plant stress tolerance.
PMID- 29802439
TI - [Supplement to the etymology of the term "barbiturate"].
PMID- 29802435
TI - Treatment planning for spinal radiosurgery : A competitive multiplatform
benchmark challenge.
AB - PURPOSE: To investigate the quality of treatment plans of spinal radiosurgery
derived from different planning and delivery systems. The comparisons include
robotic delivery and intensity modulated arc therapy (IMAT) approaches. Multiple
centers with equal systems were used to reduce a bias based on individual's
planning abilities. The study used a series of three complex spine lesions to
maximize the difference in plan quality among the various approaches. METHODS:
Internationally recognized experts in the field of treatment planning and spinal
radiosurgery from 12 centers with various treatment planning systems
participated. For a complex spinal lesion, the results were compared against a
previously published benchmark plan derived for CyberKnife radiosurgery (CKRS)
using circular cones only. For two additional cases, one with multiple small
lesions infiltrating three vertebrae and a single vertebra lesion treated with
integrated boost, the results were compared against a benchmark plan generated
using a best practice guideline for CKRS. All plans were rated based on a
previously established ranking system. RESULTS: All 12 centers could reach
equality (n = 4) or outperform (n = 8) the benchmark plan. For the multiple
lesions and the single vertebra lesion plan only 5 and 3 of the 12 centers,
respectively, reached equality or outperformed the best practice benchmark plan.
However, the absolute differences in target and critical structure dosimetry were
small and strongly planner-dependent rather than system-dependent. Overall,
gantry-based IMAT with simple planning techniques (two coplanar arcs) produced
faster treatments and significantly outperformed static gantry intensity
modulated radiation therapy (IMRT) and multileaf collimator (MLC) or non-MLC CKRS
treatment plan quality regardless of the system (mean rank out of 4 was 1.2 vs.
3.1, p = 0.002). CONCLUSIONS: High plan quality for complex spinal radiosurgery
was achieved among all systems and all participating centers in this planning
challenge. This study concludes that simple IMAT techniques can generate
significantly better plan quality compared to previous established CKRS
benchmarks.
PMID- 29802438
TI - [Systemic effects of amide-linked local anesthetics : Old drugs, new magic
bullets?]
AB - Besides the well-known analgesic effects of amide-linked local anesthetics
exerted via the inhibition of the voltage-gated sodium channel, these substances
also possess a certain number of properties, which bear the potential to
positively influence the outcome after surgery. The results of several
experimental as well as clinical studies suggest the possibility of an enhanced
recovery after surgery, reduction in the incidence of chronic pain, preservation
of endothelial barrier function during acute lung injury and the prevention of
metastasis of solid tumors by systemic effects of local anesthetic
administration. Mechanistic studies were able to identify several "new targets",
such as the inhibition of spinal glycine transporters or of inflammatory
signaling as induced by tumor necrosis factor alpha. Further elucidation of these
mechanistic pathways as well as the translation of these promising experimental
results into clinical practice is a crucial component of research activities in
the field of anesthesia.
PMID- 29802440
TI - [Patient endangerment due to device diversity? : Discussion of a risk factor
based on the results of two surveys of German hospitals].
AB - BACKGROUND: The Federal Institute for Drugs and Medical Devices (BfArM) was
notified of an event in which it was not possible to sufficiently ventilate a
patient suffering a severe asthma attack. It turned out that the ventilation
pressures used by the device for pressure-controlled ventilation were below the
values set by the user, which the user was not aware of. The ventilation
pressures chosen by the user exceeded the preset alarm limits of the ventilator.
This pressure and alarm management significantly differed from that of other
ventilators used in the hospital. This and similar incident reports suggest that
safely operating medical devices for anesthesia and intensive care may be
impaired when different models of a device are used within a hospital. If
different models are used, more device information needs to be stored in memory.
Existing knowledge on human memory suggests that the more individual memory items
(e. g. different operating rules) are stored, the greater the risk of memory
interference and hence of impaired retrieval, particularly if the different items
are associated with overlapping retrieval cues. This is the case when different
devices are used for a single functional purpose under identical or similar
circumstances. OBJECTIVE: Based on individual incident reports and theoretical
knowledge on an association between device diversity and use problems, this study
aimed to determine the organizational conditions regarding device diversity that
prevail in German hospitals. Additionally, the anesthetists' perspectives and
experiences in defined clinical settings were investigated. METHODS: For selected
groups of medical devices, the biomedical engineers of German hospitals were
surveyed about the different makes used in their hospital. Additionally,
questionnaires were sent to a department of anesthesiology of a large University
Hospital to investigate the personal experiences of working with different makes
and models of a device. RESULTS: Using devices by different manufacturers was
particularly frequent for ventilators, but there were also a considerable number
of hospitals with syringe pumps and patient monitoring systems from different
manufacturers. Almost all participants stated that they work or have worked with
different models of a device. The majority of respondents had encountered
problems or errors, which they ascribed to the requirement to learn a different
method of operation for each device; however, they also listed various benefits,
for instance the possibility to optimally address the requirements of specific
situations or patient groups. Both biomedical engineers and anesthetists
suggested a homogeneous device pool within the hospital and regular and repeated
training sessions for each device model used. DISCUSSION: Using different device
models for anesthesia and intensive care seems to be common in many German
hospitals, particularly for ventilators. An association between device diversity
and problems operating a device is plausible, given the functioning of human
memory. This topic should be investigated by future studies in order to identify
factors that may contribute to such problems and possible solutions for clinical
settings. Likewise, the potential benefits of having different device models at
one's disposal should be evaluated. To pinpoint the measures that will be most
effective given the specific settings of the individual hospital, all underlying
clinical and economic considerations must be carefully balanced against the
associated potential risks.
PMID- 29802441
TI - [Sepsis detection in emergency medicine : Results of an interprofessional survey
on sepsis detection in prehospital emergency medicine and emergency departments].
AB - BACKGROUND: Sepsis is associated with a high mortality, which can be reduced by
starting screening, diagnostics and treatment as early as possible. Due to
multiple educational programs and increased awareness, a decreased sepsis
mortality on intensive care units has been achieved. Many patients with sepsis
are admitted by the prehospital emergency service to hospital emergency
departments. Thus, prehospital emergency services and emergency departments
provide an opportunity to start screening, diagnosis and treatment earlier.
OBJECTIVES: To detect sepsis it is paramount that emergency personnel are aware
of the disease and have a profound knowledge regarding symptoms, screening and
diagnostics. The objective of this survey was to examine the state of knowledge
regarding sepsis among staff working in emergency medicine. MATERIAL AND METHODS:
To assess the awareness and knowledge, a paper-based, anonymous survey was
conducted among prehospital and emergency department personnel from May to August
2017 in northeastern Germany. Testing of significance was carried out using the
chi2-testand Fisher's exact test. RESULTS: Out of 411 persons polled 212 answered
(response rate 51.6%) and 24 questionnaires were incomplete and thus excluded. A
total of 188 questionnaires were included covering 55 emergency physicians, 23
nurses, 82 paramedics and 19 emergency dispatchers. On a 4-point Likert scale
100% of emergency doctors, 96% of nurses, 84% of paramedics and 84% of emergency
dispatchers considered early initiation of sepsis treatment to be important.
Additionally, 92% of emergency physicians and 65% of nurses had attended
educational programs on sepsis within the last year, which is significantly
higher than among paramedics (19%, p < 0.01) and emergency dispatchers (21%, p =
0.025). In addition, 38% of paramedics and 47% of emergency dispatchers had never
attended lectures on sepsis. The quick sequential (sepsis-related) organ failure
assessment (qSOFA) was known by 80% of emergency doctors, thus, significantly
more often than by nurses (26%), paramedics (29%) and emergency dispatchers (29%,
p < 0.01). The emergency personnel were asked to tick all symptoms they
associated with sepsis from a display of 14 symptoms. Among all occupation groups
the majority selected "increased body temperature", "drop in blood pressure" and
"altered breathing". In relation to "increased body temperature" the symptom
"altered mental status" was selected significantly more frequently by emergency
doctors than by nurses and paramedics (p = 0.02 and p < 0.01, respectively). The
combination of at least all 3 qSOFA parameters was selected significantly more
often by emergency doctors (62%) than by nurses (13%) and paramedics (10%, p =
0.017 and p < 0.01, respectively). CONCLUSION: Although emergency personnel rated
an early initiation of sepsis treatment as important, sepsis knowledge was
limited. While the majority of emergency doctors and many nurses had attended
educational programs on sepsis within the last year, an alarmingly high
percentage of paramedics and emergency dispatchers had never received sepsis
education. Emergency personnel are mostly unfamiliar with the qSOFA score and did
not associate an altered mental status with sepsis. In light of the high sepsis
morbidity and mortality, further achievements might be made by initiating sepsis
screening and diagnostics in the prehospital setting. Analogous to advancements
in intensive care units, increased educational programs for emergency personnel
might lead to an earlier detection and improved prognosis of sepsis.
PMID- 29802443
TI - Pharmacodynamic study using FLT PET/CT in advanced solid malignancies treated
with a sequential combination of X-82 and docetaxel.
AB - BACKGROUND: A sequential approach, synchronizing cell-cycle specific chemotherapy
during VEGFR-TKI treatment breaks, may improve the therapeutic index of this
combination therapy. In this study we investigate the safety/tolerability and
pharmacodynamic effects of docetaxel used in sequential combination with the
novel VEGFR-TKI X-82. METHODS: Patients with advanced solid malignancies
underwent 21-day treatment cycles with X-82 administered daily on days 1-14, a
treatment break on days 15-20, and docetaxel administered on day 21.
Randomization was 1:1 to either a low-dose X-82 (200 mg) or high-dose X-82 (400
mg) arm. Patients were scheduled to undergo four 3'-deoxy-3'-18F-fluorothymidine
(FLT) PET/CT scans to assess changes in tumor cell proliferation. PET
standardized uptake values (SUV) were summarized for tumors and changes were
assessed using mixed effects models. RESULTS: 14 patients were enrolled and
treated with median 3.5 cycles (range 0-12). Three patients in the high-dose
cohort (50%) and three patients in the low-dose cohort (38%) experienced at least
one grade 3 adverse event during the study (infections, cytopenias, electrolyte
abnormalities, and vascular complications). Four patients with 13 metastatic
tumors underwent FLT PET/CT scanning. During the cycle 1 X-82 exposure period,
tumor SUVmax decreased by - 11% (p = 0.04). After administration of docetaxel and
the cycle 2 X-82 exposure period, tumor SUVmax decreased - 44% (p = 0.03).
CONCLUSIONS: The sequential combination of X-82 and docetaxel was safe and led to
diminished FLT uptake. Further, decrease in FLT uptake during cycle 2 (X-82 plus
docetaxel) was greater than in cycle 1 (X-82 alone), suggesting sequential
chemotherapy enhances the pharmacodynamic effect of therapy.
PMID- 29802442
TI - [Influence of rocuronium dose on the effectiveness of mask ventilation : A
prospective, randomized clinical trial].
AB - BACKGROUND: Mask ventilation could improve after administration of muscle
relaxants if there is a functional obstruction of the airway, such as
laryngospasm, vocal cord closure or opioid-induced muscle rigidity. On the other
hand, muscle relaxants could worsen mask ventilation because they induce upper
airway collapse; however, clinical studies showed that rocuronium (Roc) improved
mask ventilation or it remained unchanged. In most cases Roc 0.06 mg/kgBW was
used. OBJECTIVE: The optimal dose of Roc has not been studied; therefore, we
studied the quality of mask ventilation with three different doses of Roc (0.3,
0.6 and 0.9 mg/kgBW) and compared them with a control group receiving saline.
MATERIAL AND METHODS: In this prospective clinical trial 200 patients were
randomized into 4 groups: NaCl (saline), Roc03 (Roc 0.3 mg/kgBW), Roc06 (Roc 0.6
mg/kgBW) and Roc09 (Roc 0.9 mg/kgBW). Mask ventilation was performed to reach a
tidal volume (TV) of >5.0 ml/kgBW; maximum ventilation peak pressure (ppeak) was
limited to 25 mbar. If this TV was not reached, mask ventilation was improved by
better mask position, head position or/and usage of a Guedel tube (oropharyngeal
airway). During mask ventilation ppeak and TV were recorded and the quality of
mask ventilation was assessed with the Han and Warters scores. The quality of
mask ventilation between the four groups was compared for all patients and a
subgroup analysis was performed for patients of the study groups, who had to be
ventilated with a Guedel tube and for obese patients (body mass index >=26
kg/m2). A sample size calculation revealed that at least 38 patients were
necessary for each group to detect a statistically significant difference between
groups; it was assumed that Roc improved the efficacy of mask ventilation by 20%
compared to saline (alpha = 0.05, 1-beta = 0.8). RESULTS: The administration of
Roc significantly improved the TV/ppeak ratio compared to saline (p = 0.04);
however, this effect was irrespective of the dose. In patients who were
ventilated with the Guedel tube the TV/ppeak ratio increased after Roc03 (p <=
0.01) and after Roc06 (p < 0.02) compared to the saline group. In obese patients
who were ventilated with the Guedel tube the TV/ppeak ratio increased after Roc03
(p <= 0.01), after Roc06 (p = 0.03) and after Roc09 (p = 0.02) compared to the
saline group. There were no significant differences between the Roc groups;
however, the effect was more pronounced in the Roc03 patients compared to the
other Roc groups. The Han and Warters scores were not significantly different
between the Roc groups and the saline group. CONCLUSION: The efficacy of mask
ventilation was equal or improved after administration of Roc but did not become
worse. Patients who were ventilated with a Guedel tube had higher TV/ppeak ratios
after Roc03 and Roc06 compared to saline. Higher dosages (>Roc06), however, had
no advantages concerning quality of mask ventilation. In obese patients who had
to be ventilated with a Guedel tube, Roc also improved the efficacy of mask
ventilation. We conclude that administration of Roc is effective in improving
mask ventilation and this effect was seen after 30-60 s even after Roc03.
PMID- 29802444
TI - The factors associated with successful early enteral feeding in gastroschisis.
AB - BACKGROUND: Gastroschisis is the most common congenital abdominal wall defect.
Due to the exposure of midgut to amniotic fluid, the recovery of bowel function
is often delayed. This study aimed to identify the factors associated with the
successful early enteral feeding in gastroschisis and to develop further
guidelines of treatment. METHODS: A retrospective cohort study of gastroschisis
babies from January 2006 to December 2015 was done. Exclusion criteria were
incomplete data and death. Successful early enteral feeding was defined when full
feeding was achieved within 21 days of life. RESULTS: One hundred and five
gastroschisis patients were divided into a successful early-feeding group (n =
56, 53%) and a non-successful early-feeding group (n = 49, 46%). In multivariable
analysis, significant factors for successful feeding clustered by primary
treatment were female (RR = 1.38, P value < 0.001), gestational age > 36 weeks
(RR = 1.23, P value < 0.001), age at surgery less than 10 h (RR = 1.15, P value <
0.001), postoperative extubation time < 4 days (RR = 1.39, P value < 0.001), and
age when feeding started less than 10 days (RR = 35.69, P value < 0.001).
CONCLUSION: Several factors were found to be associated with successful early
enteral feeding. The modifiable factors found in this study were surgery within
10 h, early postoperative extubation within 4 days, and feeding started before 10
days of life. These will guide the management of gastroschisis to achieve
successful early enteral feeding.
PMID- 29802445
TI - Pacing in neurocardiogenic/vasovagal syncope.
AB - Pacing for neurocardiogenic or vasovagal syncope (VVS) has been practised for
five decades, but the 1986 advent of tilt testing provided a means of diagnosis
frequently revealing, in the early days, asystole caused by VVS. This was the
basis for pacing these patients and the first studies created enthusiasm followed
by randomised controlled trials, which were imperfectly designed, "confirming"
benefit. When better trial design was employed, there was no obvious benefit.
However, some cardiologists had seen patients experience a huge positive
difference with pacing, so they set out to identify them. Two studies using ECG
loop recorders to document heart rhythm during spontaneous attacks allowed better
patient selection for pacing and appeared to achieve the aim. Further, it was
noted in the second study, a randomised controlled trial (RCT) with good design,
that tilt testing added a further dimension to the identification of the patient
who would benefit. Thus, loop recorders are used to show asystole in spontaneous
attacks and when tilt testing is negative, implying a lesser vasodepressor
component, the patient will have the best outcome. From the available evidence,
pacing should be dual-chamber in older patients (>40 years) with severe symptoms
and in whom standard measures have demonstrably failed. The method of triggering
pacing and its timing of introduction have not yet been resolved. Today's method
is rate-hysteresis but there is another sensed event as an alternative: right
ventricular impedance, which is now in RCT with substantial pilot evidence in its
favour.
PMID- 29802446
TI - Correction to: Robot-assisted laparoscopic pyeloplasty: a single-centre
experience.
AB - In the original version of this article, Oussama Elhage's name was spelled
incorrectly. It is correct as displayed above.
PMID- 29802447
TI - Increased cognitive control after task conflict? Investigating the N-3 effect in
task switching.
AB - Task inhibition is considered to facilitate switching to a new task and is
assumed to decay slowly over time. Hence, more persisting inhibition needs to be
overcome when returning to a task after one intermediary trial (ABA task
sequence) than when returning after two or more intermediary trials (CBA task
sequence). Schuch and Grange (J Exp Psychol Learn Mem Cogn 41:760-767, 2015) put
forward the hypothesis that there is higher task conflict in ABA than CBA
sequences, leading to increased cognitive control in the subsequent trial. They
provided evidence that performance is better in trials following ABA than
following CBA task sequences. Here, this effect of the previous task sequence ("N
3 effect") is further investigated by varying the cue-stimulus interval (CSI),
allowing for short (100 ms) or long (900 ms) preparation time for the upcoming
task. If increased cognitive control after ABA involves a better preparation for
the upcoming task, the N-3 effect should be larger with long than short CSI. The
results clearly show that this is not the case. In Experiment 1, the N-3 effect
was smaller with long than short CSI; in Experiment 2, the N-3 effect was not
affected by CSI. Diffusion model analysis confirmed previous results in the
literature (regarding the effect of CSI and of the ABA-CBA difference); however,
the N-3 effect was not unequivocally associated with any of the diffusion model
parameters. In exploratory analysis, we also tested the alternative hypothesis
that the N-3 effect involves more effective task shielding, which would be
reflected in reduced congruency effects in trials following ABA, relative to
trials following CBA; congruency effects did not differ between these conditions.
Taken together, we can rule out two potential explanations of the N-3 effect:
Neither is this effect due to enhanced task preparation, nor to more effective
task shielding.
PMID- 29802448
TI - Evolution of increased Medicaco polymorpha size during invasion does not result
in increased competitive ability.
AB - Species invading new habitats experience novel selection pressures that can lead
to rapid evolution, which may contribute to invasion success and/or increased
impact on native community members. Many studies have hypothesized that plants in
the introduced range will be larger than those in the native range, leading to
increases in competitive ability. There is mixed support for evolution of larger
sizes in the introduced range, but few studies have explicitly tested whether
evolutionary changes result in decreased competitive responses or increased
competitive effects on other species in the community. Here, we show that
introduced Medicago polymorpha genotypes produced 14% more aboveground and 41%
more belowground biomass than genotypes from the native range, suggesting that
evolutionary changes in size occurred after introduction. However, these size
differences were only observed in the absence of competition. The competitive
effects of introduced and native range genotypes on three species that commonly
co-occur with Medicago in invaded regions were remarkably similar. These results
suggest that evolutionary increases in size during biological invasions do not
necessarily alter the competitive effects of the invader on other community
members, but may increase invasion success in disturbed or low competition
environments.
PMID- 29802450
TI - The diagnosis of PCOS in young infertile women according to different diagnostic
criteria: the role of serum anti-Mullerian hormone.
AB - PURPOSE: To diagnose polycystic ovary syndrome (PCOS) in young infertile women
using different diagnostic criteria. To define serum anti-Mullerian hormone (AMH)
cutoff values for PCOS definition. To investigate the correlation between AMH and
body mass index (BMI). METHODS: Retrospective case-control study. A total of 140
infertile women (age 21-35 years) were enrolled. PCOS was defined according to
the National Institutes of Health (NIH) criteria, the Rotterdam consensus
criteria and the Androgen Excess and PCOS Society (AE-PCOS) criteria. ROC curve
analysis was performed to define AMH thresholds for PCOS definition according to
the three different diagnostic criteria. Correlation between AMH and BMI was
investigated. RESULTS: The prevalence of PCOS under the NIH criteria, the
Rotterdam criteria and the AE-PCOS criteria was 27.1, 40 and 29.3%, respectively.
The optimal thresholds of AMH to distinguish NIH PCOS from infertile controls was
5.20 ng/ml (AUC = 0.86, sensitivity 79%, specificity 80%); the best cutoff to
detect Rotterdam PCOS was 4.57 ng/ml (AUC = 0.85, sensitivity 78%, specificity
81%); a cutoff of 4.85 ng/ml (AUC = 0.85, sensitivity 80%, specificity 78%)
defined PCOS women according to AE-PCOS criteria. The prevalence of the syndrome
became 37.1, 44.3 and 39.2% according to the three criteria, respectively, using
AMH threshold between 4.57 and 5.20 ng/ml as an alternative to antral follicle
count and/or hyperandrogenism. CONCLUSION: Anti-Mullerian hormone may reconcile
the three diagnostic criteria and allow the PCOS diagnosis in women with mild
symptoms. No significant correlation was found between AMH and BMI in PCOS women
and controls.
PMID- 29802451
TI - A Call for Electronic Health Record-based Data Sharing for Clinical Trials in
Critical Care.
PMID- 29802449
TI - Bidirectional backcrosses between wild and cultivated lettuce identify loci
involved in nonhost resistance to downy mildew.
AB - KEY MESSAGE: The nonhost resistance of wild lettuce to lettuce downy mildew seems
explained by four components of a putative set of epistatic genes. The
commonplace observation that plants are immune to most potential pathogens is
known as nonhost resistance (NHR). The genetic basis of NHR is poorly understood.
Inheritance studies of NHR require crosses of nonhost species with a host, but
these crosses are usually unsuccessful. The plant-pathosystem of lettuce and
downy mildew, Bremia lactucae, provides a rare opportunity to study the
inheritance of NHR, because the nonhost wild lettuce species Lactuca saligna is
sufficiently cross-compatible with the cultivated host Lactuca sativa. Our
previous studies on NHR in one L. saligna accession led to the hypothesis that
multi-locus epistatic interactions might explain NHR. Here, we studied NHR at the
species level in nine accessions. Besides the commonly used approach of studying
a target trait from a wild donor species in a cultivar genetic background, we
also explored the opposite, complementary approach of cultivar introgression in a
wild species background. This bidirectional approach encompassed (1) nonhost into
host introgression: identification of L. saligna derived chromosome regions that
were overrepresented in highly resistant BC1 plants (F1 * L. sativa), (2) host
into nonhost introgression: identification of L. sativa derived chromosome
regions that were overrepresented in BC1 inbred lines (F1 * L. saligna) with
relatively high infection levels. We demonstrated that NHR is based on resistance
factors from L. saligna and the genetic dose for NHR differs between accessions.
NHR seemed explained by combinations of epistatic genes on three or four
chromosome segments, of which one chromosome segment was validated by the host
into nonhost approach.
PMID- 29802452
TI - Magnetic microspheres modified with Ti(IV) and Nb(V) for enrichment of
phosphopeptides.
AB - Magnetic microspheres (Fe3O4) were coated with polydopamine (PDA) and loaded with
the metal ions Ti(IV) and Nb(V) to give a material of type Fe3O4@PDA-Ti/Nb. It is
shown to be useful for affinity chromatography and for enrichment of
phosphopeptides from both standard protein solutions and real samples. For
comparison, such microspheres loaded with single metal ions only (Fe3O4@PDA-Ti
and Fe3O4@PDA-Nb) and their physical mixtures were also investigated under
identical conditions. The binary metal ion-loaded magnetic microspheres display
better enrichment efficiency than the single metal ion-loaded microspheres and
their physical mixture. Both multiphosphopeptides and monophosphopeptides can be
extracted. The Fe3O4@PDA-Ti/Nb microspheres exhibit ultra-high sensitivity (the
lowest detection amount being 2 fmol) and selectivity at a low mass ratio such as
in case of beta-casein/BSA (1:1000). Graphical abstract Magnetic microspheres
(Fe3O4) were coated with polydopamine (PDA) and loaded with the metal ions Ti(IV)
and Nb(V) to give a material of type Fe3O4@PDA-Ti/Nb. Results showed its great
potential as an affinity probe in phosphoproteome research due to rapid magnetic
separation of phosphopeptides, ultrahigh sensitivity and selectivity, and
remarkable reusability.
PMID- 29802453
TI - Trace metal (Cd, Cu, Pb, Zn) fractionation in urban-industrial soils of Ust
Kamenogorsk (Oskemen), Kazakhstan-implications for the assessment of
environmental quality.
AB - Ust-Kamenogorsk is one of the largest cities and industrial centers in
Kazakhstan. Non-ferrous metallurgy (Zn-Pb smelter) has acted as a predominating
industrial branch in the city since late 1940s. The industrial plants are
situated directly adjacent to the residential area of the city which creates
grievous ecotoxicological hazard. In the present paper, we aimed at assessing the
trace metal pollution of top soils in Ust-Kamenogorsk and its potential threats
to the local population. The top soils were sampled at 10 sites throughout the
city center. We determined the physical and chemical properties of soils as well
as the contents of Cd, Cu, Pb, and Zn. In addition, the soil samples were
subjected to a five-step sequential extraction to ascertain the fractionation of
trace metals. On this basis, we calculated the geoaccumulation index (Igeo) and
pollution load index (PLI) and assessed bioavailability of the elements. From our
data, it emerged that the soils displayed a strong polymetallic pollution. PLI
was as high as 33.4. Throughout the city, the trace metal contents exceeded the
geochemical background and allowable values for residential, recreational, and
institutional areas. The Igeo obtained were 3.7-6.5 for Cd, 1.5-4.7 for Cu, 2.8
5.7 for Pb, and 2.6-4.6 for Zn. The soils in Ust-Kamenogorsk displayed extremely
high contamination with Cd, moderate to strong contamination with Pb and Zn, and
low to moderate contamination with Cu. Cd and Pb were found to be the most
bioavailable elements. The mobility of trace metals in the soils changed in the
order Cd > Pb > Zn > Cu.
PMID- 29802454
TI - The effect of surgeon's learning curve: complications and outcome after hip
arthroscopy.
AB - INTRODUCTION: The aim of the present study was to determine the incidence and
type of complications during and after hip arthroscopy as well as the effect of
the surgeon's learning curve on the occurrence of complications. We expect that
the currently reported prevalence especially of minor complications is likely to
be underreported in most retrospective series based on chart analysis. MATERIALS
AND METHODS: The study included all consecutive patients who underwent hip
arthroscopy between 2006 and 2014 at a minimum follow-up of 6 weeks starting with
the first patient undergoing hip arthroscopy at the institution. Patient outcome
was evaluated using the WOMAC score, VAS for pain, SF-36 questionnaire and the
hip-outcome score. Additionally, intra- and postoperative complications were
recorded via a questionnaire and additional review of patient files. RESULTS: We
identified 529 patients who underwent hip arthroscopy between 2006 and 2014.
Complete data could be gathered from 485 patients (91.7%). Major complications
occurred in three patients (0.6%; fractures of the femoral neck requiring
surgical treatment in one case). Minor complications that did not require further
intervention were self-limiting postoperative temporary neurapraxia, hematoma,
self-limiting dyspareunia, deep vein thrombosis and impaired wound healing, with
hematoma and temporary paresthesia due to traction neurapraxia being the most
common ones (22.5 and 16.4% respectively). The overall re-operation rate was
15.7% with conversion to total hip arthroplasty being the most common (11.9%).
CONCLUSIONS: The overall major complication rate was low and thus hip arthroscopy
can be rated as a safe procedure. But minor complications such as hematoma and
temporary paresthesia due to traction neurapraxia are common and currently
underreported. Surgeons' learning curves show a reduction of major complications
once 60 procedures per surgeon per year is surpassed.
PMID- 29802455
TI - Relationship between TNF-alpha -1031T/C gene polymorphism, plasma level of TNF
alpha, and risk of cachexia in head and neck cancer patients.
AB - BACKGROUND: Malnutrition and cachexia are frequent among head and neck cancer
(HNC) patients and these syndromes are associated with both poor quality of life
and unfavorable disease prognosis. Unfortunately, there are still no established
biomarkers that could predict the development of cachexia. Among potential
molecular alterations related to cancer cachexia, there are single-nucleotide
polymorphisms (SNPs) within genes encoding pro-inflammatory cytokines such as TNF
alpha. THE AIM OF THE STUDY: To investigate TNF-alpha -1031T/C SNP as a risk
factor of cachexia in 62 HNC patients subjected to radiotherapy. DNA was isolated
from whole blood samples and genotyping was conducted using real-time PCR method
by means of TaqMan SNP Genotyping Assay. TNF-alpha Human ELISA Kit was used to
determine TNF-alpha concentration in each extracted plasma sample. Moreover, the
relationship between genotype variants of TNF-alpha and plasma level of TNF-alpha
was examined. Detailed clinical-demographic and nutritional data were collected
from each study participant. RESULTS: CC genotype carriers were at a
significantly higher risk of being qualified as cachectic compared with other
genotype carriers (p = 0.044; HR = 3.724). Subjects, who carried CC genotype had
significantly lower body mass compared to patients with TT and CT genotype (p =
0.045). Moreover, CC individuals had the highest TNF-alpha plasma level (median
10.70 +/- 0.72 pg/mL, p = 0.006) among the studied cases. We also noted, that CC
genotype carriers had significantly higher risk of early death incidence compared
to other genotype carriers [overall survival (OS): 28 vs 38 months (HR = 3.630, p
= 0.013)]. CONCLUSION: Despite the differences between SGA and NRS scoring, the
presence of CC genotype could be a useful objective marker allowing for the
prediction of cachexia development in both parenterally nourished and non
parenterally nourished patients. Patients with CC genotype had also the highest
risk of early death incidence; therefore, such individuals should be qualified
for parenteral nutrition and supportive care at the time of diagnosis to improve
further therapy outcomes. Moreover, this is the first study demonstrating the
relationship between TNF-alpha -1031T/C polymorphism and plasma level of TNF
alpha. This is also the first paper investigating the role of TNF-alpha -1031T/C
in cancer cachexia.
PMID- 29802456
TI - Machine learning identifies a core gene set predictive of acquired resistance to
EGFR tyrosine kinase inhibitor.
AB - PURPOSE: Acquired resistance (AR) to epidermal growth factor receptor tyrosine
kinase inhibitors (EGFR-TKIs) is a major issue worldwide, for both patients and
healthcare providers. However, precise prediction is currently infeasible due to
the lack of an appropriate model. This study was conducted to develop and
validate an individualized prediction model for automated detection of acquired
EGFR-TKI resistance. METHODS: Penalized regression was applied to construct a
predictive model using publically available genomic cohorts of acquired EGFR-TKI
resistance. To develop a model with enhanced generalizability, we merged multiple
cohorts then updated the learning parameter via robust cross-study validation.
Model performance was evaluated mainly using the area under the receiver
operating characteristic curve. RESULTS: Using a multi-study-derived machine
learning method, we developed an extremely parsimonious model with generalized
predictors (DDK3, CPS1, MOB3B, KRT6A), which has excellent prediction performance
on blind cohorts for AR to EGFR-TKIs (gefitinib, erlotinib and afatinib) and
monoclonal antibody against EGFR (cetuximab). In addition, our model also showed
high performance for predicting intrinsic resistance (IR) to EGFR-TKIs from two
large-scale pharmacogenomic resources, the Cancer Genome Project and the Cancer
Cell Line Encyclopedia, suggesting that these general predictive features may
work across AR and IR. CONCLUSIONS: We successfully constructed a multi-study
derived prediction model for acquired EGFR-TKI resistance with excellent
accuracy, generalizability and transferability.
PMID- 29802457
TI - Correction to: The novel cutoff points for the FIB4 index categorized by age
increase the diagnostic accuracy in NAFLD: a multi-center study.
AB - The coauthor Masashi Yoneda's affiliation has been incorrectly published in the
original publication of the article. The correct affiliation is provided in this
correction.
PMID- 29802458
TI - Treatment of classical Hodgkin lymphoma in the era of brentuximab vedotin and
immune checkpoint inhibitors.
AB - The majority of Hodgkin lymphoma patients are now cured with conventional first
line therapy; however, 10-15% of early-stage disease and less than 30% of
advanced-stage patients are refractory(rare) or relapsed. Salvage second-line
therapy combined with high-dose therapy and autologous stem-cell transplantation
can cure 40-50% of patients. Recently novel agents (Brentuximab Vedotin and
Immune Checkpoint inhibitors) have demonstrated evidence of therapeutic activity
and are potential bridge to an allogeneic stem-cell transplantation. The review
is aimed to present not only salvage strategies; indeed, the paper contains
paragraphs about therapy and new treatment options at diagnosis.
PMID- 29802459
TI - Substituent effect of the stacking interaction between carbon monoxide and
benzene.
AB - Noncovalent interactions (NCIs) between carbon monoxide and substituted benzene
were investigated at the M06-2X/6-311++G(d,p) level. rThe results of interaction
energy analysis indicated different effects for the electron-donating (-NH2, -OH,
-CH3) and electron-withdrawing (-F, -CN, -NO2) groups on the CO?PhX complex.
Atoms in molecules analysis confirmed the NCIs between CO and PhX. NCI analysis
revealed that these interactions belong to van der Waals interactions. The
electron density shift of the complexes was investigated with electron density
difference analysis. Ternary CO?PhX?Bz complexes were designed to study the
interplay between CO?pi and pi?pi stacking interactions.
PMID- 29802460
TI - Non-pathological complete paternal uniparental isodisomy of chromosome 2 revealed
in a maternity testing case.
AB - We present a duo paternity test case to assess the biological relationship
between a woman and her female child. After analyzing 57 autosomal and 19 X
chromosomal short tandem repeat loci, mother-daughter exclusions were discovered
at four loci, which were all located on chromosome 2. Further testing of whole
genome single nucleotide polymorphisms confirmed that the daughter had complete
uniparental disomy (UPD) of chromosome 2. This study presents a cautionary case
demonstrating that hasty decisions of parentage exclusion should not be made when
genetic markers on the same chromosome do not conform to Mendel's laws due to
UPD.
PMID- 29802462
TI - Median urinary iodine concentration reflected sufficient iodine supply in
neonates from Northeast Germany in 2005-2006.
AB - PURPOSE: Data from recent adult studies suggest a decline of median urinary
iodine concentrations (UIC) in Germany, but since 1996 no German study
investigated UIC in neonates. The aim of our study was to investigate UIC and
serum thyroid-stimulating hormone (TSH) levels in neonates from Germany. METHODS:
We used data from 399 neonates, which were born between April 2005 and November
2006 in the Northeast of Germany. UIC were evaluated by a photometric procedure
with Sandell and Kolthoff reaction and afterwards corrected to be comparable with
an ICP-MS method. TSH was determined from capillary blood, which was taken within
5 days after birth, by DELFIA. RESULTS: Median UIC were 150 ug/L (25th
percentile: 104 ug/L; 75th percentile: 196 ug/L) and differed between boys (153.3
ug/L) and girls (131.5 ug/L; p = 0.012). The prevalence of serum TSH levels > 5
mIU/L was 14%. Neonates from mothers with intake of iodine supplementation (150
ug/L) had significantly higher median UIC than neonates from mothers without
iodine supplementation (132 ug/L; p = 0.011). Multivariable linear regression
adjusted for sex and iodine supplementation of the mother revealed a significant
association between UIC and log-transformed serum TSH levels (beta = 0.003: 95%
confidence interval (CI) = 0.0001-0.005; p = 0.028). CONCLUSIONS: Neonates in
Northeast Germany did show a sufficient supply of iodine. This points towards the
possibility of a sufficient iodine supply of neonates also in other regions of
Germany, even though recent studies in adults may indicate mild iodine
deficiency.
PMID- 29802463
TI - PTSD and gender: could gender differences in war trauma types, symptom clusters
and risk factors predict gender differences in PTSD prevalence?
AB - The female-male ratio in the prevalence of post-traumatic stress disorder (PTSD)
is approximately 2:1. Gender differences in experienced trauma types, PTSD
symptom clusters, and PTSD risk factors are unclear. We aimed to address this gap
using a cross-sectional design. A sample of 991 civilians (522 women, 469 men)
from South Lebanon was randomly selected in 2007, after the 2006 war. Trauma
types were grouped into disaster and accident, loss, chronic disease, non
malignant disease, and violence. PTSD symptom clusters involved re-experiencing,
avoidance, negative cognitions and mood, and arousal. These were assessed using
parts I and IV of the Arabic version of the Harvard Trauma Questionnaire (HTQ).
Risk factors were assessed using data from a social support and life events
questionnaire in multiple regression models. Females were twice as likely as
males to score above PTSD threshold (24.3 vs. 10.4%, p ? 0.001). Total scores on
all trauma types were similar across genders. Females scored higher on all
symptom clusters (p < 0.001). Social support, social life events, witnessed
traumas, and domestic violence significantly were associated with PTSD in both
genders. Social support, social life events, witnessed traumas and domestic
violence were significantly associated with PTSD in both genders. Conversely,
gender difference in experienced traumas was not statistically significant. These
findings accentuate the need to re-consider the role of gender in the assessment
and treatment of PTSD.
PMID- 29802461
TI - Separation/extraction, detection, and interpretation of DNA mixtures in forensic
science (review).
AB - Interpreting mixed DNA samples containing material from multiple contributors has
long been considered a major challenge in forensic casework, especially when
encountering low-template DNA (LT-DNA) or high-order mixtures that may involve
missing alleles (dropout) and unrelated alleles (drop-in), among others. In the
last decades, extraordinary progress has been made in the analysis of mixed DNA
samples, which has led to increasing attention to this research field. The advent
of new methods for the separation and extraction of DNA from mixtures, novel or
jointly applied genetic markers for detection and reliable interpretation
approaches for estimating the weight of evidence, as well as the powerful
massively parallel sequencing (MPS) technology, has greatly extended the range of
mixed samples that can be correctly analyzed. Here, we summarized the
investigative approaches and progress in the field of forensic DNA mixture
analysis, hoping to provide some assistance to forensic practitioners and to
promote further development involving this issue.
PMID- 29802466
TI - Letter to the Editor concerning "Percutaneous cement discoplasty for the
treatment of advanced degenerative disk disease in elderly patients" by Sola C,
Camino Willhuber G, Kido G et al. Eur Spine J (2018): Doi 10.1007/s00586-018-5547
7.
PMID- 29802465
TI - The effect of deformity correction on psychiatric condition of the adolescent
with adolescent idiopathic scoliosis.
AB - PURPOSE: The purpose of this prospective study was to evaluate the effects of
deformity correction on body image, quality of life, self-esteem, depression and
anxiety in patients with adolescent idiopathic scoliosis (AIS) who underwent
surgery. METHODS: Between June 2014 and July 2015, 41 consecutive patients who
underwent surgery for AIS were compared with the control group of 52 healthy
patients regarding the changes in the pre- and postoperative quality of life and
psychiatric status of patients with deformity correction. Body Cathexis Scale
(BCS), Pediatric Quality of Life Inventory (PedsQL), Children's Depression
Inventory (CDI), Piers-Harris self-esteem questionnaire (PH-SEQ) and state-trait
Anxiety Inventory for Children were used to evaluate the patients. RESULTS: There
was a significant decrease in postoperative first-year Cobb angle and trunkal
shift imbalance compared with the preoperative values (p = 0.0001 and p =
0.0001). Postoperative first-year thoracic kyphosis angle and body height showed
a significant increase according to preoperative values (p = 0.0001 and p =
0.0001). Postoperative PH-SEQ score and PedsQL total score showed a significant
increase in the study group compared to the preoperative level, but no
significant difference was found between the control group. Postoperative CDI
score, BCS score, STAI-state and STAI-trait scores decreased significantly in the
study group compared with preoperative scores. CONCLUSIONS: Surgical correction
of deformity in AIS provided significant improvements regarding quality of life
and psychiatric condition. Spinal surgeons should be aware of the possible
psychological problems of AIS patients and should keep in mind that deformity
correction not only improves physical health but also improves mental health.
These slides can be retrieved under Electronic Supplementary Material.
PMID- 29802467
TI - Letter to the Editor concerning "Osteoporotic thoracolumbar compression
fractures: long-term retrospective comparison between vertebroplasty and
conservative treatment" by K. Martikos et al. [Eur Spine J (2018) doi:
10.1007/s00586-018-5605-1].
PMID- 29802464
TI - Palate surgery for obstructive sleep apnea: a 17-year meta-analysis.
AB - OBJECTIVES: Systematic review of palate surgery for the treatment of OSA.
METHODS: Independent searches to identify publications relevant to OSA treatment
and upper airway palate surgery. All relevant studies published between January
2001 and February 2018 were included. Inclusion criteria were adult patients,
documented airway evaluation methods and absent hypopharyngeal collapse. Outcomes
included success rates of treatment, AHI, Epworth scale, QOL and snoring VAS.
RESULTS: Fifty-nine papers with a total of 2715 patients, UPPP accounted for
16.7% of all the procedures. Evident differentiation progressing from 2001 to
2018, from 2001 to 2010, the percentage of UPPP procedures were 25.67%, from 2011
to 2018, there were only 12.6% of UPPP procedures. The average follow up was 8.18
months. Meta-analysis on the AHI change for all procedures, showed the fixed
effect AHI per follow-up (FU) month change was 1.45 (95% CI 1.33, 1.8), p <
0.001; while for ESS, the fixed effect AHI per FU month change was 0.61 (95% CI
0.56, 0.66), p < 0.001. The mean decrease in AHI was from 35.66 to 13.91 (p <
0.001). The mean decrease in ESS was from 11.65 to 5.08 (p < 0.001). The mean AHI
change was 19.9 (p < 0.001). The mean ESS change was 5.8 (p < 0.001). The overall
pooled success rate was 67.5%. Meta-analysis of the procedures, showed that the
respective mean AHI reduction was 24.7 for the anterior palatoplasty (p = 0.015),
19.8 for the lateral/expansion pharyngoplasty (p = 0.046), and 17.2 for the
uvulopalatopharyngoplasty (p = 0.360). CONCLUSIONS: Better understanding of the
upper airway and objective airway evaluation diagnostic techniques and innovative
palate surgeries have improved success rates of OSA surgery.
PMID- 29802468
TI - Conventional and nonconventional strategies for controlling bacterial
contamination in fuel ethanol fermentations.
AB - Ethanol bio-production in Brazil has some unique characteristics that inevitably
lead to bacterial contamination, which results in the production of organic acids
and biofilms and flocculation that impair the fermentation yield by affecting
yeast viability and diverting sugars to metabolites other than ethanol. The
ethanol-producing units commonly give an acid treatment to the cells after each
fermentative cycle to decrease the bacterial number, which is not always
effective. An alternative strategy must be employed to avoid bacterial
multiplication but must be compatible with economic, health and environmental
aspects. This review analyzes the issue of bacterial contamination in sugarcane
based fuel ethanol fermentation, and the potential strategies that may be
utilized to control bacterial growth besides acid treatment and antibiotics. We
have emphasized the efficiency and suitability of chemical products other than
acids and those derived from natural sources in industrial conditions. In
addition, we have also presented bacteriocins, bacteriophages, and beneficial
bacteria as non-conventional antimicrobial agents to mitigate bacterial
contamination in the bioethanol industry.
PMID- 29802471
TI - [Subjective, physical and mental health of children and adolescents in Thuringia
: Representative results of the Thuringia state module in KiGGS wave 1].
AB - Children and adolescents from Thuringia have higher health care needs compared
with peers in Germany overall. It was investigated whether this is due to a
higher disease process. The data basis was the Thuringia state module (2010-2012;
n = 4884; 0-17 years), which was conducted in KiGGS wave 1 (2009-2012). The
health situation of children and adolescents is described in terms of various
indicators of subjective, physical, and mental health. Prevalences with 95%
confidence intervals were reported, and with logistic regressions, the
significance of the group differences was examined. Whether children and
adolescents in Thuringia and Germany overall differ in the examined health
indicators, was tested with chi-square tests and the p values are corrected
according to Bonferroni. With 93.8%, the majority of children and adolescents in
Thuringia had very good or good subjective health. One-fifth of children and
adolescents (20.4%) had a chronic illness or a long-standing health condition.
Hay fever (13.6%) and atopic dermatitis (17.6%) were the most common medically
diagnosed chronic diseases. In addition, one-fifth of children and adolescents
(20.6%) had symptoms of mental health problems; a medical ADHD diagnosis was
found in 5.6% of children and adolescents in Thuringia. Compared with peers from
Germany overall, there were only a few differences in the incidence of disease.
According to these results, the higher degree of care provided to Thuringian
girls and boys cannot be attributed to a higher incidence of disease. Other
factors such as greater parental willingness of utilization or a better supply
structure must be taken into account.
PMID- 29802470
TI - Primary adrenal diffuse large B cell lymphoma: a clinicopathological and
molecular study from China.
AB - Primary adrenal lymphoma is a rare entity that, in most cases, is derived from B
cells. The most commonly seen primary adrenal lymphoma is diffuse large B cell
lymphoma (DLBCL). To better understand the clinicopathological and molecular
features of these tumors, we studied 14 Chinese patients with DLBCL who initially
presented with an adrenal tumor. The clinicopathological features of the 14
primary adrenal DLBCL cases were retrospectively reviewed using
immunohistochemistry, immunoglobulin gene rearrangement analysis, evaluation of
Epstein-Barr virus status, and fluorescence in situ hybridization. Patient age
ranged from 43 to 69 years, with a mean age of 58 years. The patients most
commonly presented with abdominal pain and adrenal mass. Ten patients exhibited
bilateral adrenal masses, and four had unilateral adrenal masses (three left, one
right). Thirteen of 14 DLBCLs were DLBCL not otherwise specified, and one was an
intravascular large B cell lymphoma. According to the algorithm of Hans et al.
(Blood 103:275-282, 2004), 13 and 1 cases were classified as the non-germinal
center B-cell-like subtype and the germinal center B-cell-like subtype,
respectively. The Ki-67 index ranged from 35 to 80%. Epstein-Barr virus-encoded
RNA was detected by in situ hybridization in 6 of the 12 available cases. Two
patients showed BCL-6 rearrangements. The follow-up period ranged from 1 to 87
months. During the follow-up period, four patients died of the disease, five were
alive with the disease, four were alive without disease, and one was lost during
the follow-up period. In summary, most primary adrenal lymphomas are non-germinal
center B-cell-like subtype DLBCLs, which have high proliferative activity and a
poor prognosis.
PMID- 29802469
TI - X chromosome gain is related to increased androgen receptor expression in male
breast cancer.
AB - X chromosome gain has been previously described in male breast cancer (MBC).
Androgen receptor (AR) gene is located on X chromosome. The aim of this study was
to investigate the role of the X chromosome gain in the development of MBC and
its relation with AR gene copy number and expression.The X chromosome status was
assessed in 66 cases of male invasive and in situ duct breast carcinoma, in 34
cases of gynecomastia associated with cancer, and in 11 cases of tumor-free
gynecomastia. Cases were tested by fluorescence in situ hybridization (FISH) to
assess the X chromosome status and AR amplification. AR expression was studied by
immunohistochemistry (IHC). In addition, AR methylation status was assessed.X
chromosome gain was observed in 74.7% of invasive duct carcinoma, in 20.6% of in
situ duct carcinoma, and in 14.6% of gynecomastia when associated with cancer,
while all cases of tumor-free gynecomastia showed wild X chromosome asset. AR
gene copy number when increased paralleled the number of X chromosomes. AR IHC
expression was observed in 100% of MBC tested. AR gene methylation status
revealed low level or absence of methylation.These data suggest that X chromosome
can play a role in the neoplastic transformation of male breast epithelium. X
chromosome gain is paralleled by AR gene polysomy. Polysomic AR genes show low
methylation levels and high AR protein expression on IHC. These data should be
taken into consideration for MBC treatment planning.
PMID- 29802472
TI - Biomarker Discovery in Cardio-Oncology.
AB - PURPOSE OF REVIEW: In this article, we review current and emerging approaches to
biomarker discovery to facilitate early diagnosis of cancer therapy-associated
cardiovascular toxicity. RECENT FINDINGS: Although small studies have
demonstrated an association between established biomarkers of cardiac injury
(troponins and brain natriuretic peptide) and acute or subacute cardiotoxicity,
there is insufficient evidence to support their use in routine clinical care.
Preclinical studies to define the molecular mechanisms of cardiotoxicity, as well
as the use of unbiased "omics" techniques in small patient cohorts, have yielded
promising candidate biomarkers that have the potential to enrich current risk
stratification algorithms. New biomarkers of cardiotoxicity have the potential to
improve patient outcomes in cardio-oncology. Further studies are needed to assess
the clinical relevance of molecular mechanisms described in animal models.
Similarly, findings from "omics" platforms require validation in large patient
cohorts before they can be incorporated into everyday practice.
PMID- 29802474
TI - Towards a More Personalized Treatment of Dyslipidemias to Prevent Cardiovascular
Disease.
AB - PURPOSE OF REVIEW: Today, statins are the first choice to lower LDL cholesterol
and concomitantly the risk of atherosclerotic cardiovascular disease. There is a
significant minority of statin-treated patients who are more susceptible to
occasionally serious side effects that may increase morbidity and lead to
compliance problems or the discontinuation of therapy. This review addresses the
question of whether genetics can provide meaningful insights into the risk of
statin side effects or therapy success. RECENT FINDINGS: The use of genome-wide
association studies has significantly reduced the number of predictive genetic
markers for statin effects, and the isolated effect of the surviving markers is
low; more promising are approaches to stratify patients with genetic risk scores.
Patients reveal a pronounced individual response to the administration of
statins. The idea of being able to adequately describe this variability with
single genetic markers has failed, genetic risk scores will be the method of
choice.
PMID- 29802475
TI - Combination Lipid-Lowering Therapies for the Prevention of Recurrent
Cardiovascular Events.
AB - PURPOSE OF REVIEW: There has been confusion following the 2013 American College
of Cardiology/American Heart Association (ACC/AHA) Lipid guidelines on the role
of non-statin medications for cardiovascular prevention. RECENT FINDINGS: Several
recent large trials have also now shown that lowering LDL with non-statins
reduces cardiovascular events. In ASCVD patients on statins, adding ezetimibe or
a PCSK9 inhibitor led to reductions in CV events in the IMPROVE IT, FOURIER, and
most recently the ODYSSEY-OUTCOMES trials. Additional novel therapies reducing
LDL and other atherogenic lipoproteins are in development during this exciting
time in this field. With recent evidence, the 2017 ACC Expert Consensus Decision
pathway calls for initial therapy with statins, monitoring LDL levels, and then
adding ezetimibe and/or PCSK9 inhibitors to further lower LDL-C to targets based
on the patient's risk.
PMID- 29802476
TI - Practical applications of PCR primers in detection of anammox bacteria
effectively from different types of samples.
AB - Research on anammox (anaerobic ammonium oxidizing) bacteria is important due to
their biogeochemical and industrial application significance since the first
discovery made over two decades ago. By coupling NH4+ and NO2- biochemically to
form N2 gas, anammox bacteria contribute significantly to global marine and
terrestrial nitrogen balance (responsible for 50, 9~40, and 4~37% of the nitrogen
loss for marine, lakes, and paddy soil) and are also useful in energy-conserving
nitrogen removal in wastewater treatment. PCR-based detection and quantification
of anammox bacteria are an easy, essential, and widely accessible technique used
ubiquitously for studying them in many environmental niches. In this article, we
make a summary on practical applications of 16S rRNA and functional gene PCR
primers, including hydrazine dehydrogenase (Hzo), nitrite reductase (NirS),
hydrazine synthase (Hzs), and cytochrome c biogenesis proteins (Ccs) in detection
of them. PCR primer performances in both practical applications and tests in
silico are also presented for comparison. For detecting general and specific
anammox bacterial groups, selection of appropriate PCR primers for different
environmental samples and practical application guidance on choice of appropriate
primer pairs for different purposes are also offered. This article provides
practical information on selection and application of PCR technique in detection
of anammox bacteria from the diverse environments to further promote convenient
applications of this technique in research and other purposes.
PMID- 29802477
TI - Biotechnology of cyanobacterial isoprene production.
AB - Heterologous cyanobacterial production of isoprene (C5H8) presents an opportunity
to develop renewable resources for fuel and industrial chemicals. Isoprene can be
generated photosynthetically in these microorganisms from dimethylallyl
diphosphate (DMAPP) by the recombinant enzyme isoprene synthase (ISPS), as a
transgenic product of the isoprenoid biosynthetic pathway. The present work
sought to combine recent enhancements in the cellular level of reactant (DMAPP)
and enzyme (ISPS), as a means in the further development of this technology. This
objective was approached upon the heterologous overexpression of fni, an
isopentenyl isomerase from Streptococcus pneumoniae, which increased the amount
of the DMAPP reactant at the expense of its isomer, isopentenyl-diphosphate
(IPP), in the cells. In addition, the cellular concentration of ISPS was
substantially enhanced upon expression of the ISPS gene, as a fusion construct
with the highly expressed in cyanobacteria cpcB gene, encoding the abundant beta
subunit of phycocyanin. Synergy between these two modifications, i.e.,
enhancement in DMAPP substrate availability and enhancement in the concentration
of the ISPS enzyme, improved the isoprene-to-biomass production ratio in
cyanobacteria from 0.2:1 mg g-1 (w:w), attained with the ISPS transgene alone, up
to 12.3:1 mg g-1 (w:w), measured when the combined two modifications were applied
to the same cell. This is the highest verifiable yield of heterologous
photosynthetic isoprene production reported so far. Findings in this work
constitute a step forward in the development of the cyanobacterial biotechnology
for isoprene production.
PMID- 29802473
TI - Using iPSC Models to Probe Regulation of Cardiac Ion Channel Function.
AB - PURPOSE OF REVIEW: Cardiovascular disease is the leading contributor to mortality
and morbidity. Many deaths of heart failure patients can be attributed to sudden
cardiac death due primarily to ventricular arrhythmia. Currently, most anti
arrhythmics modulate ion channel conductivity or beta-adrenergic signaling, but
these drugs have limited efficacy for some indications, and can potentially be
proarrhythmic. RECENT FINDINGS: Recent studies have shown that mutations in
proteins other than cardiac ion channels may confer susceptibility to congenital
as well as acquired arrhythmias. Additionally, ion channels themselves are
subject to regulation at the levels of channel expression, trafficking and post
translational modification; thus, research into the regulation of ion channels
may elucidate disease mechanisms and potential therapeutic targets for future
drug development. This review summarizes the current knowledge of the molecular
mechanisms of arrhythmia susceptibility and discusses technological advances such
as induced pluripotent stem cell-derived cardiomyocytes, gene editing, functional
genomics, and physiological screening platforms that provide a new paradigm for
discovery of new therapeutic targets to treat congenital and acquired diseases of
the heart rhythm.
PMID- 29802478
TI - Anti-infectious properties of the probiotic Saccharomyces cerevisiae CNCM I-3856
on enterotoxigenic E. coli (ETEC) strain H10407.
AB - Enterotoxigenic Escherichia coli (ETEC) are major food-borne pathogens
responsible for traveler's diarrhea. The production of adhesins and the secretion
of enterotoxins constitute the major virulence traits of the bacteria. Treatments
are mainly symptomatic and can involve antibiotherapy. However, given the rise of
antibiotic resistance worldwide, there is an urgent need for the development of
new preventive strategies for the control of ETEC infections. Among them, a
promising approach is the use of probiotics. The aim of this study was to
investigate, using complementary in vitro and in vivo approaches, the inhibitory
potential of the yeast Saccharomyces cerevisiae CNCM I-3856 against the human
ETEC reference strain H10407. In conventional culture media, S. cerevisiae
significantly reduced ETEC growth and toxin production. The yeast also inhibited
bacterial adhesion to mucin-agar and intestinal Caco-2/TC7 cells in a dose
dependent manner. Lastly, pre-treatment with S. cerevisiae inhibited interleukin
8 production by ETEC-infected intestinal cells. In streptomycin-treated mice, the
probiotic yeast decreased bacterial colonization, mainly in the ileum, the main
site of ETEC pathogenesis. For the first time, this study shows that the
probiotic yeast S. cerevisiae CNCM I-3856 can exert an anti-infectious activity
against a human ETEC strain through a multi-targeted approach, including
inhibition of bacterial growth and toxin production, reduction of bacterial
adhesion to mucins and intestinal epithelial cells, and suppression of ETEC
induced inflammation. Interestingly, the highest activity was obtained with a
prophylactic treatment. Further studies will aim to assess the effect of the
yeast on ETEC survival and virulence under human simulated digestive conditions.
PMID- 29802479
TI - Microbiota in insect fungal pathology.
AB - Significant progress has been made in the biochemical and genetic
characterization of the host-pathogen interaction mediated by insect pathogenic
fungi, with the most widely studied being the Ascomycetes (Hypocrealean) fungi,
Metarhizium robertsii and Beauveria bassiana. However, few studies have examined
the consequences and effects of host (insect) microbes, whether compatible or
antagonistic, on the development and survival of entomopathogenic fungi. Host
microbes can act on the insect cuticular surface, within the gut, in specialized
insect microbe hosting structures, and within cells, and they include a wide
array of facultative and/or obligate exosymbionts and endosymbionts. The insect
microbiome differs across developmental stages and in response to nutrition
(e.g., different plant hosts for herbivores) and environmental conditions,
including exposure to chemical insecticides. Here, we review recent advances
indicating that insect-pathogenic fungi have evolved a spectrum of strategies for
exploiting or suppressing host microbes, including the production of
antimicrobial compounds that are expressed at discrete stages of the infection
process. Conversely, there is increasing evidence that some insects have acquired
microbes that may be specialized in the production of antifungal compounds to
combat infection by (entomopathogenic) fungi. Consideration of the insect
microbiome in fungal insect pathology represents a new frontier that can help
explain previously obscure ecological and pathological aspects of the biology of
entomopathogenic fungi. Such information may lead to novel approaches to
improving the efficacy of these organisms in pest control efforts.
PMID- 29802480
TI - Effects of abiotic factors on the nanostructure of diatom frustules-ranges and
variability.
AB - The intricate patterning of diatom silica frustules at nanometer-to-micrometer
scales makes them of interest for a wide range of industrial applications. For
some of these applications, a specific size range in nanostructure is required
and may be achieved by selecting species with the desired properties. However, as
all biological materials, diatom frustules exhibit variability in their
morphological parameters and this variability can to some extent be affected and
controlled by environmental conditions. In this review, we explore the effects of
different environmental factors including salinity, heavy metals, temperature,
pH, extracellular Si(OH)4 or Ge(OH)4 concentration, light regime, UV irradiance,
long-term cultivation, and biotic factors on the nanostructure of diatom
frustules. This compilation of studies illustrates that it is possible to affect
the nanostructure of diatom frustules in vivo by controlling different
environmental factors as well as by direct chemical modification of frustules. We
compare these methods and present examples of how these changes affect the range
of variability as well as comparing the magnitude of size changes of the most
promising methods.
PMID- 29802482
TI - Systemic sclerosis-rheumatoid arthritis overlap syndrome complicated with Sweet's
syndrome.
AB - Herein, we report a case of a 34-year-old woman with systemic sclerosis (SSc)
rheumatoid arthritis (RA) overlap syndrome (OS) complicated with Sweet's
syndrome. OS has been defined as entities satisfying classification criteria of
at least two connective tissue diseases (CTD) occurring at the same or at
different times in the same patient. The CTD include RA, SSc, systemic lupus
erythematosus (SLE), polymyositis, and dermatomyositis. Sweet's syndrome also
known as acute febrile neutrophilic dermatosis was first described by Robert
Sweet in 1964. Sweet's syndrome is characterized by fever, neutrophilia,
erythematous skin lesions, and a diffuse dermal infiltrate of mature neutrophils.
There are sets of associations that we will discuss in this article between OS
and Sweet's syndrome.
PMID- 29802481
TI - Management of chickenpox in pregnant women: an Italian perspective.
AB - Chickenpox is a highly contagious disease caused by primary infection of
varicella zoster virus (VZV). The disease is spread worldwide and is usually
benign but, in some groups of population like pregnant women, can have a severe
outcome. Due to a not optimal vaccination coverage, a relatively high number of
childbearing-aged women in a European country such as Italy tested seronegative
for VZV and so are currently at risk of acquiring chickenpox during pregnancy,
especially if they live in contact with children for family or work reasons. Only
few data are available about the risk of infection in this setting: the incidence
of chickenpox may range from 1.5 to 4.6 cases/1000 childbearing females and from
1.21 to 6 cases/10,000 pregnant women, respectively. This review is aimed to
focus on the epidemiology and the clinical management of exposure to chickenpox
during pregnancy. Particular emphasis is given to the accurate screening of
childbearing women at the time of the first gynecological approach - the females
who tested susceptible to infection can be counseled about the risks and
instructed on procedure should contact occur - and to the early prophylaxis of
the at-risk exposure. Lastly, the achievement of adequate vaccination coverage of
the Italian population remains a cornerstone in the prevention of chickenpox in
pregnancy.
PMID- 29802483
TI - Expression of beta-catenin in regenerating renal tubules of cisplatin-induced
kidney failure in rats.
AB - BACKGROUND: beta-Catenin is a multi-functional protein involved in nephrogenesis
and also plays important roles in renal injury. Here, the expression of beta
catenin was investigated in the proximal renal tubular epithelial cells in
cisplatin (CDDP)-induced acute kidney injury (AKI) and chronic kidney injury
(CKI), because CDDP-induced renal lesions were characterized by proximal renal
tubular epithelial degeneration/regeneration and subsequent interstitial
fibrosis. METHODS: F344 rats were treated with CDDP. The expression of beta
catenin and proliferative (Ki67) or fibrogenic [vimentin, alpha-smooth action
(alpha-SMA)] markers was analyzed by immunolabeling. RESULTS: beta-Catenin,
vimentin and Ki67 were not seen in the proximal renal tubules of control rats.
Interestingly, in CDDP-induced AKI, the regenerating proximal renal tubular
epithelial cells reacting strongly with Ki67 expressed membranous or cytoplasmic
beta-catenin and also showed a positive reaction to vimentin but not to alpha
SMA. In CDDP-induced CKI, the epithelial cells of abnormally dilated or atrophied
renal tubules did not react to beta-catenin or Ki67, but showed positive
reactions to vimentin and alpha-SMA. beta-Catenin mRNAs were significantly
increased in AKI and significantly decreased in CKI. CONCLUSION: Newly expressed
beta-catenin in the proximal renal tubules after AKI may participate in
functional regeneration. In CKI, epithelial cells of abnormal renal tubules did
not express beta-catenin but reacted to vimentin, and alpha-SMA might indicate
the epithelial-mesenchymal transition (EMT) formation, because alpha-SMA is
usually expressed in myofibroblasts forming via EMT. The presence or absence of
beta-catenin expression would become a marker for the EMT phenomenon in
progressive renal fibrosis.
PMID- 29802484
TI - Characterization of the trigeminovascular actions of several adenosine A2A
receptor antagonists in an in vivo rat model of migraine.
AB - BACKGROUND: Migraine is considered a neurovascular disorder, but its
pathophysiological mechanisms are not yet fully understood. Adenosine has been
shown to increase in plasma during migraine attacks and to induce vasodilation in
several blood vessels; however, it remains unknown whether adenosine can interact
with the trigeminovascular system. Moreover, caffeine, a non-selective adenosine
receptor antagonist, is included in many over the counter anti-headache/migraine
treatments. METHODS: This study used the rat closed cranial window method to
investigate in vivo the effects of the adenosine A2A receptor antagonists with
varying selectivity over A1 receptors; JNJ-39928122, JNJ-40529749, JNJ-41942914,
JNJ-40064440 or JNJ-41501798 (0.3-10 mg/kg) on the vasodilation of the middle
meningeal artery produced by either CGS21680 (an adenosine A2A receptor agonist)
or endogenous CGRP (released by periarterial electrical stimulation). RESULTS:
Regarding the dural meningeal vasodilation produced neurogenically or
pharmacologically, all JNJ antagonists: (i) did not affect neurogenic
vasodilation but (ii) blocked the vasodilation produced by CGS21680, with a
blocking potency directly related to their additional affinity for the adenosine
A1 receptor. CONCLUSIONS: These results suggest that vascular adenosine A2A (and,
to a certain extent, also A1) receptors mediate the CGS21680-induced meningeal
vasodilation. These receptors do not appear to modulate prejunctionally the
sensory release of CGRP. Prevention of meningeal arterial dilation might be
predictive for anti-migraine drugs, and since none of these JNJ antagonists
modified per se blood pressure, selective A2A receptor antagonism may offer a
novel approach to antimigraine therapy which remains to be investigated in
clinical trials.
PMID- 29802485
TI - Reading Comprehension in Children With and Without ASD: The Role of Word Reading,
Oral Language, and Working Memory.
AB - Word reading and oral language predict reading comprehension, which is generally
poor, in individuals with autism spectrum disorder (ASD). However, working memory
(WM), despite documented weaknesses, has not been thoroughly investigated as a
predictor of reading comprehension in ASD. This study examined the role of three
parallel WM N-back tasks using abstract shapes, familiar objects, and written
words in children (8-14 years) with ASD (n = 19) and their typically developing
peers (n = 24). All three types of WM were significant predictors of reading
comprehension when considered alone. However, these relationships were rendered
non-significant with the addition of age, word reading, vocabulary, and group
entered into the models. Oral vocabulary emerged as the strongest predictor of
reading comprehension.
PMID- 29802487
TI - Placing arbuscular mycorrhizal fungi on the risk assessment test battery of plant
protection products (PPPs).
AB - Arbuscular mycorrhizal fungi (AMF) are mutualistic symbionts considered a key
group in soil systems involved in the provision of several ecosystem services.
Recently they have been listed by EFSA as organisms to be included in the test
battery for the risk assessment of plant protection product (PPPs). This study
aimed to contribute to improve the ISO Protocol (ISO 10832: 2009) by assessing
the feasibility of using other AMF species under different test conditions.
Overall, results showed that AMF species Gigaspora albida and Rhizophagus clarus
(selected out of five AMF species) are suitable to be used in spore germination
tests using the ISO protocol (14 days incubation with sand or artificial soil as
substrate) to test PPPs. However, several modifications to the protocol were made
in order to accommodate the use of the tested isolates, namely the incubation
temperature (28 degrees C instead of 24 degrees C) and the change of reference
substance (boric acid instead of cadmium nitrate). The need for these changes,
plus the results obtained with the three fungicides tested (chlorothalonil,
mancozeb and metalaxyl-M) and comparisons made with literature on the relevance
of the origin of AMF isolates in dictating the adequate test conditions,
emphasize the importance of adjusting test conditions (AMF species/isolates and
test temperature) when assessing effects for prospective risk assessment
targeting different climatic zones. So, further studies should be conducted with
different AMF species and isolates from different climatic regions, in order to
better define which species/isolate and test conditions should be used to assess
effects of a particular PPP targeting a given climatic zone.
PMID- 29802486
TI - Employment sustainability after return to work among Japanese stroke survivors.
AB - PURPOSE: Few studies have investigated the work continuance rate among stroke
survivors who return to work (RTW). The objective of this study was to
investigate work sustainability after RTW and the causes of recurrent sickness
absence (RSA) among Japanese stroke survivors. METHODS: Data on stroke survivors
were collected from an occupational health register. The inclusion criteria were
as follows: employees who were aged 15-60 years old and returned to work after an
episode of sick leave due to a clinically certified stroke that was diagnosed
during the period from 1 January 2000 through 31 December 2011. RESULTS: 284
employees returned to work after their first episode of stroke-induced sick
leave. The work continuance rate for all subjects was 78.8 and 59.0% at one and 5
years after the subjects' RTW, respectively. After returning to work, the
subjects worked for a mean of 7.0 years. Of 284 employees who returned to work,
86 (30.3%) experienced RSA. The RSA were caused by recurrent strokes in 57.0%
(49/86) of cases, mental disorders in 20.9% (18/86) of cases, and fractures
(often due to accidents involving steps at train stations or the subject's home)
in 10.5% (9/86) of cases. 21 employees resigned after returning to work. The
resignation rates at 1 and 5 years were 4.9 and 7.6%, respectively. According to
the multivariate analysis including all variables, the subjects in the >= 50 year
group were at greater risk of work discontinuation than the <= 49 year
(reference) age group (HR: 2.26, 95% CI 1.39-3.68). CONCLUSIONS: Occupational
health professionals need to provide better RTW support to stroke survivors and
should pay particularly close attention to preventing recurrent strokes, mental
disorders, and fractures.
PMID- 29802488
TI - Exposure to a nicotinoid pesticide reduces defensive behaviors in a non-target
organism, the rusty crayfish Orconectes rusticus.
AB - Imidacloprid is the most widely used of the nicotinoid insecticides, the fastest
growing class of pesticides on the global market. Although less toxic to mammals
and birds compared to organophosphates, nicotinoids have the potential to impact
non-target invertebrates, especially through sublehal effects on behavior,
physiology, reproduction, and development. We investigated the impact of
sublethal doses of imidacloprid on the defensive responses of rusty crayfish
Orconectes rusticus exposed to 0, 1, 10, and 100 ug*L-1 of imidacloprid for 10
days (n = 7 crayfish per treatment). Defensive behaviors were examined with the
rod test, in which a glass rod was jabbed into the crayfish's container at a 90
degree angle from the bottom and about 0.5 cm directly in front of the crayfish.
Crayfish responded to the rod aggressively with claw raising and pinching,
neutrally (no response), or by backing or tail-flipping away. The frequency of
neutral responses more than doubled after four days in the high (100 ug*L-1)
group and after eight days in the low (1 ug*L-1) exposure group. Furthermore,
most crayfish in the 100 ug*L-1 treatment were not able to right themselves
within 30 s when placed on their backs. Several studies have reported
concentrations of imidacloprid contamination in freshwater ecosystems that exceed
this study's lowest exposure scenario, 1 ug*L-1. We therefore conclude that
imidacloprid contamination reduces the defensive behaviors of crayfish, impairing
their ability to survive in habitats where they play important ecological roles.
PMID- 29802489
TI - Neutralizing FGF4 protein in conditioned medium of IL-21-silenced HCT116 cells
restores the migratory activity of the colorectal cancer cells.
AB - The interleukin-21 (IL-21) protein was found to be expressed at an elevated level
in clinical samples of colorectal cancer patients without or with a parasitic
infection that were collected from Sudan in our previous study. The IL-21 gene in
HT29 and HCT116 cells was then correlated to cell proliferation and cell
migration, as well as the cellular mechanisms associated with gene expressions in
our present study. Our results demonstrated that silencing the IL-21 gene in
HCT116 cells increased the cytotoxic level and fibroblast growth factor-4 (FGF4)
mRNA expression in the cancer cells. Moreover, specific gene silencing reduced
the migration of cancer cells compared to non-silenced cancer cells. These events
were not observed in IL-21-silenced HT29 cells. Neutralizing FGF4 in conditioned
medium of IL-21-silenced HCT116 cells further increased the cytotoxic level and
restored the migratory activity of HCT116 cells in the culture compared to
silencing the IL-21 gene alone in the cancer cells. Our results indicate the
importance of both silencing the IL-21 gene and co-expression of the FGF4 protein
in HCT116 cells, which pave the way for the discovery of important factors to be
used as biomarkers for the design of drugs or cost-effective supplements to
effectively treat the patients having infectious disease and HCT116 cells of
colorectal cancer simultaneously in the future.
PMID- 29802490
TI - Knockdown of ribosomal protein S15A inhibits proliferation of breast cancer cells
through induction of apoptosis in vitro.
AB - To explore the role of ribosomal protein S15A (RPS15A) in breast cancer. The
Oncomine database was used to compare the expression of RPS15A in human breast
cancer tissues and normal tissues. RPS15A in breast cancer cell line ZR-75-30 and
BT474 was specifically knocked down using lentivirus-mediated short hairpin RNAs
(shRNAs). RPS15A knockdown efficiency was validated by quantitative polymerase
chain reaction and western blot analysis. Subsequently, the functional effects of
RPS15A on proliferation of breast cancer cells were investigated by MTT, colony
formation and flow cytometry assays. Functional analysis indicated that RPS15A
knockdown could inhibit cell proliferation, induced cell cycle arrest and
apoptosis. Mechanism analysis revealed RPS15A mediated apoptosis via activating
of caspase-3 and PARP cleavage, upregulating of Bad and BAX and downregulating of
Bcl-2. Our preliminary study highlighted the importance of RPS15A in breast
cancer growth. The inhibition of RPS15A may be a promising therapeutic target for
breast cancer treatment.
PMID- 29802491
TI - Intake of dietary carbohydrates in early adulthood and adolescence and breast
density among young women.
AB - PURPOSE: Carbohydrate intake increases postprandial insulin secretion and may
affect breast density, a strong risk factor for breast cancer, early in life. We
examined associations of adolescent and early adulthood intakes of total
carbohydrates, glycemic index/load, fiber, and simple sugars with breast density
among 182 young women. METHODS: Diet was assessed using three 24-h recalls at
each of five Dietary Intervention Study in Children (DISC) clinic visits when
participants were age 10-19 years and at the DISC06 Follow-Up Study clinic visit
when participants were age 25-29 years. Associations between energy-adjusted
carbohydrates and MRI-measured percent dense breast volume (%DBV) and absolute
dense breast volume (ADBV) at 25-29 years were quantified using multivariable
adjusted mixed-effects linear models. RESULTS: Adolescent sucrose intakes and
premenarcheal total carbohydrates intakes were modestly associated with higher
%DBV (mean %DBVQ1 vs Q4, 16.6 vs 23.5% for sucrose; and 17.2 vs 22.3% for
premenarcheal total carbohydrates, all Ptrend <= 0.02), but not with ADBV.
However, adolescent intakes of fiber and fructose were not associated with %DBV
and ADBV. Early adulthood intakes of total carbohydrates, glycemic index/load,
fiber, and simple sugars were not associated with %DBV and ADBV. CONCLUSIONS:
Insulinemic carbohydrate diet during puberty may be associated with adulthood
breast density, but our findings need replication in larger studies. Clinical
Trials Registration ClinicalTrials.gov Identifier, NCT00458588 April 9, 2007;
NCT00000459 October 27, 1999.
PMID- 29802492
TI - Surface and extrapolated point charge renormalizations for charge-stabilized
colloidal spheres.
AB - The Derjaguin-Landau-Verwey-Overbeek (DLVO) theory is widely used to model
interactions between weakly charged spheres in dilute suspensions. For particles
bearing a higher charge, the linearized electrostatics underlying the DLVO theory
is no longer valid but it is possible to map the real colloidal system to an
auxiliary one that still obeys linear electrostatics but which involves a
different, effective pair potential. This procedure, termed renormalization, can
be performed in various ways, the most widely used being surface charge
renormalization (SCR) based on the cell model. SCR is still limited to dilute
suspensions since the auxiliary system is made of spheres interacting through a
DLVO-like pair potential. The recent extrapolated point charge (EPC)
renormalization overcomes this limitation by using point charges in the auxiliary
system and has indeed been shown to produce better results than the SCR in dense
suspensions. Here, we recall that the DLVO-like potential used in the SCR can be
modified to account for many-body ion-colloid core exclusion effects (a model
termed SCRX here); we show that the accuracy of the EPC and SCRX renormalizations
is virtually identical, and conclude by explaining why the EPC method is still
the most attractive option of the two in many cases.
PMID- 29802493
TI - Confocal laser scanning microscopy-a powerful tool in bone research.
AB - The confocal laser scanning microscope (CLSM) enables the collection of images
picturing selected planes in depth of thick samples, thus giving 3D information
while keeping the sample intact. In this article we give an overview of our CLSM
applications in bone research: (i) the characterization of osteoblasts and
osteoclasts properties in cell biology, (ii) the visualization of the three
dimensional (3D) osteocyte lacunar canalicular network in undemineralized plastic
embedded bone samples, (iii) the observation of tetracycline labels in bone
biopsy samples from patients in combination with information on the
mineralization density from quantitative backscatter electron imaging, which
enables the time course of mineral accumulation in newly formed bone to be
followed, (iv) the precise measurement of the thickness of thin ground bone
sections, a prerequisite for the mapping of local mechanical properties by
scanning acoustic microscopy.
PMID- 29802494
TI - Evaluation of complications and flap losses in mandibular reconstruction with
microvascularized fibula flap.
AB - The mandibular defects caused by mandibulectomies can involve esthetic and
functional sequelae in patients. The fibula presents positive aspects and can be
used as an option for mandibular reconstruction after tumor resections or
extensive traumas. Furthermore, this retrospective study of the patients who have
passed through a mandibular reconstruction with a microvascular fibular flap over
the last 17 years describes the experience of the Oral and Maxillofacial
SurgeryService at the ErastoGaertner Hospital, Curitiba/PR. The use of this flap
type has a complication rate of 32.3%, which includes the fixation material
exposure, flap resorptions, fistulas, pathological fractures, tumor recurrence,
infections, seromas, and thrombocytopenia. Most of them are associated with
patients who have received radiotherapy and/or chemotherapy. These data suggest a
high frequency of complications when a reconstruction plate fixation is used.
Minor complications are usual and they should not be overlooked because they can
lead to devastating consequences.
PMID- 29802495
TI - Embryonic expression of a Long Toll (Loto) gene in the onychophorans
Euperipatoides kanangrensis and Cephalofovea clandestina.
AB - Recent research has shown that Toll genes, and in particular a newly defined
class of Toll genes, the so-called Long Toll Genes (Loto genes), are crucial
factors in embryogenesis. In arthropods, they are involved in axis formation via
a process called convergent extension (CE). A hallmark of Loto genes is their
relatively (compared to other Toll genes) high number of leucine-rich repeat
elements (LRRs) coupled with the fact that they are expressed in transverse
stripes in all segments, or a subset of segments, patterns that are reminiscent
of classical segmentation genes such as the pair-rule genes. Onychophorans
represent a close outgroup to the arthropods; however, their embryonic
development differs substantially. It is unclear if convergent extension
contributes to onychophoran germ band formation and, if so, whether Loto genes
are involved in governing this process. This study identifies a single
onychophoran Toll gene from a sequenced embryonic transcriptome in two
onychophoran species. The identified gene shows sequence and expression pattern
characteristics of Loto genes. However, its expression pattern also comprises
some general differences to arthropod Loto genes that are involved in CE.
PMID- 29802496
TI - Binding of trivalent metal ions (Al3+, In3+, La3+) with phosphatidylcholine
liposomal membranes investigated by microelectrophoresis.
AB - Interactions between trivalent metal ions (Al3+, In3+, La3+) and
phosphatidylcholine (PC) liposomes are studied by microelectrophoresis. The
dependence of the PC membrane surface charge density and zeta potential on
[Formula: see text] ([Formula: see text] range from 2 to 10) of the aqueous metal
chloride solutions is determined. The obtained results indicate the adsorption of
Al3+, In3+ and La3+ ions on phosphatidylcholine model membranes, leading to
changes in the electrical properties of the membranes. The theoretical
considerations on equilibria occurring between phosphatidylcholine liposomal
membrane and trivalent metal ions are presented. A mathematical model describing
the interactions in a quantitative way is proposed.
PMID- 29802497
TI - Effects of dietary inclusion of Moringa oleifera leaves on growth and some
systemic and mucosal immune parameters of seabream.
AB - The effect of the dietary incorporation of drumstick, Moringa oleifera, leaf meal
(MOL; 0, 5, 10 and 15%) on the growth, feed utilization, some skin mucus and
systemic immune parameters and intestinal immune-related gene expression in
gilthead seabream (Sparus aurata) specimens. The experiment lasted 4 weeks. The
results revealed that MOL can be incorporated in S. aurata diet up to 10% with no
significant negative effect on growth and feed utilization. However, there was a
significant decrease with MOL at a level of 15% after 2 weeks of feeding. The
systemic immune status of fish fed with the different levels of MOL showed an
improvement in head kidney leucocyte phagocytosis, respiratory burst and
peroxidase activities. Also, serum humoral components, including protease, ACH50
and lysozyme activities and IgM level, increased with MOL inclusion especially at
the 5% level. MOL at 5% improved skin-mucosal immunity such as protease,
antiprotease, peroxidase and lysozyme activities. Moreover, the feeding of MOL
revealed an upregulation of the intestinal mucosal immunity genes (lyso and c3),
tight junction proteins (occludin and zo-1) and anti-inflammatory cytokines (tgf
beta) with a downregulation of pro-inflammatory cytokine (tnf-alpha). Therefore,
it is recommended to incorporate MOL in S. aurata diets at a level of 5% for the
best immune status or 10% for the high growth performance and acceptable immune
surveillance. Graphical abstract ?.
PMID- 29802498
TI - Quantification of coronary atherosclerotic burden with coronary computed
tomography angiography: adapted Leaman score in Croatian patients.
AB - The aim of the study was to quantify the total coronary atherosclerotic burden in
patients with suspected coronary artery disease (CAD) defined by coronary
computed tomography adapted Leaman score (CT-LeSc) and to estimate its cut-off
level for high coronary atherosclerotic burden. We enrolled 434 consecutive
patients referred to coronary computed tomography angiography, of which 261
patients fulfilled the study inclusion criteria. Demographic and clinical
characteristics, as well as CAD risk factors were obtained. CAD pre-test
probabilities were estimated by the Diamond-Forrester model and Morise score. The
coronary atherosclerotic burden was estimated using CT-LeSc. As a cut-off for a
high coronary atherosclerotic burden, we used 3rd tercile (Tc3) (CT-LeSc >=
5.52). We evaluated the association of clinical characteristics and risk factors
with Tc3 in univariate and multivariate analysis. There were 60.9% males and
39.1% females, 81% of patients had above-normal weight, 68.2% hypertension, 54.0%
dyslipidemia, 15.3% diabetes mellitus, 12.3% positive smoking history and 11.9%
had a family history of CAD. According to the Diamond-Forrester model and Morise
score the majority of patients had intermediate risk, 59.7 and 52.8%, followed by
the high-risk group, 36.0 and 34.4%, respectively. Age, dyslipidemia,
hypertension and pre-test risk scores in the univariate analysis significantly
predicted Tc3. In the multivariate analysis, male sex (p = 0.004), dyslipidemia
(p = 0.002) and coronary calcium score (< 0.001) were identified as predictors of
Tc3. CT-LeSc quantified the total coronary atherosclerotic burden and showed an
association of risk factors and pre-test probabilities with Tc3.
PMID- 29802499
TI - Atrial mapping during pulmonary vein pacing to detect conduction gaps in a second
pulmonary vein isolation procedure.
AB - BACKGROUND: Finding the conduction gaps in redo PV isolation procedures is
challenging, and several maneuvers have been described. In the present study, we
analyze the pace and map (P&M) maneuver [atrial mapping during pulmonary vein
(PV) pacing] to locate the gaps in redo PV isolation procedures. METHODS:
Consecutive patients undergoing a second PV isolation procedure at a single
institution over a 4-year period were included. For the last 2 years, all the
patients (n = 38) studied underwent PV isolation based on the P&M maneuver and
were compared to the previous patients (n = 45). The atrial side of the ablation
line was mapped with the ablation catheter during PV pacing, and the earliest
site was considered a gap site. RESULTS: Shorter radiofrequency time was required
to obtain PV isolation in the P&M group (485 +/- 374 vs. 864 +/- 544 s; p <
0.001), which remained significant after adjusting for the number of reconnected
PVs (p = 0.01). We did not find significant differences in the procedure duration
(106 +/- 46 vs. 112 +/- 53 min; p = 0.57) and arrhythmia recurrence during 1-year
follow-up (26.6 vs. 28.9%; p = 0.82) after adjusting for several confounding
factors (HR 1.32; 95% CI 0.5-3.4; p = 0.57). CONCLUSIONS: P&M is a simple
maneuver to identify the gaps in ablation lines around the PV. It remains
efficacious in redo procedures despite the difficulties in localizing the
ablation lines performed in the first procedure. The P&M maneuver reduced the
radiofrequency time required to isolate the PV without compromising the efficacy.
PMID- 29802500
TI - Temporal and spatial variation in personal ambient temperatures for outdoor
working populations in the southeastern USA.
AB - Excessive ambient temperature exposure can result in significant morbidity and
mortality, especially among vulnerable occupational groups like outdoor workers.
Average temperatures in the USA are projected to increase in frequency and
intensity, placing future worker populations at greater risk for unhealthy levels
of exposure. Unlike previous research focused on aggregate-level temperature
exposures from in situ weather station data, this study will measure location
based personal ambient temperatures (PAT) at the individual-level by piloting the
use of wearable sensor technology. A total of 66 outdoor workers in three
geographically and climatologically diverse regions in the Southeast USA were
continuously sampled during the workday for a 1-week period throughout July 11 to
August 8 2016. Results indicate significant worker variation in temperature
exposure within and between study locations; with PAT characterized by less
pronounced variability as workers moved between indoor and outdoor environments.
Developed land covers, a factor often associated with higher temperatures, were
poorly correlated with PAT. Future analysis should focus on a worker's
physiological response to PAT and mapping of spatial patterns of PAT for a larger
worker population to produce innovative and targeted heat prevention programs.
PMID- 29802501
TI - Impacts of cooling intervention on the heat strain attenuation of construction
workers.
AB - This study aimed to evaluate the effectiveness and practicality of a cooling
intervention with a newly designed cooling vest on heat strain attenuation in the
construction industry. Fourteen construction workers volunteered to participate
in the field study. Each participant took part in two trials, i.e., cooling and
control. Construction work included morning and afternoon sessions. Cooling
intervention was implemented for 15 and 30 min during the morning and afternoon
rest periods, respectively, between repeated bouts of work. Micrometeorological
(wet-bulb globe temperature [WBGT]), physiological (tympanic temperature and
heart rate), and perceptual (ratings of perceived exertion [RPE] and thermal
sensation) measurements were taken during the test. Heat strain indices,
including physiological strain index (PSIHR) and perceptual strain index (PeSI),
were estimated accordingly. During the study, construction workers were exposed
to a hot environment with a mean WBGT of 31.56 +/- 1.87 degrees C. Compared with
the control, physiological and perceptual strain were significantly reduced in
the cooling condition during rest and subsequent work periods (p < 0.05; d = 0.24
1.07, small to large cooling effect). Cooling intervention significantly
alleviates heat strain in the construction industry. The effectiveness and
practicality of a proposed cooling intervention were tested in a field study.
Results provide a reference for setting guidelines and promoting application on a
range of construction sites.
PMID- 29802502
TI - Mean air temperature as a risk factor for stroke mortality in Sao Paulo, Brazil.
AB - In Brazil, chronic diseases account for the largest percentage of all deaths
among men and women. Among the cardiovascular diseases, stroke is the leading
cause of death, accounting for 10% of all deaths. We evaluated associations
between stroke and mean air temperature using recorded mortality data and
meteorological station data from 2002 to 2011. A time series analysis was applied
to 55,633 mortality cases. Ischemic and hemorrhagic strokes (IS and HS,
respectively) were divided to test different impact on which subgroup. Poisson
regression with distributed lag non-linear model was used and adjusted for
seasonality, pollutants, humidity, and days of the week. HS mortality was
associated with low mean temperatures for men relative risk (RR) = 2.43 (95% CI,
1.12-5.28) and women RR = 1.39 (95% CI, 1.03-1.86). RR of IS mortality was not
significant using a 21-day lag window. Analyzing the lag response separately, we
observed that the effect of temperature is acute in stroke mortality (higher risk
among lags 0-5). However, for IS, higher mean temperatures were significant for
this subtype with more than 15-day lag. Our findings showed that mean air
temperature is associated with stroke mortality in the city of Sao Paulo for men
and women and IS and HS may have different triggers. Further studies are needed
to evaluate physiologic differences between these two subtypes of stroke.
PMID- 29802503
TI - Cross-Dehydrogenative Coupling Reactions Between P(O)-H and X-H (X = S, N, O, P)
Bonds.
AB - P(O)-X (X = S, N, O, P) bond-containing compounds have extensive application in
medicinal chemistry, agrochemistry, and material chemistry. These useful
organophosphorus compounds also have many applications in organic synthesis. In
light of the importance of titled compounds, there is continuing interest in the
development of synthetic methods for P(O)-X bonds construction. In the last 4
years, the direct coupling reaction of P(O)-H compounds with thiols, alcohols,
and amines/amides has received much attention because of the atom-economic
character. This review aims to give an overview of new developments in cross
dehydrogenative coupling reactions between P(O)-H and X-H (X = S, N, O, P) bonds,
with special emphasis on the mechanistic aspects of the reactions.
PMID- 29802504
TI - Shear strength of wet granular materials: Macroscopic cohesion and effective
stress : Discrete numerical simulations, confronted to experimental measurements.
AB - Rheometric measurements on assemblies of wet polystyrene beads, in steady uniform
quasistatic shear flow, for varying liquid content within the small saturation
(pendular) range of isolated liquid bridges, are supplemented with a systematic
study by discrete numerical simulations. The numerical results agree
quantitatively with the experimental ones provided that the intergranular
friction coefficient is set to the value [Formula: see text], identified from the
behaviour of the dry material. Shear resistance and solid fraction [Formula: see
text] are recorded as functions of the reduced pressure [Formula: see text],
which, defined as [Formula: see text], compares stress [Formula: see text],
applied in the velocity gradient direction, to the tensile strength [Formula: see
text] of the capillary bridges between grains of diameter a, and characterizes
cohesion effects. The simplest Mohr-Coulomb relation with [Formula: see text]
independent cohesion c applies as a good approximation for large enough [Formula:
see text] (typically [Formula: see text]. Numerical simulations extend to
different values of MU and, compared to experiments, to a wider range of
[Formula: see text]. The assumption that capillary stresses act similarly to
externally applied ones onto the dry granular contact network (effective
stresses) leads to very good (although not exact) predictions of the shear
strength, throughout the numerically investigated range [Formula: see text] and
[Formula: see text]. Thus, the internal friction coefficient [Formula: see text]
of the dry material still relates the contact force contribution to stresses,
[Formula: see text], while the capillary force contribution to stresses,
[Formula: see text], defines a generalized Mohr-Coulomb cohesion c, depending on
[Formula: see text] in general. c relates to [Formula: see text] , coordination
numbers and capillary force network anisotropy. c increases with liquid content
through the pendular regime interval, to a larger extent, the smaller the
friction coefficient. The simple approximation ignoring capillary shear stress
[Formula: see text] (referred to as the Rumpf formula) leads to correct
approximations for the larger saturation range within the pendular regime, but
fails to capture the decrease of cohesion for smaller liquid contents.
PMID- 29802506
TI - Protein S deficiency in patients from the French Basque Country with various
thrombotic conditions: a rarer inherited trait in autochthonous individuals?
PMID- 29802505
TI - Rate of bacteremia in the hemodialysis patient presenting to the emergency
department with fever: a retrospective chart review.
AB - BACKGROUND: Infectious disease is the second most common cause of death in
patients receiving hemodialysis (HD). When presenting to the emergency department
(ED) with fever, it remains a diagnostic challenge to distinguish patients with
potentially life-threatening bacterial infections from those with less
significant causes of fever. The primary goal of this study was to determine the
rate of bacteremia in HD patients presenting to the ED with fever. The secondary
goal of this study was to identify any independent risk factors associated with
bacteremia in the febrile HD patient. METHODS: This is a retrospective medical
record review of all HD patients who presented to the ED with either subjective
fever as primary complaint or with a documented triage temperature of 38 degrees
C or higher during the 3-year period between September 1, 2014, and September 1,
2017. Patient visits were included in the study if blood cultures were ordered in
the ED. Data related to demographic information, clinical parameters, diagnostic
test results in the ER, final diagnosis, and results of microbiology cultures
were collected from each patient encounter. Univariate analysis was performed to
identify risk factors associated with bacteremia. RESULTS: We identified 353
patient visits from 138 unique patients that met inclusion criteria. Fifty-eight
percent of these were women, and the average age was 54.6 years. The rate of
bacteremia was 31.7%, and the main microorganisms isolated in blood culture were
non-MRSA Staphylococcus aureus (40.7%), MRSA (13.3%), Pseudomonas aeruginosa
(11.5%), and Enterobacter spp. (11.5%). Independent prognostic factors associated
with bacteremia were use of dialysis catheter, prior history of bacteremia, and >
5% neutrophilic band cells (OR 6.55 [95% CI 3.96-10.8; p < 0.0001]; OR 8.87 [95%
CI 5.32-14.8; p < 0.0001]; OR 3.32 [95% CI 1.90-5.80; p < 0.0001] respectively).
CONCLUSION: HD patients presenting to the ED with fever have high rates of
bacteremia, with a significantly higher rate in patients using dialysis catheters
or those with a history of bacteremia. Other clinical data available in the ED is
minimally useful in predicting bacteremia.
PMID- 29802508
TI - Mulhouse Strategy to Expand Ex Vivo Very Small Embryonic Like Stem Cells (VSELs)
Recent Study Published in Stem Cell Reviews and Reports.
PMID- 29802507
TI - Maternal nutrient restriction in baboon programs later-life cellular growth and
respiration of cultured skin fibroblasts: a potential model for the study of
aging-programming interactions.
AB - Compelling data exist for programming of chronic later-life diseases and
longevity by perinatal developmental programming challenges. Understanding
mechanisms by which life course health trajectory and longevity are set is
fundamental to understanding aging. Appropriate approaches are needed to
determine programming effects on cellular function. We have developed a baboon
model in which control mothers eat ad libitum while a second group eat 70% of the
global diet fed controls, leading to male and female offspring intrauterine
growth restriction (IUGR). We have shown that IUGR suffer from acceleration of
several age-related physiological declines. Here, we report on a skin-derived
fibroblast model with potential relevance for mechanistic studies on how IUGR
impacts aging. Fibroblasts were cultured from the skin biopsies taken from adult
baboons from control and IUGR cohorts. IUGR-derived fibroblasts grew in culture
less well than controls and those derived from male, but not female, IUGR baboons
had a significant reduction in maximum respiration rate compared to control
derived fibroblasts. We also show that relative levels of several mitochondrial
protein subunits, including NDUFB8 and cytochrome c oxidase subunit IV, were
reduced in IUGR-derived fibroblasts even after serial passaging in culture. The
lower levels of electron transport system components provide potential mechanisms
for accelerated life course aging in the setting of programmed IUGR. This
observation fits with the greater sensitivity of males compared with females to
many, but not all, outcomes in response to programming challenges. These
approaches will be powerful in the determination of programming-aging
interactions.
PMID- 29802509
TI - Computational Modeling of Proteins based on Cellular Automata: A Method of HP
Folding Approximation.
AB - The design of a protein folding approximation algorithm is not straightforward
even when a simplified model is used. The folding problem is a combinatorial
problem, where approximation and heuristic algorithms are usually used to find
near optimal folds of proteins primary structures. Approximation algorithms
provide guarantees on the distance to the optimal solution. The folding
approximation approach proposed here depends on two-dimensional cellular automata
to fold proteins presented in a well-studied simplified model called the
hydrophobic-hydrophilic model. Cellular automata are discrete computational
models that rely on local rules to produce some overall global behavior. One
third and one-fourth approximation algorithms choose a subset of the hydrophobic
amino acids to form H-H contacts. Those algorithms start with finding a point to
fold the protein sequence into two sides where one side ignores H's at even
positions and the other side ignores H's at odd positions. In addition, blocks or
groups of amino acids fold the same way according to a predefined normal form. We
intend to improve approximation algorithms by considering all hydrophobic amino
acids and folding based on the local neighborhood instead of using normal forms.
The CA does not assume a fixed folding point. The proposed approach guarantees
one half approximation minus the H-H endpoints. This lower bound guaranteed
applies to short sequences only. This is proved as the core and the folds of the
protein will have two identical sides for all short sequences.
PMID- 29802510
TI - Chloroplast Proteome of Nicotiana benthamiana Infected by Tomato Blistering
Mosaic Virus.
AB - Tymovirus is a genus of plant pathogenic viruses that infects several
dicotyledonous plants worldwide, causing serious diseases in economically
important crops. The known cytopathic effect on the host cell organelles involves
chloroplast membrane deformation and the induction of vesicles in its periphery.
These vesicles are known to be the location where tymoviral genomic RNA
replication occurs. Tomato blistering mosaic virus (ToBMV) is a tymovirus
recently identified in tomato plants in Brazil, which is able to infect several
other plants, including tobacco. In this work, we investigated the chloroplast
proteomic profile of ToBMV-infected N. benthamiana using bidimensional
electrophoresis (2-DE) and mass spectrometry, aiming to study the virus-host
interaction related to the virus replication and infection. A total of
approximately 200 spots were resolved, out of which 36 were differentially
abundant. Differential spots were identified by mass spectrometry including
photosynthesis-related and defense proteins. We identified proteins that may be
targets of a direct interaction with viral proteins, such as ATP synthase beta
subunit, RNA polymerase beta-subunit, 50S ribosomal protein L6 and Trigger factor
like protein. The identification of these candidate proteins gives support for
future protein-protein interaction studies to confirm their roles in virus
replication and disease development.
PMID- 29802512
TI - Introduction to special section: test construction.
PMID- 29802511
TI - Cognitive Assessment Prediction in Alzheimer's Disease by Multi-Layer Multi
Target Regression.
AB - Accurate and automatic prediction of cognitive assessment from multiple
neuroimaging biomarkers is crucial for early detection of Alzheimer's disease.
The major challenges arise from the nonlinear relationship between biomarkers and
assessment scores and the inter-correlation among them, which have not yet been
well addressed. In this paper, we propose multi-layer multi-target regression
(MMR) which enables simultaneously modeling intrinsic inter-target correlations
and nonlinear input-output relationships in a general compositional framework.
Specifically, by kernelized dictionary learning, the MMR can effectively handle
highly nonlinear relationship between biomarkers and assessment scores; by robust
low-rank linear learning via matrix elastic nets, the MMR can explicitly encode
inter-correlations among multiple assessment scores; moreover, the MMR is
flexibly and allows to work with non-smooth l2,1-norm loss function, which
enables calibration of multiple targets with disparate noise levels for more
robust parameter estimation. The MMR can be efficiently solved by an alternating
optimization algorithm via gradient descent with guaranteed convergence. The MMR
has been evaluated by extensive experiments on the ADNI database with MRI data,
and produced high accuracy surpassing previous regression models, which
demonstrates its great effectiveness as a new multi-target regression model for
clinical multivariate prediction.
PMID- 29802513
TI - Blood CO2 exchange monitoring, Haldane effect and other calculations in sepsis
and critical illness.
PMID- 29802514
TI - Religious and Spiritual Aspects in the Construction of Identity Modelized as a
Constellation.
AB - This paper makes a case for an integrative approach to the field of psychology of
religion and spirituality. Rather than relying upon or choosing one approach or
theory to the detriment of all others, one can identify the construction of
psychological identity, modelized as a constellation, as one of several possible
" points of connection " serving as work site for the convergence, synthesis and
exchange among the vast and rich variety of concepts, measures, theories and
methods extant in the field. This work of integration can stimulate, and enrich
our perceptions of the multiple dimensions, levels and valences of religion and
spirituality.
PMID- 29802515
TI - Users' experiences of trauma-focused cognitive behavioural therapy for children
and adolescents: a systematic review and metasynthesis of qualitative research.
AB - Trauma-focused cognitive behavioural therapy (TF-CBT) is an effective
intervention for post-traumatic stress disorder, yet implementation may be
hindered by practitioners' concerns about how treatment is experienced by users.
This metasynthesis systematically reviews qualitative evidence on youth and
caregivers' experiences of TF-CBT to better understand user perspectives on
process and outcomes of treatment. A systematic review and metasynthesis were
undertaken for qualitative studies of treatment experience related to TF-CBT.
Data were extracted according to Evidence for Policy and Practice Information and
Coordinating Centre guidelines, and studies were critically appraised using
Critical Appraisal Skills Programme checklists. Findings from included studies
were coded and synthesized using thematic synthesis methodology. Eight studies
were selected after a full-text review of 39 papers. Findings were organised
around nine sub-themes, under three broad thematic categories: 'engagement in TF
CBT'; 'experience of treatment components'; and 'therapeutic outcomes'. Youth
were often unclear about what to expect from treatment and concerned about
(in)compatibility with their therapist. Youth reports indicated how such
misgivings can be addressed through early psychoeducation and efforts to
strengthen the therapeutic alliance. Once underway, treatment was viewed as a
place of refuge and validation, aided by therapist competence and
confidentiality. Youth and caregivers felt that constructing a trauma narrative
was instrumental for recovery. Cognitive-behavioural coping techniques were
useful during treatment and in the long-term. While participants in TF-CBT may
begin treatment with unclear expectancies, careful attention to early engagement
and other process issues can optimise process and outcomes. Implications for
clinical practice and further research are discussed.
PMID- 29802516
TI - Variability of ecological executive function in children and adolescents
genetically at high risk for schizophrenia: a latent class analysis.
AB - Executive impairments have been observed both in patients with schizophrenia and
in their unaffected first-degree relatives. Very few studies have investigated
neurocognitive subgroups in unaffected first-degree relatives and in healthy
participants using data-driven methods. The study included a high-risk group
consisting of 100 unaffected young offspring and siblings of patients with
schizophrenia and 198 healthy controls, all aged between 9 and 23 years.
Executive function, victimization, and emotional and behavioral problems of
participants were assessed by a series of self-report scales. Neurocognitive
subgroups were investigated using latent class analysis of executive function
measures. Four neurocognitive clusters were identified: a good performance
cluster, a good self-control cluster, a low self-control cluster, and a severe
impairment cluster. Participants in severe impaired executive function cluster
reported a significantly higher level of victimization and had more prominent
emotional and behavioral problems than the good performance cluster.
Neurocognitive differences between high-risk young people and healthy controls
were driven by individuals who have severe and global, rather than selective,
executive deficits. Our results may provide clues to an explanation of the
mechanisms behind executive impairments in young individuals at genetic risk and
help to identify new targets for early interventions.
PMID- 29802517
TI - Psychosocial intervention in at-risk adolescents: using event-related potentials
to assess changes in decision making and feedback processing.
AB - Decision making and feedback processing are two important cognitive processes
that are impacted by social context, particularly during adolescence. The current
study examined whether a psychosocial intervention could improve psychological
wellbeing in at-risk adolescent boys, thereby improving their decision making and
feedback processing skills. Two groups of at-risk adolescents were compared:
those who were relatively new to a psychosocial intervention, and those who had
engaged over a longer time period. Electroencephalography was recorded while the
young people participated in a modified version of the Taylor Aggression
Paradigm. The late positive potential (LPP) was measured during the decision
phase of the task (where participants selected punishments for their opponents).
The feedback-related negativity (FRN) and P3 components were measured during the
task's outcome phase (where participants received 'win' or 'lose' feedback).
Adolescents who were new to the intervention (the minimal-intervention group)
were harsher in their punishment selections than those who had been engaged in
the program for much longer. The minimal-intervention group also showed an
enhanced LPP during the decision phase of the task, which may be indicative of
immature decision making in that group. Analysis of the FRN and P3 amplitudes
revealed that the minimal-intervention group was physiologically hypo-sensitive
to feedback, compared with the extended-intervention group. Overall, these
findings suggest that long-term community-based psychosocial intervention
programs are beneficial for at-risk adolescents, and that event-related
potentials can be employed as biomarkers of therapeutic change. However, because
participants were not randomly allocated to treatment groups, alternative
explanations cannot be excluded until further randomized controlled trials are
undertaken.
PMID- 29802519
TI - Quasi-Monte Carlo Methods Applied to Tau-Leaping in Stochastic Biological
Systems.
AB - Quasi-Monte Carlo methods have proven to be effective extensions of traditional
Monte Carlo methods in, amongst others, problems of quadrature and the sample
path simulation of stochastic differential equations. By replacing the random
number input stream in a simulation procedure by a low-discrepancy number input
stream, variance reductions of several orders have been observed in financial
applications. Analysis of stochastic effects in well-mixed chemical reaction
networks often relies on sample path simulation using Monte Carlo methods, even
though these methods suffer from typical slow [Formula: see text] convergence
rates as a function of the number of sample paths N. This paper investigates the
combination of (randomised) quasi-Monte Carlo methods with an efficient sample
path simulation procedure, namely [Formula: see text]-leaping. We show that this
combination is often more effective than traditional Monte Carlo simulation in
terms of the decay of statistical errors. The observed convergence rate behaviour
is, however, non-trivial due to the discrete nature of the models of chemical
reactions. We explain how this affects the performance of quasi-Monte Carlo
methods by looking at a test problem in standard quadrature.
PMID- 29802518
TI - A new, simple, automatic vitrification device: preliminary results with murine
and bovine oocytes and embryos.
AB - PURPOSE: This paper reports the use of a novel automatic vitrification device
(Sarah, Fertilesafe, Israel) for cryopreservation of oocytes and embryos.
METHODS: Mice oocytes (n = 40) and embryos (8 cells, n = 35 and blastocysts, n =
165), bovine embryos (2PN, n = 35), and MII oocytes (n = 84) were vitrified using
this automated device. A total of 42 (2 cells) mice embryos, 20 (2PN) bovine
embryos, and 150 MII bovine oocytes were used as fresh controls and grown to
blastocysts. Upon rewarming, all were assessed for viability, cleavage,
blastocyst, and hatching rates. RESULTS: Ninety-five % (38/40) of the mice MII
oocytes regained isotonic volumes and all (100%) the surviving were viable.
Rewarmed 8-cell mice embryos had 95% (33/35) blastulation rate and 80% (28/35)
hatched. Rewarmed mice blastocysts had 97% survival rate (160/165) and 81%
(135/165) hatched. Fresh control mice embryos had 100% (42/42) blastulation and
73% (21/42) hatching rates. Bovine embryos' survival was 100% with 54% (19/35)
cleavage and 9% (3/35) blastulation rate. Fresh control bovine embryos had 65%
(13/20) cleavage and 20% (4/20) blastulation rate. Vitrified bovine oocytes had
100% survival (84/84), 73% (61/84) cleavage, and 7% (6/84) blastocysts' rates;
fresh control had 83% (125/150) cleavage and 11% (17/150) blastocysts' rates.
CONCLUSION: This novel automatic vitrification device is capable to produce high
survival rates of oocytes and embryos. We anticipate that as the demand for
vitrification of gametes, embryos, and reproductive tissues increases worldwide,
the availability of an automated vitrification device will become indispensable
for standardization, simplification, and reproducibility of the entire process.
PMID- 29802520
TI - Personal Memories of Professor Thomas Hartmann, Chemical Ecologist in Heart and
Soul.
PMID- 29802522
TI - Characteristics of ED crowding in the Lazio Region (Italy) and short-term health
outcomes.
AB - The effect of emergency department (ED) crowding on patient care has been studied
for several years in the scientific literature. We evaluate the association
between ED crowding and short-term mortality and hospitalization in the Lazio
region (Italy) using two different measures. A cohort of visits in the Lazio
region ED during 2012-2014 was enrolled. Only discharged patients were selected.
ED crowding was estimated using two measures, length of stay (LOS), and Emergency
Department volume (EDV). LOS was defined as the interval of time from entrance to
discharge; EDV was defined at the time of each new entrance in ED. The outcomes
under study were mortality and hospitalization within 7 days from ED discharge. A
multivariate logistic model was performed (Odds Ratios, ORs, 95% CI). The cohort
includes 2,344,572 visits. ED crowding is associated with an increased risk of
short-term hospitalization using both LOS and EDV as exposures (LOS 1-2 h: OR =
1.71, 95% CI 1.66-1.76, LOS 2-5 h: OR = 1.38, 95% CI 1.34-1.43, LOS > 5 h OR =
1.45 95% CI 1.40-1.50 compared to patients with 1 h of LOS; EDV 75 degrees -95
degrees percentile: OR = 1.02, 95% CI 0.99-1.05 and EDV > 95 degrees
percentile: OR = 1.06, 95% CI 1.01-1.11 compared to patients with a EDV < 75
degrees percentile upon arrival). Increased risk of short-term mortality is
found with increasing level of LOS. High levels of EDV at the time of patients'
arrival and longer LOS in ED are associated with greater risks of hospitalization
for patients discharged 7 days before. LOS in ED is also associated with an
increased risk of mortality.
PMID- 29802521
TI - Acute liver injury following Garcinia cambogia weight-loss supplementation: case
series and literature review.
AB - Herbal weight-loss supplements are sold as self-medication products, and are
often used under the misconception that their natural origin guarantees their
safety. Food supplements are not required to provide any benefit/risk profile
evaluation before marketing; however, possible risks associated with use of
herbal extracts in food supplements are becoming more and more documented in the
literature. Some herbs are listed as the leading cause of herb-induced liver
injury, with a severe or potentially lethal clinical course, and unpredictable
herb-drug interactions. Garcinia cambogia (GC) extract and GC-containing products
are some of the most popular dietary supplements currently marketed for weight
loss. Here, we present four cases of acute liver failure in women taking GC
extract for weight loss, and a literature review of clinical evidences about
hepatic toxicity in patients taking dietary supplements containing GC extract.
PMID- 29802523
TI - Prolactin-induced and neuronal activation in the brain of mother mice.
AB - Nursing has important consequences on mothers. To separate the prolactin-mediated
and the neuronally-mediated actions of nursing, neurons directly affected by
prolactin were visualized using pSTAT5 immunohistochemistry in relation to Fos
expressing neurons in suckled mother mice. In response to pup exposure following
22-h pup deprivation, we found a markedly elevated number of pSTAT5-containing
neurons in several brain regions, including the lateral septum, medial amygdaloid
nucleus, subparafascicular area, caudal periaqueductal gray, dorsal raphe,
lateral parabrachial nucleus, nucleus of the solitary tract, and the
periventricular, medial preoptic, paraventricular, arcuate and ventromedial
nuclei of the hypothalamus. Pup exposure also induced Fos expression in all of
these brain regions except the arcuate and ventromedial hypothalamic nuclei.
Bromocriptine treatment known to reduce prolactin levels eliminated pSTAT5 from
most brain regions while it did not affect Fos activation following suckling. The
degree of colocalization for pSTAT5 and Fos ranged from 8 to 80% in the different
brain regions suggesting that most neurons responding to pup exposure in mother
mice are driven either by prolactin or direct neuronal input from the pups, while
the number of neurons affected by both types of inputs depends on the examined
brain area. In addition, both pSTAT5 and Fos were also double-labeled with
estrogen receptor alpha (ERalpha) in mother mice, which revealed a very high
degree of colocalization between pSTAT5 and ERalpha with much less potential
interaction between Fos- and ERalpha-containing neurons suggesting that estrogen
sensitive neurons are more likely to be affected by prolactin than by direct
neuronal activation.
PMID- 29802524
TI - Molecular subtypes in early colorectal cancer associated with clinical features
and patient prognosis.
AB - PURPOSE: After surgical resection, an ample prognosis variability among stages is
observed. Multiple prognostic factors are individually studied and some CRC
classifiers have been proposed. Not one have been implemented into clinical
practice. METHODS/PATIENTS: We classified 105 patients with resected CRC (stage I
III) into five molecular subtypes using BRAFV600E and RAS (KRAS; NRAS) status,
and the expression of DNA mismatch repair (MMR) proteins (MLH1 and MSH2).
Clinicopathological features and DFS) of distincts groups were evaluated. RESULTS
AND CONCLUSIONS: RAS and BRAFV600E mutations were detected in 43.8 and 11.4% of
patients, respectively. 19% of tumours had lack of expression of any MMR proteins
reflecting a system deficiency (dMMR). Patients with any RAS mutation had lower
DFS that patients with RAS wild type (wt) (40.23 vs 45.26 months; p value =
0.035). Of a total of five molecular subtypes, three were MMR proficient (pMMR):
RAS mutated (39%), BRAFV600E mutated (6.7%) and RAS/BRAFV600E wt (35.2%); and two
were dMMR: BRAFV600E mutated (4.8%) and BRAFV600E wt (14.3%). Left side tumours
were more frequently observed in pMMR/RAS and BRAFV600E wt subtype, and right
side tumours in dMMR subtypes. Among the three pMMR subtypes, a benefit survival
was observed for patients without any mutation in BRAFv600E or RAS oncogenes
(median of DFS = 45.5 vs 40.98 months in RAS mutated group; p = 0.084 and vs
34.13 in BRAFv600E mutated group; p = 0.031). Molecular classification using
these biomarkers can be useful to identify groups with differences in prognosis.
PMID- 29802526
TI - Direct-Acting Antiviral Therapy for Hepatitis C Infection in a Large Immigrant
Community.
AB - Hepatitis C treatment has rapidly evolved with the arrival of direct-acting
antiviral therapy. Sustained virologic response (SVR) rates in clinical trials
are high but it is unknown how this translates to the immigrant community. Data
from December 2013 to September 2015 was collected from a Midwest academic and
community practice with a large immigrant population. There were 802 patients
with an overall SVR rate of 88%. Ledipasvir/sofosbuvir was associated with
favorable response among genotype 1 and 4 patients compared to other regimens (p
< 0.001 and p = 0.05). Factors associated with treatment failure included
advanced liver disease, male gender, East African/Middle Eastern ethnicity, and
non-compliance. Patients with genotype 4 had lower SVR rates than other genotypes
(58% vs. 89%, p < 0.001), particularly among East Africans (40% vs. 82% for other
ethnicities). Our SVR rate for genotype 4 infection is lower than clinical trials
and may be related to cultural, biologic and socioeconomic factors.
PMID- 29802525
TI - Piperlongumine Improves Lipopolysaccharide-Induced Amyloidogenesis by Suppressing
NF-KappaB Pathway.
AB - Amyloidogenesis is known to cause Alzheimer's disease. Our previous studies have
found that lipopolysaccharide (LPS) causes neuroinflammation and amyloidogenesis
through activation of nuclear factor kappaB (NF-kappaB). Piperlongumine (PL) is
an alkaloid amide found naturally in long pepper (Piper longum) isolates; it was
reported to have inhibitory effects on NF-kappaB activity. We therefore
investigated whether PL exhibits anti-inflammatory and anti-amyloidogenic effects
by inhibiting NF-kappaB. A murine model of LPS-induced memory impairment was made
via the intraperitoneal (i.p.) injection of LPS (0.25 mg/kg/day, i.p.). We then
injected PL (1.5 or 3.0 mg/kg/day, i.p.) for 7 days in three groups of mice to
observe effects on memory. We also conducted an in vitro study with astrocytes
and microglial BV-2 cells, which were treated with LPS (1 ug/mL) or PL (0.5 or
1.0 or 2.5 uM). Results from our behavioral tests showed that PL inhibited LPS
induced memory. PL also prevented LPS-induced beta-amyloid (Abeta) accumulation
and inhibited the activities of beta- and gamma-secretases. The expression of
inflammatory proteins also was decreased in PL-treated mice, cultured BV-2, and
primary astrocyte cells. These effects were associated with the inhibition of NF
kappaB activity. A docking model analysis and pull-down assay showed that PL
binds to p50. Taken together, our findings suggest that PL diminishes LPS-induced
amyloidogenesis and neuroinflammation by inhibiting NF-kappaB signaling; PL
therefore demonstrates potential for the treatment of Alzheimer's disease.
PMID- 29802527
TI - Recurrence of crescentic IgA nephropathy after renal transplantation.
AB - IgA nephropathy (IgAN) is one of the most common recurrent glomerulonephritis
after renal transplantation. Rarely, it is accompanied with the presence of
crescents that leads to rapid deterioration of renal function and graft loss. We
present a 54-year-old patient with IgAN that received a cadaveric kidney
allograft, but developed biopsy proven recurrent IgAN 7 months after renal
transplantation. He was treated with intravenous steroids and angiotensin
converting enzyme inhibitor and remission was achieved. 4 years later, he
presented again with heavy proteinuria, hematuria and deterioration of renal
function. Allograft biopsy revealed recurrent IgAN with crescents, which was
successfully treated with pulse intravenous steroids and six monthly doses of
intravenous cyclophosphamide. This regime resulted in long-term sustained
remission with a stable functioning graft 3 years later. Although it is not an
established treatment as in native kidneys, intravenous cyclophosphamide should
probably be considered in kidney transplants with potentially reversible
recurrent crescentic IgAN.
PMID- 29802528
TI - All-Trans Retinoic Acid Ameliorates the Early Experimental Cerebral Ischemia
Reperfusion Injury in Rats by Inhibiting the Loss of the Blood-Brain Barrier via
the JNK/P38MAPK Signaling Pathway.
AB - All-trans retinoic acid (ATRA) influences the outcomes of cerebral ischemic
reperfusion (CIR) injury, but the mechanism remains unclear. The present study
aimed to investigate the effects of ATRA on loss of the blood brain barrier (BBB)
following CIR and to explore the possible mechanisms. Transient middle cerebral
artery occlusion was performed on male SD rats to construct an in vivo CIR model.
Neurological deficits, BBB permeability, brain edema, MRI and JNK/P38 MAPK
proteins were detected at 24 h following CIR. We demonstrated that ATRA
pretreatment could alleviate CIR-induced neurological deficits, increase of BBB
permeability, infarct volume, degradation of tight junction proteins, inhibit MMP
9 protein expression and activity. ATRA treatment also reduced the p-P38 and p
JNK protein level. However the protective effect of ATRA on CIR could be reversed
by administration of retinoic acid alpha receptor antagonist Ro41-5253. SP600125
and SB203580, which is the JNK/P38 pathway inhibitors has the same protective
effect as ATRA. These results indicated that ATRA may inhibit the JNK/P38 MAPK
pathway to alleviate BBB disruption and improve CIR outcomes.
PMID- 29802530
TI - Characterization of the Open-Label Lead-In Period of Two Randomized Controlled
Phase 3 Trials Evaluating Dapagliflozin, Saxagliptin, and Metformin in Type 2
Diabetes.
AB - INTRODUCTION: To examine the utility of sequential versus dual add-on approaches
in patients who have type 2 diabetes and inadequate glycemic control with
metformin therapy alone, we characterized the efficacy and safety of dual therapy
with dapagliflozin or saxagliptin added to metformin in the open-label lead-in
periods of two phase 3 trials (study 1, NCT01619059; study 2, NCT01646320) that
evaluated triple therapy in patients with inadequately controlled type 2
diabetes. METHODS: During the lead-in periods of each trial, patients [glycated
hemoglobin (HbA1c) 8.0-11.5%] who had been receiving metformin >= 1500 mg/day for
>= 8 weeks received metformin immediate release at an equivalent dose plus
dapagliflozin 10 mg/day (study 1; N = 482) or saxagliptin 5 mg/day (study 2; N =
349) for 16 weeks. Efficacy end points were assessed at week - 2 before
randomization. RESULTS: Mean change in HbA1c [95% confidence interval (CI)] from
lead-in baseline (study 1, 9.3%; study 2, 9.4%) was - 1.6% (- 1.7, - 1.5) in
study 1 and - 1.3% (- 1.5, - 1.2) in study 2. Mean changes (95% CI) from lead-in
baseline in weight and fasting plasma glucose were - 2.4 kg (- 2.6, - 2.1) and -
47.5 mg/dL (- 52.8, - 42.3) for study 1 and - 0.5 kg (- 0.8, - 0.2) and - 28.5
mg/dL (- 35.8, - 21.2) for study 2. At the end of the lead-in period, 22.0% of
patients achieved HbA1c < 7.0% in study 1 and 17.5% in study 2. Dual therapy was
well tolerated, with hypoglycemia incidence < 1% in both studies. CONCLUSION:
Dual therapy improved glycemic control and was well tolerated; however, most
patients required additional therapy to further improve HbA1c towards target,
suggesting that an early move to triple therapy with oral glucose-lowering drugs
rather than a stepwise approach may be beneficial for patients with high HbA1c
levels on metformin therapy. TRIAL REGISTRATION: ClinicalTrials.gov NCT01619059,
NCT01646320. FUNDING: AstraZeneca.
PMID- 29802529
TI - NLRP3 Inflammasome Is Involved in Q-VD-OPH Induced Necroptosis Following Cerebral
Ischemia-Reperfusion Injury.
AB - Necroptosis is a manner of caspase-independent cell death,which accounts for
delayed ischemic cerebral injury, and can be used as a novel tool to expand the
treatment time window in ischemic cerebral injury. Q-VD-OPH, a novel pan caspase
inhibitor, has been identified as an inducer of necroptosis. In this study, we
determined the optimal dose of Q-VD-OPH, which induces necroptosis in rats by the
middle cerebral artery occlusion, followed by reperfusion. Furthermore, we report
that the NLRP3 inflammasome is involved in necroptosis, with levels of NLRP3
inflammasome proteins as well as inflammatory cytokines, such as IL-1beta, being
elevated. We also demonstrated that NLRP3 was not only expressed in microglia and
vascular endothelial cell, but also in neurons when necroptosis is induced with Q
VD-OPH. Inhibition of NLRP3 by glyburide strongly suppressed the expression of
NLRP3 inflammasome proteins and IL-1beta, and markedly reduced brain tissue
damage. Our findings provide evidence that pretreatment with Q-VD-OPH suppresses
apoptosis and induces necroptosis in the cerebral ischemia-reperfusion model. We
also identified that the NLRP3 inflammasome plays an important role in neuronal
necroptosis, and that NLRP3 inflammasome deficiency reduces brain tissue damage
after cerebral ischemia-reperfusion injury in rats.
PMID- 29802531
TI - Three-material decomposition with dual-layer spectral CT compared to MRI for the
detection of bone marrow edema in patients with acute vertebral fractures.
AB - OBJECTIVES: To assess whether bone marrow edema in patients with acute vertebral
fractures can be accurately diagnosed based on three-material decomposition with
dual-layer spectral CT (DLCT). MATERIALS AND METHODS: Acute (n = 41) and chronic
(n = 18) osteoporotic thoracolumbar vertebral fractures as diagnosed by MRI
(hyperintense signal in STIR sequences) in 27 subjects (72 +/- 11 years; 17
women) were assessed with DLCT. Spectral data were decomposed into
hydroxyapatite, edema-equivalent, and fat-equivalent density maps using an in
house-developed algorithm. Two radiologists, blinded to clinical and MR findings,
assessed DLCT and conventional CT independently, using a Likert scale (1 = no
edema; 2 = likely no edema; 3 = likely edema; 4 = edema). For DLCT and
conventional CT, accuracy, sensitivity, and specificity for identifying acute
fractures (Likert scale, 3 and 4) were analyzed separately using MRI as standard
of reference. RESULTS: For the identification of acute fractures, conventional CT
showed a sensitivity of 0.73-0.76 and specificity of 0.78-0.83, whereas the
sensitivity (0.93-0.95) and specificity (0.89) of decomposed DLCT images were
substantially higher. Accuracy increased from 0.76 for conventional CT to 0.92
0.93 using DLCT. Interreader agreement for fracture assessment was high in
conventional CT (weighted kappa [95% confidence interval]; 0.81 [0.70; 0.92]) and
DLCT (0.96 [0.92; 1.00]). CONCLUSIONS: Material decomposition of DLCT data
substantially improved accuracy for the diagnosis of acute vertebral fractures,
with a high interreader agreement. This may spare patients additional
examinations and facilitate the diagnosis of vertebral fractures.
PMID- 29802532
TI - Sonographic and radiographic findings of posterior tibial tendon dysfunction: a
practical step forward.
AB - The purpose of this article is to describe the sonographic and radiographic
findings in the diagnosis and treatment of posterior tibial tendon dysfunction.
Ultrasound and radiographs play a crucial role in the diagnosis of posterior
tibial tendon dysfunction and in imaging the postoperative changes related to
posterior tibial tendon dysfunction. Early detection and diagnosis of posterior
tibial tendon dysfunction is important in helping to prevent further progression
of disease, obviating the need for more invasive and complex procedures.
PMID- 29802533
TI - Relationships between dispositional mindfulness, health behaviors, and hemoglobin
A1c among adults with type 2 diabetes.
AB - Examine cross-sectional relationships between dispositional mindfulness and
diabetes self-care behaviors (i.e., medication adherence, diet and exercise
behavior, and self-monitoring of blood glucose; SMBG), hemoglobin A1c (HbA1c, %),
and body mass index (BMI; continuously and obese vs. not). Adults with type 2
diabetes (N = 148, Mage = 55.7 +/- 10.1) who were recruited to participate in a
web-based diabetes medication adherence intervention completed all assessments at
enrollment. In unadjusted analyses, mindfulness was associated with better
dietary habits and worse HbA1c (p < .05). After controlling for a priori
covariates (demographics, years since diabetes diagnosis, and insulin status),
mindfulness remained associated with better dietary behavior (p < .01) but not
HbA1c. Mindfulness was not associated with medication adherence, exercise
behavior, SMBG, or body mass index. We found evidence that dispositional
mindfulness plays an important role in dietary behaviors, supporting the use of
mindful eating techniques in diabetes self-management interventions. Fostering
mindfulness may be one of several behavioral tools needed to support key self
care behaviors and improve HbA1c.
PMID- 29802534
TI - Childhood adversity from conception onwards: are our tools unnecessarily
hindering us?
PMID- 29802535
TI - Self-regulation and obesity: the role of executive function and delay discounting
in the prediction of weight loss.
AB - Obesity rates are rising worldwide. Executive function and delay discounting have
been hypothesized to play important roles in the self-regulation of behavior, and
may explain variance in weight loss treatment success. First, we compared
individuals with obesity (n = 82) to healthy weight controls (n = 71) on
behavioral and self-report measures of executive function (working memory,
inhibition and shifting) and delay discounting. Secondly, the individuals with
obesity took part in a multidisciplinary weight loss program and we examined
whether executive function and delay discounting predicted weight change.
Individuals with obesity displayed weaker general and food-specific inhibition,
and weaker self-reported executive function. Better behavioral working memory and
better self-reported inhibition skills in daily life were predictive of greater
weight loss. As findings are correlational, future studies should investigate the
causal relationship between executive function and weight loss, and test whether
intervening on executive function will lead to better prevention and treatment of
obesity.
PMID- 29802537
TI - Drug-induced keratin 9 interaction with Hsp70 in bladder cancer cells.
AB - A pull-down experiment (co-immunoprecipitation) was performed on a T24 human
bladder cancer cell lysate treated with the Hsp inhibitor VER155008 using an
Hsp70 antibody attached to Dynabeads. Keratin 9, a cytoskeleton intermediate
filament protein, was identified by LC MS/MS analysis. This novel finding was
confirmed by Western blotting, RT-PCR, and immunocytochemistry. Other members of
the keratin family of proteins have been shown to be involved in cancer
progression, most recently identified to be associated with cell invasion and
metastasis. The specific role of keratin 9 expression in these cells is yet to be
determined.
PMID- 29802536
TI - The role of personality, disability and physical activity in the development of
medication-overuse headache: a prospective observational study.
AB - BACKGROUND: Factors associated with development of medication-overuse headache
(MOH) in migraine patients are not fully understood, but with respect to
prevention, the ability to predict the onset of MOH is clinically important. The
aims were to examine if personality characteristics, disability and physical
activity level are associated with the onset of MOH in a group of migraine
patients and explore to which extend these factors combined can predict the onset
of MOH. METHODS: The study was a single-center prospective observational study of
migraine patients. At inclusion, all patients completed questionnaires evaluating
1) personality (NEO Five-Factor Inventory), 2) disability (Migraine Disability
Assessment), and 3) physical activity level (Physical Activity Scale 2.1).
Diagnostic codes from patients' electronic health records confirmed if they had
developed MOH during the study period of 20 months. Analyses of associations were
performed and to identify which of the variables predict onset MOH, a
multivariable least absolute shrinkage and selection operator (LASSO) logistic
regression model was fitted to predict presence or absence of MOH. RESULTS: Out
of 131 participants, 12 % (n=16) developed MOH. Migraine disability score
(OR=1.02, 95 % CI: 1.00 to 1.04), intensity of headache (OR=1.49, 95 % CI: 1.03
to 2.15) and headache frequency (OR=1.02, 95 % CI: 1.00 to 1.04) were associated
with the onset of MOH adjusting for age and gender. To identify which of the
variables predict onset MOH, we used a LASSO regression model, and evaluating the
predictive performance of the LASSO-mode (containing the predictors MIDAS score,
MIDAS-intensity and -frequency, neuroticism score, time with moderate physical
activity, educational level, hours of sleep daily and number of contacts to the
headache clinic) in terms of area under the curve (AUC) was weak (apparent
AUC=0.62, 95% CI: 0.41-0.82). CONCLUSION: Disability, headache intensity and
frequency were associated with the onset of MOH whereas personality and the level
of physical activity were not. The multivariable LASSO model based on
personality, disability and physical activity is applicable despite moderate
study size, however it can be considered as a weak classifier for discriminating
between absence and presence of MOH.
PMID- 29802538
TI - Effects of the SGLT-2 Inhibitor Empagliflozin on Renal Tissue Oxygenation in Non
Diabetic Subjects: A Randomized, Double-Blind, Placebo-Controlled Study Protocol.
AB - INTRODUCTION: Empagliflozin is an SGLT-2 inhibitor (SGLT-2i) which belongs to a
new class of hypoglycemic drugs with the unique property of decreasing blood
glucose independently from insulin, through an increase in glycosuria. In
addition to decreasing cardiovascular morbidity and mortality, empagliflozin has
nephroprotective properties in high cardiovascular risk patients with type 2
diabetes. Decreased hyperfiltration and shifting towards more favorable renal
fuel energetics with improved renal oxygenation may explain some of these
properties. With this study, we propose to explore the effects of empagliflozin
on renal tissue oxygenation using blood oxygenation level-dependent magnetic
resonance imaging (BOLD-MRI). METHODS: This is a double-blind, randomized,
placebo-controlled study examining the acute and chronic renal effects of
empagliflozin 10 mg. The primary outcome is the effects of empagliflozin on renal
tissue oxygenation as measured by BOLD-MRI. The secondary outcomes include the
effects of empagliflozin on tubular function, 24 h blood pressure control, and
the influence of body mass index (BMI) on the renal response to empagliflozin.
Fifteen normal weight, 15 overweight, and 15 obese non-diabetic subjects (men and
women) will be recruited. Each participant will undergo 24 h urine collections
and blood pressure measurements on day - 1, followed by an investigation day at
the study center with blood and urine sampling and renal BOLD-MRI measurements
before and 180 min after the administration of 10 mg empagliflozin or placebo.
This sequence of measurements will be repeated after 1 month of a daily
empagliflozin or placebo intake. To investigate renal oxygenation, the renal
cortical and medullary R2*, as a marker of oxygenation, will be assessed by BOLD
MRI under standardized hydration conditions: the higher R2*, the lower
oxygenation. CONCLUSION: SGLT-2 inhibitors have a profound effect on renal
physiology. This is an important study that will explore for the first time
whether inhibiting SGLT-2 with empagliflozin in healthy volunteers affects renal
tissue oxygenation as determined by BOLD-MRI. FUNDING: Boehringer Ingelheim
Pharma GmbH & Co. TRIAL REGISTRATION: ClinicalTrials.gov identifier, NCT03093103.
PMID- 29802539
TI - Unlocking the Value of Literature in Health Co-Design: Transforming Patient
Experience Publications into a Creative and Accessible Card Tool.
AB - BACKGROUND: A wealth of peer-reviewed data exists regarding people's health
experience, yet practical ways of using the data to understand patients'
experiences and to inform health co-design are needed. OBJECTIVE: This study aims
to develop an applied and pragmatic method for using patient experience
literature in co-design by transforming it into an accessible and creative co
design tool. METHOD: A scoping literature review of the CINAHL, MEDLINE, PsycINFO
and PubMed electronic databases was conducted from January 2011 through August
2016. Qualitative publications regarding the experience of living with diabetes
in Australia were selected. The Results section of each paper was extracted and
affinity analysis was applied to identify insights into the health experience.
These insights were developed into a card tool for use in health co-design
activities. RESULTS: Thirteen relevant papers were identified from the review,
and affinity analysis of the Results sections of these papers lead to the
identification of 85 insights, from 'Shock of diagnosis' (Insight 1), to 'Delay
seeking care' (Insight 9), to 'Assess the quality of care' (Insight 28), to
'Avoid or adapt habits' (Insight 78). Each insight was developed into an
individual card, which included a high-level theme, insight, quote and a link
back to the literature, together making up the Health Experience Insight Cards,
Living with Diabetes Edition. CONCLUSIONS: This was the first study to develop a
method for transforming existing patient experience literature into a creative
tool for health improvement. The Health Experience Insight Cards collate the
diverse experiences of over 300 people living with diabetes in Australia, from 13
studies. Health improvement teams can use the 'Living with Diabetes Edition'
cards or they can follow this pragmatic method to create their own cards focused
on other health experiences to facilitate person-focused health improvements.
PMID- 29802541
TI - The Exosome-Derived Biomarker in Atherosclerosis and Its Clinical Application.
AB - Exosomes are now accepted as potential biomarkers in cardiovascular disease
development, especially in atherosclerosis. Atherosclerosis is a leading cause of
cardiovascular disease-related death and morbidity, accounting for one-fifth of
all deaths globally. Therefore, the biomarkers for the management of
atherosclerosis is urgently needed. Exosomes are reported to play key roles cell
to-cell communication in atherosclerosis with lipid bilayer membranous vesicles
containing nucleic acids, proteins, and lipid contents, which are released from
all most of multiple kinds of living cells. This review aims to discuss the
potential roles of exosome-derived miRNA, protein, and DNA as biomarkers in
atherosclerosis pathogenesis, diagnosis, and therapy.
PMID- 29802540
TI - Conjunctival Melanoma - Epidemiological Trends and Features.
AB - Conjunctival melanoma is a rare but sight and life threatening malignancy. It
accounts for 2%-5% of all ocular tumours and 5%-7% of all ocular melanomas with
an incidence of 0.2-0.8 per million in the Caucasian population with rare cases
reported in the non-Caucasians. In recent decades the incidence of uveal melanoma
has been relatively stable whilst conjunctival and cutaneous melanoma have shown
increasing incidence which may be connected to the result of environmental
exposure to ultraviolet light. The dissimilarity in incidence between light and
dark pigmented individuals observed in conjunctival melanomas compared to uveal
and cutaneous melanomas may be related to differences in their histological
structures and genetic profile. Recent molecular biological studies support the
fact that each type of melanoma undergoes its own molecular changes and has
characteristic biological behaviour. Further studies are required for each type
of melanoma in order to ascertain their individual etiology and pathogenesis and
based on this knowledge develop relevant preventative and treatment procedures.
PMID- 29802542
TI - Influence of Antigen Mass on the Pharmacokinetics of Therapeutic Antibodies in
Humans.
AB - Therapeutic antibodies are increasingly used to treat various diseases, including
neoplasms and chronic inflammatory diseases. Antibodies exhibit complex
pharmacokinetic properties, notably owing to the influence of antigen mass, i.e.
the amount of antigenic targets to which the monoclonal antibody binds
specifically. This review focuses on the influence of antigen mass on the
pharmacokinetics of therapeutic antibodies quantified by pharmacokinetic
modelling in humans. Out of 159 pharmacokinetic studies, 85 reported an influence
of antigen mass. This influence led to non-linear elimination decay in 50
publications, which was described using target-mediated drug disposition or
derived models, as quasi-steady-state, irreversible binding and Michaelis-Menten
models. In 35 publications, the pharmacokinetics was apparently linear and the
influence of antigen mass was described as a covariate of pharmacokinetic
parameters. If some reported covariates, such as the circulating antigen level or
tumour size, are likely to be correlated to antigen mass, others, such as disease
activity or disease type, may contain little information on the amount of
antigenic targets. In some cases, antigen targets exist in different forms,
notably in the circulation and expressed at the cell surface. The influence of
antigen mass should be soundly described during the early clinical phases of drug
development. To maximise therapeutic efficacy, sufficient antibody doses should
be administered to ensure the saturation of antigen targets by therapeutic
antibodies in all patients. If necessary, antigen mass should be taken into
account in routine clinical practice.
PMID- 29802543
TI - Clinical Pharmacokinetics and Pharmacodynamics of Bortezomib.
AB - Proteasome inhibitors disrupt multiple pathways in cells and the bone marrow
microenvironment, resulting in apoptosis and inhibition of cell-cycle
progression, angiogenesis, and proliferation. Bortezomib is a first-in-class
proteasome inhibitor approved for the treatment of multiple myeloma and mantle
cell lymphoma after one prior therapy. It is also effective in other plasma cell
disorders and non-Hodgkin lymphomas. The main mechanism of action of bortezomib
is to inhibit the chymotrypsin-like site of the 20S proteolytic core within the
26S proteasome, thereby inducing cell-cycle arrest and apoptosis. The
pharmacokinetic profile of intravenous bortezomib is characterized by a two
compartment model with a rapid initial distribution phase followed by a longer
elimination phase and a large volume of distribution. Bortezomib is available for
subcutaneous and intravenous administration. Pharmacokinetic studies comparing
subcutaneous and intravenous bortezomib demonstrated that systemic exposure was
equivalent for both routes; pharmacodynamic parameters of 20S proteasome
inhibition were also similar. Renal impairment does not influence the intrinsic
pharmacokinetics of bortezomib. However, moderate or severe hepatic impairment
causes an increase in plasma concentrations of bortezomib. Therefore, patients
with moderate or severe hepatic impairment should start at a reduced dose.
Because bortezomib undergoes extensive metabolism by hepatic cytochrome P450 3A4
and 2C19 enzymes, certain strong cytochrome P450 3A4 inducers and inhibitors can
also alter the systemic exposure of bortezomib. This article critically reviews
and summarizes the clinical pharmacokinetics and pharmacodynamics of bortezomib
at various dosing levels and routes of administration as well as in specific
patient subsets. In addition, we discuss the clinical efficacy and safety of
bortezomib.
PMID- 29802544
TI - Dihydroergotamine inhibits the vasodepressor sensory CGRPergic outflow by
prejunctional activation of alpha2-adrenoceptors and 5-HT1 receptors.
AB - BACKGROUND: Dihydroergotamine (DHE) is an antimigraine drug that produces cranial
vasoconstriction and inhibits trigeminal CGRP release; furthermore, it inhibits
the vasodepressor sensory CGRPergic outflow, but the receptors involved remain
unknown. Prejunctional activation of alpha2A/2C-adrenergic, serotonin 5-HT1B/1F,
or dopamine D2-like receptors results in inhibition of this CGRPergic outflow.
Since DHE displays affinity for these receptors, this study investigated the
pharmacological profile of DHE-induced inhibition of the vasodepressor sensory
CGRPergic outflow. METHODS: Pithed rats were pretreated i.v. with hexamethonium
(2 mg/kg.min) followed by continuous infusions of methoxamine (20 MUg/kg.min) and
DHE (3.1 MUg/kg.min). Then, stimulus-response curves (spinal electrical
stimulation; T9-T12) or dose-response curves (i.v. injections of alpha-CGRP)
resulted in frequency-dependent or dose-dependent decreases in diastolic blood
pressure. RESULTS: DHE inhibited the vasodepressor responses to electrical
stimulation (0.56-5.6 Hz), without affecting those to i.v. alpha-CGRP (0.1-1
MUg/kg). This inhibition by DHE (not produced by the methoxamine infusions): (i)
was abolished by pretreatment with the combination of the antagonists rauwolscine
(alpha2-adrenoceptor; 310 MUg/kg) plus GR127935 (5-HT1B/1D; 31 MUg/kg); and (ii)
remained unaffected after rauwolscine (310 MUg/kg), GR127935 (31 MUg/kg) or
haloperidol (D2-like; 310 MUg/kg) given alone, or after the combination of
rauwolscine plus haloperidol or GR127935 plus haloperidol at the aforementioned
doses. CONCLUSION: DHE-induced inhibition of the vasodepressor sensory CGRPergic
outflow is mainly mediated by prejunctional rauwolscine-sensitive alpha2
adrenoceptors and GR127935-sensitive 5-HT1B/1D receptors, which correlate with
alpha2A/2C-adrenoceptors and 5-HT1B receptors, respectively. These findings
suggest that DHE-induced inhibition of the perivascular sensory CGRPergic outflow
may facilitate DHE's vasoconstrictor properties resulting in an increased
vascular resistance.
PMID- 29802545
TI - Polymorphisms in the promoter region of IL10 gene are associated with virus
etiology of infant bronchiolitis.
AB - BACKGROUND: Bronchiolitis is the most common infection leading to hospitalization
in infancy. Interleukin-10 (IL-10) is an anti-inflammatory cytokine, and in our
previous study, IL10 gene rs1800896 (- 1082A/G) polymorphism was associated with
viral etiology of infant bronchiolitis. The objective of this study was to
evaluate the associations between IL10 single nucleotide polymorphisms (SNPs) at
rs1800890 (- 3575A/T), rs1800871 (- 819C/T) or rs1800872 (- 592C/A) either alone
or combined with the SNP at rs1800896 (- 1082G/A), and the etiology and severity
of infant bronchiolitis. METHODS: Data on four IL10 SNPs were available from 135
full-term infants, hospitalized for bronchiolitis at age less than 6 months, and
from 378 to 400 controls. Viral etiology was studied, and oxygen support, feeding
support and the length of stay in hospital were recorded during bronchiolitis
hospitalization. RESULTS: Infants with rhinovirus bronchiolitis had the IL10
rs1800890 variant AT or TT genotype less often (18.2%) than controls (63.3%, P =
0.03), and likewise, had the IL10 rs1800896 variant AG or GG genotype less often
(27.3%) than controls (65.5%, P = 0.009). Twenty-eight infants with bronchiolitis
had the variant-variant Grs1800896Trs1800890 haplotype, and none of them had
rhinovirus infection. The IL10 rs1800871 or rs1800872 genotypes showed no
associations with viruses. No association was found between any genotypes and
bronchiolitis severity measures. CONCLUSION: IL10 rs1800890 and rs1800896
polymorphisms differed between infants with rhinovirus bronchiolitis and
controls, but not between infants with respiratory syncytial virus bronchiolitis
and controls.
PMID- 29802547
TI - Retrospective survey and phylogenetic analysis of porcine circovirus type 3 in
Jiangsu province, China, 2008 to 2017.
AB - Porcine circovirus type 3 (PCV3) is a novel porcine circovirus recently
identified in pigs associated with porcine dermatitis nephropathy syndrome,
reproductive failure and multi-systemic inflammation. This study aimed to
identify PCV3 in clinical samples from pigs collected between 2008 and 2017 in
Jiangsu province, China. A total of 272 pig tissue samples from 141 pig farms in
Jiangsu province were examined and analyzed. Forty of the 272 (14.7%) samples
tested were positive for PCV3, while 28/40 (70%) of the PCV3-positive samples
were co-infected with PCV2. Among them, 18, 1, 14 and 7 of the PCV3-positive
samples were identified in 2013, 2014, 2015 and 2017, respectively. The complete
genome sequences from four of the PCV3s contained 2,000 nucleotides, and shared
98.6% to 99.6% nucleotide sequence identity with the PCV3 isolates available in
GenBank. Our results indicate that a large outbreak of PCV3 occurred in Jiangsu
province pig herds in 2013, after which a fairly stable infection rate was
recorded. It is imperative, therefore, to gain a better understanding of the
pathogenicity of PCV3 and control its further dissemination in this region.
PMID- 29802548
TI - Characterization and application of monoclonal antibodies against channel catfish
virus (CCV).
AB - Three monoclonal antibodies (MAbs) (27E4, 17H2, 8B6) against channel catfish
virus (CCV) were developed by immunizing Balb/C mice. Using indirect ELISA, these
MAbs reacted only with CCV and not with three other fish viruses or nine fish
cell lines. During western blotting analysis, MAb 27E4 recognized 170 kDa and 47
kDa proteins, while MAb 17H2 and MAb 8B6 recognized 47 kDa and 56 kDa proteins,
respectively. Furthermore, a sandwich ELISA was developed for detection of CCV.
The detection limit of the test was 105 TCID50/mL.
PMID- 29802550
TI - Building the Case for Localized Approaches to HIV: Structural Conditions and
Health System Capacity to Address the HIV/AIDS Epidemic in Six US Cities.
AB - Since the discovery of the secondary preventive benefits of antiretroviral
therapy, national and international governing bodies have called for countries to
reach 90% diagnosis, ART engagement and viral suppression among people living
with HIV/AIDS. The US HIV epidemic is dispersed primarily across large urban
centers, each with different underlying epidemiological and structural features.
We selected six US cities, including Atlanta, Baltimore, Los Angeles, Miami, New
York, and Seattle, with the objective of demonstrating the breadth of
epidemiological and structural differences affecting the HIV/AIDS response across
the US. We synthesized current and publicly-available surveillance, legal
statutes, entitlement and discretionary funding, and service location data for
each city. The vast differences we observed in each domain reinforce disparities
in access to HIV treatment and prevention, and necessitate targeted, localized
strategies to optimize the limited resources available for each city's HIV/AIDS
response.
PMID- 29802552
TI - Evaluating Ga-68 Peptide Conjugates for Targeting VPAC Receptors: Stability and
Pharmacokinetics.
AB - PURPOSE: In recent years, considerable progress has been made in the use of
gallium-68 labeled receptor-specific peptides for imaging oncologic diseases. The
objective was to examine the stability and pharmacokinetics of [68Ga]NODAGA and
DOTA-peptide conjugate targeting VPAC [combined for vasoactive intestinal peptide
(VIP) and pituitary adenylate cyclase-activating peptide (PACAP)] receptors on
tumor cells. PROCEDURES: A VPAC receptor-specific peptide was chosen as a model
peptide and conjugated to NODAGA and DOTA via solid-phase synthesis. The
conjugates were characterized by HPLC and MALDI-TOF. Following Ga-68 chelation,
the radiochemical purity of Ga-68 labeled peptide conjugate was determined by
radio-HPLC. The stability was tested against transmetallation using 100 nM
Fe3+/Zn2+/Ca2+ ionic solution and against transchelation using 200 MUM DTPA
solution. The ex vivo and in vivo stability of the Ga-68 labeled peptide
conjugate was tested in mouse plasma and urine. Receptor specificity was
determined ex vivo by cell binding assays using human breast cancer BT474 cells.
Positron emission tomography (PET)/X-ray computed tomography (CT) imaging, tissue
distribution, and blocking studies were performed in mice bearing BT474
xenografts. RESULTS: The chemical and radiochemical purity was greater than 95 %
and both conjugates were stable against transchelation and transmetallation. Ex
vivo stability at 60 min showed that the NODAGA-peptide-bound Ga-68 reduced to
42.1 +/- 3.7 % (in plasma) and 37.4 +/- 2.9 % (in urine), whereas the DOTA
peptide-bound Ga-68 was reduced to 1.2 +/- 0.3 % (in plasma) and 4.2 +/- 0.4 %
(in urine) at 60 min. Similarly, the in vivo stability for [68Ga]NODAGA-peptide
was decreased to 2.1 +/- 0.2 % (in plasma) and 2.2 +/- 0.4 % (in urine). For
[68Ga]DOTA-peptide, it was decreased to 1.4 +/- 0.3 % (in plasma) and 1.2 +/- 0.4
% (in urine) at 60 min. The specific BT474 cell binding was 53.9 +/- 0.8 % for
[68Ga]NODAGA-peptide, 25.8 +/- 1.4 % for [68Ga]-DOTA-peptide, and 18.8 +/- 2.5 %
for [68Ga]GaCl3 at 60 min. Inveon microPET/CT imaging at 1 h post-injection
showed significantly (p < 0.05) higher tumor to muscle (T/M) ratio for
[68Ga]NODAGA-peptide (3.4 +/- 0.3) as compared to [68Ga]DOTA-peptide (1.8 +/-
0.6). For [68Ga]GaCl3 and blocked mice, their ratios were 1.5 +/- 0.6 and 1.5 +/-
0.3 respectively. The tissue distributions data were similar to the PET imaging
data. CONCLUSION: NODAGA is superior to DOTA in terms of radiolabeling kinetics.
The method of radiolabeling was reproducible and yielded higher specific
activity. Although both agents have relatively low in vivo stability, PET/CT
imaging studies delineated BC tumors with [68Ga]NODAGA-peptide, but not with
[68Ga]DOTA-peptide.
PMID- 29802553
TI - Near-infrared Fluorescence Ocular Imaging (NIRFOI) of Alzheimer's Disease.
AB - PURPOSE: Near-infrared fluorescence (NIRF) imaging has been widely used in
preclinical studies; however, its low tissue penetration represents a daunting
problem for translational clinical imaging of neurodegenerative diseases. The
retina is known as an extension of the central nerve system (CNS), and it is
widely considered as a window to the brain. Therefore, the retina can be
considered as an alternative organ for investigating neurodegenerative diseases,
and an eye represents an ideal NIRF imaging organ, due to its minimal opacity.
PROCEDURES: NIRF ocular imaging (NIRFOI), for the first time, was explored for
imaging of Alzheimer's disease (AD) via utilizing "smart" fluorescent probes
CRANAD-X (X = - 2, - 3, - 30, - 58, and - 102) for amyloid beta (Abeta), and
CRANAD-61 for reactive oxygen species (ROS). Mice were intravenously injected the
fluorescence dyes and images from the eyes were captured with an IVIS imaging
system at different time points. RESULTS: All of the tested NIRF probes could be
used to differentiate transgenic AD mice and WT mice, and NIRFOI could provide
much higher sensitivity for imaging Abetas than NIRF brain imaging did. Our data
suggested that NIRFOI could capture the imaging signals from both soluble and
insoluble Abeta species. Moreover, we demonstrated that NIRFOI with CRANAD-102
could be used to monitor the therapeutic effects of BACE-1 inhibitor LY2811376.
Compared to NIRF brain imaging, NIRFOI provided a larger change of Abeta levels
before and after LY2811376 treatment. In addition, we demonstrated that CRANAD-61
could be used to image reactive oxygen species in the eyes. CONCLUSION: The large
detection margin by NIRFOI is very important for both diagnosis and therapy
response monitoring. Compared to fluorescence microscopic imaging, NIRFOI
captures signals with a wide angle (large field of view (FOV)) and can be used to
detect soluble Abetas. We believe that NIRFOI has remarkable translational
potential for future human studies and can be a potential imaging technology for
fast, cheap, accessible, and reliable screening of AD in the future.
PMID- 29802551
TI - A phase I, open-label, dose-escalation study of amrubicin in combination with
lenalidomide and weekly dexamethasone in previously treated adults with relapsed
or refractory multiple myeloma.
AB - This phase 1 study investigated the safety of the anthracycline amrubicin
combined with lenalidomide and dexamethasone in adults with relapsed or
refractory multiple myeloma. A standard 3 + 3 design was used. Patients received
intravenous amrubicin 40-80 mg/m2 on day one, lenalidomide 15 mg orally on days 1
14, and dexamethasone 40 mg orally weekly on 21 day cycles. 14 patients were
enrolled, and completed a median of three cycles. The maximum tolerated dose was
not reached. One patient experienced dose limiting toxicity of dizziness and
diarrhea. The most frequent non-hematologic toxicity was infection (79%). Serious
adverse events included cord compression and sepsis. Three patients (21%) had a
partial response or better, and seven (50%) had stable disease. The median
duration of response was 4.4 months, and the median progression-free survival was
3 months. Amrubicin combined with lenalidomide and dexamethasone, was safe and
demonstrated clinical activity in relapsed or refractory multiple
myeloma.Clinicaltrials.gov identifier: NCT01355705.
PMID- 29802554
TI - Long-term safety and efficacy of AAV gene therapy in the canine model of glycogen
storage disease type Ia.
AB - BACKGROUND: Viral mediated gene therapy has progressed after overcoming early
failures, and gene therapy has now been approved for several conditions in Europe
and the USA. Glycogen storage disease (GSD) type Ia, caused by a deficiency of
glucose-6-phosphatase-alpha, has been viewed as an outstanding candidate for gene
therapy. This follow-up report describes the long-term outcome for the naturally
occurring GSD-Ia dogs treated with rAAV-GPE-hG6PC-mediated gene therapy. METHODS:
A total of seven dogs were treated with rAAV-GPE-hG6PC-mediated gene therapy. The
first four dogs were treated at birth, and three dogs were treated between 2 and
6 months of age to assess the efficacy and safety in animals with mature livers.
Blood and urine samples, radiographic studies, histological evaluation, and
biodistribution were assessed. RESULTS: Gene therapy improved survival in the GSD
Ia dogs. With treatment, the biochemical studies normalized for the duration of
the study (up to 7 years). None of the rAAV-GPE-hG6PC-treated dogs had focal
hepatic lesions or renal abnormalities. Dogs treated at birth required a second
dose of rAAV after 2-4 months; gene therapy after hepatic maturation resulted in
improved efficacy after a single dose. CONCLUSION: rAAV-GPE-hG6PC treatment in
GSD-Ia dogs was found to be safe and efficacious. GSD-Ia is an attractive target
for human gene therapy since it is a monogenic disorder with limited tissue
involvement. Blood glucose and lactate monitoring can be used to assess
effectiveness and as a biomarker of success. GSD-Ia can also serve as a model for
other hepatic monogenic disorders.
PMID- 29802555
TI - Role of continuous glucose monitoring in the management of glycogen storage
disorders.
AB - Management of liver glycogen storage diseases (GSDs) primarily involves
maintaining normoglycemia through dietary modifications and regular glucose
monitoring. Self-monitoring of blood glucose is typically done 3-6 times per day,
and may not sufficiently capture periods of asymptomatic hypoglycemia,
particularly during sleep. Continuous glucose monitoring systems (CGMS) provide
24-h continuous glucose data and have been used effectively in diabetes mellitus
to monitor metabolic control and optimize treatment. This is a relatively new
approach in GSDs with only a handful of studies exploring this modality. In this
study we used Dexcom CGMS to study the glycemic profile of 14 pediatric and six
adult patients with GSD I, III, and IX. A total of 176 days of CGMS data were
available. The CGMS was found to be a reliable tool in monitoring glucose levels
and trends at all times of the day with good concordance with finger-stick
glucose values. This study revealed that in addition to overnight hypoglycemia,
CGMS can uncover previously undetected, subclinical, low glucose levels during
daytime hours. Additionally, the CGMS detected daytime and overnight
hyperglycemia, an often overlooked concern in liver GSDs. The CGMS with
concurrent dietary adjustments made by a metabolic dietitian improved metabolic
parameters and stabilized blood glucose levels. The CGMS was found to be a safe,
effective, and reliable method for optimizing treatment in patients with GSD I,
III, and IX.
PMID- 29802549
TI - Cholesterol-Lowering Nutraceuticals Affecting Vascular Function and
Cardiovascular Disease Risk.
AB - PURPOSE OF REVIEW: The aim of this review is to provide an update on the effects
of the dietary supplementation with cholesterol-lowering nutraceuticals and
nutraceutical combinations affecting vascular function and CV risk in clinical
interventional studies. RECENT FINDINGS: Current evidence supports the mild-to
moderate cholesterol-lowering efficacy of red yeast rice, berberine, plant
sterols, fibers, and some nutraceutical combinations whereas data on the
individual cholesterol-lowering action of other nutraceuticals are either less
striking or even inconclusive. There is also promising evidence on the vascular
protective effects of some of the aforementioned nutraceuticals. However, except
for red yeast rice, clinical interventional studies have not investigated their
impact on CV outcomes. Evidence of both cholesterol-lowering and vascular
protection is a prerogative of few single nutraceuticals and nutraceutical
combinations, which may support their clinical use; however, caution on their
uncontrolled adoption is necessary as they are freely available on the market
and, therefore, subject to potential misuse.
PMID- 29802556
TI - In vivo evaluation of two tissue transglutaminase PET tracers in an orthotopic
tumour xenograft model.
AB - BACKGROUND: The protein cross-linking enzyme tissue transglutaminase (TG2; EC
2.3.2.13) is associated with the pathogenesis of various diseases, including
cancer. Recently, the synthesis and initial evaluation of two high-potential
radiolabelled irreversible TG2 inhibitors were reported by us. In the present
study, these two compounds were evaluated further in a breast cancer (MDA-MB-231)
tumour xenograft model for imaging active tissue transglutaminase in vivo.
RESULTS: The metabolic stability of [11C]1 and [18F]2 in SCID mice was comparable
to the previously reported stability in Wistar rats. Quantitative real-time
polymerase chain reaction analysis on MDA-MB-231 cells and isolated tumours
showed a high level of TG2 expression with very low expression of other
transglutaminases. PET imaging showed low tumour uptake of [11C]1 (approx. 0.5
percentage of the injected dose per gram (%ID/g) at 40-60 min p.i.) and with
relatively fast washout. Tumour uptake for [18F]2 was steadily increasing over
time (approx. 1.7 %ID/g at 40-60 min p.i.). Pretreatment of the animals with the
TG2 inhibitor ERW1041E resulted in lower tumour activity concentrations, and this
inhibitory effect was enhanced using unlabelled 2. CONCLUSIONS: Whereas the TG2
targeting potential of [11C]1 in this model seems inadequate, targeting of TG2
using [18F]2 was achieved. As such, [18F]2 could be used in future studies to
clarify the role of active tissue transglutaminase in disease.
PMID- 29802558
TI - Causes of peroneal neuropathy associated with orthopaedic leg lengthening in
different canine models.
AB - Peroneal neuropathy is one of the complications of orthopaedic leg lengthening.
Methods of treatment include slowing of distraction and decompression both of
which may lead to additional complications. The purpose of this study was to
analyse the changes in histologic peroneal nerve structure during experimental
orthopaedic lengthening using various modes of manual or automatic distraction.
The obtained data provide the basis for better understanding of peroneal
neuropathy pathogenesis and refinement of prophylaxis and preventive treatment
protocols. Four experimental models of canine leg lengthening using the Ilizarov
fixator were studied: 1 (n = 10)-manual distraction-1 mm/day divided into four
increments; 2 (n = 12)-automatic distraction-1 mm/day in 60 increments, 3 (n = 9)
and 4 (n = 9)-increased rate of high frequency automatic distraction: 3 mm/day in
120 and 180 increments, respectively. In peroneal nerves semi-thin sections cross
sectional fascicular areas, content of adipocytes in epineurium, endoneurial
vascularisation, morphometric parameters of nerve fibres were assessed by
computerised analysis at the end of distraction and of consolidation periods and
30 days after fixator removal. In Groups 1-2 massive nerve fibre degeneration
along with epineural vessels obliteration was revealed in two cases from 22,
whereas in Groups 3-4 there were 10 from 18 (p < 0.01). Injuries of perineurium
and endoneurial vessels were noted in Group 3, and long-lasting thinning of nerve
fascicles in Group 4. The decrease in epineurial fat tissue was revealed in all
groups, more drastic in 3. Modifications and injuries of nerve sheaths and blood
vessels depending on distraction rate and frequency contribute to peroneal
neuropathy. Its mechanical, circulatory and metabolic causes are discussed.
PMID- 29802557
TI - Short-term effect of additional apheresis on visual acuity changes in patients
with steroid-resistant optic neuritis in neuromyelitis optica spectrum disorders.
AB - PURPOSE: To evaluate temporal changes in visual acuity in patients with steroid
resistant optic neuritis (ON) in neuromyelitis optica spectrum disorders (NMOSD)
after apheresis. DESIGN: Retrospective observational study, clinical case series
SUBJECTS AND METHODS: We reviewed the medical charts of 15 eyes of 9 consecutive
patients with ON in NMOSD who underwent apheresis between March 2010 and
September 2017. All patients were seropositive for anti-aquaporin 4 (AQP4)
antibody and resistant to steroid pulse therapy. Apheresis was performed by
either simple plasma exchange or/and immune adsorption therapy. RESULTS: Twelve
eyes (80%) showed improvement with logMAR > 0.3 at 1 month after apheresis.
Within 1 month after apheresis therapy, logMAR on average significantly
decreased, the magnitude of change being greatest within the first week.
Thereafter visual acuity became stable in 10 of the 11 eyes, until 12 months.
However, two eyes (12%) showed recurrence of visual acuity reduction 3 months
after the cessation of apheresis. There were a few serious complications during
and after apheresis, but these were completely treatable. CONCLUSIONS: Additional
apheresis therapy rapidly improves the visual acuity of steroid-resistant
seropositive AQP4 ON.
PMID- 29802559
TI - Radiation therapy rather than prior surgery reduces extent of resection during
endonasal endoscopic reoperation for craniopharyngioma.
AB - OBJECTIVE: Radiation therapy is often advocated for residual or recurrent
craniopharyngioma following surgical resection to prevent local recurrence.
However, radiation therapy is not always effective and may render tumors more
difficult to remove. If this is the case, patients may benefit more from
reoperation if gross total resection can be achieved. Nevertheless, there is
little data on the impact of radiation on reoperations for craniopharyngioma. In
this study, we sought to analyze whether a history of previous radiation therapy
(RT) affected extent of resection in patients with recurrent craniopharyngiomas
subsequently treated with reoperation via endoscopic endonasal approach (EEA).
METHODS: The authors reviewed a prospectively acquired database of EEA
reoperations of craniopharyngiomas over 13 years at Weill Cornell, NewYork
Presbyterian Hospital. All procedures were performed by the senior author. The
operations were separated into two groups based on whether the patient had
surgery alone (group A) or surgery and RT (group B) prior to recurrence. RESULTS:
A total of 24 patients (16 male, 8 female) who underwent surgery for recurrent
craniopharyngioma were identified. The average time to recurrence was 7.64 +/-
4.34 months (range 3-16 months) for group A and 16.62 +/- 12.1 months (range 6-45
months) for group B (p < 0.05). The average tumor size at recurrence was smaller
in group A (1.85 +/- 0.72 cm; range 0.5-3.2) than group B (2.59 +/- 0.91 cm;
range 1.5-4.6; p = 0.00017). Gross total resection (GTR) was achieved in 91%
(10/11) of patients in group A and 54% (7/13) of patients in group B (p = 0.047).
There was a near significant trend for higher average Karnofsky performance
status (KPS) score at last follow-up for group A (83 +/- 10.6) compared with
group B (70 +/- 16.3, p = 0.056). CONCLUSIONS: While RT for residual or recurrent
craniopharyngioma may delay time to recurrence, ability to achieve GTR with
additional surgery is reduced. In the case of recurrent craniopharyngioma, if GTR
can be achieved, consideration should be given to endonasal reoperation prior to
the decision to irradiate residual or recurrent tumor.
PMID- 29802560
TI - Intracranial glioependymal (neuroglial) cysts: a systematic review.
AB - BACKGROUND: Glioependymal cysts (GECs) are benign intracranial cysts that have
been rarely reported in the literature. The exact pathogenesis of these
developmental anomalies is controversial. Moreover, the terminology used to name
GECs and other intracranial cysts is confusing because they are undistinguishably
reported under a variety of names. The available information in the literature
about GECs is scarce, and for this reason, a detailed description about these
uncommon lesions is necessary. METHODS: An illustrative case is presented; in
addition, a PubMed and Scopus search adhering to Preferred Reporting Items for
Systematic Reviews and Meta-Analyses guidelines was performed to include studies
reporting patients with GECs. Different information was analyzed in these
patients to describe the characteristics of this condition. In addition,
different sources of literature were analyzed to complete the description of this
clinical entity. RESULTS: The literature review yielded 26 cases of patients with
intracranial GECs showing a diversity of clinical manifestations. All studies
were case reports or small case series. Different characteristics of GECs are
described. Moreover, the authors suggest an updated classification of
intracranial benign cysts. CONCLUSIONS: The data collected from this review shows
that GECs are rare and very often are erroneously named. They are congenital
benign lesions with a neuroectodermal origin that share many radiological
characteristics with a variety of intracranial benign cysts. The definite
diagnosis of GECs is confirmed by the presence of a glial layer in the cyst wall
at histological examination. The appropriate surgical technique should be
selected according to the location of the cyst and its proximity to the
ventricles or subarachnoid space.
PMID- 29802561
TI - Analysis of the Lipid Profile in Patients with Colorectal Cancer in Advanced
Stages
AB - Backgrounds: Colorectal (CRC) is one of the main cause of cancer worldwide. The
search for noninvasive markersfor diagnosis and monitoring as the use of
analytical technologies such as mass spectrometry (MS), which allowed thesearch
for lipid metabolites as candidates for probable biomarkers are needed. Objective
and Methods: The objectivewas to establish the lipid profile of patients with
locally advanced, unresectable or metastatic CRC. Peripheral bloodwas collected
from patients with CRC and controls with normal colonoscopy. After lipid
extraction, the samples wereprocessed and analyzed in the MALDI TOF / TOF
equipment. From the data matrix, the statistical analyzes wereperformed by the
principal component analysis methods and the least squares discriminant analysis.
The importance ofthe variable in the projection was used to identify the ions
that had the greatest discriminatory effect between the groups.Results: Eight
lipids were identified as potential biomarkers and a multiple logistic regression
model was proposedto calculate the performance of the test where we observed
values of AUC 0.87, sensitivity 88.33% and specificity83.78% and for a validation
test with 1,000 permutations a p <0.001. The classes of lipids found were
sphingolipids,glycerophospholipids and policetidis. The strength of the
association between the peak intensities of these lipids andthe presence of CRC
make these metabolites candidates for possible biomarkers. The sphingolipid (m /
z = 742.98869)could be a biomarker in monitoring patients with CRC. In the
survival analysis, three lipids showed a prognostic valuefor colorectal cancer,
sphingolipid (m / z = 857.11525) and policetidis (m / z = 876.20796) and
glycerophospholipid(m / z = 1031.54773).
PMID- 29802563
TI - Remembering George Feher (1924-2017).
AB - We provide a tribute to George Feher, one of the founding scientists in the use
of biophysical techniques to probe photosynthetic complexes, especially the
bacterial reaction center. His early life is briefly reviewed followed by a
description of the impact of his 30 years of photosynthesis research. We describe
his pioneering work in bacterial photosynthesis that helped to provide a detailed
picture of the molecular events responsible for light energy capture and the
subsequent electron and proton transfer events in photosynthetic organisms. These
studies had a profound and lasting impact on our understanding of the molecular
mechanisms of photosynthesis. We also include some personal comments from his
former students and colleagues.
PMID- 29802562
TI - Assessment of Dimeric Metal-Glycan Adducts via Isotopic Labeling and Ion Mobility
Mass Spectrometry.
AB - Adduction of multivalent metal ions to glycans has been shown in recent years to
produce altered tandem mass spectra with collision-induced dissociation, electron
transfer techniques, and photon-based fragmentation approaches. However, these
approaches assume the presence of a well-characterized precursor ion population
and do not fully account for the possibility of multimeric species for select
glycan-metal complexes. With the use of ion mobility separations prior to mass
analysis, doubly charged dimers are not necessarily problematic for tandem MS
experiments given that monomer and dimer drift times are sufficiently different.
However, multistage mass spectrometric experiments performed on glycans adducted
to multivalent metals without mobility separation can yield chimeric
fragmentation spectra that are essentially a superposition of the fragments from
both the monomeric and dimeric adducts. For homodimeric adducts, where the dimer
contains two of the same glycan species, this is less of a concern but if
heterodimers can form, there exists the potential for erroneous and misleading
fragment ions to appear if a heterodimer containing two different isomers is
fragmented along with a targeted monomer. We present an assessment of heterodimer
formation between a series of six tetrasaccharides, of which three are isomers,
adducted with cobalt(II) and a monodeuterated tetrasaccharide. Using ion mobility
separations prior to single-stage and tandem mass analysis, the data shown
demonstrate that heterodimeric species can indeed form, and that ion mobility
separations are highly necessary prior to using tandem techniques on metal-glycan
adducts. Graphical Abstract ?.
PMID- 29802564
TI - Enhancing the Production of D-Mannitol by an Artificial Mutant of Penicillium sp.
T2-M10.
AB - D-Mannitol belongs to a linear polyol with six-carbon and has indispensable usage
in medicine and industry. In order to obtain more efficient D-mannitol producer,
this study has screened out a stable mutant Penicillium sp. T2-M10 that was
isolated from the initial D-mannitol-produced strain Penicillium sp.T2-8 via UV
irradiation as well as nitrosoguanidine (NTG) induction. The mutant had a
considerable enhancement in yield of D-mannitol based on optimizing fermentation.
The production condition was optimized as the PDB medium with 24 g/L glucose for
9 days. The results showed that the production of D-mannitol from the mutant
strain T2-M10 increased 125% in contrast with the parental strain. Meanwhile, the
fact that D-mannitol is the main product in the mutant simplified the process of
purification. Our finding revealed the potential value of the mutant strain
Penicillium sp. T2-M10 to be a D-mannitol-producing strain.
PMID- 29802566
TI - Effects of vessel interruption sequence during thoracoscopic lobectomy for non
small cell lung cancer.
AB - OBJECTIVE: This study aimed to determine if the vessel interruption sequence
during thoracoscopic lobectomy affects disease recurrence. METHODS: We
retrospectively analyzed 187 consecutive patients who underwent video-assisted
thoracoscopic surgery lobectomy with curative intent for non-small cell lung
cancer between January 2007 and December 2013. Their clinicopathological,
operative, and postoperative data were compared. Patients with minimally invasive
adenocarcinoma were excluded. RESULTS: A total of 104 patients underwent total
venous interruption before interruption of any artery branch (V-first), while 83
patients underwent some artery interruption first (non-V-first).
Clinicopathological characteristic distributions were similar between both groups
except for the resected lobe. Seven of 104 patients in the V-first group and 15
of 83 patients in the non-V-first group experienced disease recurrences. Among
the 187 patients who underwent thoracoscopic lobectomy, overall survival tended
to be longer in the V-first group than in the non-V-first group (P = 0.080).
Furthermore, disease-free survival was significantly longer in the V-first group
than in the non-V-first group (P = 0.019), particularly in stage I patients (P =
0.047). Multivariate analysis showed that vessel interruption sequence was a
significant prognostic factor for poor disease-free survival, after adjusting for
pathological stage and histology (hazard ratio 2.127; 95% confidence interval
1.009-4.481). There was no significant difference in intraoperative blood loss
between both groups. CONCLUSIONS: Interrupting the pulmonary vein first may be
associated with improved disease-free survival in patients undergoing
thoracoscopic lobectomy for non-small cell lung cancer.
PMID- 29802567
TI - TNF-R2 in tumor microenvironment as prognostic factor in epithelial ovarian
cancer.
AB - The aims of the study were to compare the levels of tumor necrosis factor alpha
(TNF-alpha) and its soluble type I (sTNF-R1) and type II (sTNF-R2) receptors
detected in intracystic liquid and serum from benign and malignant ovarian
neoplasms and to relate them to prognostic factors in epithelial ovarian cancer.
The patients were divided into benign ovarian neoplasms (n = 46) and malignant
ovarian neoplasms (n = 17). The serum and intracystic samples were collected
before and during surgery for ovarian cyst, respectively. The levels of TNF
alpha, sTNF-R1, and sTNF-R2 were measured using ELISA. Results were compared with
the Mann-Whitney test. Concentration of sTNF-R2 in the intracystic samples
collected from the malignant neoplasia was significantly higher than that of the
benign neoplasias (p = 0.02). Higher intracystic levels of sTNF-R2 exhibited a
significant association with tumor differentiation grades 2 and 3 (p = 0.0087).
There was no statistical significance in relation to serum levels. Tumor
microenvironment levels of sTNF-R2 may represent a factor of poor prognosis in
epithelial ovarian cancer.
PMID- 29802565
TI - Surgical strategies for pulmonary atresia with ventricular septal defect
associated with major aortopulmonary collateral arteries.
AB - Treatment of pulmonary atresia with ventricular septal defect and major
aortopulmonary collateral arteries is challenging and controversial. Basically,
the collateral arteries are treated surgically by unifocalization to integrate
them and unify the blood flow in the pulmonary circulation. These major
collateral arteries are anatomically similar to the bronchial arteries; however,
they develop into different vessels because of different environmental exposures.
Currently, treatment plans involving surgical intervention in early infancy to
address the multiple variations of major aortopulmonary collateral arteries are
being established to achieve definitive intracardiac repair. Historically,
several surgical approaches have been proposed, including multi-stage
unifocalization performed by lateral thoracotomy, rehabilitation of the central
pulmonary artery followed by intracardiac repair, and single-stage
unifocalization by a midline incision. Recently, single-stage unifocalization
performed during infancy has become the preferred method for achieving low right
ventricular pressure after closing the ventricular septal defect. Furthermore,
for the maintenance of the lowest possible right ventricular pressure after
definitive repair, combination therapy or hybrid therapy with catheter and
surgical intervention is essential. The surgical treatment strategy for a single
ventricle with major aortopulmonary collateral arteries is more difficult than
that involving two ventricles.
PMID- 29802568
TI - The phenyl linker markedly increases the immunogenicity of the pneumococcal
polysaccharide conjugate vaccine.
AB - OBJECTIVE: Capsular polysaccharide (PS) of Streptococcus pneumoniae is a key
virulence factor and typically conjugated with a carrier protein. It is necessary
to improve the immunogenicity of the conjugate vaccine against S. pneumoniae.
RESULTS: A phenyl linker between tetanus toxoid (TT) and S. pneumoniae Type 14 PS
was used to improve the PS-specific immunogenicity of the conjugate vaccine. As
compared with the one with the amyl linker (PS-TT), the conjugate with the phenyl
linker (PS-phe-TT) decreased the TT-specific IgG titers and significantly
increased the PS-specific IgG titers and the IL-5 level. CONCLUSION: The phenyl
linker could potentiate a robust humoral immune response to PS by decreasing the
carrier-induced epitopic suppression effect. PS-phe-TT was expected to act as an
effective vaccine against S. pneumoniae.
PMID- 29802569
TI - Dearomatization of diesel oil using Pseudomonas sp.
AB - OBJECTIVES: To improve the quality of diesel fuel via removal of aromatic
compounds using Pseudomonas sp. RESULTS: In the present study Pseudomonas sp. was
able to remove 94% of fluorene, 59% of phenanthrene, 49% of anthracene, 52% of
fluoranthene, 45% of pyrene and 75% carbazole present in diesel oil.
Additionally, it also does not affect the aliphatic content of fuel thus
maintaining the carbon backbone of the fuel. CONCLUSIONS: Pseudomonas sp. is a
potential biocatalyst that can be used in the refining industry.
PMID- 29802570
TI - The Pharmacological Inhibition of Fatty Acid Amide Hydrolase Prevents Excitotoxic
Damage in the Rat Striatum: Possible Involvement of CB1 Receptors Regulation.
AB - The endocannabinoid system (ECS) actively participates in several physiological
processes within the central nervous system. Among such, its involvement in the
downregulation of the N-methyl-D-aspartate receptor (NMDAr) through a modulatory
input at the cannabinoid receptors (CBr) has been established. After its
production via the kynurenine pathway (KP), quinolinic acid (QUIN) can act as an
excitotoxin through the selective overactivation of NMDAr, thus participating in
the onset and development of neurological disorders. In this work, we evaluated
whether the pharmacological inhibition of fatty acid amide hydrolase (FAAH) by
URB597, and the consequent increase in the endogenous levels of anandamide, can
prevent the excitotoxic damage induced by QUIN. URB597 (0.3 mg/kg/day * 7 days,
administered before, during and after the striatal lesion) exerted protective
effects on the QUIN-induced motor (asymmetric behavior) and biochemical (lipid
peroxidation and protein carbonylation) alterations in rats. URB597 also
preserved the structural integrity of the striatum and prevented the neuronal
loss (assessed as microtubule-associated protein-2 and glutamate decarboxylase
localization) induced by QUIN (1 MUL intrastriatal, 240 nmol/MUL), while modified
the early localization patterns of CBr1 (CB1) and NMDAr subunit 1 (NR1).
Altogether, these findings support the concept that the pharmacological
manipulation of the endocannabinoid system plays a neuroprotective role against
excitotoxic insults in the central nervous system.
PMID- 29802571
TI - Differentiation-Induced Remodelling of Store-Operated Calcium Entry Is
Independent of Neuronal or Glial Phenotype but Modulated by Cellular Context.
AB - Neurogenesis is a complex process leading to the generation of neuronal networks
and glial cell types from stem cells or intermediate progenitors. Mapping
subcellular and molecular changes accompanying the switch from proliferation to
differentiation is vital for developing therapeutic targets for neurological
diseases. Neuronal (N-type) and glial (S-type) phenotypes within the SH-SY5Y
neuroblastoma cell line have distinct differentiation responses to 9-cis-retinoic
acid (9cRA). In both cell phenotypes, these were accompanied at the single cell
level by an uncoupling of Ca2+ store release from store-operated Ca2+ entry
(SOCE), mediated by changes in the expression of calcium release-activated
calcium pore proteins. This remodelling of calcium signalling was moderated by
the predominant cell phenotype within the population. N- and S-type cells
differed markedly in their phenotypic stability after withdrawal of the
differentiation inducer, with the phenotypic stability of S-type cells, both
morphologically and with respect to SOCE properties, in marked contrast to the
lability of the N-type phenotype. Furthermore, the SOCE response of I-type cells,
a presumed precursor to both N- and S-type cells, varied markedly in different
cell environments. These results demonstrate the unique biology of neuronal and
glial derivatives of common precursors and suggest that direct or indirect
interactions between cell types are vital components of neurogenesis that need to
be considered in experimental models.
PMID- 29802572
TI - Accuracy of semi-automated versus manual localisation of liver tumours in CT
guided ablation procedures.
AB - OBJECTIVES: To compare the accuracy of liver tumour localisation in
intraprocedural computed tomography (CT) images of computer-based rigid
registration or non-rigid registration versus mental registration performed by
interventional radiologists. METHODS: Retrospectively (2009-2017), 35 contrast
enhanced CT (CECT) images incorporating 56 tumours, acquired during CT-guided
ablation procedures and their corresponding pre-procedural diagnostic CECTs were
retrieved from the picture archiving and communication system (PACS). The
original intraprocedural CECTs were de-enhanced to create a virtually unenhanced
CT image (VUCT). Alignment of diagnostic CECTs to their corresponding
intraprocedural VUCTs was performed with non-rigid or rigid registration. Mental
registration was performed by four interventional radiologists. The original
intraprocedural CECT served as the reference standard. Accuracy of tumour
localisation was assessed with the target registration error (TRE). Statistical
differences were analysed with the Wilcoxon signed-rank test. RESULTS: Non-rigid
registration failed to register two CT datasets, incorporating four tumours. In
the remaining 33 datasets, non-rigid, rigid and mental registration showed a
median TRE of 3.9 mm, 9.0 mm and 10.9 mm, respectively. Non-rigid registration
was significantly more accurate in tumour centre localisation in comparison to
rigid (p < 0.001) or mental registration (p < 0.001). Rigid registration was not
statistically different from mental registration (p = 0.169). Non-rigid
registration was most accurate in localising tumour centres in 42 out of 52
tumours (80.8%), while rigid and mental registration were most accurate in only
seven (13.5%) and three (5.8%) tumours, respectively. CONCLUSIONS: Computer-based
non-rigid registration is statistically significantly more accurate in localising
liver tumours in intraprocedural unenhanced CT images in comparison to rigid
registration or interventional radiologists' mental mapping abilities. KEY
POINTS: * Computer-based non-rigid registration is better (p < 0.001) in
localising target tumours prior to ablation in intraprocedural CT images in
comparison to rigid registration or interventional radiologists' mental mapping
abilities. * Human experts perform sub-optimal localisation of target tumours
when relying solely on mental mapping during challenging CT-guided procedures. *
This non-rigid registration method shows promising results as a safe alternative
to intravenous contrast media in liver tumour localisation prior to ablation
during CT-guided procedures.
PMID- 29802573
TI - Muscular MRI-based algorithm to differentiate inherited myopathies presenting
with spinal rigidity.
AB - OBJECTIVES: Inherited myopathies are major causes of muscle atrophy and are often
characterized by rigid spine syndrome, a clinical feature designating patients
with early spinal contractures. We aim to present a decision algorithm based on
muscular whole body magnetic resonance imaging (mWB-MRI) as a unique tool to
orientate the diagnosis of each inherited myopathy long before the genetically
confirmed diagnosis. METHODS: This multicentre retrospective study enrolled 79
patients from referral centres in France, Brazil and Chile. The patients
underwent 1.5-T or 3-T mWB-MRI. The protocol comprised STIR and T1 sequences in
axial and coronal planes, from head to toe. All images were analyzed manually by
multiple raters. Fatty muscle replacement was evaluated on mWB-MRI using both the
Mercuri scale and statistical comparison based on the percentage of affected
muscle. RESULTS: Between February 2005 and December 2015, 76 patients with
genetically confirmed inherited myopathy were included. They were affected by
Pompe disease or harbored mutations in RYR1, Collagen VI, LMNA, SEPN1, LAMA2 and
MYH7 genes. Each myopathy had a specific pattern of affected muscles recognizable
on mWB-MRI. This allowed us to create a novel decision algorithm for patients
with rigid spine syndrome by segregating these signs. This algorithm was
validated by five external evaluators on a cohort of seven patients with a
diagnostic accuracy of 94.3% compared with the genetic diagnosis. CONCLUSION: We
provide a novel decision algorithm based on muscle fat replacement graded on mWB
MRI that allows diagnosis and differentiation of inherited myopathies presenting
with spinal rigidity. KEY POINTS: * Inherited myopathies are rare, diagnosis is
challenging and genetic tests require specialized centres and often take years. *
Inherited myopathies are often characterized by spinal rigidity. * Whole body
magnetic resonance imaging is a unique tool to orientate the diagnosis of each
inherited myopathy presenting with spinal rigidity. * Each inherited myopathy in
this study has a specific pattern of affected muscles that orientate diagnosis. *
A novel MRI-based algorithm, usable by every radiologist, can help the early
diagnosis of these myopathies.
PMID- 29802574
TI - Comparative clinical and predictive value of lung perfusion blood volume CT, lung
perfusion SPECT and catheter pulmonary angiography images in patients with
chronic thromboembolic pulmonary hypertension before and after balloon pulmonary
angioplasty.
AB - OBJECTIVES: Lung perfusion blood volume (PBV) using dual-energy computed
tomography has recently become an accepted technique for diagnosing pulmonary
thromboembolism. We evaluated the correlation among lung PBV, single-photon
emission computed tomography (SPECT) and catheter pulmonary angiography images in
patients with chronic thromboembolic pulmonary hypertension (CTEPH) before and
after balloon pulmonary angioplasty (BPA). METHODS: In total, 17 patients and 57
sessions were evaluated with the three modalities. Segmental lung perfusion and
its improvement in lung PBV and SPECT were compared with catheter pulmonary
angiography as the reference standard before and after BPA. RESULTS: The
sensitivity for detecting segmental perfusion defects using SPECT and lung PBV
was 85% and 92%, the specificity was 99% and 99%, the accuracy was 92% and 95%,
the positive predictive value was 99% and 99%, and the negative predictive value
was 88% and 93%. The sensitivity for detecting segmental perfusion improvement
using SPECT and lung PBV was 61% and 69%, the specificity was 75% and 83%, the
accuracy was 62% and 70%, the positive predictive value was 97% and 98%, and the
negative predictive value was 12% and 16%. CONCLUSIONS: Lung PBV is a useful
technique for evaluation of segmental lung perfusion and its improvement in
patients with CTEPH. KEY POINTS: * BPA is a new treatment for patients with
CTEPH. * Lung PBV images may be more sensitive for pulmonary blood flow. * The
current work demonstrates that Lung PBV images are useful in evaluating patients
with CTEPH. * The current work demonstrates that Lung PBV is useful in gauging
the treatment effect of BPA.
PMID- 29802575
TI - Modeling Rare Bone Diseases in Animals.
AB - PURPOSE OF REVIEW: The goal of this review is to highlight some of the
considerations involved in creating animal models to study rare bone diseases and
then to compare and contrast approaches to creating such models, focusing on the
advantages and novel opportunities offered by the CRISPR-Cas system. RECENT
FINDINGS: Gene editing after creation of double-stranded breaks in chromosomal
DNA is increasingly being used to modify animal genomes. Multiple tools can be
used to create such breaks, with the newest ones being based on the bacterial
adaptive immune system known as CRISPR/Cas. Advances in gene editing have
increased the ease and speed, while reducing the cost, of creating novel animal
models of disease. Gene editing has also expanded the number of animal species in
which genetic modification can be performed. These changes have significantly
increased the options for investigators seeking to model rare bone diseases in
animals.
PMID- 29802576
TI - Developing Accessible, Pictorial Versions of Health-Related Quality-of-Life
Instruments Suitable for Economic Evaluation: A Report of Preliminary Studies
Conducted in Canada and the United Kingdom.
AB - A key component of the current framework for economic evaluation is the
measurement and valuation of health outcomes using generic preference-based
health-related quality-of-life (HRQoL) instruments. In 2015, a research synthesis
reported the absence of conceptual and empirical research regarding the
appropriateness of current preference-based instruments for people with aphasia-a
disorder affecting the use and understanding of language-and suggested the
development and validation of an accessible, pictorial variant could be an
appropriate direction for further research. This paper describes the respective
rationale and development process for each of three preliminary studies that have
been undertaken to develop pictorial variants of two widely used preference-based
HRQoL instruments (EQ-5D-3L and EQ-5D-5L). The paper also proposes next steps for
this program of research, drawing on the lessons learned from the preliminary
work and the demand for a pictorial preference-based instrument in the research
community. Guidance for the use of the preliminary, pictorial instruments is also
provided.
PMID- 29802577
TI - Endothelial cells on an aged subendothelial matrix display heterogeneous strain
profiles in silico.
AB - Within the artery intima, endothelial cells respond to mechanical cues and
changes in subendothelial matrix stiffness. Recently, we found that the aging
subendothelial matrix stiffens heterogeneously and that stiffness heterogeneities
are present on the scale of one cell length. However, the impacts of these
complex mechanical micro-heterogeneities on endothelial cells have not been fully
understood. Here, we simulate the effects of matrices that mimic young and aged
vessels on single- and multi-cell endothelial cell models and examine the
resulting cell basal strain profiles. Although there are limitations to the model
which prohibit the prediction of intracellular strain distributions in alive
cells, this model does introduce mechanical complexities to the subendothelial
matrix material. More heterogeneous basal strain distributions are present in the
single- and multi-cell models on the matrix mimicking an aged artery over those
exhibited on the young artery. Overall, our data indicate that increased
heterogeneous strain profiles in endothelial cells are displayed in silico when
there is an increased presence of microscale arterial mechanical heterogeneities
in the matrix.
PMID- 29802579
TI - Dr. Arthur A. Scott.
PMID- 29802578
TI - Construction of a molecular structure model of mild-oxidized Chinese lignite
using Gaussian09 based on data from FTIR, solid state 13C-NMR.
AB - Great progress has been made in the detection of fractions from oxidized lignite,
but no molecular structures are reported. A molecular structure model of oxidized
Shengli lignite was constructed using ultimate analysis, 13C nuclear magnetic
resonance spectrum (NMR), and Fourier transform infrared spectroscopy (FTIR).
Parameters are derived from PeakFit4.12 and MestReNova software. Gaussian09
software was used to optimize the model and calculate the FTIR, and the
calculated spectrogram is consistent with the experimental one. The molecular
formula of the structure model was C96H95O45N, and aromatic rings were mainly
linked by oxygen containing functional groups. The electrostatic potential of the
structure model was analyzed to explain the great solubility and a good deal of
carboxyl acids.
PMID- 29802580
TI - Retrieval of aspirated dental equipment from a lung.
PMID- 29802581
TI - Work Functioning Among Firefighters: A Comparison Between Self-Reported
Limitations and Functional Task Performance.
AB - Purpose Performance-based and disease indicators have been widely studied in
firefighters; self-reported work role limitations have not. The aim of this study
was to describe the distributions and correlations of a generic self-reported
Work Limitations Questionnaire (WLQ-26) and firefighting-specific task
performance-based tests. Methods Active firefighters from the City of Hamilton
Fire Services (n = 293) were recruited. Participants completed the WLQ-26 to
quantify on-the-job difficulties over five work domains: work scheduling (4
items), output demands (7 items), physical demands (8 items), mental demands (4
items), and social demands (3 items). A subset of participants (n = 149) were
also assessed on hose drag and stair climb with a high-rise pack performance
based tests. Descriptive statistics and correlations were used to compare
item/subscale performance; and to describe the inter-relationships between tests.
Results The mean WLQ-26 item scores (/5) ranged from 4.1 to 4.4 (median = 5 for
all items); most firefighters (54.5-80.5%) selected "difficult none of the time"
response option on all items. A substantial ceiling effect was observed across
all five WLQ-26 subscales as 44.0-55.6% were in the highest category. Subscale
means ranged from 61.8 (social demands) to 78.7 (output demands and physical
demands). Internal consistency exceeded 0.90 on all subscales. For the hose drag
task, the mean time-to-completion was 48.0 s (SD = 14.5; range 20.4-95.0). For
the stair climb task, the mean time-to-completion was 76.7 s (SD = 37.2; range
21.0-218.0). There were no significant correlations between self-report work
limitations and performance of firefighting tasks. Conclusions The WLQ-26
measured five domains, but had ceiling effects in firefighters. Performance-based
testing showed wider score range, lacked ceiling effects and did not correlate to
the WLQ-26. A firefighter-specific, self-report role functioning scale may be
needed to identify compromised work role capabilities in firefighters.
PMID- 29802582
TI - Functional Capacity Evaluation in Different Societal Contexts: Results of a
Multicountry Study.
AB - Purpose To examine factors associated with Functional Capacity Evaluation (FCE)
results in patients with painful musculoskeletal conditions, with focus on social
factors across multiple countries. Methods International cross-sectional study
was performed within care as usual. Simple and multiple multilevel linear
regression analyses which considered measurement's dependency within clinicians
and country were conducted: FCE characteristics and biopsychosocial variables
from patients and clinicians as independent variables; and FCE results (floor-to
waist lift, six-minute walk, and handgrip strength) as dependent variables.
Results Data were collected for 372 patients, 54 clinicians, 18 facilities and 8
countries. Patients' height and reported pain intensity were consistently
associated with every FCE result. Patients' sex, height, reported pain intensity,
effort during FCE, social isolation, and disability, clinician's observed
physical effort, and whether FCE test was prematurely ended were associated with
lift. Patient's height, Body Mass Index, post-test heart-rate, reported pain
intensity and effort during FCE, days off work, and whether FCE test was
prematurely ended were associated with walk. Patient's age, sex, height, affected
body area, reported pain intensity and catastrophizing, and physical work demands
were associated with handgrip. Final regression models explained 38-65% of total
variance. Clinician and country random effects composed 1-39% of total residual
variance in these models. Conclusion Biopsychosocial factors were associated with
every FCE result across multiple countries; specifically, patients' height,
reported pain intensity, clinician, and measurement country. Social factors,
which had been under-researched, were consistently associated with FCE
performances. Patients' FCE results should be considered from a biopsychosocial
perspective, including different social contexts.
PMID- 29802584
TI - The assessment of cognitive function in older adult patients with chronic kidney
disease: an integrative review.
AB - INTRODUCTION: Chronic kidney disease (CKD) is a common chronic condition in older
adults that is associated with cognitive decline. However, the exact prevalence
of cognitive impairment in older adults with CKD is unclear likely due to the
variety of methods utilized to assess cognitive function. The purpose of this
integrative review is to determine how cognitive function is most frequently
assessed in older adult patients with CKD. METHODS: Five electronic databases
were searched to explore relevant literature related to cognitive function
assessment in older adult patients with CKD. Inclusion and exclusion criteria
were created to focus the search to the assessment of cognitive function with
standardized cognitive tests in older adults with CKD, not on renal replacement
therapy. RESULTS: Through the search methods, 36 articles were found that
fulfilled the purpose of the review. There were 36 different types of cognitive
tests utilized in the included articles, with each study utilizing between one
and 12 tests. The most commonly utilized cognitive test was the Mini Mental State
Exam (MMSE), followed by tests of digit symbol substitution and verbal fluency.
The most commonly assessed aspect of cognitive function was global cognition.
DISCUSSION: The assessment of cognitive function in older adults with CKD with
standardized tests is completed in various ways. Unfortunately, the common
methods of assessment of cognitive function may not be fully examining the
domains of impairment commonly found in older adults with CKD. Further research
is needed to identify the ideal cognitive test to best assess older adults with
CKD for cognitive impairment.
PMID- 29802585
TI - Efficacy of low-level laser therapy in management of recurrent herpes labialis: a
systematic review.
AB - Recurrent herpes labialis (RHL) is a highly prevalent viral infection that
affects the oro-facial region. Current treatment options have limited efficacy in
reducing healing time and recurrence rate of the disease. Recently, low-level
laser therapy has been proposed as a potential treatment alternative for the
management of RHL with no side effects. This systematic review aims to evaluate
the effectiveness of laser therapy in the management and prevention of RHL. A
comprehensive search of Medline/PubMed, Scopus, and Web of Science was carried
out to identify published clinical trials comparing laser intervention to active
and/or non-active controls for the treatment of RHL. Due to marked heterogeneity
of available data, studies were assessed qualitatively, and no statistical
analysis was performed. Of the retrieved 227 articles, six clinical trials met
the eligibility criteria. The wavelengths, the power output, and energy density
ranged between 632.5-870 nm, 5-80 W, and 2.04-48 J/cm2, respectively. All
included studies found laser to be effective in the management and prevention of
RHL, without any side effects. The findings of this review suggest that laser is
potentially a safe and effective treatment alternative for the management of RHL.
However, due to high variability in study designs and inconsistency in laser
parameters among the included studies, more well-designed randomized clinical
trials with standardized laser parameters are highly warranted.
PMID- 29802586
TI - Type 2 diabetes detection based on serum sample Raman spectroscopy.
AB - In this work, we propose to the Raman spectroscopy as a new technique for the
detection of the type 2 diabetes using blood serum samples. The serum samples
were obtained from 15 patients who were clinically diagnosed with type 2 diabetes
mellitus and 20 healthy volunteers. The average spectra showed equally intense
peaks as, 695 cm-1, the doublet of tyrosine at 828 and 853 cm-1, phenylalanine at
1002 and 1028 cm-1, the phospholipid shoulder at 1300-1345 cm-1, and proteins
(amide I) at 1654 cm-1. The major differences were found at 661 and 1404 cm-1
(glutathione), 714 (polysaccharides), 605 (Phe), 545 cm-1 (tryptophan), and the
shoulder of amide III at 1230-1282 cm-1, where seem to disappear in the diabetes
spectrum. On the contrary, the region that is more highlighted due to that
diabetes peaks are clearly more intense was 897-955 cm-1. Principal component
analysis and linear discriminate analysis were employed for developing
discrimination method. The first three principal components provided a
classification of the samples from healthy and diabetes patients with high
sensitivity and specificity. In addition, when the first principal component was
plotted as a function of the Raman shift, it revealed these shifts accounted for
the greatest differences between control and diabetes samples, which coincided
with the shifts of spectral differences shown by mean spectra. Our results
demonstrated that serum sample Raman spectroscopy promises to become a non
invasive support tool of the currently applied techniques for type 2 diabetes
detection, decreasing the false-positive cases.
PMID- 29802583
TI - Contrast medium induced acute kidney injury: a narrative review.
AB - BACKGROUND AND AIMS: Contrast-induced acute kidney injury (CI-AKI) is the third
leading cause of hospital-acquired acute kidney injury. It is more commonly
observed following intra-arterial administration of iodinated contrast media (CM)
for cardiac procedures in patients with pre-existing chronic kidney disease
(CKD), and is associated with increased short- and long-term morbidity and
mortality. This review investigates the key current evidence on CI-AKI
definition, epidemiology and pathogenesis, as a basis for recommending preventive
measures that can be implemented in clinical practice. METHODS: An extensive
literature search was performed to identify the relevant studies describing the
epidemiology, pathogenesis, outcome and prevention of CI-AKI. RESULTS AND
CONCLUSION: Pre-existing CKD, intra-arterial administration and CM volume are the
most important risk factors for CI-AKI. Since risk factors for CI-AKI are well
defined, and the timing of renal insult is known, patients should be carefully
stratified before the administration of CM, in order to reduce the negative
impact of modifiable risk factors on renal function. The intravenous
administration of moderate amounts of isotonic saline solution or bicarbonate
solution still represents the principal intervention with documented and
acceptable effectiveness for CI-AKI prevention. More data are needed on
aggressive volume expansion strategies along with diuretics, targeting forced
diuresis with high urinary output. The role of antioxidant agents remains
controversial, and only moderate evidence exists in favour of N-acetylcysteine.
Statins could contribute to reducing the incidence of CI-AKI, although their
mechanism of action is not fully ascertained. No robust data demonstrate a
reduction of CI-AKI incidence by peri-procedural hemodialysis/hemofiltration;
renal replacement therapies may carry instead unnecessary risks. Remote ischemic
preconditioning might represent a simple, non-invasive and cost effective
preventive measure for CI-AKI prevention, but few data are currently available
about its clinical application in patients at high risk of CI-AKI.
PMID- 29802588
TI - Parental Moral Distress and Moral Schism in the Neonatal ICU.
AB - Ethical dilemmas in critical care may cause healthcare practitioners to
experience moral distress: incoherence between what one believes to be best and
what occurs. Given that paediatric decision-making typically involves parents, we
propose that parents can also experience moral distress when faced with making
value-laden decisions in the neonatal intensive care unit. We propose a new
concept-that parents may experience "moral schism"-a genuine uncertainty
regarding a value-based decision that is accompanied by emotional distress.
Schism, unlike moral distress, is not caused by barriers to making and executing
a decision that is deemed to be best by the decision-makers but rather an
encounter of significant internal struggle. We explore factors that appear to
contribute to both moral distress and "moral schism" for parents: the degree of
available support, a sense of coherence of the situation, and a sense of
responsibility. We propose that moral schism is an underappreciated concept that
needs to be explicated and may be more prevalent than moral distress when
exploring decision-making experiences for parents. We also suggest actions of
healthcare providers that may help minimize parental "moral schism" and moral
distress.
PMID- 29802587
TI - Enhancing the effects of chemotherapy by combined macrophage-mediated
photothermal therapy (PTT) and photochemical internalization (PCI).
AB - Light-based treatment modalities such as photothermal therapy (PTT) or
photochemical internalization (PCI) have been well documented both experimentally
and clinically to enhance the efficacy of chemotherapy. The main purpose of this
study was to examine the cytotoxic effects of silica-gold nanoshell (AuNS)-loaded
macrophage-mediated (MaNS) PTT and bleomycin BLM-PCI on monolayers of squamous
cell carcinoma cells. The two modalities were applied separately and in
simultaneous combination. Two different wavelengths of light were employed
simultaneously, one to activate a highly efficient PCI photosensitizer, AlPcS2a
(670 nm) and the other for the MaNS-mediated PTT (810 nm), to evaluate the
combined effects of these modalities. The results clearly demonstrated that
macrophages could ingest sufficient numbers of silica-gold nanoshells for
efficient near infrared (NIR) activated PTT. A significant synergistic effect of
simultaneously applied combined PTT and PCI, compared to each modality applied
separately, was achieved. Light-driven therapies have the advantage of site
specificity, non-invasive and non-toxic application, require inexpensive
equipment and can be given as repetitive treatment protocols.
PMID- 29802589
TI - Commodification and Human Interests.
AB - In Markets Without Limits and a series of related papers, Jason Brennan and Peter
Jaworski argue that it is morally permissible to buy and sell anything that it is
morally permissible to possess and exchange outside of the market. Accordingly,
we should (Brennan and Jaworski argue) open markets in "contested commodities"
including blood, gametes, surrogacy services, and transplantable organs. This
paper clarifies some important aspects of the case for market boundaries and in
so doing shows why there are in fact moral limits to the market. I argue that the
case for restricting the scope of the market does not (as Brennan and Jaworski
assume) turn on the idea that some things are constitutively non-market goods; it
turns instead on the idea that treating some things according to market norms
would threaten the realization of particular kinds of human interests.
PMID- 29802590
TI - Representing Whom? U.K. Health Consumer and Patients' Organizations in the Policy
Process.
AB - This paper draws on nearly two decades of research on health consumer and
patients' organizations (HCPOs) in the United Kingdom. In particular, it
addresses questions of representation and legitimacy in the health policy
process. HCPOs claim to represent the collective interests of patients and others
such as relatives and carers. At times they also make claims to represent the
wider public interest. Employing Pitkin's classic typology of formalistic,
descriptive, symbolic, and substantive representation, the paper explores how and
in what sense HCPOs represent their constituencies. We found that policymakers
themselves are less concerned with formal mechanisms adopted by groups and are
more concerned with credibility, in particular whether HCPOs carry the confidence
of their constituents. While some concerns about legitimacy remain, particularly
in relation to funding from commercial interests, we argue that HCPOs bring a
unique perspective to the policy process and to focus purely on formalistic
representation provides only a partial understanding of their representative role
and a constrained view of their collective moral claims.
PMID- 29802592
TI - Cost-effectiveness analysis of lipegfilgrastim as primary prophylaxis in women
with breast cancer in Australia: a modelled economic evaluation.
AB - OBJECTIVES: To examine the cost-effectiveness of lipegfilgrastim versus
pegfilgrastim as primary prophylaxis in women with early stage breast cancer.
METHODS: Two Markov models including a chemotherapy and a post-chemotherapy
models were constructed with a time horizon of 12 weeks and 30 years,
respectively. All the transition probabilities and utility weights were derived
from clinical trials and/or published literatures. The costs populated in the
chemotherapy model were extracted from Medicare, Pharmaceutical Benefit Scheme
and the Independent Hospital Pricing Authority. No cost was considered in the
post-chemotherapy model. Sensitivity analyses were performed to test the
robustness of the results. RESULTS: From the first chemotherapy model,
lipegfilgrastim was associated with fewer episodes of severe neutropenia (SN) (N
= 142 per 1000 patients treated), febrile neutropenia (FN) (N = 29 per 1000
patients treated), infection (N = 17 per 1000 patients treated) and chemotherapy
delayed (N = 170 per 1000 patients treated) and lower cost ($116.88 less per
patient treated). The post-chemotherapy model indicated lipegfilgrastim led to
higher gains in both life years (18.72 versus 18.61) and quality-adjusted life
years (17.28 versus 17.18) in comparison to pegfilgrastim. Sensitivity analysis
showed that the results from the chemotherapy model is very sensitive to the
baseline risk of SN; while from the probabilistic sensitivity analysis,
lipegfilgrastim was likely to be more cost-effective than pegfilgrastim based on
two models. CONCLUSIONS: Lipegfilgrastim was likely to be a cost-effective
alternative to pegfilgrastim as primary prophylaxis. The sensitivity analysis
showed the confidence interval for the cost and benefit outcomes overlapped to a
great extent, suggesting an insignificant difference.
PMID- 29802591
TI - The Development and Regeneration of Coronary Arteries.
AB - PURPOSE OF REVIEW: In this review, we aim to summarize and discuss the cellular
origins of the coronary endothelial cells during development and
neovascularization in the adult stage after cardiac injury. RECENT FINDINGS:
Recent work identified three different developmental origins for coronary
endothelial cells: proepicardium, endocardium, and sinus venosus. However, the
level of contribution by each source remains debated. During heart injury and
regeneration, although multiple cell types such as endothelial progenitor cells,
epicardial cells, and endocardial cells were reported to contribute
neovascularization, convincing evidence is still lacking.. Recently, fibroblasts
were reported to contribute to endothelial cells after cardiac injury through
mesenchymal-to-endothelial transition. A subsequent study demonstrated that pre
existing endothelial cells mainly mediate cardiac neovascularization after
injury. The developmental origins of coronary vessels are diverse and further
studies are needed to address the exact contribution from each source and the
molecular mechanism governing distinct vessel formation programs. In the adult
stage, neovascularization is mainly mediated by the pre-existing endothelial
cells, with negligible contribution from other sources.
PMID- 29802594
TI - Cannabinoid-induced alteration of motor-evoked potentials (MEPs) prior to
intradural spinal tumor removal: a nasty surprise.
PMID- 29802593
TI - Role of presurgical functional MRI and diffusion MR tractography in pediatric low
grade brain tumor surgery: a single-center study.
AB - PURPOSE: Presurgical functional MRI (fMRI) and diffusion MRI tractography (dMRI
tractography) are widely employed to delineate eloquent brain regions and their
connections prior to brain tumor resection in adults. However, such studies are
harder to perform in children, resulting in suboptimal neurosurgical care in
pediatric brain tumor surgery as compared to adults. Thus, our objective was to
assess the feasibility and the influence of presurgical advanced MR imaging on
neurosurgical care in pediatric brain tumor surgery. METHODS: Retrospective
analyses of 31 presurgical fMRI/dMRI tractography studies were performed in
children with low-grade tumors near eloquent brain regions at our site between
2005 and 2017. RESULTS: In only 3/31 cases, imaging results were not
interpretable (10%). All 28 successful imaging sessions were used for
neurosurgical risk assessment. Based on this, surgery was canceled in 2/28
patients, and intention to treat was changed in 5/28 patients. In 4/28 cases, the
surgical approach was changed and in 10/28, electrode placement for
intraoperative neurophysiological monitoring was guided by imaging results. Gross
total resection (GTR) was planned in 21/28 cases and could be achieved in 15/21
(71%). Despite highly eloquent tumor location, only four children suffered from a
mild permanent neurological deficit after the operation. CONCLUSIONS: We
demonstrate that presurgical fMRI/dMRI tractography can have a profound impact on
pediatric brain tumor management, optimizing preoperative risk-assessment and pre
as well as intraoperative decision-making. We believe that these tools should be
offered to children suffering from eloquent brain tumors as part of a
comprehensive operative work-up.
PMID- 29802595
TI - Incidental brain tumors in children: an international neurosurgical, oncological
survey.
AB - PURPOSE: Incidental pediatric brain tumors (IPBT) are increasingly being
diagnosed. Currently, there is no consensus regarding the need and timing of
their treatment. In the current study, we identify trends among pediatric
neurosurgeons and oncologists with regard to IPBT management and approval of
growth hormone replacement therapy (GHRT). METHODS: A questionnaire presenting
six different cases of IPBT was emailed to all members of several leading
societies in pediatric neurosurgery and oncology. Collected data included basic
information concerning the responders (profession, experience, continent of
practice), as well as responses to multiple questions regarding treatment of the
lesion, permission to supply GHRT, and free text for comments. RESULTS: One
hundred forty-three responses were eligible for analysis (92 neurosurgeons, 51
oncologists, from a total of 6 continents). Initial recommendations for each case
were heterogeneous. However, a few consistent trends were identified: Lesions
that were stable over time lead to a common shift in treatment recommendation to
a more conservative one. Growing lesions were commonly treated more aggressively.
Neither profession nor experience had a consistent impact on recommendations.
CONCLUSIONS: Management recommendations for IPBT varied among the responders and
seem to be influenced by many factors. However, stable lesions lead to a shift in
management towards a "watch and wait" approach, while in growing lesions
responders tended towards a "biopsy" or "resection" approach. This highlights the
need for better understanding of the natural course of incidental brain tumors in
children, as well as evaluating the potential risk for malignant transformation.
PMID- 29802596
TI - Possible role of mitochondrial K-ATP channel and nitric oxide in protection of
the neonatal rat heart.
AB - Cardioprotective effect of ischemic preconditioning (IPC) and ischemic
postconditioning (IPoC) in adult hearts is mediated by mitochondrial-K-ATP
channels and nitric oxide (NO). During early developmental period, rat hearts
exhibit higher resistance to ischemia-reperfusion (I/R) injury and their
resistance cannot be further increased by IPC or IPoC. Therefore, we have
speculated, whether mechanisms responsible for high resistance of neonatal heart
may be similar to those of IPC and IPoC. To test this hypothesis, rat hearts
isolated on days 1, 4, 7, and 10 of postnatal life were perfused according to
Langendorff. Developed force (DF) of contraction was measured. Hearts were
exposed to 40 min of global ischemia followed by reperfusion up to the maximum
recovery of DF. IPoC was induced by 5 cycles of 10-s ischemia. Mito-K-ATP blocker
(5-HD) was administered 5 min before ischemia and during first 20 min of
reperfusion. Another group of hearts was isolated for biochemical analysis of 3
nitrotyrosine, and serum samples were taken to measure nitrate levels. Tolerance
to ischemia did not change from day 1 to day 4 but decreased on days 7 and 10. 5
HD had no effect either on neonatal resistance to I/R injury or on
cardioprotective effect of IPoC on day 10. Significant difference was found in
serum nitrate levels between days 1 and 10 but not in tissue 3-nitrotyrosine
content. It can be concluded that while there appears to be significant
difference of NO production, mito-K-ATP and ROS probably do not play role in the
high neonatal resistance to I/R injury.
PMID- 29802597
TI - Developmental origins of pregnancy-induced cardiac changes: establishment of a
novel model using the atrial natriuretic peptide gene-disrupted mice.
AB - Pregnancy evokes many challenges on the maternal cardiovascular system that may
unmask predispositions for future disease. This is particularly evident for women
who develop pregnancy-related disorders, for example, pre-eclampsia and
gestational diabetes or hypertension. Such pregnancy-related syndromes increase
the risk for cardiovascular disease (CVD) postpartum. As a result, pregnancy has
been termed as a cardiovascular stress test and an indicator or marker to predict
the development of CVD later in life. In addition, pregnancy-related disorders
impact the development of offspring also placing them at a higher risk for
disease. Utilizing pregnancy as a physiological stressor, the current
investigation sought to determine whether the cardiovascular system of offspring
exposed to gestational hypertension in utero would respond adversely to the
stress of pregnancy. Heterozygous atrial natriuretic peptide gene-disrupted
(ANP+/-) offspring were generated by either crossing male wildtype ANP+/+ with
female knockout ANP-/- to produce ANP+/-KO mice or crossing female wildtype
ANP+/+ with male knockout ANP-/- to produce ANP+/-WT mice. To study the
cardiovascular stress induced by pregnancy, female ANP+/-WT and ANP+/-KO mice
were mated with male wildtype ANP+/+ mice to initiate pregnancy. Cardiac size and
molecular expression of the renin-angiotensin (RAS) and natriuretic peptide
systems (NPS) were compared between offspring groups. Our data demonstrate that
gestational hypertension and lack of maternal ANP did not significantly impact
the progression and regression of pregnancy-induced cardiac hypertrophy over
gestation and postpartum in ANP+/- offspring. Additionally, the molecular cardiac
expression of the RAS and NPS did not differ between offspring groups. Future
investigation should assess potential differences in cardiac function and the
impact of fetal-programming on offspring cardiovascular adaptations during
pregnancy in more severe models of pregnancy-related hypertensive syndrome such
as angiotensin II or isoproterenol infusion.
PMID- 29802598
TI - Assessment of bacterial inoculant formulated with Paraburkholderia tropica to
enhance wheat productivity.
AB - Paraburkholderia tropica is an endophytic nitrogen-fixing bacterium isolated from
the rhizosphere, rhizoplane, and internal tissues of sugarcane and corn plants in
different geographical regions. Other plant-growth-promoting abilities, such as
phosphate solubilization and antifungal activity, have also been reported for
this bacterium. With an aim at investigating the potential use of P. tropica as
an inoculant for improving the performance of wheat crop, in this work we
evaluated an experimental inoculant formulated with P. tropica MTo-293 with
respect to root colonization, the practical aspects of its application, and the
effects under field conditions when applied to wheat seeds. Bacterial
colonization was monitored by culture dependent techniques and the wheat yield
determined by quantifying the total grain production in two different seasons.
Rhizoplane and endophytic colonization in wheat roots was achieved efficiently
(on average, 8 and 4 log colony-forming units/g fresh weight, respectively) even
at relatively low concentrations of viable bacteria in the inoculum under
controlled conditions. P. tropica was compatible with a widely used fungicide,
maintained viability for 48 h once applied to seeds, and was also able to
colonize wheat roots efficiently. Furthermore, we were able to formulate an
inoculant that maintained bacterial viability for relatively long time periods.
Preliminary field assays were realized, and even though the average yields values
for the inoculated treatments remained above the uninoculated ones, no
significant effects of inoculation were detected with or without fertilization.
The correct physiologic behavior of P. tropica suggests the necessity to continue
with field experiments under different conditions.
PMID- 29802600
TI - Acute social stress modulates coherence regional homogeneity.
AB - It is a generally accepted observation that individuals act differently under
stress. Recent task-based neuroimaging studies have shown that individuals under
stress favor the intuitive and fast system over the deliberative and reflective
system. In the present study, using a within-subjects design in thirty young
adults, we examined whether and how acute social stress impacts regional neural
activity in resting state. The results showed that stress induced lower coherence
regional homogeneity (Cohe-ReHo) values in left hippocampus and right superior
frontal gyrus, both of which are regions associated with deliberative decision
making. Stress-induced cortisol change was significantly and positively
correlated with the change in Cohe-ReHo value in the right midbrain, a region
involved in habitual decision making. These results extend previous findings by
demonstrating that stress modulates local synchrony in brain regions implicated
in deliberative and intuitive decision making. Our findings might be useful in
understanding the neural mechanisms underlying stress-related mental disorders.
PMID- 29802601
TI - The relationship of cerebral microbleeds to cognition and incident dementia in
non-demented older individuals.
AB - Cerebral microbleeds (CMB), suspected markers of hemorrhage-prone
microangiopathy, are common in patients with cerebrovascular disease and in those
with cognitive impairment. Their longitudinal relationship with cognitive decline
and incident dementia in non-demented community-dwelling older individuals has
been insufficiently examined. 302 adults aged 70-90 participating in the
population-based Sydney Memory and Ageing Study underwent a susceptibility
weighted imaging (SWI) MRI sequence. The relationship of CMB with performance on
neuropsychological tests was examined both cross-sectionally and longitudinally,
over a mean of 4 years. The association with cases of incident dementia during
this period was also examined. The prevalence of CMB was 20%. In cross-sectional
analysis, after adjusting for demographics and vascular risk factors, there was a
significant association between the presence of CMB and poorer executive
function. CMB were not associated with global cognition or other cognitive
domains. On longitudinal analysis, after adjusting for demographics and vascular
risk factors, there was a greater decline in visuospatial ability in those with
CMB compared to those without. The presence of CMB was not associated with
increased progression to dementia. CMB are associated with impairments in
specific cognitive domains: executive function and decline in visuospatial
ability, independent of other markers of CVD including white matter
hyperintensities. This suggests a direct contribution of CMB to cognitive
impairment although no significant difference in incident dementia rates was
observed.
PMID- 29802602
TI - Dependence on subconcussive impacts of brain metabolism in collision sport
athletes: an MR spectroscopic study.
AB - Long term neurological impairments due to repetitive head trauma are a growing
concern for collision sport athletes. American Football has the highest rate of
reported concussions among male high school athletes, a position held by soccer
for female high school athletes. Recent research has shown that subconcussive
events experienced by collision sport athletes can be a further significant
source of accrued damage. Collision sport athletes experience hundreds of
subconcussive events in a single season, and these largely go uninvestigated as
they produce no overt clinical symptoms. Continued participation by these
seemingly uninjured athletes is hypothesized to increase susceptibility to
diagnoseable brain injury. This study paired magnetic resonance spectroscopy with
head impact monitoring to quantify the relationship between metabolic changes and
head acceleration event characteristics in high school-aged male football and
female soccer collision sport athletes. During the period of exposure to
subconcussive events, asymptomatic male (football) collision sport athletes
exhibited statistically significant changes in concentrations of
glutamate+glutamine (Glx) and total choline containing compounds (tCho) in
dorsolateral prefrontal cortex, and female (soccer) collision sport athletes
exhibited changes in glutamate+glutamine (Glx) in primary motor cortex.
Neurometabolic alterations observed in football athletes during the second half
of the season were found to be significantly associated with the average
acceleration per head acceleration events, being best predicted by the
accumulation of events exceeding 50 g. These marked deviations in
neurometabolism, in the absence of overt symptoms, raise concern about the neural
health of adolescent collision-sport athletes and suggest limiting exposure to
head acceleration events may help to ameliorate the risk of subsequent cognitive
impairment.
PMID- 29802599
TI - Single Photon Emission Computed Tomography (SPECT) Myocardial Perfusion Imaging
Guidelines: Instrumentation, Acquisition, Processing, and Interpretation.
PMID- 29802604
TI - Hyaluronic acid and hyaluronidase as possible novel urine biomarkers for the
diagnosis of prostate cancer.
AB - The goal of the study is to examine the possible use of HA (hyaluronic acid) and
HAase (hyaluronidase) as novel urine biomarkers for the early diagnosis for
prostate cancer (Pca). After a prostatic massage, the urine of 118 high-risk
patients for Pca was collected, and the patients were submitted to ultrasound
guided transrectal biopsy. HA and HAase were detected and analyzed with Enzyme
Linked Immunosorbent Assay, and a statistical analysis of the urine levels of the
two biomarkers according to the histology results was performed. HAase and HA
were independently associated with Pca, and both HAase and HA showed significant
predictive ability for prostate cancer. With an optimal cut-off point of 183.71
HAase had 70% sensitivity maintaining at the same time a 55.2% specificity, while
the optimal cut-off point for HA was 50.13 with 65% sensitivity and 53.9%
specificity. Patients with HAase more than 183.71 ng/ml had 3.67 times greater
likelihood for prostate cancer and Patients with HA more than 50.13 ng/ml had
2.31 times greater likelihood for prostate cancer. The need of novel biomarkers
that will improve the efficacy of PSA is urgent. HAase and HA showed significant
predictive ability for prostate cancer and were independently associated with
Pca, and greater levels were associated with greater odds for prostate cancer. To
Our Knowledge, this is the first study referring to the detection of HAase and HA
as potential urine biomarkers for the early diagnosis of Pca.
PMID- 29802603
TI - Gut, Microbiome, and Brain Regulatory Axis: Relevance to Neurodegenerative and
Psychiatric Disorders.
AB - It has become apparent that the molecular and biochemical integrity of
interactive families, genera, and species of human gut microflora is critically
linked to maintaining complex metabolic and behavioral processes mediated by
peripheral organ systems and central nervous system neuronal groupings.
Relatively recent studies have established intrinsic ratios of enterotypes
contained within the human microbiome across demographic subpopulations and have
empirically linked significant alterations in the expression of bacterial
enterotypes with the initiation and persistence of several major metabolic and
psychiatric disorders. Accordingly, the goal of our review is to highlight
potential thematic/functional linkages of pathophysiological alterations in gut
microbiota and bidirectional gut-brain signaling pathways with special emphasis
on the potential roles of gut dysbiosis on the pathophysiology of psychiatric
illnesses. We provide critical discussion of putative thematic linkages of
Parkinson's disease (PD) data sets to similar pathophysiological events as
potential causative factors in the development and persistence of diverse
psychiatric illnesses. Finally, we include a concise review of preclinical
paradigms that involve immunologically-induced GI deficits and dysbiosis of
maternal microflora that are functionally linked to impaired neurodevelopmental
processes leading to affective behavioral syndromes in the offspring.
PMID- 29802606
TI - Thyroid nodule ultrasound reports in routine clinical practice provide
insufficient information to estimate risk of malignancy.
AB - PURPOSE: Ultrasonographic characteristics of thyroid nodules play an important
role in estimating the risk of malignancy (ROM). Guidelines mandate all thyroid
nodules be characterized by six key ultrasonographic features to estimate the
ROM. Our objective was to evaluate how frequently these characteristics were
identified by radiologists and the ensuing utility to estimate ROM. METHODS: We
retrospectively reviewed 1930 consecutive diagnostic thyroid ultrasound reports
from a large community radiology practice. A total of 1339 individual patients
were found to have one or more thyroid nodules. Each report was analyzed for six
key ultrasonographic features. A utility score (UtS) was calculated (range 0-6)
with a single point given for a comment on each feature. RESULTS: Of the 1339
patients, 75% of the studies reported more than one nodule (mean number of
nodules = 3.4). Mean maximal diameter of the largest nodule per patient = 1.8 cm.
The mean UtS was 2.57. Nodule size did not influence the UtS.: Nodule <1 cm (UtS:
2.53), 1-2 cm (UtS: 2.68) and >2 cm (UtS: 2.49). We believe a UtS of four or
greater is needed to confidently estimate ROM: we found this in 13.7% of reports.
CONCLUSIONS: Our retrospective analysis of a large number of consecutive thyroid
ultrasound reports in routine clinical practice suggests that the vast majority
provide insufficient information to allow the clinician to risk stratify the
nodules by estimating the ROM. This could lead to both over-diagnosis and over
treatment of benign/indolent thyroid lesions or under-diagnosis of clinically
important thyroid cancers.
PMID- 29802607
TI - Seasonal variability of anthropogenic indices of PAHs in sediment from the Kuala
Selangor River, west coast Peninsular Malaysia.
AB - Rapid increase in industrialization and urbanization in the west coast of
Peninsular Malaysia has led to the intense release of petroleum and products of
petroleum into the environment. Surface sediment samples were collected from the
Selangor River in the west coast of Peninsular Malaysia during four climatic
seasons and analyzed for PAHs and biomarkers (hopanes). Sediments were soxhlet
extracted and further purified and fractionated through first and second step
column chromatography. A gas chromatography-mass spectrometry (GC-MS) was used
for analysis of PAHs and hopanes fractions. The average concentrations of total
PAHs ranged from 219.7 to 672.3 ng g-1 dw. The highest concentrations of PAHs
were detected at 964.7 ng g-1 dw in station S5 in the mouth of the Selangor River
during the wet inter-monsoonal season. Both pyrogenic and petrogenic PAHs were
detected in the sediments with a predominance of the former. The composition of
hopanes was homogeneous showing that petroleum hydrocarbons share an identical
source in the study area. Diagnostic ratios of hopanes indicated that some of the
sediment samples carry the crankcase oil signature.
PMID- 29802608
TI - Trace element contaminants in mineral fertilizers used in Iran.
AB - The application of mineral fertilizers which have contaminants of trace elements
may impose concern regarding the entry and toxic accumulation of these elements
in agro-ecosystems. In this study, 57 mineral fertilizers (nitrogen, potassium,
phosphate, and compound fertilizers) distributed in Iran were analyzed for their
contents of Cd, Co, Cr, Cu, Mn, Ni, Pb, Zn, and Fe. The results revealed that the
contents of these trace elements varied considerably depending on the type of the
element and the fertilizer. Among these elements, Fe displayed the highest
average content, whereas Cd showed the lowest. Generally, the trace element
contents in P-containing fertilizers were higher than those in nitrogen and
potassium fertilizers. The mean values of trace elements (mg kg-1) in P
containing fertilizers were 4.0 (Cd), 5.5 (Co), 35.7 (Cr), 24.4 (Cu), 272 (Mn),
14.3 (Ni), 6.0 (Pb), 226 (Zn), and 2532 (Fe). Comparing trace element contents to
limit values set by the German Fertilizer Ordinance showed that the mean contents
of potentially toxic trace elements, such as Cd and Pb, were lower than their
limit values in all groups of fertilizers. On the other hand, while a number of
fertilizers contained a high content of some essential trace elements,
particularly Fe, they were not labeled as such.
PMID- 29802605
TI - Drugs in Development for Malaria.
AB - The last two decades have seen a surge in antimalarial drug development with
product development partnerships taking a leading role. Resistance of Plasmodium
falciparum to the artemisinin derivatives, piperaquine and mefloquine in
Southeast Asia means new antimalarials are needed with some urgency. There are at
least 13 agents in clinical development. Most of these are blood schizonticides
for the treatment of uncomplicated falciparum malaria, under evaluation either
singly or as part of two-drug combinations. Leading candidates progressing
through the pipeline are artefenomel-ferroquine and lumefantrine-KAF156, both in
Phase 2b. Treatment of severe malaria continues to rely on two parenteral drugs
with ancient forebears: artesunate and quinine, with sevuparin being evaluated as
an adjuvant therapy. Tafenoquine is under review by stringent regulatory
authorities for approval as a single-dose treatment for Plasmodium vivax relapse
prevention. This represents an advance over standard 14-day primaquine regimens;
however, the risk of acute haemolytic anaemia in patients with glucose-6
phosphate dehydrogenase deficiency remains. For disease prevention, several of
the newer agents show potential but are unlikely to be recommended for use in the
main target groups of pregnant women and young children for some years. Latest
predictions are that the malaria burden will continue to be high in the coming
decades. This fact, coupled with the repeated loss of antimalarials to
resistance, indicates that new antimalarials will be needed for years to come.
Failure of the artemisinin-based combinations in Southeast Asia has stimulated a
reappraisal of current approaches to combination therapy for malaria with
incorporation of three or more drugs in a single treatment under consideration.
PMID- 29802610
TI - Magnetic nanocellulose from olive industry solid waste for the effective removal
of methylene blue from wastewater.
AB - The work shown in this article demonstrate a novel example of converting olive
industry solid waste (OISW) into a magnetic cellulose nanocrystalline (MNCs) to
serve as selective magnetic sorbents for methylene blue. Olive industry solid
waste contains about 40% cellulose. The cellulose was extracted in a powder form
from olive industry solid waste by subjecting it to a multistep pulping and
bleaching process. The extracted powder cellulose was then converted to
nanocrystalline cellulose (NCs) by acid hydrolysis. The NCs were then treated
with a solution of FeCl3.6H2O, FeSO4, and H2O by a colloidal suspension method
which produced free-flowing porous MNCs. The produced MNCs are characterized by
several spectroscopic and analytical techniques such as SEM, TEM, XRD, FTIR VSM,
and TGA. The efficiency of the three polymers cellulose powder, NCs, and MNCs
toward extracting methylene blue (MB) from water was evaluated. Cellulose powder
and NCs showed acceptable tendency for methylene blue. However, MNCs showed
excellent extraction efficiency toward MB. The thermodynamic studies revealed a
spontaneous adsorption of MB by MNCs at various temperatures. The spontaneous
adsorption could be attributed to the electrostatic interaction and H-bonding
between MNCs and MB. However, the interaction between cellulose, NCs, and MB is
limited to the H-bonding.
PMID- 29802611
TI - Effects of a natural sepiolite bearing material and lime on the immobilization
and persistence of cadmium in a contaminated acid agricultural soil.
AB - Soil contamination with cadmium (Cd) represents a substantial threat to human
health and environmental quality. Long-term effectiveness and persistence of
remediation are two important criteria for the evaluation of amendment techniques
used to remediate soils polluted with potentially toxic metals. In the current
study, we investigated the remediation persistence of a natural sepiolite bearing
material (NSBM, containing 15% sepiolite) and ground limestone (equivalent to >
98.0% CaO) on soil pH, Cd bioavailability, and Cd accumulation by pak choi
(Brassica chinensis L.) during the growth of four consecutive crops in a Cd
contaminated acid soil with different amounts of NSBM (0, 0.2, 0.5, 1, 2, and
5%). Soil pH levels ranged from 5.21 to 7.76 during the first crop, 4.30 to 7.34
during the second, 4.23 to 7.80 during the third, and 4.33 to 6.98 during the
fourth, and increased significantly with increasing the application rate of NSBM.
Soil CaCl2-Cd and shoot Cd concentrations decreased by 8.11 to 99.2% and 6.58 to
94.5%, respectively, compared with the control throughout the four cropping
seasons. A significant negative correlation was found between soil CaCl2-Cd and
soil pH. Combined use of 0.1% lime and NSBM showed greater effects than NSBM
alone, especially, when the application rate of NSBM was ? 2%. Moreover, pak choi
tissue Cd concentrations in the treatments with NSBM addition alone at >= 2% or
at >= 1% NSBM combined with 0.1% lime met the maximum permissible concentration
(MPC) over the four crops, allowed by the Chinese and European regulations. Based
on the present study, safe crop production in the test soil is possible at a soil
pH > 6.38 and CaCl2-Cd < 14 MUg kg-1, and soil Cd immobilization by NSBM without
or with lime is a potentially feasible method of controlling the transfer of soil
Cd into the food chain.
PMID- 29802609
TI - Antimicrobial use and antimicrobial resistance in food animals.
AB - Antimicrobials have been widely used in food animals for growth promotion since
the 1950s. Antimicrobial resistance emerges in animal production settings and
frequently spreads to humans through the food chain and direct contact. There
have been international efforts to restrict or ban antimicrobials used for both
humans and animals. Denmark has taken positive strides in the development of a
comprehensive database DANMAP to track antimicrobial usage and resistance.
Although food animals are sources of antimicrobial resistance, there is little
evidence that antimicrobial resistance originates from food animals. This review
comprehensively introduces the history and trends of antimicrobial use, the
emergence and spread of antimicrobial resistance in food animals provides
suggestions to tackle the problems of the spread of antimicrobial resistance.
PMID- 29802612
TI - Reclamation suitability evaluation of damaged mined land based on the integrated
index method and the difference-product method.
AB - Reclamation suitability evaluation is the basis for determining the reuse
direction of damaged land. Most scholars' studies have focused on the improvement
and innovation of evaluation models and methods, while the analysis of
restrictive factors is rarely involved. This paper evaluates the reclamation
suitability of damaged land around Longchi coal mine by the integrated index
method and the analysis of restrictive factors is carried out by the difference
product method. The result shows damaged land around the Longchi coal mine is
most suitable for being reclaimed as woodland. The main restrictive factors are
the degree of contamination of the soil and water and the irrigation conditions.
This study provides a basis for the rational reutilization and improvement
options of damaged land around Long Chi coal mine. The study also verifies that
the combination of the integrated index method and the difference-product method
work well for evaluating reclamation suitability and for analyzing restrictive
factors in case of no prominent evaluation indexes.
PMID- 29802613
TI - In-time source tracking of watershed loads of Taihu Lake Basin, China based on
spatial relationship modeling.
AB - Influent river carrying cumulative watershed load plays a significant role in
promoting nuisance algal bloom in river-fed lake. It is most relevant to discern
in-stream water quality exceedance and evaluate the spatial relationship between
risk location and potential pollution sources. However, no comprehensive studies
of source tracking in watershed based on management grid have been conducted for
refined water quality management, particularly for plain terrain with complex
river network. In this study, field investigations were implemented during 2014
in Taige Canal watershed of Taihu Lake Basin. A Geographical Information System
(GIS)-based spatial relationship model was established to characterize the
spatial relationships of "point (point-source location and monitoring site)-line
(river segment)-plane (catchment)." As a practical exemplification, in-time
source tracking was triggered on April 15, 2015 at Huangnianqiao station, where
TN and TP concentration violated the water quality standard (TN 4.0 mg/L, TP 0.15
mg/L). Of the target grid cells, 53 and 46 were identified as crucial areas
having high pollution intensity for TN and TP pollution, respectively. The
estimated non-point source load in each grid cell could be apportioned into
different source types based on spatial pollution-related entity objects. We
found that the non-point source load derived from rural sewage and livestock and
poultry breeding accounted for more than 80% of total TN or TP load than another
source type of crop farming. The approach in this study would be of great benefit
to local authorities for identifying the serious polluted regions and efficiently
making environmental policies to reduce watershed load.
PMID- 29802614
TI - Application of blueberry anthocyanins reduces perfluorooctane sulfonate toxicity
on planarians (Dugesia japonica) in locomotion, regeneration, and gene expression
and contents.
AB - Perfluorooctane sulfonate (PFOS) which has been distributed worldwide is a
persistent organic contaminant. Blueberry anthocyanins (ANT) are phytonutrients
with antioxidant activities. The influence of different PFOS and ANT
concentrations on the behavioral activities, regeneration of planarians (Dugesia
japonica), and the expression levels and contents of Djnad6 and Djcox1 genes has
been investigated. PFOS treatments affected the gene expression levels, induced a
decrease in the planarians' locomotor velocity, and increased the time required
for the regeneration of the transverse amputated fragments in a time- and dose
dependent manner. Additionally, ANT treatments, to a certain extent, alleviated
the damage caused by PFOS to planarians. ANT increased the planarians' locomotor
velocity and the percentage of regenerating planarians with eyespots and
auricles. Furthermore, ANT alleviated the expression disorders of Djnad6 and
Djcox1 induced by PFOS.
PMID- 29802615
TI - Restoration with pioneer plants changes soil properties and remodels the
diversity and structure of bacterial communities in rhizosphere and bulk soil of
copper mine tailings in Jiangxi Province, China.
AB - To unravel the ecological function played by pioneer plants in the practical
restoration of mine tailings, it is vital to explore changes of soil
characteristics and microbial communities in rhizosphere and bulk soil following
the adaptation and survival of plants. In the present study, the diversity and
structure of rhizospheric bacterial communities of three pioneer plants in copper
mine tailings were investigated by Illumina MiSeq sequencing, and the effects of
pioneer plants on soil properties were also evaluated. Significant soil
improvement was detected in rhizospheric samples, and Alnus cremastogyne showed
higher total organic matter, total nitrogen, and available phosphorus than two
other herbaceous plants. Microbial diversity indices in rhizosphere and bulk soil
of reclaimed tailings were significantly higher than bare tailings, even the soil
properties of bulk soil in reclaimed tailings were not significantly different
from those of bare tailings. A detailed taxonomic composition analysis
demonstrated that Alphaproteobacteria and Deltaproteobacteria, Chloroflexi,
Acidobacteria, and Gemmatimonadetes showed significantly higher relative
abundance in rhizosphere and bulk soil. In contrast, Gammaproteobacteria and
Firmicutes were abundant in bare tailings, in which Bacillus, Pseudomonas, and
Lactococcus made up the majority of the bacterial community (63.04%). Many
species within known heavy metal resistance and nutrient regulatory microorganism
were identified in reclaimed tailings, and were more abundant among rhizospheric
microbes. Hierarchical clustering and principal coordinate analysis (PCoA)
analysis demonstrated that the bacterial profiles in the rhizosphere clustered
strictly together according to plant types, and were distinguishable from bulk
soil. However, we also identified a large shared OTUs that occurred repeatedly
and was unaffected by highly diverse soil properties in rhizosphere and bulk
samples. Redundancy analysis indicated that water content and Cu and As
concentrations were the main environmental regulators of microbial composition.
These results suggest that the interactive effect of pioneer plants and harsh
soil environmental conditions remodel the specific bacterial communities in
rhizosphere and bulk soil in mine tailings. And A. cremastogyne might be
approximate candidate for phytoremediation of mine tailings for better soil
amelioration effect and relative higher diversity of bacterial community in
rhizosphere.
PMID- 29802617
TI - Green solid synthesis of polyaniline-silver oxide nanocomposite for the
adsorptive removal of ionic divalent species of Zn/Co and their radioactive
isotopes 65Zn/ 60Co.
AB - A comparative study between two nanosorbents, nanopolyaniline (NPANI) and
nanopolyaniline coated with nanosilver oxide (NPANI-NAg2O) is explored to dispose
the divalent species of Zn/Co from water and radioactive isotopes 65Zn/60Co from
radioactive wastewater using batch and column techniques. NPANI-NAg2O
nanocomposite was synthesized via solid-solid reaction. Characterization was
achieved using FT-IR, TGA, XRD, SEM, HR-TEM, and surface area analysis. The
images of SEM and HR-TEM confirmed the success of the modification process and
the particle size was found in the range 28.78-68.28 nm (NPANI) and 25.74-85.71
nm (NPANI-NAg2O), respectively. Solution pH, contact time, solid dosage, and
ionic concentration of the metals were studied as fundamental factors. The
obtained results indicated that the optimum conditions to dispose Zn/Co divalent
species using NPANI were pH 7 and 30-33 min, while NPANI-NAg2O exhibited the
optimum conditions at pH 7 and 20-30 min. The maximum removal capacities were
100.1 and 139.75 mg/g for Zn(II) and 57.93 and 112.1 mg/g for Co(II) using NPANI
and NPANI-NAg2O, respectively. Graphical abstract ?.
PMID- 29802616
TI - Efficiency of biomonitoring methods applying tropical bioindicator plants for
assessing the phytoxicity of the air pollutants in SE, Brazil.
AB - In the tropical region, the greatest challenge of the biomonitoring approach is
to establish linear relationships between biomarkers measured in plants and
pollutant concentrations, since the bioindicator responses can be intensified or
restricted by climatic variations. In southeastern Brazil, there are two regions
affected by air pollution, where the Atlantic Forest remains and should be
preserved. Consequently, both areas have been monitored by biomonitoring
procedures using standardized and tropical plants. The industrial complex settled
in Cubatao is one of the world's most famous examples of environmental pollution
and degradation, with consequent decline of the Atlantic Forest. An oil refinery
is among the most polluting industries in the Cubatao region. The other region is
located in the Metropolitan Region of Campinas (MRC). The MRC has been affected
by high levels of air pollutants originated from road traffic and is responsible
for over 80% of CO, NOx, and hydrocarbon emissions and develops industrial
activities that emit about 70% of the particulate matter present in the region.
Both regions are distinguished by the climate, despite the fact that they are
only about 130 km far from each other. Several studies carried out by our group
in these regions aimed to establish the best native tree species and respective
potential biomarkers for future assessment of pollution effects on tropical
Forests. We present a critical review about the efficiency of native species
compared to standardized bioindicator plants considering antioxidant defense
system, nutrient accumulation, and microscopic aspects when exposed to
atmospheric pollutants and climate.
PMID- 29802618
TI - Long-term (2005-2015) trend analysis of PM2.5 precursor gas NO2 and SO2
concentrations in Taiwan.
AB - Ground air monitoring stations have been installed in Taiwan since 1993 to ensure
whether the criteria air pollutants meet the ambient air quality standards. In
the present study, the data from the monitoring stations were used to evaluate
long-term (2005-2015) trend of NO2 and SO2 in three metropolitan cities (northern
Taipei, central Taichung, and southern Kaohsiung), two eastern coastal cities
(Hualien and Taitung), and one agricultural city in west-central plain (Douliu);
those cities essentially covered the entire region of Taiwan. The results
indicate that SO2 and NO2 concentrations of all studied six cities meet the
annual average standards of 30 and 50 ppb, respectively. After deseasonalizing
the original data and using 7-month moving average, the trend analysis reveals a
decreasing trend ranging from 0.15 to 0.57 ppb/year (R2 from 0.33 to 0.85) for
NO2 and 0.06 to 0.45 ppb/year (R2 from 0.32 to 0.92) for SO2; the corresponding
reductions over the 10-year span are 4 to 42% for NO2 and 22 to 52% for SO2. The
reduction trend, despite the growth in GDP, vehicle numbers and energy
consumption, industrial output, etc., is similar to those of developed countries.
Clearly, there are seasonal/monthly variation patterns for these two precursor
gases with minimum levels in summer (July) and maximum in winter (December). The
concentration reductions, however, were lagging behind the respective emission
reductions. There are significant correlations among six cites for NO2 (r = 0.58
0.93) and, to some extent, SO2 (0.32-0.66). The correlation between SO2 and NO2
(r = 0.46-0.74) indicates same or similar emission sources. Furthermore, the
correlation between observed pollutant concentrations and their emission is
excellent for SO2 in two cities (0.79-0.96). The SO2/NO2 ratios vary with city
and time and the value is site specific. For example, in 2005, the SO2/NO2 ratio
was 0.38 in Kaohsiung and 0.18 in both Taipei and Taichung, the latter reflecting
significant contribution from mobile sources. However, they all converged to 0.18
0.28 in 2015 in the six cities evaluated. All in all, the policies/measures made
by the central and local government are effective in reducing ambient SO2 and NO2
levels.
PMID- 29802619
TI - Antitumor Triterpenoid Saponin from the Fruits of Avicennia marina.
AB - The fruits of Avicennia marina are widely used for both medicine and food in
Guangxi of China. As a part of our continuous effort to search for bioactive
molecules from the plant, the fruits of A. marina were investigated, which has
led to one new triterpenoid saponin (1) and 29 known compounds been isolated and
their structures were established by using spectroscopic methods and comparing
with literature data. The new triterpenoid saponin showed cytotoxicity against
GSC-3# and GSC-18# with the IC50 values were 12.21 and 5.53 MUg/mL respectively,
and most of the known compounds had significant antioxidant capacity with the
IC50 values ranging from 0.36 to 13.07 MUg/mL.
PMID- 29802620
TI - Pregnancy-associated plasma protein A and mortality in haemodialysis.
AB - BACKGROUND: Elevated pregnancy-associated plasma protein A (PAPP-A) levels are
associated with increased risk of death in ischaemic heart disease as well as in
haemodialysis patients. Previous research indicates that the prognostic value of
PAPP-A may be stronger in patients with concomitant diabetes mellitus or signs of
inflammation. We studied the association between PAPP-A and outcomes in prevalent
haemodialysis patients and hypothesized that diabetes mellitus and inflammation
status act as effect modifiers. MATERIALS AND METHODS: Circulating PAPP-A levels
were quantified using ELISA. Cox proportional hazards and quantile regression
models were used for associations between PAPP-A and mortality. PAPP-A levels
were log-transformed for Normality. RESULTS: During 60-month follow-up, 37 (40%)
of the 92 participants died. Higher PAPP-A was associated with increased risk of
mortality in unadjusted analysis (HR per SD = 1.4, 95% CI = 1-1.9, P = .03) and
when adjusted for confounders and cardiovascular risk factors (HR = 1.8, 95% CI =
1.18-2.73, P = .006). An interaction between PAPP-A levels and diabetes mellitus
on mortality was found (HR for the multiplicative interaction term = 2.74 95% CI
= 1.02-7.37, P = .05). In a quantile regression adjusted for age and sex, one SD
increase in PAPP-A was associated with 22 months shorter estimated time until 25%
of the patients died (95% CI -35 to -9.1 months). CONCLUSIONS: Increased PAPP-A
levels are associated with higher all-cause mortality in prevalent haemodialysis
patients with concomitant diabetes mellitus.
PMID- 29802621
TI - Emerging concepts of ganglioside metabolism.
AB - Gangliosides (GGs) are sialic acid-containing glycosphingolipids (GSLs) and major
membrane components enriched on cellular surfaces. Biosynthesis of mammalian GGs
starts at the cytosolic leaflet of endoplasmic reticulum (ER) membranes with the
formation of their hydrophobic ceramide anchors. After intracellular ceramide
transfer to Golgi and trans-Golgi network (TGN) membranes, anabolism of GGs, as
well as of other GSLs, is catalyzed by membrane-spanning glycosyltransferases
(GTs) along the secretory pathway. Combined activity of only a few promiscuous
GTs allows for the formation of cell-type-specific glycolipid patterns. Following
an exocytotic vesicle flow to the cellular plasma membranes, GGs can be modified
by metabolic reactions at or near the cellular surface. For degradation, GGs are
endocytosed to reach late endosomes and lysosomes. Whereas membrane-spanning
enzymes of the secretory pathway catalyze GSL and GG formation, a cooperation of
soluble glycosidases, lipases and lipid-binding cofactors, namely the
sphingolipid activator proteins (SAPs), act as the main players of GG and GSL
catabolism at intralysosomal luminal vesicles (ILVs).
PMID- 29802622
TI - The Pannexin1 membrane channel: distinct conformations and functions.
AB - The Pannexin1 (Panx1) membrane channel responds to different stimuli with
distinct channel conformations. Most stimuli induce a large cation- and ATP
permeable conformation, hence Panx1 is involved in many physiological processes
entailing purinergic signaling. For example, oxygen delivery in the peripheral
circulatory system is regulated by ATP released from red blood cells and
endothelial cells through Panx1 channels. The same membrane channel, however,
when stimulated by positive membrane potential or by cleavage with caspase 3, is
highly selective for the passage of chloride ions, excluding cations and ATP.
Although biophysical data do not allow a distinction between the chloride
selective channels induced by voltage or by caspase cleavage, there must be other
subtle differences in the structure, because overexpression of wtPanx1 is well
tolerated by cells, while expression of the truncation mutant Panx1Delta378
results in slow cell death. Thus, in addition to the well-characterized two open
conformations, there might be a third, more subtle conformational change involved
in cell death.
PMID- 29802623
TI - Th2 cytokines orchestrate the secretion of MUC5AC and MUC5B in IL-5-positive
chronic rhinosinusitis with nasal polyps.
AB - BACKGROUND: Mucin over-secretion is a significant characteristic of chronic
rhinosinusitis with nasal polyps (CRSwNP). This study aimed to investigate the
relationship between Th2 cytokines and MUC5AC or MUC5B, and the mechanism of
mucin over-secretion in the type-2 inflammatory endotype of CRSwNP. METHODS: Main
Th-cell cytokines, associated mediators, and mucins were determined in the
homogenates of nasal polyp samples from 21 CRSwNP patients and inferior turbinate
samples from 8 controls, by ELISA or UniCAP system. Secretion of MUC5AC and MUC5B
was measured in the supernatants of IL-5, IL-4, or IL-13 primed nasal polyp
fragments. Co-localization of MUC5AC, MUC5B, and IL-4 receptor alpha (IL-4Ralpha)
in CRSwNP and controls was evaluated by immunohistochemistry. Gene expression of
IL-4Ralpha in the samples was measured by real-time reverse transcription
polymerase chain reaction. RESULTS: Baseline protein levels of the Th2-cytokines
IL-4, IL-5, and IL-13, and mucins MUC5AC and MUC5B were significantly higher in
the IL-5(+) CRSwNP group, compared to control and IL-5(-) CRSwNP groups. MUC5AC
and MUC5B secretions were significantly increased in IL-4- or IL-13-primed, but
not IL-5-primed fragments of nasal polyps. Immuno-stained serial sections
demonstrated that IL-4Ralpha was widely expressed in the epithelium and
submucosal glands in control and nasal polyp tissues. Gene expression of IL
4Ralpha was elevated in nasal polyp tissues, specifically in the IL-5(+) CRSwNP
group. CONCLUSIONS: In type-2 inflammatory nasal polyps, characterized by the
tissue expression of IL-5, MUC5AC and MUC5B are overexpressed. Both IL-4 and IL
13 may upregulate mucin expression via IL-4Ralpha, which is also overexpressed in
IL-5(+) CRSwNP.
PMID- 29802624
TI - Maternal depression and cortisol in pregnancy predict offspring emotional
reactivity in the preschool period.
AB - Prenatal exposures to higher levels of maternal cortisol and depression have been
linked to a variety of adverse physiological, neurological, and behavioral
outcomes, such as dysregulated cortisol production, structural and functional
differences in limbic areas of the brain, and greater negative emotionality. This
study investigated prospective associations between maternal prepartum
depression/cortisol levels and offspring emotional reactivity in 163 mother-child
pairs. Women were assessed repeatedly during pregnancy, and later participated in
a laboratory visit with their preschool-aged children. Mothers self-reported on
depressive symptomatology during pregnancy and provided saliva samples for
cortisol assay. Offspring emotional reactivity was assessed through multiple
measures, including caregiver reports, cortisol response following a stressor,
and laboratory observations of behavior. The findings suggest potential prenatal
timing effects, with depression and maternal cortisol measured in the first and
second trimesters being more strongly associated with child emotional reactivity.
Sex was found to moderate associations between maternal prepartum
depression/cortisol and child emotional reactivity, with the general pattern
reflecting positive associations in girls, and negative associations in boys.
PMID- 29802625
TI - Optimal timing for cardioversion in patients with atrial fibrillation.
AB - BACKGROUND: Electrical cardioversion (CV) is essential in rhythm management of
atrial fibrillation (AF). However, optimal timing of CV remains unknown.
HYPOTHESIS: Timing of CV in AF is associated with risk of adverse events.
METHODS: We analyzed the effect of AF episode duration on safety and efficacy of
electrical CV in a multicenter, multicohort study exploring 4356 CVs in 2530
patients on oral anticoagulation. The composite adverse outcome included
unsuccessful CV, acute arrhythmic complications, thromboembolic events,
mortality, and AF recurrence within 30-day follow-up. RESULTS: Study groups were
stratified according to duration of index AF episode (<24 h, 24-48 h, 48 h-30d,
and > 30d), consisting of 1767, 516, 632, and 1441 CVs, respectively. CVs were
unsuccessful in 8.5% (<24 h), 5.4% (24-48 h), 11.1% (48 h-30d), and 13.9% (>30d),
respectively (P < 0.01). Occurrence of thromboembolic events (0.1%), mortality
(0.1%), and asystole >5 seconds (0.7%) within 30-day follow-up was infrequent and
comparable in the study groups. AF recurrence within 30 days after initially
successful CVs was 29.8% (<24 h), 26.5% (24-48 h), 37.3% (48 h-30d), and 30.3%
(>30d), respectively (P < 0.01). Composite adverse outcome occurred in 1669
(38.4%) CVs, and index AF episode >48 hours was an independent predictor for the
composite endpoint (OR: 1.49, 95% CI: 1.28-1.74, P < 0.01) in multivariate
analysis. CONCLUSIONS: Optimal timing of CV for AF showed a J-shaped curve, with
fewest adverse outcomes in patients with CV performed 24 to 48 hours after onset
of AF. In patients with rhythm-control strategy, delaying CV >48 hours is
associated with increased risk for adverse outcomes.
PMID- 29802626
TI - Egyptian propolis compared to nanohydroxyapatite graft in the treatment of Class
II furcation defects in dogs.
AB - BACKGROUND: Complementary and alternative medicine approaches are gaining ground
in everyday practice. Propolis is a bee product that has been suggested to have
bone regenerative effects. This study was conducted to compare the effectiveness
of Egyptian propolis with nanohydroxyapatite graft on furcation defect
regeneration in mongrel dogs. METHODS: A split mouth design was utilized in six
clinically healthy mongrel dogs. A total of 24 Class II furcation defects were
surgically created in mandibular third and fourth premolars. The defects on the
right side were filled with nanohydroxyapatite graft and covered with collagen
membrane, while on the left side the defects were filled with propolis and also
covered with collagen membrane. The dogs were sacrificed after 1 and 3 months.
Segments containing the defects were prepared for histological evaluation.
RESULTS: The histological evaluation after one month revealed newly formed bone
in both treatment groups. However, after 3 months, the bone trabeculae appeared
thinner in the collagen/nanohydroxyapatite group than in the collagen/propolis
group. The histomorphometric evaluation showed a significant increase in bone
height as well as bone surface area for the collagen/propolis group compared to
collagen/nanohydroxyapatite group. CONCLUSIONS: Both Egyptian propolis and
nanohydroxyapatite graft material showed favorable periodontal regenerative
effect. Propolis showed increased cellular proliferative ability that could be
beneficial in reducing the healing period needed after periodontal therapy.
PMID- 29802627
TI - Comparative evaluation of subgingivally delivered 1.2% rosuvastatin and 1%
metformin gel in treatment of intrabony defects in chronic periodontitis: A
randomized controlled clinical trial.
AB - BACKGROUND: The aim of this study is to explore and compare the clinical efficacy
of locally delivered 1.2% Rosuvastatin (RSV) and 1% Metformin (MF) gel as an
adjunct to scaling and root planning (SRP) in the treatment of intrabony defects
in chronic periodontitis patients. METHODS: A total of 90 volunteers were
randomly assigned to three treatment groups; 1) SRP plus placebo gel; 2) SRP plus
1.2% RSV gel; 3) SRP plus 1% MF gel. Clinical parameters like modified sulcus
bleeding index (mSBI), plaque index (PI), pocket probing depth (PD) and clinical
attachment level (CAL) were recorded at baseline, 6 and 12 months and the
radiologic assessment of bone defect fill was performed at 6 and 12 months.
RESULTS: mSBI, BP, PD, and CAL were improved in all the groups, however mean
reductions in PD, CAL gain, and percentage of bone fill was found to be higher in
RSV and MF groups than placebo group at all visits. CONCLUSION: Adjunctive use of
locally delivered 1.2% RSV and 1% MF gel stimulates a significant PD reduction,
CAL gains and improved bone fill when compared with placebo gel. Results were
significantly better with the use of 1.2% RSV gel than 1% MF gel.
PMID- 29802628
TI - Letter to the editor: RE: Bone heat generated using conventional implant drills
versus piezosurgery unit during apical cortical plate perforation.
PMID- 29802630
TI - Prospective study of the impact of peri-implant soft tissue properties on patient
reported and clinically assessed outcomes.
AB - BACKGROUND: It has been proposed that the presence of a zone of keratinized
mucosa (KM) around implants is associated with less discomfort during brushing
and improved esthetic outcomes. Therefore, mucogingival procedures have been
recommended for patients with discomfort during brushing, and to enhance esthetic
results around implants without KM. However, no study has systematically assessed
and compared discomfort during brushing, patient soft tissue esthetic
satisfaction, and other clinical parameters between implants with and without KM.
METHODS: Group 1 included patients with implants surrounded by KM, whereas
patients in Group 2 had no KM around implants. Patient discomfort during brushing
and esthetic satisfaction were measured with a visual analog scale and compared
between the 2 groups using a mixed model. Clinical width of KM, probing depth,
peri-implant recession, plaque index, and bleeding on probing were compared
within and between groups 3 and 6 months following implant restoration. RESULTS:
Twenty-four patients (12 in each group) were evaluated at the 3- and 6-month
follow-up visits. Patients without peri-implant KM were less satisfied with the
esthetics of the soft tissue around their implants (P < 0.01). However, lack of
KM was not associated with discomfort during brushing. In Group 1, width of KM
was significantly increased after 6 months (P < 0.01). There was greater
recession around implants without KM after 3 months (P < 0.01), but not after 6
months. CONCLUSIONS: Patients reported that presence or absence of keratinized
mucosa did not affect discomfort associated with brushing. Yet, esthetically,
patients preferred implants with a zone of keratinized mucosa.
PMID- 29802631
TI - Study of the Ultrasound Appearance of the Normal Parathyroid Using an
Intraoperative Procedure.
AB - OBJECTIVES: This study was designed to confirm the echogenicity of normal
parathyroid glands using intraoperative ultrasound (US). METHODS: Between October
2015 and January 2016, normal parathyroid glands were examined with an
intraoperative US transducer during thyroidectomy procedures in 13 patients with
thyroid disease. According to the findings from intraoperative US, routine
percutaneous US of normal parathyroid glands was performed in a group of adults.
On the basis of previous information on normal parathyroid echogenicity, a series
of parathyroid diseases that were proved by surgery and histopathologic analyses
were retrospectively reviewed. The presence of residual normal parathyroid in the
lesion on US imaging, which was defined as the residual parathyroid sign in this
study, was reviewed and correlated with histopathologic results. RESULTS: In the
intraoperative US group, 23 parathyroid glands were scanned intraoperatively, and
21 (91.3%) were hyperechoic, homogeneous in texture, and oval. In the routine
percutaneous US group, 106 parathyroid glands were found in total, and 96 (90.5%)
of the glands had hyperechoic and homogeneous echogenicity, with 75 (70.8%) being
oval. In the review of parathyroid diseases, 33 parathyroid glands in 30 cases
were reviewed, with a positive residual parathyroid sign in 7 (21.2%) parathyroid
glands, presenting with a hyperechoic rim in the margin, and 4 of them (12.1%)
were confirmed by histopathologic results. CONCLUSIONS: The normal parathyroid
had hyperechoic echogenicity on both intraoperative and percutaneous US imaging.
Residual tissue of parathyroid glands can also be observed in some parathyroid
abnormalities with an echogenic appearance on US imaging and confirmed by
histopathologic results.
PMID- 29802629
TI - Simultaneous T1 and T2 mapping of the carotid plaque (SIMPLE) with T2 and
inversion recovery prepared 3D radial imaging.
AB - PURPOSE: To propose a technique that can produce different T1 and T2 contrasts in
a single scan for simultaneous T1 and T2 mapping of the carotid plaque (SIMPLE).
METHODS: An interleaved 3D golden angle radial trajectory was used in conjunction
with T2 preparation with variable duration (TEprep ) and inversion recovery
pulses. Sliding window reconstruction was adopted to reconstruct images at
different inversion delay time and TEprep for joint T1 and T2 fitting. In the
fitting procedure, a rapid B1 correction method was presented. The accuracy of
SIMPLE was investigated in phantom experiments. In vivo scans were performed on 5
healthy volunteers with 2 scans each, and on 5 patients with carotid
atherosclerosis. RESULTS: The phantom T1 and T2 estimations of SIMPLE agreed well
with the standard methods with the percentage difference smaller than 7.1%. In
vivo T1 and T2 for normal carotid vessel wall were 1213 +/- 48.3 ms and 51.1 +/-
1.7 ms, with good interscan repeatability. Alternations of T1 and T2 in plaque
regions were in agreement with the conventional multicontrast imaging findings.
CONCLUSION: The proposed SIMPLE allows simultaneous T1 and T2 mapping of the
carotid artery in less than 10 minutes, serving as a quantitative tool with good
accuracy and reproducibility for plaque characterization.
PMID- 29802632
TI - Characterization of novel mutants of hexaploid wheat (Triticum aestivum L.) with
various depths of purple grain color and antioxidant capacity.
AB - BACKGROUND: Wheat grain is recognized as a rich source of nutrients, including
proteins, vitamins, minerals, fibers and antioxidants. In recent years, the focus
of wheat breeding has been to increase the content of bioactive compounds to
improve human health and prevent diseases. RESULTS: Five novel wheat mutant lines
with variable seed color were developed using gamma irradiation of hexaploid
wheat inbred line K4191 (purple seed color). The total anthocyanin contents of
three mutant lines (L47, L167 and L925) were significantly higher than those of
wild-type lines, including K4191 and 'Keumkang' (white seed color). L925 showed
the highest total anthocyanin content, and cyanidin-3-glucoside was presented as
the most predominant anthocyanin. Compared with 'Keumkang', the expression of
anthocyanin biosynthesis genes was significantly up-regulated in purple seed
mutant lines. The highest antioxidant activity was observed in L925 extracts. The
expression of a few antioxidant-related genes and total anthocyanin content were
positively correlated with antioxidant capacity. These data suggest that
anthocyanins and phenolic compounds in wheat grains contribute to the antioxidant
potential. CONCLUSION: Purple grain color is associated with higher anthocyanin
accumulation and antioxidant capacity in wheat. Wheat mutants developed in this
study may serve as a valuable source of antioxidants. (c) 2018 Society of
Chemical Industry.
PMID- 29802633
TI - Having small-for-gestational-age infants was associated with maternal allergic
features in the JECS birth cohort.
PMID- 29802634
TI - Behavioral Approaches for Primary Headaches: Recent Advances.
AB - BACKGROUND: Behavioral treatments in the management of primary headache disorders
in adults and children are increasingly being recognized as effective; however,
the level and durability of their effectiveness is still a matter of debate. This
review aims to provide more updated information on the effects of behavioral
therapies in adults and adolescents with primary headache disorders, with a
special focus on new and emerging behavioral treatments. METHODS: We searched
SCOPUS for peer-reviewed papers that reported randomized controlled trial or
observational studies addressing behavioral treatment for headache disorders
published in the period January 2010 to October 2017. RESULTS: A total of 22
publications, in which 2110 participants were recruited, were included in the
review. Most of the studies referred to cognitive behavioral therapies, and a
reduction of headache frequency higher than 35% was generally reported
irrespective of the approach. In addition, valuable impact disability and quality
of life was observed, as well as improvements in depression, anxiety, self
efficacy, and intake of medications. CONCLUSIONS: Behavioral approaches are
effective and less prone to produce side or harmful effects, which makes them a
valid option particularly for women who are pregnant or nursing, people with
other chronic conditions requiring pharmacological treatments putting them at
risk for drug-drug interactions, and children.
PMID- 29802637
TI - Red Ear: Syndrome or Symptom?
AB - Red ear syndrome (RES) is a rare disorder characterized by attacks of unilateral
ear pain during which the ear becomes red. Episodes can occur spontaneously, or
be triggered, in most cases, by rubbing or touching the ear. Both duration and
frequency are variable. RES has been explained by a dysfunction of cervical
spinal nerves (C3 root) and a dysregulation with disinhibition of brainstem
trigemino-autonomic circuits, leading to sympathetic inhibition and
parasympathetic hyperactivity producing vasodilation. We describe 6 new cases of
RES with different characteristics. Although all presented the cardinal symptom
of red ear, the headache patterns were suggestive of other primary headaches
(migraine or cluster headache). Therapeutic response was obtained when directed
to the associated primary headache phenotype, suggesting that RES may be a
phenomena associated with different headaches, rather than a syndrome in itself.
PMID- 29802635
TI - Reproducibility of 2D GluCEST in healthy human volunteers at 7 T.
AB - PURPOSE: To investigate the reproducibility of gray and white matter glutamate
contrast of a brain slice among a small group of healthy volunteers by using the
2D single-slice glutamate CEST (GluCEST) imaging technique. METHODS: Six healthy
volunteers were scanned multiple times for within-day and between-day
reproducibility. One more volunteer was scanned for within-day reproducibility at
7T MRI. Glutamate CEST contrast measurements were calculated for within subjects
and among the subjects and the coefficient of variations are reported. RESULTS:
The GluCEST measurements were highly reproducible in the gray and white matter
area of the brain slice, whether it was within-day or between-day with a
coefficient of variation of less than 5%. CONCLUSION: This preliminary study in a
small group of healthy volunteers shows a high degree of reproducibility of
GluCEST MRI in brain and holds promise for implementation in studying age
dependent changes in the brain.
PMID- 29802638
TI - Lessons for modern insulin development.
AB - There have been many advances in insulin with a realistic possibility of
mimicking nature to improve insulin replacement, with a view to achieving
improved metabolic control. Lessons can be learnt from the evolution of insulin,
insulin development, and new advances in technology. This may lead to fewer side
effects of therapy resulting in a lower risk of hypoglycaemia and less weight
gain, which could in turn could reduce long-term complications for people with
diabetes.
PMID- 29802636
TI - The effect of basal-bolus therapy varies with baseline 1,5-anhydroglucitol level
in people with Type 2 diabetes: a post hoc analysis.
AB - AIMS: To investigate the impact of baseline 1,5-anhydroglucitol on the treatment
effect of basal-bolus therapy in people with Type 2 diabetes. METHODS: Post hoc
analysis of onset 3, an 18-week, randomized, phase 3 trial evaluating the
efficacy and safety of fast-acting insulin aspart in basal-bolus therapy (n =
116) vs. basal insulin-only therapy (n = 120) in people with Type 2 diabetes. The
estimated treatment difference in change from baseline in HbA1c was investigated
for different cut-off values of baseline 1,5-anhydroglucitol (2, 3, 4, 5 and 6
MUg/ml). RESULTS: The estimated treatment difference in change from baseline in
HbA1c between basal-bolus therapy and basal insulin-only therapy was
statistically significantly greater in participants with baseline 1,5
anhydroglucitol <=3 MUg/ml (n = 34) vs. >3 MUg/ml (n = 198) [estimated treatment
difference (95% CI): -1.53% (-2.12; -0.94) vs. -0.82% (-1.07; -0.57); P-value for
interaction = 0.03]. The estimated treatment difference became more pronounced
when comparing participants with 1,5-anhydroglucitol <=2 MUg/ml (n = 15) vs. >2
MUg/ml (n = 217) [estimated treatment difference (95% CI): -2.26% (-3.15; -1.36)
vs. -0.85% (-1.08; -0.62); P-value for interaction = 0.003]. For cut-off values
>=4 MUg/ml, estimated treatment differences were numerically greater below the
cut-off compared with above, although the interaction terms were not
statistically significant. CONCLUSION: This analysis indicates that people with
Type 2 diabetes with low 1,5-anhydroglucitol have an added treatment benefit with
basal-bolus therapy compared with people with higher 1,5-anhydroglucitol. Further
research is needed to clarify any clinical utility of these findings. Clinical
Trials Registry No: NCT01850615.
PMID- 29802639
TI - Associations of health literacy with diabetic foot outcomes: a systematic review
and meta-analysis.
AB - BACKGROUND: People with diabetes have low health literacy, but the role of the
latter in diabetic foot disease is unclear. AIM: To determine, through a
systematic review and meta-analysis, if health literacy is associated with
diabetic foot disease, its risk factors, or foot care. METHODS: We searched
PubMed, EMBASE, CINAHL, Web of Science, Scopus and Science Direct. All studies
were screened and data extracted by two independent reviewers. Studies in English
with valid and reliable measures of health literacy and published tests of
association were included. Data were extracted on the associations between the
outcomes and health literacy. Meta-analyses were performed using random effects
models. RESULTS: Sixteen articles were included in the systematic review, with 11
in the meta-analysis. In people with inadequate health literacy, the odds of
having diabetic foot disease were twice those in people with adequate health
literacy, but this was not statistically significant [odds ratio 1.99 (95% CI
0.83, 4.78); two studies in 1278 participants]. There was no statistically
significant difference in health literacy levels between people with and without
peripheral neuropathy [standardized mean difference -0.14 (95% CI -0.47, 0.18);
two studies in 399 participants]. There was no association between health
literacy and foot care [correlation coefficient 0.01 (95% CI -0.07, 0.10); seven
studies in 1033 participants]. CONCLUSIONS: There were insufficient data to
exclude associations between health literacy and diabetic foot disease and its
risk factors, but health literacy appears unlikely to have a role in foot care.
The contribution of low health literacy to diabetic foot disease requires
definitive assessment through robust longitudinal studies.
PMID- 29802640
TI - Association of clinical measures of periodontal disease with blood pressure and
hypertension among postmenopausal women.
AB - BACKGROUND: Hypertension and periodontal disease are common conditions among
postmenopausal women. Periodontal disease has been found associated with
hypertension in previous studies, but data in postmenopausal women is limited.
METHODS: We assessed the cross-sectional associations of clinically measured
periodontal disease with prevalent hypertension and measured systolic blood
pressure (SBP) among 1341 postmenopausal women enrolled in the Buffalo
Osteoporosis and Periodontal Disease (OsteoPerio) study, an ancillary study of
the Women's Health Initiative-Observational Study. RESULTS: Clinical attachment
level (CAL) and number of teeth missing were positively associated with SBP among
those not taking antihypertensive medication in crude and multivariable adjusted
linear regression models (both P < 0.05). Alveolar crestal height (ACH) and
gingival bleeding on probing were associated with higher SBP in crude but not
multivariable adjusted models. Neither probing pocket depth (PPD) nor severity
categories of periodontitis were associated with SBP. Number of teeth missing was
significantly associated with prevalent hypertension in crude and multivariable
adjusted models (OR = 1.14, per 5 teeth; P = 0.04). ACH was associated with
prevalent hypertension in crude but not adjusted models. CAL, PPD, gingival
bleeding, and severity of periodontitis were not significantly associated with
prevalent hypertension. CONCLUSIONS: These results suggest that measures of oral
health including CAL and number of teeth missing are associated with blood
pressure in postmenopausal women. Prospective studies are needed to further
investigate these associations and the potential underlying mechanisms for these
relationships.
PMID- 29802642
TI - Platelet indices (mean platelet volume and platelet distribution width) have
correlations with periodontal inflamed surface area in coronary artery disease
patients: A pilot study.
AB - BACKGROUND: The plausible mechanisms regarding the relationship between
periodontitis and coronary artery disease (CAD) has long been the focus of
studies. This study aimed to test the hypothesis that higher periodontal inflamed
surface area (PISA) values have positive correlations with increased complete
blood parameters in patients with CAD. METHODS: Patients who underwent coronary
angiography with a suspicion of CAD between the ages 30 to 75 years were
included. Clinical periodontal parameters (probing depth [PD], clinical
attachment loss, bleeding on probing) were recorded, and the participants were
divided into four groups after the coronary angiography: group 1: CAD (+) with
periodontitis (n = 20), group 2: CAD (+) without periodontitis (n = 20), group 3:
CAD (-) with periodontitis (n = 21), group 4: CAD (-) without periodontitis (n =
16). Complete blood counts were analyzed regarding the differences and
correlations between the investigated parameters. RESULTS: CAD (+) P (+)
individuals had significantly higher platelet distribution width (PDW) values
than the other groups (P < 0.0125). Positive lower correlations were found
between PISA scores and mean platelet volume (MPV, P = 0.021, rho = 0.264), PISA
and PDW (P = 0.240, rho = 0.036) in the whole study group; and moderate
correlation between PD and red blood cell distribution width (RDW, P = 0.049, rho
= 0.445) in CAD (-) groups with/without periodontitis were found. Age was found
to predict CAD with o lower OR (1.17, P < 0.01). CONCLUSION: The results of the
present study highlight some blood parameters (PDW, RDW, and MPV) in CAD patients
with/without periodontitis in terms of the relationship between inflammatory
diseases and their significant low and moderate correlations with PISA values.
PMID- 29802641
TI - Chemical exchange rotation transfer (CERT) on human brain at 3 Tesla.
AB - PURPOSE: To test the ability of a novel pulse sequence applied in vivo at 3 Tesla
to separate the contributions to the water signal from amide proton transfer
(APT) and relayed nuclear Overhauser enhancement (rNOE) from background direct
water saturation and semisolid magnetization transfer (MT). The lack of such
signal source isolation has confounded conventional chemical exchange saturation
transfer (CEST) imaging. METHODS: We quantified APT and rNOE signals using a
chemical exchange rotation transfer (CERT) metric, MTRdouble . A range of duty
cycles and average irradiation powers were applied, and results were compared
with conventional CEST analyses using asymmetry (MTRasym ) and extrapolated
magnetization transfer (EMR). RESULTS: Our results indicate that MTRdouble is
more specific than MTRasym and, because it requires as few as 3 data points, is
more rapid than methods requiring a complete Z-spectrum, such as EMR. In white
matter, APT (1.5 +/- 0.5%) and rNOE (2.1 +/- 0.7%) were quantified by using
MTRdouble with a 30% duty cycle and a 0.5-uT average power. In addition, our
results suggest that MTRdouble is insensitive to B0 inhomogeneity, further
magnifying its speed advantage over CEST metrics that require a separate B0
measurement. However, MTRdouble still has nontrivial sensitivity to B1
inhomogeneities. CONCLUSION: We demonstrated that MTRdouble is an alternative
metric to evaluate APT and rNOE, which is fast, robust to B0 inhomogeneity, and
easy to process.
PMID- 29802644
TI - Safety profile of uncrossmatched, cold-stored, low-titer, group O+ whole blood in
civilian trauma patients.
AB - BACKGROUND: The use of cold-stored low-titer group O whole blood (LTOWB) for
civilian trauma patients is gaining popularity. However, hemolysis might occur
among non-group O recipients. This study evaluated the serologic safety of
transfusing up to 4 units of LTOWB. STUDY DESIGN AND METHODS: Hypotensive male
and at least 50-year-old female trauma patients who received leukoreduced,
uncrossmatched, group O+, low-titer (<50 anti-A and anti-B), platelet-replete
whole blood during initial resuscitation were included in this prospective,
observational study. Biochemical markers of hemolysis were measured on the day of
LTOWB receipt (Day 0) and over the next 2 days. Blood product administration in
the first 24 hours of admission and reported transfusion-associated adverse
events were also reviewed. RESULTS: There were 102 non-group O and 70 group O
recipients of 1 to 4 LTOWB units analyzed. The non-group O recipients received a
median volume of 600 mL (range, 300-4100 mL) of ABO-incompatible plasma,
including the contribution from the LTOWB units. There were no significant
differences in median haptoglobin, lactate dehydrogenase, total bilirubin,
creatinine, or potassium levels at any time point between the non-group O and
group O recipients. There were also no differences in these markers between the
subset of 23 non-group O and 14 group O recipients who received 3 or 4 LTOWB
units. No transfusion-associated adverse events were reported. CONCLUSIONS:
Administration of up to 4 units of LTOWB in civilian trauma resuscitation was not
associated with clinical or biochemical evidence of hemolysis. Six units per
trauma patient are now permitted at these institutions.
PMID- 29802643
TI - Golden ratio stack of spirals for flexible angiographic imaging: Proof of concept
in congenital heart disease.
AB - PURPOSE: In this study, a golden ratio stack of spiral (GRASS) sequence that used
both golden step and golden angle ordering was implemented. The aim was to
demonstrate that GRASS acquisitions could be flexibly reconstructed as both
cardiac-gated and time-resolved angiograms. METHODS: Image quality of time
resolved and cardiac-gated reconstructions of the GRASS sequence were compared to
3 conventional stack of spirals (SoS) acquisitions in an in silico model. In 10
patients, the GRASS sequence was compared to conventional breath hold angiography
(BH-MRA) in terms of image quality and for vessel measurement. Vessel
measurements were also compared to cine images. RESULTS: In the cardiac-gated in
silico model, the image quality of GRASS was superior to regular and golden-angle
with regular step SoS approaches. In the time-resolved model, GRASS image quality
was comparable to the golden-angle with regular step technique and superior to
regular SoS acquisitions. In patients, there was no difference in qualitative
image scores between GRASS and BH-MRA, but SNR was lower. There was good
agreement in vessel measurements between the GRASS reconstructions and
conventional MR techniques (BH-MRA: 29.8 +/- 5.6 mm, time-resolved GRASS-MRA:
29.9 +/- 5.4 mm, SSFP diastolic: 29.4 +/- 5.8 mm, cardiac-gated GRASS-MRA
diastolic: 29.5 +/- 5.5 mm, P > 0.87). CONCLUSION: We have demonstrated that the
GRASS acquisition enables flexible reconstruction of the same raw data as both
time-resolved and cardiac-gated volumes. This may enable better interrogation of
anatomy in congenital heart disease.
PMID- 29802645
TI - Trilobatin as an HIV-1 entry inhibitor targeting the HIV-1 Gp41 envelope.
AB - HIV-1 transmembrane protein gp41 plays a crucial role by forming a stable six
helix bundle during HIV entry. Due to highly conserved sequence of gp41, the
development of an effective and safe small-molecule compound targeting gp41 is a
good choice. Currently, natural polyanionic ingredients with anti-HIV activities
have aroused concern. Here, we first discovered that a glycosylated
dihydrochalcone, trilobatin, exhibited broad anti-HIV-1 activity and low
cytotoxicity in vitro. Site-directed mutagenesis analysis suggested that the
hydrophobic residue (I564) located in gp41 pocket-forming site is pivotal for
anti-HIV activity of trilobatin. Furthermore, trilobatin displayed synergistic
anti-HIV activities combined with other antiretroviral agents. Trilobatin has a
good potential to be developed as a small-molecule HIV-1 entry inhibitor for
clinical combination therapy.
PMID- 29802646
TI - Found in Translation: Late Bilinguals Do Automatically Activate Their Native
Language When They Are Not Using It.
AB - In their paper "Do Bilinguals Automatically Activate Their Native Language When
They Are Not Using it?", Costa, Pannunzi, Deco, and Pickering (Cognitive Science,
2017) proposed a reinterpretation of Thierry and Wu's (2004, 2007) finding of
native language-based (Chinese, L1) ERP effects when they tested Chinese-English
late bilinguals exclusively in their second language (English, L2). Using
simulations in a six-node Hebbian learning model (three L1 nodes, three L2
nodes), Costa et al. suggested that form overlaps in L1 between otherwise
unrelated words create a persistent relationship between their L2 translations.
In this scenario, words in the nascent L2 lexicon overlapping in their L1
translations would become linked during learning because of the form overlap in
L1; once the L2 words are learned, the direct link between them would be
sufficient to generate robust, apparently "L1-mediated" priming without requiring
any activation of L1 translations. Costa et al. contend that links between L2
words remain beyond the learning phase, even after links to L1 representations
have been severed, and thus that their model affords an alternative account to
(but not a rebuttal of) Thierry and Wu's claim of language non-selective
activation-or automatic activation of translation equivalents-in late bilinguals.
In this response, we build on Costa et al.'s original simulation code, showing
that it can only reproduce L1-independent priming when implementing the L1
disconnection in their particular way. By contrast, when severing inter-language
connections bidirectionally, their model fails to retain any sizeable influence
of L1 form overlap on L2 activations. The model is not the theory, however, and
we discuss several issues that would need to be addressed in further attempts to
model language non-selective activation in late bilinguals.
PMID- 29802647
TI - Trends in incidence and survival of esophageal cancer in Korea: Analysis of the
Korea Central Cancer Registry Database.
AB - BACKGROUND AND AIM: The diagnostic and therapeutic modalities of esophageal
cancer have recently improved in Asia, and its prognosis is expected to change.
This study provides a population-based report on the epidemiology of esophageal
cancer in Korea. METHODS: Cancer incidence data from 1999 to 2013 were obtained
from the Korea Central Cancer Registry, covering the entire population. Age
standardized incidence rates and annual percent changes were calculated according
to subsites and histological types. Five-year relative survival rates were
estimated for cases diagnosed between 1993 and 2013. Relative excess rates were
compared between patients diagnosed from 2009 to 2013 and 2006 to 2008. RESULTS:
The age-standardized incidence rates decreased from 8.8 per 100 000 populations
in 1999 to 5.9 in 2013 with an annual percent change of -2.6% in men and -2.2% in
women. The most common histological type was squamous cell carcinoma, accounting
for 90.2% of all esophageal cancers in 2013, followed by adenocarcinomas (3.1%),
and their incidences decreased. The proportion of localized and regional cancer
tended to increase compared with that of distant cancer. Five-year relative
survival of squamous cell carcinoma improved from 12.1% (1993-1995) to 34.6%
(2009-2013). Relative excess rate was 0.72 (95% confidence interval 0.65-0.80) in
localized stage and 0.88 (95% confidence interval, 0.82-0.95) in regional stage
comparing patients diagnosed from 2009 to 2013 and 2006 to 2008. CONCLUSIONS: The
incidence of esophageal cancer has decreased in Korea for the past 15 years, and
5-year survival rates have improved significantly. These increases may be
attributable to more effective detection of early-stage disease.
PMID- 29802648
TI - Automated analysis for differentiating leukocytes in body fluids using the
software "biological liquid application" on ADVIA2120/2120i hematology analyzer.
AB - INTRODUCTION: We evaluated the "Biological liquid application ADVIA2120" software
for differentiating the percentage of polymorphonucleated (%PMN) and
mononucleated cells (%MN) in ascitic, pleural, and peritoneal dialysis (PD)
fluid. METHODS: Biological fluid test results of 193 specimens obtained by
automated methods (87 with and 106 without dedicated software) were compared with
May-Grunwald-Giemsa (MGG) stained blood smears. Limit of detection (LoD) and
quantitation (LoQ), repeatability, and inaccuracy were assessed. RESULTS: Good
agreement between the automated methods with dedicated software and the manual
method for %PMN and %MN was obtained for leukocyte differentiation in ascitic and
pleural fluids, while correlation with the manual method for PD fluid was poor,
both with and without the dedicated software. CONCLUSIONS: We demonstrated that
the automated differentiation of leukocytes with dedicated software on the
ADVIA2120 analyzer for body fluids is a good alternative to the microscopic
reference method for peritoneal and pleural specimens, but not for PD fluids.
PMID- 29802649
TI - Effect of endurance versus resistance training on local muscle and systemic
inflammation and oxidative stress in COPD.
AB - Limb muscle dysfunction in patients with COPD may be associated with local muscle
and/or systemic inflammation, and therefore we investigated whether exercise
training altered markers of inflammation and oxidative stress. We obtained vastus
lateralis muscle biopsies and venous blood samples from patients with COPD (n =
30) before and after 8 weeks of resistance training (RT) (n = 15) or endurance
training (ET) (n = 15). Healthy age-matched subjects were included as baseline
controls (n = 8). Inflammatory markers in muscle and systemically were determined
by interleukins (IL), tumour necrosis factor alfa (TNF-alpha), leukocyte
concentration together with immunohistochemical staining for macrophages. Muscle
oxidative stress and antioxidant capacity were determined by NADPH oxidase (NOX)
and superoxide dismutase 2 (SOD2), respectively. Before exercise training, COPD
patients had a higher muscular NOX protein content and circulating IL-8, IL-18,
CRP, and leukocyte levels but a similar number of muscle-infiltrating macrophages
compared with controls. Eight weeks of ET or RT increased muscle SOD2 content
with no difference between groups. Plasma TNF-alpha, increased (P < .05) after ET
and tended to (P = .06) increase after RT, but had no effect on muscular NOX
protein content, number of muscle-infiltrating macrophages, or systemic levels of
other pro-inflammatory cytokines or leukocytes. In patients with COPD, we found
no evidence for muscular inflammation and no effect of exercise training.
However, systemic inflammation was elevated in COPD and both training modalities
induced an upregulation of muscle antioxidant capacity.
PMID- 29802650
TI - Quality of life and psychological distress are differentially associated with
distinct symptom-functional states in terminally ill cancer patients' last year
of life.
AB - OBJECTIVE: Quality of life (QOL) and psychological distress at end of life (EOL)
heavily depend on symptom distress and functional impairment, which may not
deteriorate synchronously at EOL. METHODS: Using multivariate hierarchical linear
modeling, we simultaneously evaluated the differential association of 5
previously identified, worsening conjoint symptom-functional states with QOL,
anxiety symptoms, and depressive symptoms over 317 terminally ill cancer
patients' last year of life. Quality of life, anxiety symptoms, and depressive
symptoms were measured by the McGill Quality of Life Questionnaire and the
Hospital Anxiety and Depression Scale, respectively. RESULTS: Quality of life,
anxiety symptoms, and depressive symptoms deteriorated significantly more for
patients in the 4 worst symptom-functional states (states 2-5) than in the best
state (state 1). Quality of life did not differ significantly among patients in
states 2 to 5. However, patients in state 4 had significantly lower anxiety
symptom levels than patients in states 2, 3, and 5, whose anxiety-symptom levels
did not differ significantly. In contrast, depressive-symptom levels differed
significantly between participants in any 2 of the worst symptom-functional
states, except between participants in states 3 and 5 as well as between those in
states 2 and 4. CONCLUSION: The 5 distinct symptom-functional states contributed
to worsening QOL, anxiety symptoms, and depressive symptoms, but each was
negatively and uniquely associated with psychological well-being in terminally
ill cancer patients' last year of life. CLINICAL IMPLICATIONS: The psychological
well-being and QOL of high-risk patients in states 3 and 5 may be improved at EOL
by targeting them with appropriate symptom management interventions and
facilitating their functioning.
PMID- 29802651
TI - Using high-flow nasal cannulas for infants with bronchiolitis admitted to
paediatric wards is safe and feasible.
AB - AIM: Using a high-flow nasal cannula (HFNC) for infant bronchiolitis is
increasingly common, but insufficiently studied. In this retrospective study, we
examined the outcomes of HFNC and compared infants who did and did not respond to
this oxygen delivery method. METHODS: This 2012-2015 study of six Finnish
hospitals focused on 88 infants under 12 months who received HFNC: 53 on
paediatric wards and 35 in paediatric intensive care units (PICUs). We reviewed
patient files for underlying factors, clinical parameters and HFNC treatment. The
treatment failed if the patient was transferred to another respiratory support.
RESULTS: We found HFNC treatment was successful in 76 (86%) infants, including
all 53 on the paediatric wards and 23/35 PICU patients. The responders' heart
rates were significantly lower, and their oxygen saturation was significantly
higher at 60 minutes after HFNC treatment started and then stayed relatively
constant. Their respiratory rate was only significantly lower after 360 minutes.
In non-responders, the respiratory rate initially decreased but was higher at 180
and 360 minutes after the start of HFNC. CONCLUSION: We found preliminary
evidence that oxygen support needs and heart rate were useful early predictors of
HFNC therapy success in infants hospitalised with bronchiolitis, but respiratory
rate was not.
PMID- 29802653
TI - Serotonin transporter inhibition during neonatal period induces sex-dependent
effects on mitochondrial bioenergetics in the rat brainstem.
AB - The serotonin reuptake is mainly regulated by the serotonin transporters (SERTs),
which are abundantly found in the raphe nuclei, located in the brainstem.
Previous studies have shown that dysfunction in the SERT has been associated with
several disorders, including depression and cardiovascular diseases. In this
manuscript, we aimed to investigate how gender and the treatment with a serotonin
selective reuptake inhibitor (SSRI) could affect mitochondrial bioenergetics and
oxidative stress in the brainstem of male and female rats. Fluoxetine, our chosen
SSRI, was used during the neonatal period (i.e., from postnatal Day 1 to
postnatal Day 21-PND1 to PND21) in both male and female animals. Thereafter,
experiments were conducted in adult rats (60 days old). Our results demonstrate
that, during lactation, fluoxetine treatment modulates the mitochondrial
bioenergetics in a sex-dependent manner, such as improving male mitochondrial
function and female antioxidant capacity.
PMID- 29802652
TI - Help-seeking behaviour in newly diagnosed lung cancer patients: Assessing the
role of perceived stigma.
AB - OBJECTIVE: This study explored help-seeking behaviours, group identification, and
perceived legitimacy of discrimination, and its potential relationship with
perceived lung cancer stigma. METHODS: Consecutive consenting adults (n = 274)
with a primary diagnosis of lung cancer within the previous 4 months were
recruited at 31 outpatient clinics in Australia. A self-report survey assessed
help-seeking, group identification, perceived legitimacy of discrimination, and
perceived lung cancer stigma. RESULTS: Services providing assistance from health
professionals (69.5%) and informational support (68.5%) were more frequently used
than emotional-based support. Only a small proportion (2.6%) of participants were
unlikely to seek help from anyone, with the most popular sources of help being
the general practitioner (91.0%), and oncologist/treating clinician (81.3%). One
fifth (21.1%) indicated they identified with being a lung cancer patient, and
most did not perceive discrimination against lung cancer patients. Higher
perceived lung cancer stigma was significantly associated with greater perceived
legitimacy of discrimination (P < 0.001), but not help-seeking behaviours or
group identification. CONCLUSIONS: The relationship between lung cancer stigma
and perceived legitimacy of discrimination may guide initiatives to reduce stigma
for patients. It is encouraging that perceived stigma did not appear to inhibit
help-seeking behaviours. However, further research in this emerging field is
needed to investigate patterns of perceived stigma and help-seeking over time to
identify how and when to offer support services most appropriate to the needs of
lung cancer patients.
PMID- 29802654
TI - Natural organic matter contained in clay rock pore water: Direct quantification
at the molecular level using electrospray ionization mass spectrometry.
AB - RATIONALE: Natural organic matter (NOM) is present in the environment and could
influence the migration of heavy metals/radionuclides. The dissolved fraction of
NOM (DOM) is usually quantified using total organic carbon analysis or UV-visible
spectrometry. Nonetheless, analysis using pattern recognition cannot provide the
full spectrum of organic molecules contained in waters, especially low-molecular
weight compounds. In the context of nuclear performance assessment studies,
ground waters may contain DOM and a key aspect is to quantify different
categories of NOM types in order to further evaluate the transport and fate of
radionuclides in the environment. METHODS: Thus, a method for the quantification
of DOM at the molecular level was developed, based on electrospray ionization
mass spectrometry (ESI-MS). This method simultaneously gives structural
information on DOM and the individual concentrations of these low-molecular
weight compounds without pretreatment and/or preconcentration of the samples.
RESULTS: Several methods of quantification (internal calibration, calibrated
addition of external standard, sequential tandem mass spectrometry) have been
optimized and successfully applied to real natural samples. They are discussed in
this paper with a focus on acidic compounds, which are the compounds that most
probably could influence the migration of heavy metals and radionuclides in the
clay rock pore water from the French Callovo-Oxfordian (COx) nuclear repository
site. CONCLUSIONS: Quantification of in situ dissolved NOM from the COx has been
performed using ESI-MS. For the first time to our knowledge, it was possible to
give a quite exhaustive and quantitative inventory of the small organic compounds
present without proceeding to any chemical treatment or sample crushing and for
naturally occurring concentrations.
PMID- 29802655
TI - Synthesis of 1-Aminoindenes through Aza-Prins-Type Cyclization.
AB - An acid-catalyzed aza-Prins-type endo cyclization of 2-alkenylbenzaldehydes with
BocNH2 or aniline derivatives through in situ generation of iminium intermediates
has been developed, and various 1-aminoindene derivatives were readily obtained.
The first catalytic asymmetric variant of the present reaction has also been
demonstrated.
PMID- 29802656
TI - Is excision biopsy of fibroadenomas based solely on size criteria warranted?
AB - Fibroadenomas (FA) are the most common benign tumor in the female breast. Most
are managed conservatively provided there is clinical, radiologic, and pathologic
concordance. However, surgical excision is typically recommended for cellular
fibroepithelial lesions or those lesions with clinical, radiologic, or pathologic
features concerning for phyllodes tumor (PT). Some studies have suggested
surgical excision in all FA >30 mm to reduce core needle biopsy (CNB) sampling
errors. The aim of our study was to evaluate, in the absence of any other
concerning clinicopathologic features, whether surgical excision of FA was
warranted based on size criteria alone. Cork University Hospital is a large
academic center in Southern Ireland. Its breast cancer center provides both a
screening and symptomatic service and diagnoses approximately 600 cancers per
year. The breast histopathological data base was reviewed for all CNBs from
January 1, 2010, to June 30, 2015, with a diagnosis of FA that went on to have
excision at our institution. We excluded all cellular fibroepithelial lesions and
those cases with co-existent lobular neoplasia, ductal carcinoma in situ,
invasive carcinoma, atypical ductal hyperplasia, or lesions which would require
excision in their own right. Cases in which the radiologic targeted mass was
discordant with a diagnosis of FA were also excluded. Patient demographics and
preoperative radiologic size and the radiologic target were recorded in each
case. All radiology was reviewed by a breast radiologist prior to inclusion in
the study, and there was histologic radiologic concordance with a diagnosis of FA
in all cases. A total of 12,109 consecutive radiologically guided CNB were
performed January 2010-June 2015; 3438 with a diagnosis of FA were identified of
which 290 cases went on to have surgical excision. Of those 290 cases; 98.28% (n
= 285) were confirmed as FA on excision. The remaining 1.72% (n = 5) had atypical
features-FA with LCIS (n = 1), benign PT (n = 3), and invasive ductal carcinoma
(n = 1). Our study suggests that, excision based solely on size is not warranted
in clinical and radiologically concordant cases with a diagnosis of FA on CNB.
PMID- 29802657
TI - Using generalized estimating equations and extensions in randomized trials with
missing longitudinal patient reported outcome data.
AB - OBJECTIVE: Patient reported outcomes (PROs) are important in oncology research;
however, missing data can pose a threat to the validity of results. Psycho
oncology researchers should be aware of the statistical options for handling
missing data robustly. One rarely used set of methods, which includes extensions
for handling missing data, is generalized estimating equations (GEEs). Our
objective was to demonstrate use of GEEs to analyze PROs with missing data in
randomized trials with assessments at fixed time points. METHODS: We introduce
GEEs and show, with a worked example, how to use GEEs that account for missing
data: inverse probability weighted GEEs and multiple imputation with GEE. We use
data from an RCT evaluating a web-based brain training for cancer survivors
reporting cognitive symptoms after chemotherapy treatment. The primary outcome
for this demonstration is the binary outcome of cognitive impairment. Several
methods are used, and results are compared. RESULTS: We demonstrate that
estimates can vary depending on the choice of analytical approach, with odds
ratios for no cognitive impairment ranging from 2.04 to 5.74. While most of these
estimates were statistically significant (P < 0.05), a few were not. CONCLUSIONS:
Researchers using PROs should use statistical methods that handle missing data in
a way as to result in unbiased estimates. GEE extensions are analytic options for
handling dropouts in longitudinal RCTs, particularly if the outcome is not
continuous.
PMID- 29802658
TI - Analysis of ketone-based neurosteroids by reactive low-temperature plasma mass
spectrometry.
AB - RATIONALE: Neurosteroids are important signalling molecules that modulate
neuronal activity. Their low concentrations and low volatility make neurosteroid
detection and quantification by ambient mass spectrometry challenging. Here we
develop a reactive low-temperature plasma mass spectrometry (LTP-MS) method and
demonstrate its potential for fast screening and quantification of neurosteroids
in mouse brain. METHODS: Ketone-based neurosteroids were analysed with the LTP-MS
method. The plasma of the LTP was heated in order to improve the desorption
efficiency of low-volatility neurosteroids. Methylamine with a concentration of
500 ppbv was employed as the reactive reagent. Neurosteroids in mouse brain
tissue extracts were detected in 70 s with mass errors less than +/-3 ppm due to
coupling of the ion source with a high-performance mass spectrometer. RESULTS:
Reaction between neurosteroids and methylamine, seeded into the LTP gas stream,
resulted in the formation of protonated methylamine-neurosteroid adducts with 5-
to 100-fold abundances, compared to [M + H]+ ions detected in non-reactive LTP
MS. The lowest detectable concentrations of neurosteroid standards were in the
range of ng/mL. Concentrations of neurosteroids in male and female mouse brain
extracts as determined with reactive LTP-MS were on the level of ng/g, comparable
to results obtained with high-performance liquid chromatography-tandem mass
spectrometry. CONCLUSIONS: The developed reactive LTP-MS is capable of providing
sensitive identification and quantification of ketone-based neurosteroids in
mouse brain extracts with minimal sample treatment, and showcases the potential
of reactive LTP-MS as a tool for fast screening of neurosteroid levels in brain.
PMID- 29802659
TI - Mitochondrial complementation: a possible neglected factor behind early
eukaryotic sex.
AB - Sex is ancestral in eukaryotes. Meiotic sex differs from bacterial ways of
exchanging genetic material by involving the fusion of two cells. We examine the
hypothesis that fusion evolved in early eukaryotes because it was directly
beneficial, rather than a passive side effect of meiotic sex. We assume that the
uptake of (proto)mitochondria into eukaryotes preceded the evolution of cell
fusion and that Muller's ratchet operating within symbiont lineages led to the
accumulation of lineage-specific sets of mutations in asexual host cells. We
examine whether cell fusion, and the consequent biparental inheritance of
symbionts, helps to mitigate the effects of this mutational meltdown of
mitochondria. In our model, host cell fitness improves when two independently
evolved mitochondrial strains co-inhabit a single cytoplasm, mirroring
mitochondrial complementation found in modern eukaryotes. If fusion incurs no
cost, we find that an allele coding for fusion can invade a population of
nonfusers. If fusion is costly, there are two thresholds. The first describes a
maximal fusing rate (probability of fusion per round of cell division) that is
able to fix. An allele that codes for a rate above this threshold can reach a
polymorphic equilibrium with nonfusers, as long as the rate is below the second
threshold, above which the fusion allele is counter-selected. Whenever it
evolves, fusion increases the population-wide level of heteroplasmy, which allows
mitochondrial complementation and increases population fitness. We conclude that
beneficial interactions between mitochondria are a potential factor that selected
for cell fusion in early eukaryotes.
PMID- 29802660
TI - Si@C Microsphere Composite with Multiple Buffer Structures for High-Performance
Lithium-Ion Battery Anodes.
AB - In this work, a Si@C microsphere composite with multiple buffer structures is
prepared by hydrothermal treatment to solve the fatal drawbacks of serious
pulverization and low electronic conductivity of Si anodes. By virtue of ferric
citrate being the carefully chosen coating carbon source, the silicon
nanoparticles with a SiOx layer are encapsulated by the homogeneous mesoporous
carbon layer. The SiOx layer with appropriate toughness can primarily suppress
the volume expansion of silicon. The plentiful mesopores in the carbon layer and
the framework formed by carbon nanotubes with good mechanical strength can
effectively buffer and accommodate the volume change of silicon, and greatly
improve the infiltration of the electrolyte to the anode. Meanwhile, the
mesoporous carbon and carbon nanotube network also enhance the conductivity of
the composite. Therefore, the Si@C electrodes exhibit a high initial
charge/discharge capacity of 2956/4197 mAh g-1 at a current density of 0.42 A g-1
, excellent rate capability, and outstanding cycle performance up to 800 cycles
by virtue of the multiple buffer structures.
PMID- 29802661
TI - A Dipyrrin Programmed for Covalent Loading with Fullerenes.
AB - We describe here a di-(beta,beta'-sulfoleno)pyrrin programmed for efficient and
specific beta,beta'-functionalization via [4+2] cycloaddition reactions. At 120
degrees C and in the presence of an excess of C60 -fullerene the di-(beta,beta'
sulfoleno)pyrrin decomposed cleanly, furnishing a di-(beta,beta'-fullereno)pyrrin
and the corresponding monofullereno-dipyrrin in an overall yield of 96 %. Hence,
relatively mild thermolysis of the di-(beta,beta'-sulfoleno)pyrrin induced
stepwise extrusion of two equivalents of SO2 , producing highly reactive dipyrrin
beta,beta'-diene intermediates readily, providing a very effective path to [4+2]
cycloadducts. As presented here by the example of the covalent attachment of C60
fullerene units, a convenient general methodology for the efficient synthesis of
covalent dipyrrin beta,beta'-cycloadducts is made available.
PMID- 29802663
TI - MALDI coupled to modified traveling wave ion mobility mass spectrometry for fast
enantiomeric determination.
AB - In this work, the use of MALDI traveling wave ion mobility spectrometry-mass
spectrometry (MALDI-TWIMS-MS) for stereoselective structural analysis of direct
cleavage and identification of 2-substituted piperidines obtained through solid
phase asymmetric synthesis by using heterogeneous 8-phenylmenthyl-based chiral
auxiliary resins. A strategy for gas-phase chiral and structural characterization
of small molecular weight molecules by using MALDI-IMS-MS technique is discussed.
Because both MALDI and IMS do not directly offer chiral resolution, an easy
methodology by adding a chiral phase is described to carry out in situ online
ion/molecule complexation with different chiral analytes inside the mass
spectrometer. Piperidine enantiomers were resolved, and separation obtained shows
dependence of surface areas. To corroborate this assumption and elucidate the
separation mechanism to accomplish an analytical technique by which fast
determination of the chirality of molecules may be determined for a wide range
organic compound applications, it was performed DFT calculations to determine the
cross-sectional areas of proton-bound dimer complexes. Drift times are affected
by cross-sectional areas, correlating bigger times with bigger molecular volumes
during the ion mobility experiments of proton-bound dimer complexes.
PMID- 29802662
TI - A resolved discrepancy between multiplex PCR and multiplex ligation-dependent
probe amplification by targeted next-generation sequencing discloses a novel
partial exonic deletion in the Duchenne muscular dystrophy gene.
AB - BACKGROUND: The genetic diagnosis of Duchenne muscular dystrophy (DMD) has been
complicated by the large size of the gene and its heterogeneous mutational
spectrum. Multiplex PCR and multiplex ligation-dependent probe amplification
(MLPA) are two well-established mutation screening methods. Here, we applied
targeted next-generation sequencing (NGS) to clarify discrepant results between
multiplex PCR and MLPA in a Chinese patient with DMD. METHODS: MLPA was performed
to confirm multiplex PCR results obtained previously. Targeted NGS was then used
to analyze the full-length DMD gene including introns. RESULTS: Multiplex PCR had
previously identified an apparent deletion of exon 43 in the patient with DMD,
but current MLPA indicated that exon 43 was present. Targeted NGS to clarify the
genetic diagnosis identified a novel mutation, c.6241_c.6290 + 1109del1159insAC,
which caused partial deletion of exon 43. This mutation removed the annealing
sequence of the exon 43 reverse primer in multiplex PCR but had no influence on
the hybridization site of the MLPA probe. Therefore, the discrepancy between the
two methods was caused by partial exonic deletion that escaped MLPA detection.
CONCLUSION: Targeted NGS disclosed a novel partial exonic deletion in the DMD
gene as the cause of discrepancy between multiplex PCR and MLPA. Targeted NGS
could be used to provide a more accurate genetic diagnosis of DMD, particularly
in cases of partial exonic deletions, which will be of benefit in patient
management and the identification of disease carriers.
PMID- 29802664
TI - Finding the one: optimal choosiness under sequential mate choice.
AB - When mates are encountered sequentially, each encounter involves a decision
whether to reject the current suitor and risk not finding a better mate, or to
accept them despite their flaws. I provide a flexible framework for modelling
optimal choosiness when mate encounters occur unpredictably in time. The model
allows for temporal variation in the fitness benefits of mating, including
seasonal breeding conditions, accrual of mate search costs, survival of the
choosing individual or senescence of gametes. The basic optimality framework can
be applied iteratively to obtain mate choice equilibria in dynamically evolving
populations. My model predicts that individuals should be choosier when the
average rate of mate encounters is high, but that choosiness should decline over
time as the likelihood of future mate encounters decreases. When mate encounters
are uncertain, there is a trade-off between reproductive timing and mate choice
(the 'when' and the 'who'). Mate choice may be selected against when reproductive
timing is highly important (e.g. when breeding conditions show a narrow peak in
time). This can even lead to step-shaped mate choice functions, where individuals
abruptly switch from rejecting to accepting all suitors as peak breeding
conditions approach. The model contributes to our understanding of why
individuals may not express mate preferences, even when there is substantial
variation in mate quality.
PMID- 29802665
TI - Rapid detection of viable Legionella pneumophila in tap water by a qPCR and RT
PCR-based method.
AB - AIMS: A molecular method for a rapid detection of viable Legionella pneumophila
of all serogroups in tap water samples was developed as an alternative to the
reference method (ISO). Legionellae are responsible for Legionnaires' disease, a
severe pneumonia in humans with high lethality. METHODS AND RESULTS: The
developed method is based on a nutritional stimulation and detection of an
increase in precursor 16S rRNA as an indicator for viability. For quantification,
DNA was detected by qPCR. This method was compared to the ISO method using water
samples obtained from public sports facilities in Switzerland. The sensitivity
and specificity were 91 and 97%, respectively, when testing samples for
compliance with a microbiological criterion of 1000 cell equivalents per l.
CONCLUSION: The new method is sensitive and specific for Leg. pneumophila and
allows results to be obtained within 8 h upon arrival, compared to one week or
more by the ISO method. SIGNIFICANCE AND IMPACT OF THE STUDY: The method
represents a useful tool for a rapid detection of viable Leg. pneumophila of all
serogroups in water by molecular biology. It can be used as an alternative to the
ISO method for official water analysis for legionellae and particularly when a
short test time is required.
PMID- 29802666
TI - Basolateral amygdala, nicotinic cholinergic receptors, and nicotine:
Pharmacological effects and addiction in animal models and humans.
AB - The amygdala is involved in processing incoming information about rewarding
stimuli and emotions that denote danger such as anxiety and fear. Bi-directional
neural connections between basolateral amygdala (BLA) and brain regions such as
nucleus accumbens, prefrontal cortex, hippocampus, and hindbrain regions regulate
motivation, cognition, and responses to stress. Altered local regulation of BLA
excitability is pivotal to the behavioral disturbances characteristic of
posttraumatic stress disorder, and relapse to drug use induced by stress. Herein,
we review the physiological regulation of BLA by cholinergic inputs, emphasizing
the role of BLA nicotinic receptors. We review BLA-dependent effects of nicotine
on cognition, motivated behaviors, and emotional states, including memory, taking
and seeking drugs, and anxiety and fear in humans and animal models. The
alterations in BLA activity observed in animal studies inform human behavioral
and brain imaging research by enabling a more exact understanding of altered BLA
function. Converging evidence indicates that cholinergic signaling from basal
forebrain projections to local nicotinic receptors is an important physiological
regulator of BLA and that nicotine alters BLA function. In essence, BLA is
necessary for behavioral responses to stimuli that evoke anxiety and fear;
reinstatement of cue-induced drug seeking; responding to second-order cues
conditioned to abused drugs; reacquisition of amplified nicotine self
administration due to chronic stress during abstinence; and to promote responding
for natural reward.
PMID- 29802667
TI - Chemoenzymatic Assembly of Mammalian O-Mannose Glycans.
AB - O-Mannose glycans account up to 30 % of total O-glycans in the brain. Previous
synthesis and functional studies have only focused on the core M3 O-mannose
glycans of alpha-dystroglycan, which are a causative factor for various muscular
diseases. In this study, a highly efficient chemoenzymatic strategy was developed
that enabled the first collective synthesis of 63 core M1 and core M2 O-mannose
glycans. This chemoenzymatic strategy features the gram-scale chemical synthesis
of five judiciously designed core structures, and the diversity-oriented
modification of the core structures with three enzyme modules to provide 58
complex O-mannose glycans in a linear sequence that does not exceed four steps.
The binding profiles of synthetic O-mannose glycans with a panel of lectins,
antibodies, and brain proteins were also explored by using a printed O-mannose
glycan array.
PMID- 29802668
TI - Stepwise Methane-to-Methanol Conversion on CuO/SBA-15.
AB - The direct partial oxidation of methane to methanol is a challenging scientific
and economical objective to expand the application of this abundant fuel gas as a
major resource for one-step production of value-added chemicals. Despite
substantial efforts to commercialize this synthetic route, to date no
heterogeneous catalyst can selectively oxidize methane to methanol by O2 with an
economically acceptable conversion. Cu-exchanged zeolites have been recently
highlighted as one of the most promising bioinspired catalysts toward the direct
production of methanol from methane under mild conditions. In this work, Cu-based
catalysts were prepared using mesoporous silica SBA-15 as an alternative support
and their activity for this conversion was investigated. The results demonstrate
that highly dispersed CuO species on SBA-15 are able to react with methane and
subsequently produce methanol with high selectivity (>84 %) through water
assisted extraction. Furthermore, it was confirmed that the main intermediate
formed after interaction of the catalyst with methane is a methoxyl species,
which can be further converted to methanol or dimethyl ether on extraction with
water or methanol, respectively.
PMID- 29802669
TI - Imported edible leaves collected at retail sale in England during 2017 with an
emphasis on betel and curry leaves: microbiological quality with respect to
Salmonella, Shiga-toxin-producing E. coli (STEC) and levels of Escherichia coli.
AB - AIMS: To investigate the microbiological quality of imported fresh leaves on
retail sale during 2017 with respect to Salmonella, Shiga-toxin-producing
Escherichia coli (STEC) and levels of E. coli. METHODS AND RESULTS: Two hundred
and seventy-nine samples of imported edible leaves (69 banana, 77 betel, 118
curry and 15 other types) were tested. Salmonella spp. were confirmed by whole
genome sequencing and isolated from 44 samples, 26% from curry leaves, 14% from
betel and 2.4% from all other leaf types: 80% of all samples contained >=102 ,
44% >=103 and 22% >=104 CFU of E. coli CFU per g. All samples where Salmonella
were detected also yielded >=20 CFU of E. coli/g. 54 samples were tested for STEC
which was detected in six samples and isolated from three: one was identified as
STEC O157:H7. CONCLUSIONS: This report further highlights an ongoing problem of
Salmonella contamination of imported fresh edible leaves. SIGNIFICANCE AND IMPACT
OF THE STUDY: Among all food tested by Public Health England (approximately 11
000 per annum), curry leaves were the herb most commonly contaminated with
Salmonella, and betel leaves were the most commonly contaminated ready-to-eat
food. The high proportion with unsatisfactory E. coli levels and the detection of
STEC suggests risks of contamination by multiple enteric pathogens.
PMID- 29802670
TI - Reliability and main findings of the flexible endoscopic evaluation of swallowing
Tensilon test in patients with myasthenia gravis and dysphagia.
AB - BACKGROUND AND PURPOSE: Diagnosis of pharyngeal dysphagia caused by myasthenia
gravis (MG) based on clinical examination alone is often challenging. Flexible
endoscopic evaluation of swallowing (FEES) combined with Tensilon (edrophonium)
application, referred to as the FEES-Tensilon test, was developed to improve
diagnostic accuracy and to detect the main symptoms of pharyngeal dysphagia in
MG. Here we investigated inter- and intra-rater reliability of the FEES-Tensilon
test and analyzed the main endoscopic findings. METHODS: Four experienced raters
reviewed a total of 20 FEES-Tensilon test videos in randomized order. Residue
severity was graded at four different pharyngeal spaces before and after Tensilon
administration. All interpretations were performed twice per rater, 4 weeks apart
(a total of 160 scorings). Intra-rater test-retest reliability and inter-rater
reliability levels were calculated. RESULTS: The most frequent FEES findings in
patients with MG before Tensilon application were prominent residues of semi
solids spread all over the hypopharynx in varying locations. The reliability
level of the interpretation of the FEES-Tensilon test was excellent regardless of
the rater's profession or years of experience with FEES. All four raters showed
high inter- and intra-reliability levels in interpreting the FEES-Tensilon test
based on residue clearance (kappa = 0.922, 0.981). The degree of residue
normalization in the vallecular space after Tensilon application showed the
highest inter- and intra-rater reliability level (kappa = 0.863, 0.957) followed
by the epiglottis (kappa = 0.813, 0.946) and pyriform sinuses (kappa = 0.836,
0.929). CONCLUSION: Interpretation of the FEES-Tensilon test based on residue
severity and degree of Tensilon clearance, especially in the vallecular space, is
consistent and reliable.
PMID- 29802671
TI - Timing of repetition suppression of event-related potentials to unattended
objects.
AB - Current theories of object perception emphasize the automatic nature of
perceptual inference. Repetition suppression (RS), the successive decrease of
brain responses to repeated stimuli, is thought to reflect the optimization of
perceptual inference through neural plasticity. While functional imaging studies
revealed brain regions that show suppressed responses to the repeated
presentation of an object, little is known about the intra-trial time course of
repetition effects to everyday objects. Here, we used event-related potentials
(ERPs) to task-irrelevant line-drawn objects, while participants engaged in a
distractor task. We quantified changes in ERPs over repetitions using three
general linear models that modeled RS by an exponential, linear, or categorical
"change detection" function in each subject. Our aim was to select the model with
highest evidence and determine the within-trial time-course and scalp
distribution of repetition effects using that model. Model comparison revealed
the superiority of the exponential model indicating that repetition effects are
observable for trials beyond the first repetition. Model parameter estimates
revealed a sequence of RS effects in three time windows (86-140, 322-360, and 400
446 ms) and with occipital, temporoparietal, and frontotemporal distribution,
respectively. An interval of repetition enhancement (RE) was also observed (320
340 ms) over occipitotemporal sensors. Our results show that automatic processing
of task-irrelevant objects involves multiple intervals of RS with distinct scalp
topographies. These sequential intervals of RS and RE might reflect the short
term plasticity required for optimization of perceptual inference and the
associated changes in prediction errors and predictions, respectively, over
stimulus repetitions during automatic object processing.
PMID- 29802672
TI - Interacting effects of predation risk and resource level on escape speed of
amphibian larvae along a latitudinal gradient.
AB - Fast-growing genotypes living in time-constrained environments are often more
prone to predation, suggesting that growth-predation risk trade-offs are
important factors maintaining variation in growth along climatic gradients.
However, the mechanisms underlying how fast growth increases predation-mediated
mortality are not well understood. Here, we investigated if slow-growing, low
latitude individuals have faster escape swimming speed than fast-growing high
latitude individuals using common frog (Rana temporaria) tadpoles from eight
populations collected along a 1500 km latitudinal gradient. We measured escape
speed in terms of burst and endurance speeds in tadpoles raised in the laboratory
at two food levels and in the presence and absence of a predator (Aeshna
dragonfly larvae). We did not find any latitudinal trend in escape speed
performance. In low food treatments, burst speed was higher in tadpoles reared
with predators but did not differ between high-food treatments. Endurance speed,
on the contrary, was lower in high-food tadpoles reared with predators and did
not differ between treatments at low food levels. Tadpoles reared with predators
showed inducible morphology (increased relative body size and tail depth), which
had positive effects on speed endurance at low but not at high food levels. Burst
speed was positively affected by tail length and tail muscle size in the absence
of predators. Our results suggest that escape speed does not trade-off with fast
growth along the latitudinal gradient in R. temporaria tadpoles. Instead, escape
speed is a plastic trait and strongly influenced by the interaction between
resource level and predation risk.
PMID- 29802673
TI - Parental questionnaire study showed that annular ligament displacement was common
in three-year-old children and almost a half had reoccurring episodes.
AB - AIM: This study sought to determine the incidence of annular ligament
displacement (ALD), also known as nursemaid's elbow, in the first 3 years of
life. METHODS: A questionnaire was sent to 1098 families between August 2014 and
July 2015 before their child attended a routine health check at 3 years of age in
Izumo, Shimane prefecture, Japan. The questionnaire included a brief description
about ALD, the age when ALD occurred and how the condition was managed. RESULTS:
We received 784 (71.4%) responses and these showed that 61 (7.8%) children had a
history of ALD and 31 (51%) were girls. The incidence was 2.6%, calculated by
multiplying the number of children by the 3-year observation period. The mean and
median ages of the first ALD occurrence were both 25 months. In addition, 28
(46%) children with ALD had a recurrence and the mean number of ALD episodes was
1.8 (range 1-5). The total number of ALD episodes was 108, and of these, 33 (30%)
were seen at the hospital emergency department and 17 (16%) spontaneously
resolved. CONCLUSION: This study confirmed that ALD was a common occurrence in 3
year-old children and that there was a high rate of recurrence.
PMID- 29802675
TI - Response to the Letter to the Editor.
PMID- 29802674
TI - Course and predictors of supportive care needs among Mexican breast cancer
patients: A longitudinal study.
AB - OBJECTIVE: This study examined the course and predictors of supportive care needs
among Mexican breast cancer patients for different cancer treatment trajectories.
METHODS: Data from 172 (66.4% response rate) patients were considered in this
observational longitudinal study. Participants were measured after diagnosis,
neoadjuvant treatment, surgery, adjuvant treatment, and the first post-treatment
follow-up visit. Psychological, Health System and Information, Physical and Daily
Living, Patient Care and Support, Sexual, and Additional care needs were measured
with the Supportive Care Needs Survey (SCNS-SF34). Linear mixed models with
maximum-likelihood estimation were computed. RESULTS: The course of supportive
care needs was similar across the different cancer treatment trajectories.
Supportive care needs declined significantly from diagnosis to the first post
treatment follow-up visit. Health System and Information care needs were the
highest needs over time. Depressive symptoms and time since diagnosis were the
most consistent predictors of changes in course of supportive care needs of these
patients. CONCLUSIONS: Health system and information care needs of Mexican breast
cancer patients need to be addressed with priority because these needs are the
least met. Furthermore, patients with high depressive symptoms at the start of
the disease trajectory have greater needs for supportive care throughout the
disease trajectory.
PMID- 29802676
TI - Air pollution: an important threat to infant health.
PMID- 29802677
TI - Pure Organic Persistent Room-Temperature Phosphorescence at both Crystalline and
Amorphous States.
AB - Persistent room-temperature phosphorescence (p-RTP) of pure organic materials is
attracting increasing attention. The design of efficient phosphors and
understanding the origin of p-RTP, however, remain challenging. Herein, to gain
further insights into pure organic p-RTP, we prepared a group of carbazole (CZ)
and methyl benzoate (MBA) conjugates with a methyl ester unit at the para (4
MBACZ), meta (3-MBACZ), and ortho (2-MBACZ) sites. These isomers merely produce
prompt fluorescence in solutions, but generate blue prompt/delayed fluorescence
(DF) and orange p-RTP with lifetimes up to 865.2 ms in the crystalline state.
Lifetimes of p-RTP are in the order of 2-MBACZ>3-MBACZ>4-MBACZ, which might be
mainly ascribed to the combined effect of packing density, intermolecular
interactions and steric hindrance. Meanwhile, upon mechanical grinding, while the
emission color and profile of the luminogens do not significantly change, no (4
MBACZ) or shortened (3-MBACZ, 2-MBACZ) p-RTP is observed, accompanying the change
from crystalline to amorphous states. Such p-RTP at amorphous states without
external hosts is rarely reported, which demonstrates important implications for
the molecular design and mechanism understanding towards p-RTP. Furthermore,
their p-RTP attribute and different emission colors before and after ceasing the
UV irradiation endow them promising applications in encryption and
anticounterfeiting fields.
PMID- 29802678
TI - Otitis media with effusion in aspirin-exacerbated respiratory disease patients-A
series of 22 cases.
PMID- 29802679
TI - Soluble CD163 correlates with lipid metabolic adaptations in type 1 diabetes
patients during ketoacidosis.
AB - INTRODUCTION: Diabetic ketoacidosis (DKA) is associated with inflammation and
increased lipolysis. The macrophage activation marker, soluble CD163 (sCD163), is
associated with obesity, non-alcoholic fatty liver disease and type 2 diabetes.
We aimed to investigate whether sCD163 correlates with key elements of lipolysis
in type 1 diabetes patients during mild DKA. MATERIALS AND METHODS: We
investigated nine patients with type 1 diabetes twice during: (i) euglycemic
control conditions and a bolus of saline; and (ii) hyperglycemic ketotic
conditions induced by lipopolysaccharide administration combined with insulin
deprivation. Blood samples, indirect calorimetry, palmitate tracer and adipose
tissue biopsies were used to investigate lipid metabolism. RESULTS: We observed a
significant increase in plasma sCD163 levels after lipopolysaccharide exposure (P
< 0.001). Concentrations of sCD163 were positively correlated with plasma
concentrations of free fatty acids, palmitate rate of appearance and lipid
oxidation rates, and negatively correlated to the expression of G0/G1 switch 2
gene messenger ribonucleic acid content in adipose tissue (P < 0.01 for all).
Furthermore, sCD163 levels correlated positively with plasma peak concentrations
of cortisol, glucagon, tumor necrosis factor-alpha, interleukin-6 and interleukin
10 (P < 0.01 for all). Data on lipolysis and inflammation have previously been
published. CONCLUSIONS: Macrophage activation assessed by sCD163 might play an
important role in DKA, as it correlates strongly with important components of
lipid metabolism including free fatty acids, palmitate, lipid oxidation, G0/G1
switch 2 gene and pro-inflammatory cytokines during initial steps of DKA. These
results are novel and add important knowledge to the field of DKA.
PMID- 29802681
TI - Lone Star retractor for endoscopic ear surgery.
PMID- 29802680
TI - Brain-derived neurotrophic factor acts at neurons of the subfornical organ to
influence cardiovascular function.
AB - Brain-derived neurotrophic factor (BDNF), a neurotrophin traditionally associated
with neural plasticity, has more recently been implicated in fluid balance and
cardiovascular regulation. It is abundantly expressed in both the central nervous
system (CNS) and peripheral tissue, and is also found in circulation. Studies
suggest that circulating BDNF may influence the CNS through actions at the
subfornical organ (SFO), a circumventricular organ (CVO) characterized by the
lack of a normal blood-brain barrier (BBB). The SFO, well-known for its
involvement in cardiovascular regulation, has been shown to express BDNF mRNA and
mRNA for the TrkB receptor at which BDNF preferentially binds. This study was
undertaken to determine if: (1) BDNF influences the excitability of SFO neurons
in vitro; and (2) the cardiovascular consequences of direct administration of
BDNF into the SFO of anesthetized rats. Electrophysiological studies revealed
that bath application of BDNF (1 nmol/L) influenced the excitability of the
majority of neurons (60%, n = 13/22), the majority of which exhibited a membrane
depolarization (13.8 +/- 2.5 mV, n = 9) with the remaining affected cells
exhibiting hyperpolarizations (-11.1 +/- 2.3 mV, n = 4). BDNF microinjections
into the SFO of anesthetized rats caused a significant decrease in blood pressure
(mean [area under the curve] AUC = -364.4 +/- 89.0 mmHg * sec, n = 5) with no
effects on heart rate (mean AUC = -12.2 +/- 3.4, n = 5). Together these
observations suggest the SFO to be a CNS site at which circulating BDNF could
exert its effects on cardiovascular regulation.
PMID- 29802682
TI - Origins and structural properties of novel and de novo protein domains during
insect evolution.
AB - Over long time scales, protein evolution is characterized by modular
rearrangements of protein domains. Such rearrangements are mainly caused by gene
duplication, fusion and terminal losses. To better understand domain emergence
mechanisms we investigated 32 insect genomes covering a speciation gradient
ranging from ~ 2 to ~ 390 mya. We use established domain models and foldable
domains delineated by hydrophobic cluster analysis (HCA), which does not require
homologous sequences, to also identify domains which have likely arisen de novo,
that is, from previously noncoding DNA. Our results indicate that most novel
domains emerge terminally as they originate from ORF extensions while fewer arise
in middle arrangements, resulting from exonization of intronic or intergenic
regions. Many novel domains rapidly migrate between terminal or middle positions
and single- and multidomain arrangements. Young domains, such as most HCA-defined
domains, are under strong selection pressure as they show signals of purifying
selection. De novo domains, linked to ancient domains or defined by HCA, have
higher degrees of intrinsic disorder and disorder-to-order transition upon
binding than ancient domains. However, the corresponding DNA sequences of the
novel domains of de novo origins could only rarely be found in sister genomes. We
conclude that novel domains are often recruited by other proteins and undergo
important structural modifications shortly after their emergence, but evolve too
fast to be characterized by cross-species comparisons alone.
PMID- 29802683
TI - Sprouted and Freeze-Dried Wheat and Oat Seeds - Phytochemical Profile and in
Vitro Biological Activities.
AB - This research was carried out to study phytochemical profile, in vitro
antioxidant capacity, reducing power, anti-hyperglycemic, anti-inflammatory
activities and simulated gastrointestinal digestion of 7-day old cereal sprouts:
spelt wheat 'Nirvana' (WSSpe), wheat 'Simonida' (WSSim), oat 'Golozrni' (OSG) and
oat 'Jadar' (OSJ). OSG expressed significantly higher (P <= 0.05) total phenols
(TPC) and flavonoids content (TFC), antioxidant capacities (DPPH and ABTS assays)
and reducing power (EC50DPPH = 2.12 mg/ml; EC50ABTS = 0.87 mg/ml; EC0.5RP =
12.24 mg/ml) as well as anti-hyperglycemic activity (EC50AHgA = 0.96 mg/ml).
WSSpe had the highest content of chlorophyll (131.23 mg/100 g) and carotenoids
(22.84 mg/100 g). WSSim possessed the most potent anti-inflammatory activity
(2.71 mg/ml), though not significantly different from OSG (2.77 mg/ml). The in
vitro simulation of gastro-intestinal digestion showed higher release of phenolic
compounds in intestinal than in gastric fluid.
PMID- 29802684
TI - CRISPR/Cas9-mediated specific integration of fat-1 at the goat MSTN locus.
AB - Recent advances in understanding the CRISPR/Cas9 system have provided a precise
and versatile approach for genome editing in various species. However, no study
has reported simultaneous knockout of endogenous genes and site-specific knockin
of exogenous genes in large animal models. Using the CRISPR/Cas9 system, this
study specifically inserted the fat-1 gene into the goat MSTN locus, thereby
achieving simultaneous fat-1 insertion and MSTN mutation. We introduced the Cas9,
MSTN knockout small guide RNA and fat-1 knockin vectors into goat fetal
fibroblasts by electroporation, and obtained a total of 156 positive clonal cell
lines. PCR and sequencing were performed for identification. Of the 156 clonal
strains, 40 (25.6%) had simultaneous MSTN knockout and fat-1 insertion at the
MSTN locus without drug selection, and 55 (35.25%) and 101 (67.3%) had MSTN
mutations and fat-1 insertions, respectively. We generated a site-specific
knockin Arbas cashmere goat model using a combination of CRISPR/Cas9 and somatic
cell nuclear transfer for the first time. For biosafety, we mainly focused on
unmarked and non-resistant gene screening, and point-specific gene editing. The
results showed that simultaneous editing of the two genes (simultaneous knockout
and knockin) was achieved in large animals, demonstrating that the CRISPR/Cas9
system has the potential to become an important and applicable gene engineering
tool in safe animal breeding.
PMID- 29802685
TI - The enhancement of osseointegration using a graphene
oxide/chitosan/hydroxyapatite composite coating on titanium fabricated by
electrophoretic deposition.
AB - Titanium (Ti) has been commonly used as an implant material in dentistry and bone
surgery for several decades. Meanwhile, surface modification of titanium can
enhance the osseointegration of implants. In this study, a graphene
oxide/chitosan/hydroxyapatite (GO/CS/HA) composite coating was fabricated by
electrophoretic deposition on Ti substrates. Subsequently, the surface
morphology, phase composition, wettability, and bonding strength of this
composite coating were researched. Additionally, in vitro cytological examination
was performed, including evaluations of cell adhesion, cell viability, cell
differentiation, cell mineralization, and osteogenetic factor expression.
Finally, the in vivo osteogenetic properties were evaluated through an animal
study, including a histological analysis, a microcomputed tomography, and
biomechanical tests. The results showed that a homogeneous and crack-free
GO/CS/HA composite coating was coated on Ti, and the wettability and bonding
strength of the GO/CS/HA composite coating were enhanced compared with HA, GO/HA,
and CS/HA coatings. Furthermore, the GO/CS/HA coating greatly heightened the cell
material interactions in vitro. Additionally, this GO/CS/HA-Ti implant could
enhance osseointegration in vivo. Consequently, GO/CS/HA-Ti may have potential
applications in the field of dental implants. (c) 2018 Wiley Periodicals, Inc. J
Biomed Mater Res Part B: Appl Biomater, 2018.
PMID- 29802686
TI - Barriers to improving tracheostomy care in low- and middle-income countries: Our
experience of a 23 patient closed loop audit cycle.
PMID- 29802687
TI - A New Class of 1-Aryl-5,6-dihydropyrrolo[2,1-a]isoquinoline Derivatives as
Reversers of P-Glycoprotein-Mediated Multidrug Resistance in Tumor Cells.
AB - A number of aza-heterocyclic compounds, which share the 5,6-dihydropyrrolo[2,1
a]isoquinoline (DHPIQ) scaffold with members of the lamellarin alkaloid family,
were synthesized and evaluated for their ability to reverse in vitro multidrug
resistance in cancer cells through inhibition of P-glycoprotein (P-gp) and/or
multidrug-resistance-associated protein 1. Most of the investigated DHPIQ
compounds proved to be selective P-gp modulators, and the most potent modulator,
8,9-diethoxy-1-(3,4-diethoxyphenyl)-3-(furan-2-yl)-5,6-dihydropyrrolo[2,1
a]isoquinoline-2-carbaldehyde, attained sub-micromolar inhibitory potency (IC50 :
0.19 MUm). Schiff bases prepared by the condensation of some 1-aryl-DHPIQ
aldehydes with p-aminophenol also proved to be of some interest, and one of them,
4-((1-(4-fluorophenyl)-5,6-dihydro-8,9-dimethoxypyrrolo[2,1-a]isoquinolin-2
yl)methyleneamino)phenol, had an IC50 value of 1.01 MUm. In drug combination
assays in multidrug-resistant cells, some DHPIQ compounds, at nontoxic
concentrations, significantly increased the cytotoxicity of doxorubicin in a
concentration-dependent manner. Studies of structure-activity relationships and
investigation of the chemical stability of Schiff bases provided physicochemical
information useful for molecular optimization of lamellarin-like cytotoxic drugs
active toward chemoresistant tumors as well as nontoxic reversers of P-gp
mediated multidrug resistance in tumor cells.
PMID- 29802689
TI - High prevalence of syphilis in parturient women and congenital syphilis cases in
public maternities in Salvador-Bahia, Brazil.
PMID- 29802688
TI - Efficacy and safety of alirocumab among individuals with diabetes mellitus and
atherosclerotic cardiovascular disease in the ODYSSEY phase 3 trials.
AB - AIMS: Individuals with both diabetes mellitus (DM) and atherosclerotic
cardiovascular disease (ASCVD) are at very high risk of cardiovascular events.
This post-hoc analysis evaluated efficacy and safety of the PCSK9 inhibitor
alirocumab among 984 individuals with DM and ASCVD pooled from 9 ODYSSEY Phase 3
trials. MATERIALS AND METHODS: Changes in low-density lipoprotein cholesterol
(LDL-C) and other lipids from baseline to Week 24 were analysed (intention-to
treat) in four pools by alirocumab dosage (150 mg every 2 weeks [150] or 75 mg
with possible increase to 150 mg every 2 weeks [75/150]), control
(placebo/ezetimibe) and background statin usage (yes/no). RESULTS: At Week 24,
LDL-C changes from baseline in pools with background statins were -61.5% with
alirocumab 150 (vs -1.0% with placebo), -46.4% with alirocumab 75/150 (vs +6.3%
with placebo) and -48.7% with alirocumab 75/150 (vs -20.6% with ezetimibe), and
54.9% with alirocumab 75/150 (vs +4.0% with ezetimibe) without background
statins. A greater proportion of alirocumab recipients achieved LDL-C < 70 and <
55 mg/dL at Week 24 vs controls. Alirocumab also resulted in significant
reductions in non-high-density lipoprotein cholesterol, apolipoprotein B and
lipoprotein(a) vs controls. Alirocumab did not appear to affect glycaemia over 78
104 weeks. Overall safety was similar between treatment groups, with a higher
injection-site reaction frequency (mostly mild) with alirocumab. CONCLUSION:
Alirocumab significantly reduced LDL-C and other atherogenic lipid parameters,
and was generally well tolerated in individuals with DM and ASCVD.
PMID- 29802690
TI - Therapeutic Use of Metformin in Diabetes and Survival Outcomes in Endometrial
Cancer Patients with Diabetes
AB - Objectives: To compare survival outcomes between endometrial cancer (EC) patients
with diabetes who usedmetformin to those who did not use metformin. Materials and
Methods: A retrospective cohort study was conductedof EC patients who were
diabetes at the time of their cancer diagnosis and had been scheduled for
elective surgeryat Rajavithi Hospital between 1 January 2003 and 31 December
2013. The patients were excluded if they had typeI diabetes mellitus and a
history of other cancers. Results: Of 1,262 EC patients in the study period,
there was 212(16.8%) patients who met the inclusion criteria. Among them, 90
(42.5%) were non-metformin users and 122 (57.5%)were metformin users. With a
median follow-up of 47 months, the 5-year overall survivals (76.4% vs 77.9%,
p=0.959)and the 5-year progression-free survivals (92.6% vs 84.7%, p=0.091) did
not significantly differ between the bothgroups. On Cox proportional-hazards
regression analysis, independent prognostic factors for overall survival (OS)were
FIGO stage, depth of myometrial invasion, and cervical involvement. Patients with
non-endometrioid histologyand advanced stage were found to have a significant
effect on progression-free survival (PFS). However, metforminused did not predict
either OS (HR, 0.99; 95%CI, 0.56-1.73; p=0.959) or PFS (HR, 2.19; 95%CI, 0.86
5.55; p=0.099).Conclusion: Overall, a significant effect of metformin on survival
outcomes in EC patients with diabetes was not foundin the current study. Larger
studies with a prospective randomized control design are needed to clarify the
benefit ofmetformin as a strategy for endometrial cancer prevention and
treatment.
PMID- 29802691
TI - Pediatric Palliative Care in Iran: Applying Regionalization of Health Care
Systems
AB - Background: Establishing palliative care services is a priority in the health
system of Iran. Considering the necessityof integrating these services into the
health system, this study aimed to explore the stakeholders' perceptions about
theprovision of a conceptual framework for palliative care services for children
with cancer according to the health systemin of Iran. Methods: The present
qualitative study was conducted through in-depth semi-structured interviews
heldwith 29 participants including palliative care specialists, policy-makers,
health care providers, the parents of childrenwith cancer selected through
purposive sampling, between August 2016 and February 2017. Interviews
continueduntil saturation of data. All interviews were recorded, transcribed and
analyzed using MAXQDA10 software. Results:The codes extracted from interviews
produced the main theme " classes of palliative care services" with the two
maincategories "comprehensive care" including, strengthening family shelter,
maintaining the child in a familiar environment,achieving stability and
"establishing social justice" including, easy access to services, financial
relief and quality care.Conclusion: Presenting a framework based on level of
palliative care services, the findings of this study paves the wayfor integrating
these services into Iranian health system.
PMID- 29802692
TI - Association of Two CD44 Polymorphisms with Clinical Outcomes of Gastric Cancer
Patients
AB - Objective: CD44 is an important cell adhesion molecule that plays a key role in
growth, invasion, proliferation andmetastasis of cancer cells. CD44 protein over
expression is associated with a poor prognosis of gastric cancer (GC) andprevious
studies have shown that CD44 gene polymorphisms could affect survival and
recurrence. In this study, wetested the hypothesis that polymorphisms impacting
on the CD44 signaling pathway may predict clinical outcomes inpatients with GC.
Materials and Methods: DNA was extracted from blood of 150 healthy individuals
and formalin-fixedparaffin-embedded (FFPE) tumor tissue of 150 patients. The two
polymorphisms rs187116 and rs7116432 werestudied by RFLP-PCR and sequencing
techniques. Results: There was a strong significant correlation between
singlenucleotide polymorphisms (SNPs) in the CD44 gene, tumor recurrence, and
overall survival (p <0.0001). The existenceof a significant relationship between
tumor recurrence and overall survival was proved in this study, with at least
oneallele G for the polymorphism rs187116 and at least one allele A for
polymorphism rs7116432. Conclusion: These resultsprovide evidence of a
relationship between CD44 gene polymorphisms and clinical outcomes in our GC
patients.This result could help identify individuals with GC who have a high risk
of tumor recurrence.
PMID- 29802693
TI - Model for Prediction of Optimal Debulking of Epithelial Ovarian Cancer
AB - Background: Primary cytoreduction surgery followed by chemotherapy is the
cornerstone treatment for epithelialovarian cancer (EOC). In patients with a low
probability of optimal primary surgical debulking, neoadjuvantchemotherapy (NACT)
followed by interval debulking increases the chance of optimal surgery. The aim
of this studywas to develop a model to identify preoperative predictors for
suboptimal cytoreduction. Methods: Medical recordsof patients with EOC who
underwent primary cytoreductive surgery in a referral tertiary gyneco-oncology
centerwere reviewed from 2007 to 2017. Data were collected on a range of
characteristics including demographic features,comorbidities, serum tumor
markers, hematologic markers, preoperative imaging, surgical procedures, and
pathologicreports. Univariate and multivariate analyses were performed to clarify
the ability of preoperative factors to predictsuboptimal primary surgery.
Results: The majority of patients (71.3%) who underwent primary cytoreductive
surgerywere optimally debulked. Based on the Youden index, the best cut-off point
for the serum CA125 level to distinguishsuboptimal debulking was 420U/ml with
0.730 (95%CI:0.559 to 0.862) sensitivity and 0.783 (0.684 to 0.862)
specificity.Multiple logistic regression results showed that serum CA125 level
>420 U/ ml (p value <0.001), the presence of livermetastasis on preoperative
imaging (p value: 0.041) and ascites (p value: 0.032) or massive ascites (p
value:0.010)significantly increased the risk of suboptimal debulking (logit p =
2.36 CA125 level +1.85 Liverinvolvement +1.68presence of Ascites+ 2.28 Massive
Ascites). Conclusion:The present study suggests that a serum CA125 level >420
U/ml,the presence of ascites or massive ascites and liver metastasis are strong
predictors of suboptimal primary surgery incases of EOC. Based on the constructed
model, with any of these 4 factors, the probability of suboptimal debulking inEOC
is more than 80%.
PMID- 29802694
TI - Detection of Human Papilloma Virus and Risk Factors among Patients with Head and
Neck Squamous Cell Carcinoma Attending a Tertiary Referral Centre in South India
AB - Background: Head and Neck Squamous Cell Carcinomas (HNSCC) is the sixth most
common cancer globally. InIndia, on an average 25-30% of all cancer cases affect
the head and neck. The etiological factors associated with HNSCCare tobacco,
alcohol and environmental carcinogens. However there are few cases, where there
are no obvious riskfactors involved. In western counties, there are many reports
of human papilloma virus (HPV) association with HNSCC.Hence, we conducted a study
to determine the role of HPV infection and risk factors among patients with
HNSCC.Materials and Methods: A prospective, cross-sectional study was conducted
in a tertiary referral centre from January2014 to March 2016. 88 patients were
enrolled in the study. Socio- demographic, behavioural data, site and
subsiteinvolvement, histopathology, staging and treatment were documented.
Polymerase chain reaction (PCR) was performedto detect the presence of HPV DNA
using consensus primers MY 09/11 and GP5+/GP6+ and further the samples
weresubjected to PCR for detecting HPV type 16 and 18. Results: The study
included 88 participants with HNSCC. 57had oral and oropharyngeal squamous cell
carcinoma, 11 with laryngeal malignancy and 20 involving hypopharynx.Among the
participants buccal mucosa (n=22) was the most common subsite involved, majority
(50%) had moderatelydifferentiated squamous cell carcinoma and 53.4% presented in
stage IV. 2 (2.6%) cases were positive for HPV consensusand both were positive
for HPV 16, one case each in larynx and hypopharynx. There was statistical
significance in theassociation between betel nut chewing, cigarette smoking and
alcohol intake as risk factors in the carcinogenesis ofHNSCC. Conclusion: In our
setting in South India, HPV does not play a major role in the carcinogenesis of
HNSCCbut betel nut chewing, tobacco exposure and alcohol consumption remain major
risk factors for HNSCC.
PMID- 29802695
TI - Cervical Cancer Detection between Conventional and Liquid Based Cervical
Cytology: a 6-Year Experience in Northern Bangkok Thailand
AB - Objectives: To determine the prevalence of abnormal Papanicolaou (Pap) smear,
cervical intraepithelial neoplasia(CIN) 2 or higher and cancer between
conventional Pap smear (CPP) and liquid based Pap smear (LBP). Methods:
Thisretrospective study was conducted at Bhumibol Adulyadej Hospital, Bangkok,
Thailand between January 2011 andDecember 2016. Data was collected from medical
records of participants who attended for cervical cancer screening
test.Sensitivity, specificity, positive predictive value (PPV), negative
predictive value (NPV) and accuracy for detectingCIN 2 or higher were evaluated
by using the most severity of histopathology reports. Results: A total of 28,564
caseswere recruited. Prevalence of abnormal Pap smear from CPP and LBP were 4.8 %
(1,092/22,552) and 5.7 % (345/6,012),respectively. Percentage of unsatisfactory
smears in CPP (52.3%) was higher than LBP (40.5%). From CPP and LBP,cervical
cancer percentages were 0.2 and 0.1, respectively. Sensitivity, specificity, PPV,
NPV and accuracy of CPP andLBP for detection cancer were 42.5 vs 26.1%, 99.9 vs
100.0%, 69.8vs 75.0%, 99.7 vs 100.0 % and 99.7 vs 99.7%,respectively. Conclusion:
Prevalence of abnormal cervical cytology and cancer from CPP and LBP were
4.8/0.2and 5.7/0.1 percent, respectively. Unsatisfactory smear of LBP was less
than CPP. Sensitivity, specificity, PPV, NPVand accuracy of CPP and LBP for
detection CIN 2 or higher and cancer were comparable.
PMID- 29802696
TI - Epithelial Ovarian Cancer with Endometriosis is not Associated with Menopausal
Status: a Co-Association Study at PrapokklaoHospital
AB - Objective: To determine any association between the menopausal status and
epithelial ovarian cancer coexistingwith endometriosis (EOC-E). In addition, the
prevalence and possible risk factors were assessed. Methods: Medicalrecords of
172 women with epithelial ovarian cancer between January 2011 and December 2016
at Prapokklao Hospitalwere reviewed and divided into two groups: EOC-E defined as
the case group and without endometriosis (EOC-NE)as the control group. Results:
The proportion of EOC-E was 18% (31/172). There were no significant
differencesbetween the two groups in baseline clinical characteristics and
presenting symptoms except for history of smokingand abnormal uterine bleeding
found more often in EOC-E cases. Most EOC-E were of clear cell histological
typefollowed by endometrioid and serous types (35.5, 25.8 and 22.6 %;
respectively). The clear cell type was 8 timesmore likely in the EOC-E than in
the EOC-NE (OR 8.0, 95% CI 2.97-21.89, p-value <0.001) group. Nulliparity
andsmoking increased risk of EOC-E 2 and 7 times, respectively (OR 2.3, 95%CI
1.03-5.00, p-value 0.041 and OR 7.4,95%CI 1.18-46.63, p-value 0.032).
Conclusions: EOC-E are relatively common. Abnormal uterine bleeding is the
onlysignificant presenting symptom in the EOC-E as compared with the EOC-NE
group. Endometriosis was a predictivefactor for clear cell and endometrioid type
I EOC. Menopausal status and age were not associated with a presentationof
endometriosis with EOC
PMID- 29802698
TI - Study Break: Lice, Humans, and Microbes
PMID- 29802697
TI - Candidate Biomarkers for Oral Squamous Cell Carcinoma: Differential Expression of
Oxidative Stress-Related Genes
AB - Background: Alteration in the biotransformation of exogenous compounds can result
in production of reactiveoxygen species (ROS), which can predispose cells to
malignant transformation in the head and neck. This study aimedto evaluate the
expression of genes involved in antioxidant metabolism in the oral squamous cell
carcinoma (OSCC).Methods: The expression of eighty-four genes was evaluated in
OSCC and non-tumor tissues by quantitative real-timepolymerase chain reaction
using the TaqMan Gene Expression Array. The biological mechanisms related tothe
differentially expressed genes were investigated using Gene - NCBI, KEGG, UNIPROT
and REACTOME databases.Results: Twenty-one genes encoding enzymes involved in
antioxidant metabolism were differentially expressed inthe OSCC case. Four genes
(ATOX1, PRDX4, PRNP, and SOD2) were up-regulated, and seventeen (ALOX12,
CAT,CSDE1, DHCR24, DUOX1, DUOX2, EPHX2, GLRX2, GPX3, GSR, GSTZ1, MGST3, PRDX1,
OXR1, OXSR1,SOD1, and SOD3) were down-regulated. We identified 14 possible novel
biomarkers for OSCC. The differentiallyexpressed genes appeared related to
important biological processes involved in carcinogenesis, such as
inflammation,angiogenesis, apoptosis, genomic instability, invasion, survival,
and cell proliferation. Conclusions: Our studyidentified novel biomarkers which
might warrant further investigation regarding OSCC pathogenesis since the
alteredexpression in the genes can modulate biological processes related to
oxidative stress and predispose cells to malignanttransformation in the oral
cavity.
PMID- 29802699
TI - Socio-Cognitive Determinants of the Mammography Screening Uptake among Iranian
Women
AB - Background: Mammography screening uptake is the most effective method in breast
cancer screening. The aimof this study was to determine the determinants related
to mammography screening uptake among Iranian womenbased on the theory of planned
behavior. Materials and Methods: This cross-sectional study was conducted
among408 women who referred to health centers in Kermanshah city, the western of
Iran, during 2016. Participants filledout a self-administered questionnaire. Data
were analyzed by SPSS version 21 using Pearson correlation, linear andlogistic
regression statistical tests at 95% significant level. Results: The mean age of
participants was 39.61 years[SD: 8.28], ranged from 30 to 60 years. Almost 13% of
the participants had already mammography screening uptakeat least once. Perceived
behavioral control (OR=1.229) and behavioral intention (OR=1.283) were the more
influentialpredictors on mammography screening uptake. Conclusions: Based on
result, it seems increase perceived behaviorcontrol toward mammography screening
uptake may be usefulness in promotion of mammography screening uptakeamong
Iranian women.
PMID- 29802700
TI - Prognostic Value of FBXO39 and ETS-1 but not BMI-1 in Iranian Colorectal Cancer
Patients
AB - Background: Colorectal cancer (CRC) is one of the most prevalent cancers
worldwide. Despite recent progress indiagnosis and treatment, it remains a major
health problem and further studies are needed. We here investigated
expressionprofiles of the FBXO39, ETS-1 and BMI-1 genes in CRCs to validate any
possible diagnostic/prognostic significance.Material and Methods: Thirty six
patients with locally advanced CRC admitted to Hazrate-Rasoul Hospital-Tehranwere
enrolled. Initially the expression pattern of FBXO39, ETS-1 and BMI-1 genes were
determined using RT-PCRin CRC tumor and adjacent normal tissues then real-time RT
PCR was employed to quantify BMI-1 gene expression.Results: FBXO39 expression was
restricted to tumor tissues. Interestingly, expression of this gene was detected
in allstage-0 tumor samples. There was a significant relation between FBXO39 gene
expression and lymph node involvement.The ETS-1 gene was expressed in 66% of all
tumor tissues with p-value=0.03 for increase as compared to the adjacentnormal
samples. In addition, there was a significant relation between ETS-1 gene
expression and tumor size and lymphnode involvement. RT-PCR demonstrated BMI-1
gene expression in both tumor and normal tissues and quantificationby real-time
RT-PCR showed no association between BMI-1 levels and CRC clinicopathological
features. Conclusion:Expression of FBXO39 and ETS-1 with lymph node involvement
may be considered as an alarm for the occurrenceof CRC metastasis, and therfore
have prognostic value while BMI-1 appears without importance.
PMID- 29802701
TI - Comparison of Salivary Cotinine Concentrations in Male Smokers and Smokeless
Tobacco Users
AB - Objective: Smoking cigarettes and smokeless tobacco are one of the causes of oral
cancer. This study comparedthe salivary level of cotinine in male smokeless
tobacco users and smokers. Methods: In this cross-sectional(descriptive
analytical) study, stimulated saliva samples from 30 male smokers and 30 male
smokeless tobacco consumerswere collected and their cotinine contents were
measured using the competitive ELISA method according the standardcurve. The data
was analyzed with independent t-test and linear regression using SPSS-19, and
P<0.05 was consideredsignificant. Result: Among the 60 subjects with the mean age
of 21.27+/-2.6 years, the average level of cotinine insmokers (12.32+/-7.5 ng/ml)
had no significant difference with that of smokeless tobacco consumers (11.23+/
4.4 ng/ml)(p=0.49). Conclusion: Salivary levels of cotinine were not
significantly different in smokeless tobacco users andcigarette smokers. In
addition, increases in the number of cigarettes smoked and in pack of smokeless
tobacco used,were associated with increased salivary levels of cotinine. The
increase was higher in smokeless tobacco consumers.
PMID- 29802702
TI - Quantitative Extra Long PCR to Detect DNA Lesions in Patients Exposed to Low
Doses of Diagnostic Radiation
AB - Background: Radiation causes oxidative lesions and strand breaks in DNA of
exposed cells. Extended lengthPCR is a reliable method for assessing DNA damage.
Longer DNA strands with DNA damage are difficult to amplifycompared to smaller
DNA strands by PCR. The present study was aimed to evaluate DNA damage caused by
ionisingradiation exposure in therapeutic and diagnostic medicine. Materials and
Methods: The study group comprised 50cases with low dose single exposure (LDS),
low dose multiple exposure (LDM) and low dose angiography (LDA)which were
compared with 25 high dose controls (HDC) and 25 controls with no exposure (NEC).
Blood samples werecollected within 1 hour of radiation exposure. DNA was isolated
using a kit based protocol, 50 ng aliquots of DNAwere used to amplify a long
13kbp DNA fragment of the beta-actin gene by conventional PCR and band intensity
wasthen quantified. Relative amplification was calculated and damage was
expressed in terms of lesions per kilobase (kbp)by assuming a Poisson
distribution. Result: Relative amplification was found to be 1.0, 0.87, 0.86,
0.72 and 0.69 withNEC, LDS, LDM, LDA and HDC groups, respectively. Cases
undergoing angiography as well as high dose controlshad high values, compared to
NEC. The lesions/kbp calculated for LDS was 0.13, for LDM 0.15, for LDA 0.32
andfor HDC 0.37 suggesting a linear increase in quantity with increasing
radiation dose. Conclusion: DNA damage, evenat low doses of radiation can be
assessed by quantitative extra long PCR.
PMID- 29802703
TI - Amelogenin is a Potential Biomarker for the Aggressiveness in Odontogenic Tumors
AB - Amelogenin (AMEL), the major structural protein of the enamel organic matrix,
constitutes more than 90% of theenamel's protein content, Aberrations of
amelogenin are thought to be involved in the oncogenesis of
odontogenicepithelium. The expression of amelogenin is possibly an indicator of
differentiation of epithelial cells in the odontogenictumors. Aim of the study:
Investigating the expression of amelogenin in some odontogenic tumors, using
ananti-amelogenin polyclonal antibody, and then compare it with AMEL expression
in tooth buds as control. Materialsand Methods: study sample consisted of 10
formalin-fixed, paraffin- embedded specimens of ameloblastoma,10 Keratocystic
odontogenic tumors, and 10 tooth buds were conventionally stained with
hematoxylin-eosin andimmunohistochemically with AMEL polyclonal antibody.
Results: All of the odontogenic tumors expressed AMELin the epithelial component,
Intensity of expression in ameloblastoma and Keratocystic odontogenic tumor was
lower,compared with tooth buds, Statistical analysis indicated a significant
differences between the tumors and tooth buds.Conclusion: Amelogenin can be used
as a marker for odontogenic epithelium, and the expression of amelogenin
ispossibly an indicator of epithelial cells differentiation in the odontogenic
tumors, and therefore in prediction of thehistological behavior of odontogenic
tumors.
PMID- 29802704
TI - Immuno-Histochemical Assessment of HER2NEU Expression in Gastric Adenocarcinoma
in North Karnataka, India
AB - Background and Objectives: Gastric cancer is the fourth most common cancer
worldwide and ranks fifth in India.Surgical resection is curative in early stage
gastric cancers. Most of the gastric cancers are diagnosed at an advancedstage
necessitating multimodality treatment strategies. Based on the ToGA trial, the
international regulatory agencieshave recently approved trastuzumab in locally
advanced and metastatic gastric and gastroesophageal adenocarcinomasexpressing
HER2. Since there are limited studies from India and no published data available
from this part of NorthKarnataka, we undertook this study to evaluate the
frequency of expression of HER2 in gastric and gastroesophagealadenocarcinomas
and to correlate it with various clinicopathological variables. Methodology: The
study was conductedin the Department of Pathology, SDM College of Medical
Sciences and Hospital, Dharwad, Karnataka from May 2012to January 2016. The
samples included both endoscopic biopsies and gastrectomies. Histopathological
slides from 70cases were reviewed. Immunohistochemical staining for HER2 was
performed in all the cases and Hoffman's gastriccancer scoring system was
employed. The results of HER2 expression was correlated with various
clinicopathologicalparameters. Results: HER2 positivity was seen in 16/70 cases
(23%). 6 cases (8.5%) were equivocal and 48/70 cases(68.5%) were HER2 negative.
HER2 positivity was more common in GEJ cancers and intestinal type of
adenocarcinoma.However, it did not correlate with age, gender, grade and stage.
Conclusion: HER2 positivity was noted in 23% of thecases. 23.4% of intestinal
type and 21.7% of diffuse type were HER2 positive. HER2 positivity did not
significantlydepend on age, gender, tumour type, grade and stage. Hence, HER2
remains as an independent biomarker and should betested in all patients of
gastric cancer regardless of the clinicopathological findings for offering a
personalized treatment.
PMID- 29802705
TI - Colorectal Cancer Screening among Korean Americans in Chicago: Does It Matter
Whether They had the Screening in Korea or the US?
AB - Background: Colorectal cancer (CRC) is one of the most common cancers in Korean
Americans (KAs) and CRCscreening can detect CRC early and may reduce the
incidence of CRC by leading to removal of precancerous polyps.Many KAs in the US
leave the country, primarily to travel to Korea, for health screening. The aim of
this study wasto (a) assess CRC screening rates, including fecal occult blood
test (FOBT), flexible sigmoidoscopy, and colonoscopyand (b) explore factors
related to these tests among KAs by location of CRC screening. Methods:
Descriptive andcorrelational research design with cross-sectional surveys was
used with 210 KAs. Socio-demographics (age, gender,years in the US, marital
status, education, employment, household income, and proficiency in spoken
English), accessto health care (health insurance and usual source of health
care), and location of CRC screening utilization (Korea,the US, or both Korea and
US) were measured and analyzed using descriptive statistics and multinominal
logisticregression. Results: Out of 133 KA participants who had had lifetime CRC
screening (i.e., had ever had FOBT, flexiblesigmoidoscopy, or colonoscopy), 19%
had visited Korea and undergone CRC screening in their lifetimes. Amongsocio
demographic factors and access to health care factors, having a usual source of
health care in the US (OR=8.45)was significantly associated with having undergone
lifetime CRC screening in the US. Having health insurance in theUS and having had
lifetime CRC screening in the US were marginally significant (OR=2.54).
Conclusion: Access tohealth care in the US is important for KAs to have CRC
screening in the US. As medical tourism has been increasingglobally, the location
of CRC screening utilization must be considered in research on cancer screening
to determinecorrelates of CRC screening.
PMID- 29802706
TI - Cetuximab or Nimotuzumab Versus Cisplatin Concurrent with Radiotherapy for Local
Regionally Advanced Nasopharyngeal Carcinoma: a Meta-analysis
AB - Background: It is unclear whether Cetuximab (CTX) or Nimotuzumab (NTZ) concurrent
with radiotherapy deliversequivalent or improved results with fewer toxicities
over standard cisplatin (CDDP) concurrent with radiotherapyin locally advanced
nasopharyngeal carcinoma (NPC). Methods: The strategy involved searching the
PubMed,Embase, Cochrane Library, China National Knowledge Internet Web, Wanfang
and Chinese Biomedical databases.Controlled clinical trials that compared
concurrent CTX/NTZ with radiotherapy versus CDDP with radiotherapyin local
regionally advanced NPC were included. Results: In all, 1,239 patients in six
clinical trials were includedin the analysis. The hazard ratios (HRs) between the
CTX/NTZ and CDDP groups were 1.01 (95% confidence interval(CI) 0.63-1.64), 1.06
(95% CI 0.50-2.25), 1.04 (95% CI 0.61-1.76), and 1.05 (95% CI 0.73-1.50) for
overall survival,local-regional failure-free survival, distant metastasis failure
free survival, and disease-free survival, respectively.Significant differences
were found in the incidences of grade 3-4 anaemia [Risk ratio (RR) 0.11 95% CI
0.02-0.58], grade3-4 neutropenia (RR 0.23 95% CI 0.12- 0.44), grade 3-4
thrombocytopenia (RR 0.31 95% CI 0.12- 0.79), and grade3-4 vomiting (RR 0.04 95%
CI 0.00-0.29) in favour of the CTX/NTZ group. However, the patients in the
CTX/NTZgroup experienced a higher incidence of grade 3-4 skin rash (RR 6.45 95%
CI 3.84-10.84). Conclusions: Regardingthe efficacy and side effects, the
combination of CTX / NTZ and radiotherapy may be an alterative treatment
regimenof standard CDDP concurrent with radiotherapy in local-regionally advanced
NPC, especially in patients who cannottolerate or who refuse chemotherapy.
PMID- 29802707
TI - Complex Therapy Physical alone or Associated with Strengthening Exercises in
Patients with Lymphedema after Breast Cancer Treatment: a Controlled Clinical
Trial
AB - Objective: To compare a complex physical therapy (CPT) protocol alone or combined
with complex physicaltherapy muscle more strength training (CPT+ST) in patients
with lymphedema after breast cancer treatment regardingstrength and limb muscle
volume. Methods: In this controlled clinical trial, consecutive patients treated
from breastcancer from April 2014 to December 2015 were allocated in two groups,
the CPT group 1 and the CPT+ST group 2,that performed CPT associated with muscle
strengthening. Patients in the CPT group 1 received the routine protocol ofcare,
consisting of manual lymphatic drainage (MLD), multilayer bandage compression
therapy, skin care and regularexercises. Patients CPT+ST performed, 2 sets of 10
repetitions exercises at 40% of maximal voluntary contraction thefirst week,
increasing to 3 sets with 10 repetitions during the second and third weeks, 3
sets with 15 repetitions, for 8weeks, 50 minutes per session, twice per week.
Strength and muscle volume were analyzed. Result: In the study period,42 patients
were enrolled, 22 in the CPT group 1 and 20 in the CPT+ST group 2. Only 36
completed treatment. Bothgroups showed similar increases in the range of movement
in: shoulder flexion, extension, adduction, abduction andexternal rotation.
Internal rotation showed less improvement in CPT+ST group 2 (p = 0,034). Strength
improvementwas similar between groups. The CPT+ST group 2 seemed to have a
greater volume in the upper limb when comparedto CPT group 1, but it was not
possible to prove any significant difference (p = 0.555). Conclusion: There was
nodifference of muscular limb volume between the two interventions. This means
that strengthening exercises can beperformed by patients with lymphedema safely,
without the risk of increasing upper limb volume with edema.
PMID- 29802708
TI - Prevalence and Pattern of Antibiotic Resistant Strains of Helicobacter Pylori
Infection in ASEAN
AB - Objective: Antibiotic resistance has significantly impact on eradication rates
for H. pylori infection and remainsimportant cause of treatment failure worldwide
including ASEAN countries. The aim of this study was to surveythe prevalence and
antibiotic resistant pattern of H. pylori infection in ASEAN. Methods: This study
was a survey among26 experts from 9 ASEAN countries including Thailand, Cambodia,
Indonesia, Laos, Malaysia, Myanmar, Philippines,Singapore and Vietnam whom
attended a meeting to develop the ASEAN consensus on H. pylori management in
Bangkokin November 2015. A questionnaire was sent to each member of the consensus
meeting. The detail of the questionnaireincluded information about prevalence of
H. pylori infection, facilities to perform H. pylori culture, molecular
testingfor antibiotic resistance and antibiotic resistance rate in their
countries. Results: H. pylori infection remain commonin ASEAN ranging from 20% in
Malaysia, 21-54% in Thailand and 69% in Myanmar. Most of ASEAN countriescan
perform H. pylori cultures and antibiotic susceptibility tests except Laos and
Cambodia. In ASEAN countries,metronidazole resistant H pylori is quite common
whereas amoxicillin resistance remain rare. Clarithromycin resistanceresults in a
significant decrease in H. pylori eradication rate with clarithromycin-containing
regimens. The prevalence ofclarithromycin resistance varies in ASEAN countries
being high in Vietnam (30%) and Cambodia (43%), moderate to highin Singapore
(17%) and low in Malaysia (6.8%), Philippine (2%) and Myanmar (0%). In Thailand,
clarithromycinresistance tends to higher in large cities (14%) than in rural
areas (~3.7%). Conclusion: ASEAN countries shoulddevelop a standard protocol for
regular susceptibility testing of H. pylori so that clinicians would be better
able tochoose reliably effective empiric therapies. The wide range of antibiotic
resistance in ASEAN countries suggests thatthe preferred first line regimen
should be depend on the local antibiotic resistance other than single
recommendation.
PMID- 29802711
TI - Analysis of the root canal configuration and C-shaped canal frequency of
mandibular second molars: A CBCT study.
AB - Mandibular second molars frequently show variation in root canal configurations.
The patients with C-shaped canals are quite challenging in dental practice. C
shaped canals have a poor prognosis in terms of debridement, obturation, and
restoration. The aim of this study was to investigate the root canal
configuration of mandibular second molar teeth and to determine the frequency of
C-shaped canals in mandibular second molars. We designed a retrospective study
using CBCT images and 256 CBCT images were retrieved from the archived records of
Necmettin Erbakan University Faculty of Dentistry, Konya, Turkey. The root canal
configurations were evaluated according to Vertucci's classification and C-shaped
canal configurations were performed using the method of Fan et al. The frequency
in percentages was calculated for C-shaped canals in CBCT scans. Of the 444
mandibular second molars, the most frequent canal morphology in the mesial roots
was Vertucci type IV (40.3 %), followed by type II (27.5 %). The distal roots
were predominantly type I (92.9 %), followed by types III (3.5 %) and II (2.5 %).
47 teeth (10.6%) had C- shaped canals. Clinicians should be concerned about the
different types of canal configurations. Knowledge of these anatomical variations
will facilitate the endodontic practice.
PMID- 29802709
TI - Association Between NR3C1 Gene Polymorphisms and Toxicity Induced by
Glucocorticoids Therapy in Saudi Children with Acute Lymphoblastic Leukemia
AB - Background: Glucocorticoids (GCs) are key hormones used for the treatment of
acute lymphoblastic leukemia(ALL) in children, but their cytotoxic effects are
not well defined. The aim of this study was to evaluate the associationbetween
polymorphisms in NR3C1 encoding for protein involved in the GCs metabolism and
its role in the developmentof ALL and the toxicity outcome, in terms of liver
toxicity, glucose abnormality and infections, in ALL Saudi children.Methods: The
following polymorphisms BCII rs41423247, ER22/23 EK rs6189 and rs6190 and N363S
rs6195 inNR3C1 were analyzed in 70 children with ALL treated according to the ALL
2000 study protocol in comparison to60 control subjects. Treatment toxicities and
their association with genotypes were evaluated according to CommonToxicity
Criteria (NCI-CTC). Results: This study demonstrated that the NR3C1 did not
contribute to the developmentof childhood ALL. Homozygous ER22/23EK polymorphism
was not found in both ALL patients and in control groupwhereas the heterozygous
polymorphism was only observed in the control group (6.66%). The toxicology data
in thisstudy showed a significant difference between ALL patients carrying N363S
polymorphism and wild type (40% and6.51% respectively, P= 0.009) and a high-risk
factor in the toxicity of glucose abnormality (OR=10.167; 1.302-79.339).BCII
shows increased risk factors towards the liver toxicity (OR=2.667; 0.526-7.330)
as well as the glucose abnormality(OR=7.5; 1.039-54.116). Conclusion: This study
suggested that the polymorphisms in NR3C1 were not associatedwith the development
of ALL in children. N363S polymorphism was sensitive to glucocorticoids and it
may contributeto the glucose abnormality for these patients.
PMID- 29802710
TI - Reduced Expression of Deubiquitinase USP33 Is Associated with Tumor Progression
and Poor Prognosis of Gastric Adenocarcinoma.
AB - BACKGROUND Ubiquitin-specific peptidase 33 (USP33) is a deubiquitinase that
balances the ubiquitin status of proteins. It has been reported to act as a tumor
suppressor in colorectal cancer and lung cancer. However, the expression pattern
and clinical significance of USP33 have not been investigated in gastric
adenocarcinoma (GAC). MATERIAL AND METHODS We explored the USP33 protein and RNA
levels by immunohistochemistry (IHC), Western blot analysis, and qRT-PCR. The
Pearson chi-square test was performed to evaluate the statistical associations
between USP33 level and patient characteristics. Additionally, the relationship
between USP33 expression and patient survival was investigated. Cellular studies,
including proliferation assay, migration assay, and invasion assay, were
conducted to demonstrate the underlying mechanisms of USP33 in GAC progression.
RESULTS This study included 121 patients with GAC. USP33 showed a decreased
expression in GAC tissues compared to adjacent normal gastric tissues. Low
expression of USP33 was correlated with invasion depth and advanced TNM stage.
According to survival analysis, upper location of tumor (P=0.003), invasion depth
(P=0.048), advanced TNM stage (P=0.001), and low USP33 level (P=0.001) were all
associated with poor overall survival of GAC patients. Cox analysis confirmed the
independent role of USP33 in predicting patient survival. Cell experiments showed
that USP33 overexpression significantly inhibited the proliferation, migration,
and invasion of GAC cells. CONCLUSIONS USP33 was downregulated in GAC, and was an
independent prognostic factor. In vitro results demonstrated the role of USP33 in
suppressing tumor progression, suggesting that the developing an agonist of USP33
may be a novel direction for chemotherapy development.
PMID- 29802712
TI - Morphometric parameters and histological Study of the Filum Terminale of Adult
human cadavers and MR images.
AB - BACKGROUND: Morphology and histology of filum terminale (FT) has a role in the
pathophysiology of TCS. OBJECTIVE: This research was implemented to investigate
the morphometric parameters and histological structure of normal FT in adult
human cadavers and MRI scans to correlate them with the pathophysiology of
tethered cord syndrome (TCS). MATERIALS AND METHODS: twenty five adult human
cadavers (15 males &10 females) and 100 MR echo scans of lumbosacral region (50
males and 50 females), were used for this study. MRI patients were divided into
21-40 and 41-60 age groups. The cadavers were dissected at the prone position to
explore their fila. The length of FT, filum terminale internum (FTI), filum
terminale externum (FTE), vertebral level of beginning, dural piercing and
termination of FT, and the initial, midpoint, and mid-FTE diameters were
determined. Four segments were excised from lower conus, upper, middle, and lower
thirds of FT. The specimens were processed for light microscopic examination.
Statistical analysis was done for these parameters. RESULTS: MRI morphometrical
parameters of FT, except FTI length, revealed no age effect or sex differences,
where length of FTI, FTE, initial diameter, level of conus medullaris termination
(CMT) & dural sac termination (DST) were 174.1 +/- 16.8, 75.8 +/- 9.5, 1.6 +/-
0.21, L1-2 & S2U in males and 166.9 +/- 18.9, 74.1 +/- 9.3, 1.53 +/-0.25, L1-2 &
S2M vertebrae in females respectively. However, non- significant sex difference
was observed in morphometric parameters of cadaveric FT, where length of FTI &
FTI, initial diameter, CMT & DST levels were 164.2 +/- 11.6, 76.7 +/- 8.1, 1.7 +/
0.14, L1L & S2U vertebrae in males and 159.2 +/- 10.1, 71.02 +/- 7.3, 1.6 +/-
0.29, L1L & S2U in females respectively. Moreover, CMT below L2 vertebra was seen
in 5% of MRI scans and 8% of cadavers. Also, the initial diameter of FT > 2 mm
was recorded in 7% of MRI and 8% of cadaveric cases. Histologically, the
structure of FT showed gradual reduction in nervous, glial, & vascular tissues
with converse increase in collagen content in FTE compared with those of FTI.
CONCLUSIONS: Morphometric parameters, histological structure, variants, and MR
imaging of the filum terminale are necessary for clinicians who dealing with
diagnosis or treatment of tethered cord syndrome.
PMID- 29802713
TI - A computational simulation of long-term synaptic potentiation inducing protocol
processes with model of CA3 hippocampal microcircuit.
AB - An experimental study of computational model of the CA3 region presents cog
nitive and behavioural functions the hippocampus. The main property of the CA3
region is plastic recurrent connectivity, where the connections allow it to
behave as an auto-associative memory. The computer simulations showed that CA3
model performs efficient long-term synaptic potentiation (LTP) induction and high
rate of sub-millisecond coincidence detection. Average frequency of the CA3
pyramidal cells model was substantially higher in simulations with LTP induction
protocol than without the LTP. The entropy of pyramidal cells with LTP seemed to
be significantly higher than without LTP induction protocol (p = 0.0001). There
was depression of entropy, which was caused by an increase of forgetting
coefficient in pyramidal cells simulations without LTP (R = -0.88, p = 0.0008),
whereas such correlation did not appear in LTP simulation (p = 0.4458). Our model
of CA3 hippocampal formation microcircuit biologically inspired lets you
understand neurophysiologic data. (Folia Morphol 2018; 77, 2: 210-220).
PMID- 29802714
TI - Memory and forgetting processes with the firing neuron model.
AB - The aim of this paper is to present a novel algorithm for learning and forgetting
within a very simplified, biologically derived model of the neuron, called firing
cell (FC). FC includes the properties: (a) delay and decay of postsynaptic
potentials, (b) modification of internal weights due to propagation of
postsynaptic potentials through the dendrite, (c) modification of properties of
the analog weight memory for each input due to a pattern of long-term synaptic
potentiation. The FC model could be used in one of the three forms: excitatory,
inhibitory, or receptory (gan-glion cell). The computer simulations showed that
FC precisely performs the time integration and coincidence detection for incoming
spike trains on all inputs. Any modification of the initial values (internal
parameters) or inputs patterns caused the following changes of the interspike
intervals time series on the output, even for the 10 s or 20 s real time course
simulations. It is the basic evidence that the FC model has chaotic dynamical
properties. The second goal is the presentation of various nonlinear methods for
analysis of a biological time series. (Folia Morphol 2018; 77, 2: 221-233).
PMID- 29802715
TI - Evaluation of localization of mandibular foramen in patients with mandibular
third molar teeth using CBCT.
AB - BACKGROUND: It is significant to know the correct anatomical location of the
mandibular foramen to obtain successful anesthesia of inferior alveolar nerve and
to prevent injury to the mandibular vessels and nerve, during a variety of oral
and maxillofacial surgical procedures. The aim of this study is to evaluate
localization of the mandibular foramen in patients with the third molars using
cone beam computed tomography. MATERIALS AND METHODS: Cone-beam computed
tomography (CBCT) was used to determine the location of the mandibular foramen in
67 patients (totally 99 sides) with unilateral or bilateral impacted mandibular
third molars. RESULTS: The distance from the posterior border of the mandibular
ramus to mandibular foramen did not differ significantly among the other
angulations. But the difference between vertical and horizontal angulation of the
impacted mandibular third molars according to 'fd' values (the shortest distance
between MF and the posterior border of mandibular ramus)was found to be
statistically significant (p< 0.05). CONCLUSIONS: The present study provides new
information to the literature concerning relationship between the location of the
foramen mandible and the mandibular third molars.
PMID- 29802716
TI - The morphometry of the cavernous part of the internal carotid artery.
AB - BACKGROUND: In the study; the morphometric evaluation of internal carotid artery
(ICA) was studied in order to show the differences in between the age groups and
gender. MATERIALS AND METHODS: In the study, descriptive measurements of
intercarotid distance on the CT of 173 (88 male [M], 85 female [F]) patients and
the intercavernous distance on magnetic resonance images (MRIs) of 49 (19M, 30F)
individuals were reviewed. RESULTS: Intercarotid distance is found to be close to
the border of statistical significance and for results of the comparative
measurements that were performed in the study; no significant sex-associated
difference was observed for the distance between the gender and midpoint of the
sella turcica and medial margin of the right ICA. Compareted to gender, the
distance between the base of the sella turcica and the base of the left ICA is
found to be closed to of statistical significance. A statistically significant
difference was obtained for the distance between the midpoint of sella turcica
and medial margin of the left ICA and for the distance between the base of the
sella turcica and the base of right ICA. Although it is observed that there is a
weak correlation between the age and the distance between midpoint of the sella
turcica and medial margin of the right ICA, statistically there is a significant
difference between them. CONCLUSIONS: Obtained results, planning of surgical
interventions are supportive and guilding in terms of prevention of damage of to
internal carotid artery in three dimensional thinking and operations.
PMID- 29802717
TI - Hand anthropometry in patients with carpal tunnel syndrome: a case-control study
with a matched control group of healthy volunteers.
AB - BACKGROUND: The aim of this study was to perform anthropometrical measurements of
patients' hands with carpal tunnel syndrome (CTS) in order to evaluate if there
is a correlation between CTS occurrence and hand features regarding sexual
dimorphism, age and physical activity. MATERIALS AND METHODS: Study sample
consisted of 48 patients (33 females) and control group included 80 healthy
volunteers (56 females) with no history of CTS. Following measurements were
performed: the wrist circumference, length of the hand, the hand's width, width
of the wrist, thickness of the wrist, height of the hypothenar and thenar, length
of the arm and forearm, circumference of the proximal phalanges and width of the
digits; as well as several indexes were calculated i.e.: BMI, shape index, digit
index, wrist index, hand length/height ratio (HLH-ratio) and hand length/upper
limb length ratio (HLULL-ratio). RESULTS: Correlation coincidences were analyzed
between circumferences within the hand, palm and body weight. All parameters
except fingers were correlated with body weight in either gender in both groups
(p<0.05; r=0.40-0.80); Furthermore, width of the hand was correlated with body
height (p<0.001; r=0.56-0.71). Mean values of wrist index for CTS patients were:
males: 0.8, females: 0.74 (significantly higher than in healthy individuals and
indicating square shape); shape index: males: 76.5, females: 75.8; digit index:
males: 55.7, females: 56.5. The calculated HLH-ratio in CTS group was: males:
10.6, females: 10.9; HLULL-ratio: males: 23.6, females: 24.9 and they did not
differ significantly from healthy volunteers. Almost 90.0% of females with
diagnosed CTS have BMI>25.0kg/m2. CONCLUSIONS: There are significant differences
in morphometrical features of the upper limbs between CTS patients and healthy
individuals. Hands of patients with CTS are more massive and with 'plumb' fingers
and square shape of the wrist. Furthermore, higher BMI values were confirmed to
be predisposing factors in CTS occurrence.
PMID- 29802718
TI - Four left renal arteries - a rare variant of kidney arterial supply.
AB - We describe the arterial supply of a human kidney harvested post-mortem from a 75
year-old female volunteer body donor. The kidney was analysed with contrast
enhanced computed tomography, and corrosion casting was used to reveal the
kidney's angio-architecture. In the left kidney, we observed four renal arteries,
each originating directly from the abdominal aorta. Three renal arteries,
including the main renal artery, coursed through the renal hilum, and the fourth
renal artery reached the lower kidney pole. The supply areas of each of the four
renal arteries were analysed with a 3D reconstruction of computed tomography
images and with corrosion casting. There were no clear boundaries between the
areas supplied by the four renal arteries because their branches overlapped in
most kidney segments.
PMID- 29802719
TI - Bifid and secondary superior nasal turbinates.
AB - The lateral nasal wall contains the nasal turbinates (conchae) which are used as
landmarks during functional endoscopic surgery. Various morphological
possibilities of turbinates were reported, such as bifidity of the inferior
turbinate, and extra middle turbinates, such as the secondary middle turbinate.
During a retrospective Cone Beam Computed Tomography study of nasal turbinates in
a patient we found previously unreported variants of the superior nasal
turbinates. These had, bilaterally, ethmoidal and sphenoidal insertions. On the
right side we found a bifid superior turbinate and on the left side we found a
secondary superior turbinate located beneath the normal/principal one, in the
superior nasal meatus. These demonstrate that if a variant morphology is possible
for a certain turbinate, it could occur in any nasal turbinate but it has not
been yet observed or reported.
PMID- 29802720
TI - Coexistence of a pectoralis quartus muscle, a supernumerary head of biceps
brachii muscle and an accessory head of flexor digitorum profundus muscle.
AB - Although anatomical variations in the upper limb are frequent, coexistence of
multiple combined variations is rare. During a routine educational dissection at
Jeju National University Medical School, three muscular variations were found in
a 75-year-old Korean male cadaver, in which a supraclavicular cephalic vein was
also found in ipsilateral upper extremity during skinning (Go et al., 2017). Here
we describe characteristics of the pectoralis quartus muscle, the supernumerary
head of biceps brachii muscle and an accessory head of flexor digitorum profundus
muscle, and discuss their coexistence from morphological and embryological points
of view.
PMID- 29802721
TI - Identification and Quantification of Phytochemicals, Antioxidant Activity, and
Bile Acid-Binding Capacity of Garnet Stem Dandelion (Taraxacum officinale).
AB - : Dandelion (Taraxacum officinale) var. Garnet Stem was harvested from Texas and
New Jersey for identification, quantification of phytochemicals, measurement of
free radical scavenging activity, and bile acid binding capacity. The red midrib
and petioles were extracted with methanol or ethanol and with or without water in
combination with four different acids such as formic, hydrochloric, acetic, and
citric acid. LC-ESI-HR-QTOF-MS was used to identify four anthocyanins including
cyanidin-3-glucoside, cyanidin-3-(6-malonyl)-glucoside (A-1), cyanidin-3-(6
malonyl)-glucoside (A-2), and peonidin-3-(malonyl)-glucoside for the 1st time. In
New Jersey samples, vitamin C and beta-carotene were highest in the leaf blades
versus whole leaf and petioles. Samples from Texas had highest amount of lutein,
violaxanthin, and chlorophyll a and b in leaf blades versus whole leaf and
petioles. Maximum DPPH free scavenging activity was found in MeOH: water: acid
(80:19:1) and the combination of FA with EtOH: water: acid (80:19:1) demonstrated
the higher level of total phenolic. Among six bile acids, sodium
chenodeoxycholate was bound maximum in both Texas and New Jersey samples. This is
the first report of anthocyanin identification from the midvein and petiole of
Garnet Stem dandelion and results suggested that the phytochemicals and nutrients
are highest in the leaf but may vary the amount depending on harvest location.
PRACTICAL APPLICATION: Four anthocyanins in the red midrib and petioles of Garnet
Stem could be a potential source for antioxidants and can be used as a source of
natural food color.
PMID- 29802722
TI - Optimized adipose tissue engineering strategy based on a neo-mechanical
processing method.
AB - Decellularized adipose tissue (DAT) represents a promising scaffold for adipose
tissue engineering. However, the unique and prolonged lipid removal process
required for adipose tissue can damage extracellular matrix (ECM) constituents.
Moreover, inadequate vascularization limits the recellularization of DAT in vivo.
We proposed a neo-mechanical protocol for rapidly breaking adipocytes and
removing lipid content from adipose tissue. The lipid-depleted adipose tissue was
then subjected to a fast and mild decellularization to fabricate high-quality DAT
(M-DAT). Adipose liquid extract (ALE) derived from this mechanical process was
collected and incorporated into M-DAT to further optimize in vivo
recellularization. Ordinary DAT was fabricated and served as a control. This
developed strategy was evaluated based on decellularization efficiency, ECM
quality, and recellularization efficiency. Angiogenic factor components and
angiogenic potential of ALE were evaluated in vivo and in vitro. M-DAT achieved
the same decellularization efficiency, but exhibited better retention of ECM
components and recellularization, compared with those with ordinary DAT. Protein
quantification revealed considerable levels of angiogenic factors (basic
fibroblast growth factor, epidermal growth factor, transforming growth factor
beta1, and vascular endothelial growth factor) in ALE. ALE promoted tube
formation in vitro and induced intense angiogenesis in M-DAT in vivo;
furthermore, higher expression of the adipogenic factor PPARgamma and greater
numbers of adipocytes were evident following ALE treatment, compared with those
in the M-DAT group. Mechanical processing of adipose tissue led to the production
of high-quality M-DAT and angiogenic factor-enriched ALE. The combination of ALE
and M-DAT could be a promising strategy for engineered adipose tissue
construction.
PMID- 29802723
TI - Prevalence and outcome of patients referred for chest pain with high-sensitivity
troponin elevation and no diagnosis at discharge.
AB - INTRODUCTION: Specialized chest pain units appear to increase the proportion of
patients with acute chest pain who are properly evaluated, but some of them
remain doubtful. HYPOTHESIS: The aim of this study was to evaluate the survival
and occurrence of cardiovascular events in patients without diagnosis at the end
of management of chest pain with high-sensitivity troponin (Tn) elevation.
METHOD: All consecutive patients who came to the cardiac emergency room of
Poitiers University Hospital between January 1, 2014, and August 7, 2015, for
chest pain and Tn elevation were included. The primary endpoint was the number of
undiagnosed patients; secondary endpoints included survival and major adverse
cardiac events. RESULTS: A total of 1001 patients (695 male; mean age, 68 +/-16
years) who had chest pain and Tn elevation were included. Median follow-up was
24.5 (IQR, 14.7-29.5) months. Forty-seven (4.7%) patients remained without
diagnosis. Compared with patients with diagnosis, these patients were younger
(53.6 +/-19.7 years; P < 0.0001) and had less hypertension (29.8%; P < 0.0001),
diabetes (4.3%; P = 0.0016), and history of coronary artery disease (6.4%; P <
0.0001). No patients died or experienced MACE in 6-month follow-up. Survival
curves showed the probability of survival was excellent, not only at 6 months,
but also at 36 months (P = 0.0025). CONCLUSIONS: Less than 5% of patients
referred for chest pain and with high-sensitivity Tn elevation remained without
diagnosis after adapted care in the chest pain unit. Their 6-month prognosis was
excellent.
PMID- 29802724
TI - Nummularic acid, a triterpenoid, from the medicinal plant Fraxinus
xanthoxyloides, induces energy crisis to suppress growth of prostate cancer
cells.
AB - We recently identified and characterized nummularic acid (NA) as a major chemical
constituent of Fraxinus xanthoxyloides, a medicinal plant used for over hundred
years in traditional medicine. In this study, we describe its potential anti
cancer activity using prostate cancer (PCa) cells as a model. We found that NA
treatment (5-60 MUM) significantly reduced the proliferation and colony formation
capabilities of PCa DU145 and C4-2 cells in a time and dose dependent manner,
reduced the migratory and invasive properties and increased apoptotic cell
population. Mechanistically, we found that NA treatment to PCa cells resulted in
a sustained activation of adenosine monophosphate-activated protein kinase
(AMPK). NA simultaneously increased acetyl CoA carboxylase phosphorylation and
decreased pS6 phosphorylation, the two major substrates of AMPK. Further, NA
treatment significantly elevated the cellular ADP/ATP ratio and altered
glycolytic rate. We further observed a reversible decrease in oxygen consumption
rate in NA treated cells when compared to the control. Finally, we performed
global untargeted metabolomics which showed that NA treatment alters PCa cell
metabolism at multiple sites including glycolysis, tricarboxylic acid, and
glutamine metabolism which supported our observation of a possible AMPK
activation. In summary, we report NA as a novel small molecule activator of AMPK
that alters cellular metabolism to induce energy crisis and ultimately cancer
cell death. Because of its unique mechanism NA could be potentially applicable
against other cancer types.
PMID- 29802725
TI - Characterizations and rheological study of the purified polysaccharide extracted
from quince seeds.
AB - BACKGROUND: The functional characteristics of hydrocolloids are mainly dependent
on their physicochemical properties. Thus, it is essential to characterize the
new sources of hydrocolloids. RESULTS: Quince seed gum (QSG) is a high-molecular
weight polysaccharide (9.61 * 106 g mol-1 ) composed of 85.04 +/- 2.87%
carbohydrate (6.39% l-arabinose, 40.43% d-xylose, 5.60% d-galactose, 5.75% d
glucose and 31.11% d-mannose), 13.16 +/- 1.73% uronic acid, 5.77 +/- 0.83%
moisture, 2.78 +/- 0.21% protein, 5.64 +/- 0.21% ash, and 0.75 +/- 0.09% fat. Our
findings indicated that this gum could be introduced as a value-added by-product
in the food and pharmaceutical industries. Carbon-13 nuclear magnetic resonance
and Fourier transform infrared spectroscopy suggested a highly substituted xylan
structure for QSG. In the dilute regime, an increase in the ion concentration was
accompanied by a decrease in intrinsic viscosity of QSG. When the salt
concentration increased from 0 to 50 mmol L-1 , the consistency coefficient (as a
measure of apparent viscosity) declined. On the other hand, with further
increasing of salt concentration, the consistency coefficient (as a measure of
apparent viscosity) values increased. Similarly, the G' and G" values for 10 and
50 mmol L-1 calcium chloride concentrations were less than in control samples.
CONCLUSION: The rheological behavior of the QSG studied in this paper can provide
insight into its potential application in food and pharmaceutical industries. (c)
2018 Society of Chemical Industry.
PMID- 29802726
TI - Association between a common missense variant in LOXL3 gene and the risk of non
syndromic cleft palate.
AB - To investigate possible association between functional common variants in the
lysyl oxidase like 3 gene and non-syndromic cleft palate we selected a common
missense variant p.Ile615Phe (rs17010021), which was predicted to have a probably
damaging effect on the lysyl oxidase like 3 enzyme. We genotyped 258 non
syndromic cleft palate case-parent triads of European origin and tested genetic
association using the transmission disequilibrium test and log-linear regression
analyses of genotypic relative risks and of parent-of-origin effects. The
observed genotype frequency in parents was in Hardy-Weinberg equilibrium.
Compared with wild-type Ile/Ile homozygotes, the relative risks for Phe/Phe
homozygote infants was 6.87 (P value 3.0 * 10-3 ), while that for Ile/Phe
heterozygotes was not significant. Assuming an autosomal recessive model, the
relative risks for Phe/Phe genotype resulted 10.54 (P value 2.9 * 10-5 ), with a
3.6% population attributable risk. No parent-of-origin effect was observed. The
identification in lysyl oxidase like 3 of a missense variant which under a
recessive model associates with 10-fold increased risk of non-syndromic cleft
palate supports the hypothesis that the genetic etiology of this congenital
anomaly includes relatively uncommon recessive variants with moderate penetrance
and located in genes which are also involved in syndromes that include cleft
palate as part of the phenotype. Our findings require functional validation and
replication in a larger independent genetic association study.
PMID- 29802727
TI - Response to Association of Prolonged QTc Interval With Takotsubo Cardiomyopathy:
A Neurocardiac Syndrome Inside the Mystery of the Insula of Reil.
PMID- 29802728
TI - Detection of Enterotoxin Genes and Methicillin-Resistance in Staphylococcus
aureus Isolated from Water Buffalo Milk and Dairy Products.
AB - : The aim of this study was to analyze the presence of genes encoding
staphylococcal enterotoxins and methicillin resistance in Staphylococcus aureus
isolates obtained from water buffalo milk and dairy products. A total of 200
samples (100 raw milk, 50 clotted cream, and 50 cheese samples) was collected
from different dairy farms and smallholders in Samsun, Turkey. All samples were
analyzed using the standard procedure EN ISO 6888-1 and isolates were confirmed
for the presence of the target 16S rRNA specific for Staphylococcus genus
specific and nuc gene specific for S. aureus species by PCR. S. aureus was
identified in 30 of 100 milk (30%), 9 of 50 clotted cream (18%), and 17 of 50
cheese (34%) samples. A total of 99 isolates was confirmed as S. aureus.
Genotypic methicillin resistance was evaluated using PCR for the mecA gene. Out
of 99 isolates, nine (9%) were found to be methicillin resistant (mecA gene
positive). Twelve out of 99 (12%) S. aureus isolates were found positive for one
or more genes encoding the enterotoxins. The gene coding for enterotoxin, sea,
was the most frequent (five isolates, 41.6%), followed by sec (two isolates,
16.6%), sed (1 isolates, 8.3%) and see (1 isolate, 8.3%). While three isolates
(25%) contained both sec and sed, none of the samples was positive for seb. In
conclusion, the presence of se gene-positive and methicillin-resistant S. aureus
in buffalo milk and products revealed that consumption of these products is a
potential risk of foodborne infection in this region. PRACTICAL APPLICATION:
Enterotoxigenic and methicillin-resistant S. aureus (MRSA) in milk and dairy
products is an important public health problem. Especially in traditional dairy
products, Staphylococcal enterotoxins may cause food poisoning due to consumption
of raw or unpasteurized milk products.
PMID- 29802729
TI - Electrocardiographic diagnosis of atrial infarction in patients with acute
inferior ST-segment elevation myocardial infarction.
AB - BACKGROUND: Patients with atrial myocardial infarction (ATMI) have frequent
cardiac and noncardiac complications. However, ATMI is uncommonly diagnosed
because of its nonspecific ECG changes. Our objective was to analyze the ECG
characteristics of ATMI in patients with inferior STEMI. HYPOTHESIS:
Electrocardiographic P wave parameters can help in diagnosis of ATMI. METHODS: We
evaluated 932 patients who underwent coronary angiography and recruited 39
patients with ATMI and 33 patients without ATMI with inferior STEMI for a
retrospective study. Twelve-lead ECGs were obtained to measure P-wave parameters
in diagnosis of ATMI. P-wave parameters and PR-segment displacement were compared
in patients with and without ATMI. RESULTS: In inferior leads, PWD and PWDisp
were significantly longer in the ATMI group than in the non-ATMI group (limb lead
II, 109.79 +/-15.51 ms and 86.65 +/-5.02 ms, respectively; P < 0.001; limb lead
III, 108.31 +/-12.51 ms and 85.27 +/-7.47 ms, P < 0.001; aVF, 106.49 +/-13.68 ms
and 83.01 +/-7.89 ms, P < 0.001; PWDisp, 41.67 +/-10.72 ms and 25.18 +/-5.17 ms,
P < 0.001). By contrast, PWA was significantly lower in the ATMI group than in
the non-ATMI group (limb lead II, 0.96 +/-0.18 mV and 1.39 +/-0.22 mV,
respectively; P < 0.001; limb lead III, 0.90 +/-0.11 and 1.21 +/-0.23, P < 0.001;
aVF, 0.88 +/-0.17 and 1.26 +/-0.28, P < 0.001). PR-segment displacement was found
in 8 (20.5%) patients with ATMI. A PWD >=95.5 ms in lead DII diagnosed ATMI with
a higher sensitivity and specificity (90%, 94%) than did PWA or PWDisp.
CONCLUSIONS: This study suggests P-wave parameters might be considered ECG
findings in diagnosis of ATMI in patients with inferior STEMI.
PMID- 29802730
TI - Bioaccumulation and toxicity of uranium, arsenic, and nickel to juvenile and
adult Hyalella azteca in spiked sediment bioassays.
AB - Uranium (U) mining and milling release arsenic (As), nickel (Ni) and U to
receiving waters, which accumulate in sediments. The objective of the present
study was to investigate if As, Ni, and U concentrations in tissue residue of
Hyalella azteca, overlying water, sediment porewater, and solids could predict
juvenile and adult survival and growth in conditions similar to lake sediments
downstream of U mines and mills. We conducted 14-d static sediment toxicity tests
spiked with U, As, and Ni salts. For U, we spiked uranyl nitrate with sodium
bicarbonate to limit U precipitation once in contact with circumneutral sediment.
The median lethal concentrations for As, Ni, and U of juveniles and adults based
on measured concentrations in sediments were 134 and 165 MUg/g, 370 and 787
MUg/g, and 48 and 214 MUg/g, respectively. Adult survival and growth linearly
decreased with increasing bioaccumulation. For juveniles, metal accumulation
linearly predicted survival. We calculated median lethal body concentrations for
juveniles and adults of 5 and 36 MUg As/g, 14 and 49 MUg Ni/g, and 0.4 and 1.0
MUg U/g. The concentrations of As, Ni, and U in tissue residue leading to a 20%
decrease in adult growth were 32 MUg As/g, 44 MUg Ni/g, and 1 MUg U/g. Overall,
the present study showed that U was the most toxic element, followed by As and
Ni; that juveniles were more sensitive to the 3 metals tested than adults; and
that threshold body concentrations can support assessment of benthic invertebrate
community impairment. Environ Toxicol Chem 2018;37:2340-2349. (c) 2018 The
Authors. Environmental Toxicology and Chemistry published by Wiley Periodicals,
Inc. on behalf of SETAC.
PMID- 29802731
TI - Immobilization of Saccharomyces cerevisiae on Perlite Beads for the
Decontamination of Aflatoxin M1 in Milk.
AB - : Aflatoxin M1 (AFM1) contamination presents one of the most serious concerns in
milk safety. In this study, the immobilization of Saccharomyces cerevisiae was
used to detoxify AFM1-contaminated milk. The yeasts were immobilized on perlite
for 24 and 48 hr, and the best immobilization time was achieved at 48 hr.
Microscopic examination confirmed successful immobilization. The milk samples
with 0.08, 0.13, 0.18, and 0.23 ppb AFM1 contamination were passed through the
biofilter for 20, 40, and 80 min. The results showed a significant reduction in
AFM1 concentration for all the milk samples with various initial AFM1 contents.
The contaminated milk with 0.08 ppb AFM1 was completely cleared after 40 min of
circulation while for the milk solution with 0.23 ppb, the highest AFM1 reduction
was obtained at about 81.3% after 80 min circulation. In addition, the biofilter
was saturated after the third step of milk circulation, containing 0.23 ppb AF,
in which each step duration was 20 min. This study showed the excellent
capability of the immobilized cells on the perlite beads to detoxify the AFM1
contaminated milk without any side effects on its physicochemical properties.
PRACTICAL APPLICATION: The immobilization of Saccharomyces cerevisiae cells on
perlite beads can be used to detoxify AFM1-contaminated milk. The perlite can
provide a perfect support for immobilization. With respect to qualitative
properties, 20 min, was suggested as the optimum time for milk decontamination.
This study indicated that the detoxification of contaminated milk using
immobilized S. cerevisiae cells on the perlite support did not affect the
different properties of detoxified milk. This method can lead to a practical
solution to address aflatoxin contamination in dairy products considered high
risk foods.
PMID- 29802732
TI - Stabilization of the lumbar spine by spinal muscle forces producing compressive
follower loads: 3-dimensional computational study.
AB - Axial compressive loads whose direction changes along the spinal curvature (so
called compressive follower loads (CFLs)) was postulated as a normal
physiological load in the lumbar spine in the literature. Computational analyses
were conducted in this study using finite element and optimization models of the
spinal system incorporating 244 fascicles of back muscles. It was feasible to
find optimum solutions for spinal muscle forces generating CFLs in the lumbar
spine in 3-D postures of neutral standing, flexion 40 degrees , extension 10
degrees , axial rotation 10 degrees , or lateral bending 30 degrees . FE analyses
demonstrated that the lumbar spine can be in a stable condition not under all CFL
generating muscle forces but under those producing CFLs along a curve parallel to
the spinal curvature located in the vicinity of the base spinal curve constructed
by connecting the geometrical centers of the vertebral bodies. It was also
possible to estimate the stable range of the relative location of such CFL curve
to the base spinal curve. These results suggest that the lumbar spine in various
3-D postures can be stabilized by spinal muscles that generate CFLs in the spine,
which at least in part supports the hypothesis of CFLs as a physiological load in
the lumbar spine. (c) 2018 Orthopaedic Research Society. Published by Wiley
Periodicals, Inc. J Orthop Res.
PMID- 29802733
TI - Effect of Emulsification Method and Particle Size on the Rate of in vivo Oral
Bioavailability of Kenaf (Hibiscus cannabinus L.) Seed Oil.
AB - Kenaf (Hibiscus cannabinus L.) seed oil-in-water nanoemulsions stabilized by
complexation of beta-cyclodextrin with sodium caseinate and Tween 20 have been
shown to have higher bioaccessibility of vitamin E and total phenolic content
than nonemulsified kenaf seed oil in the previous in vitro gastrointestinal
digestion study. However, its oral bioavailability was unknown. Therefore, the
aim of this study was to evaluate the rate of in vivo oral bioavailability of
kenaf seed oil-in-water nanoemulsions in comparison with nonemulsified kenaf seed
oil and kenaf seed oil macroemulsions during the 180 min of gastrointestinal
digestion. Kenaf seed oil macroemulsions were produced by using conventional
method. Kenaf seed oil-in-water nanoemulsions had shown improvement in the rate
of absorption. At 180 min of digestion time, the total alpha-tocopherol
bioavailability of kenaf seed oil nanoemulsions was increased by 1.7- and 1.4
fold, compared to kenaf seed oil and macroemulsion, respectively. Kenaf seed oil
in-water nanoemulsions were stable in considerably wide range of pH (>5 and <3),
suggesting that it can be fortified into beverages within this pH range PRACTICAL
APPLICATION: The production of kenaf seed oil-in-water nanoemulsions had provided
a delivery system to encapsulate the kenaf seed oil, as well as enhanced the
bioaccessibility and bioavailability of kenaf seed oil. Therefore, kenaf seed oil
in-water nanoemulsions exhibit a great potential application in nutraceutical
fields.
PMID- 29802734
TI - Crowdfunding biodiversity conservation.
AB - Raising funds is critical for conserving biodiversity and hence so is
scrutinizing emerging financial mechanisms that may help achieve this goal.
Anecdotal evidence indicates crowdfunding is being used to support activities
needed for biodiversity conservation, yet its magnitude and allocation remain
largely unknown. To help address this knowledge gap, we conducted a global
analysis based on conservation-focused projects extracted from crowdfunding
platforms. For each project, we determined the funds raised, date, country of
implementation, proponent characteristics, activity type, biodiversity realm, and
target taxa. We identified 72 relevant platforms and 577 conservation-focused
projects that raised $4,790,634 since 2009. Although proponents were based in 38
countries, projects were delivered across 80 countries, indicating a potential
mechanism of resource mobilization. Proponents were affiliated with
nongovernmental organizations (35%) or universities (30%) or were freelancers
(26%). Most projects were for research (40%), persuasion (31%), and on-the-ground
actions (21%). Projects were more focused on species (57.7%) and terrestrial
ecosystems (20.3%), and less focused on marine (8.8%) and freshwater ecosystems
(3.6%). Projects focused on 208 species, including a disproportionate number of
threatened birds and mammals. Crowdfunding for biodiversity conservation is a
global phenomenon and there is potential for expansion, despite possible pitfalls
(e.g., uncertainty about effectiveness). Opportunities to advance conservation
through crowdfunding arise from its capacity to mobilize funds spatially and
increase steadily over time, inclusion of overlooked species, adoption by
multiple actors, and funding of activities beyond research. Our findings pave the
way for further research on key questions, such as campaign success rates,
effectiveness of conservation actions, and drivers of crowdfunding adoption. Even
though crowdfunding capital raised has been modest relative to other conservation
finance mechanisms, its contribution goes beyond funding research and providing
capital. Embraced with due care, crowdfunding could become an important financial
mechanism for biodiversity conservation.
PMID- 29802735
TI - Progress on the Antimicrobial Activity Research of Clove Oil and Eugenol in the
Food Antisepsis Field.
AB - As potential and valuable antiseptics in the food industry, clove oil and its
main effective composition eugenol show beneficial advantages on antibacterial
and antifungal activity, aromaticity, and safety. Researches find that both clove
oil and eugenol express significantly inhibitory effects on numerous kinds of
food source microorganisms, and the mechanisms are associated with reducing the
migratory and adhesion and inhibiting the synthesis of biofilm and various
virulence factors of these microorganisms. Clove oil and eugenol are generally
regarded as safe in vivo experiments. However, they may express certain
cytotoxicity on fibroblasts and other cells in vitro. Studies on the quality and
additive standard of clove oil and eugenol should be strengthened to promote the
antiseptic effects of them in the food antiseptic field.
PMID- 29802736
TI - Clinical Significance of Decreased Interleukin-35 Expression in Patients with
Psoriasis.
AB - In psoriasis, a chronic, recurrent, inflammatory skin disease, CD4+T cells and
their related cytokines play an important role in its pathogenesis. The role of
interleukin (IL)-35, an immunosuppressive cytokine involved in many autoimmune
diseases, is unclear in the pathogenesis of psoriasis. This study evaluated IL-35
expression and clinical significance in psoriasis. Protein and mRNA levels of
specified markers were measured by enzyme-linked immunosorbent assay (ELISA) and
real-time quantitative polymerase chain reaction (qRT-PCR), respectively. Results
showed that plasma IL-35 concentrations were lower in patients with psoriasis
than in healthy individuals (Z = -6.525, P < .0001). Ebi3 and p35 showed lower
mRNA levels in peripheral blood mononuclear cells from patients with psoriasis
than in healthy individuals (Z = -5.078, P < .0001, Z = -2.609, P = .009,
respectively). The areas under the receiver-operating characteristic (ROC) curves
of IL-35, Ebi3, and p35 for patients with psoriasis versus the control were 0.86,
0.78, and 0.64, respectively. Pearson correlation analysis showed that plasma IL
35 expression negatively correlated with interferon-gamma, tumor necrosis factor
alpha, levels of IL-23, -17, and -22, or the Psoriasis Activity and Severity
Index and positively correlated with levels of transforming growth factor beta
and IL-10 levels in patients with psoriasis. Summarily, IL-35 might mediate
psoriasis pathogenesis by influencing the expression of Th1/Th17/Treg -related
cytokines and might be a putative target in monitoring or treating psoriasis.
PMID- 29802737
TI - MiR-181a/b induce the growth, invasion, and metastasis of neuroblastoma cells
through targeting ABI1.
AB - Neuroblastoma is a pediatric malignancy, and the clinical phenotypes range from
localized tumors with excellent outcomes to widely metastatic disease in which
long-term survival is approximately 40%, despite intensive therapy. Emerging
evidence suggests that aberrant miRNA regulation plays a role in neuroblastoma,
but the miRNA functions and mechanisms remain unknown. miR-181 family members
were detected in 32 neuroblastoma patients, and the effects of miR-181a/b on cell
viability, invasion, and migration were evaluated in vitro and in vivo. A
parallel global mRNA expression profile was obtained for neuroblastoma cells
overexpressing miR-181a. The potential targets of miR-181a/b were validated. miR
181a/b expression levels were positively associated with MYCN amplification and
neuroblastoma aggressiveness. Moreover, ectopic miR-181a/b expression
significantly induced the growth and invasion of neuroblastoma cells in vitro and
in vivo. Microarray analysis revealed that mRNAs were consistently downregulated
after miR-181a overexpression, leading to cell migration. In addition, the
expression of ABI1 was suppressed by miR-181a/b, and ABI1 was validated as a
direct target of miR-181a/b. We concluded that miR-181a/b were significantly
upregulated in aggressive neuroblastoma, which enhanced its tumorigenesis and
progression by suppressing the expression of ABI1.
PMID- 29802738
TI - The homeodomain transcription factor MEIS1 triggers chemokine expression and is
involved in CD8+ T-lymphocyte infiltration in early stage ovarian cancer.
AB - CD8+ T-lymphocytes infiltration is a favorable prognostic marker in ovarian
cancer. Recently we identified MEIS1 as a gene overexpressed in early stage
ovarian tumors enriched for CD8+ T-cells. Here, we report the molecular mechanism
of the homeodomain transcription factor MEIS1 in lymphocyte recruitment. We
validated that MEIS1 expression is a positive predictor of CD8+ T cells in early
stage ovarian cancer. We showed that MEIS1 induces the expression of CCL18, CCL4,
CXCL7, CCL5, CXCL1, and IL8 chemokines in cancer cells followed by their
secretion in the culture medium ultimately triggering CD8+ T-lymphocyte
recruitment in vitro. Knock down of MEIS1 expression by siRNA resulted in
downregulation of these chemokines. We verified that MEIS1 binds to the promoters
of chemokine genes, both in vitro and in vivo. We also showed that the expression
levels of MEIS1 correlated tightly with the mRNA levels of chemokines CCL4 and
CCL18 in early stage ovarian cancer patient samples and served as a positive
prognostic marker, as shown by Kaplan-Meyer survival analysis. In conclusion, we
propose that MEIS1 plays a pivotal role in the regulatory circuitry governing T
cell chemo-attraction during the early stages of ovarian cancer.
PMID- 29802739
TI - Three chemosensory proteins from the rice leaf folder Cnaphalocrocis medinalis
involved in host volatile and sex pheromone reception.
AB - Chemosensory proteins (CSPs) have been considered to play a key role in
chemoreception in insects. As stated in our earlier study, three CSP genes from
rice leaf folder Cnaphalocrocis medinalis have been identified and showed
potential physiological functions in olfaction. Here, we conducted western blot,
immunolocalization, competitive binding assay and knockdown assay by RNA
interference both in vitro and in vivo to reveal the functions of these three
CSPs in C. medinalis. Results showed that both CmedCSP1 and CmedCSP2 are housed
in sensilla basiconica and showed high binding affinities to a wide range of host
related semiochemicals. On the other hand, CmedCSP3 is highly expressed in
sensilla trichodea of males and sensilla basiconica of females. It showed binding
affinities to plant volatiles, especially terpenoids, as well as two of the C.
medinalis sex pheromone components, Z11-16:Ac and Z11-16:Al. The transcript
expression level of the three CSP genes significantly decreased after injecting
target double-stranded RNAs and resulted in remarkably down-regulation on
electroantennogram responses evoked by host-related semiochemicals and one sex
pheromone compound, which have high binding affinities with CmedCSPs. In
conclusion, the three CmedCSPs tested are involved in C. medinalis reception of
semiochemicals, including host attractants and sex pheromones.
PMID- 29802740
TI - High-level, constitutive expression of the mgtC gene confers increased
thermotolerance on Salmonella enterica serovar Typhimurium.
AB - We found that mutations that increased the transcription of the mgtCBR (Mg2+
transport-related) operon conferred increased thermotolerance on this organism.
The 5' leader of the mgtCBR mRNA contains two short open reading frames (ORFs),
mgtM and mgtP, whose translation regulates the expression of the mgtCBR operon by
a mechanism that is similar to attenuation in amino acid biosynthetic operons. We
obtained two types of mutations that resulted in elevated transcription of the
operon: defects in the mgtM ribosome-binding site, impairing the translation of
this ORF and deletions encompassing the stop codon of mgtM that extend the
translation of this ORF across a downstream Rho termination site. These mgtM
mutations give further insights into the mechanism of the transcriptional control
of the mgtCBR operon that we discuss in this work. We show that the increased
thermotolerance requires elevated expression of the mgtC gene, but functional
mgtB and mgtR, which respectively encode an Mg2+ transporter and a regulatory
protein, are dispensable for this response. MgtC has been shown to have complex
functions, including a requirement for virulence, flagella-independent motility
and synthesis of cellulose and we now found that it has a role in the regulation
of thermotolerance.
PMID- 29802742
TI - Nutrition and Clinical Outcomes of Nutrition Support in Multidisciplinary Team
for Critically Ill Patients.
AB - BACKGROUND: To examine the outcomes of the implementation of a multidisciplinary
nutrition support team (NST) that included a pharmacist for critically ill
patients in the intensive care unit (ICU). METHODS: Data were retrospectively
collected from electronic medical records and compared between the pre-NST group
(n = 73) and post-NST group (n = 75). Patients were included if they received
enteral or parenteral nutrition support for at least 72 hours in the ICU of an
approximately 900-bed, top-tier university medical center. The percentage of goal
kcal, the percentage of goal protein, serum albumin level, total lymphocyte
count, C-reactive protein, duration of mechanical ventilation use, hospital
length of stay (LOS), ICU LOS, and mortality were evaluated. RESULTS: There were
significant differences in the percentage of goal kcal (66.9% +/- 25.9% vs 86.2%
+/- 27.5%; P < 0.001) and the percentage of goal protein (67.0% +/- 29.9% vs
81.7% +/- 30.7%; P < 0.05) between the pre-NST and post-NST groups. A higher
percentage of goal kcal was associated with a significant decrease in the ICU LOS
(P < 0.05) and hospital LOS (P < 0.05). The percentage of goal kcal was
associated with mortality rate (odd ratio, 0.977; 95% confidential interval,
0.959-0.996; P = 0.016). CONCLUSION: Implementation of a multidisciplinary NST
service for critically ill patients was associated with higher percentages of
goal kcal and goal protein, as well as a shortened duration of mechanical
ventilation use. Higher energy supply was associated with a reduced mortality
rate.
PMID- 29802743
TI - Fracture healing in a collagen-induced arthritis rat model: Radiology and
histology evidence.
AB - This research was designed to investigate the fracture healing pattern in a
rheumatoid arthritis (RA) rat model. A mid-shaft femur fracture (RA + F) model
and normal fracture (NF) model as control were established. Micro-CT, H&E
staining, TB staining, SO staining, tartrate-resistant acid phosphates, and
immunohistochemistry test were performed. In the micro-CT images and H&E stains,
fracture gaps were evident in the RA + F group 4 and 8 weeks after fracture. In
detail, the bone mineral density, the ratio of bone volume to tissue volume, and
trabecular thickness of the RA + F group were significantly lower than those of
the NF group at all time points. Trabecular number value was significantly lower
in the RA + F group 4 weeks after surgery in comparison with that of the NF
group. Furthermore, the structure model index test result of the RA + F group was
significantly higher than that of the NF group at all time points. TB staining
and SO staining test results showed that the NF group had more cartilaginous
callus in the earlier stage of bone healing process (4 weeks), and less cartilage
callus formation in the later stage (8 weeks) in comparison with that of the RA +
F group. Osteoclasts statistics score in the NF group were obviously lower than
that of the RA + F group at all time points. MMP-3 and OPN protein levels of the
fracture area in the RA + F group were significantly higher than those in the NF
group. This study improves the understanding of the bone healing characteristics
in patients with RA. (c) 2018 Orthopaedic Research Society. Published by Wiley
Periodicals, Inc. J Orthop Res.
PMID- 29802741
TI - Competence inhibition by the XrpA peptide encoded within the comX gene of
Streptococcus mutans.
AB - Streptococcus mutans displays complex regulation of natural genetic competence.
Competence development in S. mutans is controlled by a peptide derived from ComS
(XIP); which along with the cytosolic regulator ComR controls the expression of
the alternative sigma factor comX, the master regulator of competence
development. Recently, a gene embedded within the coding region of comX was
discovered and designated xrpA (comX regulatory peptide A). XrpA was found to be
an antagonist of ComX, but the mechanism was not established. In this study, we
reveal through both genomic and proteomic techniques that XrpA is the first
described negative regulator of ComRS systems in streptococci. Transcriptomic and
promoter activity assays in the DeltaxrpA strain revealed an up-regulation of
genes controlled by both the ComR- and ComX-regulons. An in vivo protein
crosslinking and in vitro fluorescent polarization assays confirmed that the N
terminal region of XrpA were found to be sufficient in inhibiting ComR-XIP
complex binding to ECom-box located within the comX promoter. This inhibitory
activity was sufficient for decreases in PcomX activity, transformability and
ComX accumulation. XrpA serving as a modulator of ComRS activity ultimately
results in changes to subpopulation behaviors and cell fate during competence
activation.
PMID- 29802744
TI - Transcriptional noise in intact and TGF-beta treated human kidney cells; the
importance of time-series designs.
AB - The transforming growth factor (TGF)-beta signaling pathway plays a key role in
various cellular processes. However, insufficient knowledge about the complex and
sometimes paradoxical functions of this pathway hinders its therapeutic
targeting. In this study, the transcriptional profile of seven mediators and
downstream elements of the TGF-beta pathway were assessed in TGF-beta treated and
untreated human kidney derived cells for 2 weeks in a time course manner. As
expected the up-regulation of ACTA2 and COL1A2 was evident in the treated cells.
However, we observed remarkable fluctuations in gene expression, even in the
supposedly steady states. The magnitude of noise was diverse in the examined
genes. Our findings underscore the significance of time-course designs for gene
expression analyses and clearly show that misleading data can be obtained in
single point measurements. Furthermore, we propose specific considerations in the
interpretation of time-course data in the context of noisy gene expression.
PMID- 29802745
TI - Atrial natriuretic peptide accelerates human endothelial progenitor cell
stimulated cutaneous wound healing and angiogenesis.
AB - Atrial natriuretic peptide (ANP) is a powerful vasodilating peptide secreted by
cardiac muscle cells, and endothelial progenitor cells (EPCs) have been reported
to stimulate cutaneous wound healing by mediating angiogenesis. To determine
whether ANP can promote the EPC-mediated repair of injured tissues, we examined
the effects of ANP on the angiogenic properties of EPCs and on cutaneous wound
healing. In vitro, ANP treatment enhanced the migration, proliferation, and
endothelial tube-forming abilities of EPCs. Furthermore, small interfering RNA
mediated silencing of natriuretic peptide receptor-1, which is a receptor for
ANP, abrogated ANP-induced migration, tube formation, and proliferation of EPCs.
In a murine cutaneous wound model, administration of either ANP or EPCs had no
significant effect on cutaneous wound healing or angiogenesis in vivo, whereas
the coadministration of ANP and EPCs synergistically potentiated wound healing
and angiogenesis. In addition, ANP promoted the survival and incorporation of
transplanted EPCs into newly formed blood vessels in wounds. These results
suggest ANP accelerates EPC-mediated cutaneous wound healing by promoting the
angiogenic properties and survival of transplanted EPCs.
PMID- 29802746
TI - Multidisciplinary amyotrophic lateral sclerosis telemedicine care: The store and
forward method.
AB - INTRODUCTION: Amyotrophic lateral sclerosis (ALS) patients benefit from
multidisciplinary care in an ALS clinic. We studied whether multidisciplinary
care of ALS patients using the store and forward method of telemedicine was
feasible and acceptable to patients and providers. METHODS: ALS patients seen in
the University of Florida (UF) Jacksonville ALS clinic were eligible for our
study. A trained telemedicine nurse performed and recorded a multidisciplinary
assessment of the patient in their home. Clinic team members reviewed the
assessments and provided recommendations, and the clinic director discussed the
plan with the patient via videoconference. Patient and provider satisfaction was
evaluated using surveys. RESULTS: Eighteen patients completed a total of 27
telemedicine visits. Patient satisfaction was excellent and provider satisfaction
was very good. DISCUSSION: The store and forward method of telemedicine is an
acceptable alternative to live telemedicine for the multidisciplinary care of ALS
patients. This method of care may improve access to multidisciplinary care for
this patient population. Muscle Nerve, 2018.
PMID- 29802747
TI - Surface Modification Mechanism of Cross-Linking and Acetylation, and Their
Influence on Characteristics of High Amylose Corn Starch.
AB - : Through dual modification of high amylose corn starch (HACS), the surface
modification mechanism of cross-linking and acetylation was mainly studied, and
their effect on the physicochemical properties of HACS was further investigated.
The variation in surface hydroxyl numbers showed that the influence of
acetylation on the structure of particles was obviously different from cross
linking. Cross-linking was carried out only on the granule surface, whereas
acetylation was finished not only on the surface but also in the interior of
grains. Cross-linking could unevenly produce many micropores on the particle
surface. The destruction level of HACS granules caused by acetylation was greater
than that of cross-linking according to XRD. The surface hydroxyl groups were not
distributed evenly on HACS particles. Cross-linking did not improve the freeze
thaw stability of HACS, but acetylation could improve its freeze-thaw stability.
The variation in the blue value caused by cross-linking was more than by
acetylation. PRACTICAL APPLICATION: The surface modification mechanism of cross
linking and acetylation will provide the theoretical basis for industrial
production of cross-linked starch, acetylated starch, and cross-linked acetylated
starch. For the surface modification, the cross-linking degree was better
evaluated by the surface hydroxyl group numbers than the conventional
sedimentation volume method. The development of cross-linked acetylated high
amylose corn starch as a new additive will further enlarge the application of
high amylose corn starch in food, textile, medicine, and so on.
PMID- 29802748
TI - Polymorphisms in genes related to inflammation and obesity and colorectal adenoma
risk.
AB - We previously investigated the association between single nucleotide
polymorphisms (SNPs) in genes related to obesity and inflammation and colorectal
cancer in the CLUE II cohort. However, the relationships between these SNPs and
colorectal adenomas have not been well evaluated. In a nested case-control study
of 135 incident adenoma cases and 269 matched controls in the CLUE II cohort
(1989-2000), we genotyped 17 candidate SNPs in 12 genes (PPARG, TCF7L2, ADIPOQ,
LEP, IL10, CRP, TLR4, IL6, IL1B, IL8, TNF, RNASEL) and 19 tagSNPs in three genes
(IL10, CRP, and TLR4). Conditional logistic regression was used to calculate odds
ratios (OR) for adenomas (overall and by size, histology, location, number).
Polymorphisms in the inflammatory-related genes CRP, ADIPOQ, IL6, and TLR4 were
observed to be associated with adenoma risk. At rs1205 in CRP, T (minor allele)
carriers had a higher risk (OR 1.67, 95%CI 1.07-2.60; reference: CC) of adenomas
overall and adenomas with aggressive characteristics. At rs1201299 in ADIPOQ, the
AC genotype had a higher risk (OR 1.58, 95%CI 1.00-2.49) of adenomas, while the
minor AA genotype had a borderline inverse association (OR 0.44, 95%CI 0.18-1.08;
reference: CC). At rs1800797 in IL6, the AA genotype had a borderline inverse
association (OR 0.53, 95%CI 0.27-1.05; reference: GG). Three TLR4 tagSNPs
(rs10116253, rs1927911, rs7873784) were associated with adenomas among obese
participants. None of these SNPs were associated with colorectal cancer in our
prior study in CLUE II, possibly suggesting a different genetic etiology for
early colorectal neoplasia.
PMID- 29802749
TI - Effects of loss of lateral hydrological connectivity on fish functional
diversity.
AB - Loss of lateral hydrological connectivity (LHC) is a major cause of biodiversity
decline in river floodplains, yet little is known about its effects on aquatic
functional diversity in these ecosystems. We quantified functional alpha and beta
diversity of fish assemblages in Yangtze River floodplain lakes and explored
their responses to loss of LHC with generalized linear mixed models. Functional
richness was much lower in lakes that were not connected to the Yangtze River
(i.e., disconnected lakes), where functional evenness and divergence were higher.
LHC was the most important factor shaping fish diversity patterns in this region.
Predicted reductions in functional richness and taxonomic richness due to LHC
loss were higher for functional richness (0.47-0.82) than taxonomic richness
(0.32) for all species assemblages except nonmigratory species. The distribution
of functional strategies of migratory and nonmigratory fishes was highly uneven
throughout the floodplain. Taxonomic beta diversity was much higher than
functional beta diversity. The former was due mainly to spatial turnover (73.6
83.8%), which suggested that dissimilarity of diversity among fish assemblages
was largely induced by species replacement. The latter was induced by the
nestedness-resultant component of overall beta diversity (70.7-86.0%), which
indicated a high degree of function loss without replacement. Both taxonomic and
functional beta diversity were higher in disconnected lakes, where they were
significantly correlated with fishing activity and water quality, than in river
connected lakes. We showed for the first time the effects of loss of LHC on fish
functional diversity in large river floodplains. We found a serious decline of
fish functional richness in the Yangtze floodplain, and functional diversity
remained highly vulnerable to loss of LHC even though this is a species-rich
ecosystem.
PMID- 29802750
TI - Occupancy modeling species-environment relationships with non-ignorable survey
designs.
AB - Statistical models supporting inferences about species occurrence patterns in
relation to environmental gradients are fundamental to ecology and conservation
biology. A common implicit assumption is that the sampling design is ignorable
and does not need to be formally accounted for in analyses. The analyst assumes
data are representative of the desired population and statistical modeling
proceeds. However, if data sets from probability and non-probability surveys are
combined or unequal selection probabilities are used, the design may be non
ignorable. We outline the use of pseudo-maximum likelihood estimation for site
occupancy models to account for such non-ignorable survey designs. This
estimation method accounts for the survey design by properly weighting the pseudo
likelihood equation. In our empirical example, legacy and newer randomly selected
locations were surveyed for bats to bridge a historic statewide effort with an
ongoing nationwide program. We provide a worked example using bat acoustic
detection/non-detection data and show how analysts can diagnose whether their
design is ignorable. Using simulations we assessed whether our approach is viable
for modeling data sets composed of sites contributed outside of a probability
design. Pseudo-maximum likelihood estimates differed from the usual maximum
likelihood occupancy estimates for some bat species. Using simulations we show
the maximum likelihood estimator of species-environment relationships with non
ignorable sampling designs was biased, whereas the pseudo-likelihood estimator
was design unbiased. However, in our simulation study the designs composed of a
large proportion of legacy or non-probability sites resulted in estimation issues
for standard errors. These issues were likely a result of highly variable weights
confounded by small sample sizes (5% or 10% sampling intensity and four
revisits). Aggregating data sets from multiple sources logically supports larger
sample sizes and potentially increases spatial extents for statistical
inferences. Our results suggest that ignoring the mechanism for how locations
were selected for data collection (e.g., the sampling design) could result in
erroneous model-based conclusions. Therefore, in order to ensure robust and
defensible recommendations for evidence-based conservation decision-making, the
survey design information in addition to the data themselves must be available
for analysts. Details for constructing the weights used in estimation and code
for implementation are provided.
PMID- 29802751
TI - Extraintestinal pathogenic Escherichia coli increase extracytoplasmic
polysaccharide biosynthesis for serum resistance in response to bloodstream
signals.
AB - Extraintestinal pathogenic Escherichia coli (ExPEC) is one of the leading causes
of bloodstream infections. Characteristically, these organisms exhibit strong
resistance to the bactericidal action of host serum. Although numerous serum
resistance factors in ExPEC have been identified, their regulatory mechanisms
during in vivo infection remain largely unknown. Here, RNA sequencing analyses
together with quantitative reverse-transcription PCR revealed that ExPEC genes
involved in the biosynthesis of extracytoplasmic polysaccharides (ECPs) including
K-capsule, lipopolysaccharide (LPS), colanic acid, peptidoglycan and Yjb
exopolysaccharides were significantly upregulated in response to serum under low
oxygen conditions and during bloodstream infection. The oxygen sensor FNR
directly activated the expression of K-capsule and colanic acid and also
indirectly modulated the expression of colanic acid, Yjb exopolysaccharides and
peptidoglycan via the known Rcs regulatory system. The global regulator Fur
directly or indirectly repressed the expression ofECP biosynthesis genes in iron
replete media, whereas the low iron conditions in the bloodstream could relieve
Fur repression. Using in vitro and animal models, FNR, Fur and the Rcs system
were confirmed as contributing to ExPEC ECP production, serum resistance and
virulence. Altogether, these findings indicated that the global regulators FNR
andFur and the signaling transduction system Rcs coordinately regulated the
expression of ECP biosynthesis genes leading to increased ExPEC serum resistance
in response to low oxygen and low iron levels in the bloodstream.
PMID- 29802753
TI - Sesquiterpene Synthase-Catalysed Formation of a New Medium-Sized Cyclic Terpenoid
Ether from Farnesyl Diphosphate Analogues.
AB - Terpene synthases catalyse the first step in the conversion of prenyl
diphosphates to terpenoids. They act as templates for their substrates to
generate a reactive conformation, from which a Mg2+ -dependent reaction creates a
carbocation-PPi ion pair that undergoes a series of rearrangements and
(de)protonations to give the final terpene product. This tight conformational
control was exploited for the (R)-germacrene A synthase- and germacradien-4-ol
synthase-catalysed formation of a medium-sized cyclic terpenoid ether from
substrates containing nucleophilic functional groups. Farnesyl diphosphate
analogues with a 10,11-epoxide or an allylic alcohol were efficiently converted
to a 11-membered cyclic terpenoid ether that was characterised by HRMS and NMR
spectroscopic analyses. Further experiments showed that other sesquiterpene
synthases, including aristolochene synthase, delta-cadinene synthase and
amorphadiene synthase, yielded this novel terpenoid from the same substrate
analogues. This work illustrates the potential of terpene synthases for the
efficient generation of structurally and functionally novel medium-sized terpene
ethers.
PMID- 29802752
TI - The microbiota of traumatic, open fracture wounds is associated with mechanism of
injury.
AB - Open fractures are characterized by disruption of the skin and soft tissue, which
allows for microbial contamination and colonization. Preventing infection-related
complications of open fractures and other acute wounds remains an evolving
challenge due to an incomplete understanding of how microbial colonization and
contamination influence healing and outcomes. Culture-independent molecular
methods are now widely used to study human-associated microbial communities
without introducing culture biases. Using such approaches, the objectives of this
study were to (1) define the long-term temporal microbial community dynamics of
open fracture wounds and (2) examine microbial community dynamics with respect to
clinical and demographic factors. Fifty-two subjects with traumatic open fracture
wounds (32 blunt and 20 penetrating injuries) were enrolled prospectively and
sampled longitudinally from presentation to the emergency department (ED) and at
each subsequent inpatient or outpatient encounter. Specimens were collected from
both the wound center and adjacent skin. Culture-independent sequencing of the
16S ribosomal RNA gene was employed to identify and characterize microbiota. Upon
presentation to the ED and time points immediately following, sample collection
site (wound or adjacent skin) was the most defining feature discriminating
microbial profiles. Microbial composition of adjacent skin and wound center
converged over time. Mechanism of injury most strongly defined the microbiota
after initial convergence. Further analysis controlling for race, gender, and age
revealed that mechanism of injury remained a significant discriminating feature
throughout the continuum of care. We conclude that the microbial communities
associated with open fracture wounds are dynamic in nature until eventual
convergence with the adjacent skin community during healing, with mechanism of
injury as an important feature affecting both diversity and composition of the
microbiota. A more complete understanding of the factors influencing microbial
contamination and/or colonization in open fractures is a critical foundation for
identifying markers indicative of outcome and deciphering their respective
contributions to healing and/or complication.
PMID- 29802754
TI - Fatty liver mediated by peroxisome proliferator-activated receptor-alpha DNA
methylation can be reversed by a methylation inhibitor and curcumin.
AB - OBJECTIVE: Our studies in vitro and in vivo aimed to investigate the influence of
DNA methylation of peroxisome proliferator activated receptor-alpha (PPAR-alpha)
gene in non-alcoholic fatty liver disease (NAFLD) pathogenesis and to observe
whether the DNA methylation inhibitor 5-Aza-2'-deoxycytidine (5-Aza-CdR) and the
herbal medicine curcumin might reverse the effect both in vivo and in vitro.
METHODS: Steatotic hepatocyte model of cell lines and NAFLD rat models were
established following protocols documented in previous studies. Subsequently, the
models received 5-Aza-CdR and curcumin treatment. Morphological, histological and
laboratory variables in each group were determined by routine methods, including
PPAR-alpha mRNA expression by polymerase chain reaction (PCR), PPAR-alpha protein
expression by Western blot and DNA methylation by pyrosequencing. RESULTS: The
steatotic hepatocyte model and NAFLD rat model were completely established. The
expressions of PPAR-alpha mRNA and protein were significantly lower in the
steatotic hepatocyte and NAFLD rat model groups than in the controls (P < 0.05).
The mean DNA methylation levels of the PPAR-alpha gene were significantly higher
in the two steatotic model groups than in the controls, especially at several CpG
sites (P < 0.05). 5-Aza-CdR and curcumin treatment significantly reversed the DNA
methylation levels, increased PPAR-alpha mRNA and protein expression, and
improved lipid accumulation in the two steatotic models (P < 0.05). CONCLUSIONS:
DNA methylation at the PPAR-alpha gene is involved in the pathogenesis of NAFLD
and is possibly reversible by 5-Aza-CdR and curcumin. Curcumin may be a promising
candidate for NAFLD therapy.
PMID- 29802755
TI - Optimal body mass index cut-off points for prediction of incident diabetes in a
Chinese population.
AB - BACKGROUND: The current body mass index (BMI) classifications have been
established based on the risk of obesity-related conditions, but not specifically
on type 2 diabetes mellitus (T2DM). The aim of this study was to identify the
optimal BMI cut-off points for assessing incident T2DM risk in the Chinese
population. METHODS: The longitudinal study cohort consisted of 8735 non-diabetic
participants aged 20-74 years at baseline, with a mean follow-up period of 6.0
years. Body mass index, 2-h plasma glucose after a 75-g oral glucose tolerance
test, and HbA1c were measured at baseline and follow-up. RESULTS: During the
follow-up period, 825 participants were diagnosed with T2DM. In multivariable Cox
regression analyses, after adjusting for covariates, a strong positive
association between BMI and incident T2DM was found in the whole population;
however, when stratified by age groups (20-39, 40-59, and 60-74 years), the risk
associations between BMI and incident T2DM decreased with increasing age and were
no longer evident in the 60-74 years group (Pinteraction < 0.001). The optimal
BMI cut-off points for predicting T2DM risk for men and women were 25.5 and 24.4
kg/m2 , respectively, in the 20-39 years group, and 23.5 and 23.0 kg/m2 ,
respectively, in the 40-59 years group. There was no predictive performance of
BMI in the 60-74 years group for either sex. CONCLUSIONS: The results suggest
that the performance of BMI in predicting T2DM risk was best in subjects of
younger age and decreased with age. Age- and sex-specific BMI cut-off points
should be considered for T2DM risk stratification in the Chinese population.
PMID- 29802756
TI - Thoracoscopic decortication for the management of trapped lung caused by 14-year
pneumothorax: A case report.
AB - Trapped lung is defined by the lung's inability to expand and fill the thoracic
cavity because of a restricting "peel" caused by benign or malignant pleural
disease. However, trapped lung secondary to pneumothorax is rarely reported. We
present a case of trapped lung caused by a pneumothorax that occurred some 14
years before the patient presented to our hospital with a complaint of
incapacitating dyspnea. Computed tomography (CT) scans revealed trapping of the
right lung with abnormal thickening of the visceral pleura. In view of the
patient's history of pneumothorax, we concluded that his dyspnea was attributable
mainly to the trapping of his lung by the earlier pneumothorax. We therefore
scheduled thoracoscopic decortication, which was successfully completed. The
patient's recovery after the operation was uneventful, and seven weeks after
surgery the right lung had re-expanded well.
PMID- 29802757
TI - CRISPR-Based Targeted Epigenetic Editing Enables Gene Expression Modulation of
the Silenced Beta-Galactoside Alpha-2,6-Sialyltransferase 1 in CHO Cells.
AB - Despite great efforts to control and modify gene expression of Chinese Hamster
Ovary (CHO) cells by conventional genetic engineering approaches, i.e.
overexpression or knockdown/-out, subclonal variation, induced unknown regulatory
effects as well as overexpression stress are still a major hurdle for efficient
cell line engineering and for unequivocal characterization of gene function. The
use of epigenetic modulators - key players in CHO clonal heterogeneity - has only
been marginally addressed so far. Here, we present the application of an
alternative engineering strategy in CHO cells by utilizing targeted epigenetic
editing tools that enable the turning-on or -off of genes without altering the
genomic sequence. The present, but silent beta-galactoside alpha-2,6
sialyltransferase 1 (ST6GAL1) gene is activated by targeting the catalytic domain
(CD) of Ten-Eleven Translocation methylcytosine dioxygenase 1 (TET1) via
deactivated Cas9 (dCas9) to its methylated promoter. Stable upregulation in up to
60% of transfected cells is achieved over a time span of more than 80 days. No
difference in growth and recombinant protein productivity is observed between
activated and control cultures. Re-silencing by targeted methylation via DNA
methyltransferase (DNMT) 3A-CD resulted in an up to 5.4-fold reduction of ST6GAL1
mRNA expression in ST6GAL1 expressing cells. This proof-of-concept demonstrates
the feasibility of using epigenetic editing tools to efficiently modulate gene
expression and provide a promising complement to conventional genetic engineering
in CHO cells.
PMID- 29802759
TI - Ocular surface status in glaucoma and ocular hypertension patients with existing
corneal disorders switched from latanoprost 0.005% to tafluprost 0.0015%:
comparison of two prostaglandin analogues with different concentrations of
benzalkonium chloride.
AB - IMPORTANCE: Glaucoma treatment has often been associated with adverse side
effects from preservatives that are included in the used eye drops. BACKGROUND:
To evaluate changes in the ocular surface and the presence of prostaglandin
induced corneal disorders after being switched from latanoprost 0.005% to low
preservative tafluprost 0.0015% ophthalmic solution. DESIGN: Single centre,
prospective study. PARTICIPANTS: Patients with primary open-angle glaucoma or
ocular hypertension that had received treatment with once daily latanoprost
0.005% ophthalmic solution for control of intraocular pressure (IOP) for 3
months, with a score of above 1 on the National Eye Institute (NEI) ocular
surface staining scale. METHODS: Following the >=3 month latanoprost treatment
period, patients were switched to once daily low preservative tafluprost 0.0015%
ophthalmic solution. Patients were followed for a minimum of 3 months. MAIN
OUTCOME MEASURES: Ocular surface changes were assessed by fluorescein staining
score (NEI scale). Additional evaluations included tear break-up time, hyperaemia
score, subjective symptoms, changes in intraocular pressure and presence of
adverse reactions. RESULTS: Out of 59 patients enrolled, 51 were included in the
final analysis. Fluorescein staining scores at baseline, prior to treatment
switch, were 6.9 +/- 3.1 and 3.3 +/- 2.7 at the end of the study period (change
in scores was -3.6 +/- 2.2 [P < 0.001]). At last follow-up, significant
improvements were observed in tear break-up time, hyperaemia score and subjective
symptoms (all P < 0.05). CONCLUSIONS AND RELEVANCE: The clinical signs of ocular
surface disease and subjective symptoms of dry eyes improved following the switch
to low preservative tafluprost and demonstrated comparable IOP lowering
effectiveness.
PMID- 29802760
TI - Increasing Cell Seeding Density Improves Elastin Expression and Mechanical
Properties in Collagen Gel-Based Scaffolds Cellularized with Smooth Muscle Cells.
AB - Vascular tissue engineering combines cells with scaffold materials in vitro
aiming the development of physiologically relevant vascular models. For natural
scaffolds such as collagen gels, where cells can be mixed with the material
solution before gelation, cell seeding density is a key parameter that can affect
extracellular matrix deposition and remodeling. Nonetheless, this parameter is
often overlooked and densities sensitively lower than those of native tissues,
are usually employed. Herein, the effect of seeding density on the maturation of
tubular collagen gel-based scaffolds cellularized with smooth muscle cells is
investigated. The compaction, the expression, and deposition of key vascular
proteins and the resulting mechanical properties of the constructs are evaluated
up to 1 week of maturation. Results show that increasing cell seeding density
accelerates cell-mediated gel compaction, enhances elastin expression (more than
sevenfold increase at the highest density, Day 7) and finally improves the
overall mechanical properties of constructs. Of note, the tensile equilibrium
elastic modulus, evaluated by stress-relaxation tests, reach values comparable to
native arteries for the highest cell density, after a 7-day maturation.
Altogether, these results show that higher cell seeding densities promote the
rapid maturation of collagen gel-based vascular constructs toward structural and
mechanical properties better mimicking native arteries.
PMID- 29802761
TI - Impact of Dean Vortices on the Integrity Testing of a Continuous Viral
Inactivation Reactor.
AB - We propose a standard protocol for integrity testing the residence-time
distribution (RTD) in a "Jig in a Box" design (JIB)-a previously described
tortuous-path, tubular, low-pH, continuous viral inactivation reactor, ensuring
that biopharmaceutical products will be incubated for the required minimum
residence time, tmin . tmin is the time by which just 0.001% of the total product
containing virus has exited the incubation chamber (i.e., t0.00001 ). This
t0.00001 is selected to ensure a >4-log reduction in viral load. As current
tracers and in-line analytical technologies may not be able to detect tracers at
the 0.001% level, an alternative approach is required. The authors describe a
method for deriving tmin from t0.005 (i.e., the time at which 0.5% of the product
has emerged from the reactor outlet) and an experimentally confirmed offset
value, toffset = t0.005 -t0.00001 . The authors also evaluate tracer candidates
including 100-nm-diameter gold nanoparticles, dextrose, monoclonal antibody, and
riboflavin-for pre-process acceptability and the effects of viscosity, molecular
diffusion coefficient, and particle size. The authors show that a JIB will yield
tmin and RTDs that are nearly identical for multiple tracers due to Dean vortex
induced mixing. Results indicate that almost any small-molecule tracer that is
generally recognized as safe can be used in pre-use integrity testing of a
continuous viral inactivation reactor under the Deans values (De) of 119-595.
PMID- 29802763
TI - An RNA Origami Octahedron with Intrinsic siRNAs for Potent Gene Knockdown.
AB - The fields of DNA and RNA nanotechnology have established nucleic acids as
valuable building blocks for functional nanodevices with applications in
nanomedicine. Here, a simple method for designing and assembling a 3D scaffolded
RNA origami wireframe structure with intrinsic functioning small interfering RNAs
(siRNAs) embedded is introduced. Uniquely, the method uses an mRNA fragment as
scaffold strand, which is folded by sequence-complementarity of nine shorter
synthetic strands. High-yield production of the intended 3D structure is verified
by transmission electron microscopy (TEM). Production of functional siRNAs is
facilitated by incorporating recognition sites for Dicer at selected locations in
the structure, and efficient silencing of a target reporter gene is demonstrated.
PMID- 29802762
TI - Low FT3 is a valuable predictor of severe acute pancreatitis in the emergency
department.
AB - OBJECTIVE: To investigate the relationship between serum levels of thyroid
hormones and disease severity at the early stage of acute pancreatitis (AP).
METHODS: Cohort data from 172 patients with AP attending the Emergency Department
of our hospital from 2012 to 2017 were retrospectively reviewed and analyzed.
Patients were categorized into mild (MAP), moderately severe (MSAP) and severe AP
(SAP) groups based on the disease severity. The MSAP and SAP groups were combined
into a non-MAP group for analysis. The predictive values of C-reactive protein
(CRP) levels, Ranson score, APACHE II score and serum thyroid hormone levels were
analyzed. RESULTS: In total, 76 (44.2%), 85 (49.4%) and 11 (6.4%) patients were
included in the MAP, MSAP and SAP groups, respectively. The free triiodothyronine
(FT3) levels were significantly lower in the combined non-MAP group than in the
MAP group. The tetraiodothyronine (T4) levels were significantly lower in the SAP
group than in the MAP and MSAP groups (P = 0.002). Logistic regression analysis
revealed that a low FT3 level was an independent risk factor for the incidence of
non-MAP (P = 0.004). The area under the receiver operating characteristic curve
and sensitivity of FT3 were 0.729 and 88.2%, respectively, which were higher than
those of CRP, Ranson and APACHE II scores. CONCLUSION: Monitoring FT3 levels in
the early stage of AP is helpful for evaluating disease severity and predicting
the incidence of non-MAP, making it a useful tool for guiding AP treatment.
PMID- 29802764
TI - Prevalence of reduced visual acuity among preschool children in eastern China and
comparison at a 5-year interval.
AB - IMPORTANCE: Assessing the prevalence of reduced visual acuity and its change over
time has significant public health importance. This study evaluated prevalence of
reduce visual acuity in children aged 48-60 months, and compared it with previous
data. BACKGROUND: Previous studies reported prevalence of reduced visual acuity
in preschool children, but none has evaluated prevalence change in the same area.
DESIGN: Nanjing Eye Study, a longitudinal population-based study. PARTICIPANTS:
Two thousand three hundred eligible children. METHODS: Comprehensive eye
examinations. MAIN OUTCOME MEASURES: Uncorrected visual acuity, presenting visual
acuity, best-corrected visual acuity, anterior segment and fundus examination,
cycloplegic refraction. RESULTS: Based on the worse and better eye, respectively,
6.8% and 3.5% had reduced uncorrected visual acuity and 4.0% and 1.4% had reduced
presenting visual acuity. Compared to rates in the Nanjing Paediatric Vision
Project carried out 5 years ago in the same area, the prevalence rates of reduced
uncorrected visual acuity were significantly higher (6.8% vs. 5.3%, P = 0.04 for
worse eye; 3.5% vs. 2.1%, P = 0.0049 for better eye), but there was no
significant increase in rates of reduced presenting visual acuity (4.0% vs. 3.7%,
P = 0.63 for better eye; 1.4% vs. 1.7%, P = 0.43 for worse eye). The prevalence
rate of glasses prescription is higher in this study (4.5% vs. 3.1%, P = 0.02).
CONCLUSIONS AND RELEVANCE: Prevalence of reduced uncorrected visual acuity
increased significantly, indicating that Chinese children are faced with more
vision-threatening factors. However, more children with refractive errors got
appropriate correction.
PMID- 29802765
TI - Sensitization of Cancer Cells via Non-Viral Delivery of Apoptosis Inducing
Proteins Using a Cationic Bolaamphiphile.
AB - : Cationic bolaamphiphile polymers had been previously studied as efficient
delivery system for the delivery of proteins with relatively low toxicity. Here,
the authors investigate the use of a protein delivery system based on a cationic
bolaamphiphile to sensitize cancer cells toward apoptosis-inducing drugs as a
novel approach for cancer therapy. The authors demonstrates the efficacy of the
system by two strategies. The first strategy involves delivery of a survivin
antibody to inhibit survivin activity. Sensitization of MCF-7 cells to
doxorubicin is observed by survivin inhibition by antibodies. The IC50 of
doxorubicin is reduced ~2.5-fold after delivery of survivin antibodies to breast
cancer cells and induction of apoptosis is shown by Western blotting with
apoptosis specific antibodies. In a second approach, functional wild type p53 is
delivered into p53-null liver cancer (Hep3B) cells, sensitizing the cells toward
the p53 pathway drug, Nutlin. Nutlin reduced the viability of Hep3B cells by ~42%
at 15 MUM concentration, demonstrating the effectiveness of p53 delivery. The
expression of p21, a downstream target of p53 further confirmed the functional
status of the delivered protein. IN CONCLUSION: The successful delivery of
apoptosis inducing proteins and sensitization of cancer cells via cationic
bolaamphiphile polymer represents a promising system for cancer therapeutics.
PMID- 29802758
TI - iPlasticity: Induced juvenile-like plasticity in the adult brain as a mechanism
of antidepressants.
AB - The network hypothesis of depression proposes that mood disorders reflect
problems in information processing within particular neural networks.
Antidepressants (AD), including selective serotonin reuptake inhibitors (SSRI),
function by gradually improving information processing within these networks. AD
have been shown to induce a state of juvenile-like plasticity comparable to that
observed during developmental critical periods: Such critical-period-like
plasticity allows brain networks to better adapt to extrinsic and intrinsic
signals. We have coined this drug-induced state of juvenile-like plasticity
'iPlasticity.' A combination of iPlasticity induced by chronic SSRI treatment
together with training, rehabilitation, or psychotherapy improves symptoms of
neuropsychiatric disorders and issues underlying the developmentally or
genetically malfunctioning networks. We have proposed that iPlasticity might be a
critical component of AD action. We have demonstrated that iPlasticity occurs in
the visual cortex, fear erasure network, extinction of aggression caused by
social isolation, and spatial reversal memory in rodent models. Chronic SSRI
treatment is known to promote neurogenesis and to cause dematuration of granule
cells in the dentate gyrus and of interneurons, especially parvalbumin
interneurons enwrapped by perineuronal nets in the prefrontal cortex, visual
cortex, and amygdala. Brain-derived neurotrophic factor (BDNF), via its receptor
tropomyosin kinase receptor B, is involved in the processes of synaptic
plasticity, including neurogenesis, neuronal differentiation, weight of synapses,
and gene regulation of synaptic formation. BDNF can be activated by both chronic
SSRI treatment and neuronal activity. Accordingly, the BDNF/tropomyosin kinase
receptor B pathway is critical for iPlasticity, but further analyses will be
needed to provide mechanical insight into the processes of iPlasticity.
PMID- 29802767
TI - Which predictors differentiate between obese children and adolescents with
cardiometabolic complications and those with metabolically healthy obesity?
AB - BACKGROUND/AIMS: Childhood obesity and associated metabolic comorbidities is a
major global health concern. Metabolically healthy obesity (MHO) may represent a
subgroup of individuals in which excessive body fat accumulation does not lead to
adverse metabolic effects. We aimed to determine the prevalence of MHO among
obese Israeli children and adolescents and to find predictors for metabolically
unhealthy obesity (MUO). METHODS: In a retrospective study, demographic,
anthropometric, lifestyle, and cardiometabolic data were retrieved from medical
records of patients with a body mass index (BMI) >95th percentile aged 6 to 17.6
years, attending a tertiary pediatric obesity clinic between 2008 and 2015, with
at least 1 year of follow-up. Participants were dichotomized as either MHO or MUO
based on cardiometabolic risk factor clustering (blood pressure, serum lipids,
and glucose). Multivariable logistic regression was used to determine predictors
of MUO. RESULTS: Of the 230 children (median age 9.9 years) fulfilling study
criteria, 48 (20.9%) were classified as MHO. Occurrence of MUO was associated
with male gender, Arabic ethnicity, higher BMI-SD score, higher tri-ponderal mass
index (TMI), and higher insulin resistance (IR) (presence of acanthosis nigricans
and a higher level of homeostasis model assessment-IR [HOMA-IR]). Male gender
(odds ratio [OR] 2.27, P = .033), presence of acanthosis nigricans at baseline
(OR 2.35, P = .035), and a greater increase in BMI-SDS during follow-up (OR 2.82,
P = .05) were the best predictors of MUO. CONCLUSIONS: The MHO phenotype was
present in only 20.9% of obese Israeli children. MUO was significantly associated
with male gender, with presence of acanthosis nigricans, and with a greater
increase in BMI-SDS during follow-up.
PMID- 29802766
TI - Engineered FcRn Binding Fusion Peptides Significantly Enhance the Half-Life of a
Fab Domain in Cynomolgus Monkeys.
AB - There is a rapidly growing reinvigoration of the investigation of small proteins,
cyclic peptides, and mAb derived domains as biotherapies. The drugability of
these structures are challenged by fast peripheral clearance properties that can
reduce their potential to be realized as medicines. Engineering strategies have
been of limited value because mechanistically the half-life benefit is manifested
by increasing the molecular weight and/or the hydrodyanimc radius which slows the
molecule's renal elimination, but can result in the inherent loss of activity and
target accessibility. The present work evaluated an alternative approach using
smaller peptide sequences which bind to the neonatal Fc receptor (FcRn). Results
revealed, small linear and cyclic FcRn binding peptides (FcRnBPs) fused to a
combination of the N- and C-termini of a Fab can significantly improve the
pharmacokinetics of the protein in cynomolgus monkeys relative to the parental
Fab. The linear and cyclic conformations, as well as, the number of FcRnBPs fused
to the Fab both influence the clearance and the extent of pharmacokinetic
benefit. FcRnBP fusion protein kinetics were also affected by a combination of
post-translation modifications and non-specific binding properties. The results
in this report lay some foundation in fostering the advent of newer technologies
toward successfully improving the pharmacokinetics of proteins, peptides, and mAb
derived domains. Additional work in the integration of a variety of factors
including the intended site of action, tissue disposition, metabolism, toxicity
and pharmacokinetic, and pharmacodynamics relationship of the intended
therapeutic modality are key areas for advancement of these approaches.
PMID- 29802768
TI - Negative association between serum aryl hydrocarbon receptor concentrations and
beta-cell function in patients with no history of diabetes undergoing coronary
angiography.
AB - BACKGROUND: The aim of the present study was to investigate the association
between serum aryl hydrocarbon receptor (AhR) levels and insulin resistance and
beta-cell function in patients undergoing coronary angiography with no history of
diabetes. METHODS: Patients with no history of diabetes who had undergone
coronary angiography underwent an oral glucose tolerance test (OGTT) 2-4 weeks
after discharge from hospital; blood samples were collected for measurements of
glucose, insulin, and AhR. Patients' glucose regulation status was determined on
the basis of the OGTT. Insulin resistance was assessed using the homeostasis
model assessment of insulin resistance (HOMA-IR). beta-Cell function was assessed
using the insulinogenic index (IGI). RESULTS: The study included 473 patients
(mean (+/-SD) age 61 +/-12 years, 81.8% male, mean body mass index 26.1 +/-3.6
kg/m2 ). Overall, mean serum AhR concentrations were 25.1 +/-12.2 pg/mL. Patients
with normal glucose tolerance had a lower serum AhR concentrations than patients
with prediabetes or newly diagnosed diabetes (23.4 +/-10.8 vs 26.2 +/-13.2 and
26.9 +/-12.3 pg/mL, respectively; P = 0.029). Linear regression analysis revealed
that serum AhR concentrations were not associated with HOMA-IR, but were
negatively associated with IGI after adjustment for several confounders,
including HOMA-IR (beta = -0.162; 95% confidence interval - 0.302, -0.022; P =
0.023). CONCLUSIONS: In patients with no history of diabetes, serum AhR
concentrations were negatively associated with beta-cell function, independent of
several confounders, including insulin resistance.
PMID- 29802769
TI - The HLA-B*58:01:20 allele identified in a volunteer donor for hematopoietic stem
cell transplant.
AB - HLA-B*58:01:20 differs from HLA-B*58:01:01:01 by a single synonymous nucleotide
exchange at position 297 in exon 3.
PMID- 29802771
TI - Precision Communication-A Path Forward to Improve Goals-of-Care Communication.
PMID- 29802770
TI - Effect of a Patient and Clinician Communication-Priming Intervention on Patient
Reported Goals-of-Care Discussions Between Patients With Serious Illness and
Clinicians: A Randomized Clinical Trial.
AB - Importance: Clinician communication about goals of care is associated with
improved patient outcomes and reduced intensity of end-of-life care, but it is
unclear whether interventions can improve this communication. Objective: To
evaluate the efficacy of a patient-specific preconversation communication-priming
intervention (Jumpstart-Tips) targeting both patients and clinicians and designed
to increase goals-of-care conversations compared with usual care. Design,
Setting, and Participants: Multicenter cluster-randomized trial in outpatient
clinics with physicians or nurse practitioners and patients with serious illness.
The study was conducted between 2012 and 2016. Interventions: Clinicians were
randomized to the bilateral, preconversation, communication-priming intervention
(n = 65) or usual care (n = 67), with 249 patients assigned to the intervention
and 288 to usual care. Main Outcomes and Measures: The primary outcome was
patient-reported occurrence of a goals-of-care conversation during a target
outpatient visit. Secondary outcomes included clinician documentation of a goals
of-care conversation in the medical record and patient-reported quality of
communication (Quality of Communication questionnaire [QOC]; 4-indicator latent
construct) at 2 weeks, as well as patient assessments of goal-concordant care at
3 months and patient-reported symptoms of depression (8-item Patient Health
Questionnaire; PHQ-8) and anxiety (7-item Generalized Anxiety Disorder survey;
GAD-7) at 3 and 6 months. Analyses were clustered by clinician and adjusted for
confounders. Results: We enrolled 132 of 485 potentially eligible clinicians (27%
participation; 71 women [53.8%]; mean [SD] age, 47.1 [9.6] years) and 537 of 917
eligible patients (59% participation; 256 women [47.7%]; mean [SD] age, 73.4
[12.7] years). The intervention was associated with a significant increase in a
goals-of-care discussion at the target visit (74% vs 31%; P < .001) and increased
medical record documentation (62% vs 17%; P < .001), as well as increased patient
rated quality of communication (4.6 vs 2.1; P = .01). Patient-assessed goal
concordant care did not increase significantly overall (70% vs 57%; P = .08) but
did increase for patients with stable goals between 3-month follow-up and last
prior assessment (73% vs 57%; P = .03). Symptoms of depression or anxiety were
not different between groups at 3 or 6 months. Conclusions and Relevance: This
intervention increased the occurrence, documentation, and quality of goals-of
care communication during routine outpatient visits and increased goal-concordant
care at 3 months among patients with stable goals, with no change in symptoms of
anxiety or depression. Understanding the effect on subsequent health care
delivery will require additional study. Trial Registration: ClinicalTrials.gov
identifier: NCT01933789.
PMID- 29802772
TI - The prevalence and impact of transient species in ecological communities.
AB - Transient species occur infrequently in a community over time and do not maintain
viable local populations. Because transient species interact differently than non
transients with their biotic and abiotic environment, it is important to
characterize the prevalence of these species and how they impact our
understanding of ecological systems. We quantified the prevalence and impact of
transient species in communities using data on over 19,000 community time series
spanning an array of ecosystems, taxonomic groups, and spatial scales. We found
that transient species are a general feature of communities regardless of taxa or
ecosystem. The proportion of these species decreases with increasing spatial
scale leading to a need to control for scale in comparative work. Removing
transient species from analyses influences the form of a suite of commonly
studied ecological patterns including species-abundance distributions, species
energy relationships, species-area relationships, and temporal turnover. Careful
consideration should be given to whether transient species are included in
analyses depending on the theoretical and practical relevance of these species
for the question being studied.
PMID- 29802773
TI - Effects of pathological upstaging or upgrading on metastasis and cancer-specific
mortality in men with clinical low-risk prostate cancer.
AB - OBJECTIVES: To determine if the presence of adverse pathological features in
patients eligible for active surveillance (AS) are prognostic of poor oncological
outcomes, independent of pretreatment risk. PATIENTS AND METHODS: A retrospective
analysis was performed on patients who underwent radical prostatectomy (RP) at
two institutions (Cleveland Clinic Foundation and Memorial Sloan Kettering Cancer
Center) between 1987 and 2008, and who had subsequent follow-up. Rates of
biochemical recurrence, metastasis and death from prostate cancer were compared
amongst patients with adverse pathological features (Gleason score >=7, >=pT3, or
lymph node invasion) based on D'Amico clinical risk (low vs intermediate/high).
We also compared survival outcomes between patients with and without pathological
upgrading/upstaging amongst D'Amico low-risk patients. Univariate and
multivariable Cox regression models were used to assess the association between
clinical risk, pathological reclassification, and oncological outcomes. RESULTS:
We identified 16 341 patients who underwent RP, of whom 6 371 were clinically low
risk. Adverse outcomes in men with adverse pathological features were
significantly lower in those with low clinical risk, with an ~50% and ~70%
reduction in the risk of metastasis and death, respectively. Only pathological
upgrading/upstaging to Gleason score >=8, seminal vesicle invasion, and lymph
node invasion from clinical low-risk disease, were associated with adverse
outcomes. However, these types of reclassification were rare. CONCLUSION:
Clinical low-risk patients with pathological upgrading/upstaging have
substantially lower rates of important oncological outcomes compared to those
with higher pretreatment risk and not substantially different than low-risk
patients without pathological upgrading/upstaging. These results call into
question the use of this endpoint to counsel patients about the merits and risks
of AS.
PMID- 29802774
TI - The attitudes, role & knowledge of mental health nurses towards euthanasia
because of unbearable mental suffering in Belgium: A pilot study.
AB - : WHAT IS KNOWN ABOUT THE SUBJECT?: Euthanasia because of unbearable mental
suffering (UMS euthanasia) has been legal in Belgium since 2002 under strict
conditions of careful practice. UMS euthanasia occurs fairly rarely in Belgium,
but the frequency has increased substantially over the past few years. Although
most mental health nurses play an important role and are supportive of euthanasia
in general, their role, attitude and knowledge when it comes to UMS euthanasia
were unknown until now. WHAT THIS PAPER ADDS TO EXISTING KNOWLEDGE?: Most mental
health nurses in Belgium appear to be supportive towards UMS euthanasia and where
UMS euthanasia is carried out, mental health nurses are often involved in the
preceding decision-making process. Mental health nurses critically reflect on the
interpretation and application of the legal euthanasia criteria as experienced in
their daily work with their patients, and identify several problems. After a
rather quiet period in Belgium, the public ethical debate regarding UMS
euthanasia has recently been reopened and intensified. WHAT ARE THE IMPLICATIONS
FOR PRACTICE?: Sufficient attention must be paid to how mental health nurses can
be involved in the process of UMS euthanasia. This applies at several levels:
legal, healthcare policy, bedside care and education. Specific attention must be
paid within the UMS euthanasia process to ensure adequate cooperation between
physicians, nurses and patients. There is a need for proper training in:
knowledge of psychiatric pathologies and remaining treatment options;
communication skills; the legal framework and all its difficulties;
transdisciplinary and multicultural approaches; ethical reflection and how nurses
handle their own emotions. ABSTRACT: Introduction Euthanasia because of
unbearable mental suffering (UMS euthanasia) has been legal in Belgium since
2002, under certain circumstances that govern careful practice. Despite the legal
framework, there are specific difficulties and concerns regarding UMS euthanasia.
Mental health nurses are often involved in the process, but little is known about
their attitudes towards UMS euthanasia, their role and their knowledge. Aim To
determine the attitudes, role and knowledge of mental health nurses regarding UMS
euthanasia. Methods A cross-sectional survey was performed at a convenience
sample of four psychiatric hospitals in Belgium (n = 133) as a pilot study. Self
administered questionnaires were provided to mental health nurses. Results Half
the nurses in our sample had been involved at least once in the process of UMS
euthanasia. A large majority of mental health nurses were supportive of UMS
euthanasia. Nurses show differences in attitudes related to the different
psychiatric pathologies of the patients, and in whether or not minors are
involved. In some cases, they believed that the mental suffering of psychiatric
patients can be unbearable and irreversible and that psychiatric patients can be
competent to voluntarily request UMS euthanasia. Nurses stated that they have an
important role in the UMS euthanasia process, but also demanded more knowledge
and clear guidelines to implement the procedure. Discussion Nurses have a key
role regarding UMS euthanasia but face several challenges: the recent process,
resistance to a multidisciplinary approach by psychiatrists and an unclear role
defined by the legal framework. Nurses do not appear to have a common voice on
the topic and the development of clear guidelines appears to be essential. Social
recovery can offer a way out of an UMS euthanasia request, but it will not always
offer a solution. Implications for Practice Sufficient attention must be paid to
how mental health nurses can be involved in the process of UMS euthanasia at
various levels: bedside practice, healthcare management, education and policy. A
form of systematic cooperation between nurses, physicians and patients can
contribute to the utmost careful decision-making process needed in these cases.
There is a need for proper training in: knowledge of psychiatric pathologies and
remaining treatment options; communication skills; the legal framework and all
its difficulties; transdisciplinary and multicultural approaches; ethical
reflection and how nurses handle their own emotions.
PMID- 29802775
TI - Notes from a snail island: Littorinid evolution and adaptation.
AB - The most successful study systems are built on a foundation of decades of
research on the basic biology, ecology and life history of the organisms in
question. Combined with new technologies, this can provide a formidable means to
address important issues in evolutionary biology and molecular ecology.
Littorinid marine snails are a good example of this, with a rich literature on
their taxonomy, speciation, thermal tolerance and behavioural adaptations. In
August 2017, an international meeting on Littorinid evolution was held at the
Tjarno Marine Research Laboratory in Western Sweden. In this meeting review, I
provide a summary of some of the exciting work on parallel evolution, sexual
selection and adaptation to environmental stress presented there. I argue that
newly available genomic resources present an opportunity for integrating the
traditionally divergent fields of speciation and environmental adaptation in
Littorinid research.
PMID- 29802776
TI - Saving the J-pouch in a paediatric patient - a video vignette.
PMID- 29802777
TI - Matrix metalloproteinase 7, soluble Fas and Fas ligand serum levels for
predicting docetaxel resistance and survival in castration-resistant prostate
cancer.
AB - OBJECTIVE: To assess the predictive value of pre-chemotherapy matrix
metalloproteinase 7 (MMP-7), soluble Fas (sFas) and Fas ligand (FasL) serum
levels, as well as their changes during therapy. PATIENTS AND METHODS: Serum
levels of MMP-7, Fas and FasL were determined by ELISA in 96 patients with
castration-resistant prostate cancer (CRPC): 21 docetaxel-resistant patients who
received one single series and 75 docetaxel-sensitive patients who received
repeated series of docetaxel. In addition to the 96 pretreatment serum samples,
987 sera collected during chemotherapy were also analysed. RESULTS: Higher
pretreatment serum MMP-7, sFas and prostate-specific antigen (PSA) levels were
significantly associated with both docetaxel resistance (P = 0.007, P = 0.001, P
< 0.001, respectively) and shorter cancer-specific survival (P < 0.001, P =
0.041, P < 0.001, respectively). High MMP-7 level remained an independent
predictor of both docetaxel resistance (hazard ratio [HR] 2.298, 95% confidence
interval [CI]: 1.354-3.899; P = 0.002) and poor cancer-specific survival (HR
2.11, 95% CI: 1.36-3.30; P = 0.001) in multivariable analyses. Greater increase
in MMP-7 levels in the second treatment holiday and greater increase in PSA
levels in the first and second treatment holidays were predictive of survival.
CONCLUSIONS: Pretreatment serum MMP-7 levels may help to select patients with
CRPC who are likely to benefit from docetaxel chemotherapy. Furthermore, MMP-7
levels alone or in combination with PSA levels could be used for therapy
monitoring. Correlative studies embedded in clinical trials are necessary to
validate these biomarkers for clinical decision-making.
PMID- 29802778
TI - Synthesizing the role of epigenetics in the response and adaptation of species to
climate change in freshwater ecosystems.
AB - Freshwater ecosystems are amongst the most threatened ecosystems on Earth.
Currently, climate change is one of the most important drivers of freshwater
transformation and its effects include changes in the composition, biodiversity
and functioning of freshwater ecosystems. Understanding the capacity of
freshwater species to tolerate the environmental fluctuations induced by climate
change is critical to the development of effective conservation strategies. In
the last few years, epigenetic mechanisms were increasingly put forward in this
context because of their pivotal role in gene-environment interactions. In
addition, the evolutionary role of epigenetically inherited phenotypes is a
relatively recent but promising field. Here, we examine and synthesize the
impacts of climate change on freshwater ecosystems, exploring the potential role
of epigenetic mechanisms in both short- and long-term adaptation of species.
Following this wrapping-up of current evidence, we particularly focused on
bringing together the most promising future research avenues towards a better
understanding of the effects of climate change on freshwater biodiversity,
specifically highlighting potential molecular targets and the most suitable
freshwater species for future epigenetic studies in this context.
PMID- 29802779
TI - Validation of a short cognitive battery to screen for fitness-to-drive of people
with multiple sclerosis.
AB - BACKGROUND AND PURPOSE: Some symptoms of multiple sclerosis (MS) affect driving.
In a recent study, performance on five cognitive tests predicted the on-road test
performance of individuals with relapsing-remitting MS with 91% accuracy, 70%
sensitivity and 97% specificity. However, the accuracy with which the battery
will predict the driving performance of a different cohort that includes all
types of MS is unknown. METHODS: Participants (n = 118; 48 +/- 9 years of age; 97
females) performed a comprehensive off-road evaluation that lasted about 3 h and
a standardized on-road test that lasted approximately 45 min over a 2-day period
within the same week. Performance on the five cognitive tests was used to predict
participants' performance on the standardized on-road test. RESULTS: Performance
on the five tests together predicted outcome of the on-road test with 82%
accuracy, 42% sensitivity and 90% specificity. CONCLUSIONS: The accuracy of
predicting the on-road performance of a new MS cohort using performance on the
battery of five cognitive tests remained very high (82%). The battery, which was
administrable in <45 min and cost ~$150, was better at identifying those who
actually passed the on-road test (90% specificity). The sensitivity (42%) of the
battery indicated that it should not be used as the sole determinant of poor
driving-related cognitive skills. A fail performance on the battery should only
imply that more comprehensive testing is warranted.
PMID- 29802780
TI - Projected timing of perceivable changes in climate extremes for terrestrial and
marine ecosystems.
AB - Human and natural systems have adapted to and evolved within historical climatic
conditions. Anthropogenic climate change has the potential to alter these
conditions such that onset of unprecedented climatic extremes will outpace
evolutionary and adaptive capabilities. To assess whether and when future climate
extremes exceed their historical windows of variability within impact-relevant
socioeconomic, geopolitical, and ecological domains, we investigate the timing of
perceivable changes (time of emergence; TOE) for 18 magnitude-, frequency-, and
severity-based extreme temperature (10) and precipitation (8) indices using both
multimodel and single-model multirealization ensembles. Under a high-emission
scenario, we find that the signal of frequency- and severity-based temperature
extremes is projected to rise above historical noise earliest in midlatitudes,
whereas magnitude-based temperature extremes emerge first in low and high
latitudes. Precipitation extremes demonstrate different emergence patterns, with
severity-based indices first emerging over midlatitudes, and magnitude- and
frequency-based indices emerging earliest in low and high latitudes. Applied to
impact-relevant domains, simulated TOE patterns suggest (a) unprecedented
consecutive dry day occurrence in >50% of 14 terrestrial biomes and 12 marine
realms prior to 2100, (b) earlier perceivable changes in climate extremes in
countries with lower per capita GDP, and (c) emergence of severe and frequent
heat extremes well-before 2030 for the 590 most populous urban centers.
Elucidating extreme-metric and domain-type TOE heterogeneities highlights the
challenges adaptation planners face in confronting the consequences of elevated
twenty-first century radiative forcing.
PMID- 29802781
TI - Formation of functional, non-amyloidogenic fibres by recombinant Bacillus
subtilis TasA.
AB - Bacterial biofilms are communities of microbial cells encased within a self
produced polymeric matrix. In the Bacillus subtilis biofilm matrix, the
extracellular fibres of TasA are essential. Here, a recombinant expression system
allows interrogation of TasA, revealing that monomeric and fibre forms of TasA
have identical secondary structure, suggesting that fibrous TasA is a linear
assembly of globular units. Recombinant TasA fibres form spontaneously, and share
the biological activity of TasA fibres extracted from B. subtilis, whereas a TasA
variant restricted to a monomeric form is inactive and subjected to extracellular
proteolysis. The biophysical properties of both native and recombinant TasA
fibres indicate that they are not functional amyloid-like fibres. A gel formed by
TasA fibres can recover after physical shear force, suggesting that the biofilm
matrix is not static and that these properties may enable B. subtilis to remodel
its local environment in response to external cues. Using recombinant fibres
formed by TasA orthologues we uncover species variability in the ability of
heterologous fibres to cross-complement the B. subtilis tasA deletion. These
findings are indicative of specificity in the biophysical requirements of the
TasA fibres across different species and/or reflect the precise molecular
interactions needed for biofilm matrix assembly.
PMID- 29802782
TI - Tree diversity mitigates defoliation after a drought-induced tipping point.
AB - Understanding the processes that underlie drought-related tree vitality loss is
essential for anticipating future forest dynamics, and for developing management
plans aiming at increasing the resilience of forests to climate change. Forest
vitality has been continuously monitored in Europe since the acid rain alert in
the 1980s, and the intensive monitoring plots of ICP Forests offer the
opportunity to investigate the effects of air pollution and climate change on
forest condition. By making use of over 100 long-term monitoring plots, where
crown defoliation has been assessed extensively since 1990, we discovered a
progressive shift from a negative to a positive effect of species richness on
forest health. The observed tipping point in the balance of net interactions,
from competition to facilitation, has never been reported from real ecosystems
outside experimental conditions; and the strong temporal consistency of our
observations with increasing drought stress emphasizes its climate change
relevance. Furthermore, we show that higher species diversity has reduced the
severity of defoliation in the long term. Our results confirm the greater
resilience of diverse forests to future climate change-induced stress. More
generally, they add to an accumulating body of evidence on the large potential of
tree species mixtures to face manifold disturbances in a changing world.
PMID- 29802783
TI - Impact of bowel management in alleviating symptoms of urinary incontinence in
patients with spina bifida associated with overactive bladder and detrusor
sphincter dyssynergia.
AB - OBJECTIVE: To examine the effects of bowel management on urinary incontinence in
patients with spina bifida associated with overactive bladder (OAB) and detrusor
sphincter dyssynergia (DSD). MATERIALS AND METHODS: The research was carried out
during the period 2014-2017. A total of 35 patients (group 1) were administered
bowel management combined with anticholinergic medication therapy and clean
intermittent catheterization (CIC) and 35 patients (group 2) were treated only
with anticholinergic medication therapy and CIC. Bowel management included daily
enema, laxative application and a special diet, with the aim of treating
constipation, evaluated according to the Roma III criteria and
echosonographically determined transversal rectal diameter. The effects of the
administered bowel management on urinary incontinence were assessed according to
the mean dry interval between two CICs for all patients. All patients were
followed up for 1 year, during which data were prospectively collected. RESULTS:
There was no statistically significant difference with regard to age, gender and
baseline clinical features between the two groups. In group 1, the mean +/- sd
dry interval between two CICs was 150.0 +/- 36.4 min, and group 2 it was 101.3 +/
51.6 min. There was a significant difference in urinary incontinence, i.e. in
the mean dry interval, between the two groups (P < 0.001). CONCLUSION:
Administering bowel management considerably increased the mean dry interval, thus
greatly alleviating the symptoms of urinary incontinence. For this reason, bowel
management should form an integral part of the treatment of patients with spina
bifida and OAB and DSD.
PMID- 29802784
TI - Resilience to fire of phylogenetic diversity across biological domains.
AB - Fire alters the structure and composition of above- and belowground communities
with concurrent shifts in phylogenetic diversity. The inspection of postfire
trends in the diversity of ecological communities incorporating phylogenetic
information allows to better understand the mechanisms driving fire resilience.
While fire reduces plant phylogenetic diversity based on the recruitment of
evolutionarily related species with postfire seed persistence, it increases that
of soil microbes by limiting soil resources and changing the dominance of
competing microbes. Thus, during postfire community reassembly, plant and soil
microbes might experience opposing temporal trends in their phylogenetic
diversity that are linked through changes in the soil conditions. We tested this
hypothesis by investigating the postfire evolution of plant and soil microbial
(fungi, bacteria and archaea) communities across three 20-year chronosequences.
Plant phylogenetic diversity increased with time since fire as pioneer seeders
facilitate the establishment of distantly related late-successional shrubs. The
postfire increase in plant phylogenetic diversity fostered plant productivity,
eventually recovering soil organic matter. These shifts over time in the soil
conditions explained the postfire restoration of fungal and bacterial
phylogenetic diversity, which decreased to prefire levels, suggesting that
evolutionarily related taxa with high relative fitness recover their competitive
superiority during community reassembly. The resilience to fire of phylogenetic
diversity across biological domains helps preserve the evolutionary history
stored in our ecosystems.
PMID- 29802785
TI - Evaluation of redundancy analysis to identify signatures of local adaptation.
AB - Ordination is a common tool in ecology that aims at representing complex
biological information in a reduced space. In landscape genetics, ordination
methods such as principal component analysis (PCA) have been used to detect
adaptive variation based on genomic data. Taking advantage of environmental data
in addition to genotype data, redundancy analysis (RDA) is another ordination
approach that is useful to detect adaptive variation. This study aims at
proposing a test statistic based on RDA to search for loci under selection. We
compare redundancy analysis to pcadapt, which is a nonconstrained ordination
method, and to a latent factor mixed model (LFMM), which is a univariate genotype
environment association method. Individual-based simulations identify
evolutionary scenarios where RDA genome scans have a greater statistical power
than genome scans based on PCA. By constraining the analysis with environmental
variables, RDA performs better than PCA in identifying adaptive variation when
selection gradients are weakly correlated with population structure. In addition,
we show that if RDA and LFMM have a similar power to identify genetic markers
associated with environmental variables, the RDA-based procedure has the
advantage to identify the main selective gradients as a combination of
environmental variables. To give a concrete illustration of RDA in population
genomics, we apply this method to the detection of outliers and selective
gradients on an SNP data set of Populus trichocarpa (Geraldes et al., ). The RDA
based approach identifies the main selective gradient contrasting southern and
coastal populations to northern and continental populations in the north-western
American coast.
PMID- 29802786
TI - Use of cluster analysis to characterize patterns of sensitization in childhood
allergy.
PMID- 29802787
TI - A Highly Stable Framework of Crystalline Zinc Phosphite with Selective Removal,
Recovery, and Turn-On Sensing Abilities for Mercury Cations in Aqueous Solutions.
AB - A highly stable framework of organic-inorganic hybrid zinc phosphite (NTOU-4) and
its cobalt analogue (NTOU-4a) were synthesized under the hydro(solvo)thermal
conditions and structurally characterized by single-crystal X-ray diffraction.
Their frameworks consisted of inorganic metallophosphite chains, in which the
metal atoms were interlinked through 1H-1,2,4-triazole-3,5-diamine and 1,4
benzenedicarboxylate linkers to form new crystalline materials. It is extremely
difficult to achieve the consolidation of three distinct coordinations of metal
carboxylate, metal-triazolate, and metal-phosphite bonds into one crystal,
resulting in the synthesis of the first mixed-ligand terephthalate
metallophosphite solids in the absence of organic molecules as templates or space
filling counters in their structures. Interestingly, the zinc compound not only
exhibits high thermal stability (up to 400 degrees C in air) and chemical
resistance to seawater, aqueous solutions (pH 3-11), and organic solvents at
boiling conditions, but also shows selective removal, recovery, and "turn-on"
sensing abilities of toxic mercury ions in aqueous solutions. Furthermore, the
synthesis, characterization, and the difference of the framework stabilities
between isostructural zinc and cobalt compounds are also reported.
PMID- 29802789
TI - Laparoscopic near-total colectomy with ileo-distal sigmoid anastomosis in
patients undergoing prophylactic colectomy for polyposis syndromes - a video
vignette.
PMID- 29802788
TI - Treatment with non-selective beta-blockers affects the systemic inflammatory
response to bacterial DNA in patients with cirrhosis.
AB - BACKGROUND & AIMS: The use of non-selective beta-blockers has been associated
with lower rates of infection and reduced infection-associated morbidity in
patients with cirrhosis. However, it is unknown if these drugs modify the
systemic inflammatory response to circulating bacterial DNA. METHODS: Sixty-three
patients with cirrhosis were included during an episode of decompensation by
ascites. Thirty of those patients were on beta-blockers. Blood samples were
obtained after each patient had been in the supine position for at least 30
minutes in a quiet atmosphere. Bacterial DNA, serum cytokines, nitric oxide, and
LPS were determined. Phagocytic and oxidative burst activities were determined in
polymorphonuclear cells from the patients. RESULTS: The detection rate of
bacterial DNA in the blood was the same (33%) for patients not treated and
treated with non-selective beta-blockers. Patients naive to non-selective beta
blockers showed significantly higher serum levels of IL6, IFN-gamma and IL10 in
response to the presence of bacterial DNA. Patients treated with non-selective
beta-blockers showed higher basal inflammatory activity that did not change with
the presence of bacterial DNA. Monocytes and granulocytes from patients treated
with non-selective beta-blockers showed a significantly increased phagocytic
capacity in the presence of bacterial DNA. CONCLUSIONS: In patients with
cirrhosis, chronic treatment with beta-blockers is associated with a higher
unstimulated production of serum cytokines and an increased phagocytic activity
in the presence of bacterial DNA.
PMID- 29802790
TI - Laparoscopic ultralow anterior resection with intersphincteric dissection and
delayed coloanal anastomosis in the TaTME era - a video vignette.
PMID- 29802791
TI - De novo or early conversion to everolimus and long-term cancer outcomes in kidney
transplant recipients: A trial-based linkage study.
AB - Choice of immunosuppression may modify the risk of cancer after kidney
transplantation, however, long-term data are lacking. Using the Australian and
New Zealand Dialysis and Transplant Registry, we compared the 9-year risk of
incident cancer, non-melanoma skin cancer (NMSC), and death attributed to cancer
among participants from Australia and New Zealand in four randomized-controlled
trials which compared de novo or early switch to an everolimus-containing regimen
with calcineurin-inhibitor-based triple therapy. An adjusted Cox-model with
random effects was used to determine such risks. Two hundred seventy-nine
patients (192 everolimus, 87 control) were followed for a median of 9 years (IQR
6.7, 11.2). Compared with control, everolimus use was not associated with a
reduction in the risk of incident cancer, NMSC, or cancer-related death
(unadjusted HR [95% CI] 0.86 [0.49-1.48], 0.58 [0.30-1.12], and 1.18 [0.32-4.38],
respectively). Subgroup analyses showed a 56% reduction for NMSC in patients
randomized to everolimus + reduced-dose calcineurin-inhibitor versus control
(unadjusted HR 0.44 [0.21-0.92]), which remained significant after adjusting for
age, gender and smoking (adjusted HR 0.45 [0.21-0.96]). Although de novo or early
switch to everolimus did not alter the 9-year risk of incident cancer or cancer
related death, everolimus with reduced-dose calcineurin-inhibitor strategy may
reduce the long-term risk of NMSC.
PMID- 29802792
TI - 6-Dihydroparadol, a Ginger Constituent, Enhances Cholesterol Efflux from THP-1
Derived Macrophages.
AB - SCOPE: Ginger is reported to be used for the prevention and treatment of
cardiovascular diseases (CVD). Cholesterol efflux from macrophage foam cells is
an important process in reverse cholesterol transport, whose increase may help to
prevent or treat CVD. In this study, we investigated the effects of 6
dihydroparadol from ginger on macrophage cholesterol efflux. METHODS AND RESULTS:
We show that 6-dihydroparadol concentration-dependently enhances both
apolipoprotein A1- and human plasma-mediated cholesterol efflux from cholesterol
loaded THP-1-derived macrophages using macrophage cholesterol efflux assay. 6
Dihydroparadol increases protein levels of both ATP-binding cassette transporters
A1 and G1 (ATP-binding cassette transporter A1 [ABCA1] and ATP-binding cassette
transporter G1 [ABCG1]) according to Western blot analysis. The ABCA1 inhibitor
probucol completely abolishes 6-dihydroparadol-enhanced cholesterol efflux.
Furthermore, increased ABCA1 protein levels in the presence of 6-dihydroparadol
were associated with both increased ABCA1 mRNA levels and increased ABCA1 protein
stability. Enhanced ABCG1 protein levels were only associated with increased
protein stability. Increased ABCA1 protein stability appeared to be the result of
a reduced proteasomal degradation of the transporter in the presence of 6
dihydroparadol. CONCLUSION: We identified 6-dihydroparadol from ginger as a novel
promoter of cholesterol efflux from macrophages that increases both ABCA1 and
ABCG1 protein abundance. This newly identified bioactivity might contribute to
the antiatherogenic effects of ginger.
PMID- 29802793
TI - Towards robust and repeatable sampling methods in eDNA-based studies.
AB - DNA-based techniques are increasingly used for measuring the biodiversity
(species presence, identity, abundance and community composition) of terrestrial
and aquatic ecosystems. While there are numerous reviews of molecular methods and
bioinformatic steps, there has been little consideration of the methods used to
collect samples upon which these later steps are based. This represents a
critical knowledge gap, as methodologically sound field sampling is the
foundation for subsequent analyses. We reviewed field sampling methods used for
metabarcoding studies of both terrestrial and freshwater ecosystem biodiversity
over a nearly three-year period (n = 75). We found that 95% (n = 71) of these
studies used subjective sampling methods and inappropriate field methods and/or
failed to provide critical methodological information. It would be possible for
researchers to replicate only 5% of the metabarcoding studies in our sample, a
poorer level of reproducibility than for ecological studies in general. Our
findings suggest greater attention to field sampling methods, and reporting is
necessary in eDNA-based studies of biodiversity to ensure robust outcomes and
future reproducibility. Methods must be fully and accurately reported, and
protocols developed that minimize subjectivity. Standardization of sampling
protocols would be one way to help to improve reproducibility and have additional
benefits in allowing compilation and comparison of data from across studies.
PMID- 29802795
TI - Dual endothelin-1 receptor antagonism attenuates platelet-mediated derangements
of blood coagulation in Eisenmenger syndrome.
AB - : Essentials Eisenmenger syndrome is characterised by thrombotic and hemorrhagic
risks of unclear aetiology. Calibrated automated thrombography was used to assess
these coagulation derangements. Platelet activity supported abnormalities in
procoagulant and anticoagulant pathway function. Endothelin-1 antagonism appeared
to ameliorate these derangements. SUMMARY: Aims The mechanisms underlying the
competing thrombotic and hemorrhagic risks in Eisenmenger syndrome are poorly
understood. We aimed to characterize derangements of blood coagulation and to
assess the effect of dual endothelin-1 receptor antagonism in modulating
hemostasis in this rare disorder. Methods In a 10-month recruitment period at a
tertiary cardiology referral center, during which time there were over 14 000
outpatient consultations, consecutive subjects with Eisenmenger syndrome being
considered for macitentan therapy (n = 9) and healthy volunteers (n = 9) were
recruited. Plasma thrombin generation in platelet-rich and platelet-poor plasma
was assessed by calibrated automated thrombography prior to and following
therapy. Results Median peak plasma thrombin generation was higher in platelet
rich plasma obtained from Eisenmenger syndrome subjects relative to controls
(median peak thrombin [25th-75th percentile]: 228.3 [206.5-258.6] nm vs. 169.9
[164.3-215.8] nm), suggesting a critical mechanistic role for platelets in
supporting abnormal hypercoagulability in Eisenmenger syndrome. Abnormal enhanced
sensitivity to the anticoagulant activity of activated protein C was also
observed in platelet-rich plasma in Eisenmenger syndrome, suggesting that
derangements of platelet activity may influence the activity of anticoagulant
pathways in a manner that might promote bleeding in this disease state. Following
6 months of macitentan therapy, attenuations in the derangements in both
procoagulant and anticoagulant pathways were observed. Conclusions Abnormal
platelet activity contributes to derangements in procoagulant and anticoagulant
pathways in Eisenmenger syndrome. Therapies targeting the underlying vascular
pathology appear to ameliorate these derangements and may represent a novel
strategy for the management of the competing prothrombotic and hemorrhagic
tendencies in this disorder.
PMID- 29802794
TI - Using the Relative Energy Gradient Method with Interacting Quantum Atoms to
Determine the Reaction Mechanism and Catalytic Effects in the Peptide Hydrolysis
in HIV-1 Protease.
AB - The reaction mechanism in an active site is of the utmost importance when trying
to understand the role that an enzyme plays in biological processes. In a
recently published paper [Theor. Chem. Acc. 2017, 136, 86], we formalised the
Relative Energy Gradient (REG) method for automating an Interacting Quantum Atoms
(IQA) analysis. Here, the REG method is utilised to determine the mechanism of
peptide hydrolysis in the aspartic active site of the enzyme HIV-1 Protease.
Using the REG method along with the IQA approach we determine the mechanism of
peptide hydrolysis without employing any arbitrary parameters and with remarkable
ease (albeit at large computational cost: the system contains 133 atoms, which
means that there are 17 689 individual IQA terms to be calculated). When REG and
IQA work together it is possible to determine a reaction mechanism at atomistic
resolution from data directly derived from quantum calculations, without
arbitrary parameters. Moreover, the mechanism determined by this novel method
gives concrete insight into how the active site residues catalyse peptide
hydrolysis.
PMID- 29802796
TI - Functional variation in the gut microbiome of wild Drosophila populations.
AB - Most of the evidence that the gut microbiome of animals is functionally variable,
with consequences for the health and fitness of the animal host, is based on
laboratory studies, often using inbred animals under tightly controlled
conditions. It is largely unknown whether these microbiome effects would be
evident in outbred animal populations under natural conditions. In this study, we
quantified the functional traits of the gut microbiota (metagenome) and host (gut
transcriptome) and the taxonomic composition of the gut microorganisms (16S rRNA
gene sequence) in natural populations of three mycophagous Drosophila species.
Variation in microbiome function and composition was driven principally by the
period of sample collection, while host function varied mostly with Drosophila
species, indicating that variation in microbiome traits is determined largely by
environmental factors, and not host taxonomy. Despite this, significant
correlations between microbiome and host functional traits were obtained. In
particular, microbiome functions dominated by metabolism were positively
associated with host functions relating to gut epithelial turnover. Much of the
functional variation in the microbiome could be attributed to variation in
abundance of Bacteroidetes, rather than the two other abundant groups, the gamma
Proteobacteria or Lactobacillales. We conclude that functional variation in the
interactions between animals and their gut microbiome can be detectable in
natural populations, and, in mycophagous Drosophila, this variation relates
primarily to metabolism and homeostasis of the gut epithelium.
PMID- 29802798
TI - Cutaneous pH landscape as a facilitator of melanoma initiation and progression.
AB - Melanoma incidence is on the rise and currently causes the majority of skin
cancer-related deaths. Yet, therapies for metastatic melanoma are still
insufficient so that new concepts are essential. Malignant transformation of
melanocytes and melanoma progression are intimately linked to the cutaneous pH
landscape and its dysregulation in tumour lesions. The pH landscape of normal
skin is characterized by a large pH gradient of up to 3 pH units between surface
and dermis. The Na+ /H+ exchanger NHE1 is one of the major contributors of
acidity in superficial skin layers. It is also activated by the most frequent
mutation in melanoma, BRAFV600E , thereby causing pH dysregulation during
melanoma initiation. Melanoma progression is supported by an extracellular
acidification and/or NHE1 activity which promote the escape of single melanoma
cells from the primary tumour, migration and metastatic spreading. We propose
that viewing melanoma against the background of the acid-base physiology of the
skin provides a better understanding of the pathophysiology of this disease and
allows the development of novel therapeutic concepts.
PMID- 29802797
TI - Biotic responses buffer warming-induced soil organic carbon loss in Arctic
tundra.
AB - Climate warming can result in both abiotic (e.g., permafrost thaw) and biotic
(e.g., microbial functional genes) changes in Arctic tundra. Recent research has
incorporated dynamic permafrost thaw in Earth system models (ESMs) and indicates
that Arctic tundra could be a significant future carbon (C) source due to the
enhanced decomposition of thawed deep soil C. However, warming-induced biotic
changes may influence biologically related parameters and the consequent
projections in ESMs. How model parameters associated with biotic responses will
change under warming and to what extent these changes affect projected C budgets
have not been carefully examined. In this study, we synthesized six data sets
over 5 years from a soil warming experiment at the Eight Mile Lake, Alaska, into
the Terrestrial ECOsystem (TECO) model with a probabilistic inversion approach.
The TECO model used multiple soil layers to track dynamics of thawed soil under
different treatments. Our results show that warming increased light use
efficiency of vegetation photosynthesis but decreased baseline (i.e., environment
corrected) turnover rates of SOC in both the fast and slow pools in comparison
with those under control. Moreover, the parameter changes generally amplified
over time, suggesting processes of gradual physiological acclimation and
functional gene shifts of both plants and microbes. The TECO model predicted that
field warming from 2009 to 2013 resulted in cumulative C losses of 224 or 87 g/m2
, respectively, without or with changes in those parameters. Thus, warming
induced parameter changes reduced predicted soil C loss by 61%. Our study
suggests that it is critical to incorporate biotic changes in ESMs to improve the
model performance in predicting C dynamics in permafrost regions.
PMID- 29802799
TI - Rapid genetic adaptation to a novel environment despite a genome-wide reduction
in genetic diversity.
AB - Introduced species often colonize regions that have vastly different ecological
and environmental conditions than those found in their native range. As such,
species introductions can provide a deeper understanding into the process of
adaptive evolution. In the 1880s, steelhead trout (Oncorhynchus mykiss) from
California were introduced into Lake Michigan (Laurentian Great Lakes, North
America) where they established naturally reproducing populations. In their
native range, steelhead hatch in rivers, migrate to the ocean and return to
freshwater to spawn. Steelhead in Lake Michigan continue to swim up rivers to
spawn, but now treat the freshwater environment of the Great Lakes as a surrogate
ocean. To examine the effects of this introduction, we sequenced the genomes of
264 fish. By comparing steelhead from Lake Michigan to steelhead from their
ancestral range, we determined that the introduction led to consistent reductions
in genetic diversity across all 29 chromosomes. Despite this reduction in genetic
diversity, three chromosomal regions were associated with rapid genetic
adaptation to the novel environment. The first region contained functional
changes to ceramide kinase, which likely altered metabolic and wound-healing
rates in Lake Michigan steelhead. The second and third regions encoded carbonic
anhydrases and a solute carrier protein, both of which are critical for
osmoregulation, and demonstrate how steelhead physiologically adapted to
freshwater. Furthermore, the contemporary release of diverse hatchery strains
into the lake increased genetic diversity but reduced the signature of genetic
adaptation. This study illustrates that species can rapidly adapt to novel
environments despite genome-wide reductions in genetic diversity.
PMID- 29802800
TI - Does mirabegron relieve ureteric stent-related discomfort? A prospective,
randomized, multicentre study.
AB - OBJECTIVES: To evaluate the effects of 50 mg mirabegron once daily for ureteric
stent-related discomfort after ureteroscopic procedures by conducting a
multicentre randomized study. PATIENTS AND METHODS: A total of 100 patients with
indwelling ureteric stents after ureteroscopic stone removal or retrograde
intrarenal surgery (RIRS) were randomized 1:1 to receive either no treatment or
mirabegron during the stenting period. At the time of stent removal, the
validated Ureteral Stent Symptom Questionnaire (USSQ), International Prostate
Symptom Score (IPSS), total amount of analgesics administered, and post-voiding
residual urine volume were reported for each patient. RESULTS: Overall, 96
patients were enrolled for analysis. The postoperative USSQ body pain score
(21.96 vs 13.96; P = 0.007) and overall pain score (5.58 vs 2.83; P = 0.002) were
lower in the mirabegron group than in the control group. The USSQ urinary symptom
scores (32.58 vs 27.92; P = 0.582) and USSQ general health score (17.71 vs 14.00;
P = 0.281) were also lower in the mirabegron group, but the difference was not
significant. Postoperative IPSS total scores and quality-of-life scores were
lower in the mirabegron group, but the difference was not significant. The change
in IPSS storage symptom score, however, was lower in the mirabegron group than in
the control group (3.58 +/- 3.58 vs 1.83 +/- 4.39; P = 0.035). Post-void residual
urine volume did not differ between the two groups (P > 0.05). CONCLUSION: The
use of 50 mg mirabegron once daily has the potential to reduce ureteric stent
related discomfort. Prospective larger-scale, placebo-controlled studies are
warranted to further evaluate the beneficial effects of mirabegron on stent
related symptoms.
PMID- 29802803
TI - Effects of alcohol and psychostimulants on the vasopressin system: behavioral
implications.
AB - Drug addiction is a chronic brain disease characterized by a compulsion to seek
drugs, a loss of control with respect to drug consumption, and negative emotional
states, including increased anxiety and irritability during withdrawal. Central
vasopressin (AVP) and its receptors are involved in controlling social behavior,
anxiety and reward, all of which are altered by drugs of abuse. Hypothalamic AVP
neurons influence the stress response by modulating the hypothalamic-pituitary
adrenal (HPA) axis. The extrahypothalamic AVP system, however, is commonly
associated with social recognition, motivational and anxiety responses. The
specific relationship between AVP and drugs of abuse has been rarely reviewed.
Here, we provide an overview of the interaction between the brain AVP system and
psychostimulants and alcohol. We focus on the effects of alcohol and
psychostimulants on AVP regulation of the HPA axis, their effect on the brain AVP
system and their behavioral implications, the influence of the AVP system on
addictive behaviors, AVP's organizational effects on the brain and consequently
on behavior, and we highlight clinical studies on the relation between the AVP
system and drug addiction. Finally, we discuss the data to address areas that
need further research to support clinical trials and prevent drug-related
disorders. This article is protected by copyright. All rights reserved.
PMID- 29802801
TI - Long-Term Effects of Percutaneous Fenestration Following the Fontan Procedure in
Adult Patients with Congenital Univentricular Heart.
AB - BACKGROUND The Fontan procedure, performed for univentricular heart, may also
include the technique of percutaneous fenestration to create a small atrial
septal defect (ASD) and a right-to-left shunt. The aim of this study was to
evaluate the long-term effects of fenestration in adult patients who had a Fontan
procedure for univentricular heart. MATERIAL AND METHODS Fontan surgery was
performed in 39 patients, including 19 (49%) patients with fenestration (Group
I), and 20 (51%) patients without the fenestration procedure (Group II).
Laboratory tests in both groups included echocardiography, plethysmography,
cardiopulmonary exercise testing, and 24-hour Holter monitoring. RESULTS Compared
with patients in Group I, patients in Group II had a significantly increased
level of N-terminal pro-brain natriuretic peptide (NT-proBNP) (p=0.04), alkaline
phosphatase (ALP) (p=0.01) and a significant increase in frequency of atrial
fibrillation (p=0.04). Patients in Group I had a significantly increased systemic
ventricular ejection fraction (SVEF) (p=0.05) and increased heart rate (HR)
(p=0.006), heart rate reserve (HRR) (p=0.02), ventilatory equivalent (VE)
(p=0.01), and VO2 peak (p=0.05) on cardiopulmonary exercise testing (CPET).
Renal, hematologic, and ventilatory parameters, and incidence of thromboembolism
showed no significant differences between the groups. CONCLUSIONS Long-term
follow-up of patients who underwent Fontan procedures with percutaneous
fenestration had improved single ventricular function, lower NT-proBNP levels,
improved exercise capacity, and reduced ALP levels. These findings indicate that
percutaneous fenestration closure should be considered for adult patients who
have undergone Fontan procedure for univentricular heart.
PMID- 29802802
TI - Reported effects of the Scientific Registry of Transplant Recipients 5-tier
rating system on US transplant centers: results of a national survey.
AB - In the United States, the Scientific Registry of Transplant Recipients (SRTR)
provides publicly available quality report cards. These reports have historically
rated transplant programs using a 3-tier system. In 2016, the SRTR temporarily
transitioned to a 5-tier system, which classified more programs as under
performing. As part of a larger survey about transplant quality metrics, we
surveyed members of the American Society of Transplant Surgeons and American
Society of Transplantation (N = 280 respondents) on transplant center experiences
with patient and payer responses to the 5-tier SRTR ratings. Over half of
respondents (n = 137, 52.1%) reported >=1 negative effect of the new 5-tier
ranking system, including losing patients, losing insurers, increased concern
among patients, and increased concern among referring providers. Few respondents
(n = 35, 13.7%) reported any positive effects of the 5-tier ranking system. Lower
SRTR-reported scores on the 5-tier scale were associated with increased risk of
reporting at least one negative effect in a logistic model (P < 0.01). The change
to a more granular rating system provoked an immediate response in the transplant
community that may have long-term implications for transplant hospital finances
and patient options for transplantation.
PMID- 29802804
TI - 50-year anniversary of Lloyd's "mean crowding": Ideas on patchy distributions.
AB - This year marks the 50th anniversary of Monte B. Lloyd's "Mean Crowding" (1967)
paper, in which he introduced a metric that accounts for an individual's
experience of conspecific density. Mean crowding allows ecologists to measure the
degree of spatial aggregation of individuals in a manner relevant to
intraspecific competition for resources. We take the concept of mean crowding a
step beyond its most common usage and that it has a mathematical relationship to
many of the most important concepts in ecology and evolutionary biology. Mean
crowding, a first-order approximation of the degree of nonrandomness in a
distribution, can function as a powerful heuristic that can unify concepts across
disciplines in a more general way that Lloyd originally envisioned.
PMID- 29802805
TI - How many procedures do UK paediatric trainees perform in their neonatal posts?
PMID- 29802806
TI - Quercetin, Kaempferol and Isorhamnetin in Elaeagnus pungens Thunb. Leaf:
Pharmacological Activities and Quantitative Determination Studies.
AB - Elaeagnus pungens leaf was documented to be very effective to treat asthma and
chronic bronchitis both as traditional Chinese medicine and minority traditional
medicine; yet the actual effective components still remain unknown. This work is
to investigate the anti-inflammatory, antalgic and antitussive activities of E.
pungens leaf, quercetin and kaempferol, and their contents in E. pungens leaf.
Pharmacological experiments showed that they could considerably reduce ear
swelling of mouse and relieve writhing reaction of mouse; they could also prevent
mouse from coughing significantly. These findings suggested that quercetin and
kaempferol are major effective components treating asthma and chronic bronchitis.
Quantitative analysis results indicated that the levels of quercetin, kaempferol
and isorhamnetin varied greatly in different species of Elaeagnus and in
different plant parts: E. pungens leaf is more similar to Elaeagnus umbellate
leaf chemically; quercetin level is exceptionally high in Elaeagnus oldhami leaf;
E. pungens leaf is a better medical part for treating asthma and chronic
bronchitis in comparison with other parts.
PMID- 29802807
TI - ER stress and unfolded protein response in ocular health and disease.
AB - The human eye is the organ that is able to react to light in order to provide
sharp three-dimensional and colored images. Unfortunately, the health of the eye
can be impacted by various stimuli that can lead to vision loss, such as
environmental changes, genetic mutations, or aging. Endoplasmic reticulum (ER)
stress and unfolded protein response (UPR) signaling have been detected in many
diverse ocular diseases, and chemical and genetic approaches to modulate ER
stress and specific UPR regulatory molecules have shown beneficial effects in
animal models of eye disease. This review highlights specific eye diseases
associated with ER stress and UPR activity, based on a recent symposia exploring
this theme.
PMID- 29802808
TI - CYP2C9*3 gene variant contributes independently to glycaemic control in patients
with type 2 diabetes treated with glibenclamide.
AB - WHAT IS KNOWN AND OBJECTIVE: Glibenclamide is a prescribed glucose-lowering
medication for diabetes, but there are interindividual variations in the
therapeutic response. In this cross-sectional study, the aim was to explore the
association of genetic variants in CYP2C9, ABCC8, KCNJ11 and TCF7L2 with good
glycaemic control in Mexican type 2 diabetes (T2D) treated with glibenclamide.
METHODS: Patients with T2D receiving treatment with glibenclamide or
glibenclamide plus metformin were included. Patients with A1C <= 7% were
considered to have good glycaemic control, whereas patients with A1C >= 8% were
considered having poor glycaemic control. Genotyping was performed by real-time
PCR using TaqMan probes for the genetic variants. Association was performed by
calculating OR with 95% confidence intervals (95% CI). For the multivariate
analysis, a multiple logistic regression was performed including the confounding
variables age, exercised, BMI, glibenclamide dose, time with T2D and concomitant
metformin. RESULTS AND DISCUSSION: Four hundred and four patients were included
in the study, median age of the participants was 50 years (IQR 11.0), the median
time with disease was 6 years (IQR 8.0), 118 (29.2%) were men, and 243 (60.1%)
received glibenclamide in combination with metformin. CYP2C9*3 variant was
associated with good glycaemic control (OR = 2.747 [95% CI, 1.194-6.324]),
whereas the variants, CYP2C9*2, TCF7L2 rs7903146 and rs12255372, ABCC8 rs757110
and KCNJ11 rs5219, were not. In the multivariate analysis, the CYP2C9*3 variant
maintained its association (OR = 2.779 [95% CI, 1.142-6.763]). WHAT IS NEW AND
CONCLUSION: The findings suggest that CYP2C9*3 genetic variant independently
contributes to good glycaemic control of patients with type 2 diabetes treated
with glibenclamide.
PMID- 29802809
TI - Less than recommended training of aerobic fitness and muscle strength: What to
expect?
PMID- 29802810
TI - Psychosocial impact of undergoing prostate cancer screening for men with BRCA1 or
BRCA2 mutations.
AB - OBJECTIVES: To report the baseline results of a longitudinal psychosocial study
that forms part of the IMPACT study, a multi-national investigation of targeted
prostate cancer (PCa) screening among men with a known pathogenic germline
mutation in the BRCA1 or BRCA2 genes. PARTICPANTS AND METHODS: Men enrolled in
the IMPACT study were invited to complete a questionnaire at collaborating sites
prior to each annual screening visit. The questionnaire included sociodemographic
characteristics and the following measures: the Hospital Anxiety and Depression
Scale (HADS), Impact of Event Scale (IES), 36-item short-form health survey (SF
36), Memorial Anxiety Scale for Prostate Cancer, Cancer Worry Scale-Revised, risk
perception and knowledge. The results of the baseline questionnaire are
presented. RESULTS: A total of 432 men completed questionnaires: 98 and 160 had
mutations in BRCA1 and BRCA2 genes, respectively, and 174 were controls (familial
mutation negative). Participants' perception of PCa risk was influenced by
genetic status. Knowledge levels were high and unrelated to genetic status. Mean
scores for the HADS and SF-36 were within reported general population norms and
mean IES scores were within normal range. IES mean intrusion and avoidance scores
were significantly higher in BRCA1/BRCA2 carriers than in controls and were
higher in men with increased PCa risk perception. At the multivariate level, risk
perception contributed more significantly to variance in IES scores than genetic
status. CONCLUSION: This is the first study to report the psychosocial profile of
men with BRCA1/BRCA2 mutations undergoing PCa screening. No clinically concerning
levels of general or cancer-specific distress or poor quality of life were
detected in the cohort as a whole. A small subset of participants reported higher
levels of distress, suggesting the need for healthcare professionals offering PCa
screening to identify these risk factors and offer additional information and
support to men seeking PCa screening.
PMID- 29802811
TI - Mirtazapine adjunct for people with schizophrenia.
AB - BACKGROUND: Many individuals who have a diagnosis of schizophrenia experience a
range of distressing and debilitating symptoms. These can include positive
symptoms (such as delusions, hallucinations, disorganised speech), cognitive
symptoms (such as trouble focusing or paying attention or using information to
make decisions), and negative symptoms (such as diminished emotional expression,
avolition, alogia, and anhedonia). Antipsychotic drugs are often only partially
effective, particularly in treating negative symptoms, indicating the need for
additional treatment. Mirtazapine is an antidepressant drug that when taken in
addition to an antipsychotic may offer some benefit for negative symptoms.
OBJECTIVES: To systematically assess the effects of mirtazapine as adjunct
treatment for people with schizophrenia. SEARCH METHODS: The Information
Specialist of Cochrane Schizophrenia searched the Cochrane Schizophrenia Group's
Study-Based Register of Trials (including registries of clinical trials) up to
May 2018. SELECTION CRITERIA: All randomised-controlled trials (RCTs) with
useable data focusing on mirtazapine adjunct for people with schizophrenia. DATA
COLLECTION AND ANALYSIS: We extracted data independently. For binary outcomes, we
calculated risk ratio (RR) and its 95% confidence interval (CI), on an intention
to-treat (ITT) basis. For continuous data, we estimated the mean difference (MD)
between groups and its 95% CI. We employed a fixed-effect model for analyses. For
included studies we assessed risk of bias and created 'Summary of findings' table
using GRADE. MAIN RESULTS: We included nine RCTs with a total of 310
participants. All studies compared mirtazapine adjunct with placebo adjunct and
were of short-term duration. We considered five studies to have a high risk of
bias for either incomplete outcome data, selective reporting, or other bias.Our
main outcomes of interest were clinically important change in mental state
(negative and positive symptoms), leaving the study early for any reason,
clinically important change in global state, clinically important change in
quality of life, number of days in hospital and incidence of serious adverse
events.One trial defined a reduction in the Scale for the Assessment of Negative
Symptoms (SANS) overall score from baseline of at least 20% as no important
response for negative symptoms. There was no evidence of a clear difference
between the two treatments with similar numbers of participants from each group
showing no important response to treatment (RR 0.81, 95% CI 0.57 to 1.14, 1 RCT,
n = 20, very low-quality evidence).Clinically important change in positive
symptoms was not reported, however, clinically important change in overall mental
state was reported by two trials and data for this outcome showed a favourable
effect for mirtazapine (RR 0.69, 95% CI 0.51 to 0.92; I2 = 75%, 2 RCTs, n = 77,
very low-quality evidence). There was no evidence of a clear difference for
numbers of participants leaving the study early (RR 1.03, 95% CI 0.64 to 1.66, 9
RCTs, n = 310, moderate-quality evidence), and no evidence of a clear difference
in global state Clinical Global Impressions Scale (CGI) severity scores (MD
0.10, 95% CI -0.68 to 0.48, 1 RCT, n = 39, very low-quality evidence). A
favourable effect for mirtazapine adjunct was found for the outcome clinically
important change in akathisia (RR 0.33, 95% CI 0.20 to 0.52, 2 RCTs, n = 86, low
quality evidence; I2 = 61%I). No data were reported for quality life or number of
days in hospital.In addition to the main outcomes of interest, there was evidence
relating to adverse events that the mirtazapine adjunct groups were associated
with an increased risk of weight gain (RR 3.19, 95% CI 1.17 to 8.65, 4 RCTs, n =
127) and sedation/drowsiness (RR 1.64, 95% CI 1.01 to 2.68, 7 RCTs, n = 223).
AUTHORS' CONCLUSIONS: The available evidence is primarily of very low quality and
indicates that mirtazapine adjunct is not clearly associated with an effect for
negative symptoms, but there is some indication of a positive effect on overall
mental state and akathisia. No effect was found for global state or leaving the
study early and data were not available for quality of life or service use. Due
to limitations of the quality and applicability of the evidence it is not
possible to make any firm conclusions, the role of mirtazapine adjunct in routine
clinical practice remains unclear. This underscores the need for new high-quality
evidence to further evaluate mirtazapine adjunct for schizophrenia.
PMID- 29802812
TI - Comorbid fibromyalgia: A qualitative review of prevalence and importance.
AB - : Fibromyalgia (FM) may be an unrecognized cause of suffering for persons with an
array of medical conditions. This is especially true for illness that is
characterized by pain of any nature. Once believed to be a unique diagnosis, FM
is recently reported to occur concomitantly with various rheumatic diseases, and
importantly adversely impacts global health status. However, there is increasing
report of FM associated with other diseases that are not defined by chronic pain.
This qualitative review examines the evidence for comorbid FM in illness, and
where available the effect of FM on the primary disease. Other than for
musculoskeletal disorders, the published literature reporting an association of
FM with illness is limited with scanty reports for some neurological,
gastrointestinal, mental health and other overlapping pain conditions. Comorbid
FM adversely affects both health status and outcome for rheumatic diseases, but
with limited study in other diseases. When unrecognized, comorbid FM may be
mistaken as poor control of the primary disease, leading to incorrect treatment
decisions. FM may be a neglected condition that pervades many conditions and may
contribute to the burden of illness. Physicians should be alert to the
possibility of comorbid FM, and symptoms of FM should be specifically addressed.
SIGNIFICANCE: Comorbid fibromyalgia (FM) in other medical conditions is largely
unrecognized. When reported as accompanying rheumatic diseases, FM adversely
affects global health status. With limited reports of comorbid FM with other
conditions, neglect to diagnose comorbid FM may misdirect treatments.
PMID- 29802813
TI - Renal function changes after percutaneous nephrolithotomy in patients with renal
calculi with a solitary kidney compared to bilateral kidneys.
AB - OBJECTIVE: To evaluate renal function changes and risk factors for acute kidney
injury (AKI) after percutaneous nephrolithotomy (PCNL) in patients with renal
calculi with a solitary kidney (SK) or normal bilateral kidneys (BKs). PATIENTS
AND METHODS: Between 2012 and 2016, 859 patients undergoing PCNL were
retrospectively reviewed at Changhai Hospital. In all, 53 patients with a SK were
paired with 53 patients with normal BKs via a propensity score-matched analysis.
Data for the following variables were collected: age, sex, body mass index, stone
size, distribution, operation time, perioperative outcomes, and complications.
The complications were graded according to the modified Clavien-Dindo system.
Univariable and multivariable logistic regression models were constructed to
evaluate risk factors for predicting AKI. RESULTS: The SK and BKs groups were
comparable in terms of age, sex ratio, stone size, stone location distribution,
comorbidities, and American Society of Anesthesiologists Physical Status
classification. The initial and final stone-free rates were comparable between
the SK and BKs groups (initial: 52.83% vs 58.49%, P = 0.696; final: 84.91% vs
92.45%, P = 0.359). There was no difference between the two groups for
complications, according to the Clavien-Dindo grades. The estimated glomerular
filtration rate (eGFR) increased dramatically after the stone burden was
immediately relieved, and during the 6-month follow-up eGFR was lower in the SK
group compared with the BKs group. We found a modest improvement in renal
function immediately after PCNL in the BKs group, and renal function gain was
delayed in the SK group. Through logistic regression analysis, we discovered that
a SK, preoperative creatinine and diabetes were independent risk factors for
predicting AKI after PCNL. CONCLUSION: Considering the overall complication
rates, PCNL is generally a safe procedure for treating renal calculi amongst
patients with a SK or normal BKs. Follow-up renal function analysis showed a
modest improvement in patients of both groups. Compared to patients with normal
BKs, patients with a SK were more likely to develop AKI after PCNL.
PMID- 29802814
TI - Osseous Loading by a Volume-Reduced Tongue During Muscle Contractions.
AB - PURPOSE: To investigate how tongue volume reduction affects loads on surrounding
bone surfaces produced by neuromuscular stimulation of the tongue. MATERIALS AND
METHODS: Of each pair of same-gender minipig siblings, 1 received tongue
reduction and 1 underwent sham surgery. Either immediately (acute, 6 pairs) or 1
month (chronic, 5 pairs) after surgery, bone surface and/or suture strains and
pressures were recorded from the following locations when the hypoglossal nerve
trunk, hypoglossal nerve medial branch, hypoglossal nerve lateral branch,
genioglossus, and styloglossus (SG) were electrically stimulated: 1) three
rosette strain gauges on the premaxillary palatal surface (premaxilla [PM]) and
lingual surfaces of the mandibular alveolus at anterior (mandibular incisor [MI])
and posterior (mandibular molar [MM]) locations; 2) two single-element strain
gauges over the palatal surface of the premaxillary-maxillary suture and the
lingual surface of the mandibular symphysis; and 3) two pressure transducers on
the palatal surface of the maxilla (palatal process) and the lingual surface of
the mandibular alveolus (mandibular corpus). RESULTS: Compared with the sham
animals in the acute study, reduction animals showed significantly decreased PM
and MI strains, as well as palatal process pressure. With muscle contractions,
mandibular symphysis and MM strains were enhanced significantly with a more
dorsal orientation. In the chronic study, reduction animals showed decreased PM
and increased MM strains. On comparison of chronic versus acute studies, PM, MI,
and MM strains under SG stimulation were significantly smaller whereas MM strain
was significantly larger under hypoglossal nerve trunk, hypoglossal nerve lateral
branch, and SG stimulations. CONCLUSIONS: Muscle contractions from a volume
reduced tongue produce lower and higher loads in the anterior and posterior
mouth, respectively. However, although the effects on reducing loads in the
anterior mouth are persisting over time, compensatory load enhancement in the
posterior mouth diminishes owing to surgical healing.
PMID- 29802815
TI - Novel Patient-Specific 3-Dimensional Printed Fixation Tray for Mandibular
Reconstruction With Fibular Free Flaps.
AB - Segmental mandibular defects secondary to infectious, traumatic, and pathologic
conditions can be debilitating because of their impact on function and facial
esthetics. Several reconstructive techniques are available, with vascularized
flaps commonly used for the reconstruction of large bony or composite segmental
defects. The free fibular flap for mandibular reconstruction is well documented
and remains a commonly used flap because of its bone length, versatility, distant
location from the head and neck region that allows for a 2-team approach, and
ability to simultaneously place endosseous implants. Virtual surgical planning
(VSP) and guided resection and reconstruction of maxillofacial defects have
facilitated complex 3-dimensional (3D) reconstruction. The accuracy and fidelity
of VSP are dependent on the intraoperative execution of the VSP, with computer
aided design and computer-aided modeling of patient-specific cutting guides and
hardware providing a template for its execution. The goal of this report is to
describe the authors' experience with the use of a novel 3D printed fixation tray
designed from the VSP data. It provides dual functionality by aiding in alignment
and stabilization of the fibular segments and concomitantly providing patient
specific anatomic references for indexing of bony and soft tissue components.
This tray enables rapid ex vivo configuration of the fibula segment(s) with the
reconstruction bar relative to the native mandibular segments and allows the
compiled construct to be transferred to the head and neck for insetting as a
precisely configured single unit.
PMID- 29802816
TI - Early Behavioural Facilitation by Temporal Expectations in Complex Visual-motor
Sequences.
AB - In daily life, temporal expectations may derive from incidental learning of
recurring patterns of intervals. We investigated the incidental acquisition and
utilisation of combined temporal-ordinal (spatial/effector) structure in complex
visual-motor sequences using a modified version of a serial reaction time (SRT)
task. In this task, not only the series of targets/responses, but also the series
of intervals between subsequent targets was repeated across multiple
presentations of the same sequence. Each participant completed three sessions. In
the first session, only the repeating sequence was presented. During the second
and third session, occasional probe blocks were presented, where a new
(unlearned) spatial-temporal sequence was introduced. We first confirm that
participants not only got faster over time, but that they were slower and less
accurate during probe blocks, indicating that they incidentally learned the
sequence structure. Having established a robust behavioural benefit induced by
the repeating spatial-temporal sequence, we next addressed our central hypothesis
that implicit temporal orienting (evoked by the learned temporal structure) would
have the largest influence on performance for targets following short (as opposed
to longer) intervals between temporally structured sequence elements, paralleling
classical observations in tasks using explicit temporal cues. We found that
indeed, reaction time differences between new and repeated sequences were largest
for the short interval, compared to the medium and long intervals, and that this
was the case, even when comparing late blocks (where the repeated sequence had
been incidentally learned), to early blocks (where this sequence was still
unfamiliar). We conclude that incidentally acquired temporal expectations that
follow a sequential structure can have a robust facilitatory influence on
visually-guided behavioural responses and that, like more explicit forms of
temporal orienting, this effect is most pronounced for sequence elements that are
expected at short inter-element intervals.
PMID- 29802817
TI - Prophylactic and therapeutic activity of alkaline phosphatase in arthritic rats:
single-agent effects of alkaline phosphatase and synergistic effects in
combination with methotrexate.
AB - Alkaline phosphatase (AP) is a gate-keeper of innate immune system responses by
detoxifying inflammation triggering moieties released from endogenous and
external sources. We examined whether AP's broad mechanism of action constitutes
a safe therapeutic, either as single agent or combined with methotrexate (MTX),
for chronic inflammatory disorders, for example, rheumatoid arthritis (RA). A rat
model for RA was used with repeated intra-articular methylated bovine serum
albumin (mBSA) injections in 1 knee ("arthritic" knee), with the contralateral
knee serving as internal control. AP (200 ug, subcut) was administered before
mBSA injections (prophylactic setting) or after arthritis induction (therapeutic
setting) or combined with MTX (0.3 mg/kg or 1 mg/kg; intraperitoneally). As end
point of treatment outcome, macrophage infiltration in knees, liver, and spleen
was assessed by immunohistochemistry (ED1 and ED2 expression), immunofluoresence
(macrophage marker folate receptor-beta [FRbeta]), and [18F]fluoro-polyethylene
glycol-folate positron emission tomography (PET) (macrophage imaging) and ex vivo
tissue distribution. Single-agent AP treatment and combinations with MTX were
well tolerated. Both prophylactic and therapeutic AP markedly reduced synovial
macrophage infiltration in arthritic knees (ED1: 3.5- to 4-fold; ED2: 3.5- to 6
fold), comparable with MTX treatment. AP-MTX combinations slightly improved on
single agent effects. PET monitoring and ex vivo tissue distribution studies
corroborated the impact of AP, MTX, and AP-MTX on reducing synovial macrophage
infiltration. Beyond localized articular effects, AP also revealed systemic anti
inflammatory effects by a 2-fold reduction of ED1, ED2, and FRbeta+ macrophages
in liver and spleen of arthritic rats. Collectively, single-agent AP and AP
combined with MTX elicited local and systemic anti-arthritic activity in
arthritic rats.
PMID- 29802818
TI - A Randomized, Controlled Phase I/II Study to Evaluate the Safety and Efficacy of
MGV354 for Ocular Hypertension or Glaucoma.
AB - PURPOSE: To assess the clinical safety, tolerability, and efficacy of topically
administered MGV354, a soluble guanylate cyclase (sGC) activator, in patients
with ocular hypertension (OH) or glaucoma. DESIGN: Double-masked, randomized, and
vehicle-controlled study. METHODS: Parts 1 and 2 evaluated safety and
tolerability to identify the maximum tolerated dose (MTD) of once-daily MGV354 in
32 healthy volunteers (Part 1) and 16 patients with OH or glaucoma (Part 2) at a
single clinical site. Part 3 was a multisite trial that evaluated intraocular
pressure (IOP)-lowering efficacy of the MTD administered nightly for 1 week in 50
patients with minimum IOP of 24 mm Hg at 8 AM, with a main outcome measure of
mean diurnal IOP at day 8 compared to baseline (ClinicalTrials.govNCT02743780).
RESULTS: There was no difference in favor of MGV354 for IOP lowering; change from
baseline to day 8 in mean diurnal IOP was -0.6 mm Hg for MGV354-treated patients
and -1.1 mm Hg for vehicle-treated patients in Part 3, with a confidence interval
of -0.7 to 1.7. The most common adverse events reported after MGV354
administration were conjunctival and ocular hyperemia. CONCLUSIONS: Overall,
MGV354 0.1% demonstrated no statistically significant effect compared to vehicle
in lowering IOP based on the study's main outcome measure. MGV354 produced ocular
hyperemia consistent with its pharmacology.
PMID- 29802819
TI - Outcomes of Cataract Surgery in Patients With Exudative Age-related Macular
Degeneration and Macular Fluid.
AB - PURPOSE: To investigate whether having macular fluid on optical coherence
tomography (OCT) prior to cataract surgery adversely affected vision or anatomic
outcomes after cataract surgery in patients with exudative age-related macular
degeneration (AMD). DESIGN: Retrospective cohort study. METHODS: We examined all
patients who underwent cataract surgery and were receiving intravitreal anti
vascular endothelial growth factor (anti-VEGF) injections from January 1, 2012
through December 31, 2016. There were 81 eyes that underwent cataract surgery and
had received at least 1 intravitreal anti-VEGF injection for a diagnosis of
exudative AMD within 6 months prior to surgery. Data collected included the
development of subretinal or intraretinal macular fluid, or subretinal
hemorrhage, in the 6 months following surgery; number of injections; best
corrected visual acuity (BCVA); and central subfield thickness (CST). RESULTS:
There was a significant improvement between preopertive and postoperative BCVA
when comparing all patients (P values < .0001) and no significant difference in
CST before and after surgery (P > .05). There were 23 eyes with fluid on the
preoperative OCT. There were no differences in final BCVA or CST and no
difference in the development of fluid postoperatively when compared to patients
without fluid preoperatively (all P values > .05). These patients also saw a
significant improvement in BCVA (P = .006). CONCLUSION: In a real-world setting,
patients with both cataracts and wet AMD may safely undergo cataract surgery.
Patients with stable preoperative fluid on OCT should be considered for cataract
surgery, as these patients did well postoperatively, with no worsening of their
neovascular process.
PMID- 29802820
TI - Plasma urolithin metabolites correlate with improvements in endothelial function
after red raspberry consumption: A double-blind randomized controlled trial.
AB - Raspberries are a rich source of ellagitannins and anthocyanins. The aim of this
work was to investigate whether raspberry consumption can improve vascular
function and to understand which phenolic metabolites may be responsible for the
effects. A 3 arm double-blind randomized controlled crossover human intervention
trial was conducted in 10 healthy males. Flow-mediated dilation (FMD) was
measured at baseline, 2 h, and 24 h post-consumption of 200 g and 400 g of red
raspberries containing 201 or 403 mg of total (poly)phenols, or a matched control
drink. Raspberry (poly)phenol metabolites were analyzed in plasma and urine by
UPLC-QTOF mass spectrometry using authentic standards. Significant improvements
in FMD were observed at 2 h (1.6% (95%CI 1.2, 1.9) and 1.2% (95% CI 0.8, 1.5))
and 24 h (1.0% (95% CI 0.6, 1.2) and 0.7% (95%CI 0.2, 0.9)) post-consumption of
the 200 and 400 g raspberry drinks as compared to control, respectively. Plasma
ellagic acid, urolithin A-3-glucuronide and urolithin A-sulfate correlated with
the improvements in FMD at 2 and 24 h post consumption, respectively. Consumption
of dietary achievable amounts of red raspberries acutely improves endothelial
function up to 24 h and ellagitannins may be responsible for the observed effect.
PMID- 29802821
TI - microRNA-129-5p suppresses Adriamycin resistance in breast cancer by targeting
SOX2.
AB - Adriamycin resistance is closely related to therapeutic efficacy in breast cancer
patients and their prognosis. Increasing evidence has suggested that miRNA
functions in Adriamycin resistance in various types of cancer. microRNA-129-5p
(miR-129-5p) has been considered a tumor-suppressive miRNA in several cancers,
but its potential role in Adriamycin resistance in breast cancer has not been
fully elucidate. By qRT-PCR assay, we revealed that the expression of miR-129-5p
was significantly decreased in breast cancer tissues and Adriamycin-resistant
breast cancer cells (MDA-MB-231/ADR, MCF-7/ADR). CCK-8, colony formation, wound
healing, Transwell invasion, and flow cytometric profiles were examined to
determine the influence of miR-129-5p on Adriamycin-resistant breast cancer in
vitro. The upregulation of miR-129-5p decreased the IC50 concentration of
Adriamycin and invasion and promoted the apoptosis of MDA-MB-231/ADR cells in the
presence of Adriamycin, whereas the upregulation of Sex-Determining Region Y-Box
2 (SOX2) reversed these effects. A luciferase reporter assay confirmed the
binding of miR-129-5p to the 3'UTR of SOX2. Collectively, it was suggested that
miR-129-5p suppresses Adriamycin resistance in breast cancer by directly
targeting SOX2.
PMID- 29802822
TI - Re: Variations in the Arterial Blood Supply to the Penis and the Accessory
Pudendal Artery: A Meta-Analysis and Review of Implications in Radical
Prostatectomy: B. M. Henry, P. A. Pekala, J. Vikse, B. Sanna, B. Skinningsrud, K.
Saganiak, J. A. Walocha and K. A. Tomaszewski J Urol 2017;198:345-353.
PMID- 29802823
TI - Re: Editorial Comment on Three-Year Outcomes of the Prospective, Randomized
Controlled rezum System Study: Convective Radiofrequency Thermal Therapy for
Treatment of Lower Urinary Tract Symptoms Due to Benign Prostatic Hyperplasia: S.
A. Kaplan J Urol 2018;199:1085-1088.
PMID- 29802824
TI - Reply by Author.
PMID- 29802825
TI - Reply by Authors.
PMID- 29802826
TI - Re: Perinephric Hematoma Size is Independently Associated with the Need for
Urological Intervention in Multisystem Blunt Renal Trauma: L. Zemp, U. Mann and
K. F. Rourke J Urol 2018;199:1283-1288.
PMID- 29802827
TI - Globular adiponectin protects rat hepatocytes against acetaminophen-induced cell
death via modulation of the inflammasome activation and ER stress: Critical role
of autophagy induction.
AB - Acetaminophen (APAP) overdose treatment causes severe liver injury. Adiponectin,
a hormone predominantly produced by adipose tissue, exhibits protective effects
against APAP-induced hepatotoxicity. However, the underlying mechanisms are not
clearly understood. In the present study, we examined the protective effect of
globular adiponectin (gAcrp) on APAP-induced hepatocyte death and its underlying
mechanisms. We found that APAP (2 mM)-induced hepatocyte death was prevented by
inhibition of the inflammasome. In addition, treatment with gAcrp (0.5 and 1
MUg/ml) inhibited APAP-induced activation of the inflammasome, judged by
suppression of interleukin-1beta maturation, caspase-1 activation, and apoptosis
associated speck-like protein (ASC) speck formation, suggesting that protective
effects of gAcrp against APAP-induced hepatocyte death is mediated via modulation
of the inflammasome. APAP also induced ER stress and treatment with
tauroursodeoxycholic acid (TUDCA), an ER chaperone and inhibitor of ER stress,
abolished APAP-induced inflammasomes activation, implying that ER stress acts as
signaling event leading to the inflammasome activation in hepatocytes stimulated
with APAP. Moreover, gAcrp significantly suppressed APAP-induced expression of ER
stress marker genes. Finally, the modulatory effects of gAcrp on ER stress and
inflammasomes activation were abrogated by treatment with autophagy inhibitors,
while an autophagy inducer (rapamycin) suppressed APAP-elicited ER stress,
demonstrating that autophagy induction plays a crucial role in the suppression of
APAP-induced inflammasome activation and ER stress by gAcrp. Taken together,
these results indicate that gAcrp protects hepatocytes against APAP-induced cell
death by modulating ER stress and the inflammasome activation, at least in part,
via autophagy induction.
PMID- 29802828
TI - Jacareubin inhibits FcepsilonRI-induced extracellular calcium entry and
production of reactive oxygen species required for anaphylactic degranulation of
mast cells.
AB - Mast cells (MCs) are important effectors in allergic reactions since they produce
a number of pre-formed and de novo synthesized pro-inflammatory compounds in
response to the high affinity IgE receptor (FcepsilonRI) crosslinking.
IgE/Antigen-dependent degranulation and cytokine synthesis in MCs have been
recognized as relevant pharmacological targets for the control of deleterious
inflammatory reactions. Despite the relevance of allergic diseases worldwide,
efficient pharmacological control of mast cell degranulation has been elusive. In
this work, the xanthone jacareubin was isolated from the heartwood of the
tropical tree Callophyllum brasilense, and its tridimensional structure was
determined for the first time by X-ray diffraction. Also, its effects on the main
activation parameters of bone marrow-derived mast cells (BMMCs) were evaluated.
Jacareubin inhibited IgE/Ag-induced degranulation in a dose-response manner with
an IC50 = 46 nM. It also blocked extracellular calcium influx triggered by IgE/Ag
complexes and by the SERCA ATPase inhibitor thapsigargin (Thap). Inhibition of
calcium entry correlated with a blockage on the reactive oxygen species (ROS)
accumulation. Antioxidant capacity of jacareubin was higher than the showed by
alpha-tocopherol and caffeic acid, but similar to trolox. Jacareubin shown
inhibitory actions on xanthine oxidase, but not on NADPH oxidase (NOX)
activities. In vivo, jacareubin inhibited passive anaphylactic reactions and TPA
induced edema in mice. Our data demonstrate that jacareubin is a potent natural
compound able to inhibit anaphylactic degranualtion in mast cells by blunting
FcepsilonRI-induced calcium flux needed for secretion of granule content, and
suggest that xanthones could be efficient anti-oxidant, antiallergic, and
antiinflammatory molecules.
PMID- 29802829
TI - Topical treatments with acylceramide dispersions restored stratum corneum lipid
lamellar structures in a reconstructed human epidermis model.
AB - Long-periodicity phase (LPP) lamellar structures in intercellular lipid matrixes
of the stratum corneum (SC) are considered important for maintenance of skin
permeability barriers. Acylceramides are essential components of LPP structures,
and their absence influences skin barriers under physiological and pathological
conditions, such as atopic dermatitis and dry skin. Although topical applications
of acylceramide have been shown to facilitate maintenance of the skin barrier, it
is unknown whether topically applied acylceramides are incorporated into
intercellular lipids to form LPP structures. Thus, we assessed the effects of
topical treatments with monomodal acylceramides on the formation of LPP
structures in a surfactant-insulted reconstructed human epidermis model using
small-angle X-ray scattering (SAXS) and transmission electron microscopy (TEM)
analyses. In SAXS experiments, LPP structures give rise to a diffraction peak
which indicates the presence of a structure with a 13 nm real space repeat
distance. LPP patterns of intercellular lipid matrixes in the SC were disrupted'
by surfactant treatments and were recovered by topical acylceramide treatments.
TEM images also showed specific repeating patterns of LPP structures, indicating
that topical acylceramide treatments facilitate recovery of LPP structures in the
SC. The present data show that the application of acylceramides might temporarily
modify the lipid structure to resemble that of normal skin although the
underlying cause of dry or diseased skin is not fully clarified.
PMID- 29802830
TI - Human platelet antigens in disease.
AB - Platelets have various functions and participate in primary hemostasis,
inflammation, and immune responses. Human platelet antigens (HPAs) are
alloantigens expressed on the platelet membrane. Each HPA represent one of six
platelet glycoproteins GPIIb, GPIIIa, GPIa, GPIbalpha, GPIbbeta, and CD109, and
six biallelic systems are grouped. A single nucleotide polymorphism (SNP) in the
gene sequence causes a single amino acid substitution of relevant platelet
glycoprotein with the exception of HPA-14bw. High-throughput next-generation
sequencing-based method has been developed, which enable accurately
identification of HPA polymorphisms. The roles of HPA in disease were reviewed.
HPAs mediate platelet-microorganism and platelet-malignant cell interactions, and
they also participate in pathogenesis of hemorrhagic fever with renal syndrome
and infective endocarditis. The exploration of HPA polymorphisms in association
with disease susceptibility of individuals will benefit prevention or management
of disease.
PMID- 29802831
TI - Associations of body mass index with glycated albumin and glycated
albumin/glycated hemoglobin A1c ratio in Chinese diabetic and non-diabetic
populations.
AB - BACKGROUND: Recent studies have discussed the relationship between body mass
index (BMI) and glycated albumin (GA) level. However, the extent of the influence
of BMI on GA remains uncertain. We investigated the associations between BMI and
GA, glycated hemoglobin A1c (HbA1c) and GA/HbA1c, and to analyze the influence of
obesity on GA, HbA1c, and GA/HbA1c in both Chinese diabetic and non-diabetic
populations. METHODS: A total of 2562 participants, including 1177 men and 1385
women (age 20-80 y), were enrolled. Each subject underwent a 75-g oral glucose
tolerance test. Serum GA was detected using a liquid enzyme method, and HbA1c was
assayed using high-performance liquid chromatography. RESULTS: In the diabetic
patients (n = 1223), the GA, HbA1c, and GA/HbA1c levels were 16.7 +/- 3.0%, 6.6
+/- .9% (49 +/- 9 mmol/mol), and 2.51 +/- .33, respectively. In the non-diabetic
subjects (n = 1339), the GA, HbA1c, and GA/HbA1c concentrations were 13.8 +/-
1.7%, 5.6 +/- .4% (38 +/- 4 mmol/mol), and 2.47 +/- .31, respectively. Decreasing
trends in the GA and GA/HbA1c concentrations and an increasing trend in the HbA1c
concentration (all P for trend <.05) were found to accompany with the increase in
BMI, regardless of diabetes status. Multiple regression analysis revealed that
BMI was independently related to HbA1c in the non-diabetic population
(standardized beta = .158, P < .001); however, the relationship disappeared in
the diabetic population (P > .05). Moreover, in the diabetic and non-diabetic
populations, BMI was negatively correlated with GA (standardized beta = -.167 and
- .231, both P < .001) and GA/HbA1c (standardized beta = -.273 and - .310, both P
< .001). Further analysis showed that a 1 kg/m2 increment in BMI was associated
with a .13% decrease in the absolute value of GA. CONCLUSIONS: In both diabetic
and non-diabetic populations, GA and GA/HbA1c levels are independently and
negatively associated with BMI. For every 1 kg/m2 increment in BMI, the absolute
value of GA decreases approximately .13%.
PMID- 29802832
TI - Effects of glucose administration on glucose and lipid metabolism in two strains
of gibel carp (Carassius gibelio).
AB - We compared the glucose clearance ability of gibel carp CAS III (A strain) with
gibel carp Dongting (DT strain). A previous study suggested that these two
strains responded to insulin differently. As insulin plays an important role in
glucose utilization, we hypothesized that the ability to eliminate excess glucose
after a glucose load would differ between A strain and DT strain. To test this
hypothesis, fasted specimens of both strains of gibel carp were injected with
glucose. As expected, glucose induced hyperglycemia in both A strain and DT
strain. In both strains, mRNA levels of the glycolytic enzyme 6
phosphofructokinase (6PFK) increased in the white skeletal muscle 8 h post
injection, while expression levels of glucose-6-phosphatase (G6Pase), fructose
1,6-bisphosphatase (FBPase), and phosphoenolpyruvate carboxykinase (PEPCK)
decreased in the liver 8 h post-injection. In the DT strain, both GLUT4
expression and muscular glycolytic processes increased, as reflected by elevated
hexokinase 2 (HK2) and pyruvate kinase (PK) mRNA expression levels. The DT strain
also returned to basal glycemia more quickly than the A strain (within 6 h versus
more than 12 h). The glycogen concentration in the liver of the DT strain was
higher than that of the A strain, indicating that the DT strain was better able
to store glucose as glycogen than the A strain. Overall, the DT strain was better
able to clear excess blood glucose after the glucose tolerance test than the A
strain.
PMID- 29802833
TI - TNF-alpha and TNF-R1 regulate bupivacaine-induced apoptosis in spinal cord dorsal
root ganglion neuron.
AB - Local anesthesia has been shown to render severe spinal cord neurotoxicity. This
study used an in vitro model to explore the expression and function of tumor
necrosis factor (TNF) signaling pathway in bupivacaine-induced apoptotic injury
in spinal cord dorsal root ganglia (DRG). DRG was prepared from adult C57BL/6
mice and incubated with 10 mM bupivacaine in vitro to induce apoptosis. QRT-PCR
and western blot demonstrated that bupivacaine upregulated TNF-alpha (TNF-alpha)
and TNF receptor 1 (TNF-R1), but left TNF receptor 2 (TNF-R2) unaffected in DRG.
SiRNA-mediated TNF-alpha or TNF-R1 inhibition, but not TNF-R2 inhibition, rescued
bupivacaine-induced DRG apoptosis. In addition, qRT-PCR and western blot
demonstrated that downstream substrates of apoptotic and TNF signaling pathways,
caspase-9, MAP3K and JNK, were all significantly downregulated by TNF-alpha or
TNF-R1 inhibition, but not by TNF-R2 inhibition, in bupivacaine-injured DRG.
Thus, our work suggested that TNF-alpha and TNF-R1 are the major contributors of
TNF signaling pathway in anesthesia-induced spinal cord neurotoxicity. Targeting
TNF-alpha / TNF-R1, not TNF-R2 signaling pathway may be the key component to
rescue or prevent anesthesia-induced apoptotic injury in spinal cord neurons.
PMID- 29802835
TI - Neurocristopathies: New insights 150 years after the neural crest discovery.
AB - The neural crest (NC) is a transient, multipotent and migratory cell population
that generates an astonishingly diverse array of cell types during vertebrate
development. These cells, which originate from the ectoderm in a region lateral
to the neural plate in the neural fold, give rise to neurons, glia, melanocytes,
chondrocytes, smooth muscle cells, odontoblasts and neuroendocrine cells, among
others. Neurocristopathies (NCP) are a class of pathologies occurring in
vertebrates, especially in humans that result from the abnormal specification,
migration, differentiation or death of neural crest cells during embryonic
development. Various pigment, skin, thyroid and hearing disorders, craniofacial
and heart abnormalities, malfunctions of the digestive tract and tumors can also
be considered as neurocristopathies. In this review we revisit the current
classification and propose a new way to classify NCP based on the embryonic
origin of the affected tissues, on recent findings regarding the molecular
mechanisms that drive NC formation, and on the increased complexity of current
molecular embryology techniques.
PMID- 29802834
TI - Methyl cinnamate alleviated CCI-induced upregualtion of spinal AMPA receptors and
pain hypersensitivity by targeting AMPK.
AB - Various studies proved spinal AMPA receptors were involved in the formation of
neuropathic pain. In this study, we investigated the effect of methyl cinnamate
(MC), a flavoring agent widely used in food and commodity industry, on CCI
induced upregulation of spinal AMPARs and pain hypersensitive behaviors. Results
indicated that MC treatment dosage-dependently inhibited CCI-induced mechanical
and thermal hypersensitivity. To further investigate the effect of MC after the
formation of neuropathic pain, MC at the dosage of 100 mg/kg was administrated on
day 7-14 on CCI rats. Results showed that MC treatment for seven days alleviated
CCI-induced pain hypersensitivity after the formation of neuropathic pain. MC
treatment reversed CCI-induced upregulation of GluR2, GluR3 and phosphorylation
of GluR1. Further, MC dosage-dependently alleviated CCI-induced activation of
mTOR and the downstream p70s6k. MC dosage-dependently induced activation of AMPK.
All the MC-induced effects in CCI rats were completely reversed by Compound C, a
AMPK inhibitor. These results meant MC treatment mitigated CCI-induced
upregualtion of spinal AMPA receptors and pain hypersensitive behaviors through
actviation of AMPK.
PMID- 29802837
TI - Activated mitochondrial apoptosis in hESCs after dissociation involving the PKA/p
p53/Bax signaling pathway.
AB - Human embryonic stem cells (hESCs) are highly fragile with massive cell death
after dissociation into single cells, which seriously hampers their applications.
The mechanism underlying the massive cell death after dissociation still remains
elusive. Here, the expression of apoptosis-related proteins, cell survival and
mitochondrial membrane potential in dissociated hESCs before and after the
treatments with a protein kinase A (PKA) inhibitor H89 and p53 inhibitor
Pifithrin alpha were investigated, respectively. Protein interactions were
identified by immunoprecipitation and immunofluorescence. The results show that
the dissociation causes Caspase-dependent apoptosis in hESCs mediated by
mitochondrial pathway with the up-regulation of pro-apoptotic proteins, decrease
in mitochondrial membrane potential and elevation in pro-apoptotic Cyto c
release, which are obviously suppresses by H89. The dissociation-induced increase
of phosphorylated p53 Ser15 (p-p53) is suppressed by Pifithrin alpha which also
rescues the elevated levels of pro-apoptotic proteins in mitochondrial pathway.
During the dissociation-induced apoptosis, PKA/p-p53/Bax signaling pathway is
identified by immunoprecipitation and immunofluorescence showing the most likely
interaction between them. These results indicate that dissociation induces
mitochondrial apoptosis in hESCs involving PKA/p-p53/Bax signaling pathway, which
not only give new insights into the apoptosis mechanism of dissociated hESCs, but
also provide clues for developing potential strategies to promote hESC survival
after dissociation.
PMID- 29802836
TI - False-positive amphetamine results on several drug screening platforms due to
mexiletine.
AB - OBJECTIVE: False-positive urine drug of abuse screening (UDS) results can have
serious implications in clinical practice, particularly when confirmation assay
results are not immediately available to providers making medical decisions.
Often it is not possible to identify the specific medication or other interfering
compound that is responsible for the false-positive UDS result. Even when a
potential interference is reported in the literature or package insert for one
assay, the applicability to other UDS platforms/assays is often unknown.
Mexiletine has been suggested as a cause of false-positive amphetamine results,
but never confirmed as the causative agent in previous reports. The goal of this
study was to confirm this drug as a cross-reacting compound in amphetamine
screening tests. METHODS: We evaluated several amphetamine screening assays: the
Syva EMIT II Plus and the Roche KIMS automated immunoassays, along with the Noble
Split-Specimen and Synchron QuikScreen point-of-care assays. RESULTS: Urine
samples from two patients treated with mexiletine were positive on all
amphetamine screens but confirmed negative by mass spectrometry. Drug-free urine
spiked with mexiletine caused positive results on all assays, although the EMIT
II Plus and KIMS assays cross-reacted at lower mexiletine concentrations than the
point-of-care assays. CONCLUSION: This report confirms that mexiletine can cross
react on several amphetamine screening assays. Assay manufacturers are encouraged
to evaluate mexiletine cross-reactivity, and providers and laboratories should be
aware of the potential for false-positive amphetamine screening results in
patients taking mexiletine.
PMID- 29802838
TI - A chimeric protein PTEN-L-p53 enters U251 cells to repress proliferation and
invasion.
AB - PTEN, a well-known tumor suppressor, dephosphorylates PIP3 and inhibits AKT
activity. A translational variant of PTEN has been identified and termed PTEN
Long (PTEN-L). The additional 173 amino acids (PTEN-L leader) at the N-terminal
constitute a potential signal peptide. Differing from canonical PTEN, PTEN-L is
secreted into the extracellular fluid and re-enters recipient cells, playing the
similar roles as PTEN in vivo and in vitro. This character confers the PTEN-L a
therapeutic ability via directly protein delivering instead of traditional DNA
and RNA vector options. In the present study, we employed PTEN-L leader to
assemble a fusion protein, PTEN-L-p53, inosculated with the transcriptional
regulator TP53, which is another powerful tumor suppressor. We overexpressed PTEN
L-p53 in HEK293T cells and detected it in both the cytoplasm and nucleus.
Subsequently, we found that PTEN-L-p53 was secreted outside of the cells and
detected in the culture media by immunoblotting. Furthermore, we demonstrated
that PTEN-L-p53 freely entered the cells and suppressed the viability of
U251cells (p53R273H, a cell line with p53 R273H-mutation). PTEN-L-p53 is composed
of endogenous protein/peptide bearing low immunogenicity, and only the junction
region between PTEN-L leader and p53 can act as a new immune epitope.
Accordingly, this fusion protein can potentially be used as a therapeutic option
for TP53-abnormality cancers.
PMID- 29802839
TI - Oxytocin receptor antagonist atosiban impairs consolidation, but not
reconsolidation of contextual fear memory in rats.
AB - There is increasing evidence that oxytocin is involved in learning and memory
process. This study investigated the effects of blockade of oxytocin receptors
using the selective oxytocin receptor antagonist atosiban (ATO) on contextual
fear memory consolidation and reconsolidation in male rats. Post-training
injections of different doses of ATO (1, 10, 100 or 1000 ug/kg) impaired the 48 h
retention performance in a dose-dependent manner. The same doses of ATO following
memory reactivation did not impair subsequent expression of contextual fear
memories which formed under low or high shock intensities and tested 24 h or one
week following memory reactivation. Also, no effect was found when ATO was
administrated in the absence of memory reactivation. Our finding is the first
report that indicates endogenous oxytocin released during training play an
important role in the consolidation, but not reconsolidation of contextual fear
memory in rats.
PMID- 29802840
TI - Preservation of interhemispheric cortical connections through corpus callosum
following intravenous infusion of mesenchymal stem cells in a rat model of
cerebral infarction.
AB - Systemic administration of mesenchymal stem cells (MSCs) following cerebral
infarction exerts functional improvements. Previous research has suggested
potential therapeutic mechanisms that promote neuroprotection and synaptogenesis.
These include secretion of neurotrophic factors, remodeling of neural circuits,
restoration of the blood brain barrier, reduction of inflammatory infiltration
and demyelination, and elevation of trophic factors. In addition to these
mechanisms, we hypothesized that restored interhemispheric bilateral motor cortex
connectivity might be an additional mechanism of functional recovery. In the
present study, we have shown, with both MRI diffusion tensor imaging (DTI) and
neuroanatomical tracing techniques using an adeno-associated virus (AAV)
expressing GFP, that there was anatomical restoration of cortical
interhemispheric connections through the corpus callosum after intravenous
infusion of MSCs in a rat middle cerebral artery occlusion (MCAO) stroke model.
Moreover, the degree of connectivity was greater in the MSC-treated group than in
the vehicle-infused group. In accordance, both the thickness of corpus callosum
and synaptic puncta in the contralateral (non-infarcted) motor cortex connected
to the corpus callosum were greater in the MSC-treated group than in the vehicle
group. Together, these results suggest that distinct preservation of
interhemispheric cortical connections through corpus callosum was promoted by
intravenous infusion of MSCs. This anatomical preservation of the motor cortex in
the contralateral hemisphere may contribute to functional improvements following
MSC therapy for cerebral stroke.
PMID- 29802841
TI - Monoaminergic and aminoacidergic receptors are involved in the antidepressant
like effect of ginsenoside Rb1 in mouse hippocampus (CA3) and prefrontal cortex.
AB - Ginsenoside Rb1 (Rb1), as the major bioactive ingredient of Panax ginseng C.A.
Meyer, elicited a novel antidepressant-like effect in the forced swim test (FST)
in chronic unpredictable mild stress (CUMS) rats in our previous study. To
further explore the molecular mechanism of Rb1 on the neurotransmitters such as 5
hydroxytryptamine (5-HT), 5-hydroxyindole-3-acetic acid (5-HIAA), norepinephrine
(NE), dopamine (DA), homovanillic acid (HVA), dihydroxyphenylacetic acid (DOPAC),
glutamate (Glu) and gamma-aminobutyric acid (GABA) in this antidepressant-like
effect, the neurochemical changes in the monoaminergic and aminoacidergic
receptors were investigated in the present pharmacological study by using
reuptake inhibitors, receptors agonists and antagonists. The results showed that
a sub-effective dose of Rb1 (5 mg/kg, p.o.) co-administered with fluoxetine (1
mg/kg, i.p., a selective serotonin reuptake inhibitor), reboxetine (2.5 mg/kg,
i.p., a noradrenalin reuptake inhibitor), bupropion (10 mg/kg, i.p., a
dopaminergic reuptake inhibitor), Mk-801 (0.05 mg/kg, i.p., an N-methyl-d
aspartic acid (NMDA) receptor antagonist) or baclofen (0.1 mg/kg, i.p., a
selective GABA agonist) significantly decreased the immobility time in the FST.
In addition, pretreating mice with NAN190 (0.5 mg/kg, i.p., a 5-HT1A receptor
antagonist), ketanserin (5 mg/kg, i.p., a 5-HT2A/2C receptor antagonist),
ondansetron (1 mg/kg, i.p., a 5-HT3A receptor antagonist), prazosin (1 mg/kg,
i.p., an alpha1-adrenoceptor antagonist), yohimbine (1 mg/kg, i.p., an alpha2
adrenoceptor antagonist), SCH23390 (0.05 mg/kg, i.p., a selective D1 receptor
antagonist), haloperidol (0.2 mg/kg, i.p., a non-selective D2 receptor
antagonist), NMDA (75 mg/kg, i.p., an agonist at the glutamate site) or
bicuculline (4 mg/kg, i.p., a competitive GABA antagonist) reversed the
antidepressant-like effect of Rb1 (10 mg/kg, p.o.) in the FST. The results
obtained for the neurotransmitters in the mouse hippocampus (CA3) and prefrontal
cortex showed that Rb1 up-regulated the levels of 5-HT, 5-HIAA, NE, DA, and GABA
and decreased the level of Glu. However, there were no significant differences in
HVA or DOPAC. Furthermore, there were no significant alterations in the total
path of spontaneous locomotor activity in all treatments. These results suggest
that both monoaminergic (serotonergic, noradrenergic and dopaminergic) and
aminoacidergic (glutamatergic and GABAergic) receptors may be involved in the
antidepressant-like effect of Rb1.
PMID- 29802842
TI - A simple electrochemical method to monitor an azo dye reaction with a liver
protein.
AB - Disperse Orange 37 (DO37) is an efficient azo dye for dyeing synthetic textile
materials owing to its resistance to degradation that may also be harmful to
humans as DO37 is not entirely eliminated in wastewater treatment. In this paper,
we demonstrate that DO37 is bleached by reduced glutathione (GSH) in a reaction
catalyzed by glutathione-s-transferase (GST), a phase II detoxification enzyme.
The reaction included a nucleophilic attack involving sulfhydryl groups,
confirmed using density functional theory (DFT) calculations. DO37 also induced
quenching in the fluorescence of GST through static suppression. The reaction was
determined using differential pulse voltammetry (DPV) by monitoring the oxidation
peak at 0.65 V of GSH sulfhydryl group. Quantitative estimation of the product
reaction could be made by measuring an additional oxidation peak at 0.91 V which
increased linearly with DO37 concentration. These electrochemical determinations
were made possible by preconcentrating the reaction product on a graphite-epoxy
electrode with immobilization of GST onto magnetite nanoparticles.
Straightforward biological implications from the results are associated with the
known toxicity of azo dyes such as DO37, which has been proven here to interact
strongly with both GSH and the liver enzyme GST, and may induce
hepatocarcinogenesis or other types of cancer.
PMID- 29802843
TI - Au nanoparticles-ZnO composite nanotubes using natural silk fibroin fiber as
template for electrochemical non-enzymatic sensing of hydrogen peroxide.
AB - A novel electrochemical sensor based on the composite of gold nanoparticles/zinc
oxide nanotube (AuNPs/ZnO-NTs) was constructed and its application as hydrogen
peroxide (H2O2) non-enzymatic sensor was investigated. ZnO-NTs were prepared by a
biomineralization strategy in which silk fibroin fiber (SFF) was used as
template, and thus the ZnO-NTs inherited the advantages of SFF such as mechanical
stability, flexible biomimetic morphology and biocompatibility. The AuNPs/ZnO-NTs
was further prepared by the electrostatic absorption of AuNPs onto the surface of
ZnO-NTs, and found to be capable to catalyze the reduction of H2O2. The working
potential was 0.05 V, which was far higher than those in literatures, indicating
the strong anti-interference ability in the real application. The catalytic
current was linearly proportional in the concentration range of 1 MUM-3.0 mM with
a sensitivity of 1336.7 MUA mM-1 cm-2. The detection limit was estimated to be
0.1 MUM (S/N = 3). Such a high sensitivity was attributed to the electrocatalytic
property of ZnO and high electron transfer ability of AuNPs/ZnO-NTs structure.
Moreover, the final detection results of H2O2 in real samples showed the
acceptable accuracy compared with the traditional potassium permanganate
titration, exhibiting the prospect to be used as an applicable sensor in actual
detections.
PMID- 29802844
TI - Fluorescence alteration of MPA capped CdSe quantum dots by spontaneous biomarker
protein adsorption.
AB - Quantum dots (QDs) have significant potentials in biomedical applications of
bioimaging and biosensing. Spontaneous adsorption of proteins on QDs surface is a
common phenomenon, which occurred to serum proteins in biological samples, and
has been observed to enhance QDs fluorescence. In this study, fluorescence
alteration of 3-mercaptopropionic acid (MPA) capped CdSe quantum dots by four
individual biomarker proteins was investigated. By monitoring the fluorescence
emission of QDs, the biomarker protein adsorbed spontaneously on QDs surface was
recognized and quantified. When alpha fetoprotein (AFP) or heat shock protein 90
alpha (HSP90alpha) were present, the QDs became brighter. The presence of
cytochrome C (CytoC) or lysozyme (Lyz) made the QDs dimmer first, and then
brighter. Within five minutes response time all four biomarker proteins were
detected individually with the estimated detection limit in the range of 1-10
ng/mL and good linear dynamic ranges. The results suggested that the fluorescence
of QDs was responsive to not only serum proteins but also biomarker proteins. The
fluorescence response was able to correlate quantitatively with the amount of
biomarker proteins in relatively low concentrations. These results provide more
information to understand QDs and support their applications in biomedical
fields.
PMID- 29802845
TI - Impacts of human development index and climate conditions on prevalence of
Blastocystis: A systematic review and meta-analysis.
AB - Blastocystis is a prevalent eukaryotic microorganism that has been frequently
reported from the stool samples of human and animals, all over the world. The
prevalence of this parasite has close correlation with the climate and hygiene
situation of studied populations. For the current study, English and Persian
databases were searched for the following terms: "Blastocystis hominis",
"Blastocystis sp.", "Intestinal Parasites", "Prevalence" and "Iran". In order to
data analysis and assess the possibility of publication bias, STATA v11 and
Begg's Funnel plot were employed respectively. From 605 searched cases, 86
publications met our criteria for the analysis. The pooled prevalence of
Blastocystis was 9.1% (95%CI: 8.2%, 10.1%). The results represented that the
prevalence of the parasite using molecular techniques or cultivation followed by
molecular techniques (20.89%) was higher than only microscopical examination
(8.96%). The prevalence rate of Blastocystis according to human development index
was 7.6%, 7.2%, 11.9%, 13.4%, and 3.3% for ranks 1 to 5, respectively. This is
the first study signifying the prevalence of Blastocystis regarding the human
development index and climate conditions. The findings represented that although
human development index, low levels of socioeconomic situations together with
appropriate climate such as sufficient humidity and high temperature increase the
chance of transmission of Blastocystis in a society.
PMID- 29802846
TI - Synthetic analogues of the parasitic worm product ES-62 reduce disease
development in in vivo models of lung fibrosis.
AB - Parasitic worms are receiving much attention as a potential new therapeutic
approach to treating autoimmune and allergic conditions but concerns remain
regarding their safety. As an alternative strategy, we have focused on the use of
defined parasitic worm products and recently taken this one step further by
designing drug-like small molecule analogues of one such product, ES-62, which is
anti-inflammatory by virtue of covalently attached phosphorylcholine moieties.
Previously, we have shown that ES-62 mimics are efficacious in protecting against
disease in mouse models of rheumatoid arthritis, systemic lupus erythematosus and
skin and lung allergy. Given the potential role of chronic inflammation in
fibrosis, in the present study we have focused our attention on lung fibrosis, a
debilitating condition for which there is no cure and which in spite of treatment
slowly gets worse over time. Two mouse models of fibrosis - bleomycin-induced and
LPS-induced - in which roles for inflammation have been implicated were adopted.
Four ES-62 analogues were tested - 11a and 12b, previously shown to be active in
mouse models of allergic and autoimmune disease and 16b and AIK-29/62 both of
which are structurally related to 11a. All four compounds were found to
significantly reduce disease development in both fibrosis models, as shown by
histopathological analysis of lung tissue, indicating their potential as
treatments for this condition.
PMID- 29802847
TI - Influence and mechanism of Angiotensin 1-7 on biological properties of normal
prostate epithelial cells.
AB - The ACE2/Ang1-7/MAS axis was involved in the cell proliferation, migration and
apoptosis of many types of reproductive tissues. The research was conducted on
prostate epithelial cells, immortalized by Simian Virus 40. We examined the
influence of Ang 1-7 on biological properties of PNT1A cells after 24- or 48-h
treatment. The employed selective antagonists of angiotensin receptors allowed
evaluation of the receptor mediating Ang1-7 action. Our data clearly indicate
that Ang1-7 can decrease cell proliferation and epithelial-to-mesenchymal
transition of PNT1A cells via inactivation of PI3K axis and modulation of
expression of the NF-kB gene family. Furthermore, it counteracts oxidant stress
and inflammation in prostate cells by inhibition of VEGF expression and MMPs
activation as well as by modulating the level of ERalpha and ERbeta. On the other
hand, this heptapeptide can promote cell survival by alteration of expression of
anti- and pro-apoptotic members as well as compensatory up-regulation of AR
expression. Summary, the results confirm the existence of a complicated
dependence networks between the various elements of the local RAS and steroid
hormone receptor pathways in prostate gland. Furthermore, shows the chances of
using ACE2/Ang1-7/MAS pathway as a novel therapeutic target in prevention and
treatment of prostate diseases.
PMID- 29802848
TI - Moderate hypothermia protects increased neuronal autophagy via activation of
extracellular signal-regulated kinase signaling pathway in a rat model of early
brain injury in subarachnoid hemorrhage.
AB - Moderate hypothermia (MH) used as treatment for neurological diseases has a
protective effect; however, its mechanism remains unclear. Neuronal autophagy is
a fundamental pathological process of early brain injury in subarachnoid
hemorrhage (SAH). We found that moderate activation of autophagy can reduce nerve
cells damage. In this study, We found that MH can moderately increase the level
of autophagy in nerve cells and improve the neurological function in rats. This
type of autophagy activation is dependent on extracellular signal-regulated
kinase (ERK) signaling pathways. The level of neuronal autophagy was down
regulated significantly by using U0126, an ERK signaling pathway inhibitor. In
summary, these results suggest that MH can moderately activate neuronal autophagy
through ERK signaling pathway, reduce nerve cell death, and produce
neuroprotective effects.
PMID- 29802849
TI - A preliminary study of photoacoustic/ultrasound dual-mode imaging in melanoma
using MAGE-targeted gold nanoparticles.
AB - Nanoprobes are small enough to circulate within the vasculature and can reach
tumour tissues through the endothelial gap, providing a new strategy for accurate
tumour monitoring and imaging-guided antitumour therapy at the molecular level.
Both photoacoustic and ultrasonic imaging are noninvasive tools for cancer
detection via the application of nanoprobes. In this study, a polymeric
multifunctional nanoparticle probe loaded with gold nanorods (Au-NRs) and liquid
perfluorocarbon (perfluorinated hexane/PFH) and conjugated to a monoclonal
antibody (MAGE-1 antibody) to melanoma-associated antigens (MAGE) targeting
melanoma was successfully prepared by the double emulsion and carbodiimide
methods as a targeted photoacoustic/ultrasound dual-mode imaging contrast agent
(MAGE-Au-PFH-NPs). Cell-targeting experiments in vitro showed large amounts of
MAGE-Au-PFH-NPs surrounding B16 melanoma cells in the targeted group. The
photoacoustic signal in the targeted group was significantly increased, and the
duration was longer than that in the untargeted group in vivo. The photoacoustic
signal of the nanoprobes was enhanced with increased Au-NR concentration in the
photoacoustic experiment in vitro. The enhanced signal was observed by ultrasound
after 808-nm laser irradiation. A cytotoxicity and biocompatibility test showed
that MAGE-Au-PFH-NPs exhibited good biological safety. The MAGE-Au-PFH-NPs can be
used as a photoacoustic/ultrasound dual-mode contrast agent to lay the foundation
for a promising new approach for the noninvasive targeting, monitoring and
treatment of tumours.
PMID- 29802850
TI - Crystal structure of EGFR T790M/C797S/V948R in complex with EAI045.
AB - Lung cancer is the leading cause of cancer deaths. Epidermal growth factor
receptor (EGFR) kinase domain mutations are a common cause of non-small cell lung
cancers (NSCLCs), a major subtype of lung cancers. Patients harboring most of
these mutations respond well to the anti-EGFR tyrosine kinase inhibitors (TKIs)
gefitinib and erlotinib initially, but soon develop resistance to them in about
half of the cases due to the emergence of the gatekeeper mutation T790M. The
third-generation TKIs such as AZD9291, HM61713, CO-1686 and WZ4002 can overcome
T790M through covalent binding to the EGFR kinase through Cys 797, but ultimately
lose their efficacy upon emergence of the C797S mutation that abolishes the
covalent bonding. Therefore to develop new TKIs to overcome EGFR drug-resistant
mutants harboring T790M/C797S is urgently demanded. EAI001 and EAI045 are a new
type of EGFR TKIs that bind to EGFR reversibly and not relying on Cys 797. EAI045
in combination with cetuximab is effective in mouse models of lung cancer driven
by EGFR L858R/T790M and L858R/T790M/C797S. Here we report the crystal structure
of EGFR T790M/C797S/V948R in complex with EAI045, and compare it to EGFR
T790M/V948R in complex with EAI001. The complex structure reveals why EAI045
binds tighter to EGFR than does EAI001, and why EAI001 and EAI045 prefer binding
to EGFR T790M. The knowledge may facilitate future drug development studies
targeting this very important cancer target.
PMID- 29802851
TI - Increasing Health Care Burden of Chronic Liver Disease Compared With Other
Chronic Diseases, 2004-2013.
AB - BACKGROUND & AIMS: Chronic liver disease (CLD) is a common and expensive
condition, and studies of CLD-related hospitalizations have underestimated the
true burden of disease. We analyzed data from a large, diverse health care system
to compare time trends in CLD-related hospitalizations with those in congestive
heart failure (CHF) or chronic obstructive pulmonary disease (COPD). METHODS: We
collected data from a large health care system in Texas on hospitalizations
related to CLD (n = 27,783), CHF (n = 60,415), and COPD (n = 34,199) from January
1, 2004 through December 31, 2013. We calculated annual hospitalization rates
(per 100,000) and compared hospital course, inpatient mortality, ancillary
services, and readmissions. RESULTS: Compared with patients with CHF (median age,
71 years) or COPD (median age, 69 years), patients with CLD were significantly
younger (median age, 57 years) (P < .01 vs CHF and COPD). Higher proportions of
patients with CLD were uninsured (11.7% vs 5.4% for CHF and 5.4% for COPD, P <
.01) and Hispanic (17% for CLD vs 9.3% for CHF and 5.0% for COPD, P < .01). A
lower proportion of patients with CLD had Medicare (41.5% vs 68.6% with CHF and
70.1% with COPD, P < .01). From 2004 through 2013, the rate of CLD-related
hospitalization increased by 92% (from 1295/100,000 to 2490/100,000), compared
with 6.7% for CHF (from 3843/100,000 to 4103/100,000) and 48.8% for COPD (from
1775/100,000 to 2642/100,000). During this time period, CLD-related
hospitalizations covered by Medicare increased from 31.8% to 41.5%, whereas
hospitalizations covered by Medicare did not change for CHF (remained at 70%) or
COPD (remained at 70%). Patients with CLD had longer hospital stays (7.3 days vs
6.2 days for CHF and 5.9 days for COPD, P < .01). A higher proportion of patients
with CLD died or were discharged to hospice (14.2% vs 11.5% of patients with CHF
and 9.3% of patients with COPD, P < .01), and a smaller proportion had access to
postacute care (13.2% vs 23.2% of patients with CHF and 27.4% of patients with
COPD, P < .01). A higher proportion of patients with CLD were readmitted to the
hospital within 30 days (25% vs 21.9% of patients with CHF and 20.6% with COPD, P
< .01). CONCLUSIONS: Patients with CLD, compared with selected other chronic
diseases, had increasing rates of hospitalization, longer hospital stays, more
readmissions, and, despite these adverse outcomes, less access to postacute care.
Disease management models for CLD are greatly needed to manage the anticipated
increase in hospitalizations for CLD.
PMID- 29802852
TI - Efficacy and Safety of Curcumin in Treatment of Intestinal Adenomas in Patients
With Familial Adenomatous Polyposis.
AB - BACKGROUND & AIMS: Familial adenomatous polyposis is an autosomal dominant
disorder characterized by the development of hundreds of colorectal adenomas and
eventually colorectal cancer. Oral administration of the spice curcumin has been
followed by regression of polyps in patients with this disorder. We performed a
double-blinded randomized trial to determine the safety and efficacy of curcumin
in patients with familial adenomatous polyposis. METHODS: This study included 44
patients with familial adenomatous polyposis (18-85 years old) who had not
undergone colectomy or had undergone colectomy with ileorectal anastomosis or
ileal anal pouches, had at least 5 intestinal adenomatous polyps, and had
enrolled in Puerto Rico or the United States from September 2011 through November
2016. Patients were randomly assigned (1:1) to groups given 100% pure curcumin
(1,500 mg orally, twice per day) or identical-appearing placebo capsules for 12
months. The number and size of lower gastrointestinal tract polyps were evaluated
every 4 months for 1 year. The primary outcome was the number of polyps in the
curcumin and placebo groups at 12 months or at the time of withdrawal from the
study according to the intention-to-treat principle. RESULTS: After 1 year of
treatment, the average rate of compliance was 83% in the curcumin group and 91%
in the placebo group. After 12 weeks, there was no significant difference in the
mean number of polyps between the placebo group (18.6; 95% CI, 9.3-27.8) and the
curcumin group (22.6; 95% CI, 12.1-33.1; P = .58). We found no significant
difference in mean polyp size between the curcumin group (2.3 mm; 95% CI, 1.8
2.8) and the placebo group (2.1 mm; 95% CI, 1.5-2.7; P = .76). Adverse events
were few, with no significant differences between groups. CONCLUSIONS: In a
double-blinded randomized trial of patients with familial adenomatous polyposis,
we found no difference in the mean number or size of lower intestinal tract
adenomas between patients given curcumin 3,000 mg/day and those given placebo for
12 weeks. Clinicaltrials.gov ID NCT00641147.
PMID- 29802853
TI - GABAA Receptor Subtypes Regulate Stress-Induced Colon Inflammation in Mice.
AB - BACKGROUND & AIMS: Psychological stress, in early life or adulthood, is a
significant risk factor for inflammatory disorders, including inflammatory bowel
diseases. However, little is known about the mechanisms by which emotional
factors affect the immune system. gamma-Aminobutyric acid type A receptors
(GABAARs) regulate stress and inflammation, but it is not clear whether specific
subtypes of GABAARs mediate stress-induced gastrointestinal inflammation. We
investigated the roles of different GABAAR subtypes in mouse colon inflammation
induced by 2 different forms of psychological stress. METHODS: C57BL/6J mice were
exposed to early-life stress, and adult mice were exposed to acute-restraint
stress; control mice were not exposed to either form of stress. We collected
colon tissues and measured contractility using isometric tension recordings;
colon inflammation, based on levels of cluster of differentiation 163 and tumor
necrosis factor messenger RNA (mRNA) and protein and myeloperoxidase activity;
and permeability, based on levels of tight junction protein 1 and occludin mRNA
and protein. Mice were given fluorescently labeled dextran orally and systemic
absorption was measured. We also performed studies of mice with disruption of the
GABAAR subunit alpha3 gene (Gabra3-/- mice). RESULTS: Mice exposed to early-life
stress had significantly altered GABAAR-mediated colonic contractility and
impaired barrier function, and their colon tissue had increased levels of Gabra3
mRNA compared with control mice. Restraint stress led to colon inflammation in
C57/BL6J mice but not Gabra3-/- mice. Colonic inflammation was induced in vitro
by an alpha3-GABAAR agonist, showing a proinflammatory role for this receptor
subtype. In contrast, alpha1/4/5-GABAAR ligands decreased the expression of
colonic inflammatory markers. CONCLUSIONS: We found stress to increase expression
of Gabra3 and induce inflammation in mouse colon, together with impaired barrier
function. The in vitro pharmacologic activation of alpha3-GABAARs recapitulated
colonic inflammation, whereas alpha1/4/5-GABAAR ligands were anti-inflammatory.
These proteins might serve as therapeutic targets for treatment of colon
inflammation or inflammatory bowel diseases.
PMID- 29802855
TI - Mechanisms of cortisol - Substance use development associations: Hypothesis
generation through gene enrichment analysis.
AB - There are many theories about the mechanisms of associations between hypothalamic
pituitary-adrenal (HPA) function (indexed by cortisol) and substance use.
However, the potential for genes that contribute to both HPA function and
substance use to confound the association (e.g., genetic confounding) has largely
been ignored. We explore the potential role of genetics in cortisol-substance use
associations, build a conceptual framework placing theories and mechanisms for
how cortisol and substance use are related into a developmental progression, and
develop new hypotheses based on our findings. We conclude that the relationship
between cortisol function and substance use is complex, occurs at multiple levels
of analysis, and is bidirectional at multiple phases of the substance use
progression. Additionally, there is potential for genetic confounding in cortisol
substance use associations, and thus a need for genetically informed designs to
investigate how and why cortisol function is associated with substance use
phenotypes from initiation through disorder. Gene-environment interplay and
developmental context are likely to impact the effectiveness of prevention and
intervention efforts to reduce substance use problems.
PMID- 29802856
TI - Evidence supporting a mechanistic role of sirtuins in mood and metabolic
disorders.
AB - Sirtuins are NAD+-dependent histone deacetylases that play essential roles in
cell survival, energy metabolism, inflammation, and aging; therefore, sirtuins
are potential therapeutic targets in the treatment of type 2 diabetes, cancer,
inflammatory and metabolic disorders, and neurodegenerative diseases. Available
evidence provides the basis for hypothesizing that sirtuins 1, 2, and 3 (SIRT1,
SIRT2, and SIRT3) may have a mechanistic role subserving mood disorders (i.e.
downregulation) and associated co-morbidity (e.g. metabolic disorders).
Specifically, the domains of general cognitive processes, as well as cognitive
emotional processing may be particularly relevant to sirtuin physiology. Given
the role of sirtuins in the perpetuation of circadian rhythmicity, and evidence
of dysfunctional circadian cycling in mood disorders, sirtuins may be an
underlying etiological factor that links circadian rhythm functionality with mood
disorders. Caloric restriction, and caloric restriction mimetics (e.g.
resveratrol) are all capable of upregulating sirtuin isoforms implicated in
stress response syndromes. Repurposing existing treatments and/or discovery of
novel agents capable of modulating sirtuin physiology may represent genuinely
novel approaches for trans-diagnostic domains affected in mood disorders and
other brain-based illnesses.
PMID- 29802857
TI - The use of microwave irradiation for quantitative analysis of neurotransmitters
in the mouse brain.
AB - BACKGROUND: Assessing neurotransmitter metabolism in the brain is essential in
studying the effects of drugs, dietary modification and characterizing transgenic
mouse models of human neurodegenerative diseases. Regional brain concentrations
of parent neurotransmitters and related metabolites are informative and provide a
snap shot of the steady-state levels. The choice in method of sacrificing mice
may differ from one laboratory to another, and the technique in removal of brain
may have limitations depending on speed in which tissue can be dissected and
frozen to prevent post-mortem changes. NEW METHODS: In order to better assess
neurotransmitter metabolism in an effective and standardized manner we evaluated
microwave irradiation as a method of sacrificing mice. Mice were sacrificed by
CO2 asphyxiation followed by cervical dislocation or microwave irradiation at 4
Kw for 1.1 s. Brain tissue was harvested into five regions and stored at -80
degrees C until analysis by either LC-MS/MS for acetylcholine, choline and GABA,
or HPLC-EC for dopamine, serotonin and norepinephrine and related metabolites.
RESULTS: The results of our study showed considerable differences in the levels
of neurotransmitters between the two methods of sacrifice. Overall, the
concentrations of neurotransmitters were higher in mice sacrificed by microwave
irradiation, except for GABA, which was lower. COMPARISON WITH EXISTING
METHOD(S): Previous microwave irradiation studies employed presently outdated
equipment and neurotransmitter analysis methods, and were not as comprehensive.
CONCLUSIONS: The combination of microwave irradiation with LC-MS/MS and HPLC-EC
detection allows accurate and sensitive measurement of several neurotransmitter
systems in discrete mouse brain regions.
PMID- 29802858
TI - An introduction to an international conference on "The pace of life and feeding:
Health implications".
PMID- 29802854
TI - The neural circuitry of restricted repetitive behavior: Magnetic resonance
imaging in neurodevelopmental disorders and animal models.
AB - Restricted, repetitive behaviors (RRBs) are patterns of behavior that exhibit
little variation in form and have no obvious function. RRBs although
transdiagonstic are a particularly prominent feature of certain
neurodevelopmental disorders, yet relatively little is known about the neural
circuitry of RRBs. Past work in this area has focused on isolated brain regions
and neurotransmitter systems, but implementing a neural circuit approach has the
potential to greatly improve understanding of RRBs. Magnetic resonance imaging
(MRI) is well-suited to studying the structural and functional connectivity of
the nervous system, and is a highly translational research tool. In this review,
we synthesize MRI research from both neurodevelopmental disorders and relevant
animal models that informs the neural circuitry of RRB. Together, these studies
implicate distributed neural circuits between the cortex, basal ganglia, and
cerebellum. Despite progress in neuroimaging of RRB, there are many opportunities
for conceptual and methodological improvement. We conclude by suggesting future
directions for MRI research in RRB, and how such studies can benefit from
complementary approaches in neuroscience.
PMID- 29802860
TI - Examining the neural correlates of active and passive forms of verbal-spatial
binding in working memory.
AB - We designed an fMRI study to pinpoint the neural correlates of active and passive
binding in working memory. Participants were instructed to memorize three words
and three spatial locations. In the passive binding condition, words and spatial
locations were directly presented as bound. Conversely, in the active binding
condition, words and spatial locations were presented as separated, and
participants were directed to intentionally create associations between them. Our
results showed that participants performed better on passive binding relative to
active binding. FMRI analysis revealed that both binding conditions induced
greater activity within the hippocampus. Additionally, our analyses divulged
regions specifically engaged in passive and active binding. Altogether, these
data allow us to propose the hippocampus as a central candidate for working
memory binding. When needed, a frontal-parietal network can contribute to the
rearrangement of information. These findings may inform theories of working
memory binding.
PMID- 29802859
TI - Behavioral variation post-invasion: Resemblance in some, but not all, behavioral
patterns among invasive and native praying mantids.
AB - Animal invasions can be devastating for native species. Behavioral variation is
known to influence animal invasions, yet comparatively less is known about how
behavioral variation influences invasive-native species interactions. Here we
examined how the mean and variance surrounding several behavioral traits in two
sympatric species of praying mantis differ and how these behavioral types
translate to actual prey capture success using the introduced European mantis,
Mantis religiosa, and the native bordered mantis, Stagmomantis limbata. We
assayed time spent in the open (risk proneness), response towards a novel prey,
and voracity within a population of M. religiosa and S. limbata. We found that
the native and invasive mantids displayed no differences in their average
behavioral tendencies. The native exhibited significant levels of repeatability
in voracity while the invasive did not. The lack of repeatability in the invasive
appears to be driven by lower levels of among-individual variation in voracity.
This may have evolutionary consequences for native S. limbata if it results in
strong selection in native levels of mean and among-individual variation.
Significant levels of among-individual differences were found in other behaviors
(response to a novel prey and risk proneness) across species, suggesting less
selection on invasive behavioral variation in these traits. Risk proneness and
response towards a novel prey also formed a behavioral syndrome across species,
yet neither behavior was correlated with voracity in either species. Our results
illustrate the need to examine the ecological effects of behavioral variation of
both invasive and native species to determine how that might impact invasive
native interactions.
PMID- 29802862
TI - Predictors of 24-h outcome in newborns in need of positive pressure ventilation
at birth.
AB - BACKGROUND: Birth asphyxia, defined as 5-minute Apgar score <7 in apneic
newborns, is a major cause of newborn mortality. Heart rate (HR) response to
ventilation is considered an important indicator of effective resuscitation.
OBJECTIVES: To describe the relationship between initial HR in apneic newborns,
HR responses to ventilation and 24-h survival or death. METHODS: In a Tanzanian
hospital, data on all newborns >=34 weeks gestational age resuscitated between
June 2013-January 2017 were recorded using self-inflating bags containing sensors
measuring ventilation parameters and expired CO2, dry-electrode
electrocardiography sensors, and trained observers. RESULTS: 757 newborns of
gestational age 38 +/- 2 weeks and birthweight 3131 +/- 594 g were included; 706
survived and 51 died. Fetal HR abnormalities (abnormal, undetectable or not
assessed) increased the risk of death almost 2-fold (RR = 1.77; CI: 1.07, 2.96, p
= 0.027). For every beat/min increase in first detected HR after birth the risk
of death was reduced by 2% (RR = 0.98; CI: 0.97, 0.99, p < 0.001). A decrease in
HR to <100 beats/minute when ventilation was paused increased the risk of death
almost 2-fold (RR = 1.76; CI: 0.96, 3.20, p = 0.066). An initial rapid increase
in HR to >100 beats/min in response to treatment reduced the risk of dying by 75%
(RR = 0.25; CI: 0.14, 0.44, p < 0.001). A 1% increase in expired CO2 was
associated with 28% reduced risk of death (RR = 0.72; CI: 0.62,0.85, p < 0.001).
CONCLUSIONS: The risk of death in apneic newborns can be predicted by the fetal
HR (absent or abnormal), initial newborn HR (bradycardia), and the HR response to
ventilation. These findings stress the importance of reliable fetal HR monitoring
during labor and providing effective ventilation following birth to enhance
survival.
PMID- 29802863
TI - How to determine whether to perform chest compressions on an unconscious patient
with an implanted left ventricular assist device.
PMID- 29802864
TI - Proteomic analysis of Tn-bearing glycoproteins from different stages of melanoma
cells reveals new biomarkers.
AB - Cutaneous melanoma, the most aggressive form of skin cancer, responds poorly to
conventional therapy. The appearance of Tn antigen-modified proteins in cancer is
correlated with metastasis and poor prognoses. The Tn determinant has been
recognized as a powerful diagnostic and therapeutic target, and as an object for
the development of anti-tumor vaccine strategies. This study was designed to
identify Tn-carrying proteins and reveal their influence on cutaneous melanoma
progression. We used a lectin-based strategy to purify Tn antigen-enriched
cellular glycoproteome, the LC-MS/MS method to identify isolated glycoproteins,
and the DAVID bioinformatics tool to classify the identified proteins. We
identified 146 different Tn-bearing glycoproteins, 88% of which are new. The Tn
glycoproteome was generally enriched in proteins involved in the control of
ribosome biogenesis, CDR-mediated mRNA stabilization, cell-cell adhesion and
extracellular vesicle formation. The differential expression patterns of Tn
modified proteins for cutaneous primary and metastatic melanoma cells supported
nonmetastatic and metastatic cell phenotypes, respectively. To our knowledge,
this study is the first large-scale proteomic analysis of Tn-bearing proteins in
human melanoma cells. The identified Tn-modified proteins are related to the
biological and molecular nature of cutaneous melanoma and may be valuable
biomarkers and therapeutic targets.
PMID- 29802861
TI - Cortical hypoactivation during resting EEG suggests central nervous system
pathology in patients with chronic fatigue syndrome.
AB - We investigated central fatigue in 50 patients with chronic fatigue syndrome
(CFS) and 50 matched healthy controls (HC). Resting state EEG was collected from
19 scalp locations during a 3 min, eyes-closed condition. Current densities were
localized using exact low-resolution electromagnetic tomography (eLORETA). The
Multidimensional Fatigue Inventory (MFI-20) and the Fatigue Severity Scale (FSS)
were administered to all participants. Independent t-tests and linear regression
analyses were used to evaluate group differences in current densities, followed
by statistical non-parametric mapping (SnPM) correction procedures. Significant
differences were found in the delta (1-3 Hz) and beta-2 (19-21 Hz) frequency
bands. Delta sources were found predominately in the frontal lobe, while beta-2
sources were found in the medial and superior parietal lobe. Left-lateralized,
frontal delta sources were associated with a clinical reduction in motivation.
The implications of abnormal cortical sources in patients with CFS are discussed.
PMID- 29802865
TI - A nonverbal route to conceptual knowledge involving the right anterior temporal
lobe.
AB - The semantic variant of primary progressive aphasia (PPA-S) is diagnosed based on
impaired single-word comprehension, but nonverbal impairments in face and object
recognition can also be present, particularly in later disease stages. PPA-S is
associated with focal atrophy in the left anterior temporal lobe (ATL), often
accompanied by a lesser degree of atrophy in the right ATL. According to a dual
route account, the left ATL is critical for verbal access to conceptual knowledge
while nonverbal access to conceptual knowledge depends upon the integrity of
right ATL. Consistent with this view, single-word comprehension deficits in PPA-S
have consistently been linked to the degree of atrophy in left ATL. In the
current study we examined object processing and cortical thickness in 19 patients
diagnosed with PPA-S, to evaluate the hypothesis that nonverbal object
impairments would instead be determined by the amount of atrophy in the right
ATL. All patients demonstrated inability to access conceptual knowledge on
standardized tests with word stimuli: they were unable to match spoken words with
their corresponding pictures on the Peabody Picture Vocabulary Test. Only a
minority of patients, however, performed abnormally on an experimental thematic
verification task, which requires judgments as to whether pairs of object
pictures are thematically-associated, and does not rely on auditory or visual
word input. The entire PPA-S group showed cortical thinning in left ATL, but
atrophy in right ATL was more prominent in the subgroup with low verification
scores. Thematic verification scores were correlated with cortical thickness in
the right rather than left ATL, an asymmetric mapping which persisted when
controlling for the degree of atrophy in the contralateral hemisphere. These
results are consistent with a dual-route account of conceptual knowledge:
breakdown of the verbal left hemispheric route produces an aphasic syndrome,
which is only accompanied by visual object processing impairments when the
nonverbal right hemispheric route is also compromised.
PMID- 29802866
TI - Mid-frontal theta activity is diminished during cognitive control in Parkinson's
disease.
AB - Mid-frontal theta activity underlies cognitive control. These 4-8 Hz rhythms are
modulated by cortical dopamine and can be abnormal in patients with Parkinson's
disease (PD). Here, we investigated mid-frontal theta deficits in PD patients
during a task explicitly involving cognitive control. We collected scalp EEG from
high-performing PD patients and demographically matched controls during
performance of a modified Simon reaction-time task. This task involves cognitive
control to adjudicate response conflict and error-related adjustments. Task
performance of PD patients was indistinguishable from controls, but PD patients
had less mid-frontal theta modulations around cues and responses. Critically, PD
patients had attenuated mid-frontal theta activity specifically associated with
response conflict and post-error processing. These signals were unaffected by
medication or motor scores. Post-error mid-frontal theta activity was correlated
with disease duration. Classification of control vs. PD from these data resulted
in a specificity of 69% and a sensitivity of 72%. These findings help define the
scope of mid-frontal theta aberrations during cognitive control in PD, and may
provide insight into the nature of PD-related cognitive dysfunction.
PMID- 29802867
TI - Maximising success in multidrug formulation development: A review.
AB - Patient compliance will soon become one of the most critical challenges in modern
healthcare. Due in part to our aging population, the rise in the number of
chronic conditions will cause increasing stress on global healthcare systems,
magnifying current problems. The solutions employed to improve compliance have
failed to deliver and the medical community have turned to Multidrug Formulations
(MDFs), to provide an answer. This work summarises key challenges and subsequent
solutions developed by formulation scientists when designing new MDFs, examining
their rationale and highlighting successes to-date. Current and emerging MDF
strategies are reviewed alongside a discussion of how the scientific community
can bring these ideas from the benchtop to the clinic. Examples have been
highlighted where teams have delivered scientific novelty, but a lack of clinical
appreciation reduces their likelihood of patient impact. Potential gaps within
the literature are identified where new, novel or modernised approaches could
make a significant impact on patients.
PMID- 29802868
TI - Targeted cloning of a large gene cluster from Lecanicillium genome by Cre/loxP
based method.
AB - Functionally related genes often form a large gene cluster on fungal genomes. To
analyze, by heterologous expression system, overall pathway in which a series of
related genes are involved, the whole gene cluster should be introduced intact
into the host strain. However, the construction of a genomic library based on
cosmid or bacterial artificial chromosome, and screening of a clone harboring the
target region are time consuming and usually require additional cloning of
missing regions. The available PCR-based methods are convenient, but are likely
to cause unexpected errors during long-range PCR. Therefore, in this study we
developed a method for targeted cloning of a large gene cluster based on Cre/loxP
mediated recombination. loxP sequences were integrated at both edges of the
targeted region, and the region was excised and cloned as a circular fosmid by in
vitro Cre recombination. To facilitate the Cre/loxP-based method, a competent
host-vector system was developed, including a double auxotrophic Lecanicillium
PTk3 (DeltapyrG trp1-ku80-) strain and two vectors for introducing the loxP
sequences, pUTlox and pCCPlox. A targeted region longer than 45 kb in length was
successfully cloned by the Cre/loxP-based method.
PMID- 29802869
TI - Development of a tick-borne pathogen QPCR panel for detection of Anaplasma,
Ehrlichia, Rickettsia, and Lyme disease Borrelia in animals.
AB - Anaplasma spp., Ehrlichia spp., Rickettsia spp., and Lyme disease associated
Borrelia spp. are the most common tick-borne pathogens reported to infect human
beings worldwide and other animals, such as dogs and horses. In the present
study, we developed a broad-coverage SYBR Green QPCR panel consisting of four
individual assays for the detection and partial differentiation of the
aforementioned pathogens. All assays were optimized to the same thermocycling
condition and had a detection limit of 10 copies per reaction. The assays
remained sensitive when used to test canine and equine blood DNA samples spiked
with known amounts of synthetic DNA (gBlock) control template. The assays were
specific, as evidenced by lack of cross reaction to non-target gBlock or other
pathogens commonly tested in veterinary diagnostic labs. With appropriate Ct
cutoff values for positive samples and negative controls and the melting
temperature (TM) ranges established in the present study, the QPCR panel is
suitable for accurate, convenient and rapid screening and confirmation of tick
borne pathogens in animals.
PMID- 29802870
TI - Phenelzine, a cell adhesion molecule L1 mimetic small organic compound, promotes
functional recovery and axonal regrowth in spinal cord-injured zebrafish.
AB - Injury to the spinal cord initiates a cascade of cellular and molecular events
that contribute to the tissue environment that is non-permissive for cell
survival and axonal regrowth/sprouting in the adult mammalian central nervous
system. The endogenous repair response is impaired in this generally inhibitory
environment. Previous studies indicate that homophilic interactions of the neural
cell adhesion molecule L1 (L1CAM) promote recovery after spinal cord injury and
ameliorate neurodegenerative processes in experimental rodent and zebrafish
models. In light of reports that phenelzine, a small organic compound that mimics
L1, stimulates neuronal survival, neuronal migration, neurite outgrowth, and
Schwann cell proliferation in vitro in a L1-dependent manner, we examined the
restorative potential of phenelzine in a zebrafish model of spinal cord injury.
Addition of phenelzine into the aquarium water immediately after spinal cord
injury accelerated locomotor recovery and promoted axonal regrowth and
remyelination in larval and adult zebrafish. Phenelzine treatment up-regulated
the expression and proteolysis of L1.1 (a homolog of the mammalian recognition
molecule L1) and phosphorylation of Erk in the spinal cord caudal to lesion site.
By combining the results of the present study with those of other studies, we
propose that phenelzine bears hopes for therapy of nervous system injuries.
PMID- 29802871
TI - The diagnostic role of BAP1 in serous effusions.
AB - The aim of this study was to analyze the diagnostic role of BAP1 in effusion
cytology. Effusions (n = 258), consisting of 53 malignant mesotheliomas and 205
other cancers, the majority carcinomas (62 breast, 60 ovarian, 31 lung, 51
carcinomas of other origin, 1 melanoma), were analyzed for BAP1 expression using
immunohistochemistry. BAP1 was lost in 46 (87%) mesotheliomas compared with 4
(2%) of 205 other cancers (P < .001), resulting in sensitivity and specificity of
87% and 98%, respectively. There was no significant difference between peritoneal
(n = 14) and pleural (n = 39) mesotheliomas. The 4 carcinomas with loss of BAP1
included 1 ovarian, 1 breast, 1 uterine cervical, and 1 gastric carcinoma. The
present study supports the role of BAP1 as a highly sensitive and specific marker
for malignant mesothelioma in serous effusions and argues for inclusion of this
test in all specimens in which this diagnosis is considered.
PMID- 29802872
TI - New compounds inhibiting lipid accumulation from the stems of Sabia parviflora.
AB - Four new compounds, sabianin A (1), sabianin B (2), sabianin C, (3) and sabianin
D (4) together with seven known compounds (5-11) were isolated from the stems of
Sabia parviflora. The structures of these compounds were elucidated by extensive
spectroscopic analysis and by comparing their NMR data with those of related
compounds. Their absolute configurations were determined by comparing the
calculated and experimental electronic circular dichroism spectra. The lipid
lowering effect of all the compounds was evaluated using a cell model of
steatosis induced by oleic acid and palmitic acid. Compounds 3, 6, and 10 showed
statistically significant lipid-lowering effect.
PMID- 29802874
TI - Early life stress in rats sex-dependently affects remote endocrine rather than
behavioral consequences of adult exposure to contextual fear conditioning.
AB - Exposure to electric foot-shocks can induce in rodents contextual fear
conditioning, generalization of fear to other contexts and sensitization of the
hypothalamic-pituitary-adrenal (HPA) axis to further stressors. All these aspects
are relevant for the study of post-traumatic stress disorder. In the present work
we evaluated in rats the sex differences and the role of early life stress (ELS)
in fear memories, generalization and sensitization. During the first postnatal
days subjects were exposed to restriction of nesting material along with exposure
to a "substitute" mother. In the adulthood they were exposed to (i) a contextual
fear conditioning to evaluate long-term memory and extinction and (ii) to a novel
environment to study cognitive fear generalization and HPA axis heterotypic
sensitization. ELS did not alter acquisition, expression or extinction of context
fear conditioned behavior (freezing) in either sex, but reduced activity in novel
environments only in males. Fear conditioning associated hypoactivity in novel
environments (cognitive generalization) was greater in males than females but was
not specifically affected by ELS. Although overall females showed greater basal
and stress-induced levels of ACTH and corticosterone, an interaction between ELS,
shock exposure and sex was found regarding HPA hormones. In males, ELS did not
affect ACTH response in any situation, whereas in females, ELS reduced both shock
induced sensitization of ACTH and its conditioned response to the shock context.
Also, shock-induced sensitization of corticosterone was only observed in males
and ELS specifically reduced corticosterone response to stressors in males but
not females. In conclusion, ELS seems to have only a minor impact on shock
induced behavioral conditioning, while affecting the unconditioned and
conditioned responses of HPA hormones in a sex-dependent manner.
PMID- 29802873
TI - Dehydroabietic acid isolated from Pinus elliottii exerts in vitro antileishmanial
action by pro-oxidant effect, inducing ROS production in promastigote and
downregulating Nrf2/ferritin expression in amastigote forms of Leishmania
amazonensis.
AB - Dehydroabietic acid (DHA) is one of the main constituents of the resin that have
antiprotozoal activity against Leishmania spp., but the leishmanicidal mechanism
is unknown. The objective of the study was to investigate in vitro the
leishmanicidal activity of the natural compound DHA against intracellular and
extracellular forms of L. amazonensis and the mechanism of action involved. The
antileishmanial activity of DHA was evaluated in vitro against promastigote forms
of L. amazonensis by counting in Neubauer chamber. The morphological changes were
observed by scanning electron microscopy and cell death mechanism by fluorescence
assay using 2',7'-dichlorofluorescein diacetate probe (H2DCFDA),
tetramethylrhodamine ethyl ester (TMRE), annexin-V and propidium iodide (PI). The
antiamastigote effect was observed by counting the number of amastigotes per
macrophage and percentage of infected cells. In addition, reactive oxygen species
(ROS) production, nitric oxide (NO), cytokines, free iron and total iron-binding
capacity (TIBC), expression of nuclear factor erythroid 2-related factor 2 (Nrf2)
and ferritin were evaluated. DHA inhibited the proliferation of promastigotes at
all times tested. The compound (IC50, 40 +/- 0.1458 MUg/mL) altered the
morphology of the promastigote forms, caused mitochondrial depolarization,
induced ROS production, increased phosphatidylserine exposure and caused loss of
plasma membrane integrity. DHA also reduced the number of amastigotes and the
percentage of infected macrophages by increasing ROS production, free iron and
TIBC, and also caused downregulation of Nrf2 and ferritin expression. DHA was
effective in the elimination of L. amazonensis both in its promastigote forms by
apoptosis-like mechanisms and intracellular form by ROS production.
PMID- 29802875
TI - Bifunctional ligands of the bradykinin B2 and B1 receptors: An exercise in
peptide hormone plasticity.
AB - Kinins are the small and fragile hydrophilic peptides related to bradykinin (BK)
and derived from circulating kininogens via the action of kallikreins. Kinins
bind to the preformed and widely distributed B2 receptor (B2R) and to the
inducible B1 receptor (B1R). B2Rs and B1Rs are related G protein coupled
receptors that possess natural agonist ligands of nanomolar affinity (BK and Lys
BK for B2Rs, Lys-des-Arg9-BK for B1R). Decades of structure-activity exploration
have resulted in the production of peptide analogs that are antagonists, one of
which is clinically used (the B2R antagonist icatibant), and also non-peptide
ligands for both receptor subtypes. The modification of kinin receptor ligands
has made them resistant to extracellular or endosomal peptidases and/or produced
bifunctional ligands, defined as agonist or antagonist peptide ligands conjugated
with a chemical fluorophore (emitting in the whole spectrum, from the infrared to
the ultraviolet), a drug-like moiety, an epitope, an isotope chelator/carrier, a
cleavable sequence (thus forming a pro-drug) and even a fused protein. Dual
molecular targets for specific modified peptides may be a source of side effects
or of medically exploitable benefits. Biotechnological protein ligands for either
receptor subtype have been produced: they are enhanced green fluorescent protein
or the engineered peroxidase APEX2 fused to an agonist kinin sequence at their C
terminal terminus. Antibodies endowed with pharmacological actions (agonist,
antagonist) at B2R have been reported, though not monoclonal antibodies. These
findings define classes of alternative ligands of the kinin receptor of potential
therapeutic and diagnostic value.
PMID- 29802876
TI - The effect of community-based interventions for cardiovascular disease secondary
prevention on behavioural risk factors.
AB - Cardiovascular disease (CVD) is the leading cause of death worldwide, and its
prevalence is increasing; with limited healthcare resources, secondary prevention
programmes outside traditional hospital settings are needed, but their
effectiveness is unclear. We aimed to assess the effectiveness of secondary
prevention cardiovascular risk reduction programmes delivered in venues situated
within the community on modification of behavioural risk factors. We searched
five databases (MEDLINE, EMBASE, CINAHL, PsycINFO, Cochrane library) to identify
trials of health behaviour interventions for adults with CVD in community-based
venues. Primary outcomes were changes in physical activity, diet, smoking and/or
alcohol consumption. Two reviewers independently assessed articles for
eligibility and risk of bias; statistical analysis used Revman v5.3. Of 5905
articles identified, 41 articles (38 studies) (n = 7970) were included.
Interventions were mainly multifactorial, educational, psychological and physical
activity-based. Meta-analyses identified increased steps/week (Mean Difference
(MD): 7480; 95% CI 1,940, 13,020) and minutes of physical activity/week (MD:
59.96; 95% CI 15.67, 104.25) associated with interventions. There was some
evidence for beneficial effects on peak VO2, blood pressure, total cholesterol
and mental health. Variation in outcome measurements reported for other
behavioural risk factors limited our ability to perform meta-analyses. Effective
interventions were based in homes, general practices or outpatient settings,
individually tailored and often multicomponent with a theoretical framework. Our
review identified evidence that interventions for secondary CVD prevention,
delivered in various community-based venues, have positive effects on physical
activity; such opportunities should be promoted by health professionals.
PMID- 29802877
TI - Folic acid supplementation during the preconception period: A systematic review
and meta-analysis.
AB - Guidelines recommend that women take folic acid supplements in the preconception
period to prevent neural tube defects (NTDs) in their offspring. Estimates of
adherence to this recommendation across different countries worldwide have not
been synthesized. Medline, CINAHL, and EMBASE were systematically searched to
identify studies reporting the prevalence of preconception folic acid
supplementation. Pooled prevalence estimates for each country (where data were
available) were calculated; and differences based on demographic, methodological,
and study quality characteristics were examined. Of 3372 titles and abstracts
screened, 722 full-texts were reviewed and 105 articles that reported 106
estimates of preconception folic acid supplementation in 34 countries were
included. Pooled prevalence estimates were 32-51% in North America, 9-78% in
Europe, 21-46% in Asia, 4-34% in the Middle East, 32-39% in Australia/New
Zealand, and 0% in Africa. No South American studies were identified. Higher
supplementation prevalence was observed in studies that had more highly educated
samples, were conducted in fertility clinics, and assessed folic acid use via
self-report. Of note, only 32% and 28% of studies reported timing of folic acid
use and adherence to folic acid, respectively. Preconception folic acid
supplementation is highly variable worldwide and many women may not achieve
sufficient folate levels to prevent NTDs. To better understand non-adherence,
recommendations for future research include: more explicit reporting of
methodology, more detailed assessment of folic acid use, assessment of variables
potentially relevant to folic acid use, and surveillance of folic acid use in a
greater diversity of countries, especially in the developing world.
PMID- 29802879
TI - Stratification of peanut allergic murine model into anaphylaxis severity risk
groups using thermography.
AB - Murine models are readily used to investigate mechanisms potentially involved in
anaphylaxis. Determining successful sensitization with current methods remain
potentially lethal, invasive, expensive and/or cumbersome. Here we describe the
use of thermography to read intradermal testing to detect peanut allergic
sensitization in the murine model and as a first time sensitive tool for
anaphylaxis stratification. The relative wheal size in the thermal image can be
used to stratify anaphylaxis severity risk groups prior to a challenge. This
screening method is nonlethal, inexpensive, minimally invasive and can be carried
out expeditiously.
PMID- 29802878
TI - Label-free microarray-based detection of autoantibodies in human serum.
AB - Multiplex assays for autoantibodies have shown utility both in research towards
understanding the basic biology of autoimmune disease, and as tools for clinical
diagnosis. New label-free multiplex analysis methods have the potential to
streamline both the process of assay development and assay workflow. We report
fabrication and testing of a 5-plex autoantigen microarray using the Arrayed
Imaging Reflectometry (AIR) platform. This label-free technology provides rapid,
sensitive, and quantitative detection of an arbitrary number of analytes in a
standard multiwell format. In this work, we demonstrate that AIR is able to
detect antibodies to Ro60, La/SSB, Scl-70, BicD2, and Ro52 in single-donor human
serum samples with multiplex results comparable to singleplex ELISA or Luminex
assays.
PMID- 29802880
TI - Spectral analysis of the blood flow in the foot microvascular bed during thermal
testing in patients with diabetes mellitus.
AB - Timely diagnostics of microcirculatory system abnormalities, which are the most
severe diabetic complications, is one of the major problems facing modern health
care. Functional abnormalities manifest themselves earlier than the structural
ones, and therefore their assessment is the issue of primary importance. In this
study Laser Doppler flowmetry, a noninvasive technique for the cutaneous blood
flow monitoring, was utilized together with local temperature tests and wavelet
analysis. The study of the blood flow in the microvascular bed of toes was
carried out in the control group of 40 healthy subjects and in two groups of 17
type 1 and 23 type 2 diabetic patients. The local temperature tests demonstrated
that the diabetic patients have impaired vasodilation in response to local
heating. The tendency for impaired low frequency pulsations of the blood flow
associated with endothelial and neurogenic activities in both diabetes groups was
observed. Local thermal tests induced variations in perfusion and its spectral
characteristics, which were different in the groups under study. In our opinion,
the obtained preliminary results can be a basis for further research and provide
a deeper understanding of pathological processes that drive microvascular
abnormalities caused by diabetes mellitus.
PMID- 29802881
TI - Taking Attention Away from the Auditory Modality: Context-dependent Effects on
Early Sensory Encoding of Speech.
AB - Increasing visual perceptual load can reduce pre-attentive auditory cortical
activity to sounds, a reflection of the limited and shared attentional resources
for sensory processing across modalities. Here, we demonstrate that modulating
visual perceptual load can impact the early sensory encoding of speech sounds,
and that the impact of visual load is highly dependent on the predictability of
the incoming speech stream. Participants (n = 20, 9 females) performed a visual
search task of high (target similar to distractors) and low (target dissimilar to
distractors) perceptual load, while early auditory electrophysiological responses
were recorded to native speech sounds. Speech sounds were presented either in a
'repetitive context', or a less predictable 'variable context'. Independent of
auditory stimulus context, pre-attentive auditory cortical activity was reduced
during high visual load, relative to low visual load. We applied a data-driven
machine learning approach to decode speech sounds from the early auditory
electrophysiological responses. Decoding performance was found to be poorer under
conditions of high (relative to low) visual load, when the incoming acoustic
stream was predictable. When the auditory stimulus context was less predictable,
decoding performance was substantially greater for the high (relative to low)
visual load conditions. Our results provide support for shared attentional
resources between visual and auditory modalities that substantially influence the
early sensory encoding of speech signals in a context-dependent manner.
PMID- 29802882
TI - Short-term Synaptic Depression in the Feedforward Inhibitory Circuit in the
Dorsal Lateral Geniculate Nucleus.
AB - Synaptic short-term plasticity (STP) regulates synaptic transmission in an
activity-dependent manner and thereby has important roles in the signal
processing in the brain. In some synapses, a presynaptic train of action
potentials elicits post-synaptic potentials that gradually increase during the
train (facilitation), but in other synapses, these potentials gradually decrease
(depression). We studied STP in neurons in the visual thalamic relay, the dorsal
lateral geniculate nucleus (dLGN). The dLGN contains two types of neurons:
excitatory thalamocortical (TC) neurons, which transfer signals from retinal
afferents to visual cortex, and local inhibitory interneurons, which form an
inhibitory feedforward loop that regulates the thalamocortical signal
transmission. The overall STP in the retino-thalamic relay is short-term
depression, but the distinct kind and characteristics of the plasticity at the
different types of synapses are unknown. We studied STP in the excitatory
responses of interneurons to stimulation of retinal afferents, in the inhibitory
responses of TC neurons to stimulation of afferents from interneurons, and in the
disynaptic inhibitory responses of TC neurons to stimulation of retinal
afferents. Moreover, we studied STP at the direct excitatory input to TC neurons
from retinal afferents. The STP at all types of the synapses showed short-term
depression. This depression can accentuate rapid changes in the stream of signals
and thereby promote detectability of significant features in the sensory input.
In vision, detection of edges and contours is essential for object perception,
and the synaptic short-term depression in the early visual pathway provides
important contributions to this detection process.
PMID- 29802883
TI - Differential immunosuppression by inhibiting PLA2 affects virulence of
Xenorhabdus hominickii and Photorhabdus temperata temperata.
AB - Immunity negatively influences bacterial pathogenicity. Eicosanoids mediate both
cellular and humoral immune responses in insects. This study tested a hypothesis
that differential bacterial virulence of Xenorhabdus/Photorhabdus is dependent on
their inhibitory activity against phospholipase A2 (PLA2) activity. P. temperata
subsp. temperata ('Ptt') was more than 40 times more potent than X. hominickii
('Xh'). Although both bacteria suppressed cellular immune responses, Ptt
infection suppressed hemocyte nodule formation much more than Xh infection. Their
differential immunosuppression appeared to be induced by their secondary
metabolites because organic extracts of Ptt-cultured broth exhibited higher
inhibitory activities against cellular immune responses than Xn-cultured broth
extracts. Humoral immune responses were analyzed by measuring expression levels
of 11 antimicrobial peptide (AMP) genes. Among inducible AMPs in hemocytes and
fat body, higher number and more kinds of AMPs exhibited lower expression levels
in Ptt infection than those in Xh infection. Suppressed immune responses induced
by Ptt or Xh infection were significantly rescued by the addition of a catalytic
product of PLA2, suggesting that PLA2 was a common inhibitory target. In fact,
Ptt infection inhibited PLA2 activity more strongly than Xh infection. RNA
interference of a PLA2 gene decreased its expression and significantly increased
bacterial virulence. Moreover, addition of PLA2 inhibitor to Xh infection
enhanced its virulence, similar to virulence level of Ptt infection. These
results suggest that variation in Xenorhabdus/Photorhabdus bacterial virulence
can be explained by their differential inhibitory activities against host insect
PLA2.
PMID- 29802884
TI - Effects of acute ammonia toxicity on oxidative stress, immune response and
apoptosis of juvenile yellow catfish Pelteobagrus fulvidraco and the mitigation
of exogenous taurine.
AB - Ammonia can easily form in intensive culture systems due to ammonification of
uneaten food and animal excretion, which usually brings detrimental health
effects to fish. However, little information is available on the mechanisms of
the detrimental effects of ammonia stress and mitigate means in fish. In this
study, the four experimental groups were carried out to test the response of
yellow catfish to ammonia toxicity and their mitigation through taurine: group 1
was injected with NaCl, group 2 was injected with ammonium acetate, group 3 was
injected with ammonium acetate and taurine, and group 4 was injected taurine. The
results showed that ammonia poisoning could induce ammonia, glutamine, glutamate
and malondialdehyde accumulation, and subsequently lead to blood deterioration
(red blood cell, hemoglobin and serum biochemical index reduced), oxidative
stress (superoxide dismutase and catalase activities declined) and
immunosuppression (lysozyme, 50% hemolytic complement, total immunoglobulin,
phagocytic index and respiratory burst reduced), but the exogenous taurine could
mitigate the adverse effect of ammonia poisoning. In addition, ammonia poisoning
could induce up-regulation of antioxidant enzymes (Cu/Zn-SOD, CAT, GPx and GR),
inflammatory cytokines (TNF, IL-1 and IL-8) and apoptosis (p53, Bax, caspase 3
and caspase 9) genes transcription, suggesting that cell apoptotic and
inflammation may relate to oxidative stress. This result will be helpful to
understand the mechanism of aquatic toxicology induced by ammonia in fish.
PMID- 29802885
TI - Quantitative assessment of cypermethrin induced behavioural and biochemical
anomalies in adult zebrafish.
AB - Cypermethrin is one of the top five pesticides used globally. Although the effect
of cypermethrin on the embryonic stages of zebrafish is well characterized, its
toxic effect on the behaviour of adult zebrafish is largely unknown. Here we used
videogram and automated tracking approach to quantitatively assess behavioural
toxicity induced by the short exposure of cypermethrin to adult zebrafish. We
observed that cypermethrin at 25 ppb level induced behavioural toxicity in adult
zebrafish. Motor activity of the treated group was significantly retarded which
affected their overall exploratory behaviour including their visit to the central
arena of the open-field test. Furthermore, the treated group showed erratic
movements (covered less distance per unit time) without affecting their angle
based behavioural endpoints. In contrast to the control group, the cypermethrin
exposed group showed frequent freezing behaviour. However, their freezing
episodes were characterized by constant drift-like movement caused by the loss of
their voluntary control over the motor coordination. These behavioural changes
are similar to typical anxiety-like behaviour. Though, cypermethrin exposure at
ppb level for just half an hour was sufficient to induce behavioural toxicity, it
failed to alter brain superoxide dismutase and acetylcholine esterase enzyme
activity. Our data indicates that acute short-term exposure of cypermethrin
induces behavioural anomalies in adult zebrafish through a mechanism distinct
from alteration of brain superoxide dismutase and the acetylcholine esterase
activity.
PMID- 29802886
TI - Antimicrobial susceptibility of Clostridium difficile isolated from food and
environmental sources in Western Australia.
AB - We recently reported a high prevalence of Clostridium difficile in retail
vegetables, compost and lawn in Western Australia. The objective of this study
was to investigate the antimicrobial susceptibility of previously isolated food
and environmental C. difficile isolates from Western Australia. A total of 274 C.
difficile isolates from vegetables, compost and lawn were tested for
susceptibility to a panel of 10 antimicrobial agents (fidaxomicin, vancomycin,
metronidazole, rifaximin, clindamycin, erythromycin, amoxicillin/clavulanic acid,
moxifloxacin, meropenem and tetracycline) using the agar incorporation method.
Fidaxomicin was the most potent agent (MIC50/MIC90, 0.06/0.12 mg/L). Resistance
to fidaxomicin and metronidazole was not detected and resistance to vancomycin
(0.7%) and moxifloxacin (0.7%) was low. However, 103 isolates (37.6%) showed
resistance to at least one agent, and multidrug resistance was observed in 3.9%
of the resistant isolates (4/103), all of which came from compost. A
significantly greater proportion of compost isolates were resistant to
clindamycin, erythromycin and tetracycline compared with food and/or lawn
isolates. Clostridium difficile ribotype (RT) 014/020 showed greater clindamycin
resistance than other less common RTs (P = 0.008, chi2). Contaminated vegetables,
compost and lawn could be playing an intermediary role in the transmission of C.
difficile from animals to humans. Environmental strains of C. difficile could
also function as a reservoir for antimicrobial resistance genes of clinical
relevance. This study provides a baseline for future surveillance of
antimicrobial resistance in environmental C. difficile isolates in Australia.
PMID- 29802887
TI - The GISA call to action for the appropriate use of antimicrobials and the control
of antimicrobial resistance in Italy.
AB - The spread of antibiotic resistance is one of the leading public health problems
in Italy. A European Centre for Disease Prevention and Control country visit
recently confirmed the major challenges and made important suggestions. In
response, the Ministry of Health published the National Plan for Antimicrobial
Resistance Containment, and a group of experts belonging to the Italian Group of
Antimicrobial Stewardship (GISA) convened to develop a summary of practical
recommendations. The GISA document is intended for use by practising physicians;
it aims to increase the rational use of antimicrobials in the treatment of
infections, and to change the culture of infection control of antibiotic
resistant bacteria, through the translation of theoretical knowledge into
priority actions. This document has been endorsed by several national scientific
societies, and reflects the particular challenges that are faced in Italy.
Nevertheless, it is considered that the general principles and approaches
discussed are relevant, particularly to other developed economies.
PMID- 29802888
TI - Nivolumab Plus Erlotinib in Patients With EGFR-Mutant Advanced NSCLC.
AB - INTRODUCTION: This phase I study evaluated nivolumab combined with erlotinib in
patients with advanced EGFR-mutant NSCLC. METHODS: Patients with advanced EGFR
mutant NSCLC who were EGFR tyrosine kinase inhibitor (TKI)-naive or TKI-treated
but had not received chemotherapy were treated with nivolumab 3 mg/kg every 2
weeks and erlotinib 150 mg/d until disease progression or unacceptable toxicity.
The primary objective was safety and tolerability. RESULTS: Twenty patients with
TKI-treated and one with TKI-naive EGFR-mutant NSCLC were treated with nivolumab
plus erlotinib. Treatment-related grade 3 toxicities occurred in five patients
(liver enzyme elevations, n = 2; diarrhea, n = 2; weight loss, n = 1), with no
grade >=4 toxicities. In the TKI-treated population, the objective response rate
was 15% (3 of 20, including one complete response), and the 24-week progression
free survival rate was 48%. Responses lasted 13.8, 17.6, and 38.2 months per
investigator records. A fourth patient had a nonconventional immune-related
response lasting 12.5 months. Among these four patients, two were never-smokers
and one each had 35- and <1-pack-year histories. Post-EGFR TKI pre-trial tumor
biopsy specimens from these patients detected EGFR T790M mutations in two
patients and MNNG HOS Transforming gene (MET) amplification in a third; two
patients each had primary EGFR exon 19 deletions or L858R mutations. The TKI
naive patient, who had compound EGFR mutations (L858R and S768I) and ultimately
achieved a complete response, had an ongoing response lasting more than 5 years
based on investigator records. CONCLUSIONS: Nivolumab plus erlotinib was
tolerable, with durable responses in patients with EGFR-mutant, TKI-treated
NSCLC.
PMID- 29802889
TI - Surgical treatment of early-onset idiopathic scoliosis in the United States: a
trend analysis of 15 years (1997-2012).
AB - BACKGROUND CONTEXT: Early-onset scoliosis is a challenging problem that is
defined as a curvature of the spine of more than 10 degrees identified in a child
less than 10 years. Early-onset idiopathic scoliosis (EOIS) can cause substantial
morbidity and may require surgical intervention. PURPOSE: The aim of the present
study was to identify the trends of EOIS type of surgeries, length of hospital
stay, in-hospital complications, and total inpatient admission charges over a 15
year study period in the United States from 1997 to 2012. STUDY DESIGN/SETTING:
This retrospective study used the ICD-9-CM (International Classification of
Diseases, Ninth Revision, Clinical Modification) codes from the Healthcare Cost
and Utilization Project (HCUP) Kids Inpatient's Database (KID) for a 15-year
period (1997-2012). PATIENT SAMPLE: We identified a total of 897 patients with
EOIS over the 15-year study period. OUTCOME MEASURES: The present study
determines the current trends for EOIS surgeries. METHODS: The present study had
no funding sources or any potential conflicts of interest associated biases.
Idiopathic scoliosis patients with ages between 0 and <10 years were identified
from the Kids' Inpatient Database with ICD-9-CM code 737.30. Posterior, anterior,
and combined spinal surgeries were identified in EOIS through the procedure
codes. Patients' gender, discharge diagnosis (comorbidities), hospital length of
stay (LOS), mortality rates, hospital charges, and in-hospital complication rate
data were collected between 1997 and 2012. The primary grouping variable of the
study was the type of surgery (posterior, anterior, and combined). The trends of
each variable (female gender, mortality rates, in-hospital complications rates,
discharge diagnosis, LOS, and total hospital charges) were assessed for each
surgical group separately. Cost inflation of hospital charges was adjusted for
the year 2012. An analysis of variance test was used to analyze continuous
variables and a chi-square test was used for categorical variables. A linear
regression test was used to assess the trend of changes. p<=.05 was considered
statistically significant. RESULTS: The study identified 897 patients, with 546
(61%) of them requiring surgery. Spine deformity surgery rates significantly
decreased in patients with EOIS over time from 75% in 1997 to 47% in 2012,
p=.019. In the surgery cohort, the male to female distribution was 37% and 63%,
respectively. The overall mortality rate was 0.1%. The average length of hospital
stay was 8 days and the average number of discharge diagnosis was 5.3. Aggregated
complications were seen in 6% of the patients. The total mean hospital charge
(per 2012 US dollars) was $119,613, which increased significantly for all types
of surgeries. Over the 15-year study period, 62% (n=342) of the patients had
posterior surgeries, 13% (n=71) of the patients had anterior surgeries, and 24%
(n=133) of the patients had combined (anterior and posterior) surgeries.
Posterior surgeries increased significantly from 33% in 1997 to 91% in 2012
(p<.004). Combined surgeries saw a significant decline from 50% to 4.3%
(0<0.001). Anterior surgeries also decreased from 17% to 4.3% (p<.126), but this
did not reach statistical significance. CONCLUSIONS: From 1997 to 2012 (15 years)
study period of patients with EOIS, posterior-based surgeries significantly
increased. The overall surgery rate has significantly decreased for these
patients. A significant increase in hospital charges were noticed in posterior,
anterior, and combined surgeries.
PMID- 29802890
TI - H2O2 metabolism in liver and heart mitochondria: Low emitting-high scavenging and
high emitting-low scavenging systems.
AB - Although mitochondria are presumed to emit and consume reactive oxygen species
(ROS), the quantitative interplay between the two processes in ROS regulation is
not well understood. Here, we probed the role of mitochondrial bioenergetics in
H2O2 metabolism using rainbow trout liver and heart mitochondria. Both liver and
heart mitochondria emitted H2O2 at rates that depended on their metabolic state,
with the emission rates (free radical leak) constituting 0.8-2.9% and 0.2-2.5% of
the respiration rate in liver and heart mitochondria, respectively. When
presented with exogenous H2O2, liver and heart mitochondria consumed it by first
order reactions with half-lives (s) of 117 and 210, and rate constants of 5.96
and 3.37 (* 10-3 s-1), respectively. The mitochondrial bioenergetic status
greatly affected the rate of H2O2 consumption in heart but not liver
mitochondria. Moreover, the activities and contribution of H2O2 scavenging
systems varied between liver and heart mitochondria. The significance of the
scavenging systems ranked by the magnitude (%) of inhibition of H2O2 removal
after correcting for emission were, liver (un-energized and energized): catalase
> glutathione (GSH) >= thioredoxin reductase (TrxR); un-energized heart
mitochondria: catalase > TrxR > GSH and energized heart mitochondria: GSH > TrxR
> catalase. Notably, depletion of GSH evoked a massive surge in H2O2 emission
that grossly masked the contribution of this pathway to H2O2 scavenging in heart
mitochondria. Irrespective of the organ of their origin, mitochondria behaved as
H2O2 regulators that emitted or consumed it depending on the ambient H2O2
concentration, mitochondrial bioenergetic state and activity of the scavenging
enzyme systems. Indeed, manipulation of mitochondrial bioenergetics and H2O2
scavenging systems caused mitochondria to switch from being net consumers to net
emitters of H2O2. Overall, our data suggest that the low levels of H2O2 typically
present in cells would favor emission of this metabolite but the scavenging
systems would prevent its accumulation.
PMID- 29802891
TI - Planarian organizers.
AB - An organizer is defined as a group of cells that secrete specific factors and can
change the fate of adjacent cells and instruct a specific pattern. Spemann and
Mangold were the first to use the term, when in 1938 they discovered that the
dorsal blastopore lip of a salamander embryo induced a secondary axis after
transplantation. Since then, several such regions have been identified in the
embryos of many animal species. However, little is known about the presence of
organizers at the adult stage, although some organizing activity must be required
during regenerative processes to pattern the new tissue. In this study we review
the current knowledge on planarians, flatworms that can regenerate any lost body
parts, including their heads, within a few days. We will summarize the current
data that made it possible to identify planarian anterior and posterior tips as
regenerative organizers. We will present the current knowledge about the
molecular networks that define each organizer, and we will discuss the presence
of organizers in planarians during normal homeostasis. We will propose some
unanswered questions concerning both planarian regeneration and regenerative
medicine, and examine future research prospects in this field.
PMID- 29802892
TI - Research that Moves the Field Forward.
PMID- 29802893
TI - Leptospira - Jaundice - soft and coiled.
PMID- 29802895
TI - Utility of Echocardiography in Heart Failure With Preserved Ejection Fraction.
PMID- 29802894
TI - Imported eosinophilic fever with myositis: A diagnostic challenge.
PMID- 29802896
TI - Cardiac-Specific Overexpression of Oxytocin Receptor Leads to Cardiomyopathy in
Mice.
AB - BACKGROUND: Oxytocin (Oxt) and its receptor (Oxtr) gene system has been
implicated in cardiomyogenesis and cardioprotection; however, effects of chronic
activation of Oxtr are not known. We generated and investigated transgenic (TG)
mice that overexpress Oxtr specifically in the heart. METHODS AND RESULTS:
Cardiac-specific overexpression of Oxtr was obtained by having the alpha-major
histocompatibility complex promoter drive the mouse Oxtr gene (alpha-Mhc-Oxtr).
Left ventricular (LV) function and remodeling were assessed by magnetic resonance
imaging and echocardiography. In alpha-Mhc-Oxtr TG mice, LV ejection fraction was
severely compromised at 14 weeks of age compared with wild-type (WT) littermates
(25 +/- 6% vs 63 +/- 3%; P < .001). LV end-diastolic volume was larger in the TG
mice (103 +/- 6 uL vs 67 +/- 5 uL; P < .001). alpha-Mhc-Oxtr TG animals displayed
cardiac fibrosis, atrial thrombus, and increased expression of pro-fibrogenic
genes. Mortality of alpha-Mhc-Oxtr TG animals was 45% compared with 0% (P <
.0001) of WT littermates by 20 weeks of age. Most cardiomyocytes of alpha-Mhc
Oxtr TG animals but not WT littermates (68.0 +/- 12.1% vs 5.6 +/- 2.4%; P = .008)
were positive in staining for nuclear factor of activated T cells (NFAT). To
study if thrombin inhibitor prevents thrombus formation, a cohort of 7-week-old
alpha-Mhc-Oxtr TG mice were treated for 12 weeks with AZD0837, a potent thrombin
inhibitor. Treatment with AZD0837 reduced thrombus formation (P < .05) and tended
to attenuate fibrosis and increase survival. CONCLUSIONS: Cardiac-specific
overexpression of Oxtr had negative consequences on LV function and survival in
mice. The present findings necessitate further studies to investigate potential
adverse effects of chronic Oxt administration. We provide a possible mechanism of
Oxtr overexpression leading to heart failure by nuclear factor of activated T
cell signaling. The recapitulation of human heart failure and the beneficial
effects of the antithrombin inhibitor render the alpha-Mhc-Oxtr TG mice a
promising tool in drug discovery for heart failure.
PMID- 29802897
TI - Evaluating prodrug characteristics of a novel anticoagulant fusion protein
neorudin, a prodrug targeting release of hirudin variant 2-Lys47 at the
thrombosis site, by means of in vitro pharmacokinetics.
AB - Recombinant neorudin (EPR-hirudin, EH), a low-bleeding anticoagulant fusion
protein, is an inactive prodrug designed to be converted to the active
metabolite, hirudin variant 2-Lys47 (HV2), locally at the thrombus site by FXa
and/or FXIa, following activation of the coagulation system. Our aim was to
evaluate the prodrug characteristics of EH by comparing the biotransformation of
EH and HV2 in biological matrices, including rat blood, liver, and kidney
homogenates, demonstrating the cleavage of EH to HV2 by FXa and FXIa, and
comparing the conversion of EH to HV2 between fresh whole blood and whole-blood
clot homogenate, using ultra-performance liquid chromatography-mass spectrometry
(UPLC-MS/MS). Both EH and HV2 were stable in blood and unstable in the liver and
kidney homogenates. Eight EH metabolites and eight HV2 metabolites identified as
N-terminal fragments were found in the liver and kidney. C-terminal proteolysis
is therefore the major metabolic pathway, with serine/cysteine carboxypeptidases
and metallocarboxypeptidases being responsible for the degradation of EH and HV2
in the liver and kidney, respectively. EH was cleaved to release HV2 by FXIa.
Higher levels of HV2 were produced from EH in the whole-blood clot homogenate, in
which the coagulation system was activated compared with those in fresh whole
blood. In conclusion, the metabolism of EH and HV2 shares the same cleavage
pattern, and EH is transformed into HV2 when the coagulation system is activated,
where FXIa is a specific enzyme. Our in vitro study revealed the anticipated
prodrug characteristics of EH newly designed as an inactive prodrug of hirudin.
PMID- 29802899
TI - WITHDRAWN: Non-viral vectors based on cationic niosomes as efficient gene
delivery vehicles to central nervous system cells into the brain.
AB - This article has been withdrawn: please see Elsevier Policy on Article Withdrawal
(http://www.elsevier.com/locate/withdrawalpolicy). This article has been
withdrawn at the request of the editor and publisher. The publisher regrets that
an error occurred which led to the premature publication of this paper. This
error bears no reflection on the article or its authors. The publisher apologizes
to the authors and the readers for this unfortunate error.
PMID- 29802898
TI - Do new N-substituted 3-amino-4-phenyl-5-oxo-pyrazolinecarboxamide derivatives
exhibit antitubercular potential?
AB - As a continuation of previous tests concerning new N-substituted 3-amino-4-phenyl
5-oxo-pyrazolinecarboxamide derivatives (R3, R4 and R8) of notable antibacterial
activity, their antitubercular potential against different mycobacterial strains
was estimated. Tests performed on virulent (reference and clinical) strains of
Mycobacterium bovis and Mycobacterium tuberculosis revealed the highest
therapeutic potential of R8 derivative: MIC within the range 7.8-15.6 MUg/ml and
TI (therapeutic index) within the range 46.5-93. Moreover, the synergistic
interaction was found between R3, R4 and R8 derivatives and rifampicin, one of
the front-line antitubercular drugs. R8/rifampicin mixture in concentrations
effective in inhibition of Mycobacterium tuberculosis strain was non-cytotoxic
against GMK cells, displaying cell viability approximately 88-97% when compared
to control. Molecular docking study enabled to conclude that enoyl acyl carrier
protein reductase (InhA) can be considered as a potential molecular target of
tested pyrazole derivatives. Although further modifications of chemical structure
of the investigated pyrazole derivatives is required, in order to increase their
antitubercular efficacy and therapeutic safety, these compounds, in particular R8
compound, can be promising for the treatment of human and bovine tuberculosis.
PMID- 29802900
TI - Smart liposomal drug delivery for treatment of oxidative stress model in human
embryonic stem cell-derived retinal pigment epithelial cells.
AB - Oxidative stress has been implicated in the progression of age-related macular
degeneration (AMD). Treatment with antioxidants seems to delay progression of
AMD. In this study, we suggested an antioxidant delivery system based on redox
sensitive liposome composed of phospholipids and a diselenide centered alkyl
chain. Dynamic light scattering assessment indicated that the liposomes had an
average size of 140 nm with a polydispersity index below 0.2. The percentage of
encapsulation efficiency of the liposomes was calculated by high-performance
liquid chromatography. The carriers were loaded with N-acetyl cysteine as a model
antioxidant drug. We demonstrated responsiveness of the nanocarrier and its
efficiency in drug delivery in an oxidative stress model of human embryonic stem
cell-derived retinal pigment epithelial (hESC-RPE) cells. The modeled cells
treated with diselenide containing liposomes loaded with 10 mM NAC, showed a
better therapeutic effect with a cell metabolic activity of 90%, which was
significantly higher compared to insensitive liposomes or NAC treated groups (P <
0.05). In addition, the expression of oxidative-sensitive gene markers in
diselenide containing liposomes groups were improved. Our results demonstrated
fabricated smart liposomes opens new opportunity for targeted treatment of
retinal degeneration.
PMID- 29802901
TI - Preclinical Canine Model of Graft-versus-Host Disease after In Utero
Hematopoietic Cell Transplantation.
AB - In utero hematopoietic cell transplantation (IUHCT) offers the potential to
achieve allogeneic engraftment and associated donor-specific tolerance without
the need for toxic conditioning, as we have previously demonstrated in the murine
and canine models. This strategy holds great promise in the treatment of many
hematopoietic disorders, including the hemoglobinopathies. Graft-versus-host
disease (GVHD) represents the greatest theoretical risk of IUHCT and has never
been characterized in the context of IUHCT. We recently described a preclinical
canine model of IUHCT, allowing further study of the technique and its
complications. We aimed to establish a threshold T cell dose for IUHCT-induced
GVHD in the haploidentical canine model and to define the GVHD phenotype. Using a
range of T cell concentrations within the donor inoculum, we were able to
characterize the phenotype of IUHCT-induced GVHD and establish a clear threshold
for its induction between 3% and 5% graft CD3+ cell content. Given the complete
absence of GVHD at CD3 doses of 1% to 3% and the excellent engraftment with the
lowest dose, there is a safe therapeutic index for a clinical trial of IUHCT.
PMID- 29802902
TI - Transvaginal Natural Orifice Transluminal Endoscopic Surgery for Tubal Pregnancy
and a Device Innovation from Our Institution.
AB - Natural orifice transluminal endoscopic surgery (NOTES) is a relatively new
technique currently being studied around the world. Between June 2015 and June
2017, 12 patients diagnosed with ectopic pregnancy underwent transvaginal NOTES
to remove their fallopian tubes. All 12 surgeries were completed successfully.
The median age of patients was 33years (range, 28-42), and the median body mass
index was 23.47 (range, 20.55-27.68). The median duration of amenorrhea was
53days (range, 41-60). The median serum beta-human chorionic gonadotropin was
8887 U/mL (range, 392-25 695). The median ectopic mass longest diameter was 2.95
cm (range, 2.1-5.0). The median surgical time was 47.5 minutes (range, 40-70).
The median hemoperitoneum was 52.5 mL (range, 20-300), and the median blood loss
was 7.5 mL (range, 2-20). Our study has proven the feasibility and repeatability
of transvaginal NOTES for less difficult ectopic pregnancies. The success of
transvaginal NOTES lies in the choice of patients and the establishment of the
transvaginal operating platform. We added a plastic ring between the inner and
outer rings outside the wound retractor. The modified platform can lessen the
technical difficulties of performing transvaginal NOTES and broaden its
applicability to other procedures.
PMID- 29802903
TI - Difficult intubation and extubation in adult anaesthesia.
AB - OBJECTIVE: To provide an update to French guidelines about "Difficult intubation
and extubation in adult anaesthesia 2006". DESIGN: A consensus committee of 13
experts was convened. A formal conflict-of-interest (COI) policy was developed at
the onset of the process and enforced throughout. The entire guidelines process
was conducted independent of any industry funding. The authors were advised to
follow the principles of the Grading of Recommendations Assessment, Development
and Evaluation (GRADE) system to guide assessment of quality of evidence. The
potential drawbacks of making strong recommendations in the presence of low
quality evidence were emphasized. Few recommendations were ungraded. METHODS: The
panel focused on 6 questions: 1) Why must oxygen desaturation be avoided during
intubation and what preoxygenation and oxygenation techniques should be used to
prevent it? 2) Should videolaryngoscopes be used instead of standard laryngoscopy
with or without a long stylet to achieve a better success rate of intubation
after the first attempt during anticipated difficult intubation off fiberoptic
intubation? 3) Should TCI or target controlled inhalation anaesthesia (TCIA) be
used instead of bolus sedation for airway control in the event of suspected or
proven difficulty in a patient spontaneously breathing? 4) What mode of
anaesthesia should be performed in patients with difficult intubation criteria
and potentially difficult mask ventilation? 5) In surgical patients, what
criteria predict difficulties encountered during postoperative tracheal
extubation? 6) Should decision trees and algorithms be employed to direct
decision-making for the management of difficult intubation, whether foreseen or
not? (based on the information from the preceding five issues). Population,
intervention, comparison, and outcomes (PICO) questions were reviewed and updated
as needed, and evidence profiles were generated. The analysis of the literature
and the recommendations were then conducted according to the GRADE(r)
methodology. RESULTS: The SFAR Guideline panel provided 13 statements on
difficult intubation and extubation in adult anaesthesia. After two rounds of
discussion and various amendments, a strong agreement was reached for 99% of
recommendations. Of these recommendations, five have a high level of evidence
(Grade 1+/-), 8 have a low level of evidence (Grade 2+/-). No recommendation was
provided for one question. CONCLUSIONS: Substantial agreement exists among
experts regarding many strong recommendations for the best care of patients with
difficult intubation and extubation in adult anaesthesia.
PMID- 29802905
TI - Food-dependent exercise-induced anaphylaxis to soybean.
PMID- 29802904
TI - Typhae pollen polysaccharides ameliorate diabetic retinal injury in a
streptozotocin-induced diabetic rat model.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: According to ancient traditional Chinese
medicine, Typhae Pollen (TP) is commonly used to treat fundus haemorrhage because
it improves blood circulation. AIMS OF THE STUDY: This study evaluated the role
of the main TP component, polysaccharides (TPP), on diabetic retinopathy (DR) and
its possible mechanisms of inhibiting inflammation and improving blood
circulation. MATERIALS AND METHODS: After successful establishment of a diabetic
rat model, TPP was administered to diabetic rats for treatment, and the rats were
sacrificed at 12 weeks. Retinal electrophysiology and ultrastructures were
observed, and serum interleukin-6 (IL-6) and tumour necrosis factor-alpha (TNF
alpha) levels were also measured. Changes in the retinal expression of vascular
endothelial growth factor (VEGF) and basic fibroblast growth factor (bFGF) were
examined by immunofluorescence. A mouse model of acute blood stasis was then
established, and the effects of TPP on haemorheology were observed. The anti
inflammatory effect of TPP was analysed based on the changes in abdominal
capillary permeability and the degree of auricle swelling in the mice. RESULTS:
In streptozotocin (STZ)-induced DR rats, TPP (0.4 g/kg) treatment restored
electrophysiology indexes and retinal ultrastructures, reduced serum IL-6 and TNF
alpha levels, decreased VEGF and bFGF expression in retinal tissues, and improved
haemorheology indexes. Moreover, TPP reduced abdominal capillary permeability and
relieved auricle swelling in a dose-dependent manner. CONCLUSIONS: TPP treatment
ameliorated DR by inhibiting inflammation and improving blood circulation.
PMID- 29802906
TI - Safety and Outcomes of Oral Graded Challenges to Amoxicillin without Prior Skin
Testing.
AB - BACKGROUND: Unconfirmed penicillin allergy poses substantial public health
consequences. The most widely accepted protocol to evaluate penicillin allergy is
skin testing followed by an amoxicillin challenge. OBJECTIVE: To evaluate the
safety of direct oral graded challenges to amoxicillin. METHODS: A prospective
single-blind clinical trial with historical controls of patients >=7 years old
with historical non-life-threatening reactions to penicillin was conducted.
Patients received placebo followed by a 2-step graded challenge to amoxicillin.
The allergic reaction rate was compared with the rate observed in our previous
study that included skin testing and with the currently reported penicillin
allergy prevalence in the US population. RESULTS: Of the 155 participants who
completed an amoxicillin challenge, 120 patients (77.4%) experienced no reaction
whereas 31 patients (20%) experienced nonallergic reactions to either placebo (n
= 16) or amoxicillin (n = 15). Four patients (2.6%) developed mild allergic
reactions. Significantly (P = .03) fewer patients (4 of 155, 2.6%, 95% confidence
interval [CI]: 1.0%, 6.5%) were determined to be allergic compared with 14 of 170
subjects (8.2%, 95% CI: 5.0%, 13.4%) in our previous study where patients were
determined to be allergic based on either positive skin tests (n = 11) or
allergic challenge reactions after negative skin tests (n = 3). This 2.6%
reaction rate was also significantly less than the 10% reported US prevalence of
penicillin allergy (P = .003). CONCLUSIONS: Placebo-controlled oral graded
challenges to amoxicillin without prior skin testing may be safe for patients >=7
years old with non-life-threatening historical reactions to penicillin.
Amoxicillin can be tolerated by the majority of patients with self-reported
penicillin allergy.
PMID- 29802907
TI - Sesame: An unrecognized trigger of food protein-induced enterocolitis syndrome.
PMID- 29802908
TI - Use of omalizumab in uncontrolled chronic spontaneous urticaria also improved
latex induced contact urticaria.
PMID- 29802909
TI - Limited ability of recombinant Hymenoptera venom allergens to resolve IgE double
sensitization.
PMID- 29802910
TI - The Feasibility of Blood Flow Restriction Exercise in Patients With Incomplete
Spinal Cord Injury.
AB - BACKGROUND: Blood flow restriction (BFR) exercise, which already has shown
promise in the able-bodied population, may be a novel method for improving muscle
function in the incomplete spinal cord injury (iSCI) population. However, the
feasibility and tolerance for BFR exercise in this population is unknown.
OBJECTIVE: To determine the feasibility and safety of BFR exercise in the iSCI
population, with special attention paid to acute hemodynamic changes and the risk
of deep vein thrombosis (DVT). DESIGN: Within-subjects repeated measures design.
SETTING: Outpatient SCI rehabilitation unit in a Veterans Affairs medical center.
PARTICIPANTS: Nine individuals with varying levels of iSCI and varying functional
abilities. METHODS: Subjects performed a trial of unilateral BFR knee extension
(3 * 10) with, and without, BFR (125% of venous occlusion pressure) in a
counterbalanced order. MAIN OUTCOME MEASUREMENTS: Acute autonomic dysreflexia
risk, total work, pain, perceived difficulty, muscle activation, lactate,
hemodynamics, and tissue oxygenation were compared between conditions. Each
subject was screened for a DVT at baseline and returned for a quantitative D
dimer assessment 3-4 days after the protocol. RESULTS: All subjects were able to
complete each BFR trial without showing signs of autonomic dysreflexia or DVT
formation. No differences were observed for pain, perceived effort, muscular
activation, or lactate between BFR and control exercise. Mean arterial pressure
and systolic pressure both increased with exercise (18.8% and 17.6% in BFR, and
19.4% and 19.6% in control, respectively; P < .05) but were not different between
conditions. Oxyhemoglobin and deoxyhemoglobin saturation both increased during
BFR exercise (+12.3 +/- 96.7 and +105.4 +/- 76.7, respectively), whereas tissue
oxygenation index decreased (-6.5 +/- 3.0%; P < .05 for all comparisons).
CONCLUSIONS: Results suggest that controlled BFR exercise can be safely performed
by individuals with iSCI without added cardiovascular strain or heightened pain.
LEVEL OF EVIDENCE: IV.
PMID- 29802911
TI - Advanced microheater for in situ transmission electron microscopy; enabling
unexplored analytical studies and extreme spatial stability.
AB - In this work we present our advanced in situ heating sample carrier for
transmission electron microscopy (TEM). The TEM is a powerful tool for materials
characterization, especially when combined with micro electro-mechanical systems
(MEMS). These deliver in situ stimuli such as heating, in which case temperatures
up to 1300 degrees C can be reached with high temporal stability without
affecting the original TEM spatial resolution: indeed, atomic resolution imaging
can be routinely performed. Previously, the thermal expansion of suspended
microheaters caused vertical displacement of the sample (bulging). As a result,
changing temperatures required either continuous focus or stage adjustments,
inducing resolution loss or mechanical drift, respectively. Moreover, those
actions hinder the possibility to capture fast dynamic events. This new MEMS
based sample carrier, however, keeps the sample at constant z-position (no
bulging) up to 700 degrees C. Furthermore, it enables energy dispersive x-ray
spectroscopy (EDS) acquisition in the TEM up to an unmatched temperature of 1000
degrees C, with a drift rate down to 0.1 nm/min. Its viewable area of 850 um2
features a temperature homogeneity up to 99.5%.
PMID- 29802912
TI - Caffeic acid prevents UVB radiation induced photocarcinogenesis through
regulation of PTEN signaling in human dermal fibroblasts and mouse skin.
AB - Previously, we proved that caffeic acid (CA), a major dietary phenolic acid,
prevents skin carcinogenesis by modulating inflammatory signaling in mouse skin.
However, the actual mechanisms of CA against UVB (280-320 nm) induced
photocarcinogenesis remains unclear. The present results confirms that CA
significantly inhibits single UVB-induced CPDs formation, oxidative DNA damage,
ROS generation and frequency of apoptotic cell death in human dermal fibroblasts
(HDFa). Furthermore, CA prevents UVB-induced expression of PI3K and AKT kinases
through activation of PTEN which subsequently promotes XPC dependant NER proteins
such as XPC, XPE, TFIIH (p44) and ERCC1 in HDFa cells and mouse skin tissue.
Further, CA directly activates PTEN through hydrogen bond and hydrophobic
interactions. Taken together, these findings suggest that CA prevents UVB-induced
photodamage through the activation of PTEN expression in human dermal fibroblasts
and mouse skin.
PMID- 29802913
TI - Effects of acute and chronic methamphetamine administration on cynomolgus monkey
hippocampus structure and cellular transcriptome.
AB - Methamphetamine (MA), a psychostimulant abused worldwide, gives rise to
neurotoxicity in the hippocampus, resulting in cognitive impairments and
hippocampal volume reduction. The cellular and molecular mechanisms associated
with hippocampal impairments due to MA remain unknown. The aim of this study was
to investigate the effects of MA on structural alterations and gene expressions
in the hippocampus. We analyzed the pattern of volumetric changes in the
hippocampus using magnetic resonance imaging (MRI) after acute and chronic
administration of MA to cynomolgus macaques. In addition, we performed large
scale transcriptome profiling in the hippocampus using RNA-Seq technology. The
hippocampus in response to acute and chronic MA exhibited a significant
volumetric atrophy compared with the hippocampus of controls. The genes
associated with cytoskeleton organization and phagocytosis were downregulated in
the acute MA-treated group compared to the control group. On the other hand,
genes associated with synaptic transmission, regulation of neuron differentiation
and regulation of neurogenesis were downregulated in the chronic MA-treated
group. We confirmed that expression patterns for ADM, BMP4, CHRD, PDYN, UBA1,
profilin 2 (PFN2), ENO2 and NSE mRNAs were similar to the results from RNA-Seq
based on quantitative RT-PCR. In particular, PFN2 mRNA and protein expression
levels, which play important roles in actin cytoskeleton dynamics, were decreased
by acute and chronic MA administration. These results not only aid the
understanding of cellular and molecular mechanisms regulated by MA in the
hippocampus but also suggest basic information aiding biomarker and novel drug
development for treating hippocampal impairment caused by MA abuse.
PMID- 29802914
TI - cAMP/PKA/EGR1 signaling mediates the molecular mechanism of ethanol-induced
inhibition of placental 11beta-HSD2 expression.
AB - It is known that inhibiting 11beta-hydroxysteroid dehydrogenase type 2 (11beta
HSD2) expression in the placenta can cause fetal over-exposure to maternal
glucocorticoids and induce intrauterine growth restriction (IUGR); these effects
ultimately increase the risk of adult chronic diseases. This study aimed to
investigate the molecular mechanism of the prenatal ethanol exposure (PEE)
induced inhibition of placental 11beta-HSD2 expression. Pregnant Wistar rats were
intragastrically administered ethanol (4 g/kg/d) from gestational days 9 to 20.
The levels of maternal and fetal serum corticosterone and placental 11beta-HSD2
related gene expression were analyzed. Furthermore, we investigated the mechanism
of reduced placental 11beta-HSD2 expression induced by ethanol treatment (15-60
mM) in HTR-8/SVneo cells. In vivo, PEE decreased fetal body weights and increased
maternal and fetal serum corticosterone and early growth response factor 1 (EGR1)
expression levels. Moreover, histone modification changes (decreased acetylation
and increased di-methylation of H3K9) to the HSD11B2 promoter and lower 11beta
HSD2 expression levels were observed. In vitro, ethanol decreased cAMP/PKA
signaling and 11beta-HSD2 expression and increased EGR1 expression in a
concentration-dependent manner. A cAMP agonist and EGR1 siRNA reversed the
ethanol-induced inhibition of 11beta-HSD2 expression. Together, PEE reduced
placental 11beta-HSD2 expression, and the underlying mechanism is associated with
ethanol-induced histone modification changes to the HSD11B2 promoter through the
cAMP/PKA/EGR1 pathway.
PMID- 29802915
TI - Analysis of peripheral ghrelin signaling via the vagus nerve in ghrelin receptor
restored GHSR-null mice.
AB - The vagus nerve connects peripheral organs to the central nervous system (CNS),
and gastrointestinal hormones transmit their signals to the CNS via the vagal
afferent nerve. Ghrelin, a gastric-derived orexigenic peptide, stimulates food
intake by transmitting starvation signals via the vagus nerve. To understand
peripheral ghrelin signaling via the vagus nerve, we investigated the ghrelin
receptor (GHSR)-null mouse. For this purpose, we tried to produce mice in which
GHSR was selectively expressed in the hindbrain and vagus nerve. GHSR was
expressed in some nodose ganglion neurons in these mice, but GHSR-expressing
neurons were less abundant than in wild-type mice. Intraperitoneal administration
of ghrelin did not induce food intake or growth hormone release, but did increase
blood glucose levels. Our findings suggest that the abundance of GHSR-expressing
neurons in the nodose ganglion is critical for peripheral administration of
ghrelin-induced food intake and growth hormone release via the vagus nerve.
PMID- 29802917
TI - Molecular modeling of neurological membrane proteins - from binding sites to
synapses.
AB - The field of molecular mechanics studies of proteins has developed enormously
since its origin in the 1970's, and many applications and methodologies have
branched from the original idea of the force field. The applications of such
methodologies are far spread and commonplace in neuroscience research today. In
this mini-review, we outline the main methodologies applied when studying events
ranging from ligands binding within small binding sites, through overall large
scale conformational changes, to the even larger-scale oligomerization events of
neurological membrane proteins. The limitations and caveats of the methods are
discussed, while examples of recent applications are described and their
implications discussed. We have chosen to focus on the monoamine transporters
throughout, with a few examples from neurological membrane proteins such as
ionotropic and metabotropic neurotransmitter receptors.
PMID- 29802916
TI - Autophagy at the synapse.
AB - As the sites of communication between neurons, synapses depend upon precisely
regulated protein-protein interactions to support neurotransmitter release and
reception. Moreover, neuronal synapses typically exist great distances (i.e. up
to meters) away from cell bodies, which are the sources of new proteins and the
major sites of protein degradation via lysosomes. Thus, synapses are uniquely
sensitive to disruptions in proteostasis, and depend upon carefully orchestrated
degradative mechanisms for the clearance of dysfunctional proteins. One of the
primary cellular degradative pathways is macroautophagy, hereafter referred to as
'autophagy'. Although it has only recently become a focus of research in synaptic
biology, emerging studies indicate that autophagy has essential functions at the
synapse throughout an organism's lifetime. This review will discuss recent
findings about the roles of synaptic autophagy, as well as some of the questions
and issues to be considered in this field moving forward.
PMID- 29802919
TI - Short- and mid-term outcomes of robotic versus laparoscopic distal
pancreatosplenectomy for pancreatic ductal adenocarcinoma: A retrospective
propensity score-matched study.
AB - BACKGROUND: Robotic distal pancreatectomy exhibits short-term benefits over
laparoscopic distal pancreatectomy. The use of minimal invasive techniques to
carry out distal pancreatosplenectomy (DPS) for pancreatic ductal adenocarcinoma
(PDAC) remains controversial and has not gained popular acceptance. A comparative
study was designed to analyze the short- and mid-term outcomes of robotic DPS
(RDPS) versus laparoscopic DPS (LDPS) on patients with PDAC. METHODS: The
baseline characteristics, perioperative outcomes and survival data among patients
who underwent RDPS (n = 35) versus LDPS (n = 35) for PDAC between December 2011
and December 2015 were compared after a 1:1 propensity score matching. RESULTS:
There were no significant differences in the operative time, blood loss, blood
transfusion rate, and morbidity and pancreatic fistula rates between the RDPS and
LDPS groups. RDPS significantly reduced the rate of conversion to laparotomy
(5.7% vs. 22.9% when compared with LDPS, p = 0.04). There were no significant
differences in R0 resection rates, number of harvested lymph nodes, positive to
harvested lymph node ratios, and disease-free survival and overall survival rates
between the two groups. A Cox proportional hazards analysis showed N1 stage to be
significantly associated with worse survival and suggested that chemotherapy
might prolong overall survival in these PDAC patients. CONCLUSIONS: This single
center study demonstrated that RDPS was safe and efficacious in treatment of
PDAC. When compared with LDPS, RDPS was associated with a reduced rate of
conversion to open surgery. There were no significantly differences in
oncological outcomes and mid-term survival rates between the groups of patients
who underwent RDPS or LDPS.
PMID- 29802918
TI - What cerebellar malformations tell us about cerebellar development.
AB - Structural birth defects of the cerebellum, or cerebellar malformations, in
humans, have long been recognized. However, until recently there has been little
progress in elucidating their developmental pathogenesis. Innovations in brain
imaging and human genetic technologies over the last 2 decades have led to better
classifications of these disorders and identification of several causative genes.
In contrast, cerebellar malformations in model organisms, particularly mice, have
been the focus of intense study for more than 70 years. As a result, many of the
molecular, genetic and cellular programs that drive formation of the cerebellum
have been delineated in mice. In this review, we overview the basic epochs and
key molecular regulators of the developmental programs that build the structure
of the mouse cerebellum. This mouse-centric approach has been a useful to
interpret the developmental pathogenesis of human cerebellar malformations.
However, it is becoming apparent that we actually know very little regarding the
specifics of human cerebellar development beyond what is inferred from mice. A
better understanding of human cerebellar development will not only facilitate
improved diagnosis of human cerebellar malformations, but also lead to the
development of treatment paradigms for these important neurodevelopmental
disorders.
PMID- 29802920
TI - Characterization of the interaction between recombinant porcine aminopeptidase N
and spike glycoprotein of porcine epidemic diarrhea virus.
AB - Porcine epidemic diarrhea (PED) has caused huge economic losses to the global
pork industry. Infection by its causative agent PED virus (PEDV), an Alpha
coronavirus, was previously proven to be mediated by its spike (S) glycoprotein
and a cellular receptor porcine aminopeptidase N (pAPN). Interestingly, some
recent studies have indicated that pAPN is not a functional receptor for PEDV. To
date, there is a lack of a direct evidence for the interaction between pAPN and
PEDV S protein in vitro. Here, we prepared pAPN ectodomain and the truncated
variants of PEDV S protein in Drosophila S2 cells. These recombinant proteins
were homogeneous after purification by metal-affinity and size-exclusion
chromatography. We then assayed the purified target proteins through
immunogenicity tests, PEDV binding interference assays, circular dichroism (CD)
measurements, pAPN activity assay and structural determination, demonstrating
that they were biologically functional. Finally, we characterized their
interactions by gel filtration chromatography, native-polyacrylamide gel
electrophoresis (PAGE) and surface plasmon resonance (SPR) analyses. The results
showed that their affinities were too low to form complexes, which suggest that
pAPN may be controversial as the genuine receptor for PEDV. Therefore, further
research needs to be carried out to elucidate the interaction between PEDV and
its genuine receptor.
PMID- 29802921
TI - Poly(AA-co-VPA) hydrogel cross-linked with N-maleyl chitosan as dye adsorbent:
Isotherms, kinetics and thermodynamic investigation.
AB - In this study, hydrogel polymer was synthesized by incorporation of acrylic acid
(AA), vinylphosphonic acid (VPA) and N-maleyl chitosan which was prepared through
the acylation reaction between maleic anhydride and chitosan. N-maleyl chitosan
crosslinked P(AA-co-VPA) hydrogel was utilized for the effective adsorption of
crystal violet (CV) and methylene blue (MB) dyes from aqueous solutions. The
synthesized hydrogel was characterized by using FTIR, SEM, EDS, TGA-DTA, and DSC
methods. The effecting parameters on adsorption of dyes such as initial
concentration of dyes, temperature, pH and adsorbent polymer dose were studied.
The adsorption isotherm was analyzed in different temperature using Langmuir,
Freundlich, Temkin and Redlich-Peterson models and the adsorption data were well
described by Redlich-Peterson isotherm model. The adsorption kinetics was
analyzed using pseudo first-order, pseudo second-order, intraparticle and film
diffusion models in 20, 30, 40 and 50 mg/L of dye solutions and the adsorption
data were well described by pseudo-second-order model. The maximum adsorption
capacity of the hydrogel polymer for removal of CV and MB in 50 mg/L of dye
solutions was 64.56 mg/g and 66.89 mg/g, respectively. Thermodynamic studies
recommended that the adsorption process was endothermic and spontaneous.
Furthermore, the adsorbent was successfully employed for successive four cycles
of adsorption-desorption.
PMID- 29802922
TI - Effects of Cu2+ on alkaline phosphatase from Macrobrachium rosenbergii.
AB - To gain insight into the effect of Cu2+ on the activity and structure of alkaline
phosphatase (ALP) from Macrobrachium rosenbergii, the enzyme was purified using
ammonium sulfate fractionation, Sephacryl S-200, and DEAE anion exchange
chromatography. We studied Cu2+-mediated inhibition and aggregation of ALP, and
found that Cu2+ significantly inactivated ALP activity with an IC50 of 1.47 +/-
0.02 mM. We further revealed that Cu2+ reversibly inhibited ALP in a mixed-type
manner with Ki = 0.41 +/- 0.02 mM. Time-interval kinetics showed that the
inhibition followed first-order reaction kinetics. This process was associated
with conformational changes and significant transient free-energy change.
Spectrofluorometry results showed that Cu2+ induced ALP tertiary structural
changes, including the exposure of hydrophobic surfaces that directly induced ALP
aggregation. The results provide new information regarding ALP from M.
rosenbergii.
PMID- 29802923
TI - Novel hybrid biosorbents of agar: Swelling behaviour, heavy metal ions and dye
removal efficacies.
AB - Agar based hybrid biosorbents were synthesized by free radical copolymerization
of acrylamide, NN'-methylenebisacrylamide (NN'MBAAm) on the agar backbone in
presence of free radical initiator (ceric ammonium nitrate (CAN)) via oxidation,
grafting and crosslinking reactions. The resultant hybrid materials have been
characterized by FTIR spectroscopy, elemental analysis, thermal analysis
(TGA/DTA), X-ray diffraction (XRD) and scanning electron microscopy (SEM) taking
agar as a reference. The swelling responses of hybrid materials have been
extensively studied with various pH, time, temperature and ionic strength [NaCl]
of the solution. These hybrid materials have been utilized for selective removal
of heavy metal ions (Fe(III), Cr(III), Ni(II) and Mn(II)) and dye (methylene
blue) through adsorption mechanism by formation of complex between the functional
groups of biosorbent and metal ions & dye. These hybrid materials showed
excellent results with their potential applications for environmental remediation
from the textile effluents and heavy metal ions contaminated water bodies.
PMID- 29802924
TI - Antioxidant and hepatoprotective effects of purified Rhodiola rosea
polysaccharides.
AB - In this study, two polysaccharide fractions (RRP1: Mw = 5.5 kDa, and RRP2: Mw =
425.7 kDa) were isolated from Rhodiola rosea to investigate their antioxidation
and hepatoprotective effects. Physicochemical analysis showed that RRP1 was
composed of mannose, rhamnose, galacturonic acid, glucose, galactose and
arabinose with a relative molar ratio of 0.69:0.11:0.15:1:0.51:7.5 and RRP2 was
consisted of mannose, rhamnose, galacturonic acid, glucose, galactose and
arabinose (relative molar ratio = 0.15:0.19:1.01:0.18:0.47:1). Periodate
oxidation and Smith degradation analysis revealed that, in RRP1, part of the
arabinose and glucose residues were 1 -> 3,6/1 -> 3/1 -> 2,3/1 -> 3,4/1 -> 2,4/1
> 2,3,4-linked, and the mannose, rhamnose and galactose residues were 1 -> 2,6/1
> 6/1 -> 2/1->/1 -> 4,6/1 -> 4-linked. In RRP2, the rhamnose, glucose and
galactose residues were linked by 1 -> 3,6/1 -> 3/1 -> 2,3/1 -> 3,4/1 -> 2,4/1 ->
2,3,4 linkages, and the arabinose and mannose residues were 1 -> 2/1 -> 6/1 -> 4
linked. The methylation analysis confirmed the structure information of the two
fractions. Importantly, fraction RRP1 demonstrated stronger antioxidative
activities than RRP2 by scavenging DPPH, hydroxyl and superoxide anion radicals
in vitro. Correspondently, RRP1 showed more significant effects than RRP2 on
decreasing the levels of ALT, AST and MDA, and increasing the GSH, SOD and CAT
levels in the CCl4-treated mice. These data demonstrated that the polysaccharide
RRP1 could be developed as a promising candidate for preventing and treating
liver damage induced by toxic chemicals.
PMID- 29802925
TI - Structural analysis, molecular docking and molecular dynamics of an edematogenic
lectin from Centrolobium microchaete seeds.
AB - Lectins represent a class of proteins or glycoproteins capable of reversibly
binding to carbohydrates. Seed lectins from the Dalbergieae tribe (Leguminosae)
have structural variability, carbohydrate specificity, and biological effects,
such as inflammation, vasorelaxation and cancer antigen binding. To
comprehensively address these factors, the present work aimed to establish and
characterize the three-dimensional structure of Centrolobium microchaete lectin
(CML) by homology modeling, investigate protein-carbohydrate interactions and
evaluate its inflammatory effect on mice. Molecular docking was performed to
analyze interactions of the lectin with monosaccharides, disaccharides and N
glycans. Two dimannosides, methyl mannose-1,3-alpha-D-mannose (MDM) and mannose
1,3-alpha-D-mannose (M13), were used in molecular dynamics (MD) simulations to
study the behavior of the carbohydrate-recognition domain (CRD) over time.
Results showed an expanded domain within which hydrophobic interactions with the
methyl group in the MDM molecule were established, thus revealing novel
interactions for mannose-specific Dalbergieae lectins. To examine its biological
activities, CML was purified in a single step by affinity chromatography on
Sepharose-mannose matrix. The lectin demonstrated inflammatory response in the
paw edema model and stimulated leukocyte migration to the animal peritoneal
cavities, an effect elicited by CRD. For the first time, this work reports the
molecular dynamics of a lectin from the Dalbergieae tribe.
PMID- 29802927
TI - Collagen promotes matrix vesicle-mediated mineralization by vascular smooth
muscle cells.
AB - Vascular calcification (VC) is a hallmark of atherosclerotic plaques.
Calcification of advanced plaques shares common features with endochondral
ossification of long bones and appears to be protective. On the other hand,
microcalcification of early plaques, which is poorly understood, is thought to be
harmful. Tissue-nonspecific alkaline phosphatase (TNAP) and collagen are the two
proteins necessary for physiological mineralization. Here, we demonstrate the
presence of membrane-bound TNAP, detected by immunofluorescence, that seems to
form clusters on the plasma membrane of vascular smooth muscle cells (VSMCs)
cultured in mineralizing conditions. We observed that TNAP activity and
mineralization were increased when VSMCs were cultured in the presence of
ascorbic acid (AA) and beta-glycerophosphate (beta-GP). Increased TNAP activity
was observed in whole cell lysates, total membrane fractions and, more
particularly, in matrix vesicles (MVs). We have shown that TNAP-enriched MVs
released from VSMCs subjected to collagenase contained more apatite-like mineral
than the less TNAP-rich/TNAP-enriched vesicles isolated without collagenase
treatment. These results suggest a role for collagen in promoting calcification
induced by TNAP in atherosclerotic plaques.
PMID- 29802926
TI - Two heteropolysaccharides from Isaria cicadae Miquel differ in composition and
potentially immunomodulatory activity.
AB - Two novel heteropolysaccharides (JCH-1 and JCH-2) with molecular weights of 30.9
and 555.3 kDa were first extracted, isolated and purified from Isaria cicadae
Miquel (I. Miquel). Monosaccharide analysis showed that JCH-1 and JCH-2 were
composed of mannose, glucose and galactose with different monosaccharide ratio.
In addition, JCH-1 had higher contents of sulfated and uronic acid compared to
JCH-2. Based on MTT assay, JCH-1 and JCH-2 could markedly promote the
proliferation of RAW264.7 cells and exhibit no cytotoxicity at a specific
concentration range. The immunomodulatory assay exhibited that JCH-1 and JCH-2
could significantly enhance the viability of macrophage cells, and promote the
release of NO, IL-6 and TNF-alpha. Furthermore, the immunomodulatory activity of
JCH-1 was significantly better than that of JCH-2. These results proposed that I.
Miquel had two polysaccharide fractions with different composition and JCH-1 is
better to be developed as a functional food with the better immunomodulator
activity.
PMID- 29802928
TI - Functional modeling of the MnCAT active site with a dimanganese(III) complex of
an unsymmetrical polydentate N3O3 ligand.
AB - A new diMnIII complex, [Mn2L(OAc)2(H2O)](BPh4).3H2O (1), obtained with the
unsymmetrical N3O3-ligand H3L = 1-[N-(2-pyridylmethyl),N-(2-hydroxybenzyl)amino]
3-[N'-(2-hydroxybenzyl),N'-(benzyl)amino]propan-2-ol, has been prepared and
characterized. The unsymmetrical hexadentate ligand L3- leads to coordination
dissymmetry (dissimilar donor atoms) around each Mn ion (N2O4 and NO4(solvent),
respectively) leaving one labile site on one of the two Mn ions that facilitates
interaction of the metal center with H2O2, as in Mn catalase. 1 is able to
catalyze H2O2 disproportionation in acetonitrile, with second-order rate constant
kcat = 23.9(2) M-1 s-1. The accessibility of the MnII2 state and the closeness of
the two one-electron reduction processes suggest 1 employs MnIII2/MnII2 oxidation
states for catalysis.
PMID- 29802929
TI - Resveratrol interrupts the pro-invasive communication between cancer associated
fibroblasts and cholangiocarcinoma cells.
AB - Cholangiocarcinoma (CCA), the cancer arising from the epithelial cells of bile
ducts, is a prototype of inflammatory-driven cancer. Cytokines released by cancer
associated fibroblasts (CAFs) play a pivotal role in CCA progression, driving the
epigenetic Epithelial-to-Mesenchymal transition and the growth and
metastasization of CCA cells. Consistently, the conditioned medium from CCA
derived CAFs further stimulated the secretion of IL-6, and to a lesser extent of
IL-8, by CCA cells. CCA has a poor prognosis, because of late diagnosis and of
high resistance to radio- and chemo-therapy of CCA cells. Targeting the CAFs and
their secretion could be an alternative option. We found that while IL-6 indeed
promoted the cell migration of invasive CCA cells, the nutraceutical Resveratrol
strongly counteracted this effect both in CCA cells and in immortalized
cholangiocytes. More importantly, here we show that Resveratrol has the potential
to abrogate the secretion of IL-6 by CAFs. While the conditioned medium from CAFs
strongly induced IL-6 mediated motility of CCA cells, the conditioned medium from
CAFs pre-treated with Resveratrol completely halted cancer cell motility and
reverted the N-to E-cadherin switch in migrating cells. This effect was
associated with stimulation of autophagy in the cancer cells. This is the first
demonstration that CAFs secretory products directly affect the regulation of
autophagy and consequently the behavior of CCA cells, and that a nutraceutical
may revert the malignant phenotype of cancer cells by acting on CAFs metabolism
and secretion.
PMID- 29802930
TI - Oral administration of edelfosine encapsulated lipid nanoparticles causes
regression of lung metastases in pre-clinical models of osteosarcoma.
AB - Osteosarcoma (OS) is the most frequent paediatric bone cancer, responsible for 9%
of all cancer-related deaths in children. In this paper, a new strategy based on
delivering edelfosine (ET) in lipid nanoparticles (LN) was explored in order to
target the primary tumour and eliminate metastases. The in vitro and in vivo
efficacy of the free drug, drug loaded into lipid nanoparticles (ET-LN) and
doxorubicin (DOX) against osteosarcoma (OS) cells was analysed. ET and ET-LN
decreased the growth of OS cells in vitro in a time- and dose-dependent manner.
Interestingly, the uptake of ET and ET-LN was lower when OS cells were pre
treated with DOX. In vivo studies revealed that ET and ET-LN slowed down the
primary tumour growth in two OS models. However, the combination of both drugs
showed no additional anti-tumour effect. Importantly, ET-LN successfully
prevented the metastatic spread of OS cells from the primary tumour to the lungs.
On the whole, ET-LN are a promising candidate for OS chemotherapy.
PMID- 29802931
TI - Calmodulins from Schistosoma mansoni: Biochemical analysis and interaction with
IQ-motifs from voltage-gated calcium channels.
AB - The trematode Schistosoma mansoni is a causative agent of schistosomiasis, the
second most common parasitic disease of humans after malaria. Calcium homeostasis
and calcium-mediated signalling pathways are of particular interest in this
species. The drug of choice for treating schistosomiasis, praziquantel, disrupts
the regulation of calcium uptake and there is interest in exploiting calcium
mediated processes for future drug discovery. Calmodulin is a calcium sensing
protein, present in most eukaryotes. It is a critical regulator of processes as
diverse as muscle contraction, cell division and, partly through interaction with
voltage-gated calcium channels, intra-cellular calcium concentrations. S. mansoni
expresses two highly similar calmodulins - SmCaM1 and SmCaM2. Both proteins
interact with calcium, manganese, cadmium (II), iron (II) and lead ions in native
gel electrophoresis. These ions also cause conformational changes in the proteins
resulting in the exposure of a more hydrophobic surface (as demonstrated by
anilinonaphthalene-8-sulfonate fluorescence assays). The proteins are primarily
dimeric in the absence of calcium ions, but monomeric in the presence of this
ion. Both SmCaM1 and SmCaM2 interact with a peptide corresponding to an IQ-motif
derived from the alpha-subunit of the voltage-gated calcium channel SmCav1B
(residues 1923-1945). Both proteins bound with slightly higher affinity in the
presence of calcium ions. However, there was no difference between the affinities
of the two proteins for the peptide. This interaction could be antagonised by
chlorpromazine and trifluoperazine, but not praziquantel or thiamylal.
Interestingly no interaction could be detected with the other three IQ-motifs
identified in S. mansoni voltage-gated ion calcium channels.
PMID- 29802932
TI - Application of an In Vitro Dissolution/Permeation System to Early Screening of
Oral Formulations of Poorly Soluble, Weakly Basic Drugs Containing an Acidic pH
Modifier.
AB - This study aimed to evaluate the usefulness of the dissolution/permeation system
(D/P system) as an in vitro tool for early screening of oral formulations of
weakly basic drugs containing an acidic pH-modifier. Dipyridamole, having a
prominent pH-dependent solubility, was used as a model drug, and various granules
containing different amounts of fumaric acid were prepared. Prepared granules
were administered orally to hypochlorhydria model rats. It was confirmed that
fumaric acid improved the absorption of dipyridamole depending on its amount in
the granules. Separately, dissolution and permeation of dipyridamole were
observed in vitro in the D/P system. When using a medium with a low buffer
capacity which mimicked the human intestinal fluid, rank order of the permeated
amount of dipyridamole from various granules in the D/P system did not correlate
with its absorption in hypochlorhydric rats. In contrast, when applying a medium
with high buffer capacity, the permeated amount in the D/P system well reflected
the effects of fumaric acid on the in vivo absorption of dipyridamole. In
conclusion, by setting appropriate experimental protocols according to the
properties of test compounds and formulations, D/P system can be a potent in
vitro tool to predict in vivo performance of oral formulations.
PMID- 29802933
TI - Dibucaine in Ionic-Gradient Liposomes: Biophysical, Toxicological, and Activity
Characterization.
AB - Administration of local anesthetics is one of the most effective pain control
techniques for postoperative analgesia. However, anesthetic agents easily diffuse
into the injection site, limiting the time of anesthesia. One approach to prolong
analgesia is to entrap local anesthetic agents in nanostructured carriers (e.g.,
liposomes). Here, we report that using an ammonium sulphate gradient was the best
strategy to improve the encapsulation (62.6%) of dibucaine (DBC) into liposomes.
Light scattering and nanotracking analyses were used to characterize vesicle
properties, such as, size, polydispersity, zeta potentials, and number. In vitro
kinetic experiments revealed the sustained release of DBC (50% in 7 h) from the
liposomes. In addition, in vitro (3T3 cells in culture) and in vivo (zebrafish)
toxicity assays revealed that ionic-gradient liposomes were able to reduce DBC
cyto/cardiotoxicity and morphological changes in zebrafish larvae. Moreover, the
anesthesia time attained after infiltrative administration in mice was longer
with encapsulated DBC (27 h) than that with free DBC (11 h), at 320 MUM (0.012%),
confirming it as a promising long-acting liposome formulation for parenteral drug
administration of DBC.
PMID- 29802934
TI - Characterization of CYP2C Induction in Cryopreserved Human Hepatocytes and Its
Application in the Prediction of the Clinical Consequences of the Induction.
AB - CYP2C enzymes play key roles in drug metabolism, and clinical drug-drug
interactions caused by CYP2C induction have been reported. The aim of this study
was to establish a method to predict the potency of CYP2C inductions considering
the mechanism. We first investigated the relations of CYP2C induction with CYP3A4
or CYP2B6 induction in human hepatocytes after 48-h exposure with 19 inducers.
The fold-induction values of CYP2C8 and CYP2C9 were well correlated with those of
CYP3A4, whereas the inducers were separated into 2 groups showing different
correlations with CYP2B6 induction for CYP2C8 and CYP2C9 induction. In the
regression models established, the fold-induction values of CYP2C8 and CYP2C9
were well expressed as the functions of those of CYP3A4 and CYP2B6, while no such
obvious correlation was observed for CYP2C19 induction. These results suggest
that CYP2Cs are not simply coinduced with CYP3A4 and that CYP2C8 and CYP2C9
inductions are regulated by both pregnane X receptor and constitutive androstane
receptor with different contributions. Finally, simple correlations were proposed
using the experimental Emax values obtained and plasma concentrations of CYP2C9
substrates from the literature, and positive correlations were observed. These
data provide methods to estimate the clinical impact of CYP2C9 induction from in
vitro data.
PMID- 29802935
TI - A third perspective on the effects of general health checks may provide a less
biased estimate. Author response.
PMID- 29802936
TI - A third perspective on the effects of general health checks may provide a less
biased estimate (letter commenting J Clin Epidemiol 2016;71:120-2).
PMID- 29802937
TI - Apigenin loaded nanoparticle delayed development of hepatocellular carcinoma in
rats.
AB - Hepatocellular carcinoma (HCC) is one of the major causes of cancer related death
globally. Apigenin, a dietary flavonoid, possesses anti-tumor activity against
HCC cells in-vitro. Development, physicochemical characterization of apigenin
loaded nanoparticles (ApNp), biodistribution pattern and pharmacokinetic
parameters of apigenin upon intravenous administration of ApNp, and effect of
ApNp treatment in rats with HCC were investigated. Apigenin loaded nanoparticles
had a sustained drug release pattern and successfully reached the hepatic cancer
cells in-vitro as well as in liver of carcinogenic animals. ApNp predominantly
delayed the progress of HCC in chemical induced hepatocarcinogenesis in rats.
Quantification of apigenin by liquid chromatography-mass spectroscopy (LC-MS/MS)
showed that apigenin availability significantly increased in blood and liver upon
ApNp treatment. Apigenin loaded nanoparticle delivery substantially controlled
the severity of hepatocellular carcinoma and could be a future hope for lingering
the survival in hepatic cancer patients.
PMID- 29802938
TI - Differential gene regulatory plasticity between upper and lower layer cortical
excitatory neurons.
AB - Neocortical projection neurons consist of intracortical connected upper layer
(UL, layer II-IV) neurons and subcortical connected lower layer (LL, layer V-VI)
neurons. Afferent activity from the thalamus regulates layer-specific gene
expression during postnatal development, which is critical for the formation of
proper neocortical cytoarchitecture. Here, we show that activity-dependent gene
regulation is confined to UL cortical neurons, but not LL neurons, and that this
distinction is likely due to epigenetic modifications of chromatin. We found that
the immediate early genes (IEGs), EGR1 and c-FOS, are downregulated in all
cortical laminar layers in the absence of afferent activity in vivo.
Transcriptional assays demonstrated that EGR1 and c-FOS are able to bind to the
promoters of UL- and LL-specific genes to induce transcription. Furthermore, we
discovered that LL neurons express higher levels of heterochromatin markers, such
as H3K9m3 and H4K20m3, compared to UL neurons. Our results suggest that
differential epigenetic modifications of chromatin is an intrinsic mechanism that
underlies the different sensitivities of cortical neurons to activity-dependent
gene regulation.
PMID- 29802939
TI - Depressed mitochondrial function and electron transport Complex II-mediated H2O2
production in the cortex of type 1 diabetic rodents.
AB - AIMS: Abnormalities in mitochondrial function under diabetic conditions can lead
to deficits in function of cortical neurons and their support cells exhibiting a
pivotal role in the pathogenesis of several neurodegenerative disorders,
including Alzheimer's disease. We aimed to assess mitochondrial respiration rates
and membrane potential or H2O2 generation simultaneously and expression of
proteins involved in mitochondrial dynamics, ROS scavenging and AMPK/SIRT/PGC
1alpha pathway activity in cortex under diabetic conditions. METHODS: Cortical
mitochondria from streptozotocin (STZ)-induced type 1 diabetic rats or mice, and
aged-matched controls were used for simultaneous measurements of mitochondrial
respiration rates and mitochondrial membrane potential (mtMP) or H2O2 using
OROBOROS oxygraph. Measurements of enzymatic activities of respiratory complexes
were performed using spectophotometry. Protein levels in cortical mitochondria
and homogenates were determined by Western blotting. RESULTS: Mitochondrial
coupled respiration rates and FCCP-induced uncoupled respiration rates were
significantly decreased in mitochondria of cortex of STZ-diabetic rats compared
to controls. The mtMP in the presence of ADP was significantly depolarized and
succinate-dependent respiration rates and H2O2 were significantly diminished in
cortical mitochondria of diabetic animals compared to controls, accompanied with
reduced expression of CuZn- and Mn-superoxide dismutase. The enzymatic activities
of Complex I, II, and IV and protein levels of certain components of Complex I
and II, mitofusin 2 (Mfn2), dynamin-related protein 1 (DRP1), P-AMPK, SIRT2 and
PGC-1alpha were significantly diminished in diabetic cortex. CONCLUSION: Deficits
in mitochondrial function, dynamics, and antioxidant capabilities putatively
mediated through sub-optimal AMPK/SIRT/PGC-1alpha signaling, are involved in the
development of early sub-clinical neurodegeneration in the cortex under diabetic
conditions.
PMID- 29802940
TI - Puerarin attenuates palmitate-induced mitochondrial dysfunction, impaired
mitophagy and inflammation in L6 myotubes.
AB - AIMS: High level of saturated fatty acids leads to mitochondrial dysfunction and
inflammation in the development of insulin resistance in skeletal muscle. We
recently found that puerarin improved impaired insulin signaling in skeletal
muscle in diabetic animals and in myotubes in vitro. However, whether puerarin
can act directly on muscle cells to alleviate lipid-induced mitochondrial
dysfunction and inflammation remains obscure. This study was conducted to analyze
the attributive properties of puerarin against mitochondrial dysfunction and
inflammation in skeletal muscle cells with insulin resistance. MAIN METHODS: The
effects of puerarin on mitochondrial biogenesis, oxidative phosphorylation,
dynamics of fusion, fission and mitophagy, oxidative stress, as well as
inflammatory response and insulin sensitivity in L6 myotubes treated with
palmitate were examined. KEY FINDINGS: Puerarin pretreatment improve insulin
sensitivity and prevented muscle cells from palmitate-induced mitochondrial
dysfunction manifested by the increases of complex I activity, mitochondrial
membrane potential and ATP generation, and the decrease of reactive oxygen
species (ROS) production. Augmented expression of genes involved in mitochondrial
biogenesis, oxidative phosphorylation, and the detoxification of ROS were also
observed upon puerarin supplementation. Moreover, puerarin modulated
mitochondrial fusion and fission, and rescued palmitate-impaired mitophagy via
phosphatase and tensin homolog-induced putative kinase 1(PINK1)/Parkin pathway.
In addition, puerarin attenuated palmitate-induced inflammation through the
inhibition of toll-like receptor 4/nuclear factor-kappaB signaling pathway.
SIGNIFICANCE: Our findings indicated that puerarin could act directly on muscle
cells to attenuate palmitate-induced mitochondrial dysfunction, impaired
mitophagy and inflammatory response, thereby contributing to the improvement of
insulin sensitivity.
PMID- 29802941
TI - Exercise induced improvements in insulin sensitivity are concurrent with reduced
NFE2/miR-432-5p and increased FAM3A.
AB - AIMS: Little is known regarding whether the NFE2/miR-423-5p and FAM3A-ATP-Akt
pathway in liver mediates exercise allured alleviation of insulin resistance
connected with diet-induced obesity. This research inquired the influence of
exercise on liver insulin sensitivity and whole body insulin resistance in high
fat diet fed rats. MATERIALS AND METHODS: Forty male Sprague-Dawley rats at seven
week-old were assigned to four groups at random: standard diet as normal control
group (NC, n = 10), high-fat diet group (HFD, n = 10), high-fat diet with chronic
exercise intervention group (HFD-CE, n = 10) and high-fat diet with acute
exercise intervention group (HFD-AE, n = 10). KEY FINDINGS: Compared with rats
fed with a standard diet, eight-week high-fat diet feeding lead to elevated body
weight, visceral fat content and serum FFAs, and decreased insulin sensitivity
index. Moreover, high-fat diet enhanced NFE2 protein expression and miR-423-5p
level, decreased FAM3A mRNA and protein expression, ATP level and Akt
phosphorylation in liver. In contrast, physical exercise, both chronic and acute
exercise alleviated whole body insulin resistance, reduced hepatic NFE2 and miR
423-5p expression, and serum FFAs level, meanwhile enhanced FAM3A mRNA and
protein expression, ATP level and Akt phosphorylation in liver. The current
findings indicated that exercise in diet-induced obesity, both chronic and acute,
induce a momentous regulation in NFE2/miR-423-5p and FAM3A-ATP-Akt pathway in
liver, and improve hepatic insulin sensitivity and whole body insulin resistance.
SIGNIFICANCE: All these results supply crucial evidence in our comprehending of
the molecular mechanism that connected exercise to an alleviation of insulin
resistance.
PMID- 29802942
TI - alpha-Lipoic acid inhibits the migration and invasion of breast cancer cells
through inhibition of TGFbeta signaling.
AB - AIMS: Invasion and metastasis are the main cause of mortality in breast cancer.
Hence, novel therapeutic interventions with high specificity toward invasion and
metastasis are necessary. alpha-Lipoic acid showed antiproliferative and
cytotoxic effects on several cancers including breast cancer. However, the effect
of lipoic acid on breast cancer metastasis remains unclear. MAIN METHODS: In the
present study, we examined the effects of lipoic acid on the migration and
invasion of MDA-MB-231 and 4T1 breast cancer cells. KEY FINDINGS: Our data showed
that lipoic acid effectively inhibited the colony forming ability of highly
invasive MDA-MB-231 and 4T1 cells. Moreover, the nontoxic concentrations of
lipoic acid significantly reduced the migration of breast cancer cells. Lipoic
acid also inhibited the TGFbeta-induced angiopoietin-like 4 (ANGPTL4) expression
and reduced the activity of matrix metalloproteinase-9 (MMP-9), an enzyme
involved in invasion and metastasis, in both the cell lines. The inhibition of
cell migration by lipoic acid is accompanied by the downregulation of FAK, ERK1/2
and AKT phosphorylation, and inhibition of nuclear translocation of beta-catenin.
SIGNIFICANCE: Our data demonstrated that lipoic acid inhibited the migration and
invasion of metastatic breast cancer cells at least in part through inhibiting
ERK1/2 and AKT signaling. Thus, our findings show that the inhibition of TGFbeta
signaling is a potential mechanism for the anti-invasive effects of lipoic acid.
PMID- 29802943
TI - Cellular adverse actions of dibromoacetonitrile, a by-product in water bacterial
control, at sublethal levels in rat thymocytes.
AB - The aim of this study was to investigate the effects of dibromoacetonitrile
(DBAN), a by-product in water bacterial control, at sublethal concentrations on
rat thymocytes, by using a cytometric technique with appropriate fluorescent
dyes. By using this method, the possibility that DBAN induces cellular actions
related to oxidative stress was assessed. DBAN reduced the content of cellular
nonprotein thiols under Zn2+-free conditions. It elevated the intracellular level
of Zn2+, being independent from external Zn2+. DBAN increased cell vulnerability
to the cytotoxic action of hydrogen peroxide. These actions of DBAN were likely
related to oxidative stress. DBAN is formed by the reaction of bromides and
chlorinated oxidants during water disinfection. Hydrolysis of 2,2-dibromo-3
nitrilopropionamide, an antimicrobial used in hydraulic fracturing fluids for
production of shale gas and oil, produces DBAN. Therefore, the concern regarding
the levels of DBAN in industrial water systems is necessary to avoid the
environmental risk to humans and wild mammals.
PMID- 29802944
TI - Urinary levels of enniatin B and its phase I metabolites: First human pilot
biomonitoring study.
AB - Enniatins (Enns) are mycotoxins produced by Fusarium spp. and are widely
distributed contaminants of cereals and derivate products. Among the different
identified enniatins, Enn B is the most relevant analogue in cereals in Europe.
Therefore, the aim of this study was to investigate for the first time the
occurrence of Enn B and Enn B phase I metabolites in 300 human urine samples
throughout an ultrahigh-performance liquid chromatography-high resolution mass
spectrometry (UHPLC-Q-Orbitrap HRMS) methodology. Three different sample
preparation procedures were evaluated and salting-out liquid-liquid extraction
showed satisfactory validation results. Enn B was quantified in 83.7% of samples
ranging from 0.006 to 0.391 ng/mL (average content: 0.016 ng/mL). In line with
the in vitro observations with human liver microsomes, in the here analyzed
samples the Enn B monooxygenated, N-demethylated and dioxygenated metabolites
were tentatively found in 87.7%, 96.3% and 6.7% of samples. The data of this
pilot biomonitoring survey indicate a frequent intake of enniatins in Italy,
supporting further toxicological studies to provide better basis for
understanding their potential effects in humans.
PMID- 29802945
TI - Phytochemicals of herbs and spices: Health versus toxicological effects.
AB - Phytochemicals are bioactive plant compounds that can be used as antimicrobial,
antibacterial, anticancer agents and are reported to prevent cancer,
cardiovascular and inflammatory diseases. Herbs and spices are rich in
phytochemicals and can be consumed or used traditionally for medical or dietary
purposes since the ancient times. However, there may be serious health risks for
some population groups such as pregnant women and infants in the case of their
unconscious and uncontrolled consumption. Several in vivo and in vitro studies
related with the toxicological effects of phytochemicals in herbs and spices
created awareness among consumers. These studies indicate the dose dependent
effects of phytochemicals in herbs and spices showing toxicological effects at
high doses whereas can also be health promoting at lower doses. In this review,
two faces of herbs and spices were evaluated in every aspect.
PMID- 29802946
TI - Phytochemicals, pharmacology, clinical application, patents, and products of
Amomi fructus.
AB - Amomi fructus (A. fructus) (Sharen) is a well-known traditional Chinese medicine
widely used to treat gastrointestinal diseases. It has high medical and economic
values, which have been confirmed both in vitro and in vivo studies. This review
highlights the phytochemicals, pharmacology, clinical application, patents, and
products of A. fructus. More than 100 phytochemicals have been isolated and
identified from A. fructus, mainly including volatile oils, saponins, flavonoids,
organic acids, inorganic ingredients, and polysaccharides. The main pharmacology
of gastrointestinal protection, anti-inflammatory activity, analgesic activity,
antidiarrheal activity, antibacterial activity, anti-microbial activity and
hypoglycemic activity have been confirmed. The main clinical applications include
functional digestion disorder, gastritis, helicobacter pylori infection in
children and treatment of mastitis. There are 23 patents and 405 different drug
products of A. fructus.
PMID- 29802948
TI - The P2X4 purinergic receptor regulates hepatic myofibroblast activation during
liver fibrogenesis.
AB - BACKGROUND & AIMS: Liver fibrosis is characterized by the accumulation of
extracellular matrix produced by hepatic myofibroblasts (hMF), the activation of
which is critical to the fibrogenic process. Extracellular ATP, released by dying
or stressed cells, and its purinergic receptors, constitute a powerful signaling
network after injury. Although the purinergic receptor P2X4 (P2RX4) is highly
expressed in the liver, its functions in hMF had never been investigated during
liver fibrogenesis. METHODS: In vivo, bile duct ligation was performed and
methionine- and choline-deficient diet administered in wild-type and P2x4 knock
out (P2x4-KO) mice. In vitro, hMF were isolated from mouse (wild-type and P2x4
KO) and human liver. P2X4 pharmacological inhibition (in vitro and in vivo) and
P2X4 siRNAs (in vitro) were used. Histological, biochemical and cell culture
analysis allowed us to study P2X4 expression and its involvement in the
regulation of fibrogenic and fibrolytic factors, as well as of hMF activation
markers and properties. RESULTS: P2X4 genetic invalidation or pharmacological
inhibition protected mice from liver fibrosis and hMF accumulation after bile
duct ligation or methionine- and choline-deficient diet. Human and mouse hMFs
expressed P2X4, mainly in lysosomes. Invalidation of P2X4 in human and mouse hMFs
blunted their activation marker expression and their fibrogenic properties.
Finally, we showed that P2X4 regulates calcium entry and lysosomal exocytosis in
hMF, impacting on ATP release, profibrogenic secretory profile, and transcription
factor activation. CONCLUSION: P2X4 expression and activation is critical for hMF
to sustain their activated and fibrogenic phenotype. Therefore, the inactivation
of P2X4 may be of therapeutic interest during liver fibrotic diseases. LAY
SUMMARY: During chronic injury, the liver often repairs with fibrotic tissue,
which impairs liver function, and for which there is currently no treatment. We
found that a previously unexplored pathway involving the purinergic receptor
P2X4, can modulate fibrotic liver repair. Therefore, this receptor could be of
interest in the development of novel therapies for fibrotic liver diseases.
PMID- 29802947
TI - Macrophages contribute to the pathogenesis of sclerosing cholangitis in mice.
AB - BACKGROUND & AIMS: Macrophages contribute to liver disease, but their role in
cholestatic liver injury, including primary sclerosing cholangitis (PSC), is
unclear. We tested the hypothesis that macrophages contribute to the pathogenesis
of, and are therapeutic targets for, PSC. METHODS: Immune cell profile, hepatic
macrophage number, localization and polarization, fibrosis, and serum markers of
liver injury and cholestasis were measured in an acute (intrabiliary injection of
the inhibitor of apoptosis antagonist BV6) and chronic (Mdr2-/- mice) mouse model
of sclerosing cholangitis (SC). Selected observations were confirmed in liver
specimens from patients with PSC. Because of the known role of the CCR2/CCL2 axis
in monocyte/macrophage chemotaxis, therapeutic effects of the CCR2/5 antagonist
cenicriviroc (CVC), or genetic deletion of CCR2 (Ccr2-/- mice) were determined in
BV6-injected mice. RESULTS: We found increased peribiliary pro-inflammatory (M1
like) and alternatively-activated (M2-like) monocyte-derived macrophages in PSC
compared to normal livers. In both SC models, genetic profiling of liver immune
cells identified a predominance of monocytes/macrophages; immunohistochemistry
confirmed peribiliary monocyte-derived macrophage recruitment (M1>M2-polarized),
which paralleled injury onset and was reversed upon resolution in acute SC mice.
PSC, senescent and BV6-treated human cholangiocytes released monocyte
chemoattractants (CCL2, IL-8) and macrophage-activating factors in vitro.
Pharmacological inhibition of monocyte recruitment by CVC treatment or CCR2
genetic deletion attenuated macrophage accumulation, liver injury and fibrosis in
acute SC. CONCLUSIONS: Peribiliary recruited macrophages are a feature of both
PSC and acute and chronic murine SC models. Pharmacologic and genetic inhibition
of peribiliary macrophage recruitment decreases liver injury and fibrosis in
mouse SC. These observations suggest monocyte-derived macrophages contribute to
the development of SC in mice and in PSC pathogenesis, and support their
potential as a therapeutic target. LAY SUMMARY: Primary sclerosing cholangitis
(PSC) is an inflammatory liver disease which often progresses to liver failure.
The cause of the disease is unclear and therapeutic options are limited.
Therefore, we explored the role of white blood cells termed macrophages in PSC
given their frequent contribution to other human inflammatory diseases. Our
results implicate macrophages in PSC and PSC-like diseases in mice. More
importantly, we found that pharmacologic inhibition of macrophage recruitment to
the liver reduces PSC-like liver injury in the mouse. These exciting observations
highlight potential new strategies to treat PSC.
PMID- 29802949
TI - Non-invasive prediction of esophageal varices by stiffness and platelet in non
alcoholic fatty liver disease cirrhosis.
AB - BACKGROUND & AIMS: Baveno VI and expanded Baveno VI criteria can avoid the need
for esophagogastroduodenoscopy (EGD) to screen for varices needing treatment
(VNT) in a substantial proportion of compensated patients with viral and/or
alcoholic cirrhosis. This multicenter, cross-sectional study aims to validate
these criteria in patients with compensated cirrhosis due to non-alcoholic fatty
liver disease (NAFLD), accounting for possible differences in liver stiffness
measurement (LSM) values between M and XL probes. METHODS: We assessed 790
patients with NAFLD-related compensated cirrhosis who had EGD within six months
of a reliable LSM, measured by FibroScan(r) using M and/or XL probe. Baveno VI
and expanded Baveno VI criteria were tested. The main variable used to optimize
criteria was the percentage of endoscopies spared, keeping the risk of missing
large VNT below a 5% threshold. RESULTS: LSM was measured by both M and XL probes
(training set) in 314 patients, while only M or XL probe (validation sets) were
used to measure LSM in 338 and 138 patients, respectively. In the training set,
use of Baveno VI and expanded Baveno VI criteria reduced the number of EGD by
33.3% and by 58%, with 0.9% and 3.8% of large esophageal varices missed,
respectively. The best thresholds to rule-out VNT were identified as platelet
count >110,000/mm3 and LSM <30 kPa for M probe, and platelet count >110,000/mm3
and LSM <25 kPa for XL probe (NAFLD cirrhosis criteria). Thus, usage of NAFLD
cirrhosis criteria would have led to an absolute reduction in the number of EGD
screened patients of 34.7% and 10.5% with respect to Baveno VI and expanded
Baveno VI criteria, respectively. CONCLUSION: The new NAFLD cirrhosis criteria,
established for the FibroScan probe, can reduce the use of EGD for screening of
VNT in NAFLD cirrhosis by more than half, with a chance of missing VNT below 5%.
LAY SUMMARY: In non-alcoholic fatty liver disease-related compensated cirrhosis,
the expanded Baveno VI criteria work better than the Baveno VI criteria for
ruling out the presence of varices needing treatment, sparing unnecessary and
invasive screening procedures. New diagnostic criteria for this patient group,
based on liver stiffness measurement and platelet count, and optimized for the
specific FibroScan(r) probe used, work better than both Baveno VI and expanded
Baveno VI criteria. The accuracy of all non-invasive scoring criteria was lower
in non-obese patients.
PMID- 29802950
TI - Applicability of diagnostic constructs for cognitive impairment in patients with
type 2 diabetes mellitus.
AB - AIMS: Type 2 diabetes mellitus (T2DM) is associated with subtle cognitive
changes, but also with more severe stages of cognitive dysfunction, including
mild cognitive impairment (MCI) and dementia. For these severe stages, it is
uncertain which domains are primarily affected and if all patients with
impairment are captured by formal criteria for MCI or dementia. METHODS: Ninety
five patients with T2DM suspected of cognitive impairment, identified through
screening in primary care, underwent neuropsychological examination assessing
five different domains. MCI or dementia were diagnosed using formal criteria.
RESULTS: Forty-seven participants (49%) had impairment on at least one domain,
most often involving memory (30%), information processing speed (22%) and
visuoperception and construction (22%). Of these 47 people, 29 (62%) had multi
domain impairment. Of the 47 participants with objective impairment, 36 (77%) met
criteria for MCI, three (6%) for dementia and eight (17%) met neither diagnosis,
mostly because these patients did not complain about acquired dysfunction.
CONCLUSIONS: This study shows that the clinical diagnostic evaluation of
cognitive impairment in patients with T2DM should take into account that multiple
domains can be affected and that not all patients with objective cognitive
impairment fulfill criteria for MCI or dementia.
PMID- 29802951
TI - Factors associated with attendance for pre-pregnancy care and reasons for non
attendance among women with diabetes.
AB - AIMS: To describe factors associated with the uptake of diabetes-specific pre
pregnancy care (PPC), determine the perceived helpfulness of attending, reasons
for non-attendance and intention to seek PPC in the future. METHODS: A cross
sectional 66-item survey was administered to Australian women with type 1 or type
2 diabetes mellitus (DM) aged 18-50 years. RESULTS: Of 429 eligible women, 54%
reported having attended PPC. In multivariable logistic regression analysis,
having Type 1 DM [adjusted OR 1.89, 95% CI (1.07, 3.33)], being married or in a
defacto relationship [OR 2.43 (95% CI 1.27, 4.65)], tertiary educated [OR 1.91
(95% CI 1.27, 2.88)] or employed [OR 1.80 (95% CI 1.14, 2.82)] were associated
with being more likely to attend PPC. Sixty eight percent (68%) rated attending
PPC as helpful. A lack of awareness about the availability of PPC (48%) and
unplanned pregnancy (47%) were the main reasons for non-attendance. Of women with
future pregnancy plans, 43% were aware of local services offering PPC and 84%
indicated they would attend PPC if available. CONCLUSION: Australian women who
attend PPC differ by type of diabetes and socioeconomic characteristics.
Initiatives are needed to address this disparity and encourage all women with
diabetes to plan and prepare for pregnancy. Reasons reported for non-attendance
suggest that strategies to increase awareness about the availability of diabetes
specific PPC and the risks of unplanned pregnancy are warranted.
PMID- 29802952
TI - The associations between diabetes distress and self-efficacy, medication
adherence, self-care activities and disease control depend on the way diabetes
distress is measured: Comparing the DDS-17, DDS-2 and the PAID-5.
AB - AIMS: To examine whether diabetes distress (DD), when measured by three different
instruments, was associated differently with self-efficacy, self-care activity,
medication adherence and disease control in people with Type 2 diabetes mellitus.
METHODS: A cross-sectional study in three health clinics. DD was assessed with
the 17-item Diabetes Distress Scale, the 2-item DDS-2 (DDS-2) and the 5-item
Problem Areas in Diabetes Scale (PAID-5). Dependent variables included self
efficacy, self-care activities, medication adherence, HbA1c, systolic and
diastolic blood pressure (SBP, DBP). Multiple linear and logistic regression were
used in analyses. RESULTS: In total 338 participants (56% women), with a mean age
of 61 years and diabetes duration of 9.8 years, were included. DDS-2 was an
independent determinant of SBP (beta = 1.89, 95% CI 0.14, 3.64), DBP (beta =
1.19, 95% CI 0.16, 2.21) and blood pressure target (OR = 2.09, 95% CI 1.12,
3.83). PAID-5 was an independent determinant of medication adherence (adjusted
beta = -0.05, 95% CI -0.08, -0.01) and self-care activities (OR = 0.50, 95% CI
0.26, 0.99). CONCLUSIONS: Associations of DD with important aspects of diabetes
care are substantially influenced by confounders and depend on the way DD is
measured. Our findings call for a judicious use of different DD measures in
clinical practice and research. The study is registered on ClinicalTrials.gov
(NCT02730754).
PMID- 29802953
TI - Impact of prolonged neuromuscular electrical stimulation on metabolic profile and
cognition-related blood parameters in type 2 diabetes: A randomized controlled
cross-over trial.
AB - AIMS: This study aimed to examine the effect of prolonged neuromuscular
electrical stimulation (NMES) on the metabolic profile and cognition-related
blood parameters in patients with type 2 diabetes mellitus (T2DM). METHODS:
Fourteen patients with T2DM (63.2 +/- 3.0 years, 76.1 +/- 3.5 kg) participated in
a randomized controlled cross-over study, in which 8-week-long NMES interventions
were performed on both legs. The NMES training protocol consisted of 40-min
sessions, 5 days per week, for 8 weeks. The relative changes in glucose and lipid
profiles, and cognition-related blood parameters were evaluated. RESULTS: NMES
training induced significant changes in the fasting glucose concentration (p <
0.05) and percent body fat (p < 0.05), although there were no significant changes
in HbA1c and blood lipid levels (p >= 0.05). The change in plasma brain-derived
neurotrophic factor (BDNF) levels was significantly higher in the NMES period
than in the control period (p < 0.05). CONCLUSIONS: This study showed that an 8
week NMES training program could induce greater changes in the blood glucose
concentration, percent body fat, and plasma BDNF levels than the control
intervention in patients with T2DM. NMES training might prove to be an
alternative exercise method for patients who might have difficulties in
performing adequate voluntary exercise.
PMID- 29802954
TI - Long term (>1 year) postpartum glucose tolerance status among Indian women with
history of Gestational Diabetes Mellitus (GDM) diagnosed by IADPSG criteria.
AB - AIM: To determine prevalence of long term dysglycemia and its risk factors among
women with history of GDM diagnosed using IADPSG criteria at a tertiary care
hospital in North India. METHODS: Women with GDM diagnosed between 2012 and 2016
were invited. Socio-demographic, anthropometric, medical data were collected and
75 gm OGTT with serum insulin estimation, HbA1c and fasting lipid profile were
done at the hospital visit. RESULTS: Women (N = 267) were tested at 32.5 (+/-4.6)
years of age and at a median (q25-q75) of 20 (12-44) months following the index
delivery. Dysglycemia was found in 57.7% by ADA criteria [Diabetes in 10.5% and
prediabetes in 47.2%]. Risk factors for cardiovascular disease were significantly
more prevalent among these women. On multivariable analysis, HOMA-IR correlated
positively, while insulinogenic index correlated negatively with postpartum
dysglycemia. CONCLUSION: This is possibly the first long term (>1 year) glucose
tolerance outcome study in South Asian women with history of GDM diagnosed by
IADPSG criteria, which demonstrates significantly elevated risk of postpartum
dysglycemia. While the IADPSG criteria identify women with a lower future
conversion to diabetes compared with previous criteria, prediabetes conversion
remains high, thereby offering an opportunity to intervene early and prevent
progression to future diabetes.
PMID- 29802955
TI - Trends in comorbidity burden and treatment patterns in type 2 diabetes:
Longitudinal data from a US cohort from 2006 to 2014.
AB - AIMS: To gather real-world data on treatment characteristics and comorbidity
progression in patients with newly-diagnosed type 2 diabetes (T2D) and evaluate
differences by patient age. METHODS: Retrospective analysis of a US
administrative claims database including 16,950 subjects with newly-diagnosed T2D
in 2006 and a baseline Diabetes Complications Severity Index (DCSI) score of 0.
Patients were categorized by DCSI score at year 8 (0, 1-2, or >=3) and
comparatively analyzed based on demographic variables, drug usage, and diabetes
related comorbidities. RESULTS: Year 8 DCSI score distribution was 0 (29.9%), 1-2
(36.2%), and >=3 (33.9%). The highest DCSI score subgroup (>=3) was characterized
by a significantly greater percentage of males, older age at T2D diagnosis, and
higher Medicare enrollment. DCSI progressed most rapidly in the oldest age group
(>=65). Among all subjects at year 8, insulin use was significantly highest among
subjects with DCSI >=3 compared with those having a lower DCSI. However, for
subjects with DCSI >=3, insulin use was lower among those in the oldest age group
(>=65) relative to younger age groups. CONCLUSIONS: These real-world data suggest
a relationship between age at T2D diagnosis and disease progression based on
comorbidity burden and lower usage of injectable therapies in older patients.
PMID- 29802957
TI - Increased risk of respiratory diseases in adults with Type 1 and Type 2 diabetes.
AB - AIMS: Diabetes is linked with decreases in lung elasticity and in capacity to
transfer carbon monoxide. Systemic inflammation, a common concern with diabetes,
may contribute to airflow obstruction. We examined the association of self
reported diabetes with self-reported respiratory diseases (RDs) among 53,146
adults from the C8 Health Project. METHODS: Participants were categorized into
three groups: Type 1 (T1D, n = 781), Type 2 (T2D, n = 4277), or no diabetes (n =
48,088). ORs (95% CIs) for the association of diabetes with four RDs were
computed: emphysema, chronic obstructive pulmonary disease (COPD), chronic
bronchitis (CB), and asthma. Covariates controlled for were age, sex, estimated
glomerular filtration rate, C-reactive protein, smoking history, BMI, and
perfluorooctonaoic acid (C8). RESULTS: RDs were present in 26%, 21% and 13% of
persons with T1D, T2D, and no diabetes, respectively. In multivariable analyses,
persons with T1D were 62% more likely to have any RD (OR: 1.62, CI: (1.36-1.93)),
while those with T2D were 1.3 times as likely (OR: 1.26, CI: 1.15-1.37)).
Compared to those without diabetes, in those with T1D and T2D diabetes
respectively, ORs (CIs) for COPD were 1.89 (1.38-2.57), 1.45 (1.23-1.71), asthma:
1.51 (1.21-1.87), 1.38 (1.24-1.53), CB: 1.96 (1.57-2.45), 1.35 (1.20-1.52) and
emphysema: 1.25 (0.85-1.82), 1.31 (1.10-1.56). Population attributable risks for
any RDs associated with a history of smoking were 19%, 30%, and 26% for those
with Type 1, Type 2, and no diabetes respectively. CONCLUSIONS: Diabetes, more so
in T1D, appears to increase RD risk. Smoking is an important risk factor, but not
as informative in Type 1 diabetes.
PMID- 29802956
TI - The effect of Ramadan fasting and continuing sodium-glucose co-transporter-2
(SGLT2) inhibitor use on ketonemia, blood pressure and renal function in Muslim
patients with type 2 diabetes.
AB - OBJECTIVE: The effect of Ramadan fasting and continuing sodium-glucose co
transporter-2 (SGLT2) inhibitor use on ketonemia, blood pressure and renal
function in Muslim patients with type 2 diabetes. METHODS: This is a single
centre prospective observational controlled cohort study. Muslim patients aged 21
75 years with type 2 diabetes and estimated glomerular filtration rate (eGFR) >=
45 ml/min/1.73 m2 were eligible if they had no contraindication to observe
Ramadan fasting. Patients in study group were on stable dose of SGLT2 inhibitor
for at least 3 months before enrolment and continued during study period, while
patients in control group were not on SGLT2 inhibitor before and during study
period. All participants attended baseline visit before Ramadan and follow-up
visit during Ramadan. RESULTS: A total of 68 patients of similar baseline
characteristics were included in the study: 35 in study group and 33 in control
group. During Ramadan fasting, patients from study and control group had similar
change in weight (LS mean change of -1.8 versus -1.1 kg, p = 0.205), eGFR (LS
mean change of -6.0 versus -4.2 ml/min/1.73 m2, p = 0.399), sitting systolic BP
(LS mean change of -8.1 versus -10.4 mmHg, p = 0.569), sitting diastolic BP (LS
mean change of -3.7 versus -3.5 mmHg, p = 0.934) and plasma beta-hydroxybutyrate
level (LS mean change of -0.01 versus -0.02 mmol/L, p = 0.649). CONCLUSIONS:
Ramadan fasting was associated with significant changes in weight, BP and eGFR
regardless whether patients were on SGLT2 inhibitor treatment. Continued use of
SGLT2 Inhibitors during Ramadan did not increase ketonemia, nor increase risk of
eGFR deterioration and hypoglycaemia.
PMID- 29802959
TI - Site-specific glycations of apolipoprotein A-I lead to differentiated functional
effects on lipid-binding and on glucose metabolism.
AB - Prolonged hyperglycemia in poorly controlled diabetes leads to an increase in
reactive glucose metabolites that covalently modify proteins by non-enzymatic
glycation reactions. Apolipoprotein A-I (apoA-I) of high-density lipoprotein
(HDL) is one of the proteins that becomes glycated in hyperglycemia. The impact
of glycation on apoA-I protein structure and function in lipid and glucose
metabolism were investigated. ApoA-I was chemically glycated by two different
glucose metabolites (methylglyoxal and glycolaldehyde). Synchrotron radiation and
conventional circular dichroism spectroscopy were used to study apoA-I structure
and stability. The ability to bind lipids was measured by lipid-clearance assay
and native gel analysis, and cholesterol efflux was measured by using lipid-laden
J774 macrophages. Diet induced obese mice with established insulin resistance, L6
rat and C2C12 mouse myocytes, as well as INS-1E rat insulinoma cells, were used
to determine in vivo and in vitro glucose uptake and insulin secretion. Site
specific, covalent modifications of apoA-I (lysines or arginines) led to altered
protein structure, reduced lipid binding capability and a reduced ability to
catalyze cholesterol efflux from macrophages, partly in a modification-specific
manner. The stimulatory effects of apoA-I on the in vivo glucose clearance were
negatively affected when apoA-I was modified with methylglyoxal, but not with
glycolaldehyde. The in vitro data showed that both glucose uptake in muscle cells
and insulin secretion from beta cells were affected. Taken together, glycation
modifications impair the apoA-I protein functionality in lipid and glucose
metabolism, which is expected to have implications for diabetes patients with
poorly controlled blood glucose.
PMID- 29802958
TI - The place of gliclazide MR in the evolving type 2 diabetes landscape: A
comparison with other sulfonylureas and newer oral antihyperglycemic agents.
AB - The sulfonylureas are effective oral glucose-lowering agents with a long history
of clinical use. While all have the same general mechanism of action, their
pharmacokinetic properties are influenced by factors such as dosage, rate of
absorption, duration of action, route of elimination, tissue specificity, and
binding affinity for pancreatic beta-cell receptor. The result is a class of
agents with similar HbA1c-lowering efficacy, but well-documented differences in
terms of effects on hypoglycemia, and cardiovascular and renal safety. This
review examines the differences between currently available sulfonylureas with a
focus on how gliclazide modified release (MR) differs from other members of this
class and from newer oral antihyperglycemic agents in the form of dipeptidyl
peptidase-4 (DPP4) and sodium- glucose cotransporter 2 (SGLT2) inhibitors. The
first part focuses on major outcome trials that have been conducted with the
sulfonylureas and new oral agents. Consideration is then given to factors
important for day-to-day prescribing including efficacy and durability, weight
changes, hypoglycemia, renal effects and cost. Based on current evidence, third
generation sulfonylureas such as gliclazide MR possess many of the properties
desired of a type 2 diabetes drug including high glucose-lowering efficacy, once
daily oral administration, few side effects other than mild hypoglycemia, and
cardiovascular safety.
PMID- 29802960
TI - Protein arginine methyltransferase 5-mediated epigenetic silencing of IRX1
contributes to tumorigenicity and metastasis of gastric cancer.
AB - IRX1 is originally characterized as a tumor suppressor gene of gastric cancer
(GC) by our group based on serially original studies. However, the molecular
regulatory mechanisms of IRX1 are not clear yet. Here, we identified protein
arginine methyltransferase 5 (PRMT5) as a major upstream regulator of IRX1 for
determining GC progression. Expression of PRMT5 was significantly increased in
human GC tissues (433 out of 602 cases, 71.93%) compared with normal gastric
mucosa, and exhibited diagnostic and prognostic potential. Overexpression of
PRMT5 promoted tumorigenicity and metastasis of GC cells, while knockdown of
PRMT5 abrogated tumorigenicity and metastasis of GC cells in vitro and in vivo.
By co-immunoprecipitation and chromatin immunoprecipitation assays, we proved
that PRMT5 elevated methylation levels of tumor suppressor IRX1 promoter via
recruiting DNMT3A at promoter region. Knockdown of PRMT5 in SGC7901 and NCI-N87
cells decreased the recruitment of DNMT3A at IRX1 promoter, and reduced the
methylation level of IRX1 promoter, then re-activated IRX1 expression. Whereas,
overexpression of PRMT5 could epigenetically suppress IRX1 expression. Overall,
PRMT5 promoted tumorigenicity and metastasis of gastric cancer cells via
epigenetic silencing of IRX1. Targeting PRMT5 in GC might inhibit the malignant
characters of GC and drawing a novel therapeutic potential.
PMID- 29802961
TI - Benzodiazepine-refractory status epilepticus, neuroinflammation, and interneuron
neurodegeneration after acute organophosphate intoxication.
AB - Nerve agents and some pesticides such as diisopropylfluorophosphate (DFP) cause
neurotoxic manifestations that include seizures and status epilepticus (SE),
which are potentially lethal and carry long-term neurological morbidity. Current
antidotes for organophosphate (OP) intoxication include atropine, 2-PAM and
diazepam (a benzodiazepine for treating seizures and SE). There is some evidence
for partial or complete loss of diazepam anticonvulsant efficacy when given 30
min or later after exposure to an OP; this condition is known as refractory SE.
Effective therapies for OP-induced SE are lacking and it is unclear why current
therapies do not work. In this study, we investigated the time-dependent efficacy
of diazepam in the nerve agent surrogate DFP model of OP intoxication on seizure
suppression and neuroprotection in rats, following an early and late therapy.
Diazepam (5 mg/kg, IM) controlled seizures when given 10 min after DFP exposure
("early"), but it was completely ineffective at 60 or 120 min ("late") after DFP.
DFP-induced neuronal injury, neuroinflammation, and neurodegeneration of
principal cells and GABAergic interneurons were significantly reduced by early
but not late therapy. These findings demonstrate that diazepam failed to control
seizures, SE and neuronal injury when given 60 min or later after DFP exposure,
confirming the benzodiazepine-refractory SE and brain damage after OP
intoxication. In addition, this study indicates that degeneration of inhibitory
interneurons and inflammatory glial activation are potential mechanisms
underlying these morbid outcomes of OP intoxication. Therefore, novel
anticonvulsant and neuroprotectant antidotes, superior to benzodiazepines, are
desperately needed for controlling nerve agent-induced SE and brain injury.
PMID- 29802963
TI - A mathematical model to mimic the shape of event related
desynchronization/synchronization.
AB - Rhythmic oscillatory activities of the sensory cortex have been observed after a
presentation of a stimulus. This activity first drops dramatically and then
increases considerably that are respectively named event-related
desynchronization (ERD) and event-related synchronization (ERS). There are
several effective factors that can alter the ERD and ERS pattern. In this study,
a mathematical model was presented that produced ERD and ERS pattern in response
to a stimulus. This model works based on the synchronization concepts. The
proposed model provided different suggestions about the reason behind the
relationship between the encoding of incoming sensory information and the
oscillatory activities, effective factors on the characteristics of neuronal
units, and how may these factors affect the amplitude and latency of the ERD and
ERS.
PMID- 29802962
TI - In which patients does lumbar spine trabecular bone score (TBS) have the largest
effect?
AB - BACKGROUND: Lumbar spine TBS, a texture index derived from lumbar spine dual
energy x-ray absorptiometry (DXA) images, enhances fracture prediction. No
studies to date have studied a broad range of clinical variables to determine
which patients might experience the greatest benefit from the use of TBS.
METHODS: Using the Manitoba BMD Registry, we identified 37,176 subjects with
baseline DXA, FRAX(r)-based fracture probability, lumbar spine TBS, and minimum 5
years of observation. Subgroups considered were based on sex, age, body mass
index (BMI), prior fracture, chronic obstructive lung disease (COPD), high
alcohol use, rheumatoid arthritis (RA), high glucocorticoid use, osteoporotic
femoral neck T-score, number of comorbidities, diabetes, secondary osteoporosis,
and prior osteoporosis treatment. Non-traumatic major osteoporotic fractures
(MOF, n = 3741) and hip fractures (HF, n = 1008) were identified using population
based health services data. We analyzed baseline TBS using analysis of covariance
(ANCOVA). FRAX-adjusted hazard ratios (HR) per SD reduction in TBS were estimated
and tested for interactions. Categorical net reclassification improvement (NRI)
was estimated using fixed FRAX-based intervention cut-offs. RESULTS: Adjusted
baseline TBS was significantly lower (p <= 0.001) for women (-4.2%), osteoporotic
hip T-score (-4.0%), COPD (-2.8%), diabetes (-2.6%), high alcohol use (-2.3%),
prior fracture (-2.2%), glucocorticoid use (-1.5%), RA (-0.9%) and secondary
osteoporosis (-0.8%), whereas recent osteoporosis therapy was associated with
greater TBS (+1.5%). HRs per SD reduction in TBS for fracture prediction were
larger for age < 65 vs 65+ (MOF p-interaction = 0.004, HF p-interaction < 0.001),
without vs with prior fracture (MOF p-interaction = 0.003, HF p-interaction =
0.048), without vs with glucocorticoid use (HF p-interaction = 0.029), lower vs
higher comorbidity score (HF p-interaction < 0.001), and without vs with
osteoporosis treatment (MOF p-interaction = 0.005). NRI for using the TBS
adjustment to FRAX in all subjects was 1.2% for MOF (p = 0.002) and 1.7% for HF
(p = 0.016). NRI was greater in subjects age < 65 y (MOF:1.7%, HF:5.6%), no prior
fracture (HF: 2.4%), non-osteoporotic T-score (HF: 3.0%), and high glucocorticoid
use (MOF: 3.9%). CONCLUSION: TBS is sensitive to the effects of multiple risk
factors for fracture. TBS-adjusted fracture risk assessment resulted in
significant improvements for multiple subgroups.
PMID- 29802964
TI - Semi-real-time acquisition for fast pure shift NMR at maximum resolution.
AB - Homonuclear decoupling can give impressive improvements in spectral resolution
when overlapping multiplet structures limit the interpretability of NMR spectra.
General homodecoupling methods ("pure shift NMR") typically use either
interferogram-style acquisition, which is slow but gives optimum resolution, or
real-time acquisition, which is fast but gives broader lines. Here we describe an
alternative data acquisition strategy that allows rapid acquisition without
resolution loss, illustrating the method with band-selective homodecoupling
experiments and demonstrating its advantages over competing methods.
PMID- 29802966
TI - The melanoma genomics managing your risk study: A protocol for a randomized
controlled trial evaluating the impact of personal genomic risk information on
skin cancer prevention behaviors.
AB - BACKGROUND: Reducing ultraviolet radiation (UV) exposure and improving early
detection may reduce melanoma incidence, mortality and health system costs. This
study aims to evaluate the efficacy and cost-effectiveness of providing
information on personal genomic risk of melanoma in reducing UV exposure at 12
months, according to low and high traditional risk. METHODS: In this randomized
controlled trial, participants (target sample = 892) will be recruited from the
general population, and randomized (1:1 ratio, intervention versus control).
Intervention arm participants provide a saliva sample, receive personalized
melanoma genomic risk information, a genetic counselor phone call, and an
educational booklet on melanoma prevention. Control arm participants receive only
the educational booklet. Eligible participants are aged 18-69 years, have
European ancestry and no personal history of melanoma. All participants will
complete a questionnaire and wear a UV dosimeter to objectively measure their sun
exposure at baseline, 1- and 12-month time-points, except 1-month UV dosimetry
will be limited to ~250 participants. The primary outcome is total daily Standard
Erythemal Doses at 12 months. Secondary outcomes include objectively measured UV
exposure for specific time periods (e.g. midday hours), self-reported sun
protection and skin-examination behaviors, psycho-social outcomes, and ethical
considerations surrounding offering genomic testing at a population level. A
within-trial and modelled economic evaluation will be undertaken from an
Australian health system perspective to assess the intervention costs and
outcomes. DISCUSSION: This trial will inform the clinical and personal utility of
introducing genomic testing into the health system for melanoma prevention and
early detection at a population-level. TRIAL REGISTRATION: Australian New Zealand
Clinical Trials Registry ACTRN12617000691347.
PMID- 29802968
TI - Integrating spatial-anatomical regularization and structure sparsity into SVM:
Improving interpretation of Alzheimer's disease classification.
AB - In recent years, machine learning approaches have been successfully applied to
the field of neuroimaging for classification and regression tasks. However, many
approaches do not give an intuitive relation between the raw features and the
diagnosis. Therefore, they are difficult for clinicians to interpret. Moreover,
most approaches treat the features extracted from the brain (for example,
voxelwise gray matter concentration maps from brain MRI) as independent variables
and ignore their spatial and anatomical relations. In this paper, we present a
new Support Vector Machine (SVM)-based learning method for the classification of
Alzheimer's disease (AD), which integrates spatial-anatomical information. In
this way, spatial-neighbor features in the same anatomical region are encouraged
to have similar weights in the SVM model. Secondly, we introduce a group lasso
penalty to induce structure sparsity, which may help clinicians to assess the key
regions involved in the disease. For solving this learning problem, we use an
accelerated proximal gradient descent approach. We tested our method on the
subset of ADNI data selected by Cuingnet et al. (2011) for Alzheimer's disease
classification, as well as on an independent larger dataset from ADNI. Good
classification performance is obtained for distinguishing cognitive normals (CN)
vs. AD, as well as on distinguishing between various sub-types (e.g. CN vs. Mild
Cognitive Impairment). The model trained on Cuignet's dataset for AD vs. CN
classification was directly used without re-training to the independent larger
dataset. Good performance was achieved, demonstrating the generalizability of the
proposed methods. For all experiments, the classification results are comparable
or better than the state-of-the-art, while the weight map more clearly indicates
the key regions related to Alzheimer's disease.
PMID- 29802967
TI - Engendering healthy masculinities to prevent sexual violence: Rationale for and
design of the Manhood 2.0 trial.
AB - Violence against women and girls is an important global health concern. Numerous
health organizations highlight engaging men and boys in preventing violence
against women as a potentially impactful public health prevention strategy.
Adapted from an international setting for use in the US, "Manhood 2.0" is a
"gender transformative" program that involves challenging harmful gender and
sexuality norms that foster violence against women while promoting bystander
intervention (i.e., giving boys skills to interrupt abusive behaviors they
witness among peers) to reduce the perpetration of sexual violence (SV) and
adolescent relationship abuse (ARA). Manhood 2.0 is being rigorously evaluated in
a community-based cluster-randomized trial in 21 lower resource Pittsburgh
neighborhoods with 866 adolescent males ages 13-19. The comparison intervention
is a job readiness training program which focuses on the skills needed to prepare
youth for entering the workforce, including goal setting, accountability, resume
building, and interview preparation. This study will provide urgently needed
information about the effectiveness of a gender transformative program, which
combines healthy sexuality education, gender norms change, and bystander skills
to interrupt peers' disrespectful and harmful behaviors to reduce SV/ARA
perpetration among adolescent males. In this manuscript, we outline the rationale
for and evaluation design of Manhood 2.0. Clinical Trials #: NCT02427061.
PMID- 29802965
TI - Habit formation intervention to prevent obesity in low-income preschoolers and
their mothers: A randomized controlled trial protocol.
AB - BACKGROUND: Low-income and racial/ethnic minority mothers and their young
children are at increased risk for obesity. Lack of access to evidence-based
obesity prevention and treatment services further contributes to these
disparities. METHODS: This two-arm, parallel, randomized controlled trial (RCT)
tests the effectiveness of a simple obesity intervention (HABITS) delivered as
part of ongoing home visitation services, compared to the existing home
visitation services without obesity-related content on mothers' and children's
obesity risks. HABITS focuses on habit formation and modifications of food and
activity cues in the home to support habit formation. Habit formation is focused
on improving five behaviors: 1) fruits/vegetables, 2) fried foods, 3) sugar
sweetened beverages, 4) physical activity and 5) self-monitoring. Participants
will be 298 mothers (>50% African American; 100% low income) and their children
(3-5yo at baseline) enrolled in a home visitation program in central Alabama.
Home visitors will be randomly assigned to deliver the home visitation curriculum
with or without HABITS as part of their weekly home visits for 9 months.
Assessments of mothers (weight, waist circumference, and habit strength of
targeted behaviors), children (rate of weight gain), and the food/activity
household environment will be conducted at enrollment, post-intervention (9
month), and one year post-intervention follow-up. DISCUSSION: This research is
poised to have a substantial impact because the delivery modalities of current
obesity efforts disproportionally restrict the reach and engagement of
underserved, low-income children and their caregivers who are most at-risk for
health and obesity disparities.
PMID- 29802969
TI - Different patterns of cortical maturation before and after 38 weeks gestational
age demonstrated by diffusion MRI in vivo.
AB - Human cortical development during the third trimester is characterised by macro-
and microstructural changes which are reflected in alterations in diffusion MRI
(dMRI) measures, with significant decreases in cortical mean diffusivity (MD) and
fractional anisotropy (FA). This has been interpreted as reflecting increased
cellular density and dendritic arborisation. However, the fall in FA stops
abruptly at 38 weeks post-menstrual age (PMA), and then tends to plateau, while
MD continues to fall, suggesting a more complex picture and raising the
hypothesis that after this age development is dominated by continuing increase in
neural and organelle density rather than alterations in the geometry of dendritic
trees. To test this, we used neurite orientation dispersion and density imaging
(NODDI), acquiring multi-shell, high angular resolution dMRI and measures of
cortical volume and mean curvature in 99 preterm infants scanned between 25 and
47 weeks PMA. We predicted that increased neurite and organelle density would be
reflected in increases in neurite density index (NDI), while a relatively
unchanging geometrical structure would be associated with constant orientation
dispersion index (ODI). As dendritic arborisation is likely to be one of the
drivers of gyrification, we also predicted that measures of cortical volume and
curvature would correlate with ODI and show slower growth after 38 weeks. We
observed a decrease of MD throughout the period, while cortical FA decreased from
25 to 38 weeks PMA and then increased. ODI increased up to 38 weeks and then
plateaued, while NDI rose after 38 weeks. The evolution of ODI correlated with
cortical volume and curvature. Regional analysis of cortical microstructure
revealed a heterogenous pattern with increases in FA and NDI after 38 weeks
confined to primary motor and sensory regions. These results support the
interpretation that cortical development between 25 and 38 weeks PMA shows a
predominant increase in dendritic arborisation and neurite growth, while between
38 and 47 weeks PMA it is dominated by increasing cellular and organelle density.
PMID- 29802970
TI - Comment on: "French adaptation of the new Knee Society Scoring System for total
knee arthroplasty" by Debette C., Parratte S., Maucort-Boulch D., Blanc G., Pauly
V., Lustig S., Servien E., Neyret P., Argenson JN. published in Orthop Traumatol
Surg Res 2014;100(5):531-4.
PMID- 29802971
TI - Reply to the comments by Dr Mahmut Enes Kayaalp.
PMID- 29802972
TI - Human brown adipose tissue: Underestimated target in metabolic disease?
AB - Active brown adipose tissue (BAT) has, since it rediscovery in adult humans in
2009, received much attention for its ability to increase energy expenditure when
activated. By means of mitochondrial uncoupling activity BAT's main function is
to produce heat instead of storing energy such as in white adipose tissue (WAT).
Therefore, BAT is considered a new potential target to treat obesity and the
metabolic syndrome. However, the contribution of this thermogenic tissue is still
a matter of debate among researchers. The aim of this review is to give an
overview of the differences between classical brown adipocytes and inducible
beige adipocytes in humans, and the potential activators of BAT in humans.
Furthermore newly described genetic markers for identification of these two types
of brown adipocytes are examined. Finally, the potential of the current
measurement techniques, and the contribution of BAT activity to whole body energy
expenditure are discussed.
PMID- 29802973
TI - Associations between molecular biomarkers and MR-based cartilage composition and
knee joint morphology: data from the Osteoarthritis Initiative.
AB - OBJECTIVE: The purpose of this study was to assess the associations between
serum/urine biomarkers for osteoarthritis and magnetic resonance (MR) imaging
measures of cartilage composition and joint structure (cartilage, meniscus, and
bone marrow), using MR imaging data from the Osteoarthritis Initiative (OAI).
DESIGN: 141 subjects with Kellgren Lawrence (KL) grades 0-3 in the right knee and
with available serum/urine biomarker assays were selected from the OAI. Cartilage
magnetic resonance imaging (MRI) T2 measurements were performed in the medial
femur, lateral femur, medial tibia, lateral tibia, and patella compartments.
Compartment-specific knee morphologic grading [whole-organ magnetic resonance
imaging score (WORMS)] in the cartilage, meniscus, and bone marrow was also
performed. We focused on associations of serum hyaluronan (sHA), serum cartilage
oligomeric matrix protein (sCOMP), serum matrix metalloproteinase-3 (sMMP3), and
Urine Carboxy-Terminal Telepeptides of Type II Collagen (uCtX-II)) with MRI
parameters (T2, WORMS), assessed using partial correlations adjusted for age,
gender, body mass index (BMI), KL grade in both knees, and diabetes status.
RESULTS: Higher levels of sHA, sMMP3 and sCOMP were correlated (P < 0.05) with T2
of the lateral femur (r = 0.18 to 0.32) and lateral tibia (r = 0.17 to 0.23), and
with average T2 of all knee regions (r = 0.23). uCTXII was correlated with
patellar T2 (r = 0.19, P = 0.04). Among the morphologic measures, sHA and sMMP3
was positively correlated (r = 0.17 to 0.21, P < 0.05) with meniscal damage.
CONCLUSIONS: This study suggests weak, but statistically significant,
correlations between serum biomarkers of OA (sHA, sCOMP, and sMMP3) and MRI T2
measures of cartilage extra-cellular matrix degeneration.
PMID- 29802975
TI - Leveraging electronic health records for clinical research.
AB - Electronic health records (EHRs) can be a major tool in the quest to decrease
costs and timelines of clinical trial research, generate better evidence for
clinical decision making, and advance health care. Over the past decade, EHRs
have increasingly offered opportunities to speed up, streamline, and enhance
clinical research. EHRs offer a wide range of possible uses in clinical trials,
including assisting with prestudy feasibility assessment, patient recruitment,
and data capture in care delivery. To fully appreciate these opportunities,
health care stakeholders must come together to face critical challenges in
leveraging EHR data, including data quality/completeness, information security,
stakeholder engagement, and increasing the scale of research infrastructure and
related governance. Leaders from academia, government, industry, and professional
societies representing patient, provider, researcher, industry, and regulator
perspectives convened the Leveraging EHR for Clinical Research Now! Think Tank in
Washington, DC (February 18-19, 2016), to identify barriers to using EHRs in
clinical research and to generate potential solutions. Think tank members
identified a broad range of issues surrounding the use of EHRs in research and
proposed a variety of solutions. Recognizing the challenges, the participants
identified the urgent need to look more deeply at previous efforts to use these
data, share lessons learned, and develop a multidisciplinary agenda for best
practices for using EHRs in clinical research. We report the proceedings from
this think tank meeting in the following paper.
PMID- 29802974
TI - In vitro method for 3D morphometry of human articular cartilage chondrons based
on micro-computed tomography.
AB - OBJECTIVE: The aims of this study were: to 1) develop a novel sample processing
protocol to visualize human articular cartilage (AC) chondrons using micro
computed tomography (MUCT), 2) develop and validate an algorithm to quantify the
chondron morphology in 3D, and 3) compare the differences in chondron morphology
between intact and osteoarthritic AC. METHOD: The developed protocol is based on
the dehydration of samples with hexamethyldisilazane (HMDS), followed by imaging
with a desktop MUCT. Chondron density and depth, as well as volume and
sphericity, were calculated in 3D with a custom-made and validated algorithm
employing semi-automatic chondron selection and segmentation. The quantitative
parameters were analyzed at three AC depth zones (zone 1: 0-10%; zone 2: 10-40%;
zone 3: 40-100%) and grouped by the OARSI histological grades (OARSI grades 0
1.0, n = 6; OARSI grades 3.0-3.5, n = 6). RESULTS: After semi-automatic chondron
selection and segmentation, 1510 chondrons were approved for 3D morphometric
analyses. The chondrons especially in the deeper tissue (zones 2 and 3) were
significantly larger (P < 0.001) and less spherical (P < 0.001), respectively, in
the OARSI grade 3-3.5 group compared to the OARSI grade 0-1.0 group. No
statistically significant difference in chondron density between the OARSI grade
groups was observed at different depths. CONCLUSION: We have developed a novel
sample processing protocol for chondron imaging in 3D, as well as a high
throughput algorithm to semi-automatically quantify chondron/chondrocyte 3D
morphology in AC. Our results also suggest that 3D chondron morphology is
affected by the progression of osteoarthritis (OA).
PMID- 29802976
TI - 10-year nationwide trends of the incidence, prevalence, and adverse outcomes of
non-valvular atrial fibrillation nationwide health insurance data covering the
entire Korean population.
AB - BACKGROUND: Most data on the clinical epidemiology of atrial fibrillation (AF)
are reported from Western populations, and data for Asians are limited. We aimed
to investigate the 10-year trends of the prevalence and incidence of non-valvular
AF and provide prevalence projections till 2060 in Korea. We also investigated
the annual risks of adverse outcomes among patients with AF. METHODS: Using the
Korean National Health Insurance Service database involving the entire Korean
population, a total of 679,416 adults with newly diagnosed AF were identified
from 2006 to 2015. The incidence and prevalence of AF and risk of adverse
outcomes following AF onset were assessed. RESULTS: The prevalence of AF
progressively increased by 2.10-fold from 0.73% in 2006 to 1.53% in 2015. The
trend of its incidence was flat with a 10-year overall incidence of 1.77 per
1,000 person-years. The prevalence of AF is expected to reach 5.81% (2,290,591
patients with AF) in 2060. For a decade, the risk of all-cause mortality
following AF declined by 30% (adjusted hazard ratio [HR]: 0.70, 95% confidence
interval [CI]: 0.68-0.72), heart failure by 52% (adjusted HR: 0.48, 95% CI: 0.44
0.51), and ischemic stroke by 9% (adjusted HR: 0.91, 95% CI: 0.88-0.93).
CONCLUSIONS: The burden of AF among Asian patients is increasing. Although the
overall risks of cardiovascular events and death following AF onset have
decreased over a decade, the event rates are still high. Optimized management of
any associated comorbidities should be part of the holistic management approach
for patients with AF.
PMID- 29802977
TI - MetaHMM: A webserver for identifying novel genes with specified functions in
metagenomic samples.
AB - The fast and affordable sequencing of large clinical and environmental
metagenomic datasets opens up new horizons in medical and biotechnological
applications. It is believed that today we have described only about 1% of the
microorganisms on the Earth, therefore, metagenomic analysis mostly deals with
unknown species in the samples. Microbial communities in extreme environments may
contain genes with high biotechnological potential, and clinical metagenomes,
related to diseases, may uncover still unknown pathogens and pathological
mechanisms in known diseases. While the species-level identification and
description of the taxa in the samples do not seem to be possible today, we can
search for novel genes with known functions in these samples, using numerous
techniques, including artificial intelligence tools, like the hidden Markov
models (HMMs). Here we describe a simple-to-use webserver, the MetaHMM, which is
capable of homology-based automatic model-building for the genes to be searched
for, and it also finds the closest matches in the metagenome. The webserver uses
already highly successful building blocks: it performs multiple alignments by
applying Clustal Omega, builds a hidden Markov model with HMMER components of
hmmbuild and uses hmmsearch for finding similar sequences to the specified model
in the metagenomes. The webserver is publicly available at
https://metahmm.pitgroup.org.
PMID- 29802978
TI - Military-Civilian Partnerships in Training, Sustaining, Recruitment, Retention,
and Readiness: Proceedings from an Exploratory First-Steps Meeting.
PMID- 29802979
TI - Nonpharmacologic considerations in the management of allergies and asthma.
PMID- 29802980
TI - The Amish have decreased asthma and allergic diseases compared with old order
Mennonites.
PMID- 29802981
TI - Provider practices in screening for mental health concerns in caregivers of
patients with primary immunodeficiency.
PMID- 29802982
TI - Nuclear and cytoplasmic delivery of lactoferrin in glioma using chitosan
nanoparticles: Cellular location dependent-action of lactoferrin.
AB - Lactoferrin (Lf) exerts anti-cancer effects on glioma, however, the exact
mechanism remains unclear. Despite possessing a nuclear localization sequence
(NLS), Lf was found to allocate only in the cytoplasm of glioma 261. Lf was
therefore loaded into nuclear and cytoplasmic targeted nanoparticles (NPs) to
determine whether nuclear delivery of Lf would enhance its anti-cancer effect.
Upon treatment with 300 and 800 ug/mL Lf loaded chitosan NPs, nuclear targeted Lf
NPs showed 1.3 and 2.7 folds increase in cell viability, whereas cytoplasmic
targeted Lf-NPs at 300 ug/mL decreased cell viability by 0.8 folds in comparison
to free Lf and controls. Results suggest that the cytotoxicity of Lf on glioma is
attributable to its cytoplasmic allocation. Nuclear delivery of Lf induced cell
proliferation rather than cytotoxicity, indicating that the mode of action of Lf
in glioma is cell location dependent. This calls for caution about the general
use of Lf as an anti-cancer protein.
PMID- 29802983
TI - Development of stable liquid formulations for oligonucleotides.
AB - Oligonucleotide-based therapeutics have been implemented as a new therapeutic
modality in biotech industry, which offers the opportunity to develop formulation
platforms for robust parenteral formulations. The aim of this study was to gain a
better understanding of stabilizing/de-stabilizing effects of different
formulation parameters on unconjugated and N-acetylgalactosamine (GalNAc)
conjugated single stranded oligonucleotides with locked nucleic acid
modifications (LNA SSO), as model oligonucleotides. Various buffer systems, pH
levels and different excipients were evaluated to optimize conditions for LNA SSO
in liquid formulations. LNA SSO were exposed to different temperature conditions,
mechanical stress as well as oxidative conditions, and the maximum feasible LNA
SSO concentrations regarding handling and processing were determined. Finally,
options for terminal sterilization of LNA SSO were evaluated. Results show that
the tested LNA SSO were most stable under slightly alkaline conditions. A
decrease in viscosity was best accomplished in the presence of spermine and
lysine. Heat treatment and gamma irradiation caused high levels of degradation of
the LNA SSO. Crucial formulation parameters, as identified in this study, should
contribute to a significant increase in future productivity in drug product
development for single-stranded oligonucleotides.
PMID- 29802984
TI - Development of rectal enema as microbicide (DREAM): Preclinical progressive
selection of a tenofovir prodrug enema.
AB - HIV pre-exposure prophylaxis (PrEP) strategies have the potential to prevent
millions of incident HIV infections each year. However, the efficacy of PrEP
strategies has been plagued by issues of non-adherence, likely because of the
difficulty in motivating otherwise healthy people to adhere to treatment regimens
that require significant behavioral changes and daily discipline. An alternative
approach to PrEP is to focus on strategies that fit in to normal, and even
desirable, sexual behaviors, such as the use of cleansing enemas by men who have
sex with men (MSM) prior to receptive anal intercourse (RAI). Here, we describe
preclinical efforts toward optimizing a tenofovir (TFV)-based enema formulation
for rectal PrEP. Using a murine model, we compared the plasma and tissue
pharmacokinetics of TFV and various TFV prodrugs, including tenofovir disoproxil
fumarate (TDF), tenofovir alafenamide (TAF), and hexadecyloxypropyl tenofovir
(CMX157), after dosing as enema formulations with varying osmolality and ion
content. We observed that the enema vehicle composition played a more important
role than the TFV prodrug properties in achieving rapid and therapeutically
relevant tenofovir diphosphate (TFV-DP) concentrations in mouse colorectal
tissue. Our results support the next steps, which are further preclinical (non
human primate) and clinical development of a hypo-osmolar TFV enema product for
rectal PrEP.
PMID- 29802985
TI - Human intestinal fluid layer separation: The effect on colloidal structures &
solubility of lipophilic compounds.
AB - In addition to individual intestinal fluid components, colloidal structures are
responsible for enhancing the solubility of lipophilic compounds. The present
study investigated the link between the ultrastructure of fed state human
intestinal fluids (FeHIF) and their solubilizing capacity for lipophilic
compounds, taking into account interindividual variability. For this purpose,
FeHIF samples from 10 healthy volunteers with known composition and
ultrastructure were used to determine the solubility of four lipophilic
compounds. In light of the focus on solubility and ultrastructure, the study
carefully considered the methodology of solubility determination in relation to
colloid composition and solubilizing capacity of FeHIF. To determine the
solubilizing capacity of human and simulated intestinal fluids, the samples were
saturated with the compound of interest, shaken for 24 h, and centrifuged. When
using FeHIF, solubilities were determined in the micellar layer of FeHIF, i.e.
after removing the upper (lipid) layer (standard procedure), as well as in total
FeHIF (without removal of the upper layer). Compound concentrations were
determined using HPLC-UV/fluorescence. To link the solubilizing capacity with the
ultrastructure, all human and simulated fluids were imaged using transmission
electron microscopy (TEM) before and after centrifugation and top layer (lipid)
removal. Comparing the ultrastructure and solubilizing capacity of individual
FeHIF samples demonstrated a high intersubject variability in postprandial
intestinal conditions. Imaging of FeHIF after removal of the upper layer clearly
showed that only micellar structures remain in the lower layer. This observation
suggests that larger colloids such as vesicles and lipid droplets are contained
in the upper, lipid layer. The solubilizing capacity of most FeHIF samples
substantially increased with inclusion of this lipid layer. The relative increase
in solubilizing capacity upon inclusion of the lipid layer was most pronounced in
samples that contained mainly vesicles alongside the micelles. Current fed state
simulated intestinal fluids do not contain the larger colloids observed in the
lipid layer of FeHIF and can only simulate the solubilizing capacity of the
micellar layer of FeHIF. While the importance of drug molecules solubilized in
the micellar layer of postprandial intestinal fluids for absorption has been
extensively demonstrated previously, the in-vivo relevance of drug solubilization
in the lipid layer is currently unclear. In the dynamic environment of the human
gastrointestinal tract, drug initially entrapped in larger postprandial colloids
may become available for absorption upon lipid digestion and uptake. The current
study, demonstrating the substantial solubilization of lipophilic compounds in
the larger colloids of postprandial intestinal fluids, warrants further research
in this field.
PMID- 29802986
TI - Evaluation of nitrate sources and the percent contribution of bacterial
denitrification in hyporheic zone using isotope fractionation technique and multi
linear regression analysis.
AB - Denitrification has documented as a promising pathway to permanently remove
nitrate from a system. Numerous studies have used the isotope fractionation
technique (IFT) to evaluate the denitrification rate in the constructed wetlands
(CWs), but the potential of IFT method to quantify the denitrification rate in
hyporheic zone (saturated sediments beneath a stream) is still challenging. Thus,
more studies are required to investigate that if measurements of the natural
abundance of delta15N-NO3- and delta18O-NO3- (IFT) can be employed to calculate
the fate of nitrate in hyporheic zone. Therefore, in this study, the possibility
of the IFT to quantify the hyporheic-denitrification rate was investigated. Then,
the results were verified by the combined application of the pre-established net
Sediment N2 flux and multi-linear regression analysis (p < 0.01). Finally, the
groundwater bacterial groups (Fecal coliform (FC) and Escherichia coli (EC)), and
the mass balance isotope mixing model were used to investigate the dominant
sources of hyporheic-nitrate. The IFT reveals that denitrification contributes
74.1% and 29.1% of the hyporheic-nitrate removal during dry and wet seasons,
respectively. The multi-linear regression analysis, considering at 99% confidence
interval (R2 = 92.1%; n = 44; p < 0.01), slightly overestimates the rate and the
percent contribution of denitrification in the dry season (475.15 +/- 101.18
MUmol/m2d; 80.7%) and underestimates it during the wet season (205.072 +/- 35.39
MUmol/m2d; 24.01%). The analysis of EC and FC demonstrates that manure (41.9 +/-
4.2%) and sewage (54.1 +/- 8.9%) are the dominant contributors of the hyporheic
nitrate load. In addition, the results achieved by the analysis of the fecal
bacterial indicators (EC and FC) were confirmed by NO3-/Cl- vs Cl- diagram. This
study provides an alternative-initiative framework to accurately quantify the
spatio-seasonal variations in the hyporheic-nitrate sources and hyporheic
denitrification rate that enables decision-makers to apply appropriate and
targeted strategies to regulate nitrate load in river-aquifer systems.
PMID- 29802987
TI - Canopy volume removal from oil and gas development activity in the upper
Susquehanna River basin in Pennsylvania and New York (USA): An assessment using
lidar data.
AB - Oil and gas development is changing the landscape in many regions of the United
States and globally. However, the nature, extent, and magnitude of landscape
change and development, and precisely how this development compares to other
ongoing land conversion (e.g. urban/sub-urban development, timber harvest) is not
well understood. In this study, we examine land conversion from oil and gas
infrastructure development in the upper Susquehanna River basin in Pennsylvania
and New York, an area that has experienced much oil and gas development over the
past 10 years. We quantified land conversion in terms of forest canopy geometric
volume loss in contrast to previous studies that considered only areal impacts.
For the first time in a study of this type, we use fine-scale lidar forest canopy
geometric models to assess the volumetric change due to forest clearing from oil
and gas development and contrast this land change to clear cut forest harvesting,
and urban and suburban development. Results show that oil and gas infrastructure
development removed a large volume of forest canopy from 2006 to 2013, and this
removal spread over a large portion of the study area. Timber operations (clear
cutting) on Pennsylvania State Forest lands removed a larger total volume of
forest canopy during the same time period, but this canopy removal was
concentrated in a smaller area. Results of our study point to the need to
consider volumetric impacts of oil and gas development on ecosystems, and to
place potential impacts in context with other ongoing land conversions.
PMID- 29802989
TI - An integrated strategy to improve data acquisition and metabolite identification
by time-staggered ion lists in UHPLC/Q-TOF MS-based metabolomics.
AB - The narrow linear range and the limited scan time of the given ion make the
quantification of the features challenging in liquid chromatography-mass
spectrometry (LC-MS)-based untargeted metabolomics with the full-scan mode. And
metabolite identification is another bottleneck of untargeted analysis owing to
the difficulty of acquiring MS/MS information of most metabolites detected. In
this study, an integrated workflow was proposed using the newly established
multiple ion monitoring mode with time-staggered ion lists (tsMIM) and target
directed data-dependent acquisition with time-staggered ion lists (tsDDA) to
improve data acquisition and metabolite identification in UHPLC/Q-TOF MS-based
untargeted metabolomics. Compared to the conventional untargeted metabolomics,
the proprosed workflow exhibited the better repeatability before and after data
normalization. After selecting features with the significant change by
statistical analysis, MS/MS information of all these features can be obtained by
tsDDA analysis to facilitate metabolite identification. Using time-staggered ion
lists, the workflow is more sensitive in data acquisition, especially for the low
abundant features. Moreover, the metabolites with low abundance tend to be
wrongly integrated and triggered by full scan-based untargeted analysis with MSE
acquisition mode, which can be greatly improved by the proposed workflow. The
integrated workflow was also successfully applied to discover serum biosignatures
for the genetic modification of fat-1 in mice, which indicated its practicability
and great potential in future metabolomics studies.
PMID- 29802990
TI - Association between Psoriasis and haplotypes of the IgH 3' Regulatory Region 1.
AB - The association studies of several immune-diseases with the 3' Regulatory Region
1 (3'RR1) increased interest on the role that the region plays in the immune
regulation. The 3'RR1 is a polymorphic region on human chromosome 14q32, acting
as a cis-regulative element on the Immunoglobulin constant-gene locus recently
considered as super-enhancer. The human 3'RR1 share large sequences with its
paralogous 3'RR2, at high level of similarity. Thus, a focused investigation was
necessary to discriminate each one of the duplicated components of the two
regions and its specific contribution to the immunologic phenotype. One of the
duplicated elements is the hs1.2 enhancer. The 3'RR1 alleles of this enhancer
were demonstrated to play a role in autoimmune diseases, including Psoriasis. We
sequenced a specific region internal to the 3'RR1 in hs1.2 homozygous subjects,
to detect SNPs associated to the main alleles of the enhancer. We identified two
alternative nine-SNPs haplotypes strictly linked to the allele *1 and *2 of
hs1.2, that could be used as markers to further investigate the region and
associations to pathology. Finally, we identified two haplotypes, namely E2A1 and
E2A2, that strongly support the hypothesis of a relevant effect of the rs35216181
in the onset of Psoriasis when the *2 allele is present.
PMID- 29802988
TI - Biologic Response of Colorectal Cancer Xenograft Tumors to Sequential Treatment
with Panitumumab and Bevacizumab.
AB - Recent studies in RAS wild-type (WT) metastatic colorectal cancer (mCRC) suggest
that the survival benefits of therapy using anti-epidermal growth factor receptor
(anti-EGFR) and anti-vascular endothelial growth factor (anti-VEGF) antibodies
combined with chemotherapy are maximized when the anti-EGFR antibody is given as
first-line, followed by subsequent anti-VEGF antibody therapy. We report reverse
translational research using LIM1215 xenografts of RAS WT mCRC to elucidate the
biologic mechanisms underlying this clinical observation. Sequential
administration of panitumumab then bevacizumab (PB) demonstrated a stronger
tendency to inhibit tumor growth than bevacizumab then panitumumab (BP). Cell
proliferation was reduced significantly with PB (P < .01) but not with BP based
on Ki-67 index. Phosphoproteomic analysis demonstrated reduced phosphorylation of
EGFR and EPHA2 with PB and BP compared with control. Western blotting showed
reduced EPHA2 expression and S897-phosphorylation with PB; RSK phosphorylation
was largely unaffected by PB but increased significantly with BP. In quantitative
real-time PCR analyses, PB significantly reduced the expression of both lipogenic
(FASN, MVD) and hypoxia-related (CA9, TGFBI) genes versus control. These results
suggest that numerous mechanisms at the levels of gene expression, protein
expression, and protein phosphorylation may explain the improved clinical
activity of PB over BP in patients with RAS WT mCRC.
PMID- 29802991
TI - Genetic analysis of yield and agronomic traits under reproductive-stage drought
stress in rice using a high-resolution linkage map.
AB - Drought stress at the reproductive stage of rice crop leads to a huge loss in
grain yield. Identification and introgression of large effect drought tolerant
QTLs are necessary to develop drought-tolerant rice varieties. Compared to the
high-density linkage maps, widely spaced markers lead to the identification of
QTLs with large confidence intervals which are difficult to incorporate in a
breeding program. A previously generated genotyping-by-sequencing (GBS) based
linkage map consisting of 4748 SNP markers was used to map QTLs in Cocodrie * N
22 recombinant inbred line (RIL) population. Twenty-one QTLs were discovered for
days to flowering (DTF), plant height (PH), leaf rolling score (LRS), plant dry
matter content (DM), spikelet fertility (SF), grain yield (GY), yield index (YI),
and harvest index (HI) under drought stress. A major QTL qPH1.38 was identified
in a narrow confidence interval on chromosome 1. The QTLs, qDTF3.01 and qPH1.38,
overlapped with the previously identified QTL qDTY1.1 and Hd9, respectively.
Another large-effect QTL qLRS1.37 was identified close to the sd1 locus on
chromosome 1. A grain yield QTL qGY1.42 located on chromosome 1 contained only 4
candidate genes. There was no overlapping of QTLs for the root traits and the
yield attributes. The important candidate genes present within the large effect
QTL regions are MYB transcription factors, no apical meristem protein (NAC),
potassium channel protein, nuclear matrix protein1, and chlorophyll A-B binding
protein. The large effect QTLs (qDTF3.01, qPH1.38, and qLRS1.37) and a novel
grain yield QTL qGYS1.42 can be used to incorporate in elite breeding lines to
develop drought-tolerant rice varieties.
PMID- 29802992
TI - Epithelial-mesenchymal transition induced PAI-1 is associated with prognosis of
triple-negative breast cancer patients.
AB - Epithelial-mesenchymal transition (EMT) is a key developmental program in which
epithelial cells lose polarity and become mesenchymal cells, and that is often
activated during cancer invasion and metastasis. Triple negative breast cancer
(TNBC) patients have a relatively aggressive biological behavior with a high risk
of distant recurrence and metastasis. Here, we stimulated TNBC cells to undergo
EMT, and detected the protein expression profiles of the protein secretion. High
throughput data showed that EMT could promote TNBC cells to secret PAI-1. We
found that TNBC-secreted PAI-1 could increase cell growth, migration and
invasion, and the expression of EMT markers in the TNBC cell lines and xenograft
PAI-1-/- mice model. Using a tissues microarray of 165 TNBC patients and
published breast cancer database, we found PAI-1 expression was significantly
elevated in the breast cancer tissues, comparing with the normal adjacent tissues
and was associated with prognosis of patients with TNBC. Taken together, our
results suggests an important role of PAI-1 in the EMT process of TNBC cells and
illustrates the great potential of developing PAI-1-targeting therapy for
clinical TNBC patients.
PMID- 29802993
TI - The Crambe abyssinica plastome: Brassicaceae phylogenomic analysis, evolution of
RNA editing sites, hotspot and microsatellite characterization of the tribe
Brassiceae.
AB - Crambe abyssinica is an important oilseed crop that accumulates high levels of
erucic acid, which is being recognized as a potential oil platform for several
industrial purposes. It belongs to the family Brassicaceae, assigned within the
tribe Brassiceae. Both family and tribe have been the subject of several
phylogenetic studies, but the relationship between some lineages and genera
remains unclear. Here, we report the complete sequencing and characterization of
the C. abyssinica plastome. Plastome structure, gene order, and gene content of
C. abyssinica are similar to other species of the family Brassicaceae. The only
exception is the rps16 gene, which is absent in many genera within the family
Brassicaceae, but seems to be functional in the tribe Brassiceae, including C.
abyssinica. However, the analysis of gene divergence shows that the rps16 is the
most divergent gene in C. abyssinica and within the tribe Brassiceae. In
addition, species of the tribe Brassiceae also show similar SSR loci
distribution, with some regions containing a high number of SSRs, which are
located mainly at the single copy regions. Six hotspots of nucleotide divergence
among Brassiceae species were located in the single copy regions by sliding
window analysis. Brassicaceae phylogenomic analysis, based on the complete
plastomes of 72 taxa, resulted in a well-supported and well-resolved tree. The
genus Crambe is positioned within the Brassiceae clade together with the genera
Brassica, Raphanus, Sinapis, Cakile, Orychophragmus and Sinalliaria. Moreover, we
report several losses and gains of RNA editing sites that occurred in plastomes
of Brassiceae species during evolution.
PMID- 29802994
TI - Oocyte-G1 promotes male germ cell apoptosis through activation of Caspase-3.
AB - Apoptosis plays a vital role in the developmental process of the mammalian
reproduction system, such as during folliculogenesis or spermatogenesis. Kinesin
superfamily (Kif) proteins are responsible for intercellular transportation, and
their malfunction can induce cell apoptosis. Oocyte-G1 is a new Kif member. Our
previous study suggested that abnormal expression of Oocyte-G1 induced abnormal
development of ovarian follicle and testes, but the underlying mechanism was not
fully discovered. Therefore, in this study, the cellular role and mechanism of
Oocyte-G1 were investigated. Transferase-mediated deoxyuridine triphosphate
biotin nick end labeling (TUNEL) result showed that overexpression of Oocyte-G1
increased apoptosis in cultured cells. Oocyte-G1 transgenic mice also showed an
increased apoptotic rate in male germ cells compared with controls.
Immunoprecipitation and co-localization experiments revealed an interaction
between Oocyte-G1 and Caspase-3. Expression levels of Caspase-3 were upregulated
in cells overexpressing Oocyte-G1 and downregulated in Oocyte-G1 knockdown cells.
These results suggest that Oocyte-G1 may promote male germ cell apoptosis through
activating Caspase-3.
PMID- 29802995
TI - Autoregulation of the human splice factor kinase CLK1 through exon skipping and
intron retention.
AB - Alternative splicing is a key process required for the regulation of gene
expression in normal development and physiology. It is regulated by splice
factors whose activities are in turn regulated by splice factor kinases and
phosphatases. The CDC-like protein kinases are a widespread family of splice
factor kinases involved in normal physiology and in several diseases including
cancer. In humans they include the CLK1, CLK2, CLK3 and CLK4 genes. The
expression of CLK1 is regulated through alternative splicing producing both full
length catalytically active and truncated catalytically inactive isoforms, CLKT1
(arising from exon 4 skipping) and CLKT2 (arising from intron 4 retention). We
examined CLK1 alternative splicing in a range of cancer cell lines, and report
widespread and highly variable rates of exon 4 skipping and intron 4 retention.
We also examined the effect of severe environmental stress including heat shock,
osmotic shock, and exposure to the alkaloid drug harmine on CLK1 alternative
splicing in DU145 prostate cancer cells. All treatments rapidly reduced exon 4
skipping and intron 4 retention, shifting the balance towards full-length CLK1
expression. We also found that the inhibition of CLK1 with the benzothiazole
TG003 reduced exon 4 skipping and intron 4 retention suggesting an autoregulatory
mechanism. CLK1 inhibition with TG003 also resulted in modified alternative
splicing of five cancer-associated genes.
PMID- 29802996
TI - Pan-genomic approach shows insight of genetic divergence and pathogenic
adaptation of Pasteurella multocida.
AB - Pasteurella multocida is a gram-negative, non-motile bacterial pathogen, which is
associated with chronic and acute infections as snuffles, pneumonia, atrophic
rhinitis, fowl cholera and hemorrhagic septicemia. These diseases affect a wide
range of domestic animals, leading to significant morbidity and mortality and
causing significant economic losses worldwide. Due to the interest in deciphering
the genetic diversity and process adaptive between P. multocida strains, this
work aimed was to perform a pan-genome analysis to evidence horizontal gene
transfer and positive selection among 23 P. multocida strains isolated from
distinct diseases and hosts. The results revealed an open pan-genome containing
3585 genes and an accessory genome presenting 1200 genes. The phylogenomic
analysis based on the presence/absence of genes and islands exhibit high levels
of plasticity, which reflects a high intraspecific diversity and a possible
adaptive mechanism responsible for the specific disease manifestation between the
established groups (pneumonia, fowl cholera, hemorrhagic septicemia and
snuffles). Additionally, we identified differences in accessory genes among
groups, which are involved in sugar metabolism and transport systems, virulence
related genes and a high concentration of hypothetical proteins. However, there
was no specific indispensable functional mechanism to decisively correlate the
presence of genes and their adaptation to a specific host/disease. Also, positive
selection was found only for two genes from sub-group hemorrhagic septicemia,
serotype B. This comprehensive comparative genome analysis will provide new
insights of horizontal gene transfers that play an essential role in the
diversification and adaptation mechanism into P. multocida species to a specific
disease.
PMID- 29802997
TI - RNA interference of tubulin genes has lethal effects in Mythimna separate.
AB - RNAi (RNA interference) is a technology for silencing expression of target genes
via sequence-specific double-stranded RNA (dsRNA). Recently, dietary introduction
of bacterially expressed dsRNA has shown great potential in the field of pest
management. Identification of potential candidate genes for RNAi is the first
step in this application. The oriental armyworm, Mythimna separata Walker
(Lepidoptera: Noctuidae) is a polyphagous, migratory pest, and outbreaks have led
to severe crop damage in China. In the present study, two tubulin genes were
chosen as target genes because of their crucial role in insect development. Both
Msalpha-tubulin and Msbeta-tubulin genes are expressed across all life stages and
are highly expressed in the head and epidermis. Feeding of bacterially expressed
dsRNA of Msalpha-tubulin and Msbeta-tubulin to third-instar larvae knocked down
target mRNAs. A lethal phenotype was observed with knockdown of Msalpha-tubulin
and Msbeta-tubulin concurrent with reduction in body weight. Bacterially
expressed dsRNA can be used to control M. separata, and tubulin genes could be
effective candidate genes for an RNAi-based control strategy of this pest.
PMID- 29802998
TI - MIR196A2 rs11614913 contributes to susceptibility to colorectal cancer in Iranian
population: A multi-center case-control study and meta-analysis.
AB - Maturation of MIR196A2 as a gene regulator with a high potential for targeted
cancer therapy can be modulated by the rs11614913 polymorphism. Several studies
evaluating the association between this variant and pathogenesis of colorectal
cancer (CRC) found significant results in various ethnic groups. This study aimed
at investigating this relationship in a large sample size of Iranians as well as
in a systematic review and meta-analysis of the pooled data of the current study
with previous reports from Iran and other populations. After extraction of
genomic DNA from the formalin-fixed paraffin-embedded tissues and whole blood of
2150 subjects (42% CRC patients), the rs11614913 was genotyped in both cases and
controls. Furthermore, we conducted a meta-analysis of the present case-control
study together with a previous report from Iranian population. The results of
case-control study identified significant association between the rs11614913 and
susceptibility to CRC [TT vs. CC: 1.58 (1.26-1.98), p < 0.01; TT vs. CT: 3.94
(3.07-5.05), p < 0.01; TT vs. CC + CT: 0.70 (0.59-0.83), p < 0.01; and CT + TT
vs. CC: 1.43 (1.21-1.70), p < 0.01]. After correction of the meta-analysis
results by using Bonferroni protocol, no significant association was observed in
overall and in Asians [T vs. C: 1.19 (1.00-1.43), p = 0.05 and 1.14 (0.83-1.56),
p = 0.43, respectively], whereas association was significant in Caucasians [T vs.
C: 1.14 (1.04-1.25), p = 0.004] influenced by the data from Iran [T vs. C: 1.15
(1.03-1.29), p = 0.02 and TT vs. CC + CT: 0.73 (0.60-0.87), p = 0.003]. In
conclusion, MIR196A2 rs11614913 might play a potential role in the pathogenesis
of CRC in Iranian population.
PMID- 29802999
TI - Genetic variants in PI3K/Akt/mTOR pathway genes contribute to gastric cancer
risk.
AB - PI3K/Akt/mTOR pathway is involved in tumor initiation and progression, including
gastric cancer (GC). However, the single nucleotide polymorphisms (SNPs) in this
pathway and underlying molecular mechanism remain largely unexplored. A case
control study of 1275 GC patients and 1436 controls was performed to explore the
associations of potentially functional SNPs in PI3K/Akt/mTOR pathway genes with
the risk of GC. In the logistic regression analyses, one SNP rs7536272 out of the
four candidate SNPs showed a significant association with GC risk (additive
model: OR = 1.16, 95% CI = 1.03-1.30; co-dominant model: AG vs. AA, OR = 1.30,
95% CI = 1.11-1.53; dominant model: AG/GG vs. AA, OR = 1.28, 95% CI = 1.10
1.49).The luciferase assay indicated that rs7536272 G allele significantly
enhanced the transcriptional activity, compared with A allele. Further expression
quantitative trait loci (eQTL) analysis showed that GC patients with rs7536272
AG/GG genotypes had remarkably higher PIK3R3 levels than those with AA genotype,
suggesting that rs7536272 polymorphism influenced the expression of PIK3R3.
Additionally, we observed that GC patients with high expression of PIK3R3 had
significant poorer outcome than those with low expression (HR = 1.29, 95% CI =
1.09-1.53). Our result demonstrated that SNP rs7536272, a functional risk variant
located in the promoter region of PIK3R3, showed association with increased
transcriptional activity and upregulation of PIK3R3 expression, thus involved in
GC development.
PMID- 29803000
TI - HLA-B*27 subtypes and their implications in the pathogenesis of ankylosing
spondylitis.
AB - Ankylosing spondylitis (AS) is a highly heritable kind of arthritis that affects
the vertebral column. AS risk has been associated strongly with Human leukocyte
antigen (HLA)-B*27. In fact, some HLA-B*27 subtypes have been associated with the
increased disease risk, although some specific subtypes have not shown such
associations. It is supposed that HLA-B*27 plays a major role in the
etiopathogenesis of the disease. However, the difference in pathogenic outcomes
of HLA-B*27 certain subtypes needs to be clarified. The purpose of this review
article is to overview on the detailed implications of the HLA-B*27 subtypes in
the etiopathogenesis of AS. Moreover, the role of ERAP1 in AS and its epistasis
with HLA-B*27 have been reviewed.
PMID- 29803002
TI - Exploring auditory neglect: Anatomo-clinical correlations of auditory extinction.
AB - BACKGROUND: The key symptoms of auditory neglect include left extinction on tasks
of dichotic and/or diotic listening and rightward shift in locating sounds. The
anatomical correlates of the latter are relatively well understood, but no
systematic studies have examined auditory extinction. Here, we performed a
systematic study of anatomo-clinical correlates of extinction by using dichotic
and/or diotic listening tasks. METHODS: In total, 20 patients with right
hemispheric damage (RHD) and 19 with left hemispheric damage (LHD) performed
dichotic and diotic listening tasks. Either task consists of the simultaneous
presentation of word pairs; in the dichotic task, 1 word is presented to each
ear, and in the diotic task, each word is lateralized by means of interaural time
differences and presented to one side. RESULTS AND CONCLUSION: RHD was associated
with exclusively contralesional extinction in dichotic or diotic listening,
whereas in selected cases, LHD led to contra- or ipsilesional extinction.
Bilateral symmetrical extinction occurred in RHD or LHD, with dichotic or diotic
listening. The anatomical correlates of these extinction profiles offer an
insight into the organisation of the auditory and attentional systems. First,
left extinction in dichotic versus diotic listening involves different parts of
the right hemisphere, which explains the double dissociation between these 2
neglect symptoms. Second, contralesional extinction in the dichotic task relies
on homologous regions in either hemisphere. Third, ipsilesional extinction in
dichotic listening after LHD was associated with lesions of the intrahemispheric
white matter, interrupting callosal fibres outside their midsagittal or
periventricular trajectory. Fourth, bilateral symmetrical extinction was
associated with large parieto-fronto-temporal LHD or smaller parieto-temporal
RHD, which suggests that divided attention, supported by the right hemisphere,
and auditory streaming, supported by the left, likely play a critical role.
PMID- 29803001
TI - Prefrontal cortex activation during cognitive interference in nonsuicidal self
injury.
AB - Nonsuicidal self-injury (NSSI), deliberate behavior resulting in self-inflicted
damage to oneself, is common, particularly among female adolescents, and may be a
form of maladaptive emotion regulation. Cognitive interference, a specific type
of processing associated with inhibiting prepotent responses in favor of less
automatic ones, is utilized in treatment strategies to shift patients' thoughts
and behaviors away from maladaptive responses and replace them with more adaptive
ones. We examined cognitive interference processing using the Multi-Source
Interference Task (MSIT) in females with NSSI behavior (n=15) and healthy control
females (n=15). Functional magnetic resonance imaging (fMRI) data were collected
concurrently. Results revealed similar between-group performance on the MSIT;
however, women with NSSI behavior exhibited altered patterns of neural activation
during the MSIT. Specifically, the NSSI group demonstrated increased cingulate
cortex (CC) and decreased dorsolateral prefrontal cortex (DLPFC) activation
compared to the control group. Further, within the NSSI group, DLPFC activation
inversely correlated with emotional reactivity and self-reported impulsivity,
suggesting that decreased DLPFC activation is associated with poorer emotional
control and increased impulsivity. Taken together, these results indicate that
women with NSSI behavior utilize different cortical areas during cognitive
interference processing, which may have broader implications regarding the
treatment efficacy of cognitive-based therapies.
PMID- 29803004
TI - Fabrication of in vitro 3D mineralized tissue by fusion of composite spheroids
incorporating biomineral-coated nanofibers and human adipose-derived stem cells.
AB - : Development of a bone-like 3D microenvironment with stem cells has always been
intriguing in bone tissue engineering. In this study, we fabricated composite
spheroids by combining functionalized fibers and human adipose-derived stem cells
(hADSCs), which were fused to form a 3D mineralized tissue construct. We prepared
fragmented poly (iota-lactic acid) (PLLA) fibers approximately 100 MUm long by
partial aminolysis of electrospun fibrous mesh. PLLA fibers were then
biomineralized with various concentrations of NaHCO3 (0.005, 0.01, and 0.04 M) to
form mineralized fragmented fibers (mFF1, mFF2, and mFF3, respectively). SEM
analysis showed that the minerals in mFF2 and mFF3 completely covered the fiber
surface, and surface chemistry analysis confirmed the presence of hydroxyapatite
peaks. Additionally, mFFs formed composite spheroids with hADSCs, demonstrating
that the cells were strongly attached to mFFs and homogeneously distributed
throughout the spheroid. In vitro culture of spheroids in the media without
osteogenic supplements showed significantly enhanced expression of osteogenic
genes including Runx2 (20.83 +/- 2.83 and 22.36 +/- 2.18 fold increase), OPN
(14.24 +/- 1.71 and 15.076 +/- 1.38 fold increase), and OCN (4.36 +/- 0.41 and
5.63 +/- 0.51 fold increase) in mFF2 and mFF3, respectively, compared to the no
mineral fiber group. In addition, mineral contents were significantly increased
at day 7. Blocking the biomineral-mediated signaling by PSB 603 significantly
down regulated the expression of these genes in mFF3 at day 7. Finally, we fused
composite spheroids to form a mineralized 3D tissue construct, which maintained
the viability of cells and showed pervasively distributed minerals within the
structure. Our composite spheroids could be used as an alternative platform for
the development of in vitro bone models, in vivo cell carriers, and as building
blocks for bioprinting 3D bone tissue. STATEMENT OF SIGNIFICANCE: This manuscript
described our recent work for the preparation of biomimeral-coated fibers that
can be assembled with mesenchymal stem cells and provide bone-like environment
for directed control over osteogenic differentiation. Biomineral coating onto
synthetic, biodegradable single fibers was successfully carried out using
multiple steps, combination of template protein coating inspired from mussel
adhesion and charge-charge interactions between template proteins and mineral
ions. The biomineral-coated single micro-scale fibers (1-2.5 MUm in diameter)
were then assembled with human adipose tissue derived stem cells (hADSCs). The
assembled structure exhibited spheroidal architecture with few hundred
micrometers. hADSCs within the spheroids were differentiated into osteogenic
lineage in vitro and mineralized in the growth media. These spheroids were fused
to form in vitro 3D mineralized tissue with larger size.
PMID- 29803005
TI - Engineering biologically extensible hydrogels using photolithographic printing.
AB - : Biomaterials for tissue engineering that recapitulate the mechanical response
and biological function of native tissue are highly sought after to lessen the
burden of damaged or diseased tissue. Poly(ethylene glycol) diacrylate (PEGDA)
hydrogels are a popular candidate because of their favorable bioactive
properties. However, their mechanical behavior is very dissimilar to that of
biological tissue, which behaves in a mechanically anisotropic, nonlinear, and
viscoelastic fashion. It has been previously shown that PEGDA hydrogels can be
patterned in alternating linear strips of different stiffnesses to generate
anisotropic behavior, but these constructs still have a linear stress-strain
response. In this study, we imparted nonlinear mechanical properties to PEGDA
hydrogels by fabricating composite hydrogel constructs consisting of a stiff
sinusoidal reinforcement embedded into a softer base matrix. This was achieved by
polymerizing low molecular weight (MW) PEGDA hydrogel precursor into a stiff
sinusoidal shape and then polymerizing this construct into a high MW precursor.
Samples were generated with different relative stiffness between the two
components and a range of sinusoid periodicities to assess the tunability of the
resulting stress-strain curve. Tensile testing indicates that the sinusoidal
patterning gives rise to nonlinear stress-strain behavior. Varying the relative
stiffness was shown to tune the slope of the linear region of the stress-strain
curve, and varying periodicity was shown to affect the length of the toe region
of this curve. We conclude that composite hydrogels with stiff sinusoidally
patterned reinforcements display mechanical properties more similar to those of
biological tissue than uniform or linearly-patterned hydrogels. STATEMENT OF
SIGNIFICANCE: Hydrogel biomaterials are a popular candidate for engineering
constructs that can mimic the properties of native tissue for disease modeling
and tissue-engineering applications. Studies have shown that poly(ethylene)
glycol diacrylate (PEGDA) hydrogels can be fabricated to display many biological
aspects of native tissue. However, they are unable to recapitulate fundamental
mechanical properties of such tissue, such as anisotropy and nonlinearity.
Photolithographic techniques have been employed to generate anisotropic linear
PEGDA hydrogels via patterned reinforcement. The present study indicates that
such techniques can be modified to generate PEGDA constructs with a sinusoidal
reinforcement that display a strongly nonlinear response to tensile loading. This
work sets the stage for more intricate patterning for providing increased control
over hydrogel mechanical response.
PMID- 29803003
TI - Development of novel amino-quinoline-5,8-dione derivatives as NAD(P)H:quinone
oxidoreductase 1 (NQO1) inhibitors with potent antiproliferative activities.
AB - Fourteen novel amino-quinoline-5,8-dione derivatives (6a-h and 7a-h) were
designed and synthesized by coupling different alkyl- or aryl-amino fragments at
the C6- or C7-position of quinoline-5,8-dione. All target compounds showed
antiproliferative potency in the low micromolar range in both drug sensitive
HeLaS3 and multidrug resistant KB-vin cell lines. Compounds 6h, 6d, 7a, and 7d
exhibited more potent antiproliferative effects than the other compounds.
Especially, compounds 6d and 7d displayed NQO1-dependent cytotoxicity and
competitive NQO1 inhibitory effects in both drug sensitive HeLaS3 and multidrug
resistant KB-vin cell lines. Furthermore, compounds 6h, 6d, 7a, and 7d induced a
dose-dependent lethal mitochondrial dysfunction in both drug sensitive HeLaS3 and
multidrug resistant KB-vin cells by increasing intracellular reactive oxygen
species (ROS) levels. Notably, compound 7d selectively inhibited cancer cells,
but not non-tumor liver cell proliferation in vitro, and significantly triggered
HeLaS3 cell apoptosis by regulating apoptotic proteins of Bcl-2, Bax, and cleaved
caspase-3 in a dose-dependent manner. Our findings suggest that these novel C6-
or C7-substituted amino-quinoline-5,8-dione derivatives, such as 7d, could be
further developed in the future as potent and selective antitumor agents to
potentially circumvent multi-drug resistance (MDR).
PMID- 29803006
TI - Thermoresponsive polysaccharide-based composite hydrogel with antibacterial and
healing-promoting activities for preventing recurrent adhesion after
adhesiolysis.
AB - : Postoperative adhesions are very common complications after general abdominal
surgery. Although adhesiolysis has been proven effective in eliminating the
preexisting adhesions, the new trauma caused by surgical lysis can induce
recurrent adhesion. The prevention of recurrent adhesion after adhesiolysis is
more difficult because the injury is more severe and adhesion mechanism is more
complicated compared with the primary adhesion. In this study, a thermoresponsive
hydrogel contained galactose modified xyloglucan (mXG) and hydroxybutyl chitosan
(HBC) was developed as a barrier device for recurrent adhesion prevention after
adhesiolysis due to its injectability and spontaneous gelling behaviors at the
body temperature without any chemical reactions or extra driving factors. First,
mXG and HBC were synthesized via enzymatic modification and etherification
reaction, respectively. Rheological measurements indicated that the mXG/HBC
composite system showed excellent thermosensitivity properties, and their
gelation temperature and time can be modulated via adjusting the mXG/HBC ratio.
Moreover, the mXG/HBC hydrogel exhibited excellent cytocompatibility and
hemocompatibility in vitro. Furthermore, the mXG/HBC hydrogel could promote wound
healing in the rat skin wound model. Finally, the efficacy of the mXG/HBC
composite hydrogel in the prevention of recurrent adhesion was evaluated in a
more rigorous rat repeated-injury adhesion model. The results demonstrated that
the composite hydrogel could not only effectively prevent recurrent adhesion
after adhesiolysis, but also promote wound healing and reduce scare formation.
These results suggested that the mXG/HBC composite hydrogel may be a promising
candidate as an injectable anti-adhesion system for clinical applications.
STATEMENT OF SIGNIFICANCE: Although adhesiolysis has been proven effective in
eliminating the preexisting adhesions, the new trauma caused by surgical lysis
can induce recurrent adhesion. So far, most of the existing barrier systems and
pharmacological approaches were developed for primary adhesion prevention while
few attention has paid on prevention of recurrent adhesion after adhesiolysis. In
the present study, we developed a thermoresponsive polysaccharide-based composite
hydrogel by simple mixing galactose modified xyloglucan (mXG) and hydroxybutyl
chitosan (HBC). The resulting mXG/HBC composite hydrogel not only was easy to
handle and highly effective in preventing the recurrent adhesion after
adhesiolysis, but also could promote wound healing and reduce scare formation.
Our study provide an effective anti-adhesion system for preventing recurrent
adhesion after adhesiolysis.
PMID- 29803007
TI - Design of spherically structured 3D in vitro tumor models -Advances and
prospects.
AB - : Three-dimensional multicellular tumor models are receiving an ever-growing
focus as preclinical drug-screening platforms due to their potential to
recapitulate major physiological features of human tumors in vitro. In line with
this momentum, the technologies for assembly of 3D microtumors are rapidly
evolving towards a comprehensive inclusion of tumor microenvironment elements.
Customized spherically structured platforms, including microparticles and
microcapsules, provide a robust and scalable technology to imprint unique
biomolecular tumor microenvironment hallmarks into 3D in vitro models. Herein, a
comprehensive overview of novel advances on the integration of tumor-ECM
components and biomechanical cues into 3D in vitro models assembled in spherical
shaped platforms is provided. Future improvements regarding
spatiotemporal/mechanical adaptability, and degradability, during microtumors in
vitro 3D culture are also critically discussed considering the realistic
potential of these platforms to mimic the dynamic tumor microenvironment. From a
global perspective, the production of 3D multicellular spheroids with tumor ECM
components included in spherical models will unlock their potential to be used in
high-throughput screening of therapeutic compounds. It is envisioned, in a near
future, that a combination of spherically structured 3D microtumor models with
other advanced microfluidic technologies will properly recapitulate the flow
dynamics of human tumors in vitro. STATEMENT OF SIGNIFICANCE: The ability to
correctly mimic the complexity of the tumor microenvironment in vitro is a key
aspect for the development of evermore realistic in vitro models for drug
screening and fundamental cancer biology studies. In this regard, conventional
spheroid-based 3D tumor models, combined with spherically structured
biomaterials, opens the opportunity to precisely recapitulate complex cell
extracellular matrix interactions and tumor compartmentalization. This review
provides an in-depth focus on current developments regarding spherically
structured scaffolds engineered into in vitro 3D tumor models, and discusses
future advances toward all-encompassing platforms that may provide an improved in
vitro/in vivo correlation in a foreseeable future.
PMID- 29803009
TI - Anesthetic challenges posed by generalised Medical Adhesive Related Skin Injury
(MARSI).
PMID- 29803008
TI - Analysis of the microRNA expression profiles in DEF cells infected with duck
Tembusu virus.
AB - Duck Tembusu virus (DTMUV), belonging to the Flaviviridae family, is a single
stranded positive-sense RNA virus. Since April 2010, the outbreak of DTMUV in
southeast provinces of China has caused great economic losses. MicroRNAs (miRNAs)
play important regulatory roles in viral infection through binding to the host
target genes or the viral genomes. To better understanding the molecular
mechanisms of virus-host interaction, here we identified the miRNA expression
profiles in DTMUV-infected and uninfected DEF cells by high-throughput
sequencing. A total of 287 known and 63 novel miRNAs were identified. 48 miRNAs,
including 26 known miRNAs and 22 novel miRNAs, were differentially expressed in
response to DTMUV infection. Among these miRNAs, 37 miRNAs were up-regulated and
11 miRNAs were down-regulated. 9 miRNAs were randomly selected for validation by
qRT-PCR experiment. The results of qRT-PCR experiment were consistent with the
sequencing data. GO enrichment showed that the predicted targets of these
differentially expressed miRNAs were mainly involved in the regulation of immune
system, cellular process and metabolic process. KEGG pathways analysis showed
that predicted target genes were involved in several signaling pathways such as
Wnt signaling pathway, TGF-beta signaling pathway, mTOR signaling pathway and
FoxO signaling pathway. This is the first study to evaluate changes of miRNA
expression in DEF cells upon DTMUV infection. Our findings provide important
clues for better understanding the DTMUV-host interaction.
PMID- 29803010
TI - Isolated pneumopericardium caused by positive pressure ventilation: A rare
complication.
PMID- 29803011
TI - The effect of oral hydration on the risk or aspiration and hemodynamic stability
before the induction of anesthesia: A systematic review and meta-analysis.
AB - OBJECTIVE: Preoperative oral rehydration solutions (ORS) are frequently used in
clinical practice in Japan, although their effect remains to be explained. The
purpose of this study was to investigate the clinical outcomes associated with
ORS usage. DESIGN: Systematic review and meta-analysis. SETTING: Surgical
departments at each hospital. PARTICIPANTS: A total of 546 patients with American
Society of Anesthesiologists physical status classification I or II (non-pregnant
adults only) reported in six articles. INTERVENTIONS: Patients in the included
studies had originally been randomly allocated to the ORS or control group.
MEASUREMENTS: Incidence of aspiration and vomiting during induction of
anesthesia, gastric fluid volume (absolute volume), gastric pH, stroke volume
variation (SVV) during induction of anesthesia. Risk difference (RD) or mean
difference (MD) with 95% confidence interval (CI) were calculated using a random
effects model. MAIN RESULTS: There was no aspiration or vomiting in either group
[3 studies, 428 patients, RD 0 (95% CI -0.01 to 0.01), I2 = 0%]. ORS
administration caused no significant difference in gastric volume [4 studies, 486
participants, MD -1.12 ml (95% CI -5.61 to 3.36), I2 = 62%] or gastric pH [4
studies, 486 participants, MD -0.03. (95% CI -0.37 to 0.31), I2 = 0%] compared
with the control group. In contrast, ORS resulted in a significant reduction in
SVV during the anesthesia induction period [3 studies, 118 participants, MD -3.02
(95% CI -5.44 to -0.59), I2 = 65%]. CONCLUSIONS: Our systematic review indicates
that oral rehydration therapy does not increase the risk of aspiration or
vomiting. In contrast, it may help stabilize circulatory dynamics during
anesthesia induction.
PMID- 29803012
TI - A quantitative investigation into women's basic beliefs about birth and planned
birth choices.
AB - OBJECTIVE: Perceptions about the nature of the birth process are important in
determining women's birth choices regarding labour and delivery but are scarcely
the subject of empirical research. The aim of the current study was to assess
women's beliefs about birth as a natural and safe or medical and risky process
and study the associations of these beliefs with fear of childbirth and planned
birth choices. DESIGN: An observational study using self-administered
questionnaires during pregnancy. SETTING: 1. Community women's health centres in
a metropolitan area in Israel; 2. Purposeful sampling of women who plan to birth
naturally, through home midwives and targeted internet forums. PARTICIPANTS: 746
women with a singleton pregnancy in their second and third trimester.
MEASUREMENTS: Beliefs about birth as a natural and a medical process, fear of
childbirth, and a range of natural birth choices. FINDINGS: The birth beliefs
were associated with women's birth intentions. The more women believed birth to
be natural and the less they believed it to be medical, the more likely they were
to make more natural birth-related choices. In the presence of the birth beliefs,
fear of childbirth no longer had an independent association with birth choices.
The beliefs interacted with each other, revealing a stronger association of
viewing birth as natural with planning more natural choices among women who did
not view birth as very medical. KEY CONCLUSION: It is important to recognize
women's beliefs about birth and how they may affect their fear of childbirth and
birth intentions. Further studies on the origin of such beliefs and their
development are needed. IMPLICATIONS FOR PRACTICE: Women should be allowed to
choose how they would like to birth in accordance with their beliefs. At the same
time, strengthening women's belief in the natural birth process and their body's
ability to perform it, could help lower fear of childbirth and medical
intervention rates.
PMID- 29803013
TI - A mixed methods investigation of an online intervention to facilitate student
midwives' engagement in effective conversations about weight-related behaviour
change with pregnant women.
AB - OBJECTIVE: (1) To identify whether an online training intervention could increase
midwifery students' knowledge of behaviour change techniques (BCTs) and
intentions to use them in practice. (2) To identify students' views and current
experiences of talking to women about weight-related behaviour change. DESIGN:
Mixed methods study involving pre- and post-training assessments, and qualitative
interviews with midwifery students. SETTING: Online training course delivered at
a University in the North of England, UK. PARTICIPANTS: Midwifery students in the
third year of their undergraduate degree during 2015-2016. INTERVENTION: Online
training focused on equipping students with knowledge of theoretically-informed
BCTs, and the skills to use them opportunistically in existing practice settings.
MEASUREMENTS: Likelihood of discussing obesity with women was assessed via a 12
item, 7-point Likert scale assessing students' attitudes, subjective norms,
perceived behavioural control, and intentions. A 14-item checklist was used to
assess BCT knowledge whereby students selected recognised BCTs (of 7 correct, 7
false). Students' views and experiences of current practice was explored through
in-depth, semi-structured one-on-one interviews with a member of the research
team. FINDINGS: Students' subjective norms, perceived behavioural control, and
knowledge of BCTs increased post-training but intention and attitudes did not.
Interviews revealed three themes accounting for students experiences and views of
behaviour change practice: (1) 'How training fits with current encounters with
maternal obesity in midwifery training' (2) 'TEnT PEGS prepares students for
practice', and (3) 'Value of tailored training'. KEY CONCLUSIONS: Online BCT
training can improve the midwifery students' confidence, knowledge and beliefs
that this is part of their role. They also reported finding the training helpful
in better preparing them for this challenging element of their routine practice.
IMPLICATIONS FOR PRACTICE: Online BCT training can be used to prepare
undergraduate midwifery students for practice.
PMID- 29803014
TI - What nurses involved in a Medical Emergency Teams consider the most vital areas
of knowledge and skill when delivering care to the deteriorating ward patient. A
nurse-oriented curriculum development project.
AB - INTRODUCTION: Critical care nurses have been involved in Rapid Response Teams
since their inception, particularly in medically led RRTs, known as Medical
Emergency Teams. It is assumed that critical care skills are required to escalate
care for the deteriorating ward patient. However, evidence to support critical
care nurses' involvement in METs is anecdotal. Currently, little is known about
the educational requirements for nurses involved in RRT or METs. OBJECTIVES: We
aimed to identify and describe what nurses involved in a MET consider the most
vital areas of knowledge and skill when delivering care to the deteriorating ward
patient. METHODS: An exploratory descriptive design was used and data was
collected at a session of the Australian and New Zealand Intensive Care Society
Rapid Response Team (ANZICS-RRT) Conference held at The Gold Coast, Australia in
July 2015. All conference delegates were eligible to take part. Conference
delegates totalled 293; 194 nurses, 89 doctors and 10 allied health
professionals. Data collection took place in three phases, over a 90-minute
period. First, demographic data were collected from all participants at the start
of data collection. These data were collected using paper-based surveys. Second,
extended response surveys; that is, paper-based surveys that asked open-ended
questions to elicit free text responses, were used to collect participants'
individual responses to the question: "What are the specific theoretical
knowledge, skills and behavioural attributes required in a curricula to prepare
nurses to be high functioning members of a MET?" Demographic, educational and
work characteristics were descriptively analysed using SPSS (version 22).
Participants perceptions of what knowledge, skills and attributes are required
for nurses to recognise and respond to clinical deterioration were thematically
analysed. RESULTS: Participants were predominantly female (88.3%, n = 91) with
54.4% (n = 56) holding a Bachelor of Nursing. Participants had a median of 20
years (IQR 16) experience as RNs, and a median of 14 years (IQR 13) experience in
critical care. Participants formed part of METs frequently, with nearly half the
cohort seeing clinically deteriorating patients more than once per day (37.9%, n
= 33) or daily (10%, n = 9). Thematic analysis of survey responses revealed four
main themes desired in Rapid Response Team Curricula: Clinical Deterioration
Theory, Clinical Deterioration Skills, Rapid Response System Governance, and
Professionalism and Teamwork. CONCLUSIONS: We suggest that a curriculum that
educates nurses on the specific requirements of assessing, managing and
evaluating all aspects of clinical deterioration is now required.
PMID- 29803015
TI - Monitoring preceptors' supportive role: A measuring instrument for increased
accountability.
AB - PURPOSE: This article describes the development of a measuring instrument to
monitor support offered by preceptors during their accompaniment of students in
clinical facilities. DESIGN: A quantitative methodological study design was used
to develop the instrument. METHODS: Data were collected by means of a self
completed questionnaire. Total sampling of 192 undergraduate nursing students was
done. Descriptive data analysis was conducted regarding the biographical
characteristics, Cronbach's alpha was computed to determine the reliability, and
an exploratory factor analysis was done to describe the construct validity of the
developed instrument. RESULTS: The Cronbach's alpha of 0.98 indicates high
reliability and high internal consistency. Three constructs regarding clinical
support, namely cognitive-, emotional- and system support were identified by
means of the exploratory factor analysis. CONCLUSION: The new conceptualisation
of support gives insight into the value of the preceptor's role. The instrument
designed for this study could be used to assess and monitor the support offered
by preceptors while they accompany students in clinical practice. CLINICAL
RELEVANCE: Considering the need to strengthen nursing and midwifery education
systems, this instrument contributes to measuring and monitoring clinical
accompaniment of students by preceptors.
PMID- 29803016
TI - miR-1388 regulates the expression of nectin2l in Paralichthys olivaceus.
AB - Spermatogenesis is the process during which spermatocytes undergo meiosis to
produce spermatozoa. Sertoli-Sertoli and Sertoli-spermatogenic junctions are
important for the maturity and release of sperms. These junctions, which are
related to male infertility, have been reported in mammals but are unclear in
teleost species. In this study, the RNAs in the gonads of 1.5-year-old Japanese
flounders were sequenced using Illumina. The miRNA expression profiles in the
mature gonads of males and females were characterized. A total of 277 mature
miRNAs were screened in both gonads. Among them, 25 miRNAs were expressed
differently in the ovary and testis. Afterward, miR-1388 was selected for its
high expression level in the ovary. Gene ontology analysis revealed that the
target genes of miR-1388 were highly involved in cell-cell adhesion. Nectin2l,
the target of miR-1388, is a Ca-independent cell adhesion molecule that was
demonstrated to be testis-biased via quantitative real-time PCR and located in
Sertoli cells and spermatocytes via in situ hybridization. Our present study
predicted the potential functions of sex-biased miRNA and indicated that miR-1388
regulates cell junctions by inhibiting nectin2l, which may have an important role
in the later development of testes. Our study lays the ground work of miRNAs'
function in the cell junctions of germ cells.
PMID- 29803018
TI - A narrative meta-synthesis of how people with schizophrenia experience
facilitators and barriers in using antipsychotic medication: Implications for
healthcare professionals.
AB - BACKGROUND: It is recognized that people who are diagnosed with schizophrenia
often do not fully adhere with their antipsychotic prescription. The vast
majority of previous research on the topic of medical adherence is limited to
quantitative research methods, and in particular, to determining correlations.
OBJECTIVES: The present review was designed to describe how people who are
diagnosed with schizophrenia experience and narrate pharmacological treatment
with antipsychotic medication. DESIGN: A narrative meta-synthesis. DATA
SOURCES/REVIEW METHOD: A search was conducted in three databases, PubMed, CINAHL
and PsycINFO, to identify qualitative original research. Nine articles met the
criteria for inclusion and were subjected to a qualitative interpretive meta
synthesis. RESULTS: The findings showed that patients were uninformed about
medication but valued talks about medication with professionals. The findings
also demonstrated that patients are motivated to take medication in order to gain
stability in their life and to be able to participate in life activities and in
relationships. Good support, both from relatives and professionals, also
motivates them to continue taking medication. The obstacles were side-effects,
pressure and compulsion, and rigid organizations. CONCLUSIONS: We advise
professionals to adopt a person-centered approach to healthcare when encountering
these patients and to transform the language used to describe patients from terms
denoting compliance and adherence to terms denoting cooperation and alliance.
Labeling patients as compliant or non-adherent may risk fortifying preconception
of patients as static beings and obscure the patients' individual recovery
process.
PMID- 29803017
TI - Hypoxia-Targeting Drug Evofosfamide (TH-302) Enhances Sunitinib Activity in
Neuroblastoma Xenograft Models.
AB - Antiangiogenic therapy has shown promising results in preclinical and clinical
trials. However, tumor cells acquire resistance to this therapy by gaining
ability to survive and proliferate under hypoxia induced by antiangiogenic
therapy. Combining antiangiogenic therapy with hypoxia-activated prodrugs can
overcome this limitation. Here, we have tested the combination of antiangiogenic
drug sunitinib in combination with hypoxia-activated prodrug evofosfamide in
neuroblastoma. In vitro, neuroblastoma cell line SK-N-BE(2) was 40-folds
sensitive to evofosfamide under hypoxia compared to normoxia. In IV metastatic
model, evofosfamide significantly increased mice survival compared to the vehicle
(P=.02). In SK-N-BE(2) subcutaneous xenograft model, we tested two different
treatment regimens using 30 mg/kg sunitinib and 50 mg/kg evofosfamide. Here,
sunitinib therapy when started along with evofosfamide treatment showed higher
efficacy compared to single agents in subcutaneous SK-N-BE(2) xenograft model,
whereas sunitinib when started 7 days after evofosfamide treatment did not have
any advantage compared to treatment with either single agent. Immunofluorescence
of tumor sections revealed higher number of apoptotic cells and hypoxic areas
compared to either single agent when both treatments were started together.
Treatment with 80 mg/kg sunitinib with 50 mg/kg evofosfamide was significantly
superior to single agents in both xenograft and metastatic models. This study
confirms the preclinical efficacy of sunitinib and evofosfamide in murine models
of aggressive neuroblastoma. Sunitinib enhances the efficacy of evofosfamide by
increasing hypoxic areas, and evofosfamide targets hypoxic tumor cells.
Consequently, each drug enhances the activity of the other.
PMID- 29803019
TI - Predicting the effectiveness of different mulching techniques in reducing post
fire runoff and erosion at plot scale with the RUSLE, MMF and PESERA models.
AB - Wildfires have become a recurrent threat for many Mediterranean forest
ecosystems. The characteristics of the Mediterranean climate, with its warm and
dry summers and mild and wet winters, make this a region prone to wildfire
occurrence as well as to post-fire soil erosion. This threat is expected to be
aggravated in the future due to climate change and land management practices and
planning. The wide recognition of wildfires as a driver for runoff and erosion in
burnt forest areas has created a strong demand for model-based tools for
predicting the post-fire hydrological and erosion response and, in particular,
for predicting the effectiveness of post-fire management operations to mitigate
these responses. In this study, the effectiveness of two post-fire treatments
(hydromulch and natural pine needle mulch) in reducing post-fire runoff and soil
erosion was evaluated against control conditions (i.e. untreated conditions), at
different spatial scales. The main objective of this study was to use field data
to evaluate the ability of different erosion models: (i) empirical (RUSLE), (ii)
semi-empirical (MMF), and (iii) physically-based (PESERA), to predict the
hydrological and erosive response as well as the effectiveness of different
mulching techniques in fire-affected areas. The results of this study showed that
all three models were reasonably able to reproduce the hydrological and erosive
processes occurring in burned forest areas. In addition, it was demonstrated that
the models can be calibrated at a small spatial scale (0.5 m2) but provide
accurate results at greater spatial scales (10 m2). From this work, the RUSLE
model seems to be ideal for fast and simple applications (i.e. prioritization of
areas-at-risk) mainly due to its simplicity and reduced data requirements. On the
other hand, the more complex MMF and PESERA models would be valuable as a base of
a possible tool for assessing the risk of water contamination in fire-affected
water bodies and for testing different land management scenarios.
PMID- 29803021
TI - Safety and efficacy of catheter ablation of ventricular arrhythmias with para
Hisian origin via a systematic direct approach from the aortic sinus cusp.
AB - BACKGROUND: Catheter ablation of ventricular arrhythmias (VAs) originating from
the para-Hisian region could be challenging because of a potential damage to
atrioventricular conduction system. OBJECTIVE: The purpose of this study was to
evaluate the safety and efficacy of catheter ablation of VAs originating from the
para-Hisian region via a systematic direct approach from aortic sinus cusps
(ASCs). METHODS: Twenty-one consecutive patients with VAs with para-Hisian origin
were included. Electrophysiological mapping of the entire right ventricle was
initially performed, and then retrograde ASC mapping was performed when the
earliest ventricular activation was recorded in the His bundle region. Ablation
was preferentially performed within ASCs in all patients. RESULTS: Radiofrequency
energy delivery resulted in the elimination of VAs in 17 of 21 patients (81%). In
the remaining 4 patients, radiofrequency application was initiated at the target
site of the right ventricular septum around the His bundle region and clinical
VAs were finally successfully eliminated without junctional rhythm in 2 of 4
patients. During a mean follow-up of 34.8 +/- 11.3 months, 1 of the 19 acute
successful patients had VA recurrence. No procedure-related complications
occurred during ablation or follow-up. CONCLUSION: Catheter ablation of VAs
originating from the para-Hisian region via a direct approach from ASCs may be
safe and effective in most unselected patients.
PMID- 29803022
TI - Racial and ethnic differences in the prevalence, management, and outcomes in
patients with atrial fibrillation: A systematic review.
AB - Atrial fibrillation (AF) is the most common cardiac arrhythmia in the United
States and is associated with increased morbidity, mortality, and health care
expenditures. In this review, our aim was to assess the racial and ethnic
differences in the epidemiology, management, and outcomes of patients with AF. A
search of relevant studies from January 1, 2007, to December 30, 2017, was
conducted in PubMed, EMBASE, and Web of Science and supplemented by manual
searches of the bibliographies of retrieved articles. We identified 152 studies
of which 64 were subsequently included. We found that underrepresented racial and
ethnic groups have a higher prevalence of established risk factors associated
with the development of AF but an overall lower incidence and prevalence of AF as
compared with non-Hispanic whites. Moreover, racial and ethnic differences exist
in detection, awareness, and AF-associated symptoms. Nonwhite populations also
experience decreased use of rhythm control modalities and anticoagulation for
stroke prevention. Lastly, among those with AF, underrepresented racial and
ethnic groups had increased morbidity and mortality relative to white groups.
Racial and ethnic differences exist in the prevalence, quality of life,
management, and outcomes of individuals with AF; however, the mechanisms for
these differences have yet to be fully elucidated. Racial and ethnic differences
in AF warrant further analysis to understand the factors contributing to the
differences in prevalence and management to ensure the delivery of high quality
care that prevents stroke, reduces deaths, and decreases expenses associated with
caring for underrepresented populations with AF.
PMID- 29803020
TI - Arterial hypertension drives arrhythmia progression via specific structural
remodeling in a porcine model of atrial fibrillation.
AB - BACKGROUND: Arterial hypertension (HT) contributes to progression of atrial
fibrillation (AF) via unknown mechanisms. OBJECTIVE: We aimed to characterize
electrical and structural changes accounting for increased AF stability in a
large animal model of rapid atrial pacing (RAP)-induced AF combined with
desoxycorticosterone acetate (DOCA)-induced HT. METHODS: Eighteen pigs were
instrumented with right atrial endocardial pacemaker leads and custom-made
pacemakers to induce AF by continuous RAP (600 beats/min). DOCA pellets were
subcutaneously implanted in a subgroup of 9 animals (AF+HT group); the other 9
animals served as controls (AF group). Final experiments included
electrophysiology studies, endocardial electroanatomic mapping, and high-density
mapping with epicardial multielectrode arrays. In addition, 3-dimensional
computational modeling was performed. RESULTS: DOCA implantation led to secondary
HT (median [interquartile range] aortic pressure 109.9 [100-137] mm Hg in AF+HT
vs 82.2 [79-96] mm Hg in AF; P < .05), increased AF stability (55.6% vs 12.5% of
animals with AF episodes lasting >1 hour; P < .05), concentric left ventricular
hypertrophy, atrial dilatation (119 +/- 31 cm2 in AF+HT vs 78 +/- 23 cm2 in AF; P
< .05), and fibrosis. Collagen accumulation in the AF+HT group was mainly found
in non-intermyocyte areas (1.62 +/- 0.38 cm3 in AF+HT vs 0.96 +/- 0.3 cm3 in AF;
P < .05). Left and right atrial effective refractory periods, action potential
durations, endo- and epicardial conduction velocities, and measures of AF
complexity were comparable between the 2 groups. A 3-dimensional computational
model confirmed an increase in AF stability observed in the in vivo experiments
associated with increased atrial size. CONCLUSION: In this model of secondary HT,
higher AF stability after 2 weeks of RAP is mainly driven by atrial dilatation.
PMID- 29803023
TI - How to perform left atrial appendage electrical isolation using radiofrequency
ablation.
PMID- 29803024
TI - Pesticide residues in honey bees, pollen and beeswax: Assessing beehive exposure.
AB - In order to study the distribution of pesticide residues in beekeeping matrices,
samples of live in-hive worker honey bees (Apis mellifera), fresh stored pollen
and beeswax were collected during 2016-2017 from 45 apiaries located in different
landscape contexts in Spain. A total of 133 samples were screened for 63
pesticides or their degradation products to estimate the pesticide exposure to
honey bee health through the calculation of the hazard quotient (HQ). The
influence of the surrounding environment on the content of pesticides in pollen
was assessed by comparing the concentrations of pesticide residues found in
apiaries from intensive farming landscapes to those found in apiaries located in
mountainous, grassland and urban contexts. Beeswax revealed high levels of
miticides used in beekeeping such as coumaphos, chlorfenvinphos, fluvalinate and
acrinathrin, which were detected in more than 75% of samples. Pollen was
predominantly contaminated by miticides but also by insecticides used in
agriculture such as chlorpyrifos and acetamiprid, which showed concentrations
significantly higher in apiaries located in intensive farming contexts.
Pesticides residues were less frequent and at lower concentrations in live honey
bees. Beeswax showed the highest average hazard scores (HQ > 5000) to honey bees.
Pollen samples contained the largest number of pesticide residues and relevant
hazard (HQ > 50) to bees. Acrinathrin was the most important contributor to the
hazard quotient scores in wax and pollen samples. The contributions of the
pesticides dimethoate and chlorpyrifos to HQ were considered relevant in samples.
PMID- 29803025
TI - Development of temperature-based algorithms for the estimation of
microphytobenthic primary production in a tidal flat: A case study in Daebu
mudflat, Korea.
AB - This study presents the results of field experiments that were designed to
investigate the photophysiological characteristics of microphytobenthos (MPB) and
to estimate primary production (PP) in Daebu mudflat, which is located at the
west coast of Korea. A typical seasonal (or monthly) fluctuation of intertidal
MPB PP was found in association with biotic (benthic Chl-a) and/or abiotic
parameters (irradiance and temperature) over a period of three years. From a
series of field-laboratory experiments using the oxygen micro-profiling method
(totaling 28 surveys), three consistent phenomena were observed: 1) winter to
early spring algal blooms, 2) seasonal changes in Q10, and 3) temperature
dependent MPB photosynthesis-irradiance (P-I). In particular, both the
chlorophyll-specific maximum photosynthetic capacity (Pbmax) and the saturated
light intensity (Ik), derived from 126 P-I curves (1870 data sets of oxygen micro
profiling in the sediment), were significantly correlated with sediment
temperature (p < 0.01). To develop an empirical MPB PP model, the relationships
between P-I parameters and environmental variables were parameterized following
established exponential forms (e.g., Q10). It was possible to estimate the MPB PP
in Daebu mudflat area by using easily accessible explanatory factor, suitable to
be used for future explorations of parameters such as sediment temperature,
irradiance, chlorophyll concentration, and tidal height. The estimated annual MPB
PP based on the empirical PP model were found to be greater than that in the
Wadden Sea and average annual PP in the temperate zones of the world. Authors
believe that the present approach of the MPB PP estimation could be combined with
remote-sensing techniques (e.g., satellites) to support coastal ecosystem
management.
PMID- 29803026
TI - Accumulate or eliminate? Seasonal mercury dynamics in albatrosses, the most
contaminated family of birds.
AB - Albatrosses (Diomedeidae) are iconic pelagic seabirds whose life-history traits
(longevity, high trophic position) put them at risk of high levels of exposure to
methylmercury (MeHg), a powerful neurotoxin that threatens humans and wildlife.
Here, we report total Hg (THg) concentrations in body feathers from 516
individual albatrosses from 35 populations, including all 20 taxa breeding in the
Southern Ocean. Our key finding is that albatrosses constitute the family of
birds with the highest levels of contamination by Hg, with mean feather THg
concentrations in different populations ranging from moderate (3.8 MUg/g) to
exceptionally high (34.6 MUg/g). Phylogeny had a significant effect on feather
THg concentrations, with the mean decreasing in the order Diomedea > Phoebetria >
Thalassarche. Unexpectedly, moulting habitats (reflected in feather delta13C
values) was the main driver of feather THg concentrations, indicating increasing
MeHg exposure with decreasing latitude, from Antarctic to subtropical waters. The
role of moulting habitat suggests that the majority of MeHg eliminated into
feathers by albatrosses is from recent food intake (income strategy). They thus
differ from species that depurate MeHg into feathers that has been accumulated in
internal tissues between two successive moults (capital strategy). Since
albatrosses are amongst the most threatened families of birds, it is noteworthy
that two albatrosses listed as Critical by the World Conservation Union (IUCN)
that moult and breed in temperate waters are the most Hg-contaminated species
(the Amsterdam and Tristan albatrosses). These data emphasize the urgent need for
robust assessment of the impact of Hg contamination on the biology of albatrosses
and they document the high MeHg level exposure of wildlife living in the most
remote marine areas on Earth.
PMID- 29803027
TI - Analysis of forty years long changes in coastal land use and land cover of the
Yellow Sea: The gains or losses in ecosystem services.
AB - The drastic land cover change and its impacts in the Yellow Sea have long been
significant issues in terms of coastal vulnerabilities, but holistic data
analysis is limited. The present study first reports 40 years long geographical
changes of the Yellow Sea coasts including all three neighboring countries of
China, North Korea, and South Korea. We delineated tidal flats by analysis of
Landsat series satellite imageries (662 scenes) between 1981 and 2016. A total
area of the Yellow Sea tidal flats has been considerably reducing for the past 36
years, from ~10,500 km2 (1980s) to ~6700 km2 (2010s), say ~1% annual loss. A
majority loss of tidal flats was mainly due to the grand reclamations that
conducted in almost entire coast of the Yellow Sea, particularly concentrated in
the 1990s-2000s. Coastal reclaimed area during the past four decades reached
~9700 km2, including ongoing and planned projects, which corresponds to over half
the area of precedent natural tidal flats of the Yellow Sea. The potential carbon
stocks in the eight representative regions with large scale reclamation indicated
significant loss in carbon sink capacity in the South Korea's coast (~99%), while
evidenced a lesser loss from the China's coast (~31%). It was noteworthy that the
progradation of tidal flats after the reclamation in China's coast significantly
reduced the loss of carbon sequestration. According to the ecosystem services
valuation for the Yellow Sea, a total loss was estimated as ~8 billion USD yr-1
with relatively high proportional loss (up to 25%) of climate regulating services
(viz., carbon sequestration). Overall, huge losses in ecosystem services being
provided by the Yellow Sea natural tidal flats need immediate action to prevent
or at least alleviate accelerating ecological deteriorations. Finally, future
conservative policy direction on coastal wetlands management has been proposed
towards enhancement of marine ecosystem services.
PMID- 29803028
TI - Characteristics and compound-specific carbon isotope compositions of sedimentary
lipids in high arsenic aquifers in the Hetao basin, Inner Mongolia.
AB - Organic matter, as an electron donor, plays a vital role in As mobilization
mediated by microorganisms during reductive dissolution of Fe/Mn oxides in
shallow aquifers. However, the specific types and sources of organic matter
involved in biogeochemical processes accelerating As mobilization are still
controversial. Both sediment and groundwater samples were collected at different
depths from aquifers of the Hetao Basin, a typical inland basin hosting high As
groundwater. Sedimentary lipids and their compound-specific carbon isotope ratios
were analyzed to evaluate characteristics and sources of organic matter. Results
show that sedimentary As were well correlated with Fe and Mn oxides, suggesting
that As exist as Fe/Mn oxide bound forms. Groundwater As far exceeded the
drinking water guide value of 10 MUg/L. Moreover, As concentrations in shallow
groundwater were relatively higher. Lipids in clay were mainly originated from
terrestrial higher plants, while that in fine sand samples were derived from
terrestrial higher plants, microorganism and petroleum. Shallow fine sand samples
were also characterized by evident in-situ biodegradation. Compound-specific
carbon isotope compositions of sedimentary lipids showed that short-chain n
alkanes and n-alkanoic acids had more positive delta13C values compared to long
chain compounds, especially in shallow fine sand samples. delta13CTOC were also
low in shallow fine sand samples. These results jointly indicate that these
lipids in shallow fine sand samples acted as carbon source for indigenous
microorganism and the short-chain components were particularly more vulnerable to
biodegradation, which may contribute to high As concentrations in shallow
groundwater. The new findings provide the first evidence that short chain length
n-alkyl compounds afforded a source of potential electron donors for microbially
mediated As mobilization process in the shallow aquifers.
PMID- 29803029
TI - Vertical profiles of lung deposited surface area concentration of particulate
matter measured with a drone in a street canyon.
AB - The vertical profiles of lung deposited surface area (LDSA) concentration were
measured in an urban street canyon in Helsinki, Finland, by using an unmanned
aerial system (UAS) as a moving measurement platform. The street canyon can be
classified as an avenue canyon with an aspect ratio of 0.45 and the UAS was a
multirotor drone especially modified for emission measurements. In the
experiments of this study, the drone was equipped with a small diffusion charge
sensor capable of measuring the alveolar LDSA concentration of particles. The
drone measurements were conducted during two days on the same spatial location at
the kerbside of the street canyon by flying vertically from the ground level up
to an altitude of 50 m clearly above the rooftop level (19 m) of the nearest
buildings. The drone data were supported by simultaneous measurements and by a
two-week period of measurements at nearby locations with various instruments. The
results showed that the averaged LDSA concentrations decreased approximately from
60 MUm2/cm3 measured close to the ground level to 36-40 MUm2/cm3 measured close
to the rooftop level of the street canyon, and further to 16-26 MUm2/cm3 measured
at 50 m. The high-resolution measurement data enabled an accurate analysis of the
functional form of vertical profiles both in the street canyon and above the
rooftop level. In both of these regions, exponential fits were used and the
parameters obtained from the fits were thoroughly compared to the values found in
literature. The results of this study indicated that the role of turbulent mixing
caused by traffic was emphasized compared to the street canyon vortex as a
driving force of the dispersion. In addition, the vertical profiles above the
rooftop level showed a similar exponential decay compared to the profiles
measured inside the street canyon.
PMID- 29803031
TI - Degradation of oxytetracycline under autotrophic nitrifying conditions in a
membrane aerated biofilm reactor and community fingerprinting.
AB - Pharmaceuticals in waterbodies are a growing concern due to their extensive uses
and adverse effects on aquatic life. Oxytetracycline (OTC) is one of tetracycline
antibiotic group used for treatment of animals and humans. This study evaluates
the simultaneous oxidation of OTC and ammonium under autotrophic nitrifying
conditions by using a membrane aerated biofilm reactor (MABR) as it provides an
appropriate environment for the antibiotic-degrading bacteria. The results showed
that MABR achieved fluxes of 1.62 mg OTC/m2.d and 1117 mg N/m2.d while the fluxes
of O2 (JOTC-O2) utilized for OTC and NH4-N (JNH4-N-O2) oxidation were calculated
to be 2.94 and 5105 mg O2/m2.d, respectively. Three transformation products, 4
Epi-OTC, alpha-Apo-OTC and beta-Apo-OTC, were identified and measured at ppb
levels. The biofilm community comprised of Bacteria environmental samples, b
proteobacteria, CFB group bacteria, g-proteobacteria, d-proteobacteria and a
proteobacteria.
PMID- 29803030
TI - Escherichia coli AlkB and single-stranded DNA binding protein SSB interaction
explored by Molecular Dynamics Simulation.
AB - Repair of alkylation damage in DNA is essential for maintaining genome integrity.
Escherichia Coli (E.coli) DNA repair enzyme AlkB removes methyl adducts including
1-methyladenine and 3-methylcytosine present in DNA by oxidative demethylation
from single-stranded DNA (ssDNA). E. coli single-stranded DNA binding protein
(SSB) selectively binds ssDNA in a sequence-independent manner. We have recently
shown that AlkB can repair methyl adduct present in SSB-coated ssDNA. In this
study, we aimed to elucidate details of AlkB-mediated DNA repair of SSB-bound DNA
substrate. Therefore, we generated a structural model of AlkB-SSB-ssDNA and using
Molecular Dynamics simulation analysis we show that flexibility of SSB-bound DNA
allows AlkB to bind in multiple ways. Our docking analysis of AlkB-SSB-ssDNA
structure revealed that the Cyt109 base is present in the hydrophobic cavity of
AlkB active site pocket. The characterization of AlkB-SSB interaction pattern
would likely to help in understanding the mode of alkylated DNA adduct
recognition by AlkB.
PMID- 29803032
TI - Influence of natural organic matter on horseradish peroxidase-mediated removal of
17alpha-ethinylestradiol: Role of molecular weight.
AB - Ubiquitous natural organic matter (NOM) plays a crucial role in the peroxidase
mediated transformation of phenolic pollutants in aquatic environment. As a
poorly defined polydispersed mixture of assorted organic substances with wide
molecular weight (MW) distribution, NOM has far prevented researchers from
finding out the primarily responsible components for the specific effect. In this
work, MW fractionated NOMs (Mf-NOMs) were used to investigate their roles on
horseradish peroxidase (HRP)-mediated transformation of 17alpha-ethinylestradiol
(EE2). The removal rate of EE2 was restrained in the presence of pristine or Mf
NOMs, and the inhibitory mechanism was MW-dependent. Low Mf-NOMs restrained the
enzymatic reaction by acting as competitive substrates, while high Mf-NOMs
retained freely dissolved EE2 which reduced its availability for enzymatic
reaction. The contribution of these two processes to the inhibition induced by
pristine NOM was further quantified and found to be relevant to the reaction
conditions, especially EE2 concentration. The findings of this work reveal more
complex influences of NOM on the enzymatic reaction than ever demonstrated, which
aids in understanding the fate of EE2 and other congener contaminants in natural
and municipal water.
PMID- 29803033
TI - AUDIT and AUDIT-C as screening instruments for alcohol problem use in
adolescents.
AB - BACKGROUND: The Alcohol Use Disorders Identification Test (AUDIT) is commonly
used in adults to screen for harmful alcohol consumption but few studies exist on
its use among adolescents. Our aim was to validate the AUDIT and its derivative
consumption questionnaire (AUDIT-C) as screening instruments for the detection of
problem use of alcohol in adolescents. METHODS: 621 adolescents (age-range, 12-19
years) were drawn from clinical and population samples who completed the AUDIT
questionnaire. Psychiatric diagnoses were assessed using K-SADS-PL. A rating
based on the K-SADS-PL was used to assess alcohol use habits, alcohol use
disorders, screening and symptom criteria questions. Screening performance of the
AUDIT and AUDIT-C sum scores and Receiver Operating Characteristic (ROC) curves
were calculated. The diagnostic odds ratios (dOR) were calculated to express the
overall discrimination between cut-offs. RESULTS: Comparisons of ROC between the
AUDIT and AUDIT-C pairs indicated a slightly better test performance by AUDIT for
the whole sample and in a proportion of the subsamples. Optimal cut-off value for
the AUDIT was >=5 (sensitivity 0.931, specificity 0.772, dOR 45.22; 95% CI: 24.72
83.57) for detecting alcohol problem use. The corresponding optimal cut-off value
for the AUDIT-C was >=3 in detecting alcohol problem use (sensitivity 0.952,
specificity 0.663, dOR 39.31; 95% CI: 19.46-78.97). Agreement between the AUDIT
and AUDIT-C using these cut-off scores was high at 91.9%. CONCLUSIONS: Our
results for the cut-off scores for the early detection of alcohol problem use in
adolescents are >=5 for AUDIT, and >=3 for AUDIT-C.
PMID- 29803034
TI - Mortality and causes of death among people who inject amphetamine: A long-term
follow-up cohort study from a needle exchange program in Sweden.
AB - BACKGROUND: Abuse of amphetamines is a worldwide problem with around 34 million
users, and amphetamine is commonly used by people who inject drugs (PWID).
Despite this, there is relatively little research on mortality and cause of death
among people who use amphetamines primarily. The present study aimed to examine
mortality and causes of death among people who inject amphetamine, and compare
these results to the general population. METHODS: This retrospective cohort study
was based on data from The Malmo Needle Exchange Program in Sweden (MNEP) and on
data from The Swedish National Cause of Death Register. Participants in the MNEP,
between 1987 and 2011, with registered national identity number and amphetamine
as their primary drug of injection use, were included in the study. Standardized
mortality ratios (SMR) was calculated for overall mortality and categories of
causes of death. RESULTS: 2019 individuals were included (mean follow-up-time
13.7 years [range 0.02-24.2 years], a total of 27,698 person-years). Of the 448
deceased, 428 had a registered cause of death. The most common causes of death
were external causes (n = 162, 38%), followed by diseases of the circulatory
system (n = 67, 16%). SMR were significantly elevated (8.3, 95% CI [7.5-9.1]) for
the entire study population, and for every category of causes of death
respectively. CONCLUSIONS: People injecting amphetamine as a primary drug were
found to have significantly elevated mortality compared with the general
population, with high rates of both external and somatic causes of death.
PMID- 29803035
TI - Identification of a bacteriophage from an environmental multidrug-resistant E.
coli isolate and its function in horizontal transfer of ARGs.
AB - Horizontal transfer of ARGs was generally considered to be mediated by three
methods - transformation, conjugation and transduction through phages - during
which the contribution of bacteriophages to gene transfer in the environment is
unclear or even questioned. In this study, a multiple-antibiotic-resistant
Escherichia coli strain and its phage (YZ1) were isolated from a municipal
wastewater treatment system. The results of the morphological and genomic
analyses of phage YZ1 showed that it is a member of the T7 viral genus in the
subfamily Autographivirinae. Its genome is similar to that of the E. coli phage
K1F in both organization and sequence and does not encode ARGs. However, 28
paired reads in the raw sequencing data aligned to ARGs, including those
promoting beta-lactam, aminoglycoside, and fluoroquinolone resistance, among
others. Quantitative PCR showed that ARGs were present in bacteriophage DNA
(approximately 103 copies/mL) and were also detected in the bacterial host DNA.
The results suggested that while infrequent, some ARG-carrying transducing phages
were presumably generated by erroneous packaging during infection of antibiotic
resistant bacteria, which may create the possibility of horizontal transfer of
ARGs.
PMID- 29803036
TI - Surface accumulation of low molecular weight dissolved organic matter in surface
waters and horizontal off-shelf spreading of nutrients and humic-like
fluorescence in the Chukchi Sea of the Arctic Ocean.
AB - Polar regions play unique roles in global overturning circulation, carbon
cycling, and climate change. In this study, seawater dissolved organic matter
(DOM) was characterized for the Chukchi Sea in the Arctic Ocean in the summer
season. The seawater generally contains high concentrations of dissolved organic
carbon (DOC, up to 92 MUM C) and tyrosine-like fluorescence (up to 0.21 RU), and
it was enriched with heteroatomic molecular formula with nitrogen-containing and
sulfur-containing formulas counting 2246 (~41% of total identified molecular
formula) and 1838 (~34%), respectively. Significant correlations were observed
between salinity and the absorption coefficient at 254 nm, between chlorophyll-a
and DOC as well as the tyrosine-like component, C270/302 (Cex/em maxima), and
between biological index and two protein-like components, C275/338 and C305/344.
A comparison between surface waters and close-to-seafloor deep waters suggested a
trend of the accumulation of low molecular weight (LMW) fraction (~54-74%,
nominal average molecular weight Mn < ~350 Da) in the surface waters. Another
interesting finding from spatial data was an obvious horizontal off-shelf
spreading of nutrients and humic-like fluorescence. This study sheds novel
insights of DOM characteristics and dynamics in the highly productive polar sea.
PMID- 29803037
TI - Removal of acidic pharmaceuticals by small-scale constructed wetlands using
different design configurations.
AB - To better understand the performance of constructed wetlands (CWs) to remove
acidic pharmaceuticals (APs) in wastewaters in subtropical areas and to optimize
CW design criteria, six small-scale CWs under different design configurations
were operated. The factors (environmental parameters, water quality, and
seasonality) influencing the APs removal were also analyzed to illustrate the
removal mechanisms. The results indicated that the best performances of CWs were
up to 80-90%. Subsurface flow (SSF) CWs showed high removal efficiency for
ibuprofen, gemfibrozil and naproxen, but surface flow (SF) CWs performed better
for ketoprofen and diclofenac. The positive relationship between the removal
efficiencies of ibuprofen, gemfibrozil, and naproxen with dissolved oxygen and
ammonia nitrogen reveals that SSF CWs under aerobic conditions benefit the
biodegradation, while the favorable conditions created by SF CWs for receiving
solar radiation promote the effective photolysis of ketoprofen and diclofenac.
Planted SSF CWs had significantly higher removal efficiencies of ibuprofen and
gemfibrozil than the unplanted controls had in all seasons. The removal of all
APs was higher in summer and autumn than those in winter. Furthermore, an inverse
relationship between removal efficiency and the distribution coefficient (logDow)
was observed in SF CWs. Overall, CWs that provide aerobic degradation and
photolysis would benefit APs removal in subtropical areas in the south of China.
PMID- 29803038
TI - Relating solute properties of contaminants of emerging concern and their
rejection by forward osmosis membrane.
AB - To elucidate the transport of emerging contaminants (CECs) in forward osmosis
(FO) membrane process according to their solute properties, the rejections of
CECs with various molecular weight, octanol/water partition coefficient (log
Kow), and dissociation constant (pKa) were investigated. Among 12 selected CECs,
negatively charged CECs exhibited the highest rejection efficiency than neutral
or positively charged CECs due to the electrostatic repulsion between negatively
charged CECs and membrane surfaces as well as diffusional hindrance by reversely
transported salts from draw stream. The statistical analysis showed that the
molecular weight was strongly correlated with the rejection of neutral CECs by
size exclusion. Moreover, the correlation between adsorption and log Kow value of
neutral CECs was observed due to the hydrophobic interaction. Positively charged
CECs exhibited higher adsorption, but lower rejection than the negatively charged
CECs due to the locally increased concentration by adsorption, and subsequent
migration in FO membrane.
PMID- 29803039
TI - Effects of mixed leaf litter from predominant afforestation tree species on
decomposition rates in the Three Gorges Reservoir, China.
AB - To determine whether mixed plantations can improve nutrient cycling and to
elucidate the mechanisms of such effects, a field litterbag experiment with seven
treatments involving Pinus massoniana (P.), Cupressus funebris (C.) and Quercus
variabilis (Q.) litter in equal mass proportions (pure litter; pairwise
combinations; and the combination of all three species) was conducted in a Pinus
massoniana plantation in the region of the Three Gorges Reservoir, China. We
measured mass loss and the release of C, N and P from the litter treatments and
assessed the effects of mixing litter in each sampling phase and for various
decomposition periods. At the end of the study, the mass loss and release of C, N
and P among the treatments relative to their initial contents ranged from 47.6%
to 62.8%, 59.5% to 75.2%, 63.5% to 78.2% and 58.9% to 72.6%, respectively.
Primary mass loss and nutrient release occurred during a phase with high
temperatures and precipitation, and decomposition was closely correlated with the
initial lignin/N ratio and N concentration. Compared with the decay values of
Quercus litter, mixing litter increased N release by 1.2% for the P. + Q. and C.
+ Q. combinations and increased P release by 3.0-6.3% for the three litter
mixture combinations. Additionally, the P. + Q. and C. + Q. two-species mixtures
exhibited greater decay than the three-species mixture. Mixing the two coniferous
species (P. + C.) also increased decomposition. Furthermore, positive nonadditive
mass loss occurred after incubation for 240 d, and mixing effects on the
nonadditive release of C, N and P occurred immediately in 60 d incubations in all
treatments. In conclusion, mixing these three species or two of species can
improve material cycling in plantations, and Quercus appears to be a priority
candidate for mixed planting with Pinus and/or Cupressus.
PMID- 29803040
TI - Factors affecting the water odor caused by chloramines during drinking water
disinfection.
AB - Chloramine disinfection is one of the most common disinfection methods in
drinking water treatment. In this study, the temporal variability of water odors
during monochloramine auto-decomposition was investigated to elucidate the
characteristics of odor problems caused by adopting chloramine disinfection in
tap water. Odor intensities and dominant odorant contributions were determined
using the flavor profile analysis (FPA) and odor active value (OAV),
respectively. During auto-decomposition of monochloramine, Cl2/N molar ratio, pH,
temperature, and the presence of NOM all affected odor intensity and odor
temporal variation in drinking water. In general, decreasing pH from 8.5 to 6.0
led to increasing perceived odor intensity due to the formation of dichloramine.
The major odorants responsible for chlorinous odor under acidic and non-acidic
conditions were dichloramine and monochloramine, respectively. Chloraminated
water with a Cl2/N molar ratio of 0.6 or NOM concentration <2 mg-C L-1 inhibited
odor intensity. Furthermore, the influence of rechlorination on chlorinous odor
intensity for chloraminated water should not be neglected. The results of this
study will be beneficial for the control of chlorinous odors caused by chloramine
disinfection in drinking water.
PMID- 29803041
TI - Characteristics of filterable and condensable particulate matter emitted from two
waste incineration power plants in China.
AB - Incineration technology is an effective treatment method for municipal solid
waste (MSW). In this study, fine particulate matter emissions from two waste
incineration power plants (WIPP) were characterized. Both filterable particulate
matter (FPM2.5) and condensable particulate matter (CPM2.5) were collected using
a direct sampling method. The FPM2.5 concentrations from stacks #1 and #2 in WIPP
A were 0.87 +/- 0.10 and 0.68 +/- 0.19 mg/m3, respectively, and 3.30 +/- 0.65
mg/m3 was measured at stack #3 in WIPP B. Fe was the most abundant elemental
component in the FPM2.5, followed by Na, Ca, Al, and K. Ca2+, SO42-, Cl-, and
NH4+ accounted for the largest fraction of the total detected water-soluble ions
in the FPM2.5. In the CPM2.5, Na was the most abundant elemental component,
followed by Ca, Mg, and K. The total detected water-soluble ions accounted for
22.2% and 27.3% of the CPM2.5 collected from stack #1 and #2, respectively. High
concentrations of NH4+ and NO3- were found in CPM2.5, which could be derived from
the escape of excessive NH3 in the denitrification unit and that of the NOx in
the flue gas, respectively. Alcohols, aromatic compounds, and ketones were the
major organic species in the CPM2.5. Both fly ash and bottom ash were collected
from WIPP A. Ca was the dominant element, followed by K, Mg, Na, and Fe. The
enrichment of elements in the fly ash and bottom ash were analyzed. The
enrichment factors of most elements were higher than 1, except for the Ti and Sn
in the bottom ash. The fly ash had a higher enrichment of Cd, As, and Ti than the
bottom ash. In contrast, Cu, Ni, and Cr had higher enrichments in the bottom ash
because of their low volatility.
PMID- 29803042
TI - Chlorophytes prolong mixotrophic Ochromonas eliminating Microcystis: Temperature
dependent effect.
AB - Cyanobacterial blooms, caused by eutrophication and climate warming, exert
severely negative effects on aquatic ecosystem. Some species of protozoans can
graze on toxic cyanobacteria and degrade microcystins highly efficiently, which
shows a promising way to control the harmful algae. However, in the field, many
different species of algae coexist with Microcystis and may affect protozoans
eliminating Microcystis. Therefore, in this study, we assessed the impacts of
chlorophytes, a type of beneficial algae for zooplankton and common competitors
of cyanobacteria, on flagellate Ochromonas eliminating toxin-producing
Microcystis at different temperatures. Our results showed that Ochromonas still
eliminated Microcystis population and degraded the total microcystins with the
addition of chlorophytes, although the time of eliminating Microcystis was
prolonged and temperature-dependent. Additionally, in the grazing treatments,
chlorophytes populations gradually increased with the depletion of Microcystis,
whereas Microcystis dominated in the mixed algal cultures without Ochromonas. The
findings indicated that although chlorophytes prolong mixotrophic Ochromonas
eliminating Microcystis, the flagellate grazing Microcystis helps chlorophytes
dominating in the primary producers, which is significant in improving water
quality and reducing aquatic ecosystem risks.
PMID- 29803043
TI - Expansion of a holoparasitic plant, Orobanche lutea (Orobanchaceae), in post
industrial areas - a possible Zn effect.
AB - Industrial waste sites, although extremely difficult to revegetate, may be
suitable for rare plants such as Orobanche lutea that are condemned to extinction
due to their low ability to compete in their natural habitats. The presence of
potentially toxic metals seems to facilitate the expansion of O. lutea
(parasitizing Medicago falcata) and was found in hundreds of exemplars per m2 in
south Poland and potentially could spread to other localities, causing yield loss
in agricultural plants. The main aim of this research was to characterize the
interaction between the host, the parasitic plant and symbiotic microbes under
different metal concentration in the substratum. The parasite was more common on
more polluted soil and when the parasite was connected to the host, potentially
toxic metals (Zn, Cd and Pb) were shared by both plants; thus, the content and
concentration of these potentially toxic metals in the host were lower than those
in plants without parasites. While the performance index (PIABS) of
photosynthesis was lower in parasitized plants on control soil, on metal-rich
industrial waste soil, PIABS was higher in the parasitized plants than in cases
where M. falcata grew alone. This result suggests a role of this parasite in
toxicity attenuation, although the biomass of parasitized plants and those
growing on polluted sites was lower than that in control sites. In the described
case, mycorrhizal colonization and arbuscular richness in M. falcata were even
more highly developed on polluted sites than in control ones. The data presented
support the hypothesis that the expansion of O. lutea is most likely supported by
the increased concentrations of Zn and Cd in areas connected with industrial
waste. Although, on industrial wastes the host yield was decreased in the
parasite presence, its photosynthetic capacity was even increased.
PMID- 29803044
TI - Hydrology-oriented forest management trade-offs. A modeling framework coupling
field data, simulation results and Bayesian Networks.
AB - Hydrology-oriented forest management sets water as key factor of the forest
management for adaptation due to water is the most limiting factor in the
Mediterranean forest ecosystems. The aim of this study was to apply Bayesian
Network modeling to assess potential indirect effects and trade-offs when
hydrology-oriented forest management is applied to a real Mediterranean forest
ecosystem. Water, carbon and nitrogen cycles, and forest fire risk were included
in the modeling framework. Field data from experimental plots were employed to
calibrate and validate the mechanistic Biome-BGCMuSo model that simulates the
storage and flux of water, carbon, and nitrogen between the ecosystem and the
atmosphere. Many other 50-year long scenarios with different conditions to the
ones measured in the field experiment were simulated and the outcomes employed to
build the Bayesian Network in a linked chain of models. Hydrology-oriented forest
management was very positive insofar as more water was made available to the
stand because of an interception reduction. This resource was made available to
the stand, which increased the evapotranspiration and its components, the soil
water content and a slightly increase of deep percolation. Conversely, Stemflow
was drastically reduced. No effect was observed on Runof due to the thinning
treatment. The soil organic carbon content was also increased which in turn
caused a greater respiration. The long-term effect of the thinning treatment on
the LAI was very positive. This was undoubtedly due to the increased vigor
generated by the greater availability of water and nutrients for the stand and
the reduction of competence between trees. This greater activity resulted in an
increase in GPP and vegetation carbon, and therefore, we would expect a higher
carbon sequestration. It is worth emphasizing that this extra amount of water and
nutrients was taken up by the stand and did not entail any loss of nutrients.
PMID- 29803045
TI - Removal of non-point source pollutants from domestic sewage and agricultural
runoff by vegetated drainage ditches (VDDs): Design, mechanism, management
strategies, and future directions.
AB - Domestic wastewater and agricultural runoff are increasingly viewed as major
threats to both aquatic and terrestrial ecosystems due to the introduction of non
point source inorganic (e.g., nitrogen, phosphorus and metals) and organic (e.g.,
pesticides and pharmaceutical residues) pollutants. With rapid economic growth
and social change in rural regions, it is important to examine the treatment
systems in rural and remote areas for high efficiency, low running costs, and
minimal maintenance in order to minimize its influence on water bodies and
biodiversity. Recently, the use of vegetated drainage ditches (VDDs) has been
employed in treatment of domestic sewage and agricultural runoff, but information
on the performance of VDDs for treating these pollutants with various new
management practices is still not sufficiently summarized. This paper aims to
outline and review current knowledge related to the use of VDDs in mitigating
these pollutants from domestic sewage and agricultural runoff. Literature
analysis has suggested that further research should be carried out to improve
ditch characteristics and management strategies inside ditches in order to ensure
their effectiveness. Firstly, the reported major ditch characteristics with the
most effect on pollutant removal processes (e.g., plant species, weirs, biofilms,
and substrates selection) were summarized. The second focus concerns the function
of ditch characteristics in VDDs for pollutant removal and identification of
possible removal mechanisms involved. Thirdly, we examined factors to consider
for establishing appropriate management strategies within ditches and how these
could influence the whole ditch design process. The current review promotes areas
where future research is needed and highlights clear and sufficient evidence
regarding performance and application of this overlooked ditch system to reduce
pollutants.
PMID- 29803046
TI - The effect of air change rate and temperature on phthalate concentration in house
dust.
AB - Semi-volatile organic compounds (SVOCs) are one of the main indoor pollutant
categories. Six phthalates (dimethyl phthalate (DMP), diethyl phthalate (DEP),
di(isobutyl) phthalate (DiBP), di(nbutyl) phthalate (DnBP), butyl benzyl
phthalate (BBzP) and di(2-ethylhexyl) phthalate (DEHP)) in house dust samples
were measured in forty residential apartments in Tianjin and Urumqi in four
seasons throughout a year. The measured DEHP dust-phase concentration is in the
range: 11.9-699.9 MUg/g; and showed obvious differences in different seasons, and
the maximum can be 2 times higher than minimum. The DiBP and DnBP showed similar
phenomenon. The corresponding gas-phase concentration is estimated considering
the influencing factors of indoor temperature, air change rate, particle
concentration. Then the dust-gas partition coefficient Kd under different season
was obtained through the measured dust-phase concentration and estimated gas
phase concentration. From winter to summer, because the increased temperature
leads to higher emission rate, the gas-phase concentration is obviously high in
spite of the higher air change rate in summer. The estimated DEHP gas-phase
concentration showed obvious differences in different seasons, and the maximum
can be about 2 times higher than minimum. The DiBP and DnBP showed similar
phenomenon. The lower dust-phase concentration in summer is observed due to the
temperature-dependency of the dust-gas partition coefficient. Therefore
temperature has the greatest impact on the dust concentration, not influence via
emission rate, but influences the partition coefficient Kd.
PMID- 29803047
TI - Vegetation matters: Correcting chamber carbon flux measurements using plant
volumes.
AB - Chamber carbon flux measurements are routinely used to assess ecosystem carbon
sink/source dynamics. Often these point measurements enclose considerable
vegetation biomass, with fluxes upscaled in space and time for each vegetation
type. Here we assess the importance of including the volume of peatland dwarf
shrub vegetation in chamber flux calculations and outline a simple but effective
method of assessing plant volumes. We show that inclusion of plant volumes
significantly affects fluxes and that this effect becomes greater as the
proportion of chamber volume occupied by plants increases. Moreover, we
demonstrate that, with an initial destructive laboratory assessment for each
plant species and a little practice at volume estimation, plant volumes can be
accurately assessed non-destructively in the field.
PMID- 29803048
TI - Assessing response of sediment load variation to climate change and human
activities with six different approaches.
AB - Understanding the relative contributions of climate change and human activities
to variations in sediment load is of great importance for regional soil, and
river basin management. Considerable studies have investigated spatial-temporal
variation of sediment load within the Loess Plateau; however, contradictory
findings exist among methods used. This study systematically reviewed six
quantitative methods: simple linear regression, double mass curve, sediment
identity factor analysis, dam-sedimentation based method, the Sediment Delivery
Distributed (SEDD) model, and the Soil Water Assessment Tool (SWAT) model. The
calculation procedures and merits for each method were systematically explained.
A case study in the Huangfuchuan watershed on the northern Loess Plateau has been
undertaken. The results showed that sediment load had been reduced by 70.5%
during the changing period from 1990 to 2012 compared to that of the baseline
period from 1955 to 1989. Human activities accounted for an average of 93.6 +/-
4.1% of the total decline in sediment load, whereas climate change contributed
6.4 +/- 4.1%. Five methods produced similar estimates, but the linear regression
yielded relatively different results. The results of this study provide a good
reference for assessing the effects of climate change and human activities on
sediment load variation by using different methods.
PMID- 29803049
TI - Predictors of coastal stakeholders' knowledge about seawater desalination impacts
on marine ecosystems.
AB - This study investigates variables that shape coastal stakeholders' knowledge
about marine ecosystems and impacts of seawater desalination. The influence of
trans-situational and situation-specific variables on self-assessed and factual
knowledge among coastal residents and commercial marine stakeholders. Data were
collected using a questionnaire based survey administered to a random sample of
coastal residents and commercial marine stakeholders in eight communities in
central California. Knowledge of biological features was higher than knowledge of
physical and chemical processes. Both trans-situational and situation-specific
variables were significant predictors of knowledge, in particular gender,
education, and ocean use patterns. TV and social media were the only information
sources that correlated negatively with knowledge. Predictors for distinct types
of knowledge were different and provide insights that could help target specific
ocean literacy gaps. The study also finds that commercial marine stakeholders
were more knowledgeable than other coastal residents. Having an economic stake in
the marine environment appears to be a strong motivation to be more educated
about the ocean.
PMID- 29803050
TI - Seasonal variation and size distributions of water-soluble inorganic ions and
carbonaceous aerosols at a coastal site in Ningbo, China.
AB - Size-fractioned aerosol samples were collected by an eight-stage Anderson sampler
for four seasons from November 2014 to August 2015 at a coastal and suburban site
in Ningbo, China, with a total of 270 samples were obtained. The seasonal
variations and size distributions of water-soluble inorganic ions (WSIIs),
carbonaceous aerosols (OC and EC), which consist of four organic carbon (OC1
OC4), pyrolyzed carbon (OP) and three elemental carbon fraction (EC1-EC3), were
investigated. For the sampling periods, the average total concentration of WSIIs,
OC and EC in PM1.1, PM1.1-2.1 and PM2.1-9.0 were 21.3 +/- 7 MUg/m3, 6.7 +/- 2.7
MUg/m3 and 12.8 +/- 1.9 MUg/m3, constituting 75.5%, 62.7% and 43.2% of the
different size particle mass, respectively. The predominant chemical species were
SO42-, NO3-, and OC. WSIIs, OC and EC all exhibited significant difference
between PM2.1 and PM2.1-9.0, reflecting their different sources. Ion balance
calculations showed that the acidity of aerosols increased with a decrease in
size, with the maximum of 1.07 in 1.1-2.1 MUm and the minimum of 0.47 in 2.1-9
MUm. It showed that size distributions of high-temperature carbon fraction such
as OC4, OP and EC1 were almost unimodal during all seasons as well as SO42- and
NH4+, in contrast, that of lower temperature carbon fraction (OC1-OC3), Mg2+, and
Ca2+ appear like bimodal. Furthermore, the high consistency between the size
distribution of OC4, OP and SO42-, NH4+ in all seasons suggests that the similar
or related generation process for the secondary organic and inorganic/ionic
species, which contribute the most significant component of the particulate
matter. Besides the secondary aerosols, primary carbonaceous aerosols (PC), which
may originate in emissions from mixed combustion or natural source, also
contributed a significant fraction of haze pollution, especially in autumn,
spring and summer.
PMID- 29803051
TI - Biodegradability of carbon nanotube/polymer nanocomposites under aerobic mixed
culture conditions.
AB - The properties and commercial viability of biodegradable polymers can be
significantly enhanced by the incorporation of carbon nanotubes (CNTs). The
environmental impact and persistence of these carbon nanotube/polymer
nanocomposites (CNT/PNCs) after disposal will be strongly influenced by their
microbial interactions, including their biodegradation rates. At the end of
consumer use, CNT/PNCs will encounter diverse communities of microorganisms in
landfills, surface waters, and wastewater treatment plants. To explore CNT/PNC
biodegradation under realistic environmental conditions, the effect of multi-wall
CNT (MWCNT) incorporation on the biodegradation of polyhydroxyalkanoates (PHA)
was investigated using a mixed culture of microorganisms from wastewater.
Relative to unfilled PHA (0% w/w), the MWCNT loading (0.5-10% w/w) had no
statistically significant effect on the rate of PHA matrix biodegradation.
Independent of the MWCNT loading, the extent of CNT/PNC mass remaining closely
corresponded to the initial mass of CNTs in the matrix suggesting a lack of CNT
release. CNT/PNC biodegradation was complete in approximately 20 days and
resulted in the formation of a compressed CNT mat that retained the shape of the
initial CNT/PNC. This study suggests that although CNTs have been shown to be
cytotoxic towards a range of different microorganisms, this does not necessarily
impact the biodegradation of the surrounding polymer matrix in mixed culture,
particularly in situations where the polymer type and/or microbial population
favor rapid polymer biodegradation.
PMID- 29803052
TI - An object-oriented Bayesian network approach for establishing swine manure-borne
natural estrogenic compounds budget.
AB - A facility-wide estrogen budget model was developed to assess the excretion of
natural estrogens by swine in a commercial swine farrowing concentrated animal
feeding operations (CAFO) in North Carolina, using an object-oriented Bayesian
network (OOBN) approach. The OOBN model is the combination of twelve objects of
Bayesian network models, which characterize the estrogen budget flows based on
the sow reproductive cycle (i.e., pre-estrus, estrus, and lactation) for the
three natural estrogen types [estrone (E1), 17beta-estradiol (E2), and estriol
(E3)] within each barn. This OOBN model provides a mechanism to quantify the
levels of the natural estrogens and their probabilistic distributions with regard
to estrogen type, waste sources such as urine, feces, and recycling lagoon
slurry, and animal reproductive status. Moreover, the OOBN model allows us to
assess the overall contribution of natural estrogen compounds from each
operational unit of the CAFO, while accounting for the uncertainties. Results
from the OOBN model indicate a rank order of lactating sows > gestating sows >
breeding sows in terms of contribution of estrogen loads to the total natural
estrogen budget. As to estrogen type, E1 was found as the major estrogen
metabolite with the summed concentrations of urine, feces, and flushing slurry
wastes exceeding 3000 ng/l > 90% of the time. As to waste sources, the flushing
slurry waste was found to be a major contributor of the estrogen budget compared
with urine and feces wastes from barn animals.
PMID- 29803053
TI - Estimating suspended sediment load with multivariate adaptive regression spline,
teaching-learning based optimization, and artificial bee colony models.
AB - The functional life of a dam is often determined by the rate of sediment delivery
to its reservoir. Therefore, an accurate estimate of the sediment load in rivers
with dams is essential for designing and predicting a dam's useful lifespan. The
most credible method is direct measurements of sediment input, but this can be
very costly and it cannot always be implemented at all gauging stations. In this
study, we tested various regression models to estimate suspended sediment load
(SSL) at two gauging stations on the Coruh River in Turkey, including artificial
bee colony (ABC), teaching-learning-based optimization algorithm (TLBO), and
multivariate adaptive regression splines (MARS). These models were also compared
with one another and with classical regression analyses (CRA). Streamflow values
and previously collected data of SSL were used as model inputs with predicted SSL
data as output. Two different training and testing dataset configurations were
used to reinforce the model accuracy. For the MARS method, the root mean square
error value was found to range between 35% and 39% for the test two gauging
stations, which was lower than errors for other models. Error values were even
lower (7% to 15%) using another dataset. Our results indicate that simultaneous
measurements of streamflow with SSL provide the most effective parameter for
obtaining accurate predictive models and that MARS is the most accurate model for
predicting SSL.
PMID- 29803054
TI - Combining sorption experiments and Time of Flight Secondary Ion Mass Spectrometry
(ToF-SIMS) to study the adsorption of propranolol onto environmental solid
matrices - Influence of copper(II).
AB - The bioavailability of pharmaceuticals is governed by their sorption in
soils/sediments, as the retention processes determine their concentration in
surface- and ground-water. The adsorption of these contaminants can involve
various solid components such as organic matter, clays and metallic oxides, and
their distribution among these solid components depends on contaminant and solid
properties. In this paper we studied the adsorption of the pharmaceutical
propranolol - a beta-blocker - on eight different solids (six soils, one sediment
and one kaolinite-based sample) by batch experiments. The influence of contact
time, propranolol concentration and pH was considered, as well as the presence of
copper(II). The investigated solids displayed a wide variability in terms of CEC
(cationic exchange capacity) and organic carbon and carbonates contents. The
influence of pH was negligible in the pH range from 5.5 to 8.6. The adsorbed
amounts were greatly dependent on the solid and two groups of solids were
evidenced: three soils of high CEC and organic carbon contents which retained
high amounts of propranolol, and three soils, the sediment and the kaolinite
based sample (low CEC and organic carbon content) displaying a low adsorption
capacity for the beta-blocker. A linear model enabling the determination of the
sorption parameters Kd and Koc was pertinent to describe the adsorption isotherms
but the Koc values showed a great variability. It was shown that organic carbon
content alone could not explain propranolol adsorption. The CEC value was
identified as influent parameter and a simple empirical model was proposed to
describe propranolol adsorption. At microscopic and molecular scales, ToF-SIMS
experiments indicated (i) a decrease of potassium on the surface upon propranolol
adsorption with a distribution of the beta-blocker similarly to alumino
silicates, iron and organic carbon on the surface confirming a cation exchange
mechanism and (ii) the absence of degradation products and copper-propranolol
complexes.
PMID- 29803055
TI - Newly-established free water-surface constructed wetland to treat agricultural
waters in the low-lying Venetian plain: Performance on nitrogen and phosphorus
removal.
AB - Constructed wetlands offer promising solutions for controlling nutrient pollution
in agricultural systems with relatively low costs and energy inputs. In mainly
central and northern Italy, semi-natural and reconstructed Free-Water Surface
Constructed Wetlands (FWS CWs) are designed to treat nonpoint-source pollution
from agricultural catchments. However, their performance depends on system design
and time of establishment. This paper evaluates the efficiency of a recently
established FWS CW to remove nonpoint-source nutrient pollution due to
agricultural drainage in the low-lying Venetian plain (NE Italy). The system was
established in 2014 by creating five consecutive sub-basins vegetated with
macrophytes to restore a semi-natural wetland, and later monitored in terms of
water quality parameters and nutrients removal over three consecutive
agricultural seasons (2014-2016). Total (TN) and nitrate (N-NO3) nitrogen
concentrations showed peaks (16.37 and 15.31 mg l-1 for TN and N-NO3,
respectively) in the various sub-basins during spring 2015, associated with
fertilisation of surrounding croplands and intense rain events. Performance
improved over the three years, with increasing median removals of TN (33.3-49.0%)
and N-NO3 (32.2-80.5%), corresponding to average mass of 1355 kg y-1 and 1011 kg
y-1 for TN and N-NO3. Concentrations of ammonium (N-NH4) and orthophosphate (P
PO4) were generally low (<1 and <0.3 mg l-1 for N-NH4 and P-PO4, respectively),
with average yearly mass removals of 50 kg for N-NH4 and 9 kg for P-PO4.
According to the overall treatment performance, the FWS CW could treat a total
area of about 30 ha with a wetland/catchment ratio of 7%. However, we expect that
treatment efficiency will increase as a result of bank stabilisation and
improvement of the aquatic environment, together with increases in surface
vegetation.
PMID- 29803056
TI - Posttraumatic Trigeminal-Cavernous Fistula.
AB - INTRODUCTION: Persistent trigeminal artery is the most frequent embryonic
communication between the vertebrobasilar and carotid systems. To the best of our
knowledge, posttraumatic trigeminal-cavernous fistula is rarely reported in the
literature. CASE PRESENTATION: We present a 47-year-old man with posttraumatic
trigeminal-cavernous fistula, which we treated using Onyx embolization.
CONCLUSION: Even though preservation of the parent artery is generally considered
a desirable goal in fistula treatment, in special cases such as Salzmann type 2
anatomy plus a unique fistulous orifice accompanied by external carotid-cavernous
fistula, it is required to embolize the persistent trigeminal artery and
cavernous sinus.
PMID- 29803057
TI - Disappearance of Ruptured Posterior Cerebral Artery Aneurysm Associated with
Internal Carotid Artery Occlusion After Superficial Temporal Artery-to-Middle
Cerebral Artery Bypass.
AB - BACKGROUND: Internal carotid artery (ICA) occlusion associated with posterior
cerebral artery (PCA) aneurysms is regarded as a rare cerebrovascular disease.
Common treatment of aneurysms-direct clipping or coiling-is not taken into
consideration for this kind of cerebrovascular property. Combined surgical
cerebrovascular reconstruction of the superficial temporal artery-to-middle
cerebral artery (STA-MCA) bypass offers a chance to downregulate the hemodynamic
stress of aneurysm rupture. CASE DESCRIPTION: A 46-year-old female presented with
a fever and headache 1 month ago. An axial computed tomography scan showed a
subarachnoid hemorrhage (SAH). Digital subtraction angiography (DSA) was
conducted, and the patient received an STA-MCA bypass following medical treatment
for 1 month in the local hospital. Computed tomography angiography and DSA
demonstrated an aneurysm located on the right PCA and an occlusion of the right
ICA in our hospital. Three days after admission, the right STA-MCA bypass was
performed. The patient suffered no neurologic deterioration and lived a normal
life. 6 months after the STA-MCA bypass, DSA of the right vertebral artery
revealed disappearance of the aneurysm located on the right PCA (P2 segment).
CONCLUSIONS: Owing to ICA occlusion, the gap of hemodynamic stress between the
posterior segment of the circle of Willis and anterior segment of the circle of
Willis enlarged. This may lead to a ruptured PCA aneurysm. In this case, the
aneurysm disappeared following an STA-MCA bypass. STA-MCA bypass may be one of
the major reasons downregulating the gap, which can be regarded as an effective
option concerning such aneurysms.
PMID- 29803058
TI - The Effect of Cigarette Smoking on Wound Complications After Single-Level
Posterolateral and Interbody Fusion for Spondylolisthesis.
AB - OBJECTIVE: To evaluate the impact of cigarette smoking on the development of
wound complications, including wound dehiscence, superficial infection, deep
infection, or organ space infection, within the first 30 postoperative days in
patients undergoing surgery for spondylolisthesis. METHODS: The American College
of Surgeons National Surgical Quality Improvement Program (ACS-NSQIP) database
for the years 2012-2014 was used to identify adult patients who underwent single
level posterolateral fusion (PLF) or interbody fusion for spondylolisthesis.
Wound complications occurring within 30 days were compared between current
smokers and nonsmokers. RESULTS: A total of 1688 patients who underwent single
level PLF or interbody fusion for spondylolisthesis were identified, among whom
271 were current smokers (16.1%). The overall wound complication rate was 3.3%
for smokers versus 1.8% for nonsmokers (P = 0.095). When stratified by operative
technique, the rate of wound complications was not significantly different
between smokers and nonsmokers undergoing PLF (2.4% vs. 2.6%; P = 1.00); however,
smokers who underwent interbody fusion were more likely to experience a wound
complication compared with nonsmokers undergoing interbody fusion (3.7% vs. 1.3%;
P = 0.028). On multivariate analysis, smoking was an independent predictor of
organ/space infection irrespective of fusion technique used (odds ratio, 15.4;
95% confidence interval, 1.34-175.4; P = 0.028). CONCLUSIONS: The rate of wound
complications was not higher in smokers undergoing PLF alone, but was
significantly higher in smokers who underwent interbody fusion. However,
multivariate analysis identified smoking as an independent predictor of
organ/space infection irrespective of fusion technique used.
PMID- 29803059
TI - Surgery-Related Coping in Surgery Patients with Intracranial Tumors.
AB - BACKGROUND: Neurosurgical brain tumor removal poses a unique threat for patients
while also minimizing instrumental control. Thus, psychological processes used by
patients to cope with surgery-related anxiety are of utmost importance. This is
the first study to assess both nature and effectiveness of surgery-related coping
efforts in neuro-oncological patients. METHODS: We included 70 inpatients with an
intracranial tumor before neurosurgical treatment. For assessment of patients'
coping efforts, we used the Coping with Surgical Stress Scale, which includes the
5 subscales: Rumination, Optimism and Trust, Turning to Social and Religious
Resources, Threat Avoidance, and Information Seeking. The extent of operation
specific anxiety was assessed via the State-Trait-Operational-Anxiety Inventory.
Effectiveness of coping efforts was assessed via relations to other areas of
psychosocial well-being (e.g., depression or health-related quality of life).
RESULTS: All patients reported using a variety of coping strategies, most
frequently from the area of Optimism and Trust, which was associated with less
surgery-related anxiety and better emotional well-being. By contrast, Rumination
was positively correlated with surgery-related anxiety and psychiatric morbidity.
Mediator analyses supported a model in which surgery-related anxiety initiates
coping efforts, which then distinctively mediates the influence of patients'
anxiety on psychosocial well-being. CONCLUSIONS: Neuro-oncologic patients
undertake considerable psychological effort to cope with surgery-related anxiety.
The majority of patients use coping strategies facilitating emotional adjustment.
A minority of patients, however, report extensive rumination, which negatively
influences psychosocial well-being. Our results stress the importance of the
doctor-patient relationship and offer implications for targeted interventions.
PMID- 29803060
TI - Relationship of Morphologic Changes in the Brain and Spinal Cord and Disease
Symptoms with Cerebrospinal Fluid Hydrodynamic Changes in Patients with Chiari
Malformation Type I.
AB - BACKGROUND: Morphometric analysis or examination of symptoms in patients with
Chiari malformation type I (CM-I) with various associations does not suffice for
evaluation of surgical outcome of these patients. We assessed the relationship of
morphologic changes in brain and spinal cord and disease symptoms with changes in
cerebrospinal fluid (CSF) hydrodynamic parameters in patients with CM-I. METHODS:
The study included 41 patients in 3 groups of CM-I, CM-I/occipitoatlantoaxial
joint instability, and CM-I/tethered cord syndrome and 18 normal subjects. Phase
contrast magnetic resonance imaging and computational fluid dynamics analysis
were done for all samples. RESULTS: Maximum CSF velocities and pressures in
patients had an increase of 17.1%-23.2% and 41.5%-56.8%, respectively, compared
with normal subjects. The data dispersion of maximum CSF velocity was >3.1 times
that of the maximum pressure. Results showed that maximum CSF pressure is a more
appropriate hydrodynamic parameter than maximum CSF velocity for assessing the
condition of patients. Results also showed that CSF and PCF volumes had declined
57% and 11.3%, respectively, in CM-I. These declines were greater in CM-I than in
the other 2 groups. CONCLUSIONS: Maximum CSF pressure regardless of the group the
patients belonged to was similar in patients with symptoms of similar intensity.
The correlation between maximum CSF pressure with CSF and PCF volumes decreased
secondary to the disease. PCF volume was more favorable than CSF volume for
assessing intensity of disease symptoms. Furthermore, in a constant pressure
change, sensitivity of PCF volume in CM-I/occipitoatlantoaxial joint instability
and CM-I/tethered cord syndrome groups was more than in the CM-I group.
PMID- 29803061
TI - Cerebral Abscess in Young Adult with Hypoplastic Left Heart Syndrome.
AB - BACKGROUND: Cerebral abscesses are rare, potentially life-threatening entities
that result from local spread or hematogenous dissemination to the brain. Prompt
diagnosis and initiation of treatment is key in lowering morbidity and preventing
mortality associated with cerebral abscesses. Congenital heart diseases with
right-to-left intracardiac shunts can be complicated by brain abscesses via
paradoxical embolism of infected microthrombi. Hypoplastic left heart syndrome
(HLHS) is a rare congenital heart disease characterized by an underdeveloped left
heart that uses intracardiac shunting to achieve adequate systemic circulation.
We present the first reported case of a cerebral abscess in a patient with HLHS.
The pathophysiology of HLHS and how it predisposes patients to development of
cerebral abscesses is discussed. CASE DESCRIPTION: A 25-year-old male was
transferred to our institution complaining of headache, nausea, and vomiting. The
patient was not an intravenous (IV) drug user, and serologic testing was negative
for human immunodeficiency virus. Magnetic resonance imaging revealed a 2.6-cm
left occipital abscess, which was surgically resected without complication, and
the patient was treated with IV antibiotics. CONCLUSION: HLHS is a rare
congenital cardiac malformation. The long-term outcome of patients with this
condition continues to improve as treatment paradigms evolve. As such, patients
with HLHS are living longer, and their potential to develop cerebral abscesses
secondary to their unique systemic circulation is increasing with improved life
expectancy. Surgeons and physicians should maintain a high index of suspicion for
cerebral abscess in the setting of HLHS.
PMID- 29803062
TI - Intraoperative Anterior Migration of the Prestige-LP Cervical Disc Owing to an
Inappropriate Implantation Sequence During Continuous 2-Level Artificial Cervical
Disc Replacement: A Case Report with 8-Year Follow-Up.
AB - BACKGROUND: Owing to its unique advantages, 2-level artificial cervical disc
replacement (ACDR) is gaining attention. Among artificial discs designed for use
in ACDR, the Food and Drug Administration-approved Prestige-LP Cervical Disc is
widely used. There are no standard implantation sequences for 2-level ACDR using
the Prestige-LP disc, and complications resulting from inappropriate implantation
sequences remain unknown. CASE DESCRIPTION: A 45-year-old woman underwent
continuous 2-level ACDR using the Prestige-LP disc and experienced anterior
migration of a previously inserted artificial disc after secondary disc
implantation at an upper segment owing to an inappropriate implantation sequence
during surgery. Intraoperative radiographs showed stable index levels and
artificial discs. We tapped the migrated disc back into its correct position and
recommended a postoperative functional exercise plan to the patient. We followed
the patient for 8 years to verify the safety of our solution. We developed an
implantation strategy for 2-level ACDR to avoid this complication in the future.
CONCLUSIONS: During 2-level ACDR, a top-down sequence should be used to implant
prostheses. When anterior disc migration occurs, intraoperative radiographs
should be obtained to ensure stability of the index levels. If there is no
instability, the migrated tab can be tapped back into its correct position. In
addition, limiting motion rather than allowing intermittent movement of the neck
for at least 3 months is important to promote union between bone and prosthesis.
PMID- 29803063
TI - Psychosocial Difficulties in Adolescent Idiopathic Scoliosis: Body Image, Eating
Behaviors, and Mood Disorders.
AB - OBJECTIVE: To examine the recent literature on psychologic comorbidities
prevalent in youth living with adolescent idiopathic scoliosis (AIS)-including
body image, eating behaviors, and mood disorders-to improve patient outcomes.
METHODS: A comprehensive literature review was performed using the PubMed
database. Eligible studies were extracted based on defined inclusion criteria,
and the effects of AIS on psychologic comorbidities were evaluated. Studies were
categorized and analyzed based on 3 recurrent themes: body image, eating
behaviors, and mood. RESULTS: Body image presents the most important link between
psychosocial difficulties and AIS. Self-reported body image is a significant
factor for successful treatment outcomes in AIS. As such, specific patient
reported outcome measures have recently been developed and validated to aid in
the treatment of AIS. Although patients with AIS consistently demonstrate altered
anthropometry compared with their healthy counterparts, links between these
factors and pathologic behaviors, such as abnormal fear of gaining weight or
disordered eating, are not clear. Equally unclear is the association between AIS
and altered moods, notably depression and anxiety, because of the wide variety of
disparate instruments used to measure mood disorders in patients with AIS.
CONCLUSIONS: Patients with AIS undergoing treatment often face psychosocial
difficulties. Together, the current literature points to a growing understanding
and appreciation of the psychosocial aspects of AIS, but a clear need for more
study is needed to optimize treatment of these patients.
PMID- 29803064
TI - Predicting O6-Methylguanine-DNA Methyltransferase Protein Expression in Primary
Low- and High-Grade Gliomas Using Certain Qualitative Characteristics of Amide
Proton Transfer-Weighted Magnetic Resonance Imaging.
AB - OBJECTIVE: To demonstrate that certain qualitative amide proton transfer-weighted
(APTw) characteristics can provide practical imaging clues for predicting O6
methylguanine-DNA methyltransferase (MGMT) protein expression in primary low- and
high-grade gliomas, preoperatively and noninvasively. METHODS: Pathologically
confirmed low- and high-grade gliomas with APT data and immunohistochemical (IHC)
reports were recruited in this study. The MGMT protein expression status was
classified by postsurgery specimen immunostaining. Subjects were divided into two
groups, MGMT-positive and MGMT-negative group, according to the immunoreactivity
of MGMT protein expression documented in IHC reports. APTw images scanned at 3T
magnetic resonance preoperatively were retrospectively analyzed. Two
neuroradiologists were trained to evaluate presence of certain APTw features.
Kappa value was calculated to show the consistency between the 2 observers. The
Mann-Whitney U test was used to evaluate relationships between the 2 groups on
APTw features. Negative predictive value and positive predictive value was used
to evaluate the ability of APTw characteristics in predicting MGMT protein
expression. Receiver operating characteristic curve was used to evaluate the
diagnostic performance of APTw characters. Two-tailed P < 0.05 was considered as
statistically significant. RESULTS: Forty-two subjects were recruited in this
study. Among them 38 specimens presented positive MGMT immunostaining (MGMT
positive group), 4 specimens were negative MGMT immunostaing (MGMT-negative
group). There were, respectively, 37 and 5 APTw images appeared positive and
negative APTw features. Differences between tumors of positive and negative MGMT
expression on qualitative APTw features were significant (P = 0.020). The
consistency coefficient of the 2 observers was 0.876 (kappa = 0.876). Three of
five llgliomas with negative APTw features showed MGMT-negative immunostaining,
leading to a negative predictive value of 60%, and 36 of 37 cases presenting
positive APTw characteristics were tumors of MGMT-positive expression, generating
a positive predictive value of 97.3%. The area under curve was 0.849.
CONCLUSIONS: APTw characteristics could be promising imaging markers by which to
predict IHC MGMT expression in primary low- and high-grade gliomas preoperatively
and noninvasively.
PMID- 29803065
TI - Fracture and Embolization of Distal Guide Catheter During Neuroendovascular
Intervention.
AB - BACKGROUND: Embolic strokes mainly result from cardiac or vascular origins, but
there are instances when they can arise from iatrogenic foreign bodies. Catheter
coatings or retained microcatheters are mainly implicated in foreign body
embolism following neuroendovascular intervention. However, there are no known
descriptions for free-floating and/or dislodged microcatheter fragments in the
literature. CASE DESCRIPTION: We report the clinical details, imaging findings,
and management of a 69-year-old woman who underwent elective pipeline
embolization of an incidental right paraophthalmic artery aneurysm and suffered
distal embolization of the radiopaque marker from a distal guide catheter (088
Neuron Max, Penumbra, Alameda, California, USA) used during neuroendovascular
intervention. To the best of our knowledge, this is the first reported case of
distal embolism from an unprovoked fracture of a neurointerventional catheter.
CONCLUSIONS: Knowledge of several salvage techniques is essential in preventing
major cerebrovascular complications. It is imperative that a multilevel approach
should be taken when treating foreign body embolism.
PMID- 29803066
TI - Primary Central Nervous System Lymphoma Presenting as Growing Intracerebral
Hemorrhage.
AB - BACKGROUND: Hemorrhage at presentation in primary central nervous system (CNS)
lymphoma is rare. We encountered a case of primary CNS lymphoma presenting as a
growing intracerebral hemorrhage. CASE DESCRIPTION: An 80-year-old man presented
with mild dysarthria. Computed tomography demonstrated a round, high-density mass
with surrounding vasogenic edema in the left frontal lobe. Although the patient
was placed on antihypertensive therapy for suspected subacute subcortical
hemorrhage, neurologic symptoms gradually worsened. Computed tomography after 2
weeks revealed that the high-density lesion and surrounding edema had increased
in size compared with previous images. The patient had been transferred to our
hospital 14 days after admission to another institution. Magnetic resonance
imaging demonstrated a mass lesion comprising hemorrhage of different phases in
the left frontal lobe. Contrast-enhanced T1-weighted imaging demonstrated a mass
lesion with heterogeneous enhancement in the left frontal lobe. The patient
underwent craniotomy with gross total removal of the hemorrhagic lesion. The
histopathologic diagnosis was diffuse large-cell non-Hodgkin lymphoma, and
immunohistochemistry showed high immunoreactivity for vascular endothelial growth
factor. CONCLUSION: Although exceedingly rare, primary central nervous system
lymphoma can present as growing intracerebral hemorrhage due to repeated
intratumoral hemorrhages. High expression of vascular endothelial growth factor
and the mass effects of hemorrhage could be associated with the onset and growth
of intracerebral hemorrhage. Early evaluation and meticulous observation are
important to avoid progressive, life-threatening situations in such cases.
PMID- 29803067
TI - Effect of Temporal Neocortical Pathology on Seizure Freeness in Adult Patients
with Temporal Lobe Epilepsy.
AB - BACKGROUND: Temporal lobe epilepsy (TLE) is the most common form of focal
epilepsy. Focal cortical dysplasia is the most common dual pathology found in
association with the hippocampal sclerosis. In this study, the effect of dual
pathology on freedom from seizure was sought in patients with TLE. METHODS: This
study performed a retrospective analysis of patients with TLE who underwent
surgery between 2010 and 2017. Histopathologic analysis was performed on patients
with and without dual pathology in the temporal neocortex. Seizure outcomes were
compared. RESULTS: A total of 54 patients with TLE were included. The rate of
overall favorable seizure outcome was found to be 96.3%. In 53.7%, dual pathology
was present in the temporal cortices in addition to the hippocampal sclerosis.
Patients without dual pathology showed significantly greater freedom from seizure
(P = 0.02). CONCLUSIONS: Patients without dual pathology had a significantly
higher seizure-free rate after anterior temporal resection than patients with
dual pathology. Resection of the temporal cortex in addition to mesial temporal
structures seems to be reasonable for better seizure outcome.
PMID- 29803068
TI - Prophylactic Bevacizumab May Mitigate Radiation Injury: An Experimental Study.
AB - BACKGROUND: Stereotactic radiosurgery (SRS) is widely used to treat brain
pathologies alone or in concert with other treatment modalities. However, there
are some side effects, such as radiation injury characterized by edema and
necrosis in peripheral tissues, that must be managed. A new treatment agent
against this side effect is bevacizumab, which targets increased vascular
endothelial growth factor (VEGF) as a prominent etiologic factor in radiation
injury. In this study, we created a rat experimental model to describe the
effects of both radiation and the anti-VEGF monoclonal antibody bevacizumab
following high-dose SRS, and to compare the effects of prophylactic and delayed
onset bevacizumab treatment. METHODS: Fifty-four adult male Wistar rats were
allocated into 9 groups based on differing Gamma-knife surgery (GKS) doses and
bevacizumab treatment protocols. After 12 weeks, the rats' right frontal lobes
were examined with hematoxylin and eosin staining and immunohistochemistry
analysis via VEGF and CD31 antibodies. RESULTS: Radiation necrosis occurred to
varying degrees in all irradiated animals between 3 and 10 weeks post-SRS. Higher
GKS dose (50% isodose of 100 Gy) led earlier necrosis and prophylaxis of
bevacizumab at this dose was associated with delayed onset of necrosis. Moreover,
prophylactic bevacizumab mitigated the effects of radiation necrosis following
GKS at both doses, whereas this effect was not prominent with late initiation of
bevacizumab (treatment protocol). CONCLUSIONS: Our findings show that the onset
and degree of radiation injury are affected by the GKS dose and protocol of
bevacizumab administration.
PMID- 29803069
TI - Ileus Following Adult Spinal Deformity Surgery.
AB - BACKGROUND: Postoperative ileus (POI) is a common complication after spine
surgery, with particularly high rates after adult spinal deformity (ASD) surgery.
Few studies have been conducted on predictors of POI following ASD surgery. The
objective of this study was to determine risk factors for POI in patients
undergoing ASD surgery and to determine association between POI and in-hospital
mortality, length of stay, and total charges. METHODS: Data were obtained from
the National (Nationwide) Inpatient Sample, years 2010-2014. Patients with ASD
>=26 years-old were selected using International Classification of Diseases,
Ninth Revision, Clinical Modification codes. Multiple logistic and linear
regressions were used. RESULTS: The analysis included 59,410 patients; 7.4% of
patients had POI. On adjusted analysis, the following variables were associated
with increased risk of POI: male sex (OR = 1.43; CI, 1.10-1.85), anterior
surgical approach (OR = 1.78; CI, 1.22-2.60), >=9 levels fused (OR = 1.84; CI,
1.24-2.73), electrolyte disorders (OR = 2.70; CI, 2.15-3.39), and pathologic
weight loss (OR = 1.94; CI, 1.08-3.46). POI was associated with significantly
longer length of stay (+39% [CI, 29%-51%]) and higher total charges (+23% [CI,
14%-31%]). CONCLUSIONS: Risk factors for POI were identified. Length of stay was
2.9 days longer in patients with POI, and total charges were approximately
$80,000 higher. These results may be applied clinically to identify patients at
risk of POI and to address modifiable risk factors preoperatively. Future studies
should be conducted with additional data to develop models capable of accurately
predicting and preventing POI.
PMID- 29803070
TI - A double-tracer radioisotope approach to assess simultaneous bioaccumulation of
caesium in the olive flounder Paralichthys olivaceus.
AB - To better understand bioaccumulation of radiocaesium in the commercially
important Japanese flatfish, Paralichthys olivaceus, the uptake and depuration
kinetics of caesium via both seawater and food were assessed simultaneously using
controlled aquaria. The pre-conditioned fish were exposed to radionuclides via
the two different pathways (aqueous versus dietary) concurrently using two
isotopes of caesium, 137Cs and 134Cs, respectively. Dissolved caesium uptake was
linear and did not reach a steady state over the course of the 8-day exposure
period. Consumption of 134Cs-labelled food led to higher bioaccumulation rates of
radioactive Cs than via seawater exposure of 137Cs during uptake and following
depuration, though the model-derived long-lived biological half-lives of both
pathways was approximately 66 d. Further development of this method for assessing
multiple radiocaesium bioaccumulation pathways simultaneously could lead to a
promising new approach for studying Cs contamination in marine organisms.
PMID- 29803071
TI - Adult children's educational attainment and the cognitive trajectories of older
parents in South Korea.
AB - Little is known about whether and how adult children's educational resources are
associated with aging parents' cognitive changes over time. Using a nationally
representative survey from the Korean Longitudinal Study of Aging (2006-2014),
the current study examined three related questions: whether adult children's
education is independently associated with parents' latent trajectory classes of
cognitive functioning; whether this association is explained by behavioral,
psychological, and financial pathways; and whether the association varies by the
gender of the child. Adult children's education is negatively associated with the
unfavorable cognitive functioning trajectories of parents. Children's education
predicts parents' higher perceived life satisfaction and upward financial
transfers, which are some of the pathways through which children's education
appears to prevent subsequent cognitive impairment in parents. Sons' education
matters more for preventing the onset of dementia, and daughters' educational
resources are linked to the prevention of cognitive decline among parents.
PMID- 29803072
TI - Green synthesis of SnO2 quantum dots using Parkia speciosa Hassk pods extract for
the evaluation of anti-oxidant and photocatalytic properties.
AB - In the present study, microwave heating method was established for the
biosynthesis of SnO2 Quantum dots (QDs) using Parkia speciosa Hassk pods extract.
The as-synthesized quantum dots have been characterized by various techniques
such as UV, XRD, EDX, TEM, HRTEM, SAED and FTIR spectroscopy. The biosynthesized
SnO2 QDs was employed for the first time as an efficient photocatalyst for the
degradation of a food dye, acid yellow 23 dye from aqueous phase under the UV254
light. Various parameters, such as the effect of catalyst dose, the initial
concentration of acid yellow 23 dye (AY23), pH of the solution and irradiation
time on the photodegradation process are also studied for efficient and better
use of the synthesized SnO2 QDs as a catalyst. The biosynthesized SnO2 QDs
exhibited excellent photocatalytic performances with degradation efficiency 98%
on the degradation of an aqueous solution of AY23 of concentration 5 mg/L with a
catalyst dose of 20 mg under UV254 light within 24 min. The synthesized SnO2 QDs
can be reused up to 5 cycles of photodegradation experiment without losing its
stability and efficiency. The biosynthesized SnO2 QDs also shows a fair activity
in the scavenging of 2,2-diphenyl-1-picrylhydrazyl free radical with the IC50
value of 312.6 +/- 0.025 MUg/mL.
PMID- 29803073
TI - Immunomodulatory effect of new quinolone derivative against cisplatin/gamma
radiation-induced renal and brain toxicity in mice.
AB - Treatment of cancer often requires exposure to radiation, which has several
limitations involving non-specific toxicity toward normal cells, reducing the
efficacy of treatment. Recent studies synthesize new quinolone derivatives to
satisfy other purposes such as treatment of inflammatory and malignant diseases.
The main purpose of the present study is to evaluate the effect of a new
quinolone derivative; 2-(1-Ethyl-4-hydroxy-2-oxo-1,2-dihydroquinolin-3-yl)-2
oxoacetic acid (EHQA) and its possible mechanism against gamma radiation (IRR)
and cisplatin (Cis) induced nephrotoxicity and neurotoxicity in mice. The
structure of the newly synthesized quinolone derivative was elucidated by
microanalytical and spectral data, which were found consistent with the assigned
structures. Exposure to Cis and IRR significantly induced renal damage manifested
by a significant increase in levels of urea and creatinine. Moreover, the
exposure to both Cis and IRR significantly decreased the levels of anti-apoptotic
protein; Bcl-2 in both renal and brain tissue homogenate accompanied by
activation of an inflammatory marker; IL-17. Immunophenoting results showed an
activation of T- lymphocytes marker; CD3 and B-lymphocytes marker; CD19.
Interperitonial administration of EHQA significantly ameliorated the above
mentioned parameters. Overall, the present results indicated that EHQA is a
promising anti-inflammatory and anti-apoptotic agent. From the obtained results
it can be concluded that EHQA could be a candidate as immunomodulatory agents.
Further studies are required to establish its molecular mechanism.
PMID- 29803074
TI - Differential accumulation of silymarin induced by exposure of Silybum marianum L.
callus cultures to several spectres of monochromatic lights.
AB - Silybum marianum L. (Milk thistle) is one of the most extensively studied
medicinal herbs with well-known hepatoprotective activity. Light is considered as
a key abiotic elicitor influencing several physiological processes in plants,
including the biosynthesis of secondary metabolites. In this study, we
investigated the influence of light quality on morphological and biochemical
aspects in in vitro grown leaf-derived callus cultures of S. marianum.
Combination of 6-benzylaminopurine (BAP 2.5 mg/L) and alpha-naphthalene acetic
acid (NAA 1.0 mg/L) resulted in optimum callogenic response (97%) when placed
under cool-white light with 16 h light and 8 h dark. Red light significantly
increased the total phenolic content (TPC), total flavonoid content (TFC),
antioxidant and superoxide dismutase (SOD) activities while highest peroxidase
(POD) activity was recorded for the dark grown cultures, followed by green light
grown cultures. HPLC analysis revealed enhanced total silymarin content under red
light (18.67 mg/g DW), which was almost double than control (9.17 mg/g DW).
Individually, the level of silychristin, isosilychristin, silydianin, silybin A
and silybin B were found greatest under red light, whereas green spectrum
resulted in highest accumulation of isosilybin A and isosilybin B. Conversely,
the amount of taxifolin was found maximum under continuous white light (0.480
mg/g DW) which was almost 8-fold greater than control (0.063 mg/g DW). A positive
correlation was found between the TPC, TFC and antioxidant activities. This study
will assist in comprehending the influence of light quality on production of
valuable secondary metabolites in in vitro cultures of S. marianum L.
PMID- 29803075
TI - Ion micro-distribution in varying aged leaves in salt-treated cucumber seedlings.
AB - Na+ distribution is one of the most important strategies for plant resistance to
salt stress. The way of Na+ compartmentation in different aged leaves has been
controversial, especially at the cell and sub-cellular level. The roles that Na+
and K+/Na+ play the key role in photosynthesis need to be further verified. In
this study, using two cucumber cultivars Cucumis sativus L. cv. zhongnong 8 (ZN8,
relatively salt tolerant) and Cucumis sativus L.cv. Jinchun 4 (JC4, salt
sensitive) as experiment material, we analyzed the mode of ion compartmentation
of Na+ in organelles in different aged leaves and determined which factors (the
organelles' Na+ or K+/Na+) affect leaf photosynthesis, using high-pressure
freezing and freeze-substitution, Ultrathin sectioning technique and X-ray. The
main results: 1. The sub-cellular trends of Na+ accumulation was cell wall >
vacuole > cytoplasm > chloroplasts; 2. The Na+ accumulation in cytoplasm and
chloroplasts was similar in different aged leaves and in seedlings of different
salt tolerance cultivars; 3. The K+/Na+ ratio is the main factor that affects the
photosynthesis of the same aged leaves in our experiment. A weak capacity for ion
compartmentation may be an important reason leading to salt sensitivity.
PMID- 29803077
TI - Screening of adjustment disorder: Scale based on the ICD-11 and the Adjustment
Disorder New Module.
AB - In line with ICD-11 new conceptualization of Adjustment disorder (AjD), a self
report Adjustment Disorder-New Module (ADNM) was developed and validated.
Nevertheless, the ADNM-20 is a long research tool and potentially problematic in
the use in epidemiological and clinical studies. The present study introduces the
brief ADNM-8 and the ultra-brief ADNM-4, examines their validity and establishes
cut-off scores for their clinical use. The study used a representative national
sample of 1003 Israelis who reported on the ICD-11 stress spectrum ranging from
AjD, PTSD, complex PTSD and complicated grief. Construct validity was assessed
via confirmatory factor analysis and cut-off scores were established through ROC
analysis. The original and brief instruments were highly correlated (r > 0.918 or
better). Cronbach's Alpha for the Brief ADNM-8 and the Ultra-Brief ADNM-4 were
above 0.800. Correlations with stress related conditions indicated a good
convergent and construct validity for both instruments as well. The ultra-brief
ADNM-4 was found to have a very good fit with the data. These findings indicate
that the brief ADNM-8 and the ultra-brief ADNM-4 can serve as a brief screening
tools for assessing AjD symptoms according to the ICD-11 definition.
PMID- 29803076
TI - Brush border enzyme-cleavable linkers: Evaluation for reducing renal uptake of
radiolabeled prostate-specific membrane antigen inhibitors.
AB - INTRODUCTION: Radiolabeled, low-molecular-weight prostate-specific membrane
antigen (PSMA) inhibitors based on the Glu-ureido pharmacophore show promise for
the detection and treatment of castration-resistant prostate cancer; however,
high renal retention of activity, related in part to overexpression of PSMA in
kidneys can be problematic. The goal of the current study was to investigate the
use of brush border enzyme-cleavable linkers as a strategy for reducing kidney
activity levels from radiolabeled PSMA inhibitors. METHODS: PSMA-769 (6), a
derivative of the prototypical PSMA inhibitor (((S)-1-carboxy-5-(4
iodobenzamido)pentyl)carbamoyl)glutamate (12) modified to contain a Gly-Tyr
linker, and its protected tin precursor (11) were synthesized starting from the
basic pharmacophore molecule Lys-urea-Glu. An analogue of 6 containing d-tyrosine
in lieu of l-tyrosine (PSMA-769-d-tyrosine) and the corresponding tin precursor
(d-11) also were synthesized. Both radioiodinated and 211At-labeled 6 were
synthesized by radiohalogenation of 11 and deprotection in situ. Similarly,
radioiodinated d-6 was synthesized from d-11. Paired label biodistribution of
[125I]12 and [131I]6 was performed in normal mice and in SCID mice bearing both
PC3 PIP (PSMA+) and PC3 flu (PSMA-) subcutaneous prostate carcinoma xenografts.
The biodistribution of [131I]6 and [211At]6 was also evaluated in this tumor
model. Biodistribution of the two radioiodinated diastereomers of 6 was evaluated
in normal mice and urine samples were analyzed for the presence of 4-iodohippuric
acid. RESULTS: Compounds [131I]6 and [211At]6 were synthesized from 11 in overall
radiochemical yields of 32.5 +/- 0.1% (n = 4) and 22% (n = 1), respectively;
radiochemical purity was >95%. In normal mice, renal uptake of [131I]6 was 1.4-,
2.8- and 161-fold lower than that seen for co-injected [125I]12 at 1 h, 4 h and
21 h, respectively. In tumor-bearing mice, kidney uptake of [131I]6 was similar
to that for [125I]12 (P > 0.05) at 1 h and 4 h but was 6- to 7-fold lower at 21
h; however, [131I]6 uptake in PC3 PIP tumors was also lower than that seen for
[125I]12 at 21 h (12.6 +/- 3.4%ID/g vs. 36.8 +/- 12.4%ID/g). Uptake of
[211At]PSMA-769 in PC3 PIP tumors was slightly higher than that seen for
[131I]PSMA-769 at 4 h (9.6 +/- 1.6%ID/g versus 7.8 +/- 1.6%ID/g; P = 0.002); its
uptake in a number of normal tissues also was higher. In normal mice, kidney
uptake of [125I]PSMA-769 at 4 h was about 73% of that seen for [131I]PSMA-769-d
tyrosine. Activity in the urine of mice receiving [125I]PSMA-769 contained mainly
4-[125I]iodohippuric acid while unmetabolized intact molecule was present in the
case of [125I]PSMA-769-d-tyrosine. CONCLUSION: Use of this brush border enzyme
cleavable linker reduced kidney uptake and resulted in improved tumor:kidney
uptake ratios. Although further structural improvements are needed, this linker
approach might be useful as a component in strategies for reducing renal uptake
of radiolabeled PSMA inhibitors.
PMID- 29803078
TI - Selenides bearing benzenesulfonamide show potent inhibition activity against
carbonic anhydrases from pathogenic bacteria Vibrio cholerae and Burkholderia
pseudomallei.
AB - A series of selenides bearing benzenesulfonamide moieties was evaluated as
carbonic anhydrase (CA, EC 4.2.1.1) inhibitors against the pathogenic bacteria
Vibrio cholerae (VchCAalpha and VchCAbeta) and Burkholderia pseudomallei
(BpsCAbeta) enzymes. The molecules represent an interesting lead for
antibacterial agents with a possibly new mechanism of action showing excellent
inhibitory action and selectivity for inhibiting VchCAalpha and BpsCAbeta over
the human (h) off-target isoforms hCA I and II. Identification of potent and
possibly selective inhibitors of bacteria CAs over the human counterparts may
lead to pharmacological tools useful for understanding the physiological role(s)
of these under-investigated proteins.
PMID- 29803079
TI - Synthesis, SAR elucidations and molecular docking study of newly designed isatin
based oxadiazole analogs as potent inhibitors of thymidine phosphorylase.
AB - Thymidine phosphorylase is an enzyme involved in pyrimidine salvage pathway that
is identical to platelet-derived endothelial cell growth factor (PD-ECGF) and
gliostatin. It is enormously up regulated in a variety of solid tumors.
Furthermore, surpassing of TP level protects tumor cells from apoptosis and helps
cell survival. Thus TP is identified as a prime target for developing novel
anticancer therapies. A new class of exceptionally potent isatin based oxadiazole
(1-30) has been synthesized and evaluated for thymidine phosphorylase inhibitory
potential. All analogs showed potent thymidine phosphorylase inhibition when
compared with standard 7-Deazaxanthine, 7DX (IC50 = 38.68 +/- 1.12 uM). Molecular
docking study was performed in order to determine the binding interaction of
these newly synthesized compounds, which revealed that these synthesized
compounds established stronger hydrogen bonding network with active site of
residues as compare to the standard compound 7DX.
PMID- 29803080
TI - [12]aneN3-based lipid with naphthalimide moiety for enhanced gene transfection
efficiency.
AB - Three cationic lipids derived from [12]aneN3 modified with naphthalimide (1a),
oleic acid (1b) and octadecylamine (1c) were designed and synthesized. In vitro
transfection showed that all these liposomes can deliver plasmid DNA into the
tested cell lines. Among these liposomes, 1a gave the best transfection
efficiency (TE) in A549 cells, which was higher than that of lipofectamine 2000.
More importantly, the TE of 1a was dramatically increased in the presence of 10%
serum. These results suggested that 1a might be a promising non-viral gene
vector, and also give further insight for developing novel high performance gene
delivery agents.
PMID- 29803081
TI - Effect of forward-directed aiding force on gait mechanics in healthy young adults
while walking faster.
AB - BACKGROUND: Forces can be applied to people while they are walking on a treadmill
in different ways that aid individuals to walk at faster walking speeds with
potentially less effort. Forward-directed aiding forces (FAF) are a special class
of aiding forces where "push" or "pull" forces are mechanically applied to the
person's pelvis in the forward direction. OBJECTIVE: To determine if FAF, applied
by a robotic interface, can be effective in providing assistance to walk at a
faster walking speed with reduced kinetic requirements. METHODS: Twenty non
neurologically impaired physical active young adults were recruited and
biomechanical gait mechanics were measured during walking at two constant
treadmill belt speeds (1.0 m/s and 1.6 m/s), with the robotic device in aiding
mode to provide FAF (FAF), and also outside of the robotic device (no-FAF). The
spatiotemporal gait parameters, anterior-posterior force, sagittal impulse, and
hip, knee and ankle power and net work were calculated from kinematic and kinetic
data, comparing changes in parameters from slower to faster speeds within each
mode, and then, comparing values between each mode. RESULTS: The spatiotemporal
gait parameters were not different between conditions, but in FAF condition, the
propulsive force impulse change was not increased, there was smaller propulsion
increase, and smaller maximal power generation and ankle work done at the faster
speed, whereas all of these parameters were appropriately increased in the no-FAF
condition. CONCLUSIONS: These results indicate that providing FAF at the pelvis
is an effective means for reducing the amount of mechanical effort required to
walk faster and thus could be used as a training tool to improve walking ability.
PMID- 29803082
TI - Using video rasterstereography and treadmill gait analysis as a tool for
evaluating postoperative outcome after lumbar spinal fusion.
AB - BACKGROUND: The rise in the number of patients with lumbar back pain has led to
an increase in the number of spinal surgeries. To avoid unfavorable outcomes,
high accuracy and reliability of indication for surgery are essential. This
requires critical evaluation of postoperative outcomes with its two key
dimensions pain and function. While imaging findings give details about the
technical dimension of the intervention, they are prone to high inter-/intra
observer variability, with limited relation to functional outcomes. Pain
improvement can be directly asked from patients or documented by questionnaires.
There is abundant literature on postoperative function based on questionnaires,
but quantifiable data such as gait or posture analysis are scarce. Highprecision
measurement tools are available and easy to implement in a clinician's work
routine. OBJECTIVE: This study evaluates whether lumbar fusion surgery changes
gait and postural variables and how these changes are related to patients'
descriptions of alterations in their levels of pain. METHODS: Back profiles and
gait analyses were measured by video rasterstereography and treadmill gait
analysis. Measurements were recorded before surgery, at discharge, after 3 months
in a longitudinal (n = 30), and after 12 months in a cross-sectional group (n =
29). A reference group was formed (n = 28). The improvement on the Numeric Pain
Rating Scale was documented and compared with changes in gait and posture.
RESULTS: A significant reduction in kyphotic (52-43 degrees , p = 0.014) and
lordotic (28-11 degrees , p < 0.001) angles was observed. The values again
increased after 3 months, with a significant reduction in cadence (98-91
steps/min, p = 0.006). While improvements in pain were also obtained by surgery
(p < 0.001), no clear correlation could be detected between 3-month alleviation
in pain and changes in kyphotic/lordotic angle or cadence. CONCLUSIONS: Although
both methods offer high-precision measurement, changes in gait and posture were
not related with the patients' reported pain relief after lumbar fusion surgery.
PMID- 29803084
TI - Applying 3D prints to reconstructing postmortem craniofacial features damaged by
devastating head injuries.
AB - Postmortem facial identification is one of the most common techniques for
establishing a deceased person's identity. In victims suffering from devastating
cranial injuries, the feasibility of facial identification tasks can be
compromised by damage to or disfigurement of the identifying cranial features.
Although there are several reconstructive approaches, which help experts to
restore the essence of person's physical appearance, thus enhancing the chances
of recognition, only a few of them involve restoring the fractured cranial bones
as the foundation for the reconstructed soft tissues. Here, we propose a
technique based on replacement of heavily damaged hard tissues with generic
prosthetics manufactured by 3D printing. Our approach does not require medical
imaging technologies or other costly lab equipment. It is simple, affordable and
relatively labor-efficient. The deceased's reconstructed craniofacial features
can be subsequently assessed, photographed, drawn or otherwise reproduced in
order to help determine his or her identity. In addition, the imagery can be
displayed, published or broadcasted in media without concerns of being overly
graphic.
PMID- 29803083
TI - Stride-to-stride variability and complexity between novice and experienced
runners during a prolonged run at anaerobic threshold speed.
AB - BACKGROUND: Motor control, related to running performance and running related
injuries, is affected by progression of fatigue during a prolonged run. Distance
runners are usually recommended to train at or slightly above anaerobic threshold
(AT) speed for improving performance. However, running at AT speed may result in
accelerated fatigue. It is not clear how one adapts running gait pattern during a
prolonged run at AT speed and if there are differences between runners with
different training experience. PURPOSES: To compare characteristics of stride-to
stride variability and complexity during a prolonged run at AT speed between
novice runners (NR) and experienced runners (ER). METHODS: Both NR (n = 17) and
ER (n = 17) performed a treadmill run for 31 min at his/her AT speed. Stride
interval dynamics was obtained throughout the run with the middle 30 min equally
divided into six time intervals (denoted as T1, T2, T3, T4, T5 and T6). Mean,
coefficient of variation (CV) and scaling exponent alpha of stride intervals were
calculated for each interval of each group. RESULTS: This study revealed mean
stride interval significantly increased with running time in a non-linear trend
(p<0.001). The stride interval variability (CV) maintained relatively constant
for NR (p = 0.22) and changed nonlinearly for ER (p = 0.023) throughout the run.
Alpha was significantly different between groups at T2, T5 and T6, and
nonlinearly changed with running time for both groups with slight differences.
SIGNIFICANCE: These findings provided insights into how the motor control system
adapts to progression of fatigue and evidences that long-term training enhances
motor control. Although both ER and NR could regulate gait complexity to maintain
AT speed throughout the prolonged run, ER also regulated stride interval
variability to achieve the goal.
PMID- 29803085
TI - Treatment of industrial dyeing wastewater with a pilot-scale strengthened
circulation anaerobic reactor.
AB - We developed a pilot-scale strengthened circulation anaerobic (SCA) reactor (with
an effective volume of 27 m3) and applied to the treatment of industrial textile
wastewater. The treatment performance and the working mechanism were studied
systematically and the key operational parameters were identified. The results
demonstrated that a stable and excellent chemical oxygen demand removal
efficiency of 62.7% and a maximum chromaticity removal efficiency of 73.5% were
obtained at an optimal reflux ratio of 4. Interestingly, the bio-degradability
was evidently improved after the SCA reactor treatment. The high throughput
sequencing analysis indicated that the diversity of the bacteria or
archaebacteria before the treatment was slightly higher than that after the
treatment, which may be attributed to the production of certain toxic
intermediates and/or characteristic pollutants during the treatment. Enzyme
activity test and COD removal show that numerous microorganisms still maintained
active in the anaerobic granular sludge even in a severe environment.
PMID- 29803086
TI - Dynamic bacterial and fungal microbiomes during sweet sorghum ensiling impact
bioethanol production.
AB - Significant low-cost biofuel production volumes could be achieved from commercial
scale silage by redirecting lactic acid fermentation to ethanol production. A
temporal metagenomic analysis on ensiled sweet sorghum inoculated with an
ethanologenic yeast has been conducted to understand the underlying microbial
processes during bioethanol production. Individual silage buckets approximating
silage piles were prepared with freshly harvested material and supplemented with
ethanologenic yeast, sulfuric acid or both. The ensiling progress was assessed
using high performance liquid chromatography, microbial taxonomic identification
and abundance. The combined treatment with Saccharomyces and acid led to a steady
reduction of bacterial abundance and microbial diversity with Lactobacillus
becoming the dominant genus during the late timepoints. Furthermore, the addition
of acid to inhibit bacterial growth hindered Saccharomyces ability to compete
with native yeasts like Candida. Knowledge of the response of the in-situ
microbial community to the various treatments during ensiling will help improve
current methodologies for bioethanol production.
PMID- 29803087
TI - High-calorific bio-hydrogen production under self-generated high-pressure
condition.
AB - For the use of biologically produced H2, removal of CO2 is an indispensable
process. Unlike conventional CO2 removal methods, this study proposed a self
generated high-pressure dark fermentation (HPDF) process as a novel strategy for
directly producing high-calorific bio-H2. The pressure was automatically
increased by self-generated gas, while the maximum pressure inside fermenter was
restricted to 1, 3, 5, 7, and 10 bar in a batch operation. As the pressure
increased from 1 to 10 bar, the H2 content increased from 55% to 80%, whereas the
H2 yield decreased from 1.5 to 0.9 mol H2/mol hexoseadded. The highest H2 content
of 80% was obtained at both of 7 and 10 bars. Increased lactate production with
increased abundance of lactic acid bacteria was observed at high-pressure.
Despite the lower H2 yields at high-pressure conditions, HPDF was found to be
economically beneficial for obtaining high-calorific bio-H2 owing to the low CO2
removal cost.
PMID- 29803089
TI - Performance of the Alere DetermineTM HIV-1/2 Ag/Ab Combo Rapid Test with
algorithm-defined acute HIV-1 infection specimens.
AB - BACKGROUND: The capacity of HIV Antigen/Antibody (Ag/Ab) immunoassays (IA) to
detect HIV-1 p24 antigen has resulted in improved detection of HIV-1 infections
in comparison to Ab-only screening assays. Since its introduction in the US,
studies have shown that the Determine HIV-1/2 Ag/Ab Combo assay (Determine Ag/Ab)
detects HIV infection earlier than laboratory-based IgM/IgG-sensitive IAs, but
its sensitivity for HIV-1 p24 Ag detection is reduced compared to laboratory
based Ag/Ab assays. However, further evaluation is needed to assess its capacity
to detect acute HIV-1 infection. OBJECTIVE: To assess the performance of
Determine Ag/Ab in serum from acute HIV-1 infections. STUDY DESIGN: Select serum
specimens that screened reactive on a laboratory-based Ag/Ab IA or IgM/IgG Ab
only IA, with a negative or indeterminate supplemental antibody test and
detectable HIV-1 RNA were retrospectively tested with Determine Ag/Ab. Results
were compared with those of the primary screening immunoassay to evaluate
concordance within this set of algorithm-defined acute infections. RESULTS: Of
159 algorithm-defined acute HIV-1 specimens, Determine Ag/Ab was reactive for 105
resulting in 66.0% concordance. Of 125 that were initially detected by a
laboratory-based Ag/Ab IA, 81 (64.8%) were reactive by Determine Ag/Ab. A total
of 34 acute specimens were initially detected by a laboratory-based IgM/IgG Ab
only IA and 24 (70.6%) of those were reactive by Determine Ag/Ab. CONCLUSIONS:
Due to their enhanced sensitivity, laboratory-based Ag/Ab IAs continue to be
preferred over the Determine Ag/Ab as the screening method used by laboratories
conducting HIV diagnostic testing on serum and plasma specimens.
PMID- 29803088
TI - A novel approach to biphasic strategy for intensification of the hydrothermal
process to give levulinic acid: Use of an organic non-solvent.
AB - Levulinic acid is a platform chemical obtained from acid-catalyzed hydrothermal
conversion of cellulose-rich biomass. The low amounts of solid biomass which can
be handled in the reactor limit the levulinic acid concentration in the aqueous
stream, making the economic viability of the aqueous phase process unsuitable for
large scale applications. Now a novel approach to biphasic process has been
proposed, where a mineral oil has been used as non-solvent for levulinic acid,
thus concentrating it in the water phase, reducing the water volume to be
processed downstream but at the same time maintaining enough liquid phase to
sustain the slurry processability. The work has studied: i) the optimization of
the biphasic hydrolysis of corn grain to levulinic acid; ii) the characterization
of the recovered oil; iii) the evaluation of the energetic properties of the
recovered hydrochar for its exploitation, thus smartly closing the biorefinery
cycle.
PMID- 29803090
TI - The change of cervical sagittal alignment after surgery for adolescent idiopathic
scoliosis.
AB - OBJECTIVE: The postoperative change in cervical sagittal alignment has an impact
on health-related quality of life in adolescent idiopathic scoliosis (AIS)
patients who have undergone deformity correction. However, the effect of
deformity correction on sagittal cervical profile is still controversial in the
literatures. The objective of this study was to investigate the postoperative
change in the cervical sagittal alignment of patients with AIS. PATIENTS AND
METHODS: A total of 46 AIS patients treated by posterior instrumentation and
fusion with pedicle screw constructs were included in the study. Radiographs were
collected preoperatively, immediate postoperatively and at the final follow-up.
The C2-C7 Cobb angle and C2-C7 sagittal vertical axis (cSVA) were used to assess
the cervical sagittal alignment. Spinopelvic alignment parameters, such as
thoracic kyphosis (TK), lumbar lordosis (LL), pelvic incidence (PI), sacral slope
(SS), pelvic tilt (PT), and sagittal vertical axis (SVA), were also measured. The
correlations between the cervical sagittal parameters and spinopelvic parameters
were analyzed. RESULTS: The incidence of cervical kyphosis was 67.4%
preoperatively but increased to 87% postoperatively and 69.5% at the final follow
up. The C2-C7 Cobb angle significantly increased from pre-operation (-1.5 degrees
+/- 15 degrees ) to post-operation (-5.4 degrees +/- 7.3 degrees ; P < 0.05)
and spontaneously decreased to -2.9 degrees +/- 10.5 degrees at the final
follow up. The cSVA was 18.1 +/- 13 mm preoperatively, 17 +/- 12.3 mm after
surgery and 18.5 +/- 9.5 mm at the last follow-up, but the change was not
statistically significant (P > 0.05). TK decreased significantly from pre
operation (17.7 degrees +/- 14.4 degrees ) to post-operation (14.2 degrees +/-
7.6 degrees ) and spontaneously improved to 16.9 degrees +/- 8.2 degrees at the
final follow-up. TK showed a significant correlation with the C2-C7 Cobb angle,
but not with cSVA, in the preoperative (r = 0.709, P < 0.01), postoperative (r =
0.472, P < 0.01), and last follow-up measurements(r = 0.505, P < 0.01). Compared
with patients with preoperative thoracic hypokyphosis or hyperkyphosis, patients
with a normal thoracic spine had more significant postoperative changes in the C2
C7 Cobb angle and TK. CONCLUSIONS: Cervical sagittal alignment after deformity
correction is altered in AIS patients. An increase in cervical kyphosis after
surgery is correlated with a loss of thoracic kyphosis. The change in the
cervical sagittal profile may be a compensatory mechanism in response to an
abnormal thoracic sagittal profile.
PMID- 29803091
TI - Crizotinib and erlotinib inhibits growth of c-Met+/EGFRvIII+ primary human
glioblastoma xenografts.
AB - OBJECTIVES: Receptor tyrosine kinases (RTK), such as c-Met and epidermal growth
factor receptor (EGFR), are implicated in the malignant progression of
glioblastoma. Studies show that RTK systems can co-modulate distinct and
overlapping oncogenic downstream signaling pathways. EGFRvIII, a constitutively
activated EGFR deletion mutant variant, leads to increased tumor growth and
diminishes the tumor growth response to HGF: c-Met pathway inhibitor therapy.
Conversely, activation of the c-Met pathway diminishes the tumor growth response
to EGFR pathway inhibitors. Previously we reported that EGFRvIII and c-Met
pathway inhibitors synergize to inhibit tumor growth in isogenic GBM cell lines
engineered to express EGFRvIII. More recently, studies suggest that despite
targeting RTK signaling in glioblastoma multiforme, a subpopulation of stem-like
tumor-propagating cells can persist to replenish the tumor cell population
leading to tumor recurrence. PATIENTS AND METHODS: Mayo 39 and Mayo 59 xenograft
lines were cultured and xenografts were maintained. Subcutaneous xenograft lines
were serially passaged in nude mice to generate subcutaneous xenografts.
Xenografts were implanted in 6-8 week old nude mice. Once tumors reached a
substantial size (150 mm3), mice were randomly divided into 4 groups: 1) control
vehicle, 2) Crizotinib (crizo), 3) Erlotinib (erlot), or 4) Crizotinib +
Erlotinib, (n = 5 per group). RESULTS: Crizotinib (c-Met pathway inhibitor) and
Erlotinib (EGFR pathway inhibitor) in combination significantly inhibited tumor
growth, phospho-EGFRvIII, phospho-Met, phospho-AKT, phospho-MAPK, and neurosphere
growth in Mayo 39 and Mayo 59 primary GBM subcutaneous xenografts. The expression
of the stem cell markers Nestin, Musashi, Olig 2 and Sox2 were also significantly
down-regulated by c-Met inhibition, but no additive down-regulation was seen by
co-treatment with Erlotinib. CONCLUSIONS: These results are consistent with and
corroborate our previous findings demonstrating that targeting these two parallel
pathways with c-Met and EGFR inhibitor therapy provides substantial anti-tumor
activity in glioblastoma models.
PMID- 29803092
TI - Memory and attention recovery in patients with High Grade Glioma who completed
the Stupp protocol: A before-after study.
AB - OBJECTIVES: Advances in therapeutic schemes for High Grade Gliomas, such as the
introduction of Stupp protocol, have raised interest in elucidating its effects
on quality of life and cognitive function. This study aims to examine executive
functions, memory and attention in patients with High Grade Gliomas, before
initiation and after completion of Stupp protocol. PATIENTS AND METHODS: A before
after study was executed. Patients were selected from a consecutive sample of
subjects with High Grade Gliomas who had not initiated Stupp protocol. Neuropsi -
Attention and Memory test (Second edition) was employed to evaluate subjects.
Inferential analysis was conducted with Bayesian Mixed Effects Model for repeated
measures, using a contrast coding scheme for estimating the change between mean
neurocognitive scores obtained before and after Stupp protocol. Model was fitted
employing random-effect predictors accounting for concomitant administration of
bevacizumab and anticonvulsants, and by-subject performance variability. As
excluding patients who did not complete Stupp protocol might bias results,
complementary analyses were conducted for determining if those were consistent in
alternative scenarios. RESULTS: Twenty-nine patients were included; 17 (58.6%)
completed Stupp protocol and both neuropsychological evaluations. Inside Fixed
Effects Model, 95% credible intervals for all cognitive functions crossed the
zero threshold (No change); however, in all Mixed Effects Models, credible
intervals for memory and attention did not cross zero. CONCLUSION: Results showed
significant recovery in memory and attention functions after treatment and
suggest a confounding role for co-administered treatments and other factors
related to subject cognitive performance variability. Nevertheless, these
findings need to be corroborated with additional studies including more
representative samples.
PMID- 29803093
TI - Profiling biomarkers of traumatic axonal injury: From mouse to man.
AB - Traumatic brain injury (TBI) poses a major public health problem on a global
scale. Its burden results from high mortality and significant morbidity in
survivors. This stems, in part, from an ongoing inadequacy in diagnostic and
prognostic indicators despite significant technological advances. Traumatic
axonal injury (TAI) is a key driver of the ongoing pathological process following
TBI, causing chronic neurological deficits and disability. The science
underpinning biomarkers of TAI has been a subject of many reviews in recent
literature. However, in this review we provide a comprehensive account of
biomarkers from animal models to clinical studies, bridging the gap between
experimental science and clinical medicine. We have discussed pathogenesis,
temporal kinetics, relationships to neuro-imaging, and, most importantly,
clinical applicability in order to provide a holistic perspective of how this
could improve TBI diagnosis and predict clinical outcome in a real-life setting.
We conclude that early and reliable identification of axonal injury post-TBI with
the help of body fluid biomarkers could enhance current care of TBI patients by
(i) increasing speed and accuracy of diagnosis, (ii) providing invaluable
prognostic information, (iii) allow efficient allocation of rehabilitation
services, and (iv) provide potential therapeutic targets. The optimal model for
assessing TAI is likely to involve multiple components, including several blood
biomarkers and neuro-imaging modalities, at different time points.
PMID- 29803094
TI - Synchronous microbial vanadium (V) reduction and denitrification in groundwater
using hydrogen as the sole electron donor.
AB - Groundwater co-contaminated by vanadium (V) (V(V)) and nitrate requires efficient
remediation to prevent adverse environmental impacts. However, little is known
about simultaneous bio-reductions of V(V) and nitrate supported by gaseous
electron donors in aquifers. This study is among the first to examine microbial
V(V) reduction and denitrification with hydrogen as the sole electron donor. V(V)
removal efficiency of 91.0 +/- 3.2% was achieved in test bioreactors within 7 d,
with synchronous, complete removal of nitrate. V(V) was reduced to V(IV), which
precipitated naturally under near-neutral conditions, and nitrate tended to be
converted to nitrogen, both of which processes helped to purify the groundwater.
Volatile fatty acids (VFAs) were produced from hydrogen oxidation. High
throughput 16S rRNA gene sequencing and metagenomic analyses revealed the
evolutionary behavior of microbial communities and functional genes. The genera
Dechloromonas and Hydrogenophaga promoted bio-reductions of V(V) and nitrate
directly coupled to hydrogen oxidation. Enriched Geobacter and denitrifiers also
indicated synergistic mechanism, with VFAs acting as organic carbon sources for
heterotrophically functional bacteria while reducing V(V) and nitrate. These
findings are likely to be useful in revealing biogeochemical fates of V(V) and
nitrate in aquifer and developing technology for removing them simultaneously
from groundwater.
PMID- 29803095
TI - Petroleomic analysis of the treatment of naphthenic organics in oil sands process
affected water with buoyant photocatalysts.
AB - The persistence of toxicity associated with the soluble naphthenic organic
compounds (NOCs) of oil sands process-affected water (OSPW) implies that a
treatment solution may be necessary to enable safe return of this water to the
environment. Due to recent advances in high-resolution mass spectrometry (HRMS),
the majority of the toxicity of OSPW is currently understood to derive from a
subset of toxic classes, comprising only a minority of the total NOCs. Herein,
oxidative treatment of OSPW with buoyant photocatalysts was evaluated under a
petroleomics paradigm: chemical changes across acid-, base- and neutral
extractable organic fractions were tracked throughout the treatment with both
positive and negative ion mode electrospray ionization (ESI) Orbitrap MS.
Elimination of detected OS+ and NO+ classes of concern in the earliest stages of
the treatment, along with preferential degradation of high carbon-numbered O2-
acids, suggest that photocatalysis may detoxify OSPW with higher efficiency than
previously thought. Application of petroleomic level analysis offers
unprecedented insights into the treatment of petroleum impacted water, allowing
reaction trends to be followed across multiple fractions and thousands of
compounds simultaneously.
PMID- 29803096
TI - Small-sized microplastics and pigmented particles in bottled mineral water.
AB - Up to now, only a few studies about microparticle contamination of bottled
mineral water have been published. The smallest analysed particle size was 5 MUm.
However, due to toxicological reasons, especially microparticles smaller than 1.5
MUm are critically discussed. Therefore, in the present study, 32 samples of
bottled mineral water were investigated for contamination by microplastics,
pigment and additive particles. Due to the application of aluminium coated
polycarbonate membrane filters and micro-Raman spectroscopy, a lowest analysed
particle size of 1 MUm was achieved. Microplastics were found in water from all
bottle types: in single use and reusable bottles made of poly(ethylene
terephthalate) (PET) as well as in glass bottles. The amount of microplastics in
mineral water varied from 2649 +/- 2857 per litre in single use PET bottles up to
6292 +/- 10521 per litre in glass bottles. While in plastic bottles, the
predominant polymer type was PET; in glass bottles various polymers such as
polyethylene or styrene-butadiene-copolymer were found. Hence, besides the
packaging itself, other contamination sources have to be considered. Pigment
particles were detected in high amounts in reusable, paper labelled bottles
(195047 +/- 330810 pigment particles per litre in glass and 23594 +/- 25518
pigment particles per litre in reusable paper labelled PET bottles). Pigment
types found in water samples were the same as used for label printing, indicating
the bottle cleaning process as possible contamination route. Furthermore, on
average 708 +/- 1024 particles per litre of the additive Tris(2,4-di-tert
butylphenyl)phosphite were found in reusable PET bottles. This additive might be
leached out from the bottle material itself. Over 90% of the detected
microplastics and pigment particles were smaller than 5 MUm and thus not covered
by previous studies. In summary, this is the first study reporting about
microplastics, pigment and additive particles found in bottled mineral water
samples with a smallest analysed particle size of 1 MUm.
PMID- 29803097
TI - "Once I'd done it once it was like writing your name": Lived experience of take
home naloxone administration by people who inject drugs.
AB - BACKGROUND: The supply of naloxone, the opioid antagonist, for peer
administration ('take-home naloxone' (THN)) has been promoted as a means of
preventing opioid-related deaths for over 20 years. Despite this, little is known
about PWID experiences of take-home naloxone administration. The aim of this
study was to advance the evidence base on THN by producing one of the first
examinations of the lived-experience of THN use among PWID. METHODS: Qualitative,
face to face, semi-structured interviews were undertaken at a harm reduction
service with individuals known to have used take-home naloxone in an overdose
situation in a large urban area in Scotland. Interpretative Phenomenological
Analysis (IPA) was then applied to the data from these in-depth accounts.
RESULTS: The primary analysis involved a total of 8 PWID (seven male, one female)
known to have used take-home naloxone. This paper focuses on the two main themes
concerning naloxone administration: psychological impacts of peer administration
and role perceptions. In the former, the feelings participants encounter at
different stages of their naloxone experience, including before, during and after
use, are explored. In the latter, the concepts of role legitimacy, role adequacy,
role responsibility and role support are considered. CONCLUSION: This study
demonstrates that responding to an overdose using take-home naloxone is complex,
both practically and emotionally, for those involved. Although protocols exist, a
multitude of individual, social and environmental factors shape responses in the
short and longer terms. Despite these challenges, participants generally conveyed
a strong sense of therapeutic commitment to using take-home naloxone in their
communities.
PMID- 29803098
TI - Trends and mental health correlates of nonmedical opioid use among criminal
justice-involved African American men.
AB - BACKGROUND: The Centers for Disease Control and Prevention has deemed nonmedical
opioid use (NMOU) an epidemic. Population-based survey data indicate high rates
of NMOU among Caucasians, however, these estimates exclude incarcerated samples
and may underestimate use among criminal justice-involved African Americans.
Despite opioid-associated risks of co-occurring mental illness and mortality, to
our knowledge, this is the first study to examine NMOU and mental health among a
sample of African American men receiving corrections-based substance use disorder
(SUD) treatment in jail, prison, or the community. METHOD: We conducted a cross
sectional study examining trends and mental health correlates of NMOU during the
year prior to each participant's incarceration, across five cohorts of African
American men (N = 4021) enrolled in corrections-based SUD treatment between the
years, 2010 and 2014. A series of chi-square, ANOVAs, correlations, and logistic
regression models were conducted. RESULTS: Over 20% of our sample reported NMOU
during the year prior to incarceration. On average, participants were 36-years
old, earned 13 years of education, and were generally unemployed, prior to
incarceration. We found a statistically significant positive linear trend between
NMOU prior to incarceration and cohort year. The final stepwise multivariate
regression model was significant and revealed, older age was associated with
lower odds of NMOU. More years of education and frequent mental health symptoms
were associated with significantly increased odds of NMOU. DISCUSSION: Our
findings are unique in that extant literature has primarily described NMOU as a
'White suburban' problem. Culturally-adapted behavioral interventions and
medication assisted therapies are discussed.
PMID- 29803100
TI - Suicide mortality and marital status for specific ages, genders, and education
levels in South Korea: Using a virtually individualized dataset from national
aggregate data.
AB - BACKGROUND: Previous studies in Eastern as well as Western countries have shown a
relationship between marital status and suicide mortality. However, to date, no
Korean study has calculated national suicide rates by marital status for specific
genders, ages, and education levels. This study investigated whether the
relationship between marital status and suicide differs by age, gender, and
educational attainment, and analyzed the effect of marital status on suicide risk
after controlling for these socio-demographic variables. METHODS: Using national
mortality data from 2015, and aggregated census data from 2010 in South Korea, we
created a virtually individualized dataset with multiple weighting algorithms,
including individual socio-demographic characteristics and suicide rates across
the entire population. RESULTS: The findings show that the following groups faced
the highest relative suicide risks: 1) divorced men of all ages and men aged more
than 75 years, particularly divorced men aged more than 75; and 2) never-married
men aged 55-64 years, and never-married women of lower education status.
LIMITATIONS: We did not account for important variables such as mental health,
substance abuse, employment insecurity, social integration, perceived loneness,
and family income which we were unable to access. CONCLUSIONS: This current
research extends prior theoretical and methodological work on suicide, aiding
efforts to reduce suicide mortality in South Korea.
PMID- 29803099
TI - Prevalence and factors associated with use of hookah tobacco among young adults
in the U.S.
AB - INTRODUCTION: Among young adults, use of hookah tobacco (HT) is an emerging
health-risk behavior. The goals were to demonstrate that (1) the prevalence of
ever-use and current use of HT increased among U.S. young adults (18-30 years
old) in the period from 2010 to 2015 and (2) the patterns of HT use differed
across diverse demographic subpopulations of young adults. METHODS: We merged and
analyzed data from the 2010-2011 and 2014-2015 Tobacco Use Supplement to the
Current Population Survey. The sample (n = 55,352) was representative of the
young adult population in the U.S. Two binary measures were the ever and current
use of HT. The significance level was 5%. RESULTS: The rate of current use of HT
increased from 1% in 2010-11 to 2% in 2014-15 (CI = 0.6%:1.1%). The rate of ever
use increased from 7% to 12% (CI = 4.2%:5.6%). The over-time increase was not
uniform: the increase was most rapid among 26-30 year-old adults, non-Hispanic
Black and African American adults, and in Northeastern and Midwestern U.S.
regions. HT ever-use, overall, was associated (all p's < 0.001) with many
sociodemographic factors and current tobacco-use behaviors. The rate of HT ever
use was 16% for daily and 23% for occasional cigarette smokers, 23% for users of
smokeless tobacco products, 37% for cigar smokers, and 55% for smokers of regular
pipe (filled with tobacco). DISCUSSION/CONCLUSION: HT use is becoming
increasingly more popular among young adults in the U.S. Methods should target
not only cessation of cigarette smoking but use of all tobacco products.
PMID- 29803101
TI - High altitude with monotonous environment has significant impact on mood and
cognitive performance of acclimatized lowlanders: Possible role of altered serum
BDNF and plasma homocysteine level.
AB - BACKGROUND: Monotony resulting due to the wilderness, sparse population and
isolation from society could adversely affect human physiology and cause mood
alterations. Thus, observations need to be conducted in order to elucidate the
possible role of circulating biomarkers in inducing altered mood and cognitive
performance following prolonged exposure to high altitude (HA) with persistent
monotonous environment. OBJECTIVE: The present study aimed towards investigating
the impact of monotonous environment in remote HA on mood and cognitive
performance of human volunteers and its correlation with serum brain derived
neurotrophic factor (BDNF) and plasma homocysteine level. METHODS: The present
study was conducted on male lowlander participants who had normal social life
prior to induction in HA environment. Baseline data was acquired at altitude
<=240 m mean sea level (MSL). Thereafter, the participants were inducted to an
altitude of 4500-4800 m MSL. After acclimatization to HA, the participants were
assigned as acclimatized low landers (ALL). Longitudinal follow up was conducted
after 8 months of high altitude induction on acclimatized low landers (8ALL).
Further, to study the effect of monotony, the participants were randomly assigned
into different group sizes during their further stay of 4 months in HA viz. <=5
(12ALL <= 5) and >=10 (12ALL >= 10). Mood and cognitive performance of the
participants were assessed by standard self-administered questionnaires. Serum
BDNF and plasma homocysteine were estimated and their correlation with mood and
cognition were determined. RESULTS: The findings showed significantly low serum
BDNF in 12ALL <= 5 group when compared to baseline, 8ALL and 12AL >= 10 groups.
Alleviated serum BDNF was associated with increased prevalence of mood
alterations in HA with persistent monotonous environment. Participants of 12ALL
>= 10 group showed significantly higher cognitive performance as compared to
12ALL <= 5 group which was associated with reduced plasma homocysteine level.
LIMITATIONS: Total registered volunteers during baseline study were not available
during the entire period of this study. The second limitation was exclusion of
participants with medical history of severe head injuries, chronic diseases in
family and extreme baseline serum profile. Third limitation of the study was to
exclude the participants detected with MCI after 8 months of HA induction for
negating the role of hypobaric hypoxia on mood and cognition. CONCLUSION: The
study advocated that ALLs of 12ALL <= 5 group have increased prevalence of
depressive trait and cognitive impairment which was correlated with reduced serum
BDNF and augmented plasma homocysteine level as compared to participants of 12ALL
>= 10 group having better social interaction with improved cognition and mood.
The basic findings of the present study revealed that prolonged HA stay after
physiological acclimatization should be regulated by proper social interaction
involving normal group size to avoid detrimental effect of monotony and its
significant impact on circulatory biomarkers.
PMID- 29803102
TI - A direct isothermal amplification system adapted for rapid SNP genotyping of
multifarious sample types.
AB - Genotyping of single nucleotide polymorphisms (SNPs) in point-of-care (POC)
settings could be further improved through simplifying the treatment of samples.
In this study, we devised an accurate, rapid and easy-to-use SNP detection system
based on direct loop-mediated isothermal amplification (LAMP) without DNA
extraction, known as Direct-LAMP. Samples from various sources (including whole
blood, dried blood spot, buccal swab and saliva), treated with NaOH, can be used
directly in amplification. The turnaround time was about 30 min from sample
collection to provision of results. The accuracy was evaluated by assessing the
polymorphisms of methylenetetrahydrofolate reductase (MTHFR) C677T and aldehyde
dehydrogenase-2 (ALDH2) Glu504Lys, which are better known for their critical role
in folate and ethanol metabolism, respectively. Completely consistent genotyping
results reveal that Direct-LAMP is generally concordant with sequencing. This
system can serve as a very promising platform in the fields of disease
predisposition, drug metabolism and personalized medicine.
PMID- 29803103
TI - Dual-wavebands-resolved electrochemiluminescence multiplexing immunoassay with
dichroic mirror assistant photomultiplier-tubes as detectors.
AB - A dual-wavebands-resolved electrochemiluminescence (ECL) multiplexing immunoassay
(MIA) was developed for simultaneously detecting alpha fetoprotein antigen (AFP)
in greenish waveband with CdSe550 (lambdamax = 550 nm) nanocrystals (NCs) and
carbohydrate antigen 125 (CA125) in near-infrared waveband with CdTe790
(lambdamax = 790 nm) NCs via one-pot ECL reaction, in which dichroic mirror works
as a key part to reflect ECL from CdSe550 to one photomultiplier-tube (PMT) and
transmit ECL from CdTe790 to the other PMT for dual-wavebands-resolved assay. The
proposed ECL-MIA strategy was capable of simultaneously determining AFP with
linearly response from 5 pg/mL to 5 ng/mL and limit of detection at 1 pg/mL, and
CA125 with linearly response from 5 mU/mL to 1 U/mL and limit of detection at 1
mU/mL, with desired specificity and without obvious energy-transfer between ECL
tags. The dichroic mirror assistant ECL setup is easy-to-assemble and convenient
for the popularization of color-resolved multiplexing ECL assay.
PMID- 29803104
TI - Perfluorohexane-cored nanodroplets for stimulations-responsive ultrasonography
and O2-potentiated photodynamic therapy.
AB - To achieve efficient ultrasonography-guided photodynamic therapy (PDT), two major
obstacles need to be overcome. On the one hand, O2-dependent PDT produces limited
effects on hypoxic solid tumors. On the other hand, small particles facilitate
tumor accumulation whereas large ones strengthen ultrasound (US) imaging, which
makes the development of an ultrasonographic probe showing effective tumor
accumulation and high US sensitivity an intractable challenge. Therefore, an
intelligent perfluorohexane (PFH)-based nanodroplet, PFH@Ce6@O2, was fabricated
in order to simultaneously solve the above problems. The nanoscale PFH@Ce6@O2
particles were firstly delivered to elevate the local O2 level of tumors, which
is critical for achieving excellent PDT effect under laser irradiation. Then, a
spontaneous "small-to-large" growth of droplet at tumor acidic microenvironment
resulted in an echo-contrast enhancement for high-performance US imaging of
tumor. The in vitro and in vivo results manifested the advantage of PFH@Ce6@O2 in
alleviating hypoxic status to inhibit tumor growth. Overall, PFH@Ce6@O2
integrating US/FL bimodal imaging and PDT effect appears to be a promising
nanoplatform for ultrasonography-guided PDT of solid tumors.
PMID- 29803106
TI - Glutathione-depletion mesoporous organosilica nanoparticles as a self-adjuvant
and Co-delivery platform for enhanced cancer immunotherapy.
AB - Silica based nanoparticles have emerged as a promising vaccine delivery system
for cancer immunotherapy, but their bio-degradability, adjuvanticity and the
resultant antitumor activity remain to be largely improved. In this study, we
report biodegradable glutathione-depletion dendritic mesoporous organosilica
nanoparticles (GDMON) with a tetrasulfide-incorporated framework as a novel co
delivery platform in cancer immunotherapy. Functionalized GDMON are capable of co
delivering an antigen protein (ovalbumin) and a toll-like receptor 9 (TLR9)
agonist into antigen presenting cells (APCs) and inducing endosome escape.
Moreover, decreasing the intracellular glutathione (GSH) level through the -S-S
/GSH redox chemistry increases the ROS generation level both in vitro and in
vivo, facilitating cytotoxic T lymphocyte (CTL) proliferation and reducing tumour
growth in an aggressive B16-OVA melanoma tumour model. Our results have shown the
potential of GDMON as a novel self-adjuvant and co-delivery nanocarrier for
cancer vaccine.
PMID- 29803105
TI - Ingestible roasted barley for contrast-enhanced photoacoustic imaging in animal
and human subjects.
AB - Photoacoustic computed tomography (PACT) is an emerging imaging modality. While
many contrast agents have been developed for PACT, these typically cannot
immediately be used in humans due to the lengthy regulatory process. We screened
two hundred types of ingestible foodstuff samples for photoacoustic contrast with
1064 nm pulse laser excitation, and identified roasted barley as a promising
candidate. Twenty brands of roasted barley were further screened to identify the
one with the strongest contrast, presumably based on complex chemical
modifications incurred during the roasting process. Individual roasted barley
particles could be detected through 3.5 cm of chicken-breast tissue and through
the whole hand of healthy human volunteers. With PACT, but not ultrasound
imaging, a single grain of roasted barley was detected in a field of hundreds of
non-roasted particles. Upon oral administration, roasted barley enabled imaging
of the gut and peristalsis in mice. Prepared roasted barley tea could be detected
through 2.5 cm chicken breast tissue. When barley tea was administered to humans,
photoacoustic imaging visualized swallowing dynamics in healthy volunteers. Thus,
roasted barley represents an edible foodstuff that should be considered for
photoacoustic contrast imaging of swallowing and gut processes, with immediate
potential for clinical translation.
PMID- 29803107
TI - Membrane distillation for industrial wastewater treatment: Studying the effects
of membrane parameters on the wetting performance.
AB - Substantial amounts of trace hazardous elements have been detected in industrial
wastewater (e.g fluoride > 900 mg/L). Feed water characteristics, operational
parameters, and membrane properties are major factors affecting flux and
rejection of the MD process. Membrane parameters such as membrane material type
and pore size have been investigated. Fluoride ion rejection was selected to
setup a methodology to remove trace elements from wastewater by adjusting the
membrane parameters in DCMD. Study of the fouling thickness of the MD membrane
using pH and feed water composition revealed that a PVDF membrane with a smooth
surface holds a thicker fouling layer, which enhances fluoride rejection while
reducing the permeate flux. On the other hand, PTFE and PP membranes showed
higher mass transfer and higher wetting performance, respectively. Therefore,a
PVDF membrane with low organic feed water at higher alkaline pH can be utilized
to obtain high-quality permeate, while PTFE can provide the highest flux with
acceptable permeate water quality. Therefore, this methodology can be applied
toidentify the optimum membrane to fit the required permeate flux, rejection
requirements,and operating pH to treat any kind of non-volatileinorganic
pollutants from industrial wastewater.
PMID- 29803108
TI - An anticoagulant fucan sulfate with hexasaccharide repeating units from the sea
cucumber Holothuria albiventer.
AB - A fucan sulfate was isolated and purified from the sea cucumber Holothuria
albiventer by papain enzymolysis, alkaline hydrolysis and ion-exchange
chromatography. The water-soluble polysaccharide had high molecular weight and
contained fucose and sulfate in a molar ratio of about 1:0.83. Methylation
analysis of the native polysaccharide indicated that its glycosidic linkages and
sulfate substituents might be at O-3 or O-3, 4 or O-2, 3, or O-2, 3, 4 positions.
FT-IR and 2D NMR spectroscopies further revealed that the fucan sulfate is
characteristically composed of a regular alpha (1 -> 3) linked hexasaccharide
repeating unit which is substituted with sulfate esters in a distinctive pattern.
Anticoagulant properties of the fucan sulfate and its depolymerized product were
assessed in vitro in comparison with a low-molecular-weight heparin. The fucan
sulfate exhibits strong APTT and TT prolonging activities and intrinsic factor
Xase inhibitory activity, and its molecular size seemed to be required for these
activities.
PMID- 29803109
TI - Large scale preparation of high mannose and paucimannose N-glycans from soybean
proteins by oxidative release of natural glycans (ORNG).
AB - Despite the important advances in chemical and chemoenzymatic synthesis of
glycans, access to large quantities of complex natural glycans remains a major
impediment to progress in Glycoscience. Here we report a large-scale preparation
of N-glycans from a kilogram of commercial soy proteins using oxidative release
of natural glycans (ORNG). The high mannose and paucimannose N-glycans were
labeled with a fluorescent tag and purified by size exclusion and
multidimensional preparative HPLC. Side products are identified and potential
mechanisms for the oxidative release of natural N-glycans from glycoproteins are
proposed. This study demonstrates the potential for using the ORNG approach as a
complementary route to synthetic approaches for the preparation of multi
milligram quantities of biomedically relevant complex glycans.
PMID- 29803110
TI - Effects of chronic dexamethasone exposure on bile acid metabolism and cecal
epithelia function in goats.
AB - Bile acids (BAs) are synthesized in the liver via the oxidation of cholesterol
and further metabolized by microbiota in the gut, where they simultaneously
impact gut function. In the present study, 10 goats were randomly divided into 2
groups; 1 group was injected with dexamethasone (Dex; 0.2 mg/kg), and the other
group was injected with saline as the control (Con) for 21 d. Expression levels
of key genes and proteins in the liver and gut mucosa were analyzed and compared
to investigate the impact of chronic stress on BA metabolism and related
functions in ruminants. The results revealed that Dex decreased plasma total BAs
(TBAs) concentration (P < 0.05) but increased TBA concentration in the cecal
digesta (P < 0.05). Total cholesterol in the liver decreased moderately in
response to Dex. The protein expression of cytochrome P450 family 7 subfamily A
member 1 and cytochrome P450 family 27 subfamily A member 1, 2 enzymes that
control BA synthesis in the liver, remained unchanged by Dex administration (P >
0.05). The expression of several genes in the cecal mucosa encoding epithelial
tight junction proteins, including occludin (P < 0.05), tight junction protein 1
(P < 0.01), and claudin 1 (P < 0.05), increased significantly in response to Dex,
and expression of defensin beta 1, which can strengthen the innate immune system,
was also upregulated (P < 0.05). In addition, BAs increased the expression of the
Solute Carrier family 9 member A 2 (P < 0.01) that encodes a sodium hydrogen
exchanger. These results suggest that the Dex-induced disruption of BA
homeostasis might be mediated through a liver-independent pathway in goats, and
the Dex-induced accumulation of TBAs in the cecal digesta may improve volatile
fatty acid transportation and mucosal defense.
PMID- 29803111
TI - Comparative biomechanical study of a new transpedicular vertebral device and
vertebroplasty for the treatment or prevention of vertebral compression
fractures.
AB - BACKGROUND: A comparative study was performed between a novel transpedicular
implant (V-STRUT(c), Hyprevention, France) and vertebroplasty. This study aims to
assess the biomechanical efficacy of this implant in resurrecting and fortifying
the osteoporotic vertebra following a vertebral body fracture. METHODS: A total
of 17 vertebrae from 3 human osteoporotic spine segments (T9-L5) were selected.
Vertebral compression fractures were generated by eccentric compressive loading
until a height reduction of 25%. Then the vertebrae were either fixed using
vertebroplasty technique (control group; n = 8) or implanted with V-STRUT(c)
implant combined with bone cement (device group; n = 9). A new compressive
loading was performed in the same conditions. Maximal load and stiffness, as well
as total energy to fracture were measured. FINDINGS: Fracture force and energy to
fracture were both increased either after V-STRUT(c) implantation or
vertebroplasty compared to when the initial fracture was generated. Mean increase
percentage between the initial value and the post-treatment value for each
parameter were +77% vs +39% regarding fracture load and +126% vs +99% for energy
to fracture, for the device group vs vertebroplasty group respectively. No
pedicle fractures were observed in both groups, nor implant breaking or bending
in the device group. INTERPRETATION: These results show the ability of V-STRUT(c)
combined with bone cement to reinforce the vertebral body strength, with an at
least equivalent biomechanical performance as vertebroplasty. Further clinical
investigation needs to be undertaken to demonstrate any clinical superiority of V
STRUT(c) over vertebroplasty.
PMID- 29803112
TI - A novel single-mode linear piezoelectric ultrasonic motor based on asymmetric
structure.
AB - A novel single-mode linear piezoelectric ultrasonic motor based on asymmetric
structure is proposed. The motor adopts the combination of the first longitudinal
vibration and the asymmetric mechanical structure to produce the oblique movement
on the driving foot; then, the linear output motion is obtained under the
friction coupling between the driving foot and the runner. The motor is designed
and its operation principle is illustrated in detail. The transient analysis is
developed to verify the formed movement on the driving foot. A prototype is
manufactured and its vibration characteristics are measured by a scanning laser
Doppler vibrometer. An experimental system is established and the output
performances of the motor are tested and discussed. The results indicate that the
maximal no-load velocity of the motor is about 127.31 mm/s under the voltage of
150 Vp-p and the preload of 30 N. The maximum thrust force is about 2.8 N when
the voltage and preload are 150 Vp-p and 40 N. The related works in this paper
has verified the feasibility of the proposed single-mode ultrasonic motor based
on asymmetric structure.
PMID- 29803114
TI - Unprecedented Symbiont Eukaryote Diversity Is Governed by Internal Trophic Webs
in a Wild Non-Human Primate.
AB - Research on host-associated microbiomes has highlighted major divisions between
the role of eukaryotes in free-living and symbiont systems. These trends call
into question the relevance of macroecological processes to host-associated
systems and the relative importance of parasitism, commensalism, and mutualism as
evolutionary patterns across the domains of life. However, it is unclear as to
whether these apparent differences reflect biological realities or methodologies
in community characterization: free-living eukaryotes tend to be characterized
using metabarcoding whereas symbiont eukaryotes are typically characterized with
microscopy. Here, we utilize an Illumina high-throughput metabarcoding approach
to characterize the diversity and dynamics of eukaryotic symbiont communities in
the feces of a wild non-human primate, Macaca fascicularis, revealing
functionally and taxonomically diverse communities of eukaryotes hitherto
unreported from any vertebrate. Importantly, community assembly was consistent
with top-down and bottom-up trophic food web dynamics, highlighting the
applicability of macroecological principles to these communities. Ultimately, our
findings highlight vertebrate-associated symbiont communities of the gut that are
much more similar to free-living systems than previously realized. Additionally,
our results support a role for symbiosis as a major recurrent life strategy among
eukaryotes and highlight the potential for vertebrates to host vast reservoirs of
unexplored eukaryotic diversity.
PMID- 29803113
TI - Engineered protein scaffolds as leads for synthetic inhibitors of protein-protein
interactions.
AB - Rationally designed protein-protein interaction inhibitors mimic interfacial
binding epitopes, specifically residues that contribute significantly to binding.
However, direct mimicry often does not lead to high affinity ligands because the
natural complexes themselves are functionally transient and of low affinity. The
mimics typically need to be optimized for potency. Engineered proteins displaying
conformationally-defined epitopes may serve as attractive alternatives to natural
protein partners as they can be strictly screened for tight binding. The
advantage of focused screens with conformationally-defined protein scaffolds is
that conservation of the geometry of the natural binding epitopes may preserve
binding site specificity while allowing direct mimicry by various synthetic
secondary structure scaffolds. Here we review different classes of engineered
proteins for their binding epitope geometry and as leads for synthetic secondary
and tertiary structure mimics.
PMID- 29803115
TI - Grl1 Protein is a Candidate K Antigen in Tetrahymena thermophila.
AB - In Tetrahymena, K antigens associate only with mature basal bodies and are
expected to play important roles in the morphogenesis and function of the
membrane skeleton around basal bodies, but these proteins have not been
identified and their functions are unknown. Commercially available anti-human Rho
GDP-dissociation inhibitor alpha (RhoGDIalpha) antibody (sc-33201) was
accidentally found to show very similar immunofluorescence staining patterns to
those of anti-K antigen antibodies, such as 424A8 and 10D12 mouse monoclonal
antibodies, in Tetrahymena. A 40kDa protein recognized by this antibody was
partially purified and identified as granule lattice protein 1 (Grl1p) by matrix
assisted laser desorption/ionization-tandem time-of-flight mass spectrometry. In
immunoblotting experiments this antibody was suggested to recognize endogenous
Grl1p. The three-dimensional structure of proGrl1p protein predicted by I-TASSER
was similar to a spectrin family protein. Grl1 may be a K antigen and a spectrin
like protein in Tetrahymena.
PMID- 29803116
TI - Diversity of Organellar Genomes in Non-photosynthetic Diatoms.
AB - We determined the complete sequences of the plastid and mitochondrial genomes of
three non-photosynthetic Nitzschia spp., as well as those of a photosynthetic
close relative, Nitzschia palea. All the plastid genomes and the three
mitochondrial genomes determined were found to be circularly mapping, and the
other mitochondrial genomes were predicted to be of a linear form with telomere
like structures at both ends. We found that all the non-photosynthetic plastid
genomes are streamlined and lack a common gene set: two RNA genes, and 60 protein
coding genes, most of which are related to photosynthetic functions.
Nevertheless, the non-photosynthetic plastid genomes commonly retain ATP synthase
complex genes, although atpE is missing in Nitzschia sp. NIES-3581 and three
other non-photosynthetic species lack atpF instead of atpE. This observation
suggests an evolutionary constraint against the loss of ATP synthase complex
genes. All the non-photosynthetic diatom plastid genomes lacked two genes, thiS
and thiG, involved in thiamin biosynthesis. Consistent with this gene loss, non
photosynthetic Nitzschia spp. were incapable of thriving in vitamin B1-lacking
media. This study clearly demonstrated not only the evolutionary trends of
plastid genome reduction but also the linkage between plastid genome reduction
and a biological change of nutrient requirements in Nitzschia.
PMID- 29803117
TI - Advanced glycation end products (AGEs) in oral pathology.
AB - OBJECTIVE: Maillard advanced glycation end products (AGEs) are connected with
high dry temperature food processing, color and flavor modification of food
products. Oral cavity pathology is strongly influenced by dietary intake. The aim
of the present paper is to update current data regarding the sources and
metabolism of AGEs, their impact on oral cavity tissues, to discuss and suggest
new approaches for the early diagnosis and efficient treatment of AGEs-related
oral pathology. DESIGN: This paper is a narrative review of the studies
discussing AGEs and mainly the dietary AGEs (dAGEs) sources, metabolism, linkage
to general diseases, and specifically the oral cavity pathology. The authors used
"PUBMED" and MeSH for the finding of English written and published articles
concerning AGEs. There were used the next keywords association: "advanced
glycation end products- AGEs" AND "Maillard products", "AGEs" AND "diet-related
disease, "AGEs" AND "salivary biosensor", "AGEs" AND "metabolic syndrome AGEs",
"AGEs" AND "oral pathology", "AGEs" AND "dentin AGEs" OR "periodontal AGEs",
"AGEs" AND "diagnosis and monitoring". The authors used free full-text articles
to determine the etiology and physiopathology of AGEs, their association with
general diseases and oral cavity disease, assessment methods used in biofluids
and tissues, AGEs prevention and treatment approaches. Articles concerning AGEs
etiology, metabolism and effect in the human body and specific implication in
oral pathology were selected. There were no exclusion criteria in what concerns
the study design. Studies in other language than English and articles abstracts
were excluded. Criteria of inclusion were free full-text articles written in
English. Equally human and animal model studies were included. Regarding the date
of publication, all subjects concerning glycation products after 1953 (first
published article) were included. RESULTS: Evidence show that AGEs are
responsible for inducing low intensity chronic inflammation and thereby, for
initiating and/or aggravating chronic diseases. Nowadays, research has
demonstrated a significant association between AGEs and dental or periodontal
pathology. Moreover, salivary AGEs are consistent with the levels of AGEs in
other biological fluids and are correlated with the general and oral pathology.
CONCLUSIONS: Assessment of salivary AGEs could be a reliable tool for early
diagnosis and monitoring diet-related disease.
PMID- 29803118
TI - Expression profile and cellular localizations of mucin proteins, CK7, and
cytoplasmic p27 in Barrett's esophagus and esophageal adenocarcinoma.
AB - PURPOSE: Barrett's esophagus is one of the main risk factors for increased
incidence of esophageal adenocarcinoma. In this study, we studied protein
expression levels and cellular localizations of MUC-1, MUC-2, MUC-5AC, CK7, and
cytoplasmic p27 to assess the relationship between the expression of each of
these proteins and the disease progression on endoscopic biopsies. MATERIALS AND
METHODS: Immunohistochemical analyses were performed using antibodies produced
against MUC-1, MUC-2, MUC-5AC, CK7, and p27. Endoscopic specimens of esophageal
mucosa were obtained from 72 patients who underwent esophagectomy for Barrett's
esophagus, metaplasia, dysplasia, or esophageal adenocarcinoma developed from
Barrett's esophagus. RESULTS: Multilayer squamous epithelium showed only MUC-1
positivity in the EAC group while MUC-2 and MUC-5AC staining could not be
detected in this group. Strong and diffused membranous or cytoplasmic staining of
CK7 was observed at squamous, ductal, surface columnar and/or glandular
epithelium. c-p27 staining was diffused and moderate in the cellular membranes
observed in all groups except for esophageal epithelial metaplasia without
intestinal metaplasia. Additionally, weakly focal cytoplasmic staining in
squamous epithelium of p27 in EAC was detected. CONCLUSIONS: Barrett's esophagus,
which has a heterogeneous epithelium, might yield different diagnosis based on
endoscopic evaluation and immunohistological investigation. Thus, the use of
MUC1, p27, and CK7 might strengthen the truthful diagnosis. MUC-1, CK7, and c-p27
immunostaining can be used as the predictive markers for esophageal cancer
progression from Barrett's esophagus.
PMID- 29803119
TI - "Our lives aren't over": A strengths-based perspective on stigma, discrimination,
and coping among young parents.
AB - The current study conducted interviews and focus groups with twenty-four diverse
16-25 year-old parents to elicit in-depth narratives about experiences related to
parenting status. Parents were recruited from a case management program in the
Southwestern United States supporting high school graduation and workforce
employment (for mothers and fathers, respectively). Young parents disclosed
experiences of shame, stigma, and discrimination associated with perceptions
about their "fitness" to be a parent and moral judgment. Themes arose that
revealed the positive, adaptive ways that participants coped with potentially
deleterious experiences with a focus on their role as a parent and role model for
their children. Our findings highlight positive meaning-making and resiliency of
young parents when confronted with discrimination and systemic barriers, with
many participants focusing on the benefits of parenthood within a unique
developmental context. Further, implications for program development, provider
trainings, and public policy and advocacy efforts for young parents are
discussed.
PMID- 29803120
TI - Perfectionism is associated with higher eating disorder symptoms and lower
remission in children and adolescents diagnosed with eating disorders.
AB - OBJECTIVE: The link between perfectionism and eating disorders is well
established in adults, however little research has been conducted in children and
adolescents. The aim was to examine if perfectionism was a predictor of eating
disorder symptoms at intake assessment, and 6 and 12 month review. METHOD: There
were 175 children and adolescents aged 10-17 years (M = 14.47 years, SD = 1.31)
who were assessed using the Eating Disorders Inventory-3 perfectionism subscale
and the child adapted Eating Disorders Examination at intake, 6 and 12 months
review. RESULTS: There was a significant association between perfectionism and
symptoms of eating disorders at intake assessment and at 6 and 12 month review.
Higher perfectionism at intake predicted a lower likelihood of remission at 12
months. DISCUSSION: The findings suggest that similar to adult samples,
perfectionism is significantly associated with eating disorder symptoms in
children and adolescents. Further research is required to examine the impact of
perfectionism on eating disorder symptoms in longitudinal research with children
and adolescents with eating disorders.
PMID- 29803121
TI - Screen time and screen addiction: Beyond gaming, social media and pornography- A
case report.
PMID- 29803122
TI - An entropy approach for evaluating adaptive motor learning processes while
walking with unstable footwear.
AB - This study evaluated the short- and long-term effects of unstable shoes (US) on
the structure/shape of variability in gait. Therefore, sample entropy (SEn)
values of centre of mass velocity (vCOM) signals in medio-lateral (ML), anterior
posterior (AP) and vertical (VT) direction were computed for 12 sport students
during walking with US and flat shoes (FS) before and after a 10-week
accommodation period. Statistical analysis included two-way repeated-measures
ANOVA followed by post hoc tests where appropriate (alpha = 0.05). Most
noteworthy, it was found that (1) when compared to FS, using US increased the
predictability of vCOM time series, not necessarily always at pre-test, but
especially at post-test since (2) the corresponding SEn values decreased for the
US condition while remaining stable for the FS condition during the interval
between laboratory visits, although (3) the related shoe-by-visit interaction
effects were only significant for vCOMML data and not for vCOMAP nor for vCOMVT
data. Accordingly, the path of adapting to US was characterised by a
"decomplexification" of the motor system; however, the variable practice (i.e.,
training) loads accompanying such a footwear intervention were probably too small
to further expand the overall flexibility capabilities of athletically active
persons (in more real-life settings).
PMID- 29803123
TI - Comparing coronary artery fibromuscular dysplasia with coronary atherosclerosis:
from clinical to histopathological characteristics.
PMID- 29803124
TI - Color tunable emission and energy transfer of Ce3+/Dy3+ codoped Ba3La2(BO3)4
phosphor for UV white LEDs.
AB - Polycrystalline Ba3La2(BO3)4:Ce3+,Dy3+ sub-micrometer-sized phosphors were
synthesized by solid-state reaction under a weak reductive atmosphere. The
structure, static and time-resolved photoluminescence are investigated. Under the
near-ultraviolet excitation, the Ba3La2(BO3)4:Dy3+ phosphors emit white light
with three intense emission bands centered at 483, 575, and 665 nm. The efficient
energy transfer from Ce3+ to Dy3+ in Ba3La2(BO3)4 phosphor was found by
excitation/emission spectra and decay time measurements, and the resonant type
was demonstrated by a dipole-dipole mechanism. A tunable emission hue from blue
(0.18, 0.20) to blue-white (0.26, 0.29) and eventually to white (0.32, 0.33) was
obtained in Ba3La2(BO3)4:Ce3+,Dy3+ phosphors. Owing to the broad UV excitation
band, indicating that Ba3La2(BO3)4:Ce3+,Dy3+ phosphors can be considered as a
potential candidate for ultraviolet-based white light-emitting diodes.
PMID- 29803126
TI - A novel approach for rehabilitation of a triceps tendon rupture: A case report.
AB - OBJECTIVE: Generate hypotheses and add new perspectives to the literature in the
nonsurgical management of large ruptures in the distal part of the triceps
tendon. METHODS: The patient was physically active, right handed, with a history
of 20 years of resistance training practice and involvement in many activities,
mostly combat sports (boxing, karate and mixed martial arts). The exercise
program was designed with high repetitions and low rest intervals between sets in
order to increase the metabolic stress. The resistance training with focus on
tendon rehabilitation was performed in 28 weeks, with a follow-up of 52 weeks.
The outcomes were changes in muscle strength and in the morphology of muscle and
tendon. RESULTS: The results obtained in the isokinetic tests showed that the
functional deficit was more evident during isometric than dynamic actions, and
was also higher is slow than fast actions. Dynamic performance was fully
recovered at the end of the follow up, while isometric strength did not.
CONCLUSIONS: The present case reports a successful rehabilitation program after a
near maximum triceps tendon rupture. The novelty was in the use of a simple
resistance training program, that demanded low time commitment and was performed
in a regular fitness facility.
PMID- 29803125
TI - Neuromechanical response to passive cyclic loading of the ACL in non-professional
soccer players: A pilot study.
AB - OBJECTIVE: To investigate the effects of passive cyclic loading (CYC) on anterior
tibial translation (ATT), knee extensor and flexor muscle strength and activation
in soccer players. DESIGN: Cross-sectional study. SETTING: Functional Assessment
Laboratory; Participants: Eight healthy competitive soccer players.
INTERVENTIONS: The knee of the dominant limb was subjected to 10 min of CYC at
200 N force. MAIN OUTCOMES MEASURES: ATT was measured before and after CYC.
Percentage of variation was used to estimate ACL creep. Knee extension and
flexion maximal voluntary contractions (MVCs) were assessed both before and after
CYC. EMG amplitudes of both Biceps Femoris (BF) and Vastus Lateralis (VL) were
recorded during both MVCs and CYC. RESULTS: There was a 20.7% increase in ATT
after CYC application (p<0.001). Post-CYC agonist and antagonist BF activations
were 37.7% and 18.4% lower than pre-CYC ones during MVCs (p<0.05). BF EMG
activity in the last 30s of CYC was 19.9% higher than in the first 30s (p<0.05).
CONCLUSION: The increased ATT and the variations in neuromuscular activation of
the BF in response to loading may expose the knee at higher injury risk by
increasing joint instability. Further studies are required to thoroughly
investigate these aspects in both laboratory and real-field settings.
PMID- 29803127
TI - Effect of prophylactic ankle taping on ankle and knee biomechanics during
basketball-specific tasks in females.
AB - OBJECTIVE: The aim of this study was to investigate the effects of ankle taping
on ankle and knee joint biomechanics during cutting and rebound activities in
females. DESIGN: Cross-sectional. SETTING: Laboratory. PARTICIPANTS: Twenty semi
professional female basketball players performed a cut and rebound task under two
conditions (taped and no-tape). MAIN OUTCOME MEASURES: Kinematic and ground
reaction force data were collected during the deceleration phase of each movement
task. RESULTS: Taping resulted in a significant reduction in peak ankle
dorsiflexion, inversion and internal rotation angles and range of motion (ROM) at
the ankle joint; and reduced knee ROM in the sagittal plane during the rebound
task only. Taping significantly reduced peak knee flexion moment (0.29 Nm/kg, P =
0.013) and increased knee internal rotation moment (0.63 Nm/kg, P = 0.026) during
the cutting task compared to control. Taping also significantly reduced the
internal rotation moment (0.07 Nm/kg, P = 0.025), and medial shear forces (0.14
N/kg, P = 0.012) in the rebound task. CONCLUSION: Results of the study suggest
that ankle taping restrict ankle range of movement in the rebound task only and
ankle taping appears to have upstream effects on the knee, which may have injury
implications.
PMID- 29803128
TI - Intertwining of caring and learning in care practices supported by a didactic
approach.
AB - This paper highlights how caring and learning interact and become an intertwined
phenomenon. The analysis of the research findings from two studies, in which the
interaction between caring and learning in two educational units was
investigated, has been guided by a Reflective Lifeworld Research approach
grounded in a lifeworld-oriented phenomenology. The analysis procedure was
concluded in a synthesis of the interaction between caring and learning in a
Dedicated Educational Unit (DEU) and a didactic method inspired by a lifeworld
educational perspective has been developed. The results show that through trust
and genuine meetings between patients and students caring and learning can
converge and be intertwined. Both students and patients take an active role in
the health process as well as the learning process. In order to achieve an
intertwining process qualified supervision, care managers who take responsibility
for a caring and learning environment and a consensus between the nursing school
and the healthcare organization is required. The didactic method that can support
the intertwining of caring and learning consists of three themes; genuine
meetings, sensitivity for the patient's story and reflection in interaction.
These themes are tools for the supervision.
PMID- 29803129
TI - Evaluation of an alternative treatment protocol by aglepristone to induce
parturition in ewes with an experimental model of early pregnancy toxemia.
AB - A new protocol with aglepristone to induce parturition in ewes with pregnancy
toxemia has been reported in the present manuscript. Four experimental groups
were defined: Group AG5 (n = 10), Group DEX (n = 10), Group NC (n = 5) and Group
PT (n = 5) in which ewes were injected twice with 10 mg/kg of aglepristone and 5
ml dexamethasone in first two groups, respectively; whereas negative control and
pregnancy toxemia groups received no treatment for parturition induction.
Different clinical parameters associated with parturition in ewes and their
newborns were investigated. Blood hematology and biochemical measurements were
carried out both in ewes and lambs. Blood pH values of lambs were recorded during
the study. The injection time-lambing time, injection time-vaginal discharge
intervals, placental expulsion periods, placental weight and vaginal delivery
interval between lambs, hematological and biochemical results were not
statistically different among the groups (p > 0,05). Increased NEFA and beta-HBA
concentrations accompanied the disease and all ewes in AG, DEX and PT Groups
developed clinical pregnancy toxemia (NEFA; P = 0,009) and beta-HBA; (P = 0,039).
The differences in rectal body temperature of lambs were not significant (p >
0,05), whereas birth weight was found statistically significant among groups (p <
0,05). Blood pH, biochemical and hematologic measurements of lambs had also
significant differences depending on different time points. Parturition pathology
by means of incomplete cervical dilatation was severely observed in DEX Group.
The results of this study show that aglepristone application in pregnancy toxemia
to induce parturition could precisely control lambing time without any side
effects in either mothers or lambs. Apart from these, it could be speculated that
dexamethasone seems to induce parturition causing crucial pathologies, which
results in important and risky changes in newborns' life. Incomplete cervical
dilatation and continued ineffective uterine contractions could be a major factor
of newborn losses because of placental separation and cessation of blood supply.
PMID- 29803130
TI - Biochemical responses of the desiccation-tolerant resurrection fern Pleopeltis
polypodioides to dehydration and rehydration.
AB - The epiphytic fern Pleopeltis polypodioides can tolerate repeated drying and
rehydration events without conspicuous damage. To understand the biochemical
principles of drought-tolerance, we analyzed the effect of dehydration and
rehydration at 25 degrees C on hydroperoxide and lipid hydroperoxide, the
activities of antioxidative (catalase and glutathione-oxidizing) enzymes and
evaluated changes in fatty acid composition and saturation levels. Dehydration
increased peroxide concentration and the activity of glutathione oxidases, but
reduced catalase activity. During dehydration, the biosynthesis of palmitic
(C16:0), linoleic (C18:2), linolenic (C18:3) and stearic acid (C18:0) increased
18, 12, 20, and 8-fold, respectively. In contrast, rehydration lowered levels of
peroxides, the activity of glutathione-oxidizing enzymes, and fatty acids but
increased catalase activity. The coordinated changes during de- and rehydration
suggest that lipids and oxidative and antioxidative enzymes are components of the
drought-resistance system.
PMID- 29803131
TI - Effect of homogenisation speed and centrifugation on particle size analysis of
beef and the relationship with shear force.
AB - This study aimed to investigate the effect of homogenisation speed and
centrifugation on particle size (PS) evaluation, and examine its relationship
with shear force. Beef Longissimus lumborum (LL) muscle portions were randomly
assigned to different ageing periods (0, 3 or 5 weeks), and tested for PS and
shear force. The PS analysis was conducted at different homogenisation speeds
(11,000, 16,000 and 19,000 rpm) with and without a centrifugation step prior to
measurement. Samples homogenised at 11,000 rpm and not centrifuged gave PS
results significantly different at each ageing time as did samples homogenised at
19,000 rpm and centrifuged. Overall samples homogenised at 11,000 rpm produced
the lowest coefficient of variation for PS results. There was a significant
correlation between PS and shear force across the different homogeniser speeds
and centrifugation statuses. It is concluded that best practice when measuring PS
in beef includes a homogeniser speed of 11,000 rpm with no centrifugation prior
to measurement.
PMID- 29803132
TI - Predictability of lean product, bone, and fat trim in beef carcasses from Costa
Rica.
AB - Data from 292 hot fat trimmed carcasses derived from Costa Rican cattle were used
to predict yield of fabricated boneless, closely-trimmed, high-valued cuts (BVS,
by weight and percentage); yield of total saleable product (TSP, by weight and
percentage); and percentage yields of bone and trim fat. Backfat thickness was
not significantly associated with weight of BVS or TSP. Carcass weight explained
93.7% and 95.9% of the total variation in weight of BVS and TSP, respectively.
Equations for predicting percentage yields of BVS and TSP showed little
predictive efficacy. Conversely, the greater precision of the equations selected
to predict the quantity (kg) of BVS or TSP, offers a practical alternative of
using them in hot fat trimmed carcasses.
PMID- 29803134
TI - Metagenomic and metatranscriptomic analysis of the microbial community in Swiss
type Maasdam cheese during ripening.
AB - In Swiss-type cheeses, characteristic nut-like and sweet flavor develops during
the cheese ripening due to the metabolic activities of cheese microbiota.
Temperature changes during warm and cold room ripening, and duration of ripening
can significantly change the gene expression of the cheese microbiota, which can
affect the flavor formation. In this study, a metagenomic and metatranscriptomic
analysis of Swiss-type Maasdam cheese was performed on samples obtained during
ripening in the warm and cold rooms. We reconstructed four different bacterial
genomes (Lactococcus lactis, Lactobacillus rhamnosus, Lactobacillus helveticus,
and Propionibacterium freudenreichii subsp. shermanii strain JS) from the Maasdam
cheese to near completeness. Based on the DNA and RNA mean coverage, Lc. lactis
strongly dominated (~80-90%) within the cheese microbial community. Genome
annotation showed the potential for the presence of several flavor forming
pathways in these species, such as production of methanethiol, free fatty acids,
acetoin, diacetyl, acetate, ethanol, and propionate. Using the metatranscriptomic
data, we showed that, with the exception of Lc. lactis, the central metabolism of
the microbiota was downregulated during cold room ripening suggesting that fewer
flavor compounds such as acetoin and propionate were produced. In contrast, Lc.
lactis genes related to the central metabolism, including the vitamin
biosynthesis and homolactic fermentation, were upregulated during cold room
ripening.
PMID- 29803133
TI - Use of olive leaves (whether or not fortified with sodium selenate) in rabbit
feeding: Effect on performance, carcass and meat characteristics, and estimated
indexes of fatty acid metabolism.
AB - Sixty New Zealand White weaned rabbits were divided into three groups and
subjected to different dietary treatments: a standard diet for the control (C), a
standard feed supplemented with 10% of plain olive leaves (OL) and a standard
feed supplemented with 10% of selenium-fortified olive leaves (100 mg/L of foliar
spray sodium selenate solution; SeOL). The productive performance was recorded at
the time of slaughter (after 35 days); the carcass and meat traits were
determined and estimated indexes of fatty acid metabolism were calculated. No
significant differences were found on the rabbit productive performance and the
physical-chemical characteristics of the meat. Both group of rabbits on the
enriched diet showed leaner and thinner carcasses and a higher meat concentration
of oleic acid. The estimated index of Delta5 + Delta6-desaturase, starting from n
6 fatty acids, was lower in both groups supplemented with leaves. The use of
selenium-fortified olive leaves, positively affected the lipid oxidative
stability of rabbit meat.
PMID- 29803136
TI - Modal analysis of nanoindentation data, confirming that reduced bone turnover may
cause increased tissue mineralization/elasticity.
AB - It is widely believed that the activities of bone cells at the tissue scale not
only govern the size of the vascular pore spaces (and hence, the amount of bone
tissue available for actually carrying the loads), but also the characteristics
of the extracellular bone matrix itself. In this context, increased mechanical
stimulation (in mediolateral regions of human femora, as compared to
anteroposterior regions) may lead to increased bone turnover, lower bone matrix
mineralization, and therefore lower tissue modulus. On the other hand, resorption
only processes (in endosteal versus periosteal regions) may have the opposite
effect. A modal analysis of nanoindentation data obtained on femurs from the
Melbourne Femur Research Collection (MFRC) indeed confirms that bone is stiffer
in endosteal regions compared to periosteal regions (Eendost = 29.34 +/- 0.75 GPa
>Eperiost = 24.67 +/- 1.63 GPa), most likely due to the aging-related increase in
resorption modeling on endosteal surfaces resulting in trabecularization of
cortical bone. The results also show that bone is stiffer along the
anteroposterior direction compared the mediolateral direction (Eanteropost =
28.89 +/- 1.08 GPa >Emediolat = 26.03 +/- 2.31 GPa), the former being aligned
with the neutral bending axis of the femur and, thus, undergoing more resorption
modeling and consequently being more mineralized.
PMID- 29803135
TI - DNA damage and telomere length shortening in the peripheral blood leukocytes of
20 years SM-exposed veterans.
AB - Sulfur mustard (SM) is a vesicant chemical warfare agent, and a very potent
alkylating agent. SM exerts its cytotoxicity via direct alkylation of
biomacromolecules, and overproduction of reactive oxygen species (ROS). Previous
studies have shown that SM-induced oxidative stress has adverse effects on
antioxidant defense system, and damages lipids and proteins. The aim of this
study was to investigate the effect of SM-induced oxidative stress on DNA damage,
and cellular senescence in SM-exposed victims. For this purpose, MDA levels as a
measure of oxidative stress in the serum, 8-oxo-dG content of the genomic DNA,
and OGG1 expression as two biomarkers of oxidative DNA damage, as well as,
telomere length, and p16INK4a expression as two biomarkers of cellular senescence
were measured in the peripheral blood leukocytes of 215 males who were exposed to
SM 20 to 25 years ago, and 53 unexposed healthy males as the control group. Our
results indicated that the levels of 8-oxo-dG, and OGG1 mRNA expression were
significantly higher in SM-exposed individuals. Furthermore, a significant
increase in the expression of p16INK4a was observed in SM-exposed patients, and
leukocyte telomere length (LTL) was also significantly shorter in severe/very
severe cases of SM-exposed patients when compared with unexposed controls. In
conclusion, our data indicate that oxidative DNA damage is higher in SM-exposed
patients, and their immune system has subjected to cellular senescence.
PMID- 29803137
TI - Influence of laser structuring of PEEK, PEEK-GF30 and PEEK-CF30 surfaces on the
shear bond strength to a resin cement.
AB - OBJECTIVES: The aim of this study was to evaluate the influence of a surface
conditioning technique using laser ablation and acid etching on PEEK substrate on
its bonding strength to a resin cement. MATERIALS AND METHODS: Cylindrical
specimens of unfilled PEEK, 30% glass fiber reinforced PEEK and 30% carbon fiber
reinforced PEEK were separated in four groups according to the following surface
treatments: acid etching with H2SO4, laser ablation with 200 um holes spaced 400
um apart (D2E4), laser ablation with 200 um holes spaced 600 um apart (D2E6), and
laser ablation (D2E4) followed by acid etching. A dual-curing resin cement
(Allcem CORE) was then applied to the PEEK surface. Specimens were aged in
distilled water at 37 degrees C for 24 h. Shear bond strength tests were
performed to the fracture of the samples. Two-way ANOVA statistical analysis was
performed with a significance level of 0.05. Scanning electron microscopy
analysis was performed to analyse the conditioned and fracture surfaces. RESULTS:
SEM images of the test interfaces showed that the resin cement could not flow in
the holes designed by the laser ablation on the PEEK surface. The shear bond
strength of PEEK to resin cement was not improved by the surface modification of
the PEEK. Also, there was a statistically significant decrease in shear bond
strength for unfilled PEEK specimens. On carbon or glass reinforced PEEK, the
change was not significant. SEM images of the fracture surfaces revealed that the
failure mode was mainly adhesive. CONCLUSIONS: Although laser ablation promoted
the PEEK surface modification by the formation of retentive holes, the test resin
cement could not thoroughly flow on the rough modified surfaces to establish an
effective mechanical interlocking. That negatively affected the shear bonding
strength of PEEK to the resin cement. Further studies should be carried out to
increase the bonding between PEEK and resin cements.
PMID- 29803138
TI - Factors influencing the effectiveness of occupant retention under far-side
impacts: A parametric study.
AB - The occupant retention and injuries under far-side impact are invariably
dependent upon the effectiveness of the seatbelt restraint system, which is
largely driven by parameters such as seatbelt pre-tensioner limiting load, D-ring
position above and behind the shoulder, and friction coefficient between the
torso and the seatbelt. The cumulative effect of systematic variation of these
parameters on occupant kinematics under far-side is rarely studied in the
literature. In this study, a systematic and detailed analysis was performed to
understand the effect of these parameters on occupant retention. A rigid buck
assembly with Global Human Body Model Consortium Human Body Model, validated with
post mortem human surrogate experiments was used under two different impact
scenarios-lateral and oblique. A simulation matrix of 16 cases was designed by
varying the magnitude of the parameters for each impact scenario. Each case was
graded as good, moderate, or poor retention based on the position of the shoulder
seatbelt at the time of rebound. Head accelerations and excursions, chest
compression, rib fractures, and neck moments of the HBM were analyzed to
understand the effect of improved retention on occupant kinematics. Results
showed that higher pre-tensioner limiting load, higher seatbelt friction, and
backward position of D-ring improved retention in both lateral and oblique
scenarios. Head acceleration, and excursions and chest compression decreased from
poor retention cases to good retention cases for both impact scenarios. Rib
fractures were higher in cases with poor retention as compared to those with good
retention. The peak lateral neck moments changed marginally from poor to good
retention; however, the rate of loading of the neck was significantly higher in
good retention. Thus, the current study suggested that the backward D-ring
position coupled with higher pretensioner limiting load and friction is likely to
improve retention in far-side impacts and prevent injuries from the occupant
slipping out of the restraint system. Better retention reduced occupant
acceleration, excursion, chest compression and number of rib fractures, on the
contrary it might instill higher injury vulnerability to neck and brain.
PMID- 29803139
TI - Effects of different surface treatments on the cyclic fatigue strength of one
piece CAD/CAM zirconia implants.
AB - OBJECTIVES: The effects of different surface treatments on cyclic fatigue
strengths of computer-aided design and computer-aided manufacturing (CAD/CAM)
zirconia implants and its mechanisms were evaluated. MATERIAL AND METHODS: One
piece cylindrical screw-type zirconia (Y-TZP) implants with diameters of 4.1-mm
were fabricated using CAD/CAM technique; they were divided into four groups
according to the type of surface treatment: (i) sintering (control group, CTRL),
(ii) sandblasting (SB), (iii) sandblasting and etching with an experimental hot
etching solution (SB-ST), and (iv) sandblasting and etching with hydrofluoric
acid (SB-HF). The surface morphology and roughness of the implants were
evaluated. Tetragonal to monoclinic transformation was measured on the surface by
micro Raman spectroscopy. Static and fatigue tests were carried out at room
temperature following the ISO 14801:2014 Standard. The cyclic fatigue strength of
each group was determined using the staircase method. Specimens that survived the
fatigue test were statically loaded to measure the residual fracture strength.
RESULTS: Among the four groups, SB-HF exhibited the highest surface roughness.
Compared with the CTRL group, the surface monoclinic content was higher after all
three types of surface treatments, amongst which, SB-HF had the highest content
(39.14%), significantly more than the other three groups (P < 0.01). The cyclic
fatigue strengths of CTRL, SB, SB-ST, and SB-HF implants were 530 N, 662.5 N, 705
N, and 555 N, respectively. The fracture strength after fatigue loading was
higher than that before fatigue loading with no significant difference (P>0.05).
CONCLUSIONS: SB and SB-ST remarkably enhanced the fatigue resistance of zirconia
implants, while SB-HF did not. One-piece 4.1-mm diameter CAD/CAM zirconia
implants have sufficient durability for application in dental implants.
PMID- 29803140
TI - Gamma, X-ray and neutron shielding parameters for the Al-based glassy alloys.
AB - The X-ray and gamma radiation shielding parameters (mass attenuation coefficient,
mean free path, half value layer, tenth value layer, effective atomic numbers,
electron density, exposure buildup factors, relative dose, dose rate and specific
gamma ray constant) have been studied for the Al-based glassy alloys
Al86Y7Ni5Co1Fe0.5Pd0.5, Al85Y8Ni5Co1Fe0.5Pd0.5, Al84Y9Ni4Co1.5Fe0.5Pd1,
Al80Y13Ni5Co1Fe0.5Pd0.5, Al70Y23Ni5Co1Fe0.5Pd0.5 and Al60Y33Ni5Co1Fe0.5Pd0.5. For
the same alloys, the neutron shielding parameters (coherent neutron scattering
length, incoherent neutron scattering lengths, coherent neutron scattering cross
section, incoherent neutron scattering cross sections, total neutron scattering
cross section and neutron absorption cross sections) have also been explored.
Al60Y33Ni5Co1Fe0.5Pd0.5 was found to be a good shielding material for the X
ray/gamma radiation, while Al86Y7Ni5Co1Fe0.5Pd0.5 is a good shielding material
for neutrons.
PMID- 29803141
TI - Feasibility of 153Sm production using MNSR research reactor through a multi-stage
approach.
AB - The main objective of this study was to explore the feasibility of producing
153Sm radioisotope in miniature neutron source reactors (MNSRs) in Isfahan-Iran.
As the first step of this study, the MNSR's geometry was created by using the
MCNP6.2 simulation code and afterwards a validity check was performed by
comparing the results with the experimental data. Then, by applying values
obtained through simulation, the production process was followed up to 20
irradiation cycles using different irradiation and cooling periods (irradiation
setups). The results showed that the proposed simulation technique has an
acceptable agreement with the experiments (with a difference of nearly 6%). In
spite of limitations, such as irradiation time and flux in such reactors, our
results showed that by choosing the correct irradiation setup, it is possible to
produces 153Sm up to 852.26 mCi g-1 in 20 successive irradiation cycles. However,
after the 10th cycle, the production reached 90% of the maximum point.
Nevertheless, the continuance of the irradiation process with a new target (by 10
plus 10 discrete irradiation) can double the total activity in comparison with 20
successive irradiation cycles, without any increase in the fuel consumption of
the reactor. These findings increase the prospect of a large-scale production of
the life-saving 153Sm radioisotope in MNSR reactors.
PMID- 29803142
TI - Temporal trends in competing mortality from second and subsequent primary
cancers, 1980-2014: An Australian population-based study.
AB - BACKGROUND: Subsequent primary cancers (SPCs) compete with first cancers and non
cancer events as the primary cause of death among cancer patients. We aimed to
assess temporal trends in SPC mortality since 1980 among adult-onset cancer
patients in competing risk models. METHODS: Patients registered with a first
cancer in the population-based Tasmanian Cancer Registry, Australia, between 1980
2009 were followed up to December 2014. Cumulative incidence function (CIF) was
used to estimate the cumulative incidence of cause-specific deaths in the
presence of competing risks. The hazard ratios of SPC-specific deaths were
assessed in two regression models: subdistribution hazard ratios from competing
risk models (SHRs) and hazard ratios from Cox models (CHRs). RESULTS: Overall,
5339 (9.3%) of 57,288 patients developed SPCs and 2494 died from SPCs during the
follow-up. While the cumulative incidence of first cancer deaths at 5, 10, 15 and
20-years gradually decreased over periods of first cancer diagnosis, the
cumulative incidence of SPC deaths did not. The SHRs for SPC-specific deaths
increased from the reference period 1980-1984 to a peak for first cancers
diagnosed in 1995-1999 (SHR = 1.18, 95%CI 1.03-1.35), before a decrease in 2005
2009 (SHR = 0.82, 95%CI 0.70-0.95) in competing risk models. However, this
pattern was not consistent in CHRs. For individuals with specific first cancers,
those with a first prostate cancer in 1995-1999 ha d the greatest SPC mortality
risk (SHR = 2.08, 95%CI 1.29-3.36). CONCLUSION: Competing risk models, but not
Cox models, demonstrated temporal increases in SPC-specific mortality. Greater
detection of non-fatal first prostate cancers appears to have contributed to this
trend.
PMID- 29803143
TI - A comparison between DART-MS and DSA-MS in the forensic analysis of writing inks.
AB - Ambient ionization mass spectrometry is gaining momentum in forensic science
laboratories because of its high speed of analysis, minimal sample preparation,
and information-rich results. One such application of ambient ionization
methodology includes the analysis of writing inks from questioned documents where
colorants of interest may not be soluble in common solvents, rendering thin layer
chromatography (TLC) and separation-mass spectrometry methods such as LC/MS (-MS)
impractical. Ambient ionization mass spectrometry uses a variety of ionization
techniques such as penning ionization in Direct Analysis in Real Time (DART), and
atmospheric pressure chemical ionization in Direct Sample Analysis (DSA), and
electrospray ionization in Desorption Electrospray Ionization (DESI). In this
manuscript, two of the commonly used ambient ionization techniques are compared:
Perkin Elmer DSA-MS and IonSense DART in conjunction with a JEOL AccuTOF MS. Both
technologies were equally successful in analyzing writing inks and produced
similar spectra. DSA-MS produced less background signal likely because of its
closed source configuration; however, the open source configuration of DART-MS
provided more flexibility for sample positioning for optimum sensitivity and
thereby allowing smaller piece of paper containing writing ink to be analyzed.
Under these conditions, the minimum sample required for DART-MS was 1mm strokes
of ink on paper, whereas DSA-MS required a minimum of 3mm. Moreover, both
techniques showed comparable repeatability. Evaluation of the analytical figures
of merit, including sensitivity, linear dynamic range, and repeatability, for DSA
MS and DART-MS analysis is provided. To the forensic context of the technique,
DART-MS was applied to the analysis of United States Secret Service ink samples
directly on a sampling mesh, and the results were compared with DSA-MS of the
same inks on paper. Unlike analysis using separation mass spectrometry, which
requires sample preparation, both DART-MS and DSA-MS successfully analyzed
writing inks with minimal sample preparation.
PMID- 29803144
TI - Induction of quiescence (G0) in bone marrow stromal stem cells enhances their
stem cell characteristics.
AB - Several studies have suggested that bone marrow stromal steam cells (BMSC) exist
in a quiescent state (G0) within the in vivo niche; however, an explicit analysis
of the biology of G0 state-BMSC has not been reported. We hypothesized that
induction of G0 in BMSC might enhance their stem cell properties. Thus, we
induced quiescence in BMSC in vitro by (a) suspension culture in a viscous medium
or (b) culture on soft polyacrylamide substrate; and examined their molecular and
functional phenotype. Induction of G0 was confirmed by bromo-deoxyuridine (BrdU)
labelling and analysis of cell cycle gene expression. Upon reactivation and re
entry into cell cycle, G0 state-BMSC exhibited enhanced clonogenic self-renewal,
preferential differentiation into osteoblastic rather than adipocytic cells and
increased ectopic bone formation when implanted subcutaneously in vivo in immune
deficient mice, compared to asynchronous proliferating (pre-G0) BMSC. Global gene
expression profiling revealed reprogramming of the transcriptome during G0 state
including significant alterations in relevant pathways and expression of secreted
factors, suggesting altered autocrine and paracrine signaling by G0 state-BMSC
and a possible mechanism for enhanced bone formation. G0 state-BMSC might provide
a clinically relevant model for understanding the in vivo biology of BMSC.
PMID- 29803145
TI - Language mapping using electrocorticography versus stereoelectroencephalography:
A case series.
AB - Direct electrical stimulation (DES) is sometimes used in epilepsy surgery to
identify areas that may result in language deficits if resected. Extraoperative
language mapping is usually performed using electrocorticography (ECOG) - grids
and strip electrodes; however, given the better safety profile of
stereoelectroencephalogaphy (SEEG), it would be desirable to determine if mapping
using SEEG is also effective. We report a case series of fifteen patients that
underwent language mapping with either ECOG (5), SEEG (9), or both (1). Six
patients in the SEEG group underwent resection or ablation with only mapping via
SEEG. No patients in the SEEG group that underwent resective or ablative surgery
experienced persistent language deficits. These results suggest that language
mapping with SEEG may be considered as a clinically useful alternative to
language mapping with ECOG.
PMID- 29803147
TI - Adverse childhood experiences: Evidence for screening beyond preventive visits.
AB - Primary efforts to screen for adverse childhood experiences (ACE/ACEs) are often
focused on the well child/adolescent visit. The purpose of this study was to
examine relationships between ACEs and youth likelihood of receiving preventive
care. Data are from 126,868 students in the 8th, 9th, and 11th grades who
participated in the 2016 Minnesota Student Survey, an anonymous, self-report
questionnaire examining youth behaviors, experiences, and perceptions. Logistic
regression models were used to determine if 10 types of ACEs, including abuse,
household dysfunction, and food and housing insecurity were associated with
receipt of recommended preventive medical and dental care after adjustment for
demographic covariates and self-reported health. ACEs scores were entered into
regression models to test for cumulative impact of adversities on preventive care
outcomes. More than one third (38.5%) of youth identified at least one ACE, most
commonly having a parent or guardian who had ever been in jail or prison. Each
type of ACE was significantly associated with reduced odds of receiving
preventive care in the last year. Associations with food insecurity were of
greatest magnitude, associated with 0.32 [CI: 0.64-0.72] to 0.54 [CI: 0.44-0.49]
decreased odds of receiving care. Each one point increase in the total ACE score
was associated with 0.07 [CI: 0.92-0.94] to 0.15 [CI: 0.84-0.86] decreased odds
of having had a preventive care visit in the last year. Findings add to the
growing literature documenting significant relationships between ACEs and health,
in this case, youth missing opportunities to receive recommended surveillance and
anticipatory guidance.
PMID- 29803146
TI - Temporal-Spatial Values During a 180 degrees Step Turn in People with Unilateral
Lower Limb Amputation.
AB - BACKGROUND: Daily ambulation with a prosthesis often involves turning to
negotiate within the home and community environments, however how people with
lower limb loss perform turns is infrequently studied. Administering a common
clinical outcome measure to capture turn performance data provides a convenient
means of assessing this ubiquitous activity. RESEARCH QUESTION: What temporal
spatial parameters are exhibited by people with unilateral lower limb amputation
while performing a 180 turn task? METHODS: Forty community-ambulating subjects
with unilateral lower limb amputation (20 transtibial amputees, 20 transfemoral
amputees) performed the Component Timed-Up-and-Go (cTUG) test turning once in
each direction, both toward the intact and toward the prosthetic limb. An
instrumented walkway captured temporal-spatial parameters during performance of
the 180 turn task of the cTUG, while a custom iPad application recorded time and
number of steps to perform the turn. Comparisons between turn direction and level
of amputation during the cTUG and temporal-spatial results were assessed.
RESULTS: People with lower limb amputation spent more time on their intact limb
while turning than their prosthetic limb regardless of the position of the intact
limb, and those with transfemoral amputation spent significantly more time over
the intact limb than those with transtibial amputation. Additionally, subjects
with transfemoral amputation performed the turn significantly faster when turning
with an inner intact limb. SIGNIFICANCE: Amputees use different movement
strategies with altered temporal-spatial characteristics to turn depending on the
direction of the turn and the level of amputation. Clinical use of the cTUG could
provide evidence supporting prosthetic prescription practice and introduction of
novel physical therapy interventions for individuals with lower limb amputation.
PMID- 29803148
TI - Abeta dimers induce behavioral and neurochemical deficits of relevance to early
Alzheimer's disease.
AB - We examined behaviors and neurotransmitter levels in the tgDimer mouse, a model
for early Alzheimer's disease, that expresses exclusively soluble amyloid beta
(Abeta) dimers and is devoid of Abeta plaques, astrogliosis, and
neuroinflammation. Seven-month-old mice were subjected to tests of motor
activity, attention, anxiety, habituation learning, working memory, and
depression-related behaviors. They were impaired in nonselective attention and
motor learning and showed anxiety- and despair-related behaviors. In 7- and 12
month-old mice, levels of acetylcholine, dopamine, and serotonin were measured in
neostriatum, ventral striatum, prefrontal cortex, hippocampus, amygdala, and
entorhinal cortex by high-performance liquid chromatography. The tgDimer mice had
lower serotonin turnover rates in hippocampus, ventral striatum, and amygdala
relative to wild type controls. The aged tgDimer mice had less hippocampal
acetylcholine than adult tgDimers. Stress-test results, based on corticosterone
levels, indicated an intact hypothalamus-pituitary-adrenal axis in 12-month-old
mice. Since neither Abeta plaques nor astrogliosis or neuroinflammation was
responsible for these phenotypes, we conclude that Abeta dimers contribute to
neurotransmitter dysfunction and behavioral impairments, characteristic for the
early stages of Alzheimer's disease.
PMID- 29803150
TI - Identifying three routes of the sensing mechanism for casein-directed gold
nanoclusters.
AB - Although there have existed kinds of gold nanoclusters (AuNCs) employed for
various sensing purposes, scarce studies were proposed for systematically
exploring their multiple routes of the sensing mechanism since that plays the
critical role for broadening their analysis applications. Herein, casein-directed
gold nanoclusters (AuNCs@casein) have been successfully raised for identifying
their multiple routes towards the sensing mechanism. Initially, the current
nanoclusters were synthesized in aqueous solution with a quantum yield of nearly
2.1%, which obviously showed the red fluorescence at 600 nm. Subsequently, we
have explored three routes of quenching the fluorescence of AuNCs@casein by
introducing thiourea, bromelain, and graphene oxide with amino groups (GO-NH2) as
the representatives. To be specific, the formed bonds of Au-S between thiourea
and AuNCs@casein achieved to quench their fluorescence, and the templates of
AuNCs@casein destroyed by bromelain also showed the dramatically decreased
fluorescence, and the energy transferring from the current AuNCs to GO-NH2 indeed
lead to the fluorescent variations of AuNCs@casein. On the basis of these
phenomena, AuNCs@casein was further applied for simply sensing thiourea,
bromelain and GO-NH2 through the standard formats. Significantly, this study
elaborated three quenching routes of AuNCs@casein and may provide more sensing
strategies for other types of nanoclusters.
PMID- 29803151
TI - Engineering PCL/lignin nanofibers as an antioxidant scaffold for the growth of
neuron and Schwann cell.
AB - Antioxidant is critical for the successful of nerve tissue regeneration, and
biomaterials with antioxidant activity might be favorable for peripheral nerve
repair. Lignin, a biopolymer from wood with excellent antioxidant properties, is
still "unexplored" as biomaterials. To design an antioxidative bioscaffold for
nerve regeneration, here we synthesized lignin-polycaprolactone (PCL) copolymers
via solvent free ring-opening polymerization (ROP). Then such lignin-PCL
copolymers were incorporated with PCL and engineered into nanofibrous scaffolds
for supporting the growth of neuron and Schwann cell. Our results showed that the
addition of lignin-PCL enhanced the mechanical properties of PCL nanofibers and
endowed them with good antioxidant properties (up to 98.3 +/- 1.9% free radical
inhibition within 4 h). Cell proliferation assay showed that PCL/lignin-PCL
nanofibers increased cell viability compared to PCL fibers, especially after an
oxidative challenge. Moreover, Schwann cells and dorsal root ganglion (DRG)
neurons cultured on the nanofibers to assess their potential for nerve
regeneration. These results suggested that nanofibers with lignin copolymers
promoted cell proliferation of both BMSCs and Schwann cells, enhanced myelin
basic protein expressions of Schwann cells and stimulated neurite outgrowth of
DRG neurons. In all, these sustainable, intrinsically antioxidant nanofibers may
be a potential candidate for nerve TE applications.
PMID- 29803152
TI - Effect of vanadium released from micro-arc oxidized porous Ti6Al4V on
biocompatibility in orthopedic applications.
AB - MAO-treated porous Ti6Al4V holds enormous potential for use in orthopedic
implants due to their excellent biocompatibility and favourable mechanical
strength. However, the effects on the V ion accumulation and release following
the MAO-treated Ti6Al4V remain undetermined. The aim of the present study was to
assess the effects of Vanadium on biocompatibility. In this study, the surface
features and chemical compositions were characterized by scanning electron
microscopy (SEM), energy-dispersive X-ray spectrometry (EDS) and X-ray
photoelectron spectroscopy (XPS). The ion release of Ti, Al and V was
quantitatively measured by inductively-coupled plasma mass spectroscopy (ICP-MS)
after immersion in Hanks' solution. To probe the mechanism of V release, the
corrosion resistance of porous Ti6Al4V before and after the MAO process was
evaluated by electrochemical tests. Thereafter, the effects on the
biocompatibility were tested in vitro by cell culture assays and then in vivo by
subcutaneous embedment. Finally, the bone tissue response and in vivo release
profile of V ions were characterized by intra-osseous implantation. Therefore,
this study suggests that the effect of V released from MAO-treated porous Ti6Al4V
on biocompatibility and application safety is small and preventable.
PMID- 29803149
TI - The relationship between standard reduction potentials of catechins and
biological activities involved in redox control.
AB - Redox homeostasis involves factors that ensure proper function of cells. The
excess reactive oxygen species (ROS) leads to oxidative stress and increased risk
of oxidative damage to cellular components. In contrast, upon reductive stress,
insufficient ROS abundance may result in faulty cell signalling. It may be
expected that dietary antioxidants, depending on their standard reduction
potentials (E degrees ), will affect both scenarios. In our study, for the first
time, we systematically tested the relationship among E degrees , chemical
properties, and biological effects in HT29 cells for a series of structurally
different catechins and a major endogenous antioxidant - glutathione (GSH), at
both physiological and dietary concentrations. Among chemical antioxidant
activity tests, the strongest correlation with E degrees was seen using a DPPH
assay. The values of E degrees were also highly correlated with cellular
antioxidant activity (CAA) values determined in HT29 cells. Our results indicated
that physiological concentrations (1-10 uM) of tested catechins stabilized the
redox status of cells, which was not exhibited at higher concentrations. This
stabilization of redox homeostasis was mirrored by constant, dose and E degrees
independent CAA values, uninhibited growth of HT29 cells, modulation of hydrogen
peroxide-induced DNA damage, as well as effects at the genomic level, where
either up-regulation of three redox-related genes (ALB, CCL5, and HSPA1A) out of
84 in the array (1 uM) or no effect (10 uM) was observed for catechins. Higher
catechin concentrations (over 10 uM) increased CAA values in a dose- and E
degrees -dependent manner, caused cell growth inhibition, but surprisingly did
not protect HT29 cells against reactive oxygen species (ROS)-induced DNA
fragmentation. In conclusion, dose-dependent effects of dietary antioxidants and
biological functions potentially modulated by them may become deregulated upon
exposure to excessive doses.
PMID- 29803153
TI - Can NO-indomethacin counteract the topical gastric toxicity induced by
indomethacin interactions with phospholipid bilayers?
AB - Nitric oxide (NO)-releasing nonsteroidal anti-inflammatory drugs (NSAIDs) have
been developed to overcome the gastrointestinal and cardiovascular toxicity of
NSAIDs, by chemically associating a NO-releasing moiety with commercial NSAIDs.
Since increasing evidence supports that NSAIDs toxicity is related to their
topical actions in membrane lipids, this work aims to evaluate the impact of
adding a NO-releasing moiety to parent NSAIDs regarding their effect on lipid
bilayers. Thus, the interactions of NO-indomethacin and indomethacin (parent
drug) with 1,2-dimyristoyl-sn-glycero-3-phosphocholine (DMPC) bilayers were
described herein at pH 3.0 and 7.4. Diverse experimental techniques were combined
to characterize the partitioning and location of drugs in DMPC bilayers, and to
analyze their effect on the lipid phase transition and the bilayer structure and
dynamics. The partitioning of NO-indomethacin into DMPC bilayers was similar to
that of charged indomethacin and smaller than that of neutral indomethacin. Both
drugs were found to insert the DMPC bilayer and the membrane location of
indomethacin was pH-dependent. NO-indomethacin and indomethacin induced a
decrease of the main phase transition temperature of DMPC. The effect of these
drugs on the bilayer structure and dynamics was dependent on diverse factors,
namely drug ionization state, drug:lipid molar ratio, temperature and lipid
phase. It is noteworthy that NO-indomethacin induced more pronounced alterations
in the biophysical properties of DMPC bilayers than indomethacin, considering
equivalent membrane concentrations. Such modifications may have in vivo
implications, particularly in the gastric mucosa, where NO-NSAIDs-induced changes
in the protective properties of phospholipid layers may contribute to the
occurrence of adverse effects.
PMID- 29803154
TI - Potential of rhBMP-2 and dexamethasone-loaded Zein/PLLA scaffolds for enhanced in
vitro osteogenesis of mesenchymal stem cells.
AB - Nanofibers fabricated by electrospinning simulate the extracellular matrix of
bone cells and so researchers have taken a keen interest in them for regenerating
bone tissue. The aim of this study was to fabricate ideal Zein/PLLA nanofibers by
coaxial electrospinning and to load them with bone morphogenetic protein 2 (BMP
2) and dexamethasone (DEX) for dual controlled-release for bone tissue
engineering applications. Morphology, surface hydrophilicity and core-shell
construction were analyzed by environmental scanning electron microscopy (SEM),
water contact angle and transmission electron microscopy (TEM). The properties of
the scaffolds were studied in terms of the viability, morphology and osteogenic
differentiation of mesenchymal stem cells (MSCs) that had been cultured on
nanofiber mats of the Zein/PLLA and were determined using SEM, CCK-8 assay,
quantitative ALP staining analysis, quantitative mineral deposition using
Alizarin red staining (ARS), immunofluorescence staining and western blot
analysis of osteogenic proteins. In vitro studies demonstrated that the
biological activity of DEX and BMP-2 was retained in the dual-drug-loaded
nanofiber scaffolds. A large quantity of DEX was released in the first three
days, while the release of BMP-2 lasted for more than 21 days. In vitro
osteogenesis studies showed that the drug-loaded nanofiber scaffolds induced
osteogenic differentiation. Furthermore, the dual controlled-release of BMP-2 and
DEX enhanced the osteogenic differentiation of MSCs resulting from synergistic
effects. Therefore, Zein/PLLA nanofiber scaffolds loaded with BMP-2 and DEX have
great potential in bone tissue engineering applications.
PMID- 29803155
TI - Cytotoxic consequences of Halloysite nanotube/iron oxide nanocomposite and iron
oxide nanoparticles upon interaction with bacterial, non-cancerous and cancerous
cells.
AB - Cytotoxic effects of iron oxide (Fe3O4) nanoparticles and Halloysite
nanotube/iron oxide (HNT/Fe3O4) nanocomposite are compared based on their
interaction with Gram-negative bacteria Escherichia coli and Gram-positive
bacteria Bacillus subtilis. Similarly, the action of these two nanomaterials on
non-cancerous Vero cell lines and human lung cancerous (A-549) cell lines are
compared. The cytotoxicity studies on Fe3O4 nanoparticles and HNT/Fe3O4
nanocomposite showed difference in the rate of killing of bacterial cells. This
is reflected in differential cell growth, cell membrane integrity loss, lactate
dehydrogenase (LDH) release and reactive oxygen species (ROS) production. These
factors are measured over a range of concentrations of Fe3O4 nanoparticles and
HNT/Fe3O4 nanocomposite and at specified time intervals, to test if there is any
statistically significant difference between the toxicity of the two
nanomaterials. Between the two nanomaterials, HNT/Fe3O4 nanocomposite is found to
be less toxic to bacterial cells than Fe3O4 nanoparticles. HNT, when attached to
the Fe3O4 nanoparticles, changes their surface characteristics and suppresses
their inherent toxicity on bacteria. In the study on the effect on cell lines,
Fe3O4 nanoparticles and HNT/Fe3O4 nanocomposite are both seen to be biocompatible
with Vero cell lines. However, HNT/Fe3O4 nanocomposite showed more cytotoxicity
than Fe3O4 nanoparticles on A-549 cell lines.
PMID- 29803156
TI - Potential of higher plants, algae, and cyanobacteria for remediation of
radioactively contaminated waters.
AB - The potential of photosynthetic organisms to remediate radioactively contaminated
water was evaluated for scenarios related to nuclear installations and included
the following radionuclides: 137Cs, 134Cs, 136Cs, 90Sr, 131I, 239Pu, 241Am,
132Te/132I, 58Co, 60Co, 51Cr, 110mAg, 54Mn, 124Sb, 59Fe, 65Zn, 95Zr, and 95Nb. An
extensive literature review was undertaken leading to the creation of a database
including more than 20,000 entries from over 100 references in which terrestrial
and aquatic plants, macro- and microalgae, cyanobacteria and biosorbents derived
from these organisms were used to clean water from these specific radionuclides
or their stable isotopes. In a first phase, the remediation potential of the
organisms and biosorbents was evaluated for the individual elements based on
parameters such as plant uptake, removal percentage, and bioconcentration factor,
and for two radionuclide mixtures based on the ability of the
organisms/biosorbents to work under mixture conditions. As the experimental and
environmental conditions will influence the performance of the organisms and
biosorbents, a literature-based evaluation of the most influencing or restricting
parameters was made and water pH, competing ions, and the chemical modification
of biosorbents showed to be of major importance. Finally, the most promising
organisms and biosorbents were identified using a specifically developed
selection procedure taking into account their performance and robustness. Ranking
was done based on clear criteria with a distinct weight and scoring scheme. As
such, 20 organisms/biosorbents were identified that showed high potential to
clean waters contaminated with (mixtures of) radionuclides related to nuclear
installations and which can be used for further experimental investigations.
PMID- 29803157
TI - Biosorption and biotransformation of hexavalent chromium [Cr(VI)]: A
comprehensive review.
AB - Chromium (VI) is one of the most common environmental contaminant due to its
tremendous industrial applications. It is non-biodegradable as it is a heavy
metal, and hence, of major concern. Therefore, it is pertinent that the
remediation method should be such that brings chromium within permissible limits
before the effluent is discharged. Several different strategies are adopted by
microorganisms for Cr (VI) removal mostly involving biosorption and
biotransformation or both. These mechanisms are based on the surface nature of
the biosorbent and the availability of reductants. This review article focuses on
chromium pollution problem, its chemistry, sources, effects, remediation
strategies by biological agents and detailed chromium detoxification mechanism in
microbial cell. A summary of applied in situ and ex situ chromium bioremediation
technologies is also listed. This can be helpful for developing technologies to
be more efficient for Cr (VI) removal thereby bridging the gap between laboratory
findings and industrial application for chromium remediation.
PMID- 29803158
TI - Mechanisms of rice straw biochar effects on phosphorus sorption characteristics
of acid upland red soils.
AB - An important pathway for biochar to alter the availability of soil phosphorus (P)
is to change P sorption characteristics of the soil. The aim of this study was to
understand the mechanisms of biochar effects on P sorption in acid upland red
soils in the presence of different concentrations of exogenous P. Rice straw
biochar (RSB) was prepared and applied at rates of 0, 1%, 3%, and 5% (w/w) to
three red soils (MZ1, MZ2, and QY1) differing in initial pH (pH = 4.31, 4.82, and
5.68, respectively). The P sorption characteristics of these red soils were
described using the Langmuir and Temkin equations and their relationships with
soil basic physicochemical properties were analyzed. Furthermore, a
representative red soil (MZ2) was selected to analyze the zeta potential of soil
colloids and the chemical properties of sorption equilibrium solution, in order
to understand their relationships with P sorption characteristics. Results showed
that within a certain range of P concentration in the equilibrium solution, the
amount of P sorbed by the three red soils decreased and the corresponding amount
of P desorbed increased with increasing amendment rate of RSB. RSB showed the
greatest effect on P desorption characteristics of MZ2 soil in the presence of
higher exogenous P concentration. With increasing RSB amendment rate, the maximum
P sorption of MZ1 soil decreased, while those of MZ2 and QY1 soils increased
after an initial decrease. Phosphate sorption equilibrium constant and maximum P
buffer capacity of each soil first increased and then decreased. However, a
single physicochemical property could not interpret complex changes in multi
factors that jointly determine the P sorption characteristics of red soils. In
the case of MZ2 soil, RSB amendment shifted the zeta potential of soil colloids
to the negative direction; this decreased the positive charge and increased the
negative charge on the soil surface, thus reducing P sorption in the MZ2 soil. In
the presence of the same concentration of exogenous P, RSB amendment altered the
pH, dissolved organic C (DOC), humification index (HIX), and maximum fluorescence
intensity (Fmax) in the sorption equilibrium solution. In most cases, the amount
of P sorbed by the MZ2 soil was negatively correlated with the pH value, DOC
concentration, HIX value, and Fmax value of humic-like dissolved organic matter
(DOM), and positively correlated with the Fmax value of protein-like DOM (P <
0.05 or P < 0.01). The relative fractional distribution of the contents for humic
like and protein-like DOM might determine the difference in the P sorption
characteristics of MZ2 soil. In conclusion, different amendment rates of RSB
affected the release of phosphate from soil surfaces into the solution by
altering basic physicochemical and electrochemical properties of red soils and
chemical properties of sorption equilibrium solution.
PMID- 29803159
TI - Comparison of neutrophil-to-lymphocyte ratio and mean platelet volume in the
prediction of adverse events after primary percutaneous coronary intervention in
patients with ST-elevation myocardial infarction.
AB - BACKGROUND AND AIMS: Elevated neutrophil-to-lymphocyte ratio (NLR) and mean
platelet volume (MPV) are indirect inflammatory markers. There is some evidence
that both are associated with worse outcomes in ST-segment elevation myocardial
infarction (STEMI) after primary percutaneous coronary intervention (PCI). The
aim of the present study was to compare the capacity of NLR and MPV to predict
adverse events after primary PCI. METHODS: In a prospective cohort study, 625
consecutive patients with STEMI, who underwent primary PCI, were followed.
Receiver operating characteristic (ROC) curve analysis was performed to calculate
the area under the curve (AUC) for the occurrence of procedural complications,
mortality and major adverse cardiovascular events (MACE). RESULTS: Mean age was
60.7 (+/-12.1) years, 67.5% were male. The median of NLR was 6.17 (3.8-9.4) and
MPV was 10.7 (10.0-11.3). In multivariate analysis, both NLR and MPV remained
independent predictors of no-reflow (relative risk [RR] = 2.26; 95%confidence
interval [95%CI] = 1.16-4.32; p = 0.01 and RR = 2.68; 95%CI = 1.40-5.10; p <
0.01, respectively), but only NLR remained an independent predictor of in
hospital MACE (RR = 1.01; 95%CI = 1.00-1.06; p = 0.02). The AUC for in-hospital
MACE was 0.57 for NLR (95%CI = 0.53-0.60; p = 0.03) and 0.56 for MPV (95%CI =
0.52-0.60; p = 0.07). However, when AUC were compared with DeLong test, there was
no statistically significant difference for these outcomes (p > 0.05). NLR had an
excellent negative predictive value (NPV) of 96.7 for no-reflow and 89.0 for in
hospital MACE. CONCLUSIONS: Despite no difference in the ROC curve comparison
with MPV, only NLR remained an independent predictor for in-hospital MACE. A low
NLR has an excellent NPV for no-reflow and in-hospital MACE, and this could be of
clinical relevance in the management of low-risk patients.
PMID- 29803160
TI - Evaluation of coronary features of HIV patients presenting with ACS: The CUORE, a
multicenter study.
AB - BACKGROUND AND AIMS: The risk of recurrence of myocardial infarction (MI) in HIV
patients presenting with acute coronary syndrome (ACS) is well known, but there
is limited evidence about potential differences in coronary plaques compared to
non-HIV patients. METHODS: In this multicenter case-control study, HIV patients
presenting with ACS, with intravascular-ultrasound (IVUS) data, enrolled between
February 2015 and June 2017, and undergoing highly active antiretroviral therapy
(HAART), were retrospectively compared to non-HIV patients presenting with ACS,
before and after propensity score with matching, randomly selected from included
centers. Primary end-point was the prevalence of multivessel disease. Secondary
end-points were the prevalence of abnormal features at IVUS, the incidence of
major-acute-cardiovascular-events (MACE), a composite end point of cardiovascular
death, MI, target lesion revascularization (TLR), stent thrombosis (ST), non
cardiac death and target vessel revascularization (TVR). For each end-point, a
subgroup analysis was conducted in HIV patients with CD4 cell count <200/mm3.
RESULTS: Before propensity score, 66 HIV patients and 120 non-HIV patients were
selected, resulting in 20 and 40 after propensity score. Patients with
multivessel disease were 11 and 17, respectively (p = 0.56). IVUS showed a lower
plaque burden (71% vs. 75%, p < 0.001) and a higher prevalence of hyperechoic non
calcified plaques (100% vs. 35%, p < 0.05) in HIV patients; a higher prevalence
of hypoechoic plaques (7% vs. 0%, p < 0.05), a higher incidence of MACE (17.4%
vs. 9.1% vs. l'8.0%, p < 0.05), MI recurrence (17.2% vs. 0.0% vs. 2.3%, p <
0.05), and ST (6.7% vs. 0.3% vs. 03%, p < 0.05) in HIV patients with CD4 <
200/mm3. CONCLUSIONS: Our study may provide a part of the pathophysiological
basis of the differences in coronary arteries between HIV-positive and HIV
negative patients, suggesting that the former present with peculiar morphological
features at IVUS, even after adjustment for clinical variables. Furthermore, we
confirmed that an advanced HIV infection is associated with a high risk of non
calcific plaques and with a worse prognosis, including cardiovascular events and
ACS recurrence.
PMID- 29803161
TI - Prevalence of CALR mutations in splanchnic vein thrombosis: A systematic review
and meta-analysis.
AB - BACKGROUND: The prevalence of calreticulin (CALR) mutations in splanchnic vein
thrombosis (SVT) varies among studies. The role of routine screening for CALR
mutations in SVT patients remains a debate. AIM: To synthesize the prevalence of
CALR mutations according to the different types (i.e., Budd-Chiari syndrome [BCS]
and portal vein thrombosis [PVT]) and characteristics (i.e., with and without
myeloproliferative neoplasms [MPNs] and JAK2V617F mutation) of SVT patients.
METHODS: Eligible studies were searched by the PubMed and Embase databases. The
study quality was assessed according to the STROBE checklist. The proportion of
CALR mutations was pooled by using a random-effects model. The heterogeneity and
publication bias were calculated. RESULTS: Eleven papers were included. The study
quality was moderate to high. The pooled proportion of CALR mutations was 1.21%,
1.41%, and 1.59% in SVT, BCS, and PVT patients, respectively; 1.52%, 1.03%, and
1.82% in these patients without JAK2V617F mutation, respectively; 3.71%, 2.79%,
and 7.87% in these patients with MPN, respectively; and 15.16%, 17.22%, and
31.44% in these patients with MPN but without JAK2V617F mutation, respectively.
Only the meta-analysis examining the prevalence of CLAR mutations in BCS patients
with MPN but without the JAK2V617F mutation showed statistically significant
heterogeneity. Statistically significant publication bias was seen only in the
meta-analysis examining the prevalence of CALR mutations in SVT patients without
the JAK2V617F mutation. CONCLUSION: Screening for CALR mutations may have a role
in SVT patients with a high probability of MPN in whom the JAK2V617F mutation has
been excluded.
PMID- 29803162
TI - Design of monoalcohol - Copolymer system for high quality silver nanowires.
AB - Research to improve the dimensional properties of silver nanowires (Ag NWs) for
transparent conductive film (TCF) applications are being carried out intensively.
However, the protocol for the designed synthesis of high-quality Ag NWs is yet to
be developed due to the inadequacy of knowledge on the role of parameters. Here,
we attempt to elucidate the role played by the parameters and propose a
monoalcohol-copolymer based system for the designed synthesis of Ag NWs superior
in quality to the one synthesized using conventional ethylene glycol (EG)
polyvinylpyrrolidone (PVP) system. The key findings of the study are as follows:
(1) the solubility of Ag source and the partially formed AgCl particles in
monoalcohols was found to play an important role not only in the reduction to
metallic Ag but also on the uniaxial growth, (2) the adsorption of capping agents
on Ag NWs was carried through O and N atoms in the base molecule and their
binding energies indicated that the strength is the key parameter to obtain Ag
NWs with high aspect ratio, (3) the properties of nanowire could be enhanced
through copolymerization of VP and base molecules that have O- and N-based
ligands, and (4) the influence of copolymerization on the physical and chemical
properties of the surface active agent has been theoretically and experimentally
verified. Consequently, we succeeded in the development of a new technique to
synthesize high yield of Ag NWs with improved aspect ratio than EG-PVP system by
using benzyl alcohol as reducing solvent and N-vinylpyrrolidone/N,N
diethylaminoethyl metacrylate copolymer as a capping agent. The optical
transmittance and electrical resistivity of TCFs prepared using the Ag NWs with
an average diameter of 43 nm, and an average length of 13 MUm were 98.6% and R:
49.1 Omega/?, respectively.
PMID- 29803163
TI - Fabrication of bio-inspired nitinol alloy surface with tunable anisotropic
wetting and high adhesive ability.
AB - In this paper, micro/nano-scale structures were fabricated on nitinol alloy
(NiTi) to realize tunable anisotropic wetting and high adhesive capability. Laser
texturing and silanization process are utilized to change the morphological and
chemical properties of substrates. It is noted that these treated substrates
exhibit the joint characteristics of anisotropic wetting and high adhesive
capability. In order to investigate the influences of laser-texturing and
silanization processes on NiTi, these surfaces were evaluated using scanning
electron microscope (SEM), a white light confocal microscope, X-ray photoelectron
spectroscopy (XPS) and goniometer. The relationship between water volume and
anisotropic wetting was also established. From the experimental testing, we can
obtain the following conclusions: (1) the anisotropic wetting characterized by
the difference between the water contact angles (WCAs) in the vertical and
parallel directions ranges from 0 degrees to 20.3 degrees , which is far more
than the value of natural rice leaves. (2) the water sliding angles (WSAs) kept
stable at 180 degrees , successfully mimicking the adhesive ability of rose
petals. (3) the silanization process could strengthen the hydrophobicity but
weaken anisotropic wetting. These bio-inspired NiTi surfaces have a tremendous
potential applications such as microfluidic devices, bio-mimetic materials
fabrication and lab on chip.
PMID- 29803164
TI - Synthesis and catalytic performance of hierarchically structured MOR zeolites by
a dual-functional templating approach.
AB - Novel hierarchical MOR zeolites have been successfully synthesized via a one-step
dual-functional templating strategy utilizing gemini organic surfactant (C18-2-8)
through hydrothermal process. After a period of ~96 h for crystallization, the
hierarchy MOR zeolite with a larger BET (412.0 m2/g), abundant intracrystalline
mesopores (average mesopore size distribution of 4.55 nm), and more accessible
acid sites can be synthesized. The XRD study revealed a long range structural
ordering of mesoporous and a good crystallinity of microporous structure. The
results indicated that the surfactant acted as a dual-functional template for
generating both micropores and mesopores simultaneously. Compared with
conventional MOR zeolite, hierarchically structured MOR zeolite not only has
higher activity and stability, but also can avoid side-reaction taking place in
ethanol dehydration reactions. This hierarchical micro/mesostructured mordenite
zeolite may be a candidate for practical industrial applications especially in
those reactions where bulky molecules are involved.
PMID- 29803165
TI - Enhancement of coral calcification via the interplay of nickel and urease.
AB - Corals are the main reef builders through the formation of calcium carbonate
skeletons. In recent decades, coral calcification has however been impacted by
many global (climate change) and local stressors (such as destructive fishing
practices and changes in water quality). In this particular context, it is
crucial to identify and characterize the various factors that promote coral
calcification. We thus performed the first investigation of the effect of nickel
and urea enrichment on the calcification rates of three coral species. These two
factors may indeed interact with calcification through the activity of urease,
which catalyzes the hydrolysis of urea to produce inorganic carbon and ammonia
that are involved in the calcification process. Experiments were performed with
the asymbiotic coral Dendrophyllia arbuscula and, to further assess if urea
and/or nickel has an indirect link with calcification through photosynthesis,
results were compared with those obtained with two symbiotic corals, Acropora
muricata and Pocillopora damicornis, for which we also measured photosynthetic
rates. Ambient and enriched nickel (0.12 and 3.50 MUg L-1) combined with ambient
and enriched urea concentrations (0.26 and 5.52 MUmol L-1) were tested during 4
weeks in aquaria. We demonstrate in the study that a nickel enrichment alone or
combined with a urea enrichment strongly stimulated urea uptake rates of the
three tested species. In addition, this enhancement of urea uptake and hydrolysis
significantly increased the long-term calcification rates (i.e. growth) of the
three coral species investigated, inducing a 1.49-fold to 1.64-fold increase,
respectively for D. arbuscula and P. damicornis. Since calcification was greatly
enhanced by nickel in the asymbiotic coral species - i.e. in absence of
photosynthesis - we concluded that the effect of increased urease activity on
calcification was mainly direct. According to our results, it can be assumed that
corals in some fringing reefs, benefiting from seawater enriched in nickel may
have advantages and might be able to use urea more effectively as a carbon and
nitrogen source. It can also be suggested that urea, for which hotspots are
regularly measured in reef waters may alleviate the negative consequences of
thermal stress on corals.
PMID- 29803166
TI - Early prenatal exposure to pandemic influenza A (H1N1) infection and child
psychomotor development at 6 months - A population-based cohort study.
AB - BACKGROUND: Studies investigating gestational influenza and child
neurodevelopment are still scarce, particularly concerning timing of infection in
pregnancy. This is the first study to investigate associations between
gestational influenza and infant psychomotor development and temperament at 6
months. METHODS: Data from The Norwegian Influenza Pregnancy Cohort, established
during the 2009 swine flu pandemic, were utilized. Information on influenza
infection, vaccination, maternal health and child health and development is
available from questionnaires, national registry data and maternal blood samples
drawn at delivery. Maternal influenza A H1N1 pdm09 infection was serologically
confirmed. 609 children with complete data were identified. Children of exposed
and non-exposed mothers were compared using generalized linear models. RESULTS:
Children exposed to influenza during gestational weeks (gw) 0-8 had adjusted
general development scores indicating slightly delayed development compared to
non-exposed children (0.28 standard deviations (SD) 95% confidence interval (CI):
-0. 01; 0.58; p = 0.06). The temperamental scores of children exposed during gw 0
8 were slightly higher (0.31 SD; 95% CI: -0. 03; 0.64; p = 0.07) than non-exposed
children indicating a more difficult temperament. In comparison, the
developmental scores for children exposed in gw 9-40 were -0.31 SD (95% CI: -0.
65; 0.04; p = 0.09) better than non-exposed children, while the temperamental
scores were 0.17 (95% CI: -0. 23; 0.56; p = 0.36) for the same period.
CONCLUSION: Modest associations were found between maternal influenza A (H1N1)
pdm infection during gestational weeks 0-8 and psychomotor development at 6
months.
PMID- 29803167
TI - Does neuromuscular electrostimulation have the potential to increase intrinsic
foot muscle strength?
AB - PURPOSE: The purpose of this study was to investigate the effect of an eight-week
neuromuscular electrostimulation program on the intrinsic foot muscle strength.
The results were compared with those from a passive and an active control group.
METHODS: 74 healthy participants were recruited and divided into three groups: a
neuromuscular electrostimulation group (n=19), a passive control group (n=15)
with no further intervention, and an active control group following a running
protocol with minimal shoes (n=40). The electrostimulation and running groups
followed a training protocol consisting of two sessions per week over a period of
eight weeks. Three characteristics of intrinsic foot muscle strength were
investigated: cross sectional area of the abductor hallucis muscle, longitudinal
arch stability, and intrinsic foot muscle fatigue. RESULTS: After eight weeks of
intervention, the cross sectional area increased by 16.3% for the running group
with a large effect size (0.801) according to Cohen's d. The electrostimulation
group showed no such effect. The increase in the cross sectional area had no
impact on longitudinal arch stability or intrinsic foot muscle fatigue results.
CONCLUSION: This study investigated neuromuscular electrostimulation as a
prevention and rehabilitation strategy. The results indicate that, compared to
minimally shod running, the effects of electrostimulation on healthy participants
might be too small to be detected. Further, the results provide evidence that the
static navicular drop test is not sensitive enough to indicate intrinsic foot
muscle strength. This appears clinically relevant, as this test is often used by
therapists to evaluate patients' longitudinal arch function.
PMID- 29803168
TI - Pantoprazole reduces vascular relaxation in-vitro and ex-vivo and interferes with
blood coagulation in an animal model.
AB - BACKGROUND AND AIMS: Proton pump inhibitors (PPIs) are effective antagonists of
gastric acid secretion used to treat a number of gastro-esophageal disorders. The
present study investigated the effect of Pantoprazole on vascular relaxation in
vitro and ex-vivo and its effect on blood coagulation in an animal model. MAIN
METHODS: Isolated mouse arterial rings were pre-contracted in-vitro with
phenylephrine and concentration-response curves to the acetylcholine relaxing
effect were constructed in the presence of escalating concentrations of
pantoprazole. In another set of experiments, male albino mice weighing ~25 g were
administered a daily dose of pantoprazole (0.4 mg by oral gavage) for four
consecutive weeks; a vehicle control group was run in parallel. At the end of the
treatment period, thoracic aorta was isolated for the assessment of vascular
function ex-vivo. Blood samples were also collected to evaluate the effect of
chronic pantoprazole therapy on coagulation parameters, namely, prothrombin time
(PT) and activated partial thromboplastin time (aPTT). KEY FINDINGS: Vascular
responsiveness to acetylcholine demonstrated a reduced relaxation of the arterial
ring from baseline in the presence of different concentrations of pantoprazole (1
MUM: 54.69 +/- 1.42%, 10 MUM: 34.64 +/- 0.90% and 100 MUM: 31.50 +/- 0.67% vs.
control 74.39 +/- 1.426%, p < 0.001). Furthermore, acetylcholine-induced
relaxation of the aorta was significantly diminished after four weeks of
administrating pantoprazole to mice (37.12 +/- 2.50%) compared with the control
group (72.47 +/- 1.68%, p < 0.001). This, however, wasn't accompanied by
significant changes in the phenylephrine-induced vasoconstriction. Animals that
received pantoprazole daily for four weeks also exhibited increased blood
coagulation time in comparison to the vehicle control group (PT 45.30 +/- 3.52 s
vs. 15.30 +/- 0.70 s, p < 0.05; aPTT 96.1 +/- 4.62 s vs. 48 +/- 1.97 s, p < 0.05,
respectively). SIGNIFICANCE: The results of the present investigation suggest
that pantoprazole reduces arterial relaxation and interferes with blood
coagulation. Additional studies are warranted to assess the clinical implications
of such observations.
PMID- 29803169
TI - Knockdown of TMEM45B inhibits cell proliferation and invasion in gastric cancer.
AB - Transmembrane protein 45B (TMEM45B), belonging to the TMEM family, has been found
abnormally expressed in several types of tumors and can play an important role in
tumorigenesis. However, the role of TMEM45B in gastric cancer remains unclear.
Therefore, the current study was designed to examine the effects of TMEM45B on
gastric cancer cell proliferation, migration and invasion in vitro, and to
explore the potential molecular mechanisms. The presented study is the first
demonstration that TMEM45B was highly expressed in human gastric cancer tissues
and cell lines. In addition, knockdown of TMEM45B significantly inhibited cell
proliferation, migration/invasion and EMT phenotype in gastric cancer cells.
Furthermore, knockdown of TMEM45B efficiently inhibited the expression of p-JAK2
and p-STAT3 in gastric cancer cells. Taken together, our findings indicate that
knockdown of TMEM45B suppresses the proliferation, migration and invasion of
gastric cancer cells, at least partly, via the inhibition of JAK2/STAT3 signaling
pathway. Therefore, TMEM45B may be a new potent therapeutic molecule for the
treatment of gastric cancer.
PMID- 29803170
TI - Sargaquinoic acid ameliorates hyperpigmentation through cAMP and ERK-mediated
downregulation of MITF in alpha-MSH-stimulated B16F10 cells.
AB - Hyperpigmentation disorders of the skin adversely influence the quality of life.
We previously demonstrated the hypopigmenting properties of the ethanolic extract
from Sargassum serratifolium and identified sargaquinoic acid (SQA) as an active
component. The current study aims to investigate the hypopigmenting action of SQA
in alpha-melanocyte stimulating hormone (alpha-MSH)-stimulated B16F10 cells. SQA
attenuated cellular melanin synthesis by inhibiting the expression of the
melanogenic enzymes, including tyrosinase (TYR), tyrosinase-related protein 1
(TRP1), and TRP2. SQA also inhibited cellular TYR activity in a dose-dependent
manner. Reduced intracellular cAMP accumulation by SQA treatment resulted in the
suppressed phosphorylation of cAMP-responsive element-binding protein (CREB),
leading to the downregulation of microphthalmia-associated transcription factor
(MITF) in alpha-MSH-stimulated B16F10 cells. SQA increased the phosphorylation of
extracellular signal-regulated kinase (ERK)1/2 and MITF (Ser73), inducing
proteasomal degradation of MITF. SQA showed high binding affinity to the cAMP
binding domain of PKA; the direct binding of SQA to PKA may exert an additional
inhibitory effect on the PKA-dependent CREB activation. Our data demonstrated
that SQA suppressed melanin production through the cAMP/CREB- and ERK1/2-mediated
downregulation of MITF in alpha-MSH-stimulated B16F10 cells and SQA has a
potential therapeutic agent for the treatment of skin hyperpigmentation
disorders.
PMID- 29803171
TI - Protective effects of gemigliptin against type II collagen degradation in human
chondrocytes.
AB - Degradation of components of the extracellular matrix such as type II collagen in
articular cartilage induced by matrix metalloproteinases (MMPs) has been
considered as a major pathological characteristic of osteoarthritis (OA).
Gemigliptin is a potent and a highly selective dipeptidyl peptidase-IV (DPP-IV)
inhibitor, which has been clinically used as an oral agent for the treatment of
type 2 diabetes. However, the effects of gemigliptin on articular cartilage
destruction and the pathogenesis of OA remain unknown. In the current study, we
addressed for the first time the inhibitory property of gemigliptin against
interleukin-1beta (IL-1beta)-induced degradation of type II collagen in human
chondrocytes. Our results demonstrate that gemigliptin treatment inhibited the
expression of matrix metalloproteinase 1 (MMP-1), matrix metalloproteinase 3 (MMP
3), and matrix metalloproteinase 13 (MMP-13) at both the gene and protein levels.
Mechanistically, our results indicate that gemigliptin inhibited activation of
the nuclear factor-kappaB (NF-kappaB) signaling pathway by suppressing
phosphorylation of IkappaB kinase (IKK)/nuclear factor of kappa light polypeptide
gene enhancer in B-cells inhibitor alpha (IkappaBalpha) and p38. Our results
implicate that gemigliptin treatment might be a potential therapeutic strategy
for chondroprotective therapy.
PMID- 29803172
TI - Proliferating cell nuclear antigen promotes cell proliferation and tumorigenesis
by up-regulating STAT3 in non-small cell lung cancer.
AB - Proliferating cell nuclear antigen (PCNA) functions as a bridging molecule, which
targets proteins that have distinct roles in cell growth. The expression of PCNA
is dysregulated in some tumors and takes part in the progression of oncogenesis.
However, the roles of PCNA in the progression of non-small cell lung cancer
(NSCLC) remain unknown. The present study aimed to investigate the function of
PCNA in the occurrence and development of NSCLC and its underlying molecular
mechanisms. Western blotting, RT-PCR, and immunohistochemistry assays were used
to detect the expression pattern of PCNA in NSCLC tissues and cells. A log rank
test was performed to compare the overall survival (OS) of patients with high/low
expression of PCNA. Besides, the relationship between PCNA and signal transducer
and activator of transcription-3 (STAT3) proteins were evaluated. Then, MTT, flow
cytometry, clonal formation, and in vivo xenograft assays were conducted to
investigate the effects of PCNA/STAT3 on cell growth, clonal formation,
apoptosis, and tumorigenesis. Results showed that PCNA expression was elevated in
NSCLC tissues and cells and it could combine with STAT3 and increased its
expression and phosphorylation. Moreover, the expression of PCNA showed a
positive correlation with the TNM grade and occurrence rate of the lymphatic
metastasis and poor prognosis of NSCLC patients. Overexpression of PCNA promoted
cell proliferation, clonal formation, and tumorigenesis in lung cancer cells and
inhibited cell apoptosis. In contrast, these effects were inhibited when
knockdown of STAT3. In conclusion, this study demonstrates that PCNA functions as
an oncogene in the progression of NSCLC through up-regulation of STAT3. These
findings point to a potentially new therapeutic strategy for NSCLC.
PMID- 29803173
TI - Swertia mussotii extracts induce mitochondria-dependent apoptosis in gastric
cancer cells.
AB - Swertia mussotii (Gentianaceae) is a traditional Chinese medicinal plant grown in
the Qinghai-Tibet Plateau. Three fractions from S. mussotii extract, named SWF50,
SWF 70 and SWF100, were screened for in vitro anti-proliferative activity on two
gastric cancer cell lines, MGC-803 and BGC-823 cells using MTT assay. Our results
demonstrated that SMF70 showed an anti-proliferative effect in MGC-803 cells and
SMF100 showed an anti-proliferative effect in BGC-823 cells in vitro. Moreover,
both two fractions induced apoptosis via depolymerization of cytoskeletal
filaments, increased cytoplasmic levels of ROS and Ca2+ and disrupted
mitochondrial transmembrane potential. In addition, flow cytometry analysis
indicated that both two fractions could induce cell apoptosis and arrest the cell
cycle at S phase. Our results indicate that SMF70 induces apoptosis of MGC-803
cells and SMF100 induces apoptosis of BGC-823 cells via a mitochondrial-dependent
pathway. Meanwhile, we also investigated antitumor effect of SMF70 in vivo, and
exhibited effective tumor growth inhibition. Our findings demonstrate that S.
mussotii extracts could be a potential new alternative therapeutic agent gastric
cancer.
PMID- 29803174
TI - miR-505 enhances doxorubicin-induced cytotoxicity in hepatocellular carcinoma
through repressing the Akt pathway by directly targeting HMGB1.
AB - Compelling evidence has suggested the relevance of miRNAs in resistance to
chemotherapeutic agents in HCC. miR-505 was reported to be downregulated and
function as a tumor suppressor in HCC cells by binding to high-mobility group box
1 (HMGB1). Whether miR-505/HMGB1 axis was involved in ADM cytotoxicity in HCC
remains to be addressed. The aim of this study was to explore the effect of miR
505/HMGB1 axis on ADM cytotoxicity in HCC cells. MTT, flow cytometry analysis,
and caspase-3 activity assays were conducted to assess ADM-induced cytotoxicity.
The protein level of phosphorylation of histone H2 AX at Ser139 (gammaH2AX) was
detected to evaluate DNA damage. The effects of miR-505 and HMGB1 on the protein
kinase B (Akt) pathway were determined by examining the protein levels of
phosphorylated Akt (p-Akt), Akt, phosphorylated glycogen synthase kinase-3beta (p
GSK-3beta), and GSK-3beta. We found that HMGB1 knockdown and miR-505
overexpression exacerbated ADM-induced cell viability inhibition, enhanced ADM
induced apoptosis, and increased caspase-3 activity in ADM-treated HCC cells.
However, HMGB1 overexpression reversed the effects of miR-505 on ADM-induced
cytotoxicity in HCC cells. HMGB1 knockdown and miR-505 overexpression promoted
ADM-induced DNA damage in HCC cells, which was abated by HMGB1 overexpression. On
a molecular mechanism level, HMGB1 silencing and miR-505 overexpression
inactivated the Akt pathway in HCC cells, while exogenous HMGB1 resisted miR-505
induced Akt pathway inactivation. In conclusion, miR-505 overexpression enhanced
ADM-induced cytotoxicity in HCC cells, at least partly by targeting HMGB1 and
inactivating the Akt pathway.
PMID- 29803175
TI - Echinacoside alleviated LPS-induced cell apoptosis and inflammation in rat
intestine epithelial cells by inhibiting the mTOR/STAT3 pathway.
AB - Inflammatory bowel disease (IBD) is a chronic and progressive inflammatory
condition of colon and small intestine. Echinacoside (ECH) is a phenylethanoid
glycoside that possesses various activities, including anti-inflammatory effect.
However, the role of ECH in IBD is unknown. The present study aimed to evaluate
the effect of ECH on LPS-induced rat intestine epithelial cells and the potential
mechanisms. The results showed that LPS inhibited cell viability in time- and
dose-dependent manners. ECH treatment attenuated the inhibition effect of LPS on
cell viability. ECH alleviated LPS-induced apoptosis of rat intestine epithelial
cells. ECH attenuated LPS-induced secretion and mRNA expression of TNF-alpha and
IL-6, but enhanced LPS-induced secretion and mRNA expression of IL-10 and TGF
beta1 in IEC-6 cells. The mTOR/STAT3 pathway was activated by LPS, while the
activation was inhibited by ECH. Rapamycin, an inhibitor of mTOR, reversed the
effect of LPS on rat intestine epithelial cells. In summary, this work suggested
that ECH attenuated LPS-induced inflammation and apoptosis in rat intestine
epithelial cells via suppressing the mTOR/STAT3 pathway. The findings indicated
that ECH might be considered as a potential strategy for the treatment of IBD.
PMID- 29803176
TI - Shengmai injection reduces apoptosis and enhances angiogenesis after myocardial
ischaemia and reperfusion injury in rats.
AB - OBJECTIVES: To investigate whether Shengmai injection (SMI) helps to improve
cardiac function and enhances angiogenesis after myocardial ischaemia reperfusion
injury (MIRI). A rat model of MIRI was created via occlusion of the left anterior
descending coronary artery for 30 min, followed by 3 days or 7 days of
reperfusion (n = 6 each group). BACKGROUNDS: SMI is widely used for the treatment
of myocardial infarction. The mechanism underlying the effect on cardiac function
is not known and whether SMI has any effects on angiogenesis during treatment of
MIRI is not clear. RESULTS AND CONCLUSION: Echocardiography showed that SMI
improved the left ventricular ejection fraction (LVEF) in the rat model of MIRI.
TUNEL staining indicated that SMI decreased the myocardial apoptosis rate after
MIRI. This result may be related to the increase of Bcl-2 expression in the SMI
group and a reduction in Bax and caspase 3 expression, as determined by
immunohistochemical staining. Small vessels (<60 MUm in diameter) of the heart of
rats in the group treated with SMI were denser (more numerous) than those in the
heart of rats in the other groups. Real-time PCR indicated that the SMI-driven
reduction in apoptosis was associated with a change in the ratio of Bcl-2 to Bax
expression, and treatment-induced angiogenesis was associated with enhanced
vascular endothelial growth factor A (VEGF) expression. We elucidated that SMI
promotes angiogenesis, which is important for the development of cardiac
remodelling after MIRI.
PMID- 29803177
TI - miR-15a/miR-16 cluster inhibits invasion of prostate cancer cells by suppressing
TGF-beta signaling pathway.
AB - BACKGROUND: To determine whether and how miR15a/16 regulate TGF-beta signaling
pathways during the progression of prostate cancer. METHODS: We used
bioinformatics prediction, reporter gene assay, real-time PCR, Matrigel invasion
assay and Western blot to dissect the molecular mechanism of how miR-15a/miR-16
may cause metastasis in prostate tumor. RESULTS: MiR-15a/16 targeted and
inhibited the expression of endogenous Smad3 and ACVR2A proteins. The
overexpression of miR15a/16 down-regulated p-smad3 expression, affected the
expression of both MMP2 and E-cadherin, and down-regulated the expression of the
EMT-mediated factors Snail and Twist in LNCaP prostate cancer cells. The
overexpression of miR15a/16 decreased the invasion of LNCaP cells. MiR-15a/miR-16
cluster could reverse the invasion of activin A-mediated prostate cancer cells.
After the inhibition of the activin/smad signaling pathway, the inhibitory effect
of invasion in prostate cancer cells by miR-15a/miR-16 cluster disappeared.
CONCLUSION: Our data indicated that miR15a/16 inhibited the components of TGF
beta signaling pathways in LNCaP cell line, which might relate to the progression
and metastasis of prostate cancer.
PMID- 29803178
TI - MiR-155 inhibits transformation of macrophages into foam cells via regulating CEH
expression.
AB - MiR-155 can inhibit the formation of atherosclerosis by interfering with the
transformation of macrophages into foam cells that plays a critical role in the
pathogenesis of atherosclerosis, but the precise mechanisms of miR-155 are still
unknown. Herein, we observed that mRNA and protein expression levels of CEH were
significantly upregulated in a dose- and time-dependent manner by transfected
with miR-155 mimics in THP-1 macrophages. Further studies showed that
overexpression of miR-155 can significantly inhibit foam cells formation, reduce
intracellular CE accumulation and enhance the efflux of FC and cholesterol,
result in a decrease of intracellular lipid accumulation; while this effect was
significantly reversed by siCEH. Meanwhile, we found that Tim-3 is associated
with miR-155-mediated CEH expression in THP-1 macrophage-derived foam cells.
Overexpression of Tim-3 can attenuate miR-155-mediated CEH induction. Taken
together, our findings demonstrated that miR-155 can inhibit the transformation
of macrophages into foam cells by enhancing CEH signaling pathway in macrophages,
this effect is likely to be achieved by inhibiting the expression of Tim-3.
PMID- 29803179
TI - Platelet rich plasma, stromal vascular fraction and autologous conditioned serum
in treatment of knee osteoarthritis.
AB - Osteoarthritis (OA) is a multifactorial chronic disease, causing several problems
on patients, hygiene and community care systems. Conventional therapies, such as
non-pharmacological mediations, systemic drug treatment and intra-articular
therapies are applying previously; however, controlling and management approaches
of the disease mainly remain insufficient. Injections of intra-articular
therapies directly into the joint evade conservative obstacles to joint entry,
rise bioavailability and minor systemic toxicity. Current progresses in
osteoarthritis management have designed better diversity of treatment approaches.
Innovative treatments, such as autologous blood products and mesenchymal stem
cells, are in progress. Platelet-rich plasma (PRP) is one of the several novel
therapeutic approaches that stay to progress in the field of orthopedic medicine.
Stromal vascular fraction (SVF) comprises a lesser amount of mesenchymal stem
cells and is a treatment for OA and cartilage damage. Based on novel opinions, an
innovative therapy by autologous conditioned serum (ACS) from the whole blood was
settled. The inoculation of ACS into tissues has revealed clinical efficacy for
the treatment of osteoarthritis and muscle injuries. Here, we make available
historical perspective of PRP, SVF, and ACS and the other existing researches on
using PRP, SVF and ACS for the treatment of knee OA. In conclusion, in current
years, OA stem cell therapy has rapidly progressed, with optimistic consequences
in animals and human studies. Additionally, PRP, SVF and ASC injection seem to be
accompanied with numerous favorable results for treatment of patients with OA.
PMID- 29803180
TI - Co-encapsulation of dual drug loaded in MLNPs: Implication on sustained drug
release and effectively inducing apoptosis in oral carcinoma cells.
AB - Combinations of natural bee wax flavones chrysin with a chemo drug have been
exhibiting high potential with reduced adverse effect. To extend the synergistic
effect of chrysin and improve the MLNPs (Multi Layer Nanoparticles) performance
in drug release, layer-by-layer of poly [di(sodium carboxyphenoxy)phosphazene]
(PDCPP) and poly (diallyldimethyl ammonium chloride) (PDADMAC) deposited on the
CaCO3 nanoparticles (CCNPs) surface. The results suggest spherical MLNPs of 237
nm are formed with high drug loading content with enhanced cellular uptake. Under
acidic conditions, multi layer structure effectively controls burst release,
providing sustained drug release for long period. The combined effect of chrysin
and cisplatin improved the cytotoxic potential of MLNPs at 25 MUg.mL-1
concentration. Angiogenesis inhibitor chrysin activates reactive oxygen species
(ROS) production and eventually leads to mitochondrial dysfunction. Furthermore,
significant decreases of buccal pouch carcinoma in hamster model. Dual drug
loaded MLNPs achieves 92% regressions of tumor volume as compared to cisplatin
alone loaded MLNPs. In addition, Histopathology studies demonstrated the
biocompatible effect of MLNPs on vital organs. This work provides a simple method
to formulate multiple drugs in single nanosystem with high therapeutic efficacy
on oral cancer.
PMID- 29803182
TI - Perceived stress correlates with visceral obesity and lipid parameters of the
metabolic syndrome: A systematic review and meta-analysis.
AB - BACKGROUND: Perceived stress has been proposed as a risk factor of metabolic
syndrome. However, correlations between perceived stress and parameters of the
metabolic syndrome have not been properly analyzed despite extensive research
data on the topic. Our current meta-analysis aimed to examine the mutual
association between perceived stress of patients and parameters of metabolic
syndrome. METHODS: This systematic review has been registered on the PROSPERO
database (registration number CRD42017055293). Eligible studies divided
participants based on their stress level or on the presence of metabolic
syndrome. They reported at least one parameter of the metabolic syndrome or the
stress level of the participants measured with some stress scale. Data from 17
articles met the eligibility criteria and were included. Random effects model
with the DerSimonian and Laird weighting methods was applied. I-squared indicator
and Q test were performed to assess heterogeneity. RESULTS: Although the majority
of individual studies failed to demonstrate correlations between stress and their
analyzed parameters of metabolic syndrome, our meta-analysis showed a significant
association between stress and BMI [average effect size (ES) with 95% confidence
interval (95%CI), ES = 0.65, 95%CI 0.16, 1.14), waist circumference (ES = 1.84
cm, 95%CI 0.79, 2.89) and serum triglyceride level (ES = 7.52 mg/dl, 95%CI 0.07,
14.96). Additional analysis confirmed effects of stress on serum HDL (ES = -
1.699 mg/dl, 95%CI -2.966, -0.432) and diastolic blood pressure (ES = 1.04 mmHg,
95%CI 0.18, 1.89). No correlations were found for fasting glucose or systolic
blood pressure. No association between metabolic syndrome and stress level of
patients was detected either. CONCLUSION: The potentially key role of visceral
obesity in the association between perceived stress and dyslipidemia or diastolic
blood pressure are discussed together with potential moderators (e.g. gender
differences, variations in stress assessment and metabolic syndrome criteria)
that may explain the inconsistent, contradictory results of the individual
studies.
PMID- 29803181
TI - Evaluation of an automated pipeline for large-scale EEG spectral analysis: the
National Sleep Research Resource.
AB - STUDY OBJECTIVES: We present an automated sleep electroencephalogram (EEG)
spectral analysis pipeline that includes an automated artifact detection step,
and we test the hypothesis that spectral power density estimates computed with
this pipeline are comparable to those computed with a commercial method preceded
by visual artifact detection by a sleep expert (standard approach). METHODS: EEG
data were analyzed from the C3-A2 lead in a sample of polysomnograms from 161
older women participants in a community-based cohort study. We calculated the
sensitivity, specificity, accuracy, and Cohen's kappa measures from epoch-by
epoch comparisons of automated to visual-based artifact detection results; then
we computed the average EEG spectral power densities in six commonly used EEG
frequency bands and compared results from the two methods using correlation
analysis and Bland-Altman plots. RESULTS: Assessment of automated artifact
detection showed high specificity [96.8%-99.4% in non-rapid eye movement (NREM),
96.9%-99.1% in rapid eye movement (REM) sleep] but low sensitivity (26.7%-38.1%
in NREM, 9.1-27.4% in REM sleep). However, large artifacts (total power > 99th
percentile) were removed with sensitivity up to 87.7% in NREM and 90.9% in REM,
with specificities of 96.9% and 96.6%, respectively. Mean power densities
computed with the two approaches for all EEG frequency bands showed very high
correlation (>=0.99). The automated pipeline allowed for a 100-fold reduction in
analysis time with regard to the standard approach. CONCLUSION: Despite low
sensitivity for artifact rejection, the automated pipeline generated results
comparable to those obtained with a standard method that included manual artifact
detection. Automated pipelines can enable practical analyses of recordings from
thousands of individuals, allowing for use in genetics and epidemiological
research requiring large samples.
PMID- 29803184
TI - Improving treatment motivation in individuals with psychosis: Predictors of
response to motivational enhancement.
AB - We sought to evaluate the influence of demographic, symptom, functional and
cognitive factors on task-specific motivation, as well as improvement in task
specific motivation that occurs in response to motivational interviewing. In the
absence of any intervention, better task-specific motivation was associated with
higher perceived competence and lower symptomatology. Post-motivational
enhancement improvement in motivation was predicted by fewer hospitalizations and
better cognitive insight, with baseline symptomatology no longer predictive.
Findings suggest motivational enhancement is likely to benefit individuals with
diverse clinical presentations, though may be particularly well suited to those
with lesser disease severity and better cognitive insight.
PMID- 29803183
TI - Sex differences in effects of maternal risk and protective factors in childhood
and pregnancy on newborn telomere length.
AB - Little research has examined determinants of newborn telomere length, a potential
biomarker of lifetime disease risk impacted by prenatal exposures. No study has
examined whether maternal exposures in childhood influence newborn telomere
length or whether there are sex differences in the maternal factors that
influence newborn telomere length. We tested whether a range of maternal risk and
protective factors in childhood and pregnancy were associated with newborn
telomere length among 151 sociodemographically diverse mother-infant dyads. We
further examined whether the pattern of associations differed by infant sex.
Newborn telomere length was assessed from cord blood collected at birth.
Risk/protective factors included maternal health (smoking, body mass index),
socioeconomic status (education, income), stress exposures, and mental health
(depressive and posttraumatic stress disorder symptoms) in pregnancy as well as
maternal experiences of abuse (physical, emotional, sexual) and familial
emotional support in childhood. When examined within the whole sample, only
maternal smoking in pregnancy and familial emotional support in childhood emerged
as significant predictors of newborn telomere length. Male and female newborns
differed in their pattern of associations between the predictors and telomere
length. Among males, maternal smoking, higher body mass index, and elevated
depressive symptoms in pregnancy and maternal sexual abuse in childhood were
associated with shorter newborn telomere length; higher maternal educational
attainment and household income in pregnancy and greater maternal familial
emotional support in childhood were associated with longer newborn telomere
length. Together, these factors accounted for 34% of the variance in male newborn
telomere length. None of the risk/protective factors were associated with female
newborn telomere length. The results suggest that male fetuses are particularly
susceptible to maternal exposure effects on newborn telomere length. These
findings have implications for elucidating mechanisms contributing to sex
disparities in health.
PMID- 29803185
TI - Australian fitness professionals' level of interest in engaging with high health
risk population subgroups: findings from a national survey.
AB - OBJECTIVES: Fitness industry professionals (personal trainers, group instructors)
may have a role in health promotion, particularly when working with subgroups
with known health risks (e.g. older adults, obese). The aim of this study is to
examine fitness professionals' level of interest in engaging with high-risk
populations. STUDY DESIGN: Cross-sectional evaluation of a national survey.
METHODS: In 2014, 9100 Australian registered exercise professionals were invited
to complete an online survey. Respondents reported their level of interest in
engaging with nine health-risk population subgroups. A multivariable logistic
regression analysis assessed the odds of being classified as having a 'low level'
of interest in training high health-risk subgroups, adjusting for demographic and
fitness industry-related factors. RESULTS: Of 1185 respondents (aged 17-72
years), 31.1% reported having a 'high level' of interest in training high health
risk subgroups. The highest level of interest was among 'obese clients' and
'adults (18-64 years) with chronic health conditions'. In the adjusted analysis,
males (odds ratio [OR], 1.55, 95% confidence interval [CI]: 1.06-2.25) and those
in urban settings (OR, 2.26, 95% CI: 1.54-3.37) were more likely to have a 'low
level' of interest. CONCLUSIONS: Fitness professionals have a modest level of
interest in training high health-risk subgroups. In addition to the development
of strategies to increase interest, research should examine whether fitness
professionals are able to safely prescribe exercise to high health-risk
subgroups.
PMID- 29803186
TI - Individual- and county-level predictors of cervical cancer screening: a multi
level analysis.
AB - OBJECTIVES: Despite the gains in cervical cancer screening, there remain
persistent socio-economic, geographical, racial, and ethnic disparities. This
study examines the combined effect of individual- and county-level
characteristics on the use of cervical cancer screening tests such as
Papanicolaou (Pap) tests in Texas. STUDY DESIGN: Cross-sectional study. METHODS:
Individual-level information was obtained from 2014-2015 Texas Behavioral Risk
Factor Surveillance System (BRFSS). Using the county of residence of the study
population, the BRFSS data were linked to the American Community Survey (2010
2014) and the Area Health Resources File (2015). Women aged between 21 and 65
years, with no history of hysterectomy, and residing in 47 counties in Texas were
included in the study (n = 4276). Multi-level logistic regression was used to
assess the independent influences of individual- and county-level covariates on
receipt of a Pap test in the past 3 years. RESULTS: The odds of timely Pap
testing were lower among women aged greater than 50 years, single women, and
those with low education and income (<$25,000). Black women who reside in
counties with higher percentages of Hispanics (quartile 4) were less likely to be
screened compared with black women living in counties with a low Hispanic
population (adjusted odds ratio [OR] = 0.08 [95% confidence interval [CI]: 0.02
0.37]). County-level socio-economic status, although associated with timely
screening in bivariate analysis, was not a significant predictor of screening
after controlling for individual characteristics. CONCLUSIONS: There are
significant disparities in the uptake of cervical cancer screening across Texas
counties. Individual-level socio-economic disparities as well as the number of
obstetric-gynecologic physicians in a county are predictors of these disparities.
PMID- 29803187
TI - Transplantation crisis at the time of economic recession in Greece.
AB - OBJECTIVES: Aim of the study was to assess the effect of economic recession on
organ donation and transplantation in Greece. METHODS: Retrospective data (2002
2016) provided by the Hellenic Transplant Organization (HTO), International
Registry in Organ Donation and Transplantation, Eurotransplant,
Scandiatransplant, National Health Service Blood and Transplant (NHSBT), and
United Network of Organ Sharing (UNOS) databases were analyzed. HTO database was
divided into the precrisis (2002-2008) and crisis (2009-2016) era. Donation and
transplantation rates between the two periods were compared. Trend estimation
analysis was applied on the latter period. RESULTS: Since 2009, organ donation
significantly declined without significant change in the reported brain deaths.
Overall solid organ transplantations decreased (319.63 +/- 70.4 from 460 +/-
55.25 transplants/year, P = 0.001). Kidney transplantation rates declined (139.38
+/- 29.7 from 209.43 +/- 20.9 transplants/year, P = 0.000), with dramatic
reduction in both deceased (99 +/- 27.5 from 136.43 +/- 131.4 transplants/year, P
= 0.030) and living donor kidney transplantations (40.38 +/- 6.1 from 73 +/- 12.5
transplants/year, P = 0.000). Liver, heart, and lung transplant rates were not
significantly affected; however, they have been low throughout both periods.
Convertion to donation has not been affected by the crisis. Time series logistic
regression of the crisis period demonstrated declining trends in organ donation,
total solid organ transplantation, and deceased donor kidney, liver, and lung
transplantation. In 2015, Greek organ donation rates were inferior to
Eurotransplant, Scandiatransplant, NHSBT, UNOS, and Italy. CONCLUSIONS: There has
been a temporal correlation between the economic recession and organ donation and
transplantation crisis in Greece. Irrespective of the cause, measures should be
taken to reverse this in order to avert the increased morbidity and mortality on
the transplant waiting list.
PMID- 29803188
TI - Denoising Autoencoder Self-Organizing Map (DASOM).
AB - In this report, we address the question of combining nonlinearities of neurons
into networks for modeling increasingly varying and progressively more complex
functions. A fundamental approach is the use of higher-level representations
devised by restricted Boltzmann machines and (denoising) autoencoders. We present
the Denoising Autoencoder Self-Organizing Map (DASOM) that integrates the latter
into a hierarchically organized hybrid model where the front-end component is a
grid of topologically ordered neurons. The approach is to interpose a layer of
hidden representations between the input space and the neural lattice of the self
organizing map. In so doing the parameters are adjusted by the proposed
unsupervised learning algorithm. The model therefore maintains the clustering
properties of its predecessor, whereas by extending and enhancing its
visualization capacity enables an inclusion and an analysis of the intermediate
representation space. A comprehensive series of experiments comprising optical
recognition of text and images, and cancer type clustering and categorization is
used to demonstrate DASOM's efficiency, performance and projection capabilities.
PMID- 29803189
TI - Cellular pathways affected by carbon nanopowder-benzo(alpha)pyrene complex in
human skin fibroblasts identified by proteomics.
AB - One of the crucial and unsolved problems of the airborne carbon nanoparticles is
the role played by the adsorbed environmental pollutants on their toxicological
effect. Indeed, in the urban areas, the carbon nanoparticles usually adsorb some
atmospheric contaminants, whose one of the leading representatives is the
benzo(alpha)pyrene. Herein, we used the proteomics to investigate the alteration
of toxicological pathways due to the carbon nanopowder-benzo(alpha)pyrene complex
in comparison with the two contaminants administered alone on human skin-derived
fibroblasts (hSDFs) exposed for 8 days in semi-static conditions. The preliminary
confocal microscopy observations highlighted that carbon-nanopowder was able to
pass through the cell membranes and accumulate into the cytoplasm both when
administered alone and with the adsorbed benzo(alpha)pyrene. Proteomics revealed
that the effect of carbon nanopowder-benzo(alpha)pyrene complex seems to be
related to a new toxicological behavior instead of simple additive or synergistic
effects. In detail, the cellular pathways modulated by the complex were mainly
related to energy shift (glycolysis and pentose phosphate pathway), apoptosis,
stress response and cellular trafficking.
PMID- 29803190
TI - Effects of sulfuric, nitric, and mixed acid rain on Chinese fir sapling growth in
Southern China.
AB - The influence of acid rain on plant growth includes direct effects on foliage as
well as indirect soil-mediated effects that cause a reduction in root growth. In
addition, the concentration of NO3- in acid rain increases along with the rapid
growth of nitrogen deposition. In this study, we investigated the impact of
simulated acid rain with different SO42-/NO3- (S/N) ratios, which were 1:0, 5:1,
1:1, 1:5 and 0:1, on Chinese fir sapling growth from March 2015 to April 2016.
Results showed that Chinese fir sapling height growth rate (HGR) and basal
diameter growth rate (DGR) decreased as acid rain pH decreased, and also
decreased as the percentage of NO3- increased in acid rain. Acid rain pH
significantly decreased the Chlorophyll a (Chla) and Chlorophyll b (Chlb)
content, and Chla and Chlb contents with acid rain S/N 1:5 were significantly
lower than those with S/N 1:0 at pH 2.5. The chlorophyll fluorescence parameters,
maximal efficiency of Photosystem II photochemistry (Fv/Fm) and non-photochemical
quenching coefficient (NPQ), with most acid rain treatments were significantly
lower than those with CK treatments. Root activities first increased and then
decreased as acid rain pH decreased, when acid rain S/N ratios were 1:1, 1:5 and
0:1. Redundancy discriminant analysis (RDA) showed that the Chinese fir DGR and
HGR had positive correlations with Chla, Chlb, Fv/Fm ratio, root activity,
catalase and superoxide dismutase activities in roots under the stress of acid
rain with different pH and S/N ratios. The structural equation modelling (SEM)
results showed that acid rain NO3- concentration and pH had stronger direct
effects on Chinese fir sapling HGR and DGR, and the direct effects of acid rain
NO3- concentration and pH on HGR were lower than those on DGR. Our results
suggest that the ratio of SO42- to NO3- in acid rain is an important factor which
could affect the sustainable development of monoculture Chinese fir plantations
in southern China.
PMID- 29803192
TI - Sleep duration and C-reactive protein: Associations among pregnant and non
pregnant women.
AB - Pregnant women experience more sleep disturbances and greater systemic
inflammation than non-pregnant women. However, the few studies that have examined
the links between sleep and inflammation in pregnant women have been in clinical
samples. We examined whether sleep duration is associated with C-reactive protein
(CRP) levels, a marker of inflammation, in pregnant and non-pregnant women in a
population-based sample of US women. Participants were 2865 women of reproductive
age (aged 20-44 years) in the National Health and Nutrition Examination Survey
(NHANES), a nationally representative sample of Americans. Sleeping <5 h on
weeknights or workdays was significantly associated with increased CRP levels
among both pregnant and non-pregnant women in unadjusted analyses; however, after
adjustment for demographic, and health-related variables (depressive symptoms,
self-rated health status, body mass index (BMI), diabetes), sleeping <5 h was no
longer significantly related to CRP levels. Pregnant women had significantly
higher CRP levels, after adjusting for sleep duration, demographic, and health
related variables. Our findings suggest that pregnancy is associated with
increased peripheral CRP, after adjustment for sleep duration, demographic, and
health factors. Further, in both pregnant and non-pregnant U.S. women of
reproductive age, short sleep duration is associated with higher CRP levels, but
this link is explained by self-rated health, BMI, and diabetes. Further studies
are needed to investigate links of other sleep parameters (e.g., sleep
fragmentation) with CRP in these populations.
PMID- 29803191
TI - The duration of sexual relationship and its effects on adverse pregnancy
outcomes.
AB - This study aims to determine if a short duration of sexual relationship is more
common among women who experience adverse pregnancy outcomes including
gestational hypertension (GHT), preeclampsia, small for gestational age (SGA)
pregnancies and spontaneous preterm birth (sPTB) with or without abnormal uterine
artery Doppler compared to women who have uncomplicated pregnancies. 5591
nulliparous women from the Screening for Pregnancy Endpoints (SCOPE) study were
included. The risk for pregnancy complications for women who had a duration of
sexual relationship of <=3 months, 4-6 months, 7-9 months, 10-12 months was
compared with women who had a duration of sexual relationship of >12 months.
Uterine artery Doppler was performed at 20 +/- 1 weeks' gestation. A short
duration of sexual relationship (<=3 months) was more common among women with SGA
in the presence of abnormal uterine artery Doppler [9.8% vs 3.0%, aOR (95% CI)
3.4 (1.6-7.08] compared to women who had uncomplicated pregnancies. A short
duration of sexual relationship (<=3 months) was also more common among women who
had abnormal uterine artery Doppler compared to those with normal uterine artery
Doppler [6.1% vs 3.1%, aOR (95% CI) = 2.1 (1.4-3.2)]. A short duration of sexual
relationship was not associated with preeclampsia after adjusting for
confounders. A short duration of sexual relationship is more common among women
who deliver SGA infants with features of placental insufficiency as indicated by
abnormal uterine artery Doppler.
PMID- 29803193
TI - The importance of appropriate control groups in perioperative analgesic studies:
One size does not fit all.
PMID- 29803194
TI - Open surgery for aneurysms of the splenic artery at the hilum of the spleen:
Report of three cases.
AB - INTRODUCTION: Aneurysms of the splenic artery (SAA) located at the hilum of the
spleen are not well fit for endovascular or laparoscopic treatment. Open surgery
may still be the best option of treatment. PRESENTATION OF CASES: We report the
cases of 3 female patients of a mean age of 59 years (range, 45-68 years) with a
hilar (n = 2) or parahilar (n = 1) SAA undergoing successful open surgical
resection, through a short left subcostal access. Recovery was uneventful and
mean, postoperative length of stay was 4 days (range, 3-5 days). DISCUSSION:
Results of this report support surgical resection and splenectomy for the
treatment of SAA located at the hilum of the spleen. For this particular location
endovascular treatment may not be advised, as coil embolization can be followed
by a massive splenic infarction precipitating the need for splenectomy, due to
the exclusion of backflow from the left gastroepiploic artery through the short
gastric vessels. As well, endovascular exclusion through insertion of an
endograft may not be feasible due to the absence of a distal landing zone, as
stent grafting requires a normal caliber artery of sufficient length on each side
of the aneurysm. CONCLUSION: Surgical excision and splenectomy, through a short
subcostal incision, remains a viable option of treatment for hilar SAA.
PMID- 29803196
TI - Designs and methods for interfacing SFC with MS.
AB - Hyphenating SFC with MS is now routinely performed in analytical laboratories.
Major instrument providers supply commercial solutions for coupling SFC and MS,
which has facilitated wider adoption of the technology. The current status,
however, could be achieved based on the work done by many researchers over
decades. Interfacing SFC with MS posed some unique challenges, compared to
interfacing MS with LC or GC, demanding special solutions. Several interface
designs were tried and tested over the years before suitable solutions could be
detected. Additional measures, such as (a) mixing SFC mobile-phase with an
additional liquid solvent at the column outlet, and (b) heating the interfacing
device, had to be adopted to address some specific challenges. Although such
modifications and measures look diverse, there is one factor that drove most of
them - compressibility of SFC mobile-phase. There are two objectives of this
review - (1) to compile various insights which were reported on describing and
optimizing SFC-MS interfacing processes, and (2) to link these insights with the
fundamental issue of solvent compressibility.
PMID- 29803197
TI - Does Your Research Make a Difference?
PMID- 29803195
TI - Presentation of a large jejunal artery aneurysm: Management and review of the
literature.
AB - INTRODUCTION: Jejunal artery aneurysms (JAAs) constitute less than 1% of all
visceral artery aneurysms. They affect mostly men in their fifth decade. In the
last years, the widespread of fine cut fine image techniques has increased the
number of JAAs diagnosed incidentally. The first case was reported by Levine in
1944. Since then, only a half of hundred cases have been reported. There is a
lack of consensus of management of intact JAAs because of the low number of cases
published. We present the largest JAA reported in the English literature up to
our knowledge. PRESENTATION: We report a 49 year-old woman with a 4 * 5 cm.
intact jejunal artery aneurysm found incidentally in a CT. It rose from the first
jejunal branch of superior mesenteric artery without signs of rupture. She
underwent elective surgery and the aneurysm was completely excised. DISCUSSION:
Causes of JAAs include congenital, atherosclerosis or degenerative process. Their
rate of rupture depends on location, size and underlying disease and it reaches
10-20% for all visceral artery aneurysms. Risk factors of rupture include
pregnancy, hyper-flow situations and connective diseases. Most of cases in the
literature presented rupture at the time of diagnosis. JAAs are usually treated
following the recommendations for visceral artery aneurysms, so intact JAAs
greater than 2 cm. and those causing symptoms should be treated. Treatment
includes surgery, embolisation or stent. Surgery is the preferred management for
emergency settings. CONCLUSION: JAAs are extremely rare and constitute only 1% of
all visceral aneurysms. They are a life-threatening condition.
PMID- 29803198
TI - The analytical challenges of cyclopropylfentanyl and crotonylfentanyl: An
approach for toxicological analysis.
AB - New psychoactive substances (NPS) are increasingly being seen in forensic
casework globally and encompass a number of types of drugs including "designer
opioids", especially fentanyl analogues, which are of particular concern due to
their high potency and significant risk of toxicity. They are often sold as
heroin or mixed with other illicit drugs and therefore users may be unaware they
are taking such hazardous compounds. Two fentanyl analogues that have recently
been detected are cyclopropylfentanyl and crotonylfentanyl. In order to
accurately determine the prevalence of such compounds in clinical and forensic
casework, including potential toxicity, they need to be correctly identified
using definitive and defensible techniques. Cyclopropylfentanyl and
crotonylfentanyl are structural isomers, and it has previously been highlighted
that these 2 compounds are analytically difficult to specifically identify owing
to their similarity in structure and chromatographic behaviour. To further
investigate in an attempt to overcome this problem, analysis of certified
reference material using high performance liquid chromatography with diode array
UV detection (HPLC-DAD), liquid chromatography-tandem mass spectrometry (LC
MS/MS), and liquid chromatography-quadrupole time-of-flight-mass spectrometry (LC
QToF-MS) has been performed. Whilst the compounds were shown to have an identical
mass-spectral fragmentation pattern, they had different UV spectra. This was
coupled with a discernible difference in retention time with the HPLC conditions
applied, allowing differentiation of the 2 compounds. Using this approach,
cyclopropylfentanyl was positively identified and subsequently quantified in 4
fatalities with the exclusion of crotonylfentanyl.
PMID- 29803199
TI - Evolution of morphological integration in the skull of Carnivora (Mammalia):
Changes in Canidae lead to increased evolutionary potential of facial traits.
AB - Morphological integration refers to the fact that different phenotypic traits of
organisms are not fully independent from each other, and tend to covary to
different degrees. The covariation among traits is thought to reflect properties
of the species' genetic architecture and thus can have an impact on evolutionary
responses. Furthermore, if morphological integration changes along the history of
a group, inferences of past selection regimes might be problematic. Here, we
evaluated the stability and evolution of the morphological integration of skull
traits in Carnivora by using evolutionary simulations and phylogenetic
comparative methods. Our results show that carnivoran species are able to respond
to natural selection in a very similar way. Our comparative analyses show that
the phylogenetic signal for pattern of integration is lower than that observed
for morphology (trait averages), and that integration was stable throughout the
evolution of the group. That notwithstanding, Canidae differed from other
families by having higher integration, evolvability, flexibility, and allometric
coefficients on the facial region. These changes might have allowed canids to
rapidly adapt to different food sources, helping to explain not only the
phenotypic diversification of the family, but also why humans were able to
generate such a great diversity of dog breeds through artificial selection.
PMID- 29803200
TI - Parenting Needs Among Mothers of Young Children During Military Deployment.
AB - PURPOSE: To examine perceptions of what mothers of young children (birth to 5
years old) need to be a "good parent" while their military spouse is deployed.
DESIGN: Q methodology was used to uncover different views on military spouses'
parenting needs. METHODS: In Phase 1, 18 statements related to military spouses'
parenting needs were elicited based on review of existing literature and seven
supplementary qualitative interviews. In Phase 2, 143 military-connected mothers
completed an anonymous online Q-sort to rank the importance of the 17 statements
from least to most important for being a good parent during deployment. Written
comments explaining rankings were also collected. FINDINGS: Across respondents,
the most important needs during deployment were making sure their children were
happy and healthy, keeping themselves and their children connected with the
deployed parent, and being financially stable. Three unique views were uncovered,
which differed by mothers' reliance on their family versus the military community
for support, and the importance of self-care. CONCLUSIONS: Results highlighted
the extent to which mothers of young children enter "survival mode" during their
spouse's deployment, and differences were found in what was most important for
being a good parent during this stressful period. CLINICAL RELEVANCE: Findings
underscore the complexity of creating programs to support military parents whose
different backgrounds, experiences, and expectations are likely to affect service
uptake and benefit.
PMID- 29803201
TI - Oral Histories of Nurse-Midwives in Georgia, 1970-1989: Blazing Trails, Building
Fences, Raising Towers.
AB - INTRODUCTION: This article provides an account of the establishment and
development of the contemporary nurse-midwifery profession in Georgia, which was
previously undocumented. Oral history interviews with nurse-midwives who were in
clinical and educational practice in Georgia during the 1970s and 1980s were
collected and analyzed to identify factors that affected the establishment of
nurse-midwifery in this state. METHODS: This study relied on historical
methodology. Oral history interviews provided primary sources for analysis.
Secondary sources included archives belonging to the narrators' nurse-midwifery
services as well as scholarly and professional publications from 1923 to the
present. Data were analyzed using Miller-Rosser and colleagues' method. RESULTS:
In-depth interviews were conducted with 14 nurse-midwives who worked in clinical
practice or education in Georgia in the 1970s and 1980s. The narrators'
testimonies revealed facilitators for the establishment of nurse-midwifery in
Georgia, including increasing access to care, providing woman-centered care,
interprofessional relationships, and the support of peers. Resistance from the
medical profession, financial constraints, and public misconceptions were
identified as barriers for the profession. DISCUSSION: Oral histories in this
study provided insight into the experiences of nurse-midwives in Georgia as they
practiced and taught in the 1970s and 1980s. Interprofessional connections and
cooperation supported the nurse-midwifery profession, and relationships with
peers anchored the nurse-midwives. Mentoring relationships and interprofessional
collaboration supported the nurse-midwives as they adapted and evolved to meet
the needs of women in Georgia.
PMID- 29803203
TI - The Effects of Lactobacillus casei on Glycemic Response, Serum Sirtuin1 and
Fetuin-A Levels in Patients with Type 2 Diabetes Mellitus: A Randomized
Controlled Trial
AB - Background: Type 2 diabetes mellitus (T2DM) is related to the gut microbiota with
numerous molecular mechanisms. Modulating the gut microbiota by probiotics could
be effective in management of T2DM. The aim of the present trial was to evaluate
the effect of Lactobacillus casei on glycemic control and serum sirtuin1 (SIRT1)
and fetuin-A in patients with T2DM. Methods: Forty patients with T2DM (n = 20 for
each group) were divided into intervention (probiotic) and placebo groups. The
intervention group received a daily capsule containing 108 cfu of L. casei for
eight weeks. The patients in placebo group took capsules containing maltodextrin
for the same time duration. Anthropometric measurements, dietary intake
questionnaires, and blood samples were collected, and the patients were assessed
by an endocrinologist at the beginning and at the end of the trial. Results:
Fasting blood sugar, insulin concentration, and insulin resistance significantly
decreased in probiotic group compared with placebo group (-28.32 [-50.23 to
6.41], 0.013; -3.12 [-5.90 to -0.35], 0.028; -32.31 [-55.09 to -9.54], 0.007,
respectively). Moreover, HbA1c reduced after intervention, but the reduction was
not significant (-0.45 [-0.96 to 0.05], 0.077). In comparison with placebo, the
L. casei supplementation significantly increased SIRT1 and decreased fetuin-A
levels at the end of the trial (0.52 [0.026 to 1.02], 0.040; -17.56 [-32.54 to
2.58], 0.023, respectively). Conclusion: L. casei supplementation affected SIRT1
and fetuin-A levels in a way that improved glycemic response in subjects with
T2DM. Affecting the SIRT1 and fetuin-A levels introduces a new known mechanism of
probiotic action in diabetes management.
PMID- 29803202
TI - Effects of Major Epigenetic Factors on Systemic Lupus Erythematosus
AB - The pathogenesis of systemic lupus erythematosus (SLE) is influenced by both
genetic factors and epigenetic modifications; the latter is a result of exposure
to various environmental factors. Epigenetic modifications affect gene expression
and alter cellular functions without modifying the genomic sequences. CpG-DNA
methylation, histone modifications, and miRNAs are the main epigenetic factors of
gene regulation. In SLE, global and gene-specific DNA methylation changes have
been demonstrated to occur in CD4+ T-cells. Moreover, histone acetylation and
deacetylation inhibitors reverse the expression of multiple genes involved in
SLE, indicating histone modification in SLE. Autoreactive T-cells and B-cells
have been shown to alter the patterns of epigenetic changes in SLE patients.
Understanding the molecular mechanisms involved in the pathogenesis of SLE is
critical for the introduction of effective, target-directed and tolerated
therapies. In this review, we summarize the recent findings that highlight the
importance of epigenetic modifications and their mechanisms in SLE.
PMID- 29803205
TI - Signs of impaired blood-brain barrier function and lower IgG synthesis within the
central nervous system in patients with schizophrenia or related psychosis,
compared to that in controls.
AB - OBJECTIVES: Evidence has accumulated that an inflammatory, possibly autoimmune
mediated, process in the central nervous system (CNS), and by way of an aberrant
immune system, may underlie the development of schizophrenia. Therefore, the aim
of this study was to evaluate patients with schizophrenia or related psychosis
for blood-brain barrier (BBB) function and immunoglobulin (Ig)G synthesis within
the CNS. METHODS: Fifteen patients with schizophrenia or schizoaffective disorder
and 12 controls were investigated using lumbar puncture and blood sampling.
Cerebrospinal fluid (CSF) and serum/plasma (S/P) were analysed for albumin and
IgG by standard laboratory methods, and the ratio of CSF-albumin to P-albumin
(marker of BBB function) and the IgG index (marker of CNS IgG synthesis) were
calculated. Additionally, the patients were assessed for clinical symptoms with
the Positive and Negative Syndrome Scale for schizophrenia. RESULTS: The ratio of
CSF-albumin to P-albumin was higher and the IgG index was lower in patients than
in controls (p=0.045 and p=0.001, respectively). Moreover, subgroup analyses
showed that patients in partial symptom remission had higher ratios of CSF
albumin to P-albumin than patients in full symptom remission, and that patients
with heredity for schizophrenia or related psychosis had lower IgG indices than
patients without heredity. CONCLUSIONS: In this study we show that patients with
schizophrenia or related psychosis have impaired BBB function and lower IgG
synthesis within the CNS, compared to controls. These findings support the view
that a pathological process within the CNS, combined with an aberrant immune
system, may underlie the development of schizophrenia.
PMID- 29803204
TI - Physiological responses to different neuromuscular movement task during eccentric
bench press.
AB - OBJECTIVES: Increasing muscular hypertrophy is one of the main reasons for
participating in a resistance training program, where different movement task
such as eccentric cadences may serve as a potent hypertrophic stimulus and
improve movement stability. Aim of this study was to investigate the
physiological responses between slow 6/0/2/0 (SLOW) and moderate 2/0/2/0 (REG)
eccentric cadences during five sets of bench press to failure using 70% 1
repetition maximum (1RM). MATERIALS AND METHODS: Blood samples from sixteen men
(21-29y, 85.9+/-7.7kg, 130+/-17.5kg bench press 1RM) with at least five years of
resistance training experience were taken before, immediately after, 30 min
after, and 60 min after both protocols in a randomized cross over study design.
RESULTS: ANOVA showed that more repetitions were performed during each set in REG
and for the entire REG protocol (p<0.001), but total time under tension was
greater during SLOW in each set and for the entire protocol (p<0.001). The post
exercise levels of lactate (p=0.02), creatine kinase (p=0.04), and testosterone
(p=0.01) were greater after SLOW. Post-exercise cortisol levels decreased in both
protocols (p<0.001), but these decreases were not significantly different between
protocols. CONCLUSIONS: Therefore, intentionally slow eccentric speeds and
increased eccentric time under tension seem to be effective for increasing acute
hormonal responses after exercise. As such, although a SLOW tempo may decrease
the amount of total work (i.e. fewer repetitions with the same load), the
increased time under tension seems to drive hormonal responses and neurological
response, which may play a large role in stimulating muscle growth, coordination
and movement stability.
PMID- 29803206
TI - Lymphocytic hypophysitis associated with Behcet's disease: A case report and
review of the literature.
AB - Lymphocytic hypophysitis (LH) is a rare inflammatory disorder involving the
pituitary gland, often with other autoimmune diseases combined. The coexistence
of LH and Behcet?'s disease (BD) is a rare combination and only one case was
reported in the previous literatures. A 50-year-old man was admitted into Sir Run
Run Shaw Hospital presented with frontal headache and fatigue which had lasted
for four months. Endocrinological inspection indicated anterior pituitary
dysfunction. Magnetic resonance imaging (MRI) revealed homogeneous pituitary
enlargement and thickened pituitary stalk. Administration of glucocorticoids
could effectively relieve headache and reduced pituitary mass volume. Oral
aphthosis, skin lesions and positive pathergy tests were recognized later, which
were characteristic features of BD. Although the diagnosis of BD is mainly
dependent on clinical manifestations, PT is still a useful diagnostic tool 0f
high specificityfor BD. And this male patient was diagnosed with both LH and BD
afterwards. Then he was treated with cyclophosphamide and medium doses of
methylprednisolone and remained in good conditions at the follow-up. LH and BD
might share a common underlying autoimmune pathogenesis. The presentation of
endocrinologic disturbances such as anterior pituitary dysfunction with typical
features of skin lesions should prompt further investigation of possible comorbid
autoimmune disease involving multiple organ systems. Early diagnosis and close
monitoring are vitally important to ensure a stable endocrinologic status.
PMID- 29803207
TI - Telomere length and TERT abnormalities in pituitary adenomas.
AB - OBJECTIVES: Pituitary adenomas (PAs) are among the most frequent intracranial
tumors in humans. Abnormal telomerase activity and telomere lengthening are
features of tumor cells. They may result from mutations in TERT promoter region,
gene amplification or aberrant DNA methylation pattern. Such changes were found
in variety of tumors including those of brain. Aim of the study was to evaluate
the incidence of TERT abnormalities and to assess their role in telomere
lengthening in PAs. METHODS: Study involved 101 patients with PA including both
nonfunctioning and functioning subtypes. Telomerase length as well as TERT mRNA
level and gene amplification were estimated using quantitative PCR (qPCR).
Promoter mutations were assessed using Sanger sequencing. The results from genome
wide DNA methylation profiling with HumanMethylation 450K (Illumina) were used
for the analysis of TERT locus. RESULTS: Variable telomere length was observed in
patients, however no relationship with clinicopathological features was found. We
observed a missense variant in TERT promoter in one patient only whereas
increased TERT copy number were identified in 6 patients (5.6%). However no
relationship between these results and telomere length or TERT expression was
found. DNA methylation at TERT locus was not found to be changed when adenoma
samples and normal tissue sections were compared. CONCLUSION: The results
indicate that telomerase abnormalities do not play a role in pathogenesis of
pituitary tumors.
PMID- 29803208
TI - Content of fatty acids in a diet and the homocysteine levels in women with
fertility disorders.
AB - OBJECTIVES: Assessment of the effects of consumption of fatty acids on the
homocysteine levels in women with fertility disorders. METHODS AND RESULTS: The
study included 286 women at the age between 23 and 46 years (the mean 33.13+/
4.21 years) with a fertility disorders. We measured: levels of homocysteine
[MUmol/L] (n=171), body weight and height (n=286). Body mass index (BMI) was
calculated. A diet was assessed by 3-day dietary food records method including
one day of the weekend. The mean homocysteine (Hcy) levels were 10.02+/-2.98
MUmol/L. Body weight excess was observed in 29.3% of subjects. The percentage of
fatty acids in the total energy [%E] it was demonstrated that the mean rate of
MUFAs and PUFAs was statistically significantly lower in diets of women with the
homocysteine levels >15 MUmol/L compared to the group with the Hcy <10 MUmol/L.
Based on the results of a correlation between the homocysteine levels and
consumption of n-6 fatty acids and n-3 fatty acids it is possible to conclude
that there are negative correlations observed indicating that higher consumption
of these acids is associated with lower levels of homocysteine. CONCLUSION:
Increased consumption of PUFAs, including alpha-linoleic acid, in a diet seems to
be an important factor preventing from hyperhomocysteinemia.
PMID- 29803209
TI - Antipsychotics in Hyperthyroid-Related Psychosis: Case Report and Systematic
Review.
AB - OBJECTIVES: Hyperthyroidism has been associated with relatively rare
presentations of psychotic symptoms. We report an example of the successful use
of antipsychotics to manage psychotic symptoms in hyperthyroid-related psychosis.
Furthermore, we systematically describe the available literature on the use of
antipsychotics in this setting to determine the associated relative efficacies of
the various antipsychotic agents. METHODS: Presentation of a case of hyperthyroid
related psychosis treated with an antipsychotic agent followed by a systematic
review of all similar cases: PubMed, Ovid MEDLINE and PMC were searched for
articles published between 1960 and 2017 that report on the use of specific
antipsychotics in the management of hyperthyroid-related psychosis. RESULTS: An
81-year-old woman presented with hallucinations and delusions in the context of
untreated Graves' Disease and was effectively treated with medical management of
her thyroidopathy and psychopharmacologic management of psychotic symptoms.
Systematic review revealed that typical and atypical antipsychotics have
comparable efficacy in the management of psychotic symptoms in hyperthyroid
related psychosis. CONCLUSION: Choice of antipsychotic in hyperthyroid-related
psychosis should be primarily based on side-effect profile and medical
comorbidities. Risperidone is the optimal and seemingly effective choice for
treating hyperthyroid-related psychosis in an elderly thyrotoxic patient.
PMID- 29803210
TI - Shall we diagnose metabolic syndrome in adolescents?
AB - BACKGROUND: The clinical value of the diagnosis of metabolic syndrome (MS) in
children and adolescents remains unclear. The aim of the present study was to
assess the occurrence of metabolic complications, other than included in 2007 IDF
MS definition, in obese children and adolescents METHODS: The study included 75
(33 boys) obese adolescents (mean age 13.9 years, mean BMI SDS 4.49). Classical
(fasting glucose, TGL, HDL, blood pressure) and non classical (insulin resistance
[HOMA-IR], creatinine, AST, ALT, uric acid, fibrinogen, liver US and 24h BP
profile) risk factors were compared between groups with and without MS. 15(8
boys) met the 2007 IDF criteria for MS. RESULTS: Patients with MS presented with
significantly lower: BMI SDS (4.2 vs. 5.8, p=0.02), mean 24h SBP (0.8 vs. 1.0,
p=0.03), and uric acid level (352.1 vs. 414.0, p=0.01). In both groups a
significant percentage of abnormal results of 24hABPM (up to 42.9 and 57.6%),
insulin resistance (85.7 % and 61.1%), non alcoholic fatty liver disease (57.4 %
and 38.9 %) and hyperuricemia (69.2 % and 55.3%) was observed. CONCLUSION:
Recognizing the metabolic syndrome in adolescents does not provide any additional
clinical benefits. It seems that in every obese child a wide, personalized
diagnostic work-up should be performed.
PMID- 29803211
TI - Estimation of testosterone/cortisol ratio by resting state eeg delta/beta ratio
in elderly people.
AB - OBJECTIVES: Testosterone and cortisol are the end products of the hypothalamus
pituitary-gonadal and hypothalamus-pituitary-adrenal axes. Both hormones affect
brain anatomy and brain activity, which is positively correlated with the
testosterone/cortisol ratio. The aim of the present study was to observe the main
effect and interaction between testosterone and cortisol in relation to brain
activity and whether it is possible to predict neuroendocrine levels. METHODS:
Sixty-seven participants were included for evaluating saliva hormones and resting
state EEG. The levels of cortisol and testosterone were measured by enzyme-linked
immunosorbent assay. The free artifacts of the EEG signals was computed and
compared with the normative database. RESULTS: The results showed that the
testosterone/cortisol ratio was 34.21+/-3.75 in males, 19.92+/-1.47 in females
and 24.19+/-1.71 among all participants. The testosterone/cortisol ratio and the
resting state EEG delta/beta ratio were correlated at the frontal area, the
central area, the parietal area and the left temporal area but not at the right
temporal area or the occipital area. The resting state EEG delta/beta ratios of
the frontal and central areas were used in a multiple regression model to predict
the testosterone/cortisol ratio as 32.0% in males, 11.9% in females and 14.3%
among all participants. CONCLUSION: Resting state EEG is a non-invasive approach
that can be used to estimate hormone levels, which are possible biomarkers of
physiological and psychological disorders.
PMID- 29803212
TI - Carrageenan as a functional additive in the production of cheese and cheese-like
products.
AB - Carrageenan is a well-known gelling agent used in the food industry. The present
review of patent and scien- tific literature shows that carrageenan is a useful
additive in the cheese production process. The gel-strength- ening properties of
carrageenan are as a result of the fairly strong bonds it forms with casein
macromolecules. However, carrageenan-casein interaction is dependent on pH.
Different carrageenan types have different charge levels (the most charged is the
helix form of lambda-carrageenan), which affects the carrageenan- casein
aggregates. The correct concentration of carrageenan and temperature treatment
can improve cheese yield and whey protein recovery, which is desirable for cheese
producers. Even small amounts of this hydro- colloid can increase cheese firmness
and maintain cheese structure after cheese curd heating. Carrageenan improves
cheese structure and other properties, such as ease of grating or slicing, which
are very important for customers. Some modifications to cheese composition can
destroy the natural cheese structure, but the addition of carrageenan can be
useful for creating modified cheese-like products with desirable attributes.
Carrageenan can be a good replacement for emulsifying salts, to stabilize cheese
fat without disturbing the Ca:P ratio. The replacement of emulsifying salts with
carrageenan (as little as 1%) results in a homogenous cheese product. For that
reason, carrageenan is a useful additive for maintaining the organoleptic and
struc- tural values of fat-free cheese. Carrageenan can also stabilize the
structure in cheese-like products and replace casein in cheese imitations.
PMID- 29803213
TI - Antioxidant activities and phenolic compounds in fruits of various genotypes of
American persimmon (Diospyros virginiana L.).
AB - BACKGROUND: American persimmons (Diospyros virginiana L.) are known as a
widespread cultivar which were traditionally used by Native Americans as a food
source, and since ancient times have been used in folk medicine. The objective of
this study was to evaluate the antioxidant activity and phenolic content of
Diospyros virginiana genotypes. METHODS: The content of the total antioxidant
activity and phenolic compounds from the fruits of the American persimmon
(Diospyros virginiana L.) of six genotypes were compared. Antioxidant activity
(AOA) was measured using three different photometric methods – DPPH (2,2
diphenyl-1-picrylhydrazyl), ABTS (2,2-azino-bis-3-ethylbenzothiazoline-6-sulfonic
acid) and FRAP (ferric-reducing antioxidant power). Total phenolic content (TPC)
was evaluated using Folin-Ciocalteu reagent assay. RESULTS: The results for AOA
(μMol Trolox/g) determined by the DPPH method varied from 51.68 (DV-05) to
100.87 (DV-03), those obtained by the ABTS method varied from 65.40 (DV-05) to
142.26 (DV-03), and those obtained by the FRAP method varied from 45.06 (DV-05)
to 109.30 (DV-03). The results for TPC varied from 590.75 ±27.98 mg/100 g
(DV-05) to 1325.12 ±77.30 mg/100 g (DV-03). The highest results for AOA
and TPC were achieved for the fruits of genotypes DV-03 and DV-05. A positive
linear correlation was found between antioxidant activity and total phenolic
content in the examined plant material. CONCLUSIONS: The results showed that all
fruit extracts exhibited strong antioxidant activities, which generally
correlated positively with the total phenolic content. This study demonstrates
the potential of the fruits of Diospyros virginiana grown in Ukraine as a
possible source of valuable polyphenol content, with high anti- oxidant
activities and health-promoting properties. The high contents of phenolic
compounds and significant linear correlation between the values of the
concentration of phenolic compounds and antioxidant activity indicated that these
compounds contributed to the strong antioxidant activity.
PMID- 29803214
TI - The application of the Plackett-Burman design in investigating ACE inhibitory
peptide-producing conditions and media for Lactobacillus bulgaricus LB6.
AB - BACKGROUND: The intake of angiotensin converting enzyme (ACE, EC 3.4.15.1)
inhibitory peptides from food has become a promising method for treating
hypertension. Lactic acid producing bacteria are widely used to produce ACE
inhibitors during fermentation. METHODS: Several factors which promote the
production of ACE inhibitory peptides in Lacto- bacillus bulgaricus LB6 (inoculum
size, incubation temperature, goat’s milk powder, sterilization time, whey
powder, casein hydrolyses and calcium lactate) and media (casein peptone, soybean
peptone, glucose, casein, ascorbic acid, Ca(H2PO4)2 and lactose) were
investigated to optimize and increase ACE inhibitory activity during the
fermentation of goat’s milk by using a Plackett-Burman design, in
accordance with previous tests. RESULTS: The results indicated that incubation
temperature, whey powder, calcium lactate, soybean peptone,glucose and casein had
significant effects on both ACE inhibition rate and viable counts. In addition,
the effects of incubation temperature, whey powder, calcium lactate and glucose
were found to increase ACE inhibition rate, while soybean peptone and casein
caused it to decrease. CONCLUSIONS: This investigation of conditions which
promote the production of ACE inhibitory peptides and media for LB6 was performed
using a Plackett-Burman design. The results indicated that incubation tem-
perature, whey powder, calcium lactate, soybean peptone, glucose and casein had a
significant impact on the ACE inhibition rate and viable counts of LB6, which
provide the basis and reference for further optimization.
PMID- 29803215
TI - The effect of selected components of milk and ripening time on the development of
the hardness and melting properties of cheese.
AB - BACKGROUND: The growing interest in ripening cheeses in Poland has increased milk
production, which enhances the need to improve its quality. One method is to
increase the fat and casein content of milk. In effect, the proportions of these
ingredients affect production efficiency and quality of cheese. Most milk
components, and the maturity of the cheese, are associated with two qualities
which are very important for consumers, hardness and fusibility. Therefore, the
complex proteolysis and lipolysis processes occurring in ripening cheeses are an
important evaluation component. For this reason, there is a constant need to
deepen the knowledge of the relationships between the components of bulk milk and
those retained in curds, and the processes that shape the quality of ripening
cheese, which is important for consumers. The aim of the study was to analyze the
transformations of proteins and fat which occur during the ripening of Gouda
cheese. Research hypotheses assumed that higher proportions of fat in milk and
curd were associated with melting properties and that the casein content in milk
and protein content in curds affected the brittleness and greater nitrogen
recovery in cheese. METHODS: The research materials consisted of 15 cheese
batches, produced from October to December. Cheese samples were collected at
several ripening stages (RS): day 1th, 14th, 30th and 60th. Bulk milk was
subjected to standard procedures applied during Gouda cheese production. Fat was
extracted from the cheese, the content of which was estimated on the basis of fat
values. The intensity of proteolysis was de- termined by the content of soluble
nitrogen and nitrogen recovery. The data was statistically compiled using the
ANOVA mixed model. The influence of the ripening stage, differences between means
and the correlation coefficient values were estimated at P ≤ 0.05. RESULTS:
The results confirmed that ripening stage has a strong influence on the increase
in dry matter con- tent and nitrogen solubility and the decrease in fat content
in cheese. Assessment of proteolytic changes (the proportion of soluble nitrogen,
NS) indicates an increased dynamic of changes from day 30th of ripening. This
index was correlated with casein and dry matter content. However, the amount of
nitrogen recovered in cheese (NR) was most strongly correlated with protein
content in the product and casein content in bulk milk. The ripening time was
related to the melting properties and hardness of the cheese, as evidenced by the
values of the correlation coefficient (0.394 and 0.489). Both characteristics
were also related to the fat content in milk and in cheese (–0.286 to
–0.427). Moreover, hardness was correlated with the proportion of protein,
dry matter and casein in milk (0.326–0.762). The influence of RS on the
increase in the acid and saponification values of fat contained in the cheese was
usually observed from the 30th day of ripening (0.512 and 0.535). These changes
were accompanied by a decrease in fat content (r = –0.247 and
–0.364). CONCLUSIONS: The recorded range of changes do not affect the
nutritional values of cheese, as free fatty acid content increased only slightly
with ripening time. The observed tendencies towards slower proteolysis and
lipolysis reactions can be partly explained by the increasing content of dry
matter (lower water availability). It was shown that the proportion of fat in
milk and cheese and its protein content significantly affected the hardness and
melting properties of cheese. Importantly, the proportion of casein in milk was
positively as- sociated with nitrogen recovery in the ripening product. It can be
assumed that the activities increasing the proportion of casein in milk are an
important method of improving the technological suitability and sensory quality
of cheese.
PMID- 29803216
TI - Improvement of viability of probiotic bacteria, organoleptic qualities and
physical characteristics in kefir using transglutaminase and xanthan.
AB - BACKGROUND: Using kefir as a probiotic food carrier has many benefits. At the
same time, it is considered an appropriate product for the dairy industry. The
aim of this study was to evaluate the effect of xanthan gum and transglutaminase
enzyme on the viability of probiotics and the organoleptic qualities and
physicochemical characteristics of kefir. METHODS: Three levels of
transglutaminase enzyme (50, 100 and 150 ppm), and xanthan gum (0.05%, 0.1% and
0.2%) were used. Sensory and physicochemical properties and viability of
probiotic bac- teria were measured over 2 weeks of storage at 4°C. RESULTS:
By increasing the amounts of xanthan gum and transglutaminase, the viscosity of
the samples was increased and syneresis was reduced significantly (P < 0.05). The
kefir sample containing 150 ppm enzyme and 0.2% gum had the highest number of
probiotic bacteria. Moreover, the highest organoleptic scores were found for this
sample. CONCLUSIONS: It can be concluded that adding 150 ppm transglutaminase and
0.2% xanthan improved the vi- ability of probiotics and the physical and
organoleptic characteristics of kefir.
PMID- 29803217
TI - Chemical and nutritional characteristics of high-fibre rye milling fractions.
AB - BACKGROUND: Many studies have demonstrated the potential health benefits of
consuming more high-fibre cereal-based food products. Therefore, there is a need
to discover new ways to improve the overall nutritional balance of refined cereal
products and focus on increasing their dietary fibre content, at the expense of
readily digestible carbohydrates. METHODS: Lab-scale milling and sieving of whole
rye grain was used to obtain two fractions rich in dietary fibre. The fractions
were analysed and compared, in terms of microstructure, chemical com- position
and nutritional quality. RESULTS: The two fractions significantly obtained
differed in their particle size and contents of minerals, available saccharides,
and nutritional fractions of starch and dietary fibre and its major components.
The total dietary fibre concentrations in the coarse and fine fractions were 50.0
and 36.0 g/100 g, respectively, i.e. three and 2.2 times higher than that of
wholegrain rye flour. Both fractions also differed in their relative proportions
of major fibre components. In the fine fraction, the levels of soluble fibre, as
well as soluble arabinoxylans and fructans, were significantly higher than those
in the coarse fraction. CONCLUSIONS: It was shown that the application of a
simple dry-fractionation method to wholemeal rye flour allows the preparation of
two rye products which can serve as concentrated sources of dietary fibre low in
available saccharides.
PMID- 29803218
TI - Hygiene assessments of school kitchens based on the microbiological status of
served food.
AB - BACKGROUND: According to European trends, more children eat at school canteens
than ever before, therefore food safety and quality have become increasingly
significant in recent years. Nevertheless, there are large differences in food
safety levels in different school canteens. We hypothesize that the microbial
status of the served meal represents on the general hygiene of the kitchen. Our
research examines whether mesophilic aerobic bacteria measured in served food are
connected with the level of hygiene in the catering unit, and whether this
indicator can be used as a criterion for assessing school kitchens. METHODS: Meal
samples were collected from six school kitchens, and mesophilic aerobic bacterial
count was measured. Samples were collected on five different days, so each
kitchen was monitored five times. Two meals per visit were collected: a soup and
a main course. RESULTS: Out of the 60 samples, 26 were good (CFU/g < 103), 24
were acceptable (CFU/g: 103–105), and in 10 samples, the microbial count
was found to be above the limit (CFU/g > 105). Statistical calculations revealed
that microbial contamination of served meals was influenced neither by the
supplier nor by the type of meal (soup or main course). However, the level of
hygiene in the serving kitchen significantly affects the microbial status of
meals. CONCLUSIONS: Based on the results, a qualification system can be developed
using the mesophilic aerobic bacterial count measurable in the served meal to
assess hygiene. By regular determination of mesophilic aerobic bacterial count
and the presence of Enterobacteriaceae, the food safety of a catering unit can be
quantitatively evaluated.
PMID- 29803219
TI - The effect of hemp seed and linseed addition on the quality of liver pates.
AB - BACKGROUND: Liver pâtés are popular all over the world, but they
usually contain high amounts of animal fats. It may be beneficial to improve
their dietetic value by decreasing the saturated fatty acid content, while
maintaining their sensory quality. One way to do this is to add ingredients which
are rich in polyunsaturated fatty acids, such as hemp seed or linseed. Hemp seeds
are valuable because of their fat and protein content and linseed is known for
its high α-linolenic fatty acid (ALA) content. Both are good sources of
fiber. METHODS: Three pork liver pâtés were produced: one with hemp
seed, one with both hemp seed and linseed and one with neither. The products were
tested by 50 consumers, a proximate analysis was conducted and the fatty acid
profile, texture and color of the pâtés were analyzed. RESULTS: The
addition of hemp and linseed increased the fat content. The fatty acid profile
improved signifi- cantly. There were more polyunsaturated fatty acids and the n-6
to n-3 ratio was reduced in both products containing oil seeds compared to the
control sample, which is important from the health point of view. The color
parameters were not changed. The hardness, chewiness and adhesiveness increased
in products contain- ing oil seeds. Those products received higher scores in
sensory analysis. CONCLUSIONS: The quality of the pâtés with added
oil seed is comparable to or better than the traditional ones. The products with
both hemp and linseed can be treated as a good source of n-3 fatty acids. The
amount of ALA is high enough to label the product as a source of n-3 fatty acids.
PMID- 29803220
TI - Manufacturing of curd products of increased biological value for the elderly from
dried components.
AB - BACKGROUND: In recent years, the number of elderly people has increased, and the
diseases that arise in old age are associated, amongst other factors, with
malnutrition. In the elderly, the need for primary nutrients and energy changes,
so the development of food products intended for this particular group of people
is becom- ing increasingly important. The purpose of this research is to work out
the composition of and technology for producing low-fat curd products from raw
milk and vegetable components. The developed products can be used for their
gerodietetic properties, because nutritional and energy needs in the elderly were
taken into account when designing the product. The curd product was manufactured
from skimmed dried milk (SDM), soy isolate protein (SIP) and spelt grain.
METHODS: Optimal conditions for the recombination of SIP were determined. The
influence of mass fraction of SIP on the properties of the clot and the end
product was studied. The degree of dispersion of the grain component was
determined, from the organoleptic evaluation of samples of the mixture, and the
optimum method of addition was chosen. The method of adding cooked spelt into the
clot after pressing was chosen. Harrington’s generalized desirability
function was used for the calculation of the optimum mass frac- tion of the grain
component in the end product. RESULTS: The formulation and technology for a curd
product based on dry ingredients were determined. The amino acid composition and
content of essential components in the developed product were determined, and the
biological and nutritional value were calculated. CONCLUSIONS: The use of dry
ingredients for the production of a curd product makes it possible to manufac-
ture the product in the absence of raw milk. The formulation of the product is
designed taking into account the needs of the body in old age. The incorporation
of spelt increases the biological value of the curd product to 81.5%.
PMID- 29803221
TI - Effect of probiotic supplementation on liver function and lipid status in rats.
AB - BACKGROUND: High gut microflora quality plays a crucial role in cardiovascular
protection and undisturbed liver function. Currently, the most effective methods
to ameliorate gut microbiota influence on the host’s cardiovascular health
state are investigated. Next to low low-density lipoprotein (LDL) and low non
high-density lipoprotein (non-HDL) serum levels, triglycerides (TG) seem to be a
new goal of cardioprotective treatment and prevention. Moreover, it has been
documented that high serum alanine transaminase (ALT) is a reliable marker of
cardiovascular risk. Probiotics are a well proven factor decreasing blood LDL and
total cholesterol (TCH) concentrations. However, the effect of probiotics on
serum TG and ALT levels remains underinvestigated. The aim of the study was to
compare the effect of 6-week-long supplementation with a multispecies
probiotic mixture in two doses on body mass, liver function and lipid profile in
the rat model. METHODS: Thirty ‘Wistar’ rats were randomly divided
into the control group – KK, a group receiving a probiotic in a daily dose
of 2.5×109 CFU (PA) and a group receiving a probiotic in a daily dose of
1×1010 CFU (PB) for 6 weeks. After 6 weeks body mass, liver mass, serum
concentrations of TCH, LDL, high-density lipoprotein (HDL), TG, ALT and aspartate
transaminase (AST) were determined. RESULTS: Neither at baseline nor at the end
of the experiment were there any differences in the body mass of rats between
all three groups. At the completion of the study the liver mass of the rats was
significantly lower in the PA and PB vs. KK group. In group PB a significantly
lower serum concentration of TG and ALT compared to the KK group was registered
at the end of the trial. CONCLUSIONS: Six-week-long supplementation with
multispecies probiotic mixture exerts a favorable and dose-dependent effect on
liver function and lipid profile in the rat model and may also have a favorable
influ- ence on cardiovascular impairments. Thus, the inclusion of probiotics
supplementation in cardiovascular risk management should be considered.
PMID- 29803223
TI - Correlation between serum vitamin D level and neonatal indirect
hyperbilirubinemia.
AB - BACKGROUND: Considering the significant prevalence of Neonatal Indirect
Hyperbilirubinemia (NIH) and its irreversible neurological complications,
identifying the factors involved in the prevalence of neonatal jaundice is
essential. The present study was conducted to determine the relationship between
serum vitamin D levels and the prevalence of NIH in infants admitted to Qods
Hospital of Qazvin in Iran in 2015-16. METHODS: In this case-control study, 30
term infants with NIH (the case group) were compared with 30 healthy, non-
icteric, term infants (the control group) in terms of serum levels of 25
hydroxyvitamin D. The results were analyzed and compared between the two groups
using t-test and the Chi-square test. RESULTS: The mean and standard deviation of
serum 25-hydroxyvitamin D levels were 10.76 +/- 8.6 ng/dl in the case group and
14.88 +/- 11.38 ng/dl in the control group. There were no significant differences
between the two groups (P = 0.11). CONCLUSION: The results suggest the lack of a
relationship between vitamin D levels and NIH. However, further prospective
studies are needed to conclude that vitamin D has no role in the pathogenesis of
NIH.
PMID- 29803222
TI - Serum amyloid A primes microglia for ATP-dependent interleukin-1beta release.
AB - BACKGROUND: Acute-phase response is a systemic reaction to
environmental/inflammatory insults and involves production of acute-phase
proteins, including serum amyloid A (SAA). Interleukin-1beta (IL-1beta), a master
regulator of neuroinflammation produced by activated inflammatory cells of the
myeloid lineage, in particular microglia, plays a key role in the pathogenesis of
acute and chronic diseases of the peripheral nervous system and CNS. IL-1beta
release is promoted by ATP acting at the purinergic P2X7 receptor (P2X7R) in
cells primed with toll-like receptor (TLR) ligands. METHODS: Purified (> 99%)
microglia cultured from neonatal rat cortex and cerebellum were first primed with
the putative TLR4/TLR2 agonist SAA (recombinant human Apo-SAA) or the established
TLR4 agonist lipopolysaccharide (LPS) followed by addition of ATP. Expression of
genes for the NLRP3 inflammasome, IL-1beta, tumor necrosis factor-alpha (TNF
alpha), and SAA1 was measured by quantitative real-time polymerase chain reaction
(q-PCR). Intracellular and extracellular amounts of IL-1beta were determined by
ELISA. RESULTS: Apo-SAA stimulated, in a time-dependent manner, the expression of
NLRP3, IL-1beta, and TNF-alpha in cortical microglia, and produced a
concentration-dependent increase in the intracellular content of IL-1beta in
these cells. A 2-h 'priming' of the microglia with Apo-SAA followed by addition
of ATP for 1 h, resulting in a robust release of IL-1beta into the culture
medium, with a concomitant reduction in its intracellular content. The selective
P2X7R antagonist A740003 blocked ATP-dependent release of IL-1beta. Microglia
prepared from rat cerebellum displayed similar behaviors. As with LPS, Apo-SAA
upregulated SAA1 and TLR2 mRNA, and downregulated that of TLR4. LPS was less
efficacious than Apo-SAA, perhaps reflecting an action of the latter at TLR4 and
TLR2. The TLR4 antagonist CLI-095 fully blocked the action of LPS, but only
partially that of Apo-SAA. Although the TLR2 antagonist CU-CPT22 was inactive
against Apo-SAA, it also failed to block the TLR2 agonist Pam3CSK4. CONCLUSIONS:
Microglia are central to the inflammatory process and a major source of IL-1beta
when activated. P2X7R-triggered IL-1beta maturation and export is thus likely to
represent an important contributor to this cytokine pool. Given that SAA is
detected in Alzheimer disease and multiple sclerosis brain, together with IL
1beta-immunopositive microglia, these findings propose a link between P2X7R, SAA,
and IL-1beta in CNS pathophysiology.
PMID- 29803224
TI - The long non-coding RNA PTTG3P promotes cell growth and metastasis via up
regulating PTTG1 and activating PI3K/AKT signaling in hepatocellular carcinoma.
AB - BACKGROUND: Dysfunctions of long non-coding RNA (lncRNAs) have been associated
with the initiation and progression of hepatocellular carcinoma (HCC), but the
clinicopathologic significance and potential role of lncRNA PTTG3P (pituitary
tumor-transforming 3, pseudogene) in HCC remains largely unknown. METHODS: We
compared the expression profiles of lncRNAs in 3 HCC tumor tissues and adjacent
non-tumor tissues by microarrays. In situ hybridization (ISH) and quantitative
real-time polymerase chain reaction (qRT-PCR) were applied to assess the level of
PTTG3P and prognostic values of PTTG3P were assayed in two HCC cohorts (n = 46
and 90). Artificial modulation of PTTG3P (down- and over-expression) was
performed to explore the role of PTTG3P in tumor growth and metastasis in vitro
and in vivo. Involvement of PTTG1 (pituitary tumor-transforming 1), PI3K/AKT
signaling and its downstream signals were validated by qRT-PCR and western blot.
RESULTS: We found that PTTG3P was frequently up-regulated in HCC and its level
was positively correlated to tumor size, TNM stage and poor survival of patients
with HCC. Enforced expression of PTTG3P significantly promoted cell
proliferation, migration, and invasion in vitro, as well as tumorigenesis and
metastasis in vivo. Conversely, PTTG3P knockdown had opposite effects.
Mechanistically, over-expression of PTTG3P up-regulated PTTG1, activated PI3K/AKT
signaling and its downstream signals including cell cycle progression, cell
apoptosis and epithelial-mesenchymal transition (EMT)-associated genes.
CONCLUSIONS: Our findings suggest that PTTG3P, a valuable marker of HCC
prognosis, promotes tumor growth and metastasis via up-regulating PTTG1 and
activating PI3K/AKT signaling in HCC and might represent a potential target for
gene-based therapy.
PMID- 29803226
TI - Cytokine alterations in first-episode schizophrenia and bipolar disorder:
relationships to brain structure and symptoms.
AB - BACKGROUND: Over the past 30 years, evidence has been accumulating for an
immunological component to schizophrenia etiology, including genetic links to the
major histocompatibility complex, microglia activation, and dysregulated cytokine
profiles. However, the degree of similarity in cytokine profiles for
schizophrenia and bipolar disorder, as well as the relationship between cytokine
levels and brain structure, is less well understood. METHODS: To address this, we
recruited 69 first-episode schizophrenia-spectrum patients, 16 first-episode
bipolar patients with psychotic features, and 53 healthy controls, from the UC
Davis EDAPT clinic. Blood plasma was collected and analyzed for all participants
with a subset of participants that also underwent structural MRI on a 1.5T GE
scanner. RESULTS: Plasma levels of interleukin (IL)-1beta, IL-2, IL-6, and
interferon (IFN)-gamma were elevated in schizophrenia patients compared to those
in controls. Patients with bipolar disorder had elevated plasma IL-10 levels
compared to controls, and the two patient groups did not differ significantly on
any immunological measure. Percent whole-brain gray matter was inversely
correlated with IFN-gamma and IL-12 levels in patients with schizophrenia, with a
trend relationship between IFN-gamma and IL-12 and prefrontal cortical thickness.
Furthermore, psychotic symptoms were positively related to IL-1beta levels in
individuals with schizophrenia. CONCLUSIONS: These data suggest a partially
overlapping pattern of elevated blood cytokine levels in patients with first
episode schizophrenia and bipolar disorder with psychotic features. Furthermore,
our findings suggest that elevated pro-inflammatory cytokines may be particularly
involved in schizophrenia etiology, given evidence of cytokine-related decreases
in total gray matter.
PMID- 29803225
TI - Indomethacin promotes survival of new neurons in the adult murine hippocampus
accompanied by anti-inflammatory effects following MPTP-induced dopamine
depletion.
AB - BACKGROUND: Parkinson's disease (PD) is characterized by dopaminergic cell loss
and inflammation in the substantia nigra (SN) leading to motor deficits but also
to hippocampus-associated non-motor symptoms such as spatial learning and memory
deficits. The cognitive decline is correlated with impaired adult hippocampal
neurogenesis resulting from dopamine deficit and inflammation, represented in the
1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine hydrochloride (MPTP) mouse model of
PD. In the inflammatory tissue, cyclooxygenase (COX) is upregulated leading to an
ongoing inflammatory process such as prostaglandin-mediated increased cytokine
levels. Therefore, inhibition of COX by indomethacin may prevent the inflammatory
response and the impairment of adult hippocampal neurogenesis. METHODS: Wildtype
C57Bl/6 and transgenic Nestin-GFP mice were treated with MPTP followed by short
term or long-term indomethacin treatment. Then, aspects of inflammation and
neurogenesis were evaluated by cell counts using immunofluorescence and
immunohistochemical stainings in the SN and dentate gyrus (DG). Furthermore,
hippocampal mRNA expression of neurogenesis-related genes of the Notch, Wnt, and
sonic hedgehog signaling pathways and neurogenic factors were assessed, and
protein levels of serum cytokines were measured. RESULTS: Indomethacin restored
the reduction of the survival rate of new mature neurons and reduced the amount
of amoeboid CD68+ cells in the DG after MPTP treatment. Indomethacin
downregulated genes of the Wnt and Notch signaling pathways and increased neuroD6
expression. In the SN, indomethacin reduced the pro-inflammatory cellular
response without reversing dopaminergic cell loss. CONCLUSION: Indomethacin has a
pro-neurogenic and thereby restorative effect and an anti-inflammatory effect on
the cellular level in the DG following MPTP treatment. Therefore, COX inhibitors
such as indomethacin may represent a therapeutic option to restore adult
neurogenesis in PD.
PMID- 29803227
TI - Follicle-stimulating hormone (FSH) promotes retinol uptake and metabolism in the
mouse ovary.
AB - BACKGROUND: Retinoids (retinol and its derivatives) are required for the
development and maintenance of normal physiological functions of the ovary.
However, the mechanisms underlying the regulation of ovarian retinoid homeostasis
during follicular development remain unclear. METHODS: The present study
determined retinoid levels and the expression levels of genes involved in the
retinol uptake and its metabolic pathway in the ovaries of follicle-stimulating
hormone (FSH)-treated mice and in granulosa cells treated with FSH using ultra
performance liquid chromatography (UPLC) combined with quadrupole time-of-flight
high-sensitivity mass spectrometry (Q-TOF/HSMS) and real-time PCR analysis.
RESULTS: The levels of total retinoids and retinoic acid (RA) and expressions of
retinol-oxidizing enzyme genes alcohol dehydrogenase 1 (Adh1) and aldehyde
dehydrogenase (Aldh1a1) are increased in the ovaries of mice treated with FSH; in
contrast, the retinyl ester levels and retinol-esterifying enzyme gene lecithin:
retinol acyltransferase (Lrat) expression are diminished. In FSH-treated
granulosa cells, the levels of retinyl esters, retinaldehyde, and total retinoids
are augmented; and this is coupled with an increase in the expressions of
stimulated by retinoic acid 6 (Stra6) and cellular retinol-binding protein 1
(Crbp1), genes in the retinol uptake pathway, and Adh1, Adh7, and Aldh1a1 as well
as a diminution in Lrat expression. CONCLUSIONS: These data suggest that FSH
promotes retinol uptake and its conversion to RA through modulating the pathways
of retinol uptake and metabolism in the mouse ovary. The present study provides a
possible mechanism for the regulation of endogenous RA signaling in the
developing follicles.
PMID- 29803229
TI - Anterior disc derangement with reduction of the temporomandibular joint: a case
report.
AB - BACKGROUND: Temporomandibular dysfunction involving anterior disc derangement
with or without reduction, secondary to posterior ligament insufficiency is
typically managed conservatively with success in a majority of patients. When
conservative management fails, the next step in the continuum of care is unclear.
Platelet-rich plasma injection combined with a 3-week immobilization period may
be effective in treating posterior ligament insufficiency following a period of
physical therapy. The result of this case was exceptionally successful, with the
patient reporting 100% improvement 6 months post-injection. Prior to this case,
we predicted a 20% success rate based on her inability to maintain the effects of
conservative management over the long term. CASE PRESENTATION: A 33-year-old
white woman presented with temporomandibular dysfunction, which responded to an
initial course of physical therapy aimed at restoring the mechanics of her
temporomandibular joint, exercise management, and education on self-management
strategies. She returned 20 months later and responded well to another course of
physical therapy. Despite improvement in pain, range of motion, and mechanics,
she continued to present with a reduction click at the end range of opening. The
crisp and loud nature of the reduction click indicated a viable posterior
ligament and reduction of the anteriorly displaced disc. She opted for platelet
rich plasma injection, provided by a chronic pain specialist with the assistance
of a physical therapist. She was immobilized for 3 weeks, followed by a weaning
period with reduced posterior support for an additional 5 weeks. Follow-up
appointments with a physical therapist occurred at 3 weeks, 8 weeks, and 6 months
post-injection. CONCLUSIONS: At 6 months, she reported 100% improvement.
Objectively, there was no indication that the disc condyle relationship was
disrupted. At 6 months post-platelet-rich plasma injection, preceded by a period
of conservative physical therapy management, and followed with appropriate
physical therapy follow-up, this individual had complete resolution of her
anterior disc derangement with reduction.
PMID- 29803230
TI - 14th International Congress of Update in Cardiology and Cardiovascular Surgery,
April 5 to 8: Presidential Invitation.
PMID- 29803228
TI - Reduced gray matter volume and respiratory dysfunction in Parkinson's disease: a
voxel-based morphometry study.
AB - BACKGROUND: The respiratory dysfunction of patients with Parkinson's disease (PD)
has drawn increasing attention. This study evaluated the relationship between
gray matter volume (GMV), as determined by voxel-based morphometry (VBM), and
respiratory dysfunction in patients with PD and correlated it with systemic
inflammatory markers. METHODS: Whole-brain VBM analysis was performed on 3
dimensional T1-weighted images in 25 PD patients with abnormal pulmonary function
(13 men, 12 women; mean age: 62.9 +/- 10.8 years) and, for comparison, on 25 sex-
and age-matched PD patients with normal pulmonary function (14 men, 11 women;
mean age: 62.3 +/- 6.9 years). Inflammatory markers were determined by flow
cytometry. The differences and correlations in regional GMV, clinical severity
and inflammatory markers were determined after adjusting for age, gender and
total intracranial volume (TIV). RESULTS: Compared with the normal pulmonary
function group, the abnormal pulmonary function group had smaller GMV in several
brain regions, including the left parahippocampal formation, right fusiform
gyrus, right cerebellum crus, and left postcentral gyri. Forced expiratory volume
in 1 s (FEV1) and maximal expiratory flow after expiration of 50% of forced vital
capacity (MEF50) were positively correlated with regional GMV. There were no
significant differences in the level of serum inflammatory markers between two
groups. CONCLUSION: Our findings suggested that involvement of the central
autonomic network and GM loss may underlie the respiratory dysfunction in PD
patients.
PMID- 29803231
TI - Epicardial fat and cardiovascular disease.
PMID- 29803232
TI - APIC membership keeps you at the forefront of infection prevention.
PMID- 29803233
TI - "Effectiveness of a shielded ultraviolet C air disinfection system in an
inpatient pharmacy of a tertiary care children's hospital" Lacks scientific
evidence.
PMID- 29803234
TI - Predictors for gut colonization of carbapenem-resistant Enterobacteriaceae in
neonates in a neonatal intensive care unit.
AB - BACKGROUND: With the emergence of carbapenem-resistant isolates, the therapeutic
alternatives have become limited. Various factors are responsible for carbapenem
resistant Enterobacteriaceae (CRE) gut colonization. This study was conducted to
determine predictors for CRE gut colonization in neonates who were hospital
delivered and admitted in a neonatal intensive care unit (NICU). METHODS: Three
rectal swabs were collected from 300 hospital-delivered and NICU-admitted
neonates (likely to stay for >3 days). The data collected for the possible risk
factors for CRE gut colonization were namely mode of delivery, prolonged rupture
of membrane >18 hours, period of gestation, birth weight, meconium-stained
liquor, ventilation, intravenous catheter, nasogastric (NG) tube, NG feeding,
breastfeeding, katori spoon feeding, top feeding, expressed breastmilk,
antibiotics administration, and duration of hospitalization. P < .05 was
considered as statistically significant. RESULTS: A total of 26 cases of CRE were
isolated from 300 neonates. Statistically significant risk factors were found to
be NG tube, breastfeeding, NG feeding, top feeding, expressed breastmilk,
ventilation, antibiotic administration, and duration of hospitalization. Top
feeding and antibiotics administration were identified as 2 independent risk
factors by multiple logistic regression. CONCLUSIONS: Active surveillance of
cultures from hospitalized patients and implementation of preventive efforts can
reduce the risk of CRE.
PMID- 29803235
TI - Corrigendum to "Quercetin promotes motor and sensory function recovery following
sciatic nerve-crush injury in C57BL/6J mice" [J Nutr Biochem 46 (Aug 2017) 57
67].
PMID- 29803236
TI - Children born to mothers in their 20s and fathers in their 40s: Evidence for an
increased low birth weight and Cesarean Section deliveries in Lebanon.
AB - PURPOSE: Much less is known about the effect of advanced paternal age (APA) (>=40
years) than advanced maternal age on neonatal morbidity. More specifically, the
correlation with low birth weight remains inconsistent. This study aims to
determine whether APA among mothers aged 20-29 years is associated with a higher
risk of low birth weight and other neonatal outcomes. METHODS: Data from 2004 to
2008 database of the National Collaborative Perinatal Neonatal Network were used.
Fifteen thousand two hundred eighteen live birth singleton pregnancies by
primigravida mothers aged 20-29 years were analyzed. Study variables include
paternal age (years), C-section delivery (yes/no), low birth weight (<2500 g),
and preterm births (<37 weeks of gestation). Regressions were generated
controlling for birth defects, consanguinity, maternal education, prenatal care,
gender, and maternal smoking. RESULTS: Adjusted odds of Low Birth Weight (LBW)
and Cesarean Section Deliveries (CSD) were significantly higher in infants of APA
fathers compared to those of fathers aged 30-35 years [adjusted odds ratio (95%
confidence interval) = 1.5 (1.1, 2.3) and 1.4 (1.1, 1.7), respectively]. Paternal
ages of 35-39 years compared to less than 30 years were associated with higher
odds of CSD [adjusted odds ratio = 1.4 (1.2, 1.5)]. APA was not associated with
preterm birth after adjustment. CONCLUSIONS: This study supports the association
between APA and increased risk for LBW and CSD.
PMID- 29803237
TI - Erratum.
PMID- 29803238
TI - ECG, June 2018.
PMID- 29803239
TI - Response to ECG, May 2018.
PMID- 29803240
TI - Invited Commentary.
PMID- 29803241
TI - Invited Commentary.
PMID- 29803242
TI - Invited Commentary.
PMID- 29803243
TI - Invited commentary.
PMID- 29803244
TI - Invited Commentary.
PMID- 29803245
TI - Invited Commentary.
PMID- 29803246
TI - Invited Commentary.
PMID- 29803247
TI - Invited Commentary.
PMID- 29803248
TI - Invited Commentary.
PMID- 29803249
TI - Invited Commentary.
PMID- 29803250
TI - Invited Commentary.
PMID- 29803251
TI - Surgical Stabilization of Rib Fractures: Another Institution's Experience.
PMID- 29803252
TI - Emergency General Surgery as a Surgical Subspecialty: A Major Milestone.
PMID- 29803253
TI - June 2018 Featured Articles, Volume 226.
PMID- 29803254
TI - Invited Commentary.
PMID- 29803255
TI - Invited Commentary.
PMID- 29803256
TI - Invited Commentary.
PMID- 29803257
TI - Invited Commentary.
PMID- 29803258
TI - Invited Commentary.
PMID- 29803259
TI - Transgender health: access to care under threat.
PMID- 29803260
TI - Novel diabetes subgroups.
PMID- 29803261
TI - Novel diabetes subgroups.
PMID- 29803262
TI - Novel diabetes subgroups.
PMID- 29803263
TI - Novel diabetes subgroups.
PMID- 29803264
TI - Novel diabetes subgroups - Authors' reply.
PMID- 29803265
TI - Research digest: assessment and risks of obesity.
PMID- 29803266
TI - Correction to Lancet Diabetes Endocrinol 2018; 6: 370-81.
PMID- 29803267
TI - Correction to Lancet Diabetes Endocrinol 2018; 6: 82-83.
PMID- 29803269
TI - Modified Version of Baby-Led Weaning Does Not Result in Lower Zinc Intake or
Status in Infants: A Randomized Controlled Trial.
AB - BACKGROUND: Little is known about zinc intakes and status during complementary
feeding. This is particularly true for baby-led approaches, which encourage
infants to feed themselves from the start of complementary feeding, although self
feeding may restrict the intake of zinc-rich foods. OBJECTIVE: To determine the
zinc intakes, sources, and biochemical zinc status of infants following Baby-Led
Introduction to SolidS (BLISS), a modified version of Baby-Led Weaning (BLW),
compared with traditional spoon-feeding. DESIGN: Secondary analysis of the BLISS
randomized controlled trial. PARTICIPANTS/SETTING: Between 2012 and 2014, 206
community-based participants from Dunedin, New Zealand were randomized to a
Control or BLISS group. INTERVENTION: BLISS participants received eight study
visits (antenatal to 9 months) providing education and support regarding BLISS
(ie, infant self-feeding from 6 months with modifications to address concerns
about iron, choking, and growth). MAIN OUTCOME MEASURES: Dietary zinc intakes at
7 and 12 months (weighed 3-day diet records) and zinc status at 12 months (plasma
zinc concentration). STATISTICAL ANALYSES PERFORMED: Regression analyses were
used to investigate differences in dietary intakes and zinc status by group,
adjusted for maternal education and parity and infant age and sex. RESULTS: There
were no significant differences in zinc intakes between BLISS and Control infants
at 7 (median: 3.5 vs 3.5 mg/day; P=0.42) or 12 (4.4 vs 4.4 mg/day; P=0.86)
months. Complementary food groups contributing the most zinc at 7 months were
"vegetables" for Control infants, and "breads and cereals" for BLISS infants,
then "dairy" for both groups at 12 months. There was no significant difference in
mean+/-standard deviation plasma zinc concentration between the Control (62.8+/
9.8 MUg/dL [9.6+/-1.5 MUmol/L]) and BLISS (62.8+/-10.5 MUg/dL [9.6+/-1.6
MUmol/L]) groups (P=0.75). CONCLUSIONS: BLISS infants achieved similar zinc
intake and status to Control infants. However, the BLISS intervention was
modified to increase iron intake, which may have improved zinc intake, so these
results should not be generalized to infants following unmodified BLW.
PMID- 29803268
TI - Worldwide burden of cancer attributable to diabetes and high body-mass index: a
comparative risk assessment.
AB - BACKGROUND: Diabetes and high body-mass index (BMI) are associated with increased
risk of several cancers, and are increasing in prevalence in most countries. We
estimated the cancer incidence attributable to diabetes and high BMI as
individual risk factors and in combination, by country and sex. METHODS: We
estimated population attributable fractions for 12 cancers by age and sex for 175
countries in 2012. We defined high BMI as a BMI greater than or equal to 25
kg/m2. We used comprehensive prevalence estimates of diabetes and BMI categories
in 2002, assuming a 10-year lag between exposure to diabetes or high BMI and
incidence of cancer, combined with relative risks from published estimates, to
quantify contribution of diabetes and high BMI to site-specific cancers,
individually and combined as independent risk factors and in a conservative
scenario in which we assumed full overlap of risk of diabetes and high BMI. We
then used GLOBOCAN cancer incidence data to estimate the number of cancer cases
attributable to the two risk factors. We also estimated the number of cancer
cases in 2012 that were attributable to increases in the prevalence of diabetes
and high BMI from 1980 to 2002. All analyses were done at individual country
level and grouped by region for reporting. FINDINGS: We estimated that 5.7% of
all incident cancers in 2012 were attributable to the combined effects of
diabetes and high BMI as independent risk factors, corresponding to 804 100 new
cases. 187 600 (24.5%) of 766 000 cases of liver cancer and 121 700 (38.4%) of
317 000 cases of endometrial cancer were attributable to these risk factors. In
the conservative scenario, about 4.5% (629 000 new cases) of all incident cancers
assessed were attributable to diabetes and high BMI combined. Individually, high
BMI (544 300 cases) was responsible for almost twice as many cancer cases as
diabetes (293 300 cases). 25.8% of diabetes-related cancers (equating to 75 600
new cases) and 31.9% of high BMI-related cancers (174 040 new cases) were
attributable to increases in the prevalence of these risk factors from 1980 to
2002. INTERPRETATION: A substantial number of cancer cases are attributable to
diabetes and high BMI. As the prevalence of these cancer risk factors increases,
clinical and public health efforts should focus on identifying optimal preventive
and screening measures for whole populations and individual patients. FUNDING:
NIHR and Wellcome Trust.
PMID- 29803271
TI - Erratum.
PMID- 29803270
TI - Comparing Reported Dietary Supplement Intakes between Two 24-Hour Recall Methods:
The Automated Self-Administered 24-Hour Dietary Assessment Tool and the Interview
Administered Automated Multiple Pass Method.
AB - BACKGROUND: The Automated Self-Administered 24-hour Dietary Assessment Tool
(ASA24) includes a highly standardized multipass web-based recall that, like the
Automated Multiple Pass Method (AMPM), captures detailed information about
dietary intake using multiple probes and reminders to enhance recall of intakes.
The primary distinction between ASA24 and AMPM is that the ASA24 user interface
guides participants, thus removing the need for interviewers. OBJECTIVE: The
objective of this study was to compare dietary supplement use reported on self
administered (ASA24-2011) vs interviewer-administered (AMPM) 24-hour recalls.
DESIGN: The Food Reporting Comparison Study was an evaluation study designed to
compare self-reported intakes captured using the self-administered ASA24 vs data
collected via interviewer-administered AMPM recalls. Between 2010 and 2011, 1081
women and men were enrolled from three integrated health care systems that belong
to the National Cancer Institute-funded Cancer Research Network: Security Health
Plan Marshfield Clinic, Wisconsin; Henry Ford Health System, Michigan; and Kaiser
Permanente Northern California, California. Quota sampling was used to ensure a
balance of age, sex, and race/ethnicity. Participants were randomly assigned to
four groups, and each group was asked to complete two dietary recalls: group 1,
two ASA24s; group 2, two AMPMs; group 3, ASA24 first and AMPM second; and group
4, AMPM first and ASA24 second. Dietary supplements were coded using the 2007
2008 National Health and Nutrition Examination Survey Dietary Supplement
Database. Analyses used the two one-sided tests, known as TOST, to assess
equivalence of reported supplement use between methods. RESULTS: Complete 24-hour
dietary recalls that included both dietary and supplement intake data were
available for 1076 participants (507 men and 569 women). The proportions
reporting supplement use via ASA24 and AMPM were 46% and 43%, respectively. These
proportions were equivalent, with a small effect size of less than 20%. There
were two exceptions in subgroup analyses: reported use among those 40 to 59 years
of age and reported use by non-Hispanic black subjects were higher for ASA24 than
AMPM. CONCLUSIONS: This study provides evidence that there is little difference
in reported supplement use by mode of administration (ie, interview-administered
vs self-administered recall).
PMID- 29803272
TI - Introducing the Academy's 2018-2019 President: Mary K. Russell, MS, RDN, LDN,
FAND.
PMID- 29803273
TI - Effective National Menu Labeling Requires Accuracy and Enforcement.
PMID- 29803274
TI - Evolving Complexity in Hemophilia Management.
AB - Rapid expansion of therapeutic options have increased the complexity of
hemophilia care. Previously, on-demand therapy aimed to reduce morbidity and
early mortality; however, now aggressive prophylaxis, particularly in children,
encourages an active lifestyle. Accurate diagnosis, recognition of early threats
to musculoskeletal health, and optimization of therapy are critical for both
males and females affected by hemophilia. The diversity of emerging hemophilia
therapies, from modified factor protein concentrates, to gene therapy, to
nonfactor hemostatic strategies, provide an exciting opportunity to target unmet
needs in the bleeding disorder community.
PMID- 29803275
TI - Treatment Options for Sickle Cell Disease.
AB - Sickle cell disease (SCD) complications begin with the polymerization of sickle
hemoglobin (HbS). Thus, SCD therapies are focused on preventing HbS production or
reducing the circulating amount of HbS. Hydroxyurea treatment has become more
widespread, whereas the number of evidence-based indications for erythrocyte
transfusion is small. Hematopoietic stem cell transplant is a curative option for
SCD but less than 25% of patients have a suitable donor. This article focuses on
supportive and preventive care improvements and the benefits of hydroxyurea.
Indications for erythrocyte transfusion, hematopoietic stem cell transplant, and
gene therapy trials are also summarized.
PMID- 29803276
TI - A Scientific Renaissance: Novel Drugs in Sickle Cell Disease.
AB - We have entered an era of exploding interest in therapeutics for sickle cell
disease. The expansion in our understanding of sickle cell disease
pathophysiology has enhanced the range of potential therapeutic targets. From
induction of fetal hemoglobin to antiadhesion molecules, we are potentially on
the cusp of making life-altering modifications for individuals with sickle cell
disease. This disease population cannot afford to let the current momentum wane.
Studies exploring combinations of therapies affecting multiple steps in the
pathophysiology and exploring novel and clinically relevant outcomes are
incumbent.
PMID- 29803277
TI - Genetic Therapies for Sickle Cell Disease.
AB - Sickle cell disease is the most prevalent monogenic disorder worldwide and
curative therapies are limited to hematopoietic stem cell transplant to the few
with matched donors. Gene therapy has curative potential, whereby autologous
hematopoietic stem cells are genetically modified and transplanted, which would
not be limited by matched donors, resulting in 1-time, life-long correction
devoid of immune side effects. Significant progress has been made to clinically
translate gene therapy for sickle cell disease using lentivirus vectors carrying
antisickling genes. This review focuses on the current state of the field,
factors that determine clinical success, gene editing, and future prospects.
PMID- 29803278
TI - The Epidemiology and Management of Lung Diseases in Sickle Cell Disease: Lessons
Learned from Acute and Chronic Lung Disease in Cystic Fibrosis.
AB - Although sickle cell disease and cystic fibrosis are two of the most common
monogenic diseases presenting in childhood worldwide, cystic fibrosis and sickle
cell disease enjoy vastly different funding and collaborative research efforts.
Pulmonary complications in cystic fibrosis have well established guidelines and
multidisciplinary involvement focusing on comorbidities, routine monitoring,
infectious complications, nutrition, and treatment recommendations. These
guidelines can provide a framework on which to build knowledge of lung disease in
sickle cell disease.
PMID- 29803279
TI - Pulmonary Embolism in Children.
AB - Pulmonary embolism (PE) in children is a rare condition with potential for high
mortality. PE incidence is increasing owing to increased survival of children
with predisposing conditions, increased use of central venous catheters, and
improved awareness and recognition. Although pediatric PE is distinct from adult
PE, management guidelines in children are extrapolated from the adult data.
Treatment includes thrombolysis or thrombectomy, and pharmacologic
anticoagulation. Ongoing clinical trials are evaluating the use of direct oral
anticoagulants in children. Further research is required to develop pediatric
specific evidence-based guidelines for diagnosis and management of PE.
PMID- 29803280
TI - Atypical Hemolytic Uremic Syndrome.
AB - Atypical hemolytic uremic syndrome is a rare life-threatening disease of
unregulated complement activation. Untreated, the prognosis is generally poor;
more than one-half of patients die or develop end-stage renal disease within 1
year. Atypical hemolytic uremic syndrome is characterized by thrombotic
microangiopathy with evidence of hemolysis, thrombocytopenia, and renal
impairment. This systemic disease affects the kidneys, brain, heart, lungs,
gastrointestinal tract, pancreas, and skin. Acquired and genetic abnormalities of
complement regulation may be identified in approximately 70% of patients. Plasma
therapy is generally ineffective. Eculizumab blocks terminal complement
activation, prevents complement-mediated organ damage, and is currently
recommended as front-line therapy.
PMID- 29803281
TI - von Willebrand Disease: Diagnostic Strategies and Treatment Options.
AB - von Willebrand disease (VWD) is one of the most common inherited bleeding
disorders. Since its first description in 1926, the diagnosis and management of
VWD has significantly improved due to increasing scientific knowledge of the
genetics and biology of von Willebrand factor (VWF). This article reviews the
molecular structure and function of VWF as well as the clinical symptoms,
laboratory-based diagnostic workup, and classification schema for VWD. It
highlights current treatment options and state-of-the art research in VWF and
VWD.
PMID- 29803283
TI - Congenital Disorders of Platelet Function and Number.
AB - Mucocutaneous bleeding symptoms and/or persistent thrombocytopenia occur in
individuals with congenital disorders of platelet function and number. Apart from
bleeding, these disorders are often associated with additional hematologic and
clinical manifestations, including auditory, immunologic, and oncologic disease.
Autosomal recessive, dominant, and X-linked inheritance patterns have been
demonstrated. Precise delineation of the molecular cause of the platelet disorder
can aid the pediatrician in the detection and prevention of specific disorder
associated manifestations and guide appropriate treatment and anticipatory care
for the patient and family.
PMID- 29803284
TI - Red Blood Cell Enzyme Disorders.
AB - Mature red blood cells are reliant on the glycolytic pathway for energy
production and the hexose monophosphate shunt for cell protection from oxidative
insults. The most common red blood cell enzyme disorders are characterized by
hemolysis but with wide clinical variability. Glucose-6-phosphate dehydrogenase
deficiency is the most common red cell enzyme disorder worldwide. Frequent
clinical presentations include neonatal jaundice and episodic hemolysis after
exposure to oxidative stress. Symptoms of pyruvate kinase deficiency and other
glycolytic enzyme disorders include neonatal jaundice, chronic hemolytic anemia,
gallstones, and transfusion-related and transfusion-independent iron overload.
Diagnosis is critical for appropriate supportive care, monitoring, and treatment.
PMID- 29803282
TI - Abnormal Uterine Bleeding in Young Women with Blood Disorders.
AB - Abnormal uterine bleeding is common in adolescents and is thought to affect 9% to
14% of women in their reproductive years. Certain unique aspects of underlying
inherited or acquired blood disorders exacerbate the "expected" hormonal
imbalance at this age, thereby increasing the morbidity of the underlying
problem. A multifactorial etiology demands a collaborative approach between
hematologists and gynecologists or adolescent medicine physicians to effectively
manage abnormal uterine bleeding in young women with blood disorders.
PMID- 29803285
TI - Acquired Aplastic Anemia: What Have We Learned and What Is in the Horizon?
AB - Acquired aplastic anemia (aAA) characterized by peripheral pancytopenia and bone
marrow aplasia is a rare and serious disorder. Differential diagnosis includes
constitutional bone marrow failure syndromes and myelodysplastic disorders.
Autoimmune reaction to altered hematopoietic stem cells highlights the underlying
mechanism. Matched related donor allogeneic hematopoietic stem cell
transplantation is the ideal pediatric treatment; alternative approaches include
immunosuppressive therapy and use of eltrombopag. Progression to clonal disorders
can occur. Recently, alternative donor hematopoietic stem cell transplantation
outcomes have significantly improved. Despite advances, aAA continues to be a
challenge for hematologists.
PMID- 29803288
TI - Benign Hematology.
PMID- 29803286
TI - Management of Epistaxis in Children and Adolescents: Avoiding a Chaotic Approach.
AB - This article provides an organized foundation that facilitates the management of
acute epistaxis and an understanding of features that merit further diagnostic
workup. Prompt management, including measures such as holding pressure and using
nasal packing, takes precedence over comprehensive diagnostic workup. Severe,
recurrent, and posteriorly based bleeds should prompt consideration of alternate
interventions and expert consultation.
PMID- 29803287
TI - Living up to Its Name: Advances in Benign Hematology.
PMID- 29803289
TI - Editorial for ESCMID Study Group for Infections in Compromised Hosts (ESGICH)
Consensus Document on the safety of targeted and biological therapies: an
infectious diseases perspective.
PMID- 29803291
TI - Corrigendum to "Development and characterization of cuttlefish (Sepia
officinalis) skin gelatin-protein isolate blend films" [Int. J. Biol. Macromol.
105 (2017) 1491-1500].
PMID- 29803292
TI - Corrigendum to "Left hemisphere specialization for word reading potentially
causes, rather than results from, a left lateralized bias for high spatial
frequency visual information" [Cortex 72 (2015) 27-39].
PMID- 29803290
TI - Monocytic myeloid-derived suppressor cells generated from rhesus macaque bone
marrow enrich for regulatory T cells.
AB - Putative monocytic myeloid-derived suppressor cells (mMDSC; lineage-HLA-DR-/lo)
were generated in 7-day cultures from normal rhesus macaque bone marrow (BM)
cells in GM-CSF and IL-6. Three subsets were identified based on their
differential expression of CD14, CD33, CD34 and CD11b. Following flow sorting,
assessment of the capacity of these subsets to suppress anti-CD3/CD28-stimulated
CD4 and CD8 T cell proliferation revealed that the most potent population was
CD14hiCD33-/loCD34loCD11bhi. These BM-derived mMDSC markedly increased the
incidence of CD4+CD25+CD127-Foxp3+ regulatory T cells in responder T cell
populations. They offer potential value in testing the therapeutic efficacy of
immunoregulatory mMDSC for the promotion of tolerance in nonhuman primate
transplant models.
PMID- 29803293
TI - Nephrology from a gender perspective.
PMID- 29803294
TI - Corrigendum to "Neuromuscular synapse degeneration without muscle function loss
in the diaphragm of a murine model for Huntington's Disease" [Neurochem. Int. 116
(2018) 30-42].
PMID- 29803295
TI - Symptom science in heart failure.
PMID- 29803296
TI - Exploring the relationship between beta-adrenergic receptor kinase-1 and physical
symptoms in heart failure.
AB - BACKGROUND: The relationship between physical heart failure (HF) symptoms and
pathophysiological mechanisms is unclear. OBJECTIVE: To quantify the relationship
between plasma beta-adrenergic receptor kinase-1 (betaARK1) and physical symptoms
among adults with HF. METHODS: We performed a secondary analysis of data
collected from two studies of adults with HF. Plasma betaARK1 was quantified
using an enzyme-linked immunosorbent assay. Physical symptoms were measured with
the HF Somatic Perception Scale (HFSPS). Generalized linear modeling was used to
quantify the relationship between betaARK1 and HFSPS scores. RESULTS: The average
age (n = 94) was 54.5 +/- 13.1 years, 76.6% were male, and a majority (83.0%) had
Class III or IV HF. betaARK1 was significantly associated with HFSPS scores (beta
= 0.22 +/- 0.10, p = 0.038), adjusting for other predictors of physical symptoms
(model R2 = 0.250, F(7, 70) = 3.34, p = 0.004). CONCLUSIONS: Higher betaARK1 is
associated with worse physical HF symptoms, pinpointing a potential
pathophysiologic underpinning.
PMID- 29803297
TI - Mobile phone text messaging for improving secondary prevention in cardiovascular
diseases: A systematic review.
AB - OBJECTIVE: The aim of this study was to identify, retrieve, critically appraise
and synthesize information regarding existing mobile phone text messaging
interventions that have been done for secondary prevention of cardiovascular
disease (CVD). METHODS: A systematic review was conducted. The searching was
conducted by using the MEDLINE, EMBASE, PsychINFO, CINAHL, PubMed and
ScienceDirect databases. Nine randomized controlled trials (RCTs) were eligible
and included. RESULTS: The preventive factors measured among studies varied.
While the majority of studies examined medication adherence as a main outcome
(4), the other 3 studies focused on CVD risk factors combining blood pressure
(BP), smoking, body mass index (BMI), physical activity and dietary habits, only
2 studies examined both medication adherence and risk factor modification of CVD.
CONCLUSION: Even though mobile phone text messaging may be beneficial for the
secondary prevention of CVD, reliable conclusions on the effects of text
messaging cannot be drawn.
PMID- 29803298
TI - Right-sided infective mural endocarditis complicated by septic pulmonary embolism
and cardiac tamponade caused by MSSA.
AB - The appearance of right-sided mural infective endocarditis has rarely been
reported. Here, we report the case of a 40-year-old male with a history of
alcoholic liver disease who presented with a partial loss of consciousness and
fever. Chest computed tomography scans showed multiple pulmonary infiltration
sites and cavities. A repeat transthoracic echocardiogram detected a vegetation
on the right ventricular surface of the interventricular septum middle segment,
as well as pericardial effusion. Blood, pericardial fluid, sputum, and scalp
effusion cultures were positive for methicillin-sensitive Staphylococcus aureus.
We diagnosed the patient with infective mural endocarditis complicated by septic
pulmonary embolism, pericardial effusion, and cardiac tamponade. The patient was
successfully treated with pericardiocentesis and appropriate antibiotics. Right
sided mural endocarditis complicated by pericardial effusion and cardiac
tamponade is an uncommon condition in clinical practice. This case confirms the
usefulness of transthoracic echocardiography in the early recognition of primary
mural endocarditis and its associated complications.
PMID- 29803299
TI - Regenerative Medicine and Rehabilitation for Tendinous and Ligamentous Injuries
in Sport Horses.
AB - Tendon and ligament injuries are a common source of lameness in the athletic
horse. Although tendons and ligaments have the ability to spontaneously heal,
lesions tend to fill with biomechanically inferior fibrous tissue such that the
horse is prone to reinjury. Regenerative medicine is used to improve quality of
repair tissue and prevent reinjury. Platelet-rich plasma, stem cells, and
autologous conditioned serum are the most commonly used orthobiologics in the
horse. A tailored rehabilitation program is key to returning horses to
athleticism following injury. The specifics of regenerative medicine and
rehabilitation for tendonitis and desmitis in the horse are discussed.
PMID- 29803300
TI - Association between Clustering of Lifestyle Behaviors and Health-Related Physical
Fitness in Youth: The UP&DOWN Study.
AB - OBJECTIVES: To examine clustering of lifestyle behaviors in Spanish children and
adolescents based on screen time, nonscreen sedentary time, moderate-to-vigorous
physical activity, Mediterranean diet quality, and sleep time, and to analyze its
association with health-related physical fitness. STUDY DESIGN: The sample
consisted of 1197 children and adolescents (597 boys), aged 8-18 years, included
in the baseline cohort of the UP&DOWN study. Moderate-to-vigorous physical
activity was assessed by accelerometry. Screen time, nonscreen sedentary time,
Mediterranean diet quality, and sleep time were self-reported by participants.
Health-related physical fitness was measured following the Assessing Levels of
Physical Activity battery for youth. A 2-stage cluster analysis was performed
based on the 5 lifestyle behaviors. Associations of clusters with fatness and
physical fitness were analyzed by 1-way ANCOVA. RESULTS: Five lifestyle clusters
were identified: (1) active (n = 171), (2) sedentary nonscreen sedentary time
high diet quality (n = 250), (3) inactive-high sleep time (n = 249 [20.8%]), (4)
sedentary nonscreen sedentary time-low diet quality (n = 273), and (5) sedentary
screen time-low sleep time (n = 254). Cluster 1 was the healthiest profile in
relation to health-related physical fitness in both boys and girls. In boys,
cluster 3 had the worst fatness and fitness levels, whereas in girls the worst
scores were found in clusters 4 and 5. CONCLUSIONS: Clustering of different
lifestyle behaviors was identified and differences in health-related physical
fitness were found among clusters, which suggests that special attention should
be given to sedentary behaviors in girls and physical activity in boys when
developing childhood health prevention strategies focusing on lifestyles
patterns.
PMID- 29803301
TI - Food Protein-Induced Enterocolitis Instead of Necrotizing Enterocolitis? A
Neonatal Intensive Care Unit Case Series.
AB - Necrotizing enterocolitis is an important disease in infants born premature.
However, other disease entities present with similar signs and symptoms. This
series reviews 5 atypical cases initially diagnosed as necrotizing enterocolitis
that may be more consistent with food protein-induced enterocolitis. Food protein
induced enterocolitis may be underdiagnosed in this population.
PMID- 29803302
TI - Cardiopulmonary Adaptation During First Day of Life in Human Neonates.
AB - OBJECTIVE: To characterize the natural history of cardiopulmonary physiology in
the first 24 hours after birth. STUDY DESIGN: A prospective observational study
of healthy newborns was conducted at a large tertiary perinatal center.
Echocardiography was performed at <0.5, 2-3, 7-10, and 22-24 hours of age.
Specifically, assessment of pulmonary vascular resistance (PVR) (pulmonary artery
acceleration time [PAAT], right ventricular ejection time, right ventricular
ejection time:PAAT [PVR index], and PAAT indexed to heart rate [PAATi]),
ventricular outputs (right and left), and ventricular function (tricuspid annular
planar excursion, right ventricular [RV] fractional area change [FAC], RV/left
ventricular [LV] global peak longitudinal strain, and LV ejection fraction) were
performed. One-way repeated-measures ANOVA analysis was performed for time
dependent variables. RESULTS: In total, 15 neonates (9 males), born at 40 +/- 0.8
weeks and 3.5 +/- 0.5 kg, respectively, were studied. We observed increased PAATi
(P < .05) by 2-3 hours, followed by a subsequent decline in all indices of PVR
(PVR index, PAATi, midsystolic notching, and right-to-left ductal flow [P <
.0001]). Although right and left ventricular stroke volume increased over the
study interval (P < .001), LV output remained stable. All indices of RV function
(tricuspid annular planar excursion, RV fractional area change 4-chamber, and RV
global peak longitudinal strain-3 chamber [P < .001]) increased during the study
interval. CONCLUSION: The immediate transition after birth is characterized by
lower PVR, reversal of the transductal shunt, and increased biventricular stroke
volume. The differential adaptive response of the RV and LV is novel and may
relate to loading conditions and patent ductus arteriosus closure.
PMID- 29803303
TI - The Oro-Helical Length Accurately Predicts Endotracheal Tube Insertion Depth in
Neonates.
AB - We evaluated the reliability of the oro-helical length in predicting the ideal
endotracheal tube depth in neonates and found the oro-helical length was a
consistently more reliable and better predictor of the ideal endotracheal tube
depth on chest radiograph than the 7-8-9 rule, especially in infants weighing
<=1500 g.
PMID- 29803304
TI - Early surgical management for giant omphalocele: Results and prognostic factors.
AB - OBJECTIVES: Giant omphalocele often represents a major surgical challenge and is
reported with high mortality and morbidity rates. The aim of this study was to
assess the outcome of neonates with giant omphalocele managed with early
operative surgical treatment, and subsequently to identify possible factors that
could alter the prognosis. METHODS: We reviewed the medical records of 29
consecutive newborns with prenatally diagnosed giant omphalocele. In these cases
one of two procedures had been performed: either staged closure after silo, or
immediate closure with a synthetic patch. The cases were separated into 2 groups:
Isolated giant omphalocele (IO group) and giant omphalocele associated with
malformation (NIO group). RESULTS: Infants in the IO group had a lower size of
the omphalocele (p<0,001), a shorter hospital stay (95 days [45-915] vs. 41.5
days [10-110] p= 0, 02), and a shorter median ventilation length (10 days [1-33]
vs. 27, 5 [6-65] p = 0, 05). In the NIO group, 5 cases displayed a significantly
more difficult course than the others. They were compared to the remaining cases
for prenatal and anatomic features. Four factors associated with greater
morbidity were identified: CONCLUSIONS: Isolated omphalocele, even containing the
whole liver, has a very good prognosis with early surgical treatment. Without
associated anomalies, 95% of giant omphaloceles can be discharged with a median
of 41.5 days in hospital. However, associated anomalies (especially
cardiopathies) may burden the prognosis and should be both carefully assessed
during pregnancy and taken into account in parental information. TYPE OF STUDY:
Retrospective Study LEVEL OF EVIDENCE: Level I.
PMID- 29803305
TI - Meconium-stained amniotic fluid as a predictor of poor outcomes in gastroschisis.
AB - OBJECTIVE: The aim of this study was to determine if meconium-stained amniotic
fluid (MSAF) was associated with neonatal outcomes in gastroschisis. STUDY
DESIGN: A retrospective chart review of gastroschisis patients from 2000 to 2014
at a single, tertiary institution was performed. Statistical analysis was
performed with Fisher exact test, Welch's t-test, logistic regression and/or
linear regression with significance at p < 0.05. RESULTS: Sixty-four of 135
(47.4%) gastroschisis patients had MSAF. On univariate analysis, patients with
MSAF were more likely to require staged closure (30 (46.9%) vs. 18(25.4%), p =
0.012), had more ventilator days (8.9 +/- 11.1 vs. 5.3 +/- 6.3, p = 0.021) and
longer times to commence enteral feeds (24.9 +/- 21.7 vs. 18.5 +/- 14.5, p =
0.045). However, multi-variate regression analysis controlling for the type of
closure, showed that delayed closure, but not MSAF, was associated with worse
outcomes. CONCLUSIONS: In gastroschisis patients, MSAF is associated with delayed
closure but is not associated with outcomes independent of closure type. This
association may be because of the matting of the bowel or increased intestinal
damage. The MSAF status will aid in setting expectations for parents during their
initial NICU stay and further investigation is warranted. TYPE OF STUDY: Clinical
Research Paper Level of evidence: III.
PMID- 29803306
TI - Aspects to be clarified on the prognosis role of the systolic arterial pressure
in heart failure.
PMID- 29803307
TI - OpenSim as a preliminary kinematic testing platform for the development of total
knee arthroplasty implants.
AB - The design of a total knee replacement implant needs to take account the complex
surfaces of the knee which it is replacing. Ensuring design performance of the
implant requires in vitro testing of the implant. A considerable amount of time
is required to produce components and evaluate them inside an experimental
setting. Numerous adjustments in the design of an implant and testing each
individual design can be time consuming and expensive. Our solution is to use the
OpenSim simulation software to rapidly test multiple design configurations of
implants. This study modeled a testing rig which characterized the motion and
laxity of knee implants. Three different knee implant designs were used to test
and validate the accuracy of the simulation: symmetrical, asymmetric, and
anatomic. Kinematics were described as distances measured from the center of each
femoral condyle to a plane intersecting the most posterior points of the tibial
condyles between 0 and 135 degrees of flexion with 15 degrees increments.
Excluding the initial flexion measurement (~0 degrees ) results, the absolute
differences between all experimental and simulation results (neutral path,
anterior-posterior shear, internal-external torque) for the symmetric,
asymmetric, and anatomical designs were 1.98 mm +/- 1.15, 1.17 mm +/- 0.89, and
1.24 mm +/- 0.97, respectively. Considering all designs, the accuracy of the
simulation across all tests was 1.46 mm +/- 1.07. It was concluded that the
results of the simulation were an acceptable representation of the testing rig
and hence applicable as a design tool for new total knees.
PMID- 29803308
TI - Wind and fairness in ski jumping: A computer modelling analysis.
AB - Wind is closely associated with the discussion of fairness in ski jumping. To
counter-act its influence on the jump length, the International Ski Federation
(FIS) has introduced a wind compensation approach. We applied three differently
accurate computer models of the flight phase with wind (M1, M2, and M3) to study
the jump length effects of various wind scenarios. The previously used model M1
is accurate for wind blowing in direction of the flight path, but inaccuracies
are to be expected for wind directions deviating from the tangent to the flight
path. M2 considers the change of airflow direction, but it does not consider the
associated change in the angle of attack of the skis which additionally modifies
drag and lift area time functions. M3 predicts the length effect for all wind
directions within the plane of the flight trajectory without any mathematical
simplification. Prediction errors of M3 are determined only by the quality of the
input data: wind velocity, drag and lift area functions, take-off velocity, and
weight. For comparing the three models, drag and lift area functions of an
optimized reference jump were used. Results obtained with M2, which is much
easier to handle than M3, did not deviate noticeably when compared to predictions
of the reference model M3. Therefore, we suggest to use M2 in future
applications. A comparison of M2 predictions with the FIS wind compensation
system showed substantial discrepancies, for instance: in the first flight phase,
tailwind can increase jump length, and headwind can decrease it; this is opposite
of what had been anticipated before and is not considered in the current wind
compensation system in ski jumping.
PMID- 29803309
TI - Hypertrophic Cardiomyopathy Patients Undergoing Noncardiac Surgery: The
Proverbial Pandora's Box.
PMID- 29803310
TI - An Unexpected Finding During Aortic Valve Replacement and Coronary Artery
Surgery.
PMID- 29803311
TI - Chagas Cardiomyopathy: A Comprehensive Perioperative Review.
PMID- 29803312
TI - Validation by an interlaboratory collaborative trial of EN ISO 21528 -
microbiology of the food chain - horizontal methods for the detection and
enumeration of Enterobacteriaceae.
AB - The methods for the detection and enumeration of Enterobacteriaceae, described in
EN ISO 21528, parts 1 and 2, were validated by order of the European Commission
under the mandate M/381. Fourteen laboratories from seven European countries
participated in the collaborative trials, organized by The Netherlands Food and
Consumer Product Safety Authority (Wageningen/Utrecht, The Netherlands). Five
different matrices from different food categories were selected to be tested in
the collaborative trials, in order to validate the method horizontally, according
to ISO 16140. The matrices included meat, tiramisu, infant formula, liquid egg,
smoked salmon (detection method only) and animal feed (enumeration method only).
The raw meat and liquid egg were naturally contaminated and the other matrices
were artificially contaminated with a cocktail of four different
Enterobacteriaceae strains. The samples used in the trial were tested for
homogeneity and stability before distribution. The method for detection of
Enterobacteriaceae showed a specificity and sensitivity above 95% for all
matrices. The method for the enumeration had a repeatability limit r of 0.37
(expressed as a difference between log10-transformed test results) and a
reproducibility limit R of 0.87 (expressed as a difference between log10
transformed test results). The validation data were incorporated in the newly
published ISO standards EN ISO 21528:2017- Microbiology of the food chain -
Horizontal methods for the detection and enumeration of Enterobacteriaceae - Part
1: Detection method, and Part 2: Colony-count technique.
PMID- 29803313
TI - Validation of EN ISO 6579-1 - Microbiology of the food chain - Horizontal method
for the detection, enumeration and serotyping of Salmonella - Part 1 detection of
Salmonella spp.
AB - The European and International Standard method for the detection of Salmonella
spp. in samples from the primary production stage, EN ISO 6579:2002/Amd.1:2007,
was validated by an interlaboratory study in the frame of Mandate M/381, ordered
by the European Commission and accepted by the European Standardisation
Organisation (CEN). In addition to this study, results from two interlaboratory
studies organised earlier by the European Union Reference Laboratory (EURL) for
Salmonella were used for determination of the performance characteristics.
Parallel to the performance evaluation for the Mandate, the revision of EN ISO
6579:2002 started. Part of this revision was the incorporation of the
standardised method for detection of Salmonella in samples from the primary
production stage (EN ISO 6579:2002/Amd.1:2007) and its performance
characteristics in the new part 1 of EN ISO 6579. The 2002 version of EN ISO 6579
already contained performance characteristics for the detection of Salmonella in
food samples, but LOD50 values (contamination level at which 50% of the samples
are found positive) were not yet included. To be in line with the performance
characteristics determined for detection of Salmonella spp. in samples from the
primary production stage, LOD50 values for detection of Salmonella in food
samples were calculated from the raw data of the validation studies performed in
2000. In this paper, the performance characteristics of EN ISO 6579-1:2017 are
determined based not only on the results of the interlaboratory study carried out
in 2013 under the Mandate, but also on several other interlaboratory studies.
These performance characteristics consist of specificity, sensitivity and LOD50.
PMID- 29803314
TI - Prenatal Regeneration in Clinical Practice.
PMID- 29803315
TI - Fetoscopic Therapy for Severe Pulmonary Hypoplasia in Congenital Diaphragmatic
Hernia: A First in Prenatal Regenerative Medicine at Mayo Clinic.
AB - OBJECTIVE: To introduce the prenatal regenerative medicine service at Mayo Clinic
for fetal endoscopic tracheal occlusion (FETO) care for severe congenital
diaphragmatic hernia (CDH). PATIENTS AND METHODS: Two cases of prenatal
management of severe CDH with FETO between January and August 2017 are reported.
Per protocol, FETO was offered for life-threatening severe CDH at between 26 and
29 weeks' gestation. Regenerative outcome end point was fetal lung growth.
Gestational age at procedure and maternal and perinatal outcomes were additional
monitored parameters. RESULTS: Diagnosis by ultrasonography of severe CDH was
based on extremely reduced lung size (observed-to-expected lung area to head
circumference ratio [o/e-LHR], eg, o/e-LHR of 20.3% for fetus 1 and 23.0% for
fetus 2) along with greater than one-third of the liver herniated into the chest
in both fetuses. Both patients underwent successful FETO at 28 weeks. At the time
of intervention, no maternal or fetal complications were observed.
Postintervention, fetal lung growth was observed in both fetuses, reaching an o/e
LHR of 62.7% at 36 weeks in fetus 1 and 52.4% at 32 weeks in fetus 2. The
balloons were removed successfully at 35 weeks and 4 days by ultrasound-guided
puncture in the first patient and at 32 weeks and 3 days by ex utero intrapartum
therapy-to-airway procedure in the second patient. Postnatal management followed
standard of care with patch CDH therapy. At discharge, one patient was breathing
normally, whereas the other required minimal nasal cannula oxygen support.
CONCLUSION: The successful launch of the first fetoscopic therapy for CDH at Mayo
Clinic reveals its feasibility and safety, with early signs of benefit documented
by fetal lung growth and reversal of severe pulmonary hypoplasia. TRIAL
REGISTRATION: clinicaltrials.gov Identifier: G170062.
PMID- 29803317
TI - Uterine transposition after radical trachelectomy.
AB - Objective: To report the first uterine transposition for fertility sparing in
cervical cancer. Methods: We report a 33-year-old woman with stage Ib1 cervical
cancer (b2 cm in size) who had a radical trachelectomy that, after the definitive
pathological report, fulfilled the criteria for adjuvant radiotherapy. Results:
The patient had eggs retrieval and received gosereline 10.8 mg before surgery.
The uterine corpus and ovaries were detached from the previous vaginal
anastomosis, laparoscopically mobilized, and sutured in the right upper abdominal
wall with non-absorbable transparietal suture. The adjuvant external beam
radiotherapy (45 Gy) was delivered in the pelvis. One week after, the uterus and
ovaries were repositioned and sutured in the vagina. The patient had hospital
discharged in the 2 degrees post-operative day and no early complications.After
6 months of follow-up the patient has regular menses and no evidence of
recurrence. Conclusions: Uterine transposition is feasible after radical
trachelectomy in selected patients who still desire to preserve fertility.
However, further studies that address its effectiveness and safety are required.
PMID- 29803318
TI - Primary sarcoma of the pancreas: An unusual indication for
pancreaticoduodenectomy.
PMID- 29803316
TI - Adoptive cell transfer using autologous tumor infiltrating lymphocytes in
gynecologic malignancies.
AB - During the last decade, the field of cancer immunotherapy has been entirely
transformed by the development of new and more effective treatment modalities
with impressive response rates and the prospect of long survival. One of the
major breakthroughs is adoptive cell transfer (ACT) based on autologous T cells
derived from tumor-infiltrating lymphocytes (TILs). TIL-based ACT is a highly
personalized cancer treatment. T cells are harvested from autologous fresh tumor
tissues, and after ex vivo activation and extensive expansion, are reinfused to
patients. TIL-based therapies have only been offered in small phase I/II studies
in a few centers given the highly specialized care required, the complexity of
TIL production and the very intensive nature of the three-step treatment
protocol. The treatment includes high-dose lymphodepleting chemotherapy, the
infusion of the expanded and activated T cells and interleukin-2 (IL-2)
injections to increase survival of the T cells. Despite the limited data on ACT,
the small published studies consistently confirm an impressive clinical response
rate of up to 50% in metastatic melanoma patients, including a significant
proportion of patients with durable complete response. These remarkable results
justify the need for larger clinical trials in other solid tumors, including
gynecologic malignancies. In this review we provide an overview of the current
clinical results, future applications of TIL-based ACT in gynecologic
malignancies, and on risks and challenges associated with modern T cell therapy.
PMID- 29803320
TI - Plasma concentration based response surface model predict better than effect-site
concentration based model for wake-up time during gastrointestinal endoscopy
sedation.
AB - BACKGROUND: Sedation for esophagogastroduodenoscopy (EGD) and colonoscopy is
characterized by rapid patient induction and emergence. The drugs midazolam and
alfentanil have long been used for procedural sedation; however, the relationship
between plasma or effect-site concentrations (Cp or Ce, respectively) and
emergence remains unclear. The aim of this study is to develop patient wake-up
prediction models for both Cp and Ce using response surface modeling, a
pharmacodynamics tool for assessing patients' responses. METHODS: The Observer's
Alertness/Sedation (OAA/S) score was used to monitor sedation depth during the
examinations. Concentration pairs of midazolam and alfentanil were calculated for
each of Cp and Ce using pharmacokinetic simulation software. Response surface
models were developed using the Greco construct. Temporal analysis was done by
comparing model-predicted wake-up time with true patient wake-up time. RESULTS:
Thirty-three patients with an average body mass index of 21.85 +/- 2.3 kg/m2 were
pooled for analysis. The average duration of examination were 2.9 +/- 1.4 min for
EGD and 6.6 +/- 2.7 min for colonoscopy. Seventy-five concentration pairs of
midazolam and alfentanil were obtained for each Cp and Ce. The Cp-based Greco
response surface model showed significant synergy between midazolam and
alfentanil and was a better predictor of patient wake-up time, with an average
deviation of 1.0 +/- 3.9 min, while the Ce model show time deviation greater than
20 min. CONCLUSION: The early phases of drug distribution are unique and
complicated by nonsteady-state concentrations, and our study revealed that Ce
based wake-up time prediction is more difficult under these circumstances.
PMID- 29803321
TI - Biodiversity patterns of rock encrusting fauna from the shallow sublittoral of
the Admiralty Bay.
AB - The Antarctic sublittoral is one of the most demanding habitat for polar bottom
dwelling organisms, as the disturbance of this zone is highly intense. Rapid
changes in the marine environment, such as increases in atmosphere and surface
water temperatures, can cause dramatic changes in biodiversity, especially in
glacial fjords affected by heavy melt water inputs from the retreating glaciers.
In such areas, rocks are often an important support for local diversity,
providing habitats for a number of encrusting organisms. Thus, understanding the
patterns of diversity of shallow rock encrusting fauna and factors controlling it
are particularly important. The structure and diversity patterns of rock
encrusting fauna were examined from four ecologically contrasting sites in the
shallow sublittoral (6-20 m) of Admiralty Bay (King George Island). The results
revealed a rich and abundant encrusting community with bryozoans and polychaetes
outcompeting representatives of other fauna such as foraminifera and porifera.
Spatial variability in species composition, as well as biological parameters,
revealed the trend of encrusting assemblages declining towards the inner fjord
areas - strongly affected by high sediment input (species richness: 13.3 +/- 1.2,
and abundance: 68,932.99 +/- 11,915.98 individuals m-2 +/- standard error). In
contrast, at sites more open to the central basin, a peak of biological
parameters was observed (24.8 +/- 1.4 and 297,360.9 +/- 30,314.72, respectively).
We suggest that increased sedimentation was the major factor structuring the
encrusting assemblages in Ezcurra Inlet, masking the influence of other
parameters, such as food and light availability, which are important for the
distribution of epifauna. Thus, if the increasing intensity of glacial processes
will continue in the upcoming years, the diversity of the encrusting fauna in the
shallow sublittoral could dramatically decrease.
PMID- 29803322
TI - Erratum to "Effects of Zostera marina on the patterns of spatial distribution of
sediments and macrozoobenthos in the boreal lagoon of Furen (Hokkaido, Japan)"
[Mar. Environ. Res. 131 (2017) 90-102].
PMID- 29803319
TI - Genetic-Driven Druggable Target Identification and Validation.
AB - Choosing the right biological target is the critical primary decision for the
development of new drugs. Systematic genetic association testing of both human
diseases and quantitative traits, along with resultant findings of coincident
associations between them, is becoming a powerful approach to infer drug
targetable candidates and generate in vitro tests to identify compounds that can
modulate them therapeutically. Here, we discuss opportunities and challenges, and
infer criteria for the optimal use of genetic findings in the drug discovery
pipeline.
PMID- 29803323
TI - The effects of elevated CO2 on shell properties and susceptibility to predation
in mussels Mytilus edulis.
AB - For many species, ocean acidification (OA) is having negative physiological
consequences on their fitness and resilience to environmental change, but less is
known about the ecosystem effects of these changes. Here, we assess how OA
conditions predicted for 2100 affects the biological functioning of an important
habitat-forming species Mytilus edulis and its susceptibility to predation by a
key predator, the gastropod Nucella lapillus. Change in three physiological
parameters in Mytilus were assessed: (1) shell thickness and cross-sectional
surface area, (2) body volume and (3) feeding rate, as well as susceptibility to
predation by N. lapillus. Shell thickness and cross-section area, body volume and
feeding rate of Mytilus all reduced under OA conditions indicating compromised
fitness. Predation risk increased by ~26% under OA, suggesting increased
susceptibility of mussels to predation and/or altered predator foraging
behaviour. Notably, predation of large Mytilus - that were largely free from
predation under control conditions - increased by more than 8x under OA,
suggesting that body size was no longer a refuge. Our results suggest OA will
impact upon ecosystem structure and functioning and the continued provision of
ecosystem services associated with Mytilus reefs and the communities associated
with them.
PMID- 29803324
TI - Early Local Recurrence in a Patient With Encapsulated Papillary Carcinoma of the
Breast.
PMID- 29803326
TI - Consolidation of Actas Urologicas Espanolas.
PMID- 29803328
TI - Letter to the editor regarding A. Bonsignore, C. Palmiere, F. Buffelli, E.
Maselli, A. Marzullo, G. Fraternali Orcioni, F. Ventura, F. de Stefano, A.
Dell'Erba. When is myocarditis indeed the cause of death? Forensic Sci. Int. 285
(2018) 72-76.
PMID- 29803327
TI - [Indirect and mixed mechanisms of action in toxic myopathies].
AB - Toxic myopathies are a group of pathologies characterized by a structural and/or
functional disturbance of muscles induced by an exogenous agent. The most
frequent are those induced by drugs used in clinical practice. Illegal drugs,
pesticides, solvents, metals and even physical and gaseous agents can cause this
kind of disease and exert toxicity on muscle tissues. Some toxins from animals,
plants or micro-organisms are potent myotoxic agents, which can lead to fatal
complications. Respiratory arrest and rhabdomyolysis are often referred to as the
ultimate complications of these pathologies. Several mechanisms of action can be
triggered. Muscles may represent a direct target for exogenous agents by acting
on the myocyte components or indirect target by inducing functional disorders.
These disorders are triggered by neuromuscular interferences (organophosphates,
antipsychotics, curares, etc.) and endocrine (glucocorticoids and ethyl alcohol),
immune (d-penicillamine and statins) and hydroelectrolytic system dysfunctions
(diuretics, laxatives and toluene). Direct and indirect effects can be induced by
the same toxic agent, such as carbon monoxide, glucocorticoids, ethyl alcohol and
some toxins from snake venoms.
PMID- 29803325
TI - The Mexican consensus on the treatment of hepatitis C.
AB - The aim of the Mexican Consensus on the Treatment of HepatitisC was to develop
clinical practice guidelines applicable to Mexico. The expert opinion of
specialists in the following areas was taken into account: gastroenterology,
infectious diseases, and hepatology. A search of the medical literature was
carried out on the MEDLINE, EMBASE, and CENTRAL databases through keywords
related to hepatitisC treatment. The quality of evidence was subsequently
evaluated using the GRADE system and the consensus statements were formulated.
The statements were then voted upon, using the modified Delphi system, and
reviewed and corrected by a panel of 34 voting participants. Finally, the level
of agreement was classified for each statement. The present guidelines provide
recommendations with an emphasis on the new direct-acting antivirals, to
facilitate their use in clinical practice. Each case must be individualized
according to the comorbidities involved and patient management must always be
multidisciplinary.
PMID- 29803330
TI - The hypertensive disorders of pregnancy: ISSHP classification, diagnosis &
management recommendations for international practice.
PMID- 29803331
TI - Angiogenic profile in the Finnish Genetics of Pre-Eclampsia Consortium (FINNPEC)
cohort.
AB - OBJECTIVES: To study first and second/third trimester levels of soluble fms-like
tyrosine kinase 1 (sFlt1), placental growth factor (PlGF) and soluble endoglin
(sEng) in FINNPEC case-control cohort. The participants were further divided into
subgroups based on parity and onset of the disease. Recommended cut-off values in
aid of pre-eclampsia (PE) prediction and diagnosis were also tested. METHODS:
First trimester serum samples were available from 221 women who later developed
PE and 239 women who did not develop PE. Second/third trimester serum samples
were available from 175 PE and 55 non-PE women. sFlt-1 and PlGF were measured
electro-chemiluminescence immunoassays and sEng by ELISA. RESULTS: In all
timepoints PlGF, endoglin and the sFlt-1/PlGF ratio were increased in the PE
group compared to the non-PE group. The serum concentrations of sFlt-1 were
increased only at second/third trimester in PE women. Higher concentrations of s
Flt1, endoglin and higher sFlt/PlGF ratio were found at the third trimester in
primiparous women compared to multiparous women. Primiparous PE women also had
lower concentrations of PlGF at the third trimester. The proportion of women
exceeding all cut-offs of the sFlt-1/PlGF ratio (>=33, >=38, >=85 and >=110) was
greater in the PE group, but there were also pre-eclamptic women who met rule-out
cut-off or did not meet rule-in cut-off. CONCLUSIONS: Primiparous pregnancies
have more anti-angiogenic profile during second/third trimester compared with
multiparous pregnancies. Our findings also suggest that certain maternal
characteristics, e.g. BMI, smoking and pre-existing diseases, should be taken
into account when different sFlt-1/PlGF ratio cut-offs are utilized.
PMID- 29803329
TI - Immunization with recombinant truncated Neisseria meningitidis-Macrophage
Infectivity Potentiator (rT-Nm-MIP) protein induces murine antibodies that are
cross-reactive and bactericidal for Neisseria gonorrhoeae.
AB - Neisseria meningitidis (Nm) and N. gonorrhoeae (Ng) express a Macrophage
Infectivity Potentiator (MIP, NMB1567/NEIS1487) protein in their outer membrane
(OM). In this study, we prepared independent batches of liposomes (n = 3) and
liposomes + MonoPhosphoryl Lipid A (MPLA) (n = 3) containing recombinant
truncated Nm-MIP protein encoded by Allele 2 (rT-Nm-MIP, amino acids 22-142), and
used these to immunize mice. We tested the hypothesis that independent vaccine
batches showed similar antigenicity, and that antisera could recognise both
meningococcal and gonococcal MIP and induce cross-species bactericidal activity.
The different batches of M2 rT-Nm-MIP-liposomes +/- MPLA showed no significant (P
> 0.05) batch-to-batch variation in antigenicity. Anti-rT-Nm-MIP sera reacted
equally and specifically with Nm-MIP and Ng-MIP in OM and on live bacterial cell
surfaces. Specificity was shown by no antiserum reactivity with Deltamip
bacteria. Using human complement/serum bactericidal assays, anti-M2 rT-Nm-MIP
sera killed homologous meningococcal serogroup B (MenB) strains (median titres of
32-64 for anti-rT-Nm-MIP-liposome sera; 128-256 for anti-rT-Nm-MIP-liposome +
MPLA sera) and heterologous M1 protein-expressing MenB strains (titres of 64 for
anti rT-Nm-MIP-liposome sera; 128-256 for anti-rT-Nm-MIP-liposome + MPLA sera).
Low-level killing (P < 0.05) was observed for a MenB isolate expressing M7
protein (titres 4-8), but MenB strains expressing M6 protein were not killed
(titre < 4-8). Killing (P < 0.05) was observed against MenC and MenW bacteria
expressing homologous M2 protein (titres of 8-16) but not against MenA or MenY
bacteria (titres < 4-8). Antisera to M2 rT-Nm-MIP showed significant (P < 0.05)
cross-bactericidal activity against gonococcal strain P9-17 (expressing M35 Ng
MIP, titres of 64-512) and strain 12CFX_T_003 (expressing M10 Ng-MIP, titres 8
16) but not against FA1090 (expressing M8 Ng-MIP). As an alternative to producing
recombinant protein, we engineered successfully the Nm-OM to express M2 Truncated
Nm-MIP, but lipooligosaccharide-extraction with Na-DOC was contra-indicated. Our
data suggest that a multi-component vaccine containing a select number of Nm- and
Ng-MIP type proteins would be required to provide broad coverage of both
pathogens.
PMID- 29803332
TI - Built environments for frail older adults: The now and next.
PMID- 29803334
TI - Grade II Pleomorphic Xanthoastrocytoma; a meta-analysis of data from previously
reported 167 cases.
AB - Pleomorphic Xanthoastrocytoma [PXA] is a rare low grade glial tumor commonly
affecting young adults. We did this systematic review and meta-analysis to
identify prognostic factors and optimal treatment in these patients. A thorough
search of the PubMed, Google scholar was made to find all possible publications
related to grade II PXA. A total of 167 patients from 89 articles were included
in the analysis. Median age of the entire cohort was 20 years. Headache was the
most common presentation in 49.1% of the patients followed by seizure in 27.9%.
Temporal lobe was the most common location of the tumor. 63% patents underwent a
gross total resection [GTR] and 26.7% underwent a sub total excision [STR].
Adjuvant radiation was given to 17.6% of patients. Median follow-up for the
entire cohort was 33 months. Estimated median overall survival [OS] for the
entire cohort was 209.0 months [96% CI: 149.7-268.3]. Estimated median
progression free survival [PFS] was 48 months [95% CI: 31.9-64.0]. In univariate
and multivariate analysis younger patients and patients who underwent a GTR had a
significantly better survival outcome. Use of adjuvant therapy was not found to
be a significant factor affecting PFS or OS. Radiotherapy was used in salvage
treatment in 76.1% of the patients. Younger patients and patients who undergo a
GTR, have better survival outcomes. There is inadequate evidence to recommend
routine adjuvant radiation or chemotherapy in all patients with grade II PXA.
PMID- 29803333
TI - First five generations Chinese family of tuberous scleroses complex due to a new
mutation of the TSC1 gene.
AB - Tuberous scleroses complex (TSC) is a rare neurocutaneous syndrome and has
autosomal dominant inheritance. However, larger family with TSC is very rare.
Here, we report the first five generations family with TSC from China, and
localize the pathogenic gene. A boy with TSC and epilepsy underwent preoperative
evaluation and epileptic surgery. His TSC family history was gotten, and the
clinical data of a Chinese family with TSC were collected in 2016. Complete exons
sequencing was performed in the proband and his parents, and whole exons sequence
of TSC was performed in the other family members. The family showed autosomal
dominant inheritance, and it was the largest reported family with TSC. In this
pedigree, there were 14 patients in 5 generations, but only 1 case with epilepsy
in them. All of examined patients had TSC 1 gene exon 15 c.1846delG p.A616Pfs*13
mutation. In conclusion, TSC patients with TSC 1 deletion presented mild
neurological symptom and rendered larger family.
PMID- 29803335
TI - Culture-independent Characterization of the Microbiome of Healthy Pulp.
AB - INTRODUCTION: Advances in culture-independent molecular biotechnologies have
driven a greater appreciation for the function of mutualistic microorganisms in
the maintenance of states of health in humans. The purpose of this study was to
test the long-held hypothesis that healthy pulp lack bacteria. METHODS: Strict
inclusion criteria were used to identify 10 pristine teeth from 10 healthy
patients that were scheduled to be electively extracted in compliance with an
orthodontic treatment plan. Using a rigorous disinfection protocol to isolate the
operating field, the pulp space was accessed, and pulp tissue was collected in
vivo from each tooth using a barbed broach. Genomic DNA was extracted from each
pulp sample and analyzed for the presence of bacterial DNA using universal 16S
ribosomal RNA polymerase chain reaction primers and MiSeq sequencing (Illumina,
San Diego, CA) of community amplicons. RESULTS: One hundred percent (10/10) of
the tested pulp tissues demonstrated the presence of bacterial DNA, with a mean
of 343 operational taxonomic units per sample (range, 191-479). These were
derived from 12 genera in which Ralstonia, Actinetobacter, and Staphylococcus
were predominant (43%-78% of total community). None of the negative-field
controls and none of the instruments used in the study tested positive for the
presence of contaminating DNA. CONCLUSIONS: This study presents evidence to
support the conclusion that the pulp spaces of pristine healthy teeth contain
detectable bacterial DNA.
PMID- 29803336
TI - Antibacterial Efficacy and Discoloration Potential of Endodontic Topical
Antibiotics.
AB - INTRODUCTION: The optimal concentration for the use of endodontic topical
antibiotics is not known. The aims of this study were to determine the minimum
bactericidal concentrations (MBCs) and minimum inhibitory concentrations (MICs)
of metronidazole, ciprofloxacin, minocycline, Augmentin (GlaxoSmithKline,
Research Triangle Park, NC), and tigecycline against common endodontic pathogens
and to evaluate ex vivo the antibacterial efficacy and discoloration effect of
triple antibiotic paste (TAP), Augmentin, and tigecycline at different
concentrations using a slow-release hydrogel scaffold. METHODS: Using the
Epsilometer test method (Etest; bioMerieux USA, St Louis, MO), MICs and MBCs of
selected antibiotics were determined against Fusobacterium nucleatum,
Porphyromonas gingivalis, Streptococcus intermedius, and Enterococcus faecalis.
Biofilms of these bacterial species were then grown in extracted single-rooted
teeth anaerobically for 3 weeks. Root canals were filled with TAP, Augmentin, and
tigecycline at concentrations of 1 or 0.1 mg/mL in a degradable hydrogel scaffold
or pure TAP at 1 g/mL for 7 days. Coronal discoloration was evaluated
spectrophotometrically at 1, 2, and 3 weeks after dressing. RESULTS: MIC/MBC data
showed significant efficacy of tigecycline, Augmentin, and minocycline compared
with the other antibiotics (P < .05). Significant differences were found when
comparing the log10 colony-forming units of all experimental groups (P < .05).
TAP at 1 g/mL had no bacterial growth but caused the greatest discoloration.
Hydrogel mixtures with TAP, Augmentin, or tigecycline at 1 mg/mL significantly
reduced bacterial growth and the number of positive samples compared with those
at 0.1 mg/mL (P < .05) with minimal discoloration. CONCLUSIONS: TAP, Augmentin,
and tigecycline in a hydrogel at 1 mg/mL reduced bacterial growth significantly
with minimal color change.
PMID- 29803337
TI - Impact of Case Difficulty on Endodontic Mishaps in an Undergraduate Student
Clinic.
AB - INTRODUCTION: The aim of this study was to identify the effect of case difficulty
on the number of endodontic mishaps and the number of treatment visits using 2
different instrumentation methods, hand files, and reciprocating engine-driven
WaveOne files (Dentsply Maillefer, Ballaigues, Switzerland) in an undergraduate
student clinic. METHODS: Endodontic treatment performed by fourth-year dental
students using 2 different instrumentation methods was evaluated: hand files and
reciprocating engine-driven WaveOne files. All cases were categorized according
to the American Association of Endodontists case difficulty assessment form.
Endodontic mishaps related to instrumentation and treatment visits needed to
complete the treatment were recorded. RESULTS: Of the 257 teeth included in the
study, 141 were instrumented with hand files and 116 with WaveOne files. Eighty
two teeth (31.9%) were registered with at least 1 endodontic mishap. The most
frequent endodontic mishap was overinstrumentation (17.5%). This was followed by
loss of working length (8.56%), obturation more than 2 mm from the radiographic
apex (8.56%), overfill with gutta-percha (6.61%), canal transportation (4.28%),
instrument separation (2.33%), and lateral or strip perforation (1.56%). Several
endodontic mishaps were significantly correlated. Cases in the high difficulty
category had significantly more endodontic mishaps (P < .001) and required more
treatment visits (P < .01). There were no significant differences in endodontic
mishaps or the number of treatment visits between the hand and engine-driven
groups. Several endodontic mishaps were associated with significantly more
treatment visits (P < .05). CONCLUSIONS: Case difficulty rather than the
instrumentation method was the main determinant of endodontic mishaps in the
undergraduate clinic. The American Association of Endodontists case difficulty
assessment form is an important and valuable tool in undergraduate dental
education to predict potential endodontic mishaps and the number of treatment
visits.
PMID- 29803338
TI - American Board of Prosthodontics: 70 years of specialty certification.
PMID- 29803339
TI - Mitochondrial calcium uniporter inhibition provides cardioprotection in pressure
overload-induced heart failure through autophagy enhancement.
AB - BACKGROUND: HF incurs high disease burden, and the effectiveness of known HF
treatments is unsatisfactory. Therefore, seeking novel therapeutic target of HF
is important. The present study aimed to investigate the role of the
mitochondrial calcium uniporter (MCU) and its relationship with autophagy in
overload-induced heart failure (HF). METHODS AND RESULTS: In both early-stage and
end-stage of pressure overload-induced HF, MCU appeared up-regulated along with
heart enlargement, increased microtubule-associated proteins 1A/1B light chain 3B
(LC3B) II/I ratio and autophagosome content, damaged cardiac function, and
ventricular asynchrony. However, sequestosome-1 (SQSTM1/p62) level decreased
indicating blockaded autophagic flux. Seven-week administration of MCU inhibitor
ruthenium red improved cardiac function and mitigated its pathological change.
MCU inhibition maintained mitochondrial integrity, increased LC3B II/I ratio, up
regulated Parkin and Pink1, and down-regulated SQSTM1/p62. MCU inhibition also
alleviated ventricular asynchrony of HF, and this might be related to connexin-43
up-regulation. In vitro study validated intervention on MCU leading to elevation
of autophagy and mitophagy. MCU inhibition could partly prevent from excessive
cellular enlargement induced by isoprenaline. CONCLUSIONS: In summary, MCU
inhibition played an important role in pressure overload-induced heart failure
through autophagy and mitophagy enhancement, and intervention on MCU offered
cardioprotective effects. To our knowledge, the role of MCU in HF and its
relationship with autophagy and mitophagy are firstly disclosed. Moreover, our
study suggests that MCU inhibition could be explored as a novel therapeutic
concept in HF.
PMID- 29803340
TI - Role of oxidative stress in the process of vascular remodeling following coronary
revascularization.
AB - Percutaneous coronary interventions (PCI), including balloon angioplasty and
implantation of both bare metal and drug eluting coronary stents, are associated
with risk of restenosis and in-stent thrombosis. A better understanding of
signals that regulate cellular proliferation, neointimal formation and vessel
wall thickening following PCI may contribute to identify novel preventive and
therapeutic strategies aimed to reduce the atherosclerosis progression and the
consequent vascular sequelae. Among the possible mechanisms, an increased level
of reactive oxygen species (ROS) is associated with endothelial dysfunction and
vascular smooth muscle cells (VSMCs) proliferation and migration involved in the
post-procedural remodeling process. This review article provides an overview of
the current knowledge on the contribution of increased oxidative stress to the
post-procedural pathological vascular changes. We discuss the role of
nicotinamide adenine dinucleotide phosphate oxidase, nitric oxide synthase, and
of proteins regulating the mitochondrial function and dynamics. We will also
highlight new knowledge on the atypical Fat1 cadherin that appears to play a key
role in VSMCs proliferation. In fact, its induction after vascular injury serves
as a physiological regulator of VSMCs growth. Specific molecular mechanisms,
including Pin1- and H2S-mediated pathways, have been identified in the vascular
complications of type 2 diabetic patients. The identification of novel key
players may expand our perspectives and promote the development of new tools for
future preventive and therapeutic strategies in order to reduce the adverse
vascular remodeling following PCI. The latter represents one of the major goals
in the development of innovative technologies with relevance for clinical
practice.
PMID- 29803341
TI - Sitagliptin on carotid intima-media thickness in type 2 diabetes patients
receiving primary or secondary prevention of cardiovascular disease: A subgroup
analysis of the PROLOGUE study.
AB - BACKGROUND: Whether a dipeptidyl peptidase-4 (DPP-4) inhibitor can attenuate
atherosclerosis is still controversial. Some clinical trials reported that DPP-4
inhibitors in diabetes patients without a previous history of cardiovascular (CV)
events could reduce carotid intima-media thickness (IMT). However, in the
PROLOGUE study, which enrolled diabetes patients both with and without previous
CV events, sitagliptin failed to slow the progression of carotid IMT relative to
conventional therapy. AIM AND METHODS: We hypothesized that the effect of DPP-4
inhibitors on carotid atherosclerosis might be different between the primary and
secondary prevention groups. We performed a post hoc analysis of the PROLOGUE
study and compared the effects of sitagliptin and conventional therapy on changes
in carotid IMT in subgroups with or without previous CV events. RESULTS: No
significant difference in the IMT changes between the treatment groups was found
in the secondary prevention subgroup (sitagliptin, N = 102; conventional, 111).
However, in the primary prevention subgroup (sitagliptin, 120; conventional,
109), we found significant inhibitory effects of sitagliptin on mean and max
internal carotid artery IMT [estimated group difference: -0.096 mm (95% CI -0.175
to -0.018, p = 0.017) and -0.162 mm (95% CI -0.272 to -0.052, p = 0.004),
respectively], although there was no significant difference in the common carotid
artery IMT. CONCLUSIONS: Our data suggest that there is a favorable effect of DPP
4 inhibitor treatment on carotid atherosclerosis in diabetes patients without
previous CV events.
PMID- 29803342
TI - Left ventricular assist device is protective against cardiac transplant delisting
for medical unsuitability.
AB - BACKGROUND: Left ventricular assist device (LVAD) related complications have the
potential to disqualify patients for heart transplantation. We sought to
determine whether LVAD support was associated with increased rates of delisting
due to medical unsuitability or clinical deterioration. METHODS: The analysis
included adult candidates listed for first-time heart transplantation in the UNOS
registry in the contemporary, continuous flow (CF)- LVAD era (2010-2016). The
exposure variable was LVAD support on the waitlist. The primary outcome was time
to delisting due to medical unsuitability or clinical deterioration. As cardiac
transplantation and death represent competing risks in this study, a Fine-Gray
cox regression analysis was performed. RESULTS: Data on 16,913 patients listed
for heart transplant were analyzed. During a median follow up of 150 days, 1206
(7.1%) patients died, 10,083 (60%) were transplanted, and 1224 (7.2%) were
delisted due to medical unsuitability or clinical deterioration. Presence of a
LVAD at listing was associated with a reduced rate (hazard) of delisting both in
the adjusted and unadjusted models (unadjusted HR 0.63, 95% CI 0.55-0.73,
adjusted HR 0.78, 95% CI 0.67-0.90). Delayed LVAD while on the list was not
associated with reduced rates of delisting (adjusted HR 0.91, 95% CI 0.79-1.1).
CONCLUSIONS: Continuous flow left ventricular assist device support was
protective against delisting in this UNOS analysis. These data would suggest that
despite the adverse event rate of mechanical support, improving cardiac output
may be important to preserving the overall health of the potential cardiac
transplant recipient.
PMID- 29803343
TI - Effect of increased inspired oxygen on exercise performance in patients with
heart failure and normal ejection fraction.
AB - INTRODUCTION: We investigated whether increased concentrations of inspired oxygen
(Fio2) affects exercise tolerance in patients with heart failure and normal
ejection fraction (HeFNEF). METHODS: 46 patients (mean age 75 years (63% male)
and median NTproBNP 1432 (interquartile range: 543-2378 ng/l)) with HeFNEF
(defined as signs or symptoms of heart failure requiring treatment with
diuretics, with a left ventricular ejection fraction of >45% by echocardiography
and amino terminal pro brain natriuretic peptide (NTproBNP) >220 ng/l) completed
three maximal incremental exercise tests with different Fio2 (21%, 28% and 40%)
in random order. Fio2 was controlled by investigator but blinded to patients. The
primary outcome was exercise time (ET). RESULTS: Increasing Fio2 significantly
increased exercise time (522 +/- 180 seconds for 21% to 543 +/- 176 seconds, and
542 +/- 177 seconds, for 28% and 40%, respectively, P = 0.04) with no difference
in peak workload (57 +/- 25 W, 58 +/- 25 W and 57 +/- 25 W, for 21%, 28% and 40%,
respectively, P = 0.50). There was an increase in oxygen saturation but no change
in peak heart rate with increasing Fio2. Compared to patients with LVEF >=50%,
patients with LVEF between 45 and 49% had a significantly greater exercise time
and peak workload. There was a correlation between the difference in exercise
time between Fio2 21% and 40% and age; but not with BMI, haemoglobin, creatinine
or NTproBNP. CONCLUSION: Increasing Fio2 during exertion leads to a small
increase in exercise time in patients with HeFNEF.
PMID- 29803344
TI - Radiation Therapy as an Alternative Treatment for Desmoid Fibromatosis.
AB - AIM: To determine the outcome after radiation therapy for desmoid fibromatosis.
MATERIALS AND METHODS: A retrospective review of 50 patients treated between 1988
and 2016 in a specialised bone and soft tissue tumour clinic. RESULTS: The median
age at the time of radiation therapy was 36.8 years (range 15.1-69.0) and the
median follow-up time was 51 months. Forty-three patients underwent radiation
therapy as the definitive treatment with a median dose of 56 Gy (range 30-58.8
Gy). The median dose for the seven patients treated with postoperative radiation
therapy was 50.4 Gy (range 48-56 Gy). Eleven patients (22%) developed progressive
disease after radiation therapy at a median time of 41 months (range 12-113
months). The recurrences were within the radiation therapy field in four patients
and outside the field in seven patients. One patient developed a radiation
induced malignancy 20 years after treatment. CONCLUSIONS: Radiation therapy is an
alternative treatment in the management of desmoid fibromatosis. It should be
considered in patients for whom surgical resection is not feasible, or as
adjuvant therapy after surgery with involved margins where any further
recurrences would cause significant morbidity.
PMID- 29803345
TI - A personal journey.
PMID- 29803347
TI - From Atypical Sexual Interests to Paraphilic Disorders: The Planned ICD Revisions
Related to Paraphilic Disorder.
PMID- 29803348
TI - The Top 5 Surgical Things That I Wish I had Known Earlier in My Career: Lessons
Learned From a Career of Prosthetic Urology.
PMID- 29803346
TI - Is It Possible to Improve Prognostic Classification in Patients Affected by
Metastatic Renal Cell Carcinoma With an Intermediate or Poor Prognosis?
AB - BACKGROUND: The International mRCC (metastatic renal cell carcinoma) Database
Consortium (IMDC) is the standard classification for mRCC. We aimed to evaluate
the outcomes of a large cohort of patients with an intermediate or a poor
prognosis treated with sunitinib using a different cutoff point for IMDC to
improve the classification. PATIENTS AND METHODS: Patients with an intermediate
or a poor prognosis according to the IMDC criteria and treated with sunitinib
were included in the present study. A new cutoff point was used to categorize the
patients. The new score was validated in an independent cohort of patients.
RESULTS: A total of 457 patients were included in the present study. Significant
differences in overall survival (OS) were highlighted regarding the number of
prognostic factors. Three categories were identified according to the presence of
1 (ie, favorable-intermediate group), 2 (ie, real-intermediate group), and > 2
(ie, poor group) factors. The corresponding median OS periods were 32.9, 20.0,
and 8.9 months, with significant differences among the groups. The validation
cohort included 389 patients. The median OS period for the favorable-intermediate
group, real-intermediate group, and poor group was 34.3, 19.4, and 9.0 months,
respectively, with confirmed significant differences among the groups.
CONCLUSION: Our analysis revealed significant differences among patients with an
intermediate prognosis using the IMDC prognostic factors. Further investigations
to optimize the use of available and upcoming therapies are required.
PMID- 29803349
TI - Shared Decision Making in Gender-Affirming Surgery. Implications for Research and
Standards of Care.
PMID- 29803350
TI - Penile Elastography: Current and Future Applications in Sexual Medicine.
PMID- 29803352
TI - Increased Risk of Incident Disease in Men with Peyronie's Disease: Analysis of
U.S. Claims Data.
AB - BACKGROUND: The subsequent health risks associated with Peyronie's disease (PD)
are unknown. AIM: This cohort study assesses the risk of developing auto-immune
conditions and common chronic health conditions after a diagnosis of PD. We
hypothesize that an increase in auto-immune disease will be evident in men with
PD, as has been suggested in smaller studies. METHODS: We determined the
longitudinal incidence of 13 auto-immune diseases and 25 common chronic
conditions in a cohort from the Truven Health Analytics (Ann Arbor, Michigan,
USA) database from 2007-2013. The cohort included men with 1 of 3 exposures in
2007: (1) men with PD, (2) men with erectile dysfunction (ED) but not PD, and (3)
men without PD or ED, matched on age and follow-up duration. OUTCOMES: To assess
incidence, we utilized a Cox regression model adjusting for age, smoking,
obesity, health care visits per year, urology visits per year, and years of
follow-up. RESULTS: We included 8,728 men with PD; 204,147 men with ED; and
87,280 controls. Men with PD had an increased risk of developing benign prostatic
hyperplasia (hazard ratio [HR] 1.21, 95% CI 1.16-1.27), prostatitis (HR 1.21, 95%
CI 1.12-1.31), and lower urinary tract symptoms (HR 1.10, 95% CI 1.05-1.16) when
compared to both men with ED and age-matched controls without ED or PD even when
controlling for the number of urology visits per year. Compared to controls, men
with PD also had an increased risk of developing keloids. No significant risk for
any auto-immune disease was observed. CLINICAL IMPLICATIONS: Clinicians should
have heightened awareness for these relevant co-morbidities when treating men
with PD. STRENGTHS & LIMITATIONS: Utilizing a claims database provides one of the
largest cohorts of men with PD ever published but claims databases lack some
individual patient data such as risk factors and demographic information relevant
to PD, including: penile injury, history of urologic procedures, and other
lifestyle factors. CONCLUSION: Men with PD had a higher risk of benign prostatic
hyperplasia, lower urinary tract symptoms, prostatitis, and keloids after a
diagnosis of PD, but no increased risk of auto-immune conditions. These findings
suggest a common etiology for these conditions that may manifest itself in
diseases at different times in men's life cycle. Pastuszak AW, Rodriguez KM,
Solomon ZJ, et al. Increased Risk of Incident Disease in Men with Peyronie's
Disease: Analysis of U.S. Claims Data. J Sex Med 2018;15:894-901.
PMID- 29803351
TI - Testosterone and Cardiovascular Risk: Meta-Analysis of Interventional Studies.
AB - BACKGROUND: The relationship between testosterone (T) and cardiovascular (CV)
risk in men is conflicting. AIM: To verify whether T therapy (TTh) represents a
possible risk factor for CV morbidity and mortality. METHODS: We conducted a
random effect meta-analysis considering all available data from pharmaco
epidemiological studies as well as randomized placebo-controlled trials (RCTs).
OUTCOMES: CV mortality and morbidity were investigated. RESULTS: After screening,
15 pharmaco-epidemiological and 93 RCT studies were considered. The analysis of
pharmaco-epidemiological studies documented that TTh reduces overall mortality
and CV morbidity. Conversely, in RCTs, TTh had no clear effect, either beneficial
or detrimental, on the incidence of CV events. However, a protective role of TTh
on CV morbidity was observed when studies enrolling obese (body mass index >30
kg/m2) patients were scrutinized (Mantel-Haenszel odds ratio 0.51 [95% CI 0.27
0.96]; P = .04), although this association disappeared when only high-quality
RCTs were considered (Mantel-Haenszel odds ratio 0.64 [95% CI 0.22-1.88]; P =
.42). Finally, an increased risk of CV diseases was observed in RCTs when T
preparations were prescribed at dosages above those normally recommended, or when
frail men were considered. CLINICAL IMPLICATIONS: Pharmaco-epidemiological
studies showed that TTh might reduce CV risk, but this effect was not confirmed
when RCTs were considered. STRENGTHS & LIMITATIONS: Meta-analysis of pharmaco
epidemiological studies indicates that TTh reduces overall mortality and CV
morbidity. In addition, even in RCTs, a protective role of TTh on CV morbidity
was envisaged when studies enrolling obese (body mass index >30 kg/m2) patients
were considered. Pharmaco-epidemiological studies should be considered with
caution due to the lack of completeness of follow-up and of the management of
missing data. In addition, properly powered placebo-controlled RCTs with a
primary CV end point, in men with late-onset hypo-gonadism, are not yet
available. Finally, the duration of all studies evaluated in the present meta
analysis is relatively short, reaching a maximum of 3 years. CONCLUSIONS: Data
from RCTs suggest that treatment with T is not effective in reducing CV risk,
however, when TTh is correctly applied, it is not associated with an increase in
CV risk and it may have a beneficial effect in some sub-populations. Corona G,
Rastrelli G, Di Pasquale G, et al. Testosterone and Cardiovascular Risk: Meta
Analysis of Interventional Studies. J Sex Med 2018;15:820-838.
PMID- 29803353
TI - Editorial Comment on "Penile Prosthesis Implantation in Patients With Peyronie's
Disease: Results of the PROPPER Study Demonstrate a Decrease In Patient-Reported
Depression".
PMID- 29803354
TI - Editorial Comment on "A Comparative Study Between Two Different Grafts Used as
Patches After Plaque Incision and Inflatable Penile Prosthesis Implantation for
End-Stage Peyronie's Disease".
PMID- 29803355
TI - A gland of diverse pathology and unpredictable behaviour: our experience of
primary submandibular gland malignancies.
AB - Submandibular gland tumours are relatively uncommon tumours and demonstrate
diverse histological types and a variable prognosis. The aim of this study was to
analyze our experience with submandibular malignancies over a period of 6 years
(January 2009 to December 2015). Patient data from the 6-year period were
reviewed retrospectively and 51 patients with submandibular malignancies were
identified. Demographic data, clinicopathological details, treatment received,
complications, and follow-up were recorded. The mean age of the 51 patients at
presentation was 49.1 years. They were followed up for a mean 20.3 months. Nine
of 47 patients (19.1%) developed distant metastasis during follow-up, while only
three (6.4%) developed local recurrence. Disease-free survival at 2 years was
69.7% and overall survival at the end of 2 years was 77.8%. Actuarial 5-year
survival was 57.8% when all subtypes were considered. The overall mean time to
recurrence was 10 months (6-24 months). Nodal positivity was the only prognostic
factor that was significant on multivariate analysis, while age, sex, perineural
invasion, and grade were not. With advances in surgical and radiotherapy
techniques, loco-regional control rates have improved greatly; however, effective
adjuvant treatment to prevent systemic relapse is still lacking.
PMID- 29803356
TI - Highlights from the 6th International Conference on Vitamin D Deficiency,
"Nutrition and Human Health", Abu Dhabi, United Arab Emirates, March 9-10, 2017.
PMID- 29803357
TI - Trends in high-intensity statin use and low-density lipoprotein cholesterol
control among patients enrolled in a clinical pharmacy cardiac risk service.
AB - BACKGROUND: Although high-intensity statin therapy (HIST) is recommended for most
patients between 21 and 75 years of age with atherosclerotic cardiovascular
disease (ASCVD), several recent analyses examining contemporary statin use trends
have identified a clinical care gap in the utilization of HIST. OBJECTIVE: The
objective of this study was to assess secular trends in lipid management for
patients with ASCVD enrolled in a clinical pharmacy program within an integrated
health care delivery system. METHODS: We performed serial cross-sectional studies
over time, comprising 18,006 adults with both acute and chronic ASCVD, to assess
trends in statin use and low-density lipoprotein cholesterol (LDL-C) levels from
2007 to 2016. RESULTS: Although the use of statin therapy (any intensity)
remained relatively consistent throughout the 10-year study period (89% in 2007,
87% in 2016), the proportion of patients receiving HIST increased over time (44%
in 2007, 67% in 2016; P < .001 for trend). Population mean LDL-C levels ranged
from 73 to 83 mg/dL with a downward trend over the 10-year study period (P < .001
for trend). By 2016, the proportion of patients attaining an LDL-C <100 mg/dL and
<70 mg/dL was 85% and 54%, respectively. Nonstatin lipid-lowering therapy use
decreased over the study period, which was primarily driven by decreased use of
ezetimibe (24% in 2007, 2% in 2016; P < .001 for trend). CONCLUSIONS: Among
adults with ASCVD enrolled in a clinical pharmacy cardiac risk reduction service,
guideline-directed use of HIST significantly increased over the past 10 years and
coincided with decreased population LDL-C levels.
PMID- 29803358
TI - Corrigendum to "A novel flavonoid isolated from the steam-bark of Ulmus
wallichiana planchon stimulates osteoblast function and inhibits osteoclast and
adipocyte differentiation" [Eur. J. Pharmacol. 658(2-3) 2011 65-73].
PMID- 29803359
TI - Drug efficacy of novel 3-O-methoxy-4-halo disubstituted 5,7-dimethoxy chromans;
evaluated via DNA gyrase inhibition, bacterial cell wall lesion and antibacterial
prospective.
AB - In this study, novel 3-O-methoxy-4-halo, disubstituted-5,7-dimethoxy chromans
with bacterial cell wall degrading potentials were synthesized, characterized and
evaluated as DNA gyrase inhibitors and antibacterial agents. Compounds were
showed a broad spectrum of antimicrobial activity against both Gram+ve bacteria
(S. aureus (MTCC 3160), C. diphtheriae (MTCC 116), S. pyogenes (MTCC 442)) and
Gram-ve bacteria (E. coli (MTCC 443), P. aeruginosa (MTCC 424), K. pneumoniae
(MTCC 530)). Further, a molecular docking study was carried out to get more
insight into the binding mode of present study compounds to target proteins (PDB
ID: 2XCT (S. aureus DNA gyrase A), PDB ID: 3G75 (S. aureus DNA gyrase B), PDB ID:
3L7L (Teichoic acid polymerase). In the results, 14 > 20 > 24 > 12 > 18 > 17 were
found as the most active against almost all executed activities in this study.
The predicted Lipinski's filter scores, SAR, pharmacokinetic/pharmacodynamics,
and ADMET properties of these compounds envisioned the druggability prospects and
the necessity of further animal model evaluations of 3-O-methoxy-4-halo
disubstituted 5,7-dimethoxy chromans to establish them as an effective and future
antibiotics.
PMID- 29803360
TI - [Fibrothecoma of the testis: A case report in an adult].
AB - Fibrothecal tumors belong to sex cord/stromal tumors (SCSTS). They represent 1 to
4.7 % of the organics tumors of ovary (Chechia et al., 2008) but are extremely
rare in the testis, with only a few cases described in the literature. We report
a new case of a fibrothecoma in the testis in an adult. The extemporaneous
diagnosis was made in the same time of the surgical intervention. The castration
has been avoided.
PMID- 29803361
TI - [Focus on clinical and pathological management of conjunctival melanocytic
tumors].
AB - Conjunctival-pigmented tumors are rare, but they are one of the most commonly
encountered by the pathologist working with the department of ophthalmology.
Nevus and melanoma can be encountered and have some histological difference
compared to their cutaneous counterpart. Primary acquired melanosis (PAM) is a
conjunctival specific entity. This clinical term includes several histological
lesions ranging from benignity to melanoma precursor lesion. Histologic
examination determines the therapy and the risk of progression to melanoma. We
present here a histopathological, clinical and therapeutic synthesis of
conjunctival-pigmented lesions, emphasizing the importance of a good
understanding between clinicians and pathologists.
PMID- 29803362
TI - Ertapenem for the treatment of Hidradenitis suppurativa: how much do we need it?
PMID- 29803363
TI - Genital Warts, Lymphangioma, and Treatment with Imiquimod.
PMID- 29803364
TI - Genital Warts, Lymphangioma, and Treatment with Imiquimod.
PMID- 29803365
TI - Effect of emotional cues on prospective memory performance in patients with
schizophrenia and major depressive disorder.
AB - Prospective memory (PM) is the ability to remember to carry out future intentions
when prompted by a cue, and previous studies have suggested that emotional PM
cues may enhance PM performance. This study examined the influence of emotional
cues on PM performance in patients with schizophrenia and major depressive
disorder. All participants were required to respond to emotional or neutral PM
cues while completing a working memory task. Healthy participants showed improved
PM performance with positive and negative cues. Patients with major depressive
disorder were not impaired in PM performance and showed significant improvement
in PM performance when cued by negative but not positive cues. Patients with
schizophrenia had impaired PM performance irrespective of cue emotionality. In
addition, the majority of patients with schizophrenia failed to show an emotional
enhancement effect, and only those who had normal arousal ratings for negative PM
cues showed emotional enhancement effect. These findings show for the first time
that patients with schizophrenia exhibit PM impairments even with emotional cues,
and suggest that arousal may be a critical factor for schizophrenia patients to
utilize emotional cues to facilitate execution of future actions. In patients
with major depressive disorder, our findings suggest that the negative bias in
attention and retrospective memory may also extend to memory for future actions.
These novel findings have both theoretical and clinical implications.
PMID- 29803366
TI - Complications associated with surgical treatment of sleep-disordered breathing
among hospitalized U.S. adults.
AB - The purpose of this cross-sectional study is to examine the relationship between
surgical treatments for sleep-disordered breathing (SDB) and composite measure of
surgical complications in a nationally representative sample of hospital
discharges among U.S. adults. We performed secondary analyses of 33,679 hospital
discharges from the 2002-2012 Nationwide Inpatient Sample that corresponded to
U.S. adults (>=18 years) who were free of head-and-neck neoplasms, were diagnosed
with SDB and had undergone at least one of seven procedures. Multivariate
logistic regression models were constructed to estimate adjusted odds ratios
(aOR) and 95% confidence intervals (CI), controlling for age, sex,
race/ethnicity, obstructive sleep apnea (OSA) and obesity diagnoses. Positive
associations were found between composite measure of surgical complications and
specific procedures: palatal procedure (aOR = 12.69, 95% CI: 11.91,13.53), nasal
surgery (aOR = 6.47, 95% CI: 5.99,6.99), transoral robotic assist (aOR = 5.06,
95% CI: 4.34-5.88), tongue base/hypopharynx (aOR = 4.24, 95% CI: 3.88,4.62),
maxillomandibular advancement (MMA) (aOR = 3.24, 95% CI: 2.74,3.84),
supraglottoplasty (aOR = 2.75, 95% CI: 1.81,4.19). By contrast, a negative
association was found between composite measures of surgical complications and
tracheostomy (aOR = 0.033, 95% CI: 0.031,0.035). In conclusion, most procedures
for SDB, except tracheostomy, were positively associated with complications,
whereby palatal procedures exhibited the strongest and supraglottoplasty
exhibited the weakest association.
PMID- 29803367
TI - Deep circumflex iliac artery perforator flap with iliac crest for oromandibular
reconstruction.
AB - When combined with iliac bone, perforator flaps are more chimeric, and there is
increased mobile skin island to reconstruct soft tissue defects in the oral and
maxillofacial region. This study examined oromandibular defects reconstructed
using deep circumflex iliac artery perforator flap with iliac crest (DCIAPF). We
retrospectively reviewed records of 23 patients with mandibular defects received
DCIAPFs after oncological resection for oromandibular reconstruction from
November 2015 to August 2016. All perforators, identified before surgery by
Doppler examination, were terminal perforators of DCIA. DCIAPFs were successfully
harvested in all patients. The flap survival rate was 95.6% (22/23); one flap
failed due to artery spasm. Three patients developed slight skinedge necrosis in
the skin island. Anatomical reconstruction contour of the mandible and sufficient
bone length and height were achieved, with no serious donor-site complications
during the follow-up period. The results demonstrated that DCIAPF is a favorable
single-flap option for oromandibular reconstruction after oncological resection
with fewer donor-site complications because of its adequate bone tissue and
satisfactory soft tissue, with a constant location of the perforator.
PMID- 29803368
TI - Orthognathic surgery "again" to correct aesthetic failure of primary surgery:
Report on outcomes and patient satisfaction in 70 consecutive cases.
AB - PURPOSE: An increasing number of patients complain about unsatisfactory aesthetic
outcomes of orthognathic surgery, desiring reoperation to improve facial
aesthetics. The aims of this article are to present a wide range of aesthetic
reasons to justify a secondary orthognathic surgery and to report aesthetic
outcomes and patient satisfaction after reoperation. MATERIAL AND METHODS: This
research covers 70 consecutive patients who underwent a secondary bimaxillary
orthognathic surgery with simultaneous facial lipofilling procedures between
January 2006 and December 2015. The screening criteria are described for patient
selection, the diagnostic process, surgical procedures, and outcomes. Pre- and
postoperative facial appearances are compared. Postoperatively, patients were
asked to state the improvements perceived in their facial appearance and their
satisfaction with the aesthetic outcomes. RESULTS: After reoperation, all
patients showed a full Class I occlusion. Major complications did not occur.
According to the clinicians' evaluation, a postoperative evident aesthetic
improvement was achieved in 65 patients (92.9%), and 64 patients (91.4%) were
satisfied with the aesthetic outcomes achieved. CONCLUSION: Secondary
orthognathic surgery performed in conjunction with facial lipofilling procedures
in most cases led to satisfactory aesthetic outcomes. A very accurate selection
of patients, a meticulous three-dimensional diagnostic process and planning, and
appropriate intra-operative maneuvers are required.
PMID- 29803369
TI - Dorsal Plate Fixation for Distal Interphalangeal Joint Arthrodesis of the Fingers
and Thumb.
AB - Arthrodesis is generally the most accepted surgical option for treatment of
degenerative and traumatic conditions involving the distal interphalangeal joint
of the fingers or the interphalangeal joint of the thumb. Ideal position of
arthrodesis is thought to be slightly flexed to improve power, fine pinch, and
grip. Different techniques have been described for distal finger joint
arthrodesis, with most surgeons using straight intramedullary implants that
obligate the joint to be positioned in neutral extension. In this article, we
describe the operative technique to perform a distal finger joint arthrodesis in
slight flexion using dorsal plate fixation. We also discuss a case of a patient
with distal finger joint arthrodesis.
PMID- 29803371
TI - Redefining the histopathologic profile of acute aortic syndromes: Clinical and
prognostic implications.
AB - OBJECTIVES: The study objectives were to describe the aortic histopathologic
substrates in patients with type A surgically treated acute aortic syndromes, to
provide clinico-pathological correlations, and to identify the possible
prognostic role of histology. METHODS: We assessed the aortic wall degenerative
or inflammatory alterations of 158 patients according to the histopathologic
consensus documents. Moreover, we correlated these histologic patterns with the
patients' clinical data and long-term follow-up for mortality, major aorta
related events, and nonaorta-related events (including cardiovascular ones).
RESULTS: We identified 2 histopathologic patterns: 122 patients (77%) with
degenerative alterations and 36 patients (23%) with mixed degenerative
atherosclerotic lesions. Patients with mixed alterations were older (mean 69.6 +/
8.7 years vs 62.2 +/- 12.4 years, P = .001) and more hypercholesterolemic (33.3%
vs 13.9%, P = .017). The degenerative subgroup showed more intralamellar-mucoid
extracellular matrix accumulation (86% vs 66.7%, P = .017) and a lower prevalence
of translamellar collagen increase (9.8% vs 50%, P < .001). Patients with mixed
degenerative-atherosclerotic abnormalities more frequently had long-term nonaorta
related events compared with those with degenerative abnormalities alone (P =
.046); no differences were found between the groups with respect to mortality,
major aorta-related events, and cardiovascular nonaorta-related events.
CONCLUSIONS: Although degenerative lesions of the medial layer were present in
all specimens, substantial atherosclerosis coexisted in approximately one quarter
of cases. Patients with mixed degenerative-atherosclerotic abnormalities had a
coherent clinical risk profile, a clinical presentation frequently mimicking
acute coronary syndrome, and a higher incidence of nonaorta-related events during
follow-up. Histopathologic characterization may improve the long-term prognostic
stratification of patients after surgical treatment.
PMID- 29803370
TI - Chronic obstructive pulmonary disease severity influences outcomes after off-pump
coronary artery bypass.
AB - OBJECTIVE: To analyze the impact and severity of chronic obstructive pulmonary
disease (COPD) on pulmonary function and postoperative clinical outcome based on
the Global Initiative for Obstructive Lung Disease criteria in patients
undergoing off-pump coronary artery bypass grafting (CABG). METHODS: Patients
were allocated into 3 groups according to presence and severity of COPD: no or
mild COPD (n = 144); moderate COPD (n = 77); and severe COPD (n = 30). Spirometry
values were obtained preoperatively and on postoperative days (PODs) 2 and 5. The
incidences of pneumonia and reintubation, time of mechanical ventilation, and
length of postoperative hospital stay were recorded. RESULTS: Significant
impairment in pulmonary function was observed in all groups on PODs 2 and 5 (P <
.001). However, postoperative pulmonary dysfunction was significantly higher in
the moderate and severe COPD groups compared with the no or mild COPD group (P <
.05). On multivariable analysis, severe COPD was associated with an elevated risk
for composite outcomes (odds ratio, 1.37; 95% confidence interval, 1.20-1.57; P <
.001). A preoperative forced expiratory volume in 1 second (FEV1) <50% of the
predicted value was associated with poor outcome. A significant negative
correlation was found between FEV1 at POD 5 and postoperative length of stay (r =
-0.5; P < .001). CONCLUSIONS: More severe COPD was associated with greater
impairment in pulmonary function and worse clinical outcomes after off-pump CABG
surgery. A preoperative FEV1 <50% of predicted value appears to be an important
predictor of postoperative complications.
PMID- 29803372
TI - Complex Endovascular Aneurysm Repair: Patient Benefit or a Waste of Money?
PMID- 29803373
TI - The role of shear wave elastography on evaluation of the rigidity changes of
corpus cavernosum penis in venogenic erectile dysfunction.
AB - OBJECTIVE: The goal of this study was to evaluate the effect of shear wave
elastography (SWE) on the measurement of rigidity changes of penile erection in
venogenic erectile dysfunction (ED) and in rigidity alterations of corpus
cavernosum penis with age in normal population. METHODS: The study was a
prospective analysis of 81 patients referred to the department of urology with
complaints of ED as well as 35 healthy volunteers. SWE was performed on the
corpus cavernosum penis (CCP) in the flaccid state of healthy group. The patients
were divided into venogenic ED (31 patients) and non-vascular ED (neither
arterial insufficiency nor venogenic dysfunction) (36 patients) by performing
color doppler ultrasonography in association with intracavernous injection (ICI).
SWE measurements were performed in CCP in the flaccid state, after 15-20 min and
25-30 min of ICI in both patients groups. Differences between groups were
compared. RESULTS: Age was significantly negatively associated with SWE values of
CCP among three groups (healthy group: r = -0.584, p < 0.05; venogenic ED group:
r = -0.468, p < 0.05; non-vascular ED group: r = -0.539, p < 0.05). There was no
significant difference between the SWE values of three groups in the flaccid
state (p > 0.05). The mean SWE values of CCP were significantly lower in the
erectile state (15-20 min after ICI) compared with the flaccid state in two
patients groups (p < 0.05). The mean SWE values of CCP after ICI increased with
time (from 15-20 min to 25-30 min) in patients with venogenic ED (p < 0.05),
while the SWE values of CCP after ICI did not statistically significantly differ
with time in patients with non-vascular ED (p > 0.05). CONCLUSION: SWE is
expected to be a promising approach in terms of the etiological diagnosis of ED
and the quantitative evaluation of alternations of penile structures with age.
PMID- 29803374
TI - Can computed tomography volumetry of the renal cortex replace MAG3-scintigraphy
in all patients for determining split renal function?
AB - OBJECTIVES: The current gold standard for determination of split renal function
(SRF) is Tc-99m-mercapto-acetyltriglycin (MAG3) scintigraphy. Initial studies
comparing MAG3-scintigraphy and CT-based renal cortex volumetry (RCV) for
calculation of SRF have shown similar results in highly selected patient
collectives with normal renal function (i.e. living kidney donors). This study
aims to compare MAG3-scintigraphy and CT-RCV within a large unselected patient
collective including patients with impaired renal function. MATERIALS AND
METHODS: For this assessment, 279 datasets (131 men, 148 women; mean age: 54.2 +/
12.9 years, range: 24-84 years) of patients who underwent MAG3-scintigraphy and
contrast-enhanced abdominal CT within two weeks were retrospectively analyzed.
Two independent readers assessed the CT-RCV in all CT datasets using a semi
automated volumetry tool. The MAG3-scintigraphy and CT-RCV methods were compared,
stratified for the eGFR. Statistical analysis included descriptive statistics as
well as inter- observer agreement. RESULTS: The absolute mean difference between
the percentage contribution of the left and the right kidneys in total MAG3
clearance was 8.6%. Independent of eGFR, an overall sufficient agreement between
both methods was established in all patients. A relatively small, tolerable
systemic error resulted in an underestimation (max. 2%) of the left renal
contribution to overall RCV. CONCLUSION: The results demonstrate that CT-RCV is a
potential clinical replacement for MAG3-scintigraphy for calculation of SRF: CT
RCV demonstrates clinically tolerable differences with MAG3-scintigraphy,
independent of patient eGFR. The relative complexity of the RCV method utilized
is a potential limitation and may have contributed to the acceptable but only
fair to moderate level of intra-reader reliability.
PMID- 29803375
TI - Irradiation provided by dental radiological procedures in a pediatric population.
AB - BACKGROUND: Children are more sensitive to ionizing radiation effects due to
their high radiosensitivity. PURPOSE: To estimate doses and risks for dental
radiological examinations in children. MATERIAL AND METHODS: A pediatric
population consisting of 7150 children and young adults which underwent 12252
dental radiological examinations (4220 intraoral, 1324 cephalometric, 5284
panoramic radiographs and 1424 CBCTs) within two years were included. Two groups
were studied: CBCT group (exposed to CBCT +/- conventional radiographs) and 2D
group (exposed only to 2D radiological examinations). The effective doses were
corrected according to age at exposure and settings parameters (mA;FOV) by using
logarithmic fit equations for dose interpolation. The individual cumulative dose,
per-caput collective dose and radiation risk were calculated for each group.
RESULTS: The median effective and cumulative doses for conventional radiographs
were lower than 20 MUSv and did not vary with age. Children exposed to CBCT had a
higher median effective dose (127.2 MUSv) and cumulative dose (156.5 MUSv) with a
significant increased cumulative dose between 11 and 14 years. The CBCT
contributed with 70% to the collective dose and per caput collective dose was 184
MUSv for CBCT exposures. The Life Attributable Risk (LAR) and Relative Radiation
Level (RRL) were significantly higher for children exposed to CBCT under the age
of 18. The highest radiation dose for CBCT was equivalent with 34.1 days of
natural background radiation and it was found for ages between 11 and 15.
CONCLUSION: The CBCT doses and radiation risk vary but remain in the lower levels
of the relative risk of medical exposures.
PMID- 29803376
TI - Quantitative contrast-enhanced ultrasound evaluation of pathological complete
response in patients with locally advanced breast cancer receiving neoadjuvant
chemotherapy.
AB - PURPOSE: To clarify whether the quantitative parameters of contrast-enhanced
ultrasound (CEUS) can be used to predict pathological complete response (pCR) in
patients with locally advanced breast cancer receiving neoadjuvant chemotherapy
(NAC). MATERIAL AND METHODS: Fifty-one patients with histologically proved
locally advanced breast cancer scheduled for NAC were enrolled. The quantitative
data for CEUS and the tumor diameter were collected at baseline and before
surgery, and compared with the pathological response. Multiple logistic
regression analysis was performed to examine quantitative parameters at CEUS and
the tumor diameter to predict the pCR, and receiver operating characteristic
(ROC) curve analysis was used as a summary statistic. RESULTS: Multiple logistic
regression analysis revealed that PEAK (the maximum intensity of the time
intensity curve during bolus transit), PEAK%, TTP% (time to peak), and diameter%
were significant independent predictors of pCR, and the area under the ROC curve
was 0.932(Az1), and the sensitivity and specificity to predict pCR were 93.7% and
80.0%. The area under the ROC curve for the quantitative parameters was
0.927(Az2), and the sensitivity and specificity to predict pCR were 81.2% and
94.3%. For diameter%, the area under the ROC curve was 0.786 (Az3), and the
sensitivity and specificity to predict pCR were 93.8% and 54.3%. The values of
Az1 and Az2 were significantly higher than that of Az3 (P = 0.027 and P = 0.034,
respectively). However, there was no significant difference between the values of
Az1 and Az2 (P = 0.825). CONCLUSION: Quantitative analysis of tumor blood
perfusion with CEUS is superior to diameter% to predict pCR, and can be used as a
functional technique to evaluate tumor response to NAC.
PMID- 29803377
TI - Retained bullets in the head on computed tomography - Get the most out of
iterative metal artifact reduction.
AB - BACKGROUND: Metal artifacts from retained bullets impair the image quality on
computed tomography (CT) and may compromise the detection of critical lesions or
the bullet path. To reduce metallic artifacts from medical implants on CT,
special algorithms have been developed, e.g., iterative metal artifact reduction
(iMAR). The aim of this prospective study was to evaluate the application of iMAR
in cases of retained bullets. MATERIALS AND METHODS: In this study, nine
different types of projectiles (n = 9) were selected to evaluate the effect of
iMAR. The study consisted of two settings. In the first setting, each projectile
was fixed on a thin thread and placed in the middle of a water-filled container
to demonstrate the effect of iMAR in a homogenous medium. In the second setting,
each projectile was placed in the severed head of a pig cadaver as a substitute
for human tissue to evaluate iMAR in cases of retained bullets. The raw data from
CT scans of both settings were reconstructed with and without iMAR (standard
filtered back-projection). The reconstructions with iMAR were calculated using
eight different presets provided by the software, namely, neuro-coils, dental
fillings, spine implants, shoulder implants, pacemaker, thoracic-coils, hip
implants and extremity implants. For each setting, nine reconstructions (n = 9;
iMAR: n = 8; without iMAR: n = 1) for each projectile were subjectively evaluated
for the image quality and extent of streak artifacts by ten independent and
blinded raters (residents: n = 5; radiologists: n = 5). The reconstructions of
the second setting were evaluated in a soft tissue window and bone window. A 5
point Likert scale was used for the evaluation of image quality based on the
extent of streaks as follows: 1 = severe; 2 = considerable; 3 = moderate; 4 =
minimal; and 5 = not apparent. Kendall's W was used for assessing agreement among
the ten raters. The Wilcoxon test was used to reveal whether there was a
difference in the subjective evaluations between residents and radiologists.
Nonparametric Friedman and post hoc tests were used to analyze the Likert scores.
The mean difference was considered significant at the 0.05 level. RESULTS: The
agreement among the raters was reasonably high for all projectiles in both
settings. In the phantom setting, the iMAR presets neuro-coils and dental
fillings yielded the best results. In the pig's head setting regarding the soft
tissue window, the presets neuro-coils and extremity implants were preferred.
Regarding the bone window, the presets extremity implants and hip implants had
the best results. Statistical significance (p < 0.01) between reconstructions
without iMAR and neuro-coils or extremity implants was calculated. The iMAR
preset spine implants had poor values comparable to reconstructions without iMAR.
CONCLUSION: The applied iMAR presets revealed different effects on the image
quality. Selecting an inappropriate preset (e.g., spine implants) may result in
unsatisfactory artifact reduction. The results of this study indicate that the
neuro-coils preset is the most appropriate preset for soft tissue, and the preset
extremity implants is favorable for bones in cases of retained bullets.
PMID- 29803378
TI - Effects of repetitive prolonged breath-hold in elite divers on myocardial
fibrosis and cerebral morphology.
AB - BACKGROUND: Prolonged apnea by breath-hold (BH) divers leads to hypoxemia and
compensatory mechanisms of the cardiovascular system (i.e. increase of total
peripheral resistance, increase of systolic blood-pressure, left-ventricular
enlargement) to maintain oxygen supply to oxygen sensitive organs such as the
brain. All these changes may result in structural myocardial or subclinical brain
alterations. Therefore, the aim of this study was to investigate mid-term effects
of repetitive prolonged apnea using cardiac magnetic resonance imaging (CMR) and
magnetic resonance imaging of the brain. MATERIALS AND METHODS: 17 elite BH
divers (15 males) were investigated at baseline, from whom 9 (7 males) were
investigated again at follow-up one year later. CMR included functional imaging
and tissue characterization using T1- and T2-mapping as well as late gadolinium
enhancement. Results were compared intra-individually and with 50 age matched
controls. RESULTS: Mean BH time were 297 +/- 52 s (entire cohort) and 315 +/- 56
s (sub-cohort) at initial, and 334 +/- 104 s at follow-up examination. Apnea
resulted in a progressive increase of the left ventricle and impaired function,
whichfully resolved after cessation of apnea. At rest, no dilation of the left
ventricle was notable (LV-EDV: 106.7 +/- 28.8 ml; LV-EDV/BSA: 52.2 +/- 12.7
ml/m2). Compared to controls, the apnea group showed significantly lower volumes
(LV-EDV: 106.7 +/- 28.8 ml vs. 140.9 +/- 36.3 ml, p = .008; LV-EDV/BSA: 52.2 +/-
12.7 ml/m2 vs. 73.7 +/- 12.8 ml/m2). In contrast, LV-EF showed no significant
differences between both groups (61.0 +/- 7.0% vs. 60.9 +/- 3.6%). T1- and T2
mapping revealed no significant differences, neither intra-individually nor in
comparison with age matched controls. (T1 pre-contrast: 974.1 +/- 12.9 ms vs.
969.4 +/- 29.0 ms, p = .2; T1 post-contrast: 368.9 +/- 38.5 ms vs. 966.7 +/- 40.5
ms, p = .4; ECV: 29.2 +/- 1.5% vs. 29.8 +/- 1.6%, p = .3; T2. 52 +/- 2 ms vs. 52
+/- 3 ms; p = .4). Except for one old embolic lesion no structural changes were
found in brain imaging. CONCLUSION: Although, prolonged apnea leads to impressive
adaptions of the cardiovascular system (i.e. dilation of the left ventricle) and
hypertension due to peripheral vasoconstriction no mid-term morphological changes
could be observed in both, the myocardium and the brain. BH divers are suitable
as a model to investigate acute physiological changes of prolonged apnea and
hypoxemia, but not as a model for chronic alterations.
PMID- 29803379
TI - Dual-layer spectral detector CT monoenergetic reconstruction improves image
quality of non-contrast cerebral CT as compared with conventional single energy
CT.
AB - PURPOSE: To investigate and compare image quality of monoenergetic
reconstructions from spectral NCCT to conventional 120 kVp images acquired at a
similar dose. MATERIALS AND METHODS: Patients undergoing NCCT on a dual-layer
spectral detector CT (n = 30) and a conventional CT (n = 30) were enrolled in the
study. The spectral detector CT data was reconstructed at monoenergetic images
from 40 to 140 keV in 5-keV increments and 65-70 keV in 1-keV increments (Group
A1) and using single energy CT equivalent reconstruction (Group A2). The
reference conventional 120kVp images (Group B) were acquired using a standard-of
care protocol with the same radiation dose. We evaluated the image quality of
monoenergetic images and determined the optimal keV level using HU attenuation,
noise, signal-to-noise ratio (SNR), contrast-to-noise ratio (CNR), artifact
evaluation in posterior fossa by placing region-of-interest (ROI) and subjective
image score by 2 radiologists independently using a 4-point scale (1-excellent, 4
undiagnostic). RESULTS: The SNR and subjective image score were optimal at 66
70keV, while monoenergetic 68 keV images with a higher SNR (18.48 +/- 1.94, 15.55
+/- 1.56 and 14.33 +/- 1.38 for Group 68keV, A2 and B respectively, p < 0.001),
CNR (4.09 +/- 0.65, 3.43 +/- 0.56 and 3.52 +/- 0.55 for Group 68keV, A2 and B
respectively, p < 0.001) and a lower noise (1.80 +/- 0.19, 2.11 +/- 0.19 and 2.25
+/- 0.25 for Group 68keV, A2 and B respectively, p < 0.001). CONCLUSION: Spectral
NCCT monoenergetic reconstructions at 68 keV improve image quality and reduce
artifact compared to conventional single energy CT without radiation dose
penalty.
PMID- 29803380
TI - The value of shear wave elastography in predicting for undiagnosed small cervical
lymph node metastasis in nasopharyngeal carcinoma: A preliminary study.
AB - BACKGROUND: To investigate the diagnostic value of shear wave elastography (SWE)
in identifying cervical small lymph node metastases in nasopharyngeal carcinoma
(NPC) patients. MATERIALS AND METHODS: This prospective study was approved by the
local institutional review board. From July 2014 to March 2016, 114 sLNs from 62
newly diagnosed NPC patients (47 men, 15 women) were assessed. Target small lymph
nodes (sLNs), which were undiagnosed by magnetic resonance imaging (MRI), were
defined as scattered cervical lymph nodes that had no evidence of central
necrosis or extracapsular spread and exhibited a maximum transverse diameter >=5
mm and <10 mm in MRI. The mean (Emean), minimum (Emin) and maximum (Emax) of the
elasticity indices (EIs) were measured by SWE at the stiffest part of the sLN
(kPa). Biopsy pathology was served as the reference standard. Diagnostic
performances were assessed using receiver operating curve (ROC) analysis on a
node-by-node basis. RESULTS: Of the 114 small cervical lymph nodes, 88 (77.2%)
were benign, and 26 (22.8%) were malignant. All SWE EIs were significantly higher
in malignant sLNs than in benign sLNs (p < 0.001). Emean exhibited the highest
diagnostic value (area under the curve = 0.879 +/- 0.036) (p < 0.001) and the
corresponding sensitivity, specificity, positive predictive value, negative
predictive value and accuracy of 84.6%, 83.0%, 59.5%, 94.8% and 83.3%,
respectively. The intra-observer reproducibility of all SWE EIs were significant,
with intra-class correlation coefficient (ICC) of 0.745 in Emean, 0.716 in Emax
and 0.702 in Emin. CONCLUSION: Shear wave elastography is an optional
supplementary imaging modality to routine MRI examination to diagnose cervical
lymph nodes in NPC patients.
PMID- 29803382
TI - Diagnosis of glioma recurrence using multiparametric dynamic 18F-fluoroethyl
tyrosine PET-MRI.
AB - OBJECTIVES: To investigate the value of combined 18F-fluorethyltyrosine-(FET)
PET/MRI for differentiation between recurrence and treatment-related changes in
glioma patients. METHODS: 63 lesions suggestive of recurrence in 47 glioma
patients were retrospectively identified. All patients had a dynamic FET scan, as
well as morphologic MRI, PWI and DWI on a hybrid PET/MRI scanner. Lesions
suggestive of recurrence were marked. ROC analysis was performed univariately and
on parameter combination. RESULTS: 50 lesions were classified as recurrence, 13
as radiation necrosis. Diagnosis was based on histology in 23 and follow-up
imaging in 40 cases. Sensitivities and specificities for static PET were 80 and
85%, 66% and 77% for PWI, 62 and 77% for DWI and 64 and 79% for PET time-to-peak.
AUC was 0.86 (p < 0.001) for static PET, 0.73 (p = 0.013) for PWI, 0.70 (p =
0.030) for DWI and 0.73 (p < 0.001) for dynamic PET. Multiparametric analysis
resulted in an AUC of 0.89, notably yielding sensitivity of 76% vs. 56% for PET
alone at 100% specificity. CONCLUSION: Simultaneous dynamic FET-PET/MRI was
reliably feasible for imaging of recurrent glioma. While all modalities were able
to discriminate between recurrence and treatment-related changes, multiparametric
analysis added value especially when high specificity was demanded.
PMID- 29803381
TI - T1 mapping on gadoxetic acid-enhanced MR imaging predicts recurrence of
hepatocellular carcinoma after hepatectomy.
AB - PURPOSE: Our purpose was to demonstrate the prognostic significance of T1 mapping
on gadoxetic acid-enhanced MR imaging in prediction of recurrence of single HCC
after hepatectomy. MATERIALS AND METHODS: One hundred and seven patients with
single nodular HCC (<=3 cm) who underwent preoperative gadoxetic acid-enhanced
MRI were included in the study. T1 mapping with syngo MapIt was obtained on a 1.5
T scanner. Radiological features and reduction rate of T1 relaxation time
(Delta%) of tumors were assessed by two radiologists. Cumulative recurrence rates
were compared between groups of low and high reduction rate of T1 relaxation
time. A further classified cumulative recurrence rate of the overall cohort was
based on the numbers of independent predictive factors. RESULTS: Reduction rate
of T1 relaxation time (P = 0.001) and non-hypervascular hypointense nodules (P =
0.042) in preoperative gadoxetic acid-enhanced MRI were independently related to
recurrence of HCC after hepatectomy. Patients of lower reduction rates group had
higher cumulative recurrence rates (P < 0.0001) than patients of higher reduction
rates group. A combination of the two risk factors in patients with single HCC
had significantly higher recurrence rates compared to those with either or none
of the two risk factors. CONCLUSIONS: Reduction rate of T1 relaxation time
combined with non-hypervascular hypointense nodules can be reliable biomarkers in
the preoperative prediction of recurrence of HCC after hepatectomy.
PMID- 29803383
TI - Useful computed tomography features for differentiating between focal atelectasis
and pleural dissemination on preoperative evaluations of thymic epithelial
tumors.
AB - PURPOSE: Distinguishing between focal atelectasis (FA) and pleural dissemination
(PD) is important for determining the optimal therapeutic strategy for thymic
epithelial tumors (TET). This study aimed to identify useful computed tomography
(CT) features for distinguishing between these two conditions. MATERIALS AND
METHODS: We retrospectively analyzed preoperative CT images of 27 TET, which
included 40 PD and 40 FA lesions. Two radiologists independently interpreted the
pleural lesions without knowing the final diagnosis. The CT images were evaluated
to assess the lesion location, size, and shape, presence of a spinous shadow and
ground glass opacities (GGO) near to the lesion, and the shortest distance from
the lesion to the nearest peripheral pulmonary artery (PA). RESULTS: FA lesions
tended to occur on the dorsal side (90%, P = 0.024); have shorter major and minor
axes (P < 0.001), a triangular shape (43%, P = 0.002), a spinous shadow (45%, P =
0.001) and GGO (28%, P = 0.006); and be close to a peripheral PA (P = 0.007).
Ninety percent of PD lesions were located in the left thorax, and all of them
were ipsilateral to the tumor (both P < 0.001). The 9 examined factors exhibited
sensitivity, specificity, positive predictive, and negative predictive values of
85%, 95%, 94%, and 86%, respectively, for diagnosing FA (when >=3 factors were
present), and 90%, 48%, 63%, and 83%, respectively, for diagnosing PD (when >=4
factors were present). CONCLUSION: The site, size, and shape of a lesion; the
presence of a spinous shadow/GGO; and the distance to the nearest PA are useful
for distinguishing between PD and FA.
PMID- 29803384
TI - Prediction of histological grade of endometrial cancer by means of MRI.
AB - OBJECTIVES: To evaluate the ability of MRI in predicting histological grade of
endometrial cancer (EC). METHODS: IRB-approved retrospective study; requirement
for informed consent was waived. 90 patients with histologically proven EC who
underwent preoperative MRI and surgery at our Institution between Sept2011 and
Nov2016 were included. Myometrial invasion (>50%) was assessed. Neoplasm and
uterus volumes were estimated according to the ellipsoid formula; neoplasm/uterus
volume ratio (N/U) was calculated. ADC maps were generated and histogram analysis
was performed using commercially available software. MRI parameters were compared
with the definitive histological grade (G1 = 28 patients, G2 = 29, G3 = 33) using
ANOVA and Tukey-Kramer tests. RESULTS: Deep myometrial invasion was significantly
more frequent in G2-G3 lesions than in G1 ones (p < 0,005). N/U ratio was
significantly higher for high-grade neoplasms (mean 0,08 for G1, 0,16 for G2 and
0,21 in G3; P = 0,002 for G1 vs. G2-G3); a cut off value of 0,13 enabled to
distinguish G1 from G2-G3 lesions with 50% sensibility and 89% specificity. ADC
values didn't show any statistically significant correlation with tumour grade.
CONCLUSIONS: N/U ratio >0.13 and deep myometrial invasion are significantly
correlated with high grade EC, whereas ADC values are not useful for predicting
EC grade.
PMID- 29803386
TI - Evaluation of 2-point, 3-point, and 6-point Dixon magnetic resonance imaging with
flexible echo timing for muscle fat quantification.
AB - The purpose of this study is to evaluate and compare 2-point (2pt), 3-point
(3pt), and 6-point (6pt) Dixon magnetic resonance imaging (MRI) sequences with
flexible echo times (TE) to measure proton density fat fraction (PDFF) within
muscles. Two subject groups were recruited (G1: 23 young and healthy men, 31 +/-
6 years; G2: 50 elderly men, sarcopenic, 77 +/- 5 years). A 3-T MRI system was
used to perform Dixon imaging on the left thigh. PDFF was measured with six Dixon
prototype sequences: 2pt, 3pt, and 6pt sequences once with optimal TEs (in- and
opposed-phase echo times), lower resolution, and higher bandwidth (optTE
sequences) and once with higher image resolution (highRes sequences) and shortest
possible TE, respectively. Intra-fascia PDFF content was determined. To evaluate
the comparability among the sequences, Bland-Altman analysis was performed. The
highRes 6pt Dixon sequences served as reference as a high correlation of this
sequence to magnetic resonance spectroscopy has been shown before. The PDFF
difference between the highRes 6pt Dixon sequence and the optTE 6pt, both 3pt,
and the optTE 2pt was low (between 2.2% and 4.4%), however, not to the highRes
2pt Dixon sequence (33%). For the optTE sequences, difference decreased with the
number of echoes used. In conclusion, for Dixon sequences with more than two
echoes, the fat fraction measurement was reliable with arbitrary echo times,
while for 2pt Dixon sequences, it was reliable with dedicated in- and opposed
phase echo timing.
PMID- 29803385
TI - CT-based radiomic model predicts high grade of clear cell renal cell carcinoma.
AB - PURPOSE: To compare the predictive models that can incorporate a set of CT image
features for preoperatively differentiating the high grade (Fuhrman III-IV) from
low grade (Fuhrman I-II) clear cell renal cell carcinoma (ccRCC). MATERIAL AND
METHODS: One hundred and fourteen patients with ccRCC treated with a partial or
radical nephrectomy were enrolled in the training cohort. The six non-texture
features, including Pseudocapsule, Round mass, maximal tumor diameter
(Diametermax), intratumoral artery (Arterytumor), enhancement value of the tumor
(TEV) and relative TEV (rTEV), were assessed for each tumor. The texture features
were extracted from the CT images of the section with the largest area of renal
mass at both corticomedullary and nephrographic phases. The least absolute
shrinkage and selection operator (LASSO) was used to screen the most valuable
texture features to calculate a texture score (Texture-score) for each patient. A
logistic regression model was used in the training cohort to discriminate the
high from low grade ccRCC at nephrectomy. The predictors would include all non
texture features in Model 1, all non-texture features and Texture-score in Model
2, and Texture-score in Model 3. The performance of the predictive models were
tested and compared in an independent validation cohort composed of 92 cases with
ccRCC. RESULTS: Inter-rater agreement was good for each non-texture feature and
Texture-score (the concordance correlation coefficient or Kappa coefficient >
0.70). The Texture-score was calculated via a linear combination of the 4
selected texture features. The three models shown good discrimination of the high
from low grade ccRCC in the training cohort and the area under receiver operating
characteristic curve (AUC) was 0.826 in Mode 1, 0.878 in Model 2 and 0.843 in
Model 3, and a significant different AUC was found between Model 1 and Model 2.
Application of the predictive models in the validation cohort still gave a
discrimination (AUC > 0.670), and the Texture-score based models with or without
the non-texture features (Model 2 and 3) showed a better discrimination of the
high from low grade ccRCC (P < 0.05). CONCLUSION: This study presented the
Texture-score based models can facilitate the preoperative discrimination of the
high from low grade ccRCC.
PMID- 29803387
TI - Combined hyperpolarized 13C-pyruvate MRS and 18F-FDG PET (hyperPET) estimates of
glycolysis in canine cancer patients.
AB - : 13C Magnetic Resonance Spectroscopy (MRS) using hyperpolarized 13C-labeled
pyruvate as a substrate offers a measure of pyruvate-lactate interconversion and
is thereby a marker of the elevated aerobic glycolysis (Warburg effect) generally
exhibited by cancer cells. Here, we aim to compare hyperpolarized [1-13C]pyruvate
MRS with simultaneous 18F-2-fluoro-2-deoxy-d-glucose (FDG) PET in a cross
sectional study of canine cancer patients. METHODS: Canine cancer patients
underwent integrated PET/MRI using a clinical whole-body system. Hyperpolarized
[1-13C]pyruvate was obtained using dissolution-DNP. 18F-FDG PET, dynamic 13C MRS,
13C MRS Imaging (MRSI) and anatomical 1H MRI was acquired from 17 patients.
Apparent pyruvate-to-lactate rate constants were estimated from dynamic 13C MRS.
18F-FDG Standard Uptake Values and maximum [1-13C]lactate-to-total-13C ratios
were obtained from tumor regions of interest. Following inspection of data,
patients were grouped according to main cancer type and linear regression between
measures of lactate generation and 18F-FDG uptake were tested within groups.
Between groups, the same measures were tested for group differences. RESULTS: The
main cancer types of the 17 patients were sarcoma (n = 11), carcinoma (n = 5) and
mastocytoma (n = 1). Significant correlations between pyruvate-to-lactate rate
constants and 18F-FDG uptake were found for sarcoma patients, whereas no
significant correlations appeared for carcinoma patients. The sarcoma patients
showed a non-significant trend towards lower 18F-FDG uptake and higher lactate
generation than carcinoma patients. However, the ratio of lactate generation to
18F-FDG uptake was found to be significantly higher in sarcoma as compared to
carcinoma. The results were found both when lactate generation was estimated as
an apparent pyruvate-to-lactate rate constant from dynamic 13C MRS and as an [1
13C]lactate to total 13C ratio from 13C MRSI. CONCLUSIONS: A comparison of
hyperpolarized [1-13C]pyruvate MRS with simultaneous 18F-FDG PET indicate that
lactate generation and 18F-FDG uptake in cancers can be related and that their
relation depend on cancer type. This finding could be important for the
interpretation and eventual clinical implementation of hyperpolarized 13C. In
addition, the differences between the two modalities may allow for better
metabolic phenotyping performing hybrid imaging in the form of hyperPET.
PMID- 29803388
TI - Renal iron deposition by magnetic resonance imaging in pediatric beta-thalassemia
major patients: Relation to renal biomarkers, total body iron and chelation
therapy.
AB - BACKGROUND: The reciprocal of multiecho gradient-echo (ME-GRE) T2* magnetic
resonance imaging (MRI) R2*, rises linearly with tissue iron concentration in
both heart and liver. Little is known about renal iron deposition in beta
thalassemia major (beta-TM). AIM: To assess renal iron overload by MRI and its
relation to total body iron and renal function among 50 pediatric patients with
beta-TM. METHODS: Serum ferritin, serum cystatin C, urinary albumin creatinine
ratio (UACR), and urinary beta2-microglobulin (beta2 M) were measured with
calculation of beta2 M/albumin ratio. Quantification of liver, heart and kidney
iron overload was done by MRI. RESULTS: Serum cystatin C, UACR and urinary beta2
microglobulin as well as urinary beta2m/albumin were significantly higher in beta
TM patients than the control group. No significant difference was found as
regards renal R2* between Patients with mean serum ferritin above 2500 MUg/L and
those with lower serum cutoff. Renal R2* was higher in patients with poor
compliance to chelation therapy and positively correlated to indirect bilirubin,
LDH, cystatin C and LIC but inversely correlated to cardiac T2*. CONCLUSION:
kidney iron deposition impairs renal glomerular and tubular functions in
pediatric patients with beta-TM and is related to hemolysis, total body iron
overload and poor compliance to chelation.
PMID- 29803389
TI - Influence of gantry rotation time and scan mode on image quality in ultra-high
resolution CT system.
AB - OBJECTIVES: To investigate the image quality of helical scan (HS) mode and non
helical scan (non-HS) mode on ultra-high-resolution CT in different gantry
rotation time. METHODS: non-HS with 0.35 s/rot (non-HS200 mA/0.35 s). Three
observers compared each non-HS image with HS image, and scored non-HS images by
using 3-point scale, paying attention to normal findings, abnormal findings,
noise, streak artifact, and overall image quality. Statistical analysis was
performed with Steel-Dwass test. RESULTS: Overall image quality (score: 2.45) and
noise (score: 2.42) of non-HS 200 mA/1.5s was statistically best (p < 0.0005).
Overall Image quality and noise of non-HS200 mA/0.75 s (score: 2.0) was
comparable to that of HS200 mA/1.5 s. CTDIvol of HS200 mA/1.5 s is 23.2 mGy.
CTDIvol of non-HS200 mA/1.5 s, non-HS200 mA/0.75 s, non-HS200 mA/0.35 s is 19.2
mGy, 9.8 mGy, 4.7 mGy. CONCLUSION: Overall image quality and noise of non-helical
scan is better than that of helical scan in the same rotation time. Overall Image
quality of non-HS200 mA/0.75 s is comparable to that of HS200 mA/1.5 s, though
the radiation dose of non-HS200 mA/0.75 s is lower than that of HS200 mA/1.5 s.
PMID- 29803390
TI - Clinical utility of real-time ultrasound-multimodality fusion guidance for
percutaneous biopsy of focal liver lesions.
AB - OBJECTIVES: To prospectively evaluate the clinical value of real-time
ultrasonography (US)-computed tomography (CT)/magnetic resonance imaging (MRI)
fusion imaging for percutaneous needle biopsy of focal liver lesions (FLLs), and
to compare its biopsy success rate with that of conventional US-guided biopsy in
a propensity-score matched group. METHODS: This study was approved by our
Institutional Review Board and informed consent was obtained from all patients
enrolled in the prospective study group. Ninety patients referred to the
Department of Radiology for percutaneous biopsy of FLLs were enrolled in this
study. Tumor visibility, attainment of a safe access route, and technical
feasibility were assessed on conventional US first and later on real-time fusion
imaging by one of four abdominal radiologists. Thereafter, differences in scores
between real-time fusion imaging and conventional US were determined. In
addition, overall diagnostic success rates of a real-time fusion imaging-guided
biopsy group and a propensity-score matched, conventional US-guided biopsy group,
consisting of 100 patients used as historical control, were compared. RESULTS:
With real-time fusion imaging, tumor visibility, attainment of a safe access
route, and operator's technical feasibility were significantly improved compared
with conventional US (P < .001). In addition, all invisible (n = 13) and not
feasible (n = 10) FLLs on conventional US became visible and feasible for
percutaneous US-guided biopsy after applying the fusion system. The diagnostic
success rate of real-time fusion-guided biopsy was 94.4% (85/90), which was
significantly better than that obtained with the conventional US-guided biopsy
(94.4% vs. 83%, P < .03), with reduced biopsy procedure times (7.1 +/- 3.5 vs.
9.7 +/- 2.8, P < .02). CONCLUSIONS: Real-time US-CT/MR fusion imaging guidance
was able to provide clinical value for percutaneous needle biopsy of FLLs by
improving the diagnostic success rate of biopsy and by reducing procedure time.
PMID- 29803391
TI - Quantitative analysis of background parenchymal enhancement in whole breast on
MRI: Influence of menstrual cycle and comparison with a qualitative analysis.
AB - OBJECTIVE: We quantitatively analyzed background parenchymal enhancement (BPE) in
whole breast according to menstrual cycle and compared it with a qualitative
analysis method. MATERIALS AND METHODS: A data set of breast magnetic resonance
imaging (MRI) from 273 breast cancer patients was used. For quantitative
analysis, we used semiautomated in-house software with MATLAB. From each voxel of
whole breast, the software calculated BPE using following equation: [(signal
intensity [SI] at 1 min 30 s after contrast injection - baseline SI)/baseline SI]
* 100%. RESULTS: In total, 53 patients had minimal, 108 mild, 87 moderate, and 25
marked BPE. On quantitative analysis, mean BPE values were 33.1% in the minimal,
42.1% in the mild, 59.1% in the moderate, and 81.9% in the marked BPE group
showing significant difference (p = .009 for minimal vs. mild, p < 0.001 for
other comparisons). Spearman's correlation test showed that there was strong
significant correlation between qualitative and quantitative BPE (r = 0.63, p <
0.001). The mean BPE value was 48.7% for patients in the first week of the
menstrual cycle, 43.5% in the second week, 49% in the third week, and 49.4% for
those in the fourth week. The difference between the second and fourth weeks was
significant (p = .005). Median, 90th percentile, and 10th percentile values were
also significantly different between the second and fourth weeks but not
different in other comparisons (first vs. second, first vs. third, first vs.
fourth, second vs. third, or third vs. fourth). CONCLUSION: Quantitative analysis
of BPE correlated well with the qualitative BPE grade. Quantitative BPE values
were lowest in the second week and highest in the fourth week.
PMID- 29803393
TI - Usefulness of new subtraction algorithm in estimating degree of liver fibrosis by
calculating extracellular volume fraction obtained from routine liver CT protocol
equilibrium phase data: Preliminary experience.
AB - OBJECTIVES: To assess whether extracellular volume fraction (ECV) obtained from
routine liver CT equilibrium phase data utilizing new subtraction algorithm is
useful in estimating the degree of liver fibrosis. MATERIALS AND METHODS:
Consecutive 41 patients, 21 men and 20 women, with chronic liver diseases who
underwent quadri-phase liver CT and MR elastography within 3 months were
retrospectively enrolled. Subtraction image of unenhanced from equilibrium phase
(240 s) images using conventional and new algorithms were made. We firstly
assessed the quality of these subtraction algorithms using patients in whom
anatomical misregistration between the two image sets were prominent. Then, ECVs
were calculated using both subtraction data sets (ECV-convSub, and ECV-newSub,
respectively). ECV were also calculated by traditional manual method (ECV-man).
Correlation coefficients of 3 types of ECV were compared using liver stiffness
(kPa) as measured by MR elastography and pathologically proven fibrosis grades as
reference standards. RESULTS: For eleven patients with prominent anatomical
misregistration between the unenhanced and equilibrium phases, new algorithm
provided significantly better subtraction images than the conventional one (p =
0.001, Wilcoxon's signed rank test). As for correlation with liver stiffness, R2
for ECV-man, ECV-convSub, and ECV-newSub, were 0.57, 0.59, and 0.66, respectively
(all p < 0.0001, Pearson's correlation). Histological assessment for fibrosis
grades were available in 20 patients, and rho values for these three ECVs were
0.66, 0.61, and 0.71, respectively (all p < 0.01, Spearman's rank correlation).
CONCLUSION: ECV-newSub showed better correlation to liver stiffness and
pathological fibrosis grades than ECV-convSub and ECV-man, which could be a
reliable biomarker of liver fibrosis obtained from routine clinical diagnostic
imaging data, where equilibrium phase delay time was set at 240 s.
PMID- 29803394
TI - Dissection of the deep-blue autofluorescence changes accompanying amyloid
fibrillation.
AB - Pathogenesis of numerous diseases is associated with the formation of amyloid
fibrils. Extrinsic fluorescent dyes, including Thioflavin T (ThT), are used to
follow the fibrillation kinetics. It has recently been reported that the so
called deep-blue autofluorescence (dbAF) is changing during the aggregation
process. However, the origin of dbAF and the reasons for its change remain
debatable. Here, the kinetics of fibril formation in model proteins were
comprehensively analyzed using fluorescence lifetime and intensity of ThT,
intrinsic fluorescence of proteinaceous fluorophores, and dbAF. For all systems,
intensity enhancement of the dbAF band with similar spectral parameters (~350 nm
excitation; ~450 nm emission) was observed. Although the time course of ThT
lifetime (indicative of protofibrils formation) coincided with that of tyrosine
residues in insulin, and the kinetic changes in the ThT fluorescence intensity
(reflecting formation of mature fibrils) coincided with changes in ThT absorption
spectrum, the dbAF band started to increase from the beginning of the incubation
process without a lag-phase. Our mass-spectrometry data and model experiments
suggested that dbAF could be at least partially related to oxidation of amino
acids. This study scrutinizes the dbAF features in the context of the existing
hypotheses about the origin of this spectral band.
PMID- 29803396
TI - [Prevalence of dynapenia in patients over 65 years].
PMID- 29803392
TI - Whole-body diffusion-weighted MR and FDG-PET/CT in Hodgkin Lymphoma: Predictive
role before treatment and early assessment after two courses of ABVD.
AB - PURPOSE: To evaluate whether imaging features of pathologic lymph nodes on whole
body diffusion-weighted MR have a predictive role before treatment and may assess
the response after two courses of chemotherapy in comparison to FDG-PET/CT in
Hodgkin Lymphoma. MATERIALS AND METHODS: We reviewed the whole-body MR and FDG
PET/CT performed on 41 patients with Hodgkin Lymphoma before and after two
Doxorubicin-Bleomycin-Vinblastine-Dacarbazine (ABVD). Responder and non-responder
lesions were identified on interim-FDG-PET/CT performed after two ABVD. We used
Multivariate Generalized Estimating Equations model to assess statistical
association between being-responder and baseline-Maximum Standard Uptake Value
(SUVmax), baseline and interim-Apparent Diffusion Coefficient (ADC) and size, ADC
and size changes during chemotherapy, site of disease, bulky, and stage. RESULTS:
10/41 (24%) patients were positive on interim-FDG-PET/CT. The interim-FDG-PET/CT
positivity was associated with worse cumulative survival rate at 24 months in
comparison to interim-FDG-PET/CT negativity (P < .05); 3/10 patients with
positive interim-FDG-PET/CT and 1/31 with negative interim-FDG-PET/CT experienced
disease progression. Baseline-SUVmax was 11.18 +/- 5.58 (3.1-28.0) and baseline
ADC was 0.70 +/- 0.14 * 10-3 mm2/s (0.39-0.98). There was a significant
difference between responder and non-responder lesions based on interim-ADC (1.83
+/- 0.34 * 10-3 mm2/s vs. 1.01 +/- 0.27 * 10-3 mm2/s;p <.001), interim-size (3.1
cm2 vs. 9.4 cm2;p = .009), and bulky (8.2% vs. 66.7%;p = .002). There was no
significant difference between responder and non-responder lesions based on
baseline-SUVmax (p = .713), baseline-ADC (p = .253), ADC changes (p = .058), size
changes (p = .085), site (p = .209), stage (p = .290), baseline-size (p = .064).
CONCLUSIONS: Interim-ADC is helpful for identifying non-responder lesions, while
size changes are not useful. Baseline-SUVmax and ADC have no predictive role.
Bulky is the most useful imaging parameter to predict suboptimal response to
chemotherapy.
PMID- 29803395
TI - The potential role of thyrotropin-releasing hormone in colonic dysmotility
induced by water avoidance stress in rats.
AB - OBJECTIVE: This study sought to investigate the effect and underlying mechanism
of thyrotrophin releasing hormone (TRH) on colonic contractile disorders induced
by chronic water avoidance stress (WAS). METHODS: Male SD rats were exposed to
daily 1-h WAS or sham WAS for 10 consecutive days. The presence of TRH in the
serum and colonic mucosa were determined using enzyme immunoassay kits.
Immunohistochemistry and western blotting were performed to detect the expression
of TRH receptor 1 (TRH-R1). The contractions of proximal colonic smooth muscle
were studied in an organ bath system. The whole-cell patch-clamp technique was
used to record the currents of both L-type calcium currents (ICa,L) and large
conductance Ca2+-activated K+ (BKCa) channels in colonic smooth muscle cells
(SMCs) isolated from adult rats. RESULTS: Enzyme immunoassay revealed that TRH
was present in both serum and colonic mucosa and that this expression increased
in the WAS group. Immunohistochemistry revealed that the TRH-R1 level increased
in colons devoid of mucosa and submucosa from the stressed rats as compared with
the control group. TRH increased the spontaneous contractions of the longitudinal
muscle and circular muscle strips in a dose-dependent manner in vitro. The effect
was also confirmed in an vivo experiment, where an intraperitoneal injection of
TRH in rats significantly increased fecal pellet output during a 24-h period as
compared with the control group. Furthermore, intraperitoneal injection of a non
specific TRH receptor antagonist, chlordiazepoxide and a TRH-R1 antibody,
partially decreased the fecal pellets of WAS rats during the 10-day stress
period. Furthermore, TRH increased the peak current of L-type channels in colonic
smooth muscle cells (SMCs) at a membrane potential of 0 mV, while the current of
large conductance Ca2+-activated K+ (BKCa) channels was not changed following the
addition of TRH. CONCLUSION: TRH may be involved in the dysmotility induced by
chronic stress and may have some potential clinical therapeutic use in regulating
gut motility.
PMID- 29803397
TI - [Opportunistic screening for peripheral arterial disease in middle-aged
hypertensive patients attended in a primary health center].
PMID- 29803398
TI - [Cultural competence: The need to go beyond racial and ethnic differences].
PMID- 29803399
TI - [Factors associated with the anxiety level and knowledge about childcare and
lactation in first-time pregnant women].
AB - OBJECTIVE: To know the level of anxiety and knowledge of childcare and lactation
of the current pregnant women, and the clinical-demographic variables with which
they are related. DESIGN: Cross-sectional study. SETTING: Seven health centers of
Area V (Asturias). PARTICIPANTS: First-time pregnant women who completed
preparatory courses from 01.06.2015 to 31.10.2015, excluding multiple gestation,
risk pregnancy, contraindicated breastfeeding and language problems.
INTERVENTIONS: Sociodemographic variables questionnaire, STAI state anxiety
questionnaire and 23 questions about childcare and lactation. MAIN MEASUREMENTS:
We performed descriptive and multivariate analysis (program R) of the variables
of the questionnaire. RESULTS: We captured 104 pregnant women; average age
34.2(SD: 4.5), 94.2% Spanish, 61.5% university, 17.3% smokers in pregnancy, 23.1%
with psychopathological antecedents; 88.4% planned to give breastfeeding. The
mean STAI-S was 18.1(SD: 7.4) and scored 4.5(SD: 2.3) mean errors. The most
faulty ones were on causes of fever (56.7%), fever measurement (54.8%) and
physiological stools (55.7%). The multivariate analysis between knowledge and
profile showed statistically significant associations with: being foreign,
university, pregnancy planning and matron. In relation to the STAI-S was
significant for being a smoker, receiving breastfeeding, psychopathological
antecedents and matron. CONCLUSIONS: The current pregnant women who complete
preparatory courses are mainly mature, university and Spanish. They have good
concepts about breastfeeding but many are unaware of basic concepts of fever and
stool of the infant. Foreign mothers with unwanted pregnancy and primary
education seem to have more confusing concepts. Smoking mothers with
psychopathological antecedents and who have not received breastfeeding present
more anxiety. The matron significantly influences anxiety and acquired concepts.
PMID- 29803400
TI - [Clinical simulation in the teaching of family and community medicine residents
of Alicante].
PMID- 29803401
TI - [Accuracy over response to orthographic standardization].
PMID- 29803402
TI - Development of polyhydroxyalkanoates production from waste feedstocks and
applications.
AB - Polyhydroxyalkanoates (PHA) are naturally occurring biopolymers, obtained from
microorganisms. Properties like biodegradability and biocompatibility make PHA a
part of today's commercial polymer industry. However, the production cost of PHA
has been a great barrier to extend its application to large scale production.
Substrates and usage of pure cultures constitute the main reason for its high
production cost. On the other hand, rapid industrialization i.e., industrial
sectors such as sugar, pulp and paper, fruit and food processing, dairies,
slaughterhouses, and poultries, has resulted in the generation of the huge
quantity of wastes. Consequently, becoming large source of environmental
pollution and health hazard. This review emphasizes on the usage of various waste
feedstocks obtained from industrial and agricultural industries as an alternate
substrate for PHA production. As these waste materials are rich in organic
material and also microbes, they can be the good starting material for PHA
production. Additionally, advantages and economic importance of mixed cultures
and also PHA applications are discussed. Future prospects and challenges in PHA
production from waste feedstocks are also highlighted.
PMID- 29803403
TI - Creativity, information, and consciousness: The information dynamics of thinking.
AB - This paper presents a theory of the basic operation of mind, Information Dynamics
of Thinking, which is intended for computational implementation and thence
empirical testing. It is based on the information theory of Shannon, and treats
the mind/brain as an information processing organ that aims to be information
efficient, in that it predicts its world, so as to use information efficiently,
and regularly re-represents it, so as to store information efficiently. The
theory is presented in context of a background review of various research areas
that impinge upon its development. Consequences of the theory and testable
hypotheses arising from it are discussed.
PMID- 29803405
TI - Survival among older adults with kidney failure is better in the first three
years with chronic dialysis treatment than not.
AB - Comparisons of survival between dialysis and nondialysis care for older adults
with kidney failure have been limited to those managed by nephrologists, and are
vulnerable to lead and immortal time biases. So we compared time to all-cause
mortality among older adults with kidney failure treated vs. not treated with
chronic dialysis. Our retrospective cohort study used linked administrative and
laboratory data to identify adults aged 65 or more years of age in Alberta,
Canada, with kidney failure (2002-2012), defined by two or more consecutive
outpatient estimated glomerular filtration rates less than 10 mL/min/1.73m2,
spanning 90 or more days. We used marginal structural Cox models to assess the
association between receipt of dialysis and all-cause mortality by allowing
control for both time-varying and baseline confounders. Overall, 838 patients met
inclusion criteria (mean age 79.1; 48.6% male; mean estimated glomerular
filtration rate 7.8 mL/min/1.73m2). Dialysis treatment (vs. no dialysis) was
associated with a significantly lower risk of death for the first three years of
follow-up (hazard ratio 0.59 [95% confidence interval 0.46-0.77]), but not
thereafter (1.22 [0.69-2.17]). However, dialysis was associated with a
significantly higher risk of hospitalization (1.40 [1.16-1.69]). Thus, among
older adults with kidney failure, treatment with dialysis was associated with
longer survival up to three years after reaching kidney failure, though with a
higher risk of hospital admissions. These findings may assist shared decision
making about treatment of kidney failure.
PMID- 29803406
TI - Enzymatic replacement therapy in patients with late-onset Pompe disease - 6-Year
follow up.
AB - INTRODUCTION: Late-onset Pompe disease (LOPD) is a progressive metabolic
myopathy, affecting skeletal muscles, which, if untreated, leads to disability
and/or respiratory failure. The enzyme replacement therapy (ERT) improves muscle
strength and respiratory function and prevents disease progression. We present a
6-year follow-up of 5 patients with LOPD treated with ERT. METHODS: Five patients
with LOPD received ERT: two started treatment in 2008, other two in 2010 and one
in 2011. All patients received recombinant human alpha-glucosidase in dose
20mg/kg intravenously every two weeks. Physical performance was assessed in 6
minute walk test (6MWT) and spirometry was performed to examine FVC and FEV1.
Liver enzymes, CK levels were also assessed. RESULTS: The walking distance in
6MWT increased by average 16.9+/-2.26% in the first three years of treatment.
Similar changes were detected in spirometry: the most significant FVC increase
was observed in two patients with the highest FVC values before treatment, which
increased to normal values adjusted for age and sex in three years of treatment,
that is by 28% and 34%. In two other patients FVC reached 88% and 76% of
predicted values. ERT also improved the liver and muscle enzymes levels.
CONCLUSION: The improvements of exercise tolerance and FVC were observed in all
patients in the first three years of treatment and were the most pronounced in
the longest-treated patients and with the least severe neurological and
respiratory symptoms. Our research suggests that early start of the ERT results
in higher improvement of respiratory and ambulation functions.
PMID- 29803404
TI - Implementing Motor Unit Number Index (MUNIX) in a large clinical trial: Real
world experience from 27 centres.
AB - OBJECTIVE: Motor Unit Number Index (MUNIX) is a quantitative neurophysiological
method that reflects loss of motor neurons in Amyotrophic Lateral Sclerosis (ALS)
in longitudinal studies. It has been utilized in one natural history ALS study
and one drug trial (Biogen USA) after training and qualification of raters.
METHODS: Prior to testing patients, evaluators had to submit test-retest data of
4 healthy volunteers. Twenty-seven centres with 36 raters measured MUNIX in 4
sets of 6 different muscles twice. Coefficient of variation of all measurements
had to be <20% to pass the qualification process. MUNIX COV of the first attempt,
number of repeated measurements and muscle specific COV were evaluated. RESULTS:
COV varied considerably between raters. Mean COV of all raters at the first
measurements was 12.9% +/- 13.5 (median 8.7%). Need of repetitions ranged from 0
to 43 (mean 10.7 +/- 9.1, median 8). Biceps and first dorsal interosseus muscles
showed highest repetition rates. MUNIX variability correlated considerably with
variability of compound muscle action potential. CONCLUSION: MUNIX revealed
generally good reliability, but was rater dependent and ongoing support for
raters was needed. SIGNIFICANCE: MUNIX can be implemented in large clinical
trials as an outcome measure after training and a qualification process.
PMID- 29803407
TI - Standard neurophysiological studies and motor evoked potentials in evaluation of
traumatic brachial plexus injuries - A brief review of the literature.
AB - PURPOSE: Traumatic damage to the brachial plexus is associated with temporary or
permanent motor and sensory dysfunction of the upper extremity. It may lead to
the severe disability of the patient, often excluded from the daily life
activity. The pathomechanism of brachial plexus injury usually results from
damage detected in structures taking origin in the rupture, stretching or
cervical roots avulsion from the spinal cord. Often the complexity of traumatic
brachial plexus injury requires a multidisciplinary diagnostic process including
clinical evaluation supplemented with clinical neurophysiology methods assessing
the functional state of its structures. Their presentation is the primary goal of
this paper. METHODS: The basis for the diagnosis of brachial plexus function is a
clinical examination and neurophysiology studies: electroneurography (ENG),
needle electromyography (EMG), somatosensory evoked potentials (SEPs) and motor
evoked potentials (MEPs) assessing the function of individual brachial plexus
elements. CONCLUSIONS: The ENG and EMG studies clarify the level of brachial
plexus damage, its type and severity, mainly using the Seddon clinical
classification. In contrast to F-wave studies, the use of the MEPs in the
evaluation of traumatic brachial plexus injury provides valuable information
about the function of its proximal part. MEPs study may be an additional
diagnostic in confirming the location and extent of the lesion, considering the
pathomechanism of the damage. Clinical neurophysiology studies are the basis for
determining the appropriate therapeutic program, including choice of conservative
or reconstructive surgery which results are verified in prospective studies.
PMID- 29803409
TI - Corrigendum to "Validated tools measuring women's satisfaction in breast cancer
screening programmes: A systematic review" [Breast 39 (2018) 33-38].
PMID- 29803408
TI - Up regulation of the steroid hormone synthesis regulator HSD3B2 is linked to
early PSA recurrence in prostate cancer.
AB - HSD3B2 plays a crucial role in steroid hormone biosynthesis and is thus of
particular interest in hormone dependent tumors such as prostate cancer. To
clarify the clinical relevance of HSD3B2 expression in prostate cancer, we
analyzed HSD3B2 protein expression by immunohistochemistry on our preexisting
tissue microarray with 12.247 annotated cancers. Compared with normal tissue
cytoplasmic HSD3B2 staining was stronger in prostate cancers. In 9371
interpretable cancers, HSD3B2 expression was found in 95.5% of cancers and was
considered weak in 29.9%, moderate in 40.7% and strong in 24.9%. HSD3B2 up
regulation was linked to advanced pathological tumor stage (pT), high Gleason
grade, elevated preoperative PSA levels (p < 0.0001 each), lymph node metastasis
(p = 0.0019), accelerated cell proliferation (p < 0.0001), androgen receptor (AR)
expression (p < 0.0001), and early biochemical recurrence (p < 0.0001). HSD3B2 up
regulation was only marginally more frequent in ERG positive (98%) than in ERG
negative cancers (94%; p < 0.0001) and was strongly linked to deletions of 5q and
6q (p < 0.0001 each). Multivariate analyses showed that the prognostic impact of
HSD3B2 expression was independent of established preoperative, but not of
postoperative prognostic parameters. In summary, the results of our study
demonstrate that HSD3B2 is strongly up regulated in a fraction of prostate
cancers that are characterized by increased AR signaling, adverse tumor phenotype
and early biochemical recurrence.
PMID- 29803410
TI - Prevalence and predictors of postoperative thiamine deficiency after vertical
sleeve gastrectomy.
AB - BACKGROUND: As the vertical sleeve gastrectomy (VSG) becomes increasingly
popular, its effect on postoperative micronutrient levels, such as thiamine,
becomes more important. We previously found a 1.8% prevalence of thiamine
deficiency in bariatric patients before surgery. OBJECTIVE: The aims of this
study were to determine the prevalence of thiamine deficiency at our center after
VSG and to explore possible predictors of postoperative thiamine levels. SETTING:
University hospital, United States. METHODS: A retrospective chart review was
performed on 147 bariatric patients between 18- and 65-years old who underwent
VSG between April 2011 and February 2015. Demographic characteristics,
preoperative body mass index (BMI), obesity-associated co-morbidities, alcohol
intake, smoking habits, insurance type, calendar year of the procedure,
occurrence of postoperative complications, and compliance with postoperative
nutrition and follow-up appointment guidelines were extracted from clinical
charts. We defined thiamine deficiency as<78 nM on any lab draw within 1 year
after the VSG. The chi2, Fisher exact, and Mann-Whitney U tests, and multivariate
logistic regression models were created to analyze the association of the above
factors with thiamine deficiency after a VSG. RESULTS: Of 147 patients, 105 met
inclusion criteria and were analyzed, of whom 27 (25.7%) had thiamine deficiency.
Overall median age was 42 years (interquartile ratio: 36, 49). The majority of
patients were either African Americans or Caucasian (47.6% and 44.8%,
respectively), female (77.1%), and compliant with vitamins (81.0%). The overall
mean preoperative BMI was 46.4 kg/m2. Patients with thiamine deficiency were more
likely to be African American (66.7%, P = .024), have a larger preoperative BMI
(P = .026), and to report repetitive episodes of nausea (59.3%, P = .002) and
vomiting (44.4%, P = .001) at any of their postoperative appointments within 1
year after surgery. Compliance with vitamins did not differ between those with or
without thiamine deficiency (70.4%, 84.6%, P = .10). After controlling for all
factors, African American race (odds ratio [OR] 3.9, P = .019), higher
preoperative BMI (OR 1.13, P = .001), nausea (OR 3.81, P = .02), and vomiting (OR
3.49, P = .032) were independent risk factors for the development of thiamine
deficiency. CONCLUSIONS: We found an alarmingly high prevalence of thiamine
deficiency in postoperative SG patients. This disorder may have serious
consequences including Wernicke encephalopathy; hence, it is important to
identify predictive demographic, postoperative, and behavioral factors so that
appropriate measures can be taken to prevent thiamine deficiency in VSG patients.
PMID- 29803411
TI - Prevalence and prognosis of ventricular tachycardia/ventricular fibrillation in
patients with post-infarction left ventricular aneurysm: Analysis of 575 cases.
AB - BACKGROUND: We investigated the prevalence of ventricular tachycardia/ventricular
fibrillation (VT/VF) in Post-infarction left ventricular aneurysm (PI-LVA)
patients and analyze clinical outcomes in patients presenting with VT/VF.
METHODS: 575 PI-LVA patients were enrolled and investigated by logistic
regression analysis. Patients with VT/VF were followed up, the composite primary
endpoint was cardiac death and appropriate ICD/external shocks. RESULTS: The
incidence of sustained VT/VF was 11%. Logistical regression analysis showed male
gender, enlarged LV end diastolic diameter (LVEDD) and higher NYHA class were
correlated with VT/VF development. During follow up of 46 +/- 15 months, 19 out
of 62(31%) patients reached study end point. Multivariate Cox regression analysis
revealed that enlarged LVEDD and moderate/severe mitral regurgitation (MR) were
independently predictive of clinical outcome. CONCLUSIONS: Male gender, enlarged
LVEDD and higher NYHA class associated with risk of sustained VT/VF in PI-LVA
patients. Among VT/VF positive patients, enlarged LVEDD and moderate/severe MR
independently predicted poor clinical prognosis.
PMID- 29803412
TI - Direct and indirect predictions of enteric methane daily production, yield, and
intensity per unit of milk and cheese, from fatty acids and milk Fourier
transform infrared spectra.
AB - Mitigating the dairy chain's contribution to climate change requires cheap, rapid
methods of predicting enteric CH4 emissions (EME) of dairy cows in the field.
Such methods may also be useful for genetically improving cows to reduce EME. Our
objective was to evaluate different procedures for predicting EME traits from
infrared spectra of milk samples taken at routine milk recording of cows. As a
reference method, we used EME traits estimated from published equations developed
from a meta-analysis of data from respiration chambers through analysis of
various fatty acids in milk fat by gas chromatography (FAGC). We analyzed
individual milk samples of 1,150 Brown Swiss cows from 85 farms operating
different dairy systems (from very traditional to modern), and obtained the
cheese yields of individual model cheeses from these samples. We also obtained
Fourier-transform infrared absorbance spectra on 1,060 wavelengths (5,000 to 930
waves/cm) from the same samples. Five reference enteric CH4 traits were
calculated: CH4 yield (CH4/DMI, g/kg) per unit of dry matter intake (DMI), and
CH4 intensity (CH4/CM, g/kg) per unit of corrected milk (CM) from the FAGC
profiles; CH4 intensity per unit of fresh cheese (CH4/CYCURD, g/kg) and cheese
solids (CH4/CYSOLIDS, g/kg) from individual cheese yields (CY); and daily CH4
production (dCH4, g/d). Direct infrared (IR) calibrations were obtained by BayesB
modeling; the determination coefficients of cross-validation varied from 0.36 for
dCH4 to 0.57 for CH4/CM, and were similar to the coefficient of determination
values of the equations based on FAGC used as the reference method (0.47 for
CH4/DMI and 0.54 for CH4/CM). The models allowed us to select the most
informative wavelengths for each EME trait and to infer the milk chemical
features underlying the predictions. Aside from the 5 direct infrared prediction
calibrations, we tested another 8 indirect prediction models. Using IR-predicted
informative fatty acids (FAIR) instead of FAGC, we were able to obtain indirect
predictions with about the same precision (correlation with reference values) as
direct IR predictions of CH4/DMI (0.78 vs. 0.76, respectively) and CH4/CM (0.82
vs. 0.83). The indirect EME predictions based on IR-predicted CY were less
precise than the direct IR predictions of both CH4/CYCURD (0.67 vs. 0.81) and
CH4/CYSOLIDS (0.62 vs. 0.78). Four indirect dCH4 predictions were obtained by
multiplying the measured or IR-predicted daily CM production by the direct or
indirect CH4/CM. Combining recorded daily CM and predicted CH4/CM greatly
increased precision over direct dCH4 predictions (0.96-0.96 vs. 0.68). The
estimates obtained from the majority of direct and indirect IR-based prediction
models exhibited herd and individual cow variability and effects of the main
sources of variation (dairy system, parity, days in milk) similar to the
reference data. Some rapid, cheap, direct and indirect IR prediction models
appear to be useful for monitoring EME in the field and possibly for
genetic/genomic selection, but future studies directly measuring CH4 with
different breeds and dairy systems are needed to validate our findings.
PMID- 29803413
TI - Psychrotolerant spore-former growth characterization for the development of a
dairy spoilage predictive model.
AB - Psychrotolerant spore-forming bacteria represent a major challenge regarding
microbial spoilage of fluid milk. These organisms can survive most conventional
pasteurization regimens and subsequently germinate and grow to spoilage levels
during refrigerated storage. To improve predictions of fluid milk shelf life and
assess different approaches to control psychrotolerant spore-forming bacteria in
the fluid milk production and processing continuum, we developed a predictive
model of spoilage of fluid milk due to germination and growth of psychrotolerant
spore-forming bacteria. We characterized 14 psychrotolerant spore-formers,
representing the most common Bacillales subtypes isolated from raw and
pasteurized milk, for ability to germinate from spores and grow in skim milk
broth at 6 degrees C. Complete growth curves were obtained by determining total
bacterial count and spore count every 24 h for 30 d. Based on growth curves at 6
degrees C, probability distributions of initial spore counts in bulk tank raw
milk, and subtype frequency in bulk tank raw milk, a Monte Carlo simulation model
was created to predict spoilage patterns in high temperature, short time
pasteurized fluid milk. Monte Carlo simulations predicted that 66% of half
gallons (1,900 mL) of high temperature, short time fluid milk would reach a cell
density greater than 20,000 cfu/mL after 21 d of storage at 6 degrees C,
consistent with current spoilage patterns observed in commercial products. Our
model also predicted that an intervention that reduces initial spore loads by 2.2
Log10 most probable number/mL (e.g., microfiltration) can extend fluid milk shelf
life by 4 d (end of shelf life was defined here as the first day when the mean
total bacterial count exceeded 20,000 cfu/mL). This study not only provides a
baseline understanding of the growth rates of psychrotolerant spore-formers in
fluid milk, it also provides a stochastic model of spoilage by these organisms
over the shelf life of fluid milk, which will ultimately allow for the assessment
of different approaches to reduce fluid milk spoilage.
PMID- 29803415
TI - Cytoprotective effect of Streptococcus thermophilus against oxidative stress
mediated by a novel peroxidase (EfeB).
AB - Streptococcus thermophilus is one of the most important starter species used in
the dairy industry and exhibits several beneficial properties for the hosts.
However, knowledge of the mechanism of its beneficial effect is still limited.
The objective of this study was to investigate the cytoprotective effect of S.
thermophilus CGMCC 7.179 with a novel peroxidase (EfeB) against oxidative stress
in human intestinal epithelial cells, HT-29. Previously, we identified EfeB in S.
thermophilus CGMCC 7.179, which could provide protection when growing at aerobic
conditions. Here, we found that, when exposed to 15 mM H2O2, the cell viability
of the efeB mutant (ST1314) was much lower than that of strain CGMCC 7.179, and
the 1,1-diphenyl-2-picrylhydrazyl radical scavenging activity of strain ST1314
decreased by 15%. When co-incubated with HT-29 cells, strain CGMCC 7.179
stimulated the enhancement of the major antioxidant enzyme activities (superoxide
dismutase, glutathione peroxidase, and catalase) in HT-29 cells under 2 mM H2O2
induced oxidative stress, whereas the active decrease of those antioxidant
enzymes was observed in strain ST1314. In addition, the intracellular reactive
oxygen species content in HT-29 cells co-incubated with strain CGMCC 7.179 was
lower than that with strain ST1314 under the same oxidative stress. Furthermore,
the protein content of nuclear factor erythroid 2-related factor 2 (Nrf2) in HT
29 cells following strain CGMCC 7.179 treatment was 1.4-fold higher than that
with strain ST1314 treatment, and the increased transcription levels of Nrf2
related antioxidant enzyme genes were also observed in strain CGMCC 7.179 cells.
All of these results demonstrated that S. thermophilus CGMCC 7.179 enhanced
cellular antioxidant responses and endowed host cells with protective effects
against oxidative stress mediated by the peroxidase EfeB.
PMID- 29803414
TI - A stochastic dynamic model of a dairy farm to evaluate the technical and economic
performance under different scenarios.
AB - Dairy farms need to improve their competitiveness through decisions that are
often difficult to evaluate because they are highly dependent on many economic
and technical factors. The objective of this project was to develop a stochastic
and dynamic mathematical model to simulate the functioning of a dairy farm to
evaluate the effect of changes in technical or economic factors on performance
and profitability. Submodels were developed for reproduction, feeding, diseases,
heifers, environmental factors, facilities, management, and economics. All these
submodels were simulated on an animal-by-animal and day-by-day basis. Default
values for all variables are provided, but the user can change them. The outcome
provides a list of technical and economic indicators essential for the decision
making process. Performance of the program was verified by evaluating the effects
and sensitivity analysis of different scenarios in 20 different dairy farms. As
an example, a case study of a dairy farm with 300 cows producing 40 L/d and a 12%
pregnancy rate (PR) was used. The effect of using a time-fixed artificial
insemination (TFAI) protocol in the first insemination at 77 d in milk, with 45
and 40% conception rates for first-lactation and older cows, respectively, and a
cost of ?13 was explored. During the 5-yr simulation, the TFAI increased PR (12
to 17%) and milk yield per milking cow (39.8 to 41.2 L/d) and reduced days to
first AI (93 to 74), days open (143 to 116), and the proportion of problem cows
(24.3 to 15.9%). In the TFAI, cows were dried 30 d earlier, resulting in more dry
cows, and a smaller difference in milk yield by present cows (35.5 vs 36.0 L/d
for control and TFAI, respectively). A longer productive life (2.56 vs. 2.79 yr)
with shorter lactations in TFIA resulted in less first-lactation cows (42 vs
36%), 32 more calvings per year, and, therefore, more cases of postpartum
diseases. Total (32.5 to 29.9%) and reproductive (10.5 vs 6.8%) culling rates
decreased in TFIA. Overall, the net margin was ?245 and ?309/cow per year in
control and TFIA, respectively. The net margin of applying TFAI decreased as PR
of the farm increased, with limited benefit of TFAI at a PR of 30%. The model
provides a powerful web-based tool to explore the short- and medium-term
consequences of technical and economic decisions on the economic sustainability
of dairy farms.
PMID- 29803416
TI - Effects of milk heat treatment and solvent composition on physicochemical and
selected functional characteristics of milk protein concentrate.
AB - Milk protein concentrate (MPC) powders (~81% protein) were made from skim milk
that was heat treated at 72 degrees C for 15 s (LHMPC) or 85 degrees C for 30 s
(MHMPC). The MPC powder was manufactured by ultrafiltration and diafiltration of
skim milk at 50 degrees C followed by spray drying. The MPC dispersions (4.02%
true protein) were prepared by reconstituting the LHMPC and MHMPC powders in
distilled water (LHMPCw and MHMPCw, respectively) or milk permeate (LHMPCp and
MHMPCp, respectively). Increasing milk heat treatment increased the level of whey
protein denaturation (from ~5 to 47% of total whey protein) and reduced the
concentrations of serum protein, serum calcium, and ionic calcium. These changes
were paralleled by impaired rennet-induced coagulability of the MHMPCw and MHMPCp
dispersions and a reduction in the pH of maximum heat stability of MHMPCp from pH
6.9 to 6.8. For both the LHMPC and MHMPC dispersions, the use of permeate instead
of water enhanced ethanol stability at pH 6.6 to 7.0, impaired rennet gelation,
and changed the heat coagulation time and pH profile from type A to type B.
Increasing the severity of milk heat treatment during MPC manufacture and the use
of permeate instead of water led to significant reductions in the viscosity of
stirred yogurt prepared by starter-induced acidification of the MPC dispersions.
The current study clearly highlights how the functionality of protein dispersions
prepared by reconstitution of high-protein MPC powders may be modulated by the
heat treatment of the skim milk during manufacture of the MPC and the composition
of the solvent used for reconstitution.
PMID- 29803417
TI - Economic performance of lactating dairy cows submitted for first service timed
artificial insemination after a voluntary waiting period of 60 or 88 days.
AB - The objective of this study was to evaluate the economic performance of dairy
cows managed with a voluntary waiting period (VWP) of 60 or 88 d. A secondary
objective was estimating variation in cash flow under different input pricing
scenarios through stochastic Monte Carlo simulations. Lactating Holstein cows
from 3 commercial farms were blocked by parity group and total milk yield in
their previous lactation and then randomly assigned to a VWP of 60 (VWP60; n =
1,352) or 88 d (VWP88; n = 1,359). All cows received timed-artificial
insemination (TAI) for first service after synchronization of ovulation with the
Double-Ovsynch protocol. For second and greater services, cows received
artificial insemination (AI) after detection of estrus or the Ovsynch protocol
initiated 32 +/- 3 d after AI. Two analyses were performed: (1) cash flow per cow
for the calving interval of the experimental lactation and (2) cash flow per slot
occupied by each cow enrolled in the experiment for an 18-mo period after calving
in the experimental lactation. Extending the VWP from 60 to 88 d delayed time to
pregnancy during lactation (~20 d) and increased the risk of leaving the herd for
multiparous cows (hazard ratio = 1.21). As a result, a smaller proportion of
multiparous cows calved again and had a subsequent lactation (-6%). The shift in
time to pregnancy combined with the herd exit dynamics resulted in longer
lactation length for primiparous (22 d) but not multiparous cows. Longer
lactations led to greater milk income over feed cost and a tendency for greater
cash flow during the experimental lactation for primiparous but not multiparous
cows in the VWP88 group. On the other hand, profitability per slot for the 18-mo
period was numerically greater ($68 slot/18 mo) for primiparous cows but
numerically reduced (-$85 slot/18 mo) for multiparous cows in the VWP88
treatment. For primiparous cows most of the difference in cash flow was explained
by replacement cost, whereas for multiparous cows it was mostly explained by
differences in replacement cost and income over feed cost. Under variable input
pricing conditions generated through stochastic simulations, the longer VWP
treatment always increased cash flow per 18 mo for primiparous and reduced cash
flow for multiparous cows. In conclusion, extending the duration of the VWP from
60 to 88 d numerically increased profitability of primiparous cows and reduced
profitability of multiparous cows. Such an effect depended mostly on the herd
replacement dynamics and milk production efficiency.
PMID- 29803418
TI - Effects of corn feeding reduced-fat distillers grains with or without monensin on
nitrogen, phosphorus, and sulfur utilization and excretion in dairy cows.
AB - This study investigated effects of high inclusion of reduced-fat corn distillers
grains with solubles (RFDG) with or without monensin on utilization and excretion
of dietary N, P, and S. The experiment was conducted for 11 wk (2-wk diet
adaptation, 9-wk experimental period of data collection) with 36 Holstein cows in
a randomized complete block design. Cows were blocked by parity, days in milk,
and milk yield and assigned to the following diets: (1) a control diet (CON); (2)
CON with RFDG included at 28.8% (dry matter basis) by replacing soybean meal,
soyhulls, and supplemental fat and phosphorus (DG); and (3) DG with monensin
(Rumensin; Elanco Animal Health, Greenfield, IN) supplemented at a rate of 20
mg/kg of DM offered (DGMon). Contrasts were used to compare CON versus DG and DG
versus DGMon. Inclusion of RFDG at 28.8% of dietary DM replacing mainly soybean
meal did not change crude protein content (17.6% on a DM basis) but decreased
rumen-degradable protein and increased rumen-undegradable protein. In addition,
the DG diets increased P (0.48 vs. 0.36%) and S concentrations (0.41 vs. 0.21%;
DM basis) compared with the CON diet. As a result, DG versus CON decreased plasma
and milk urea N concentrations and urinary N excretion. However, the increase in
P concentration when feeding the DG versus CON diet to lactating cows increased P
intake, plasma P concentration, and urinary and fecal P excretion without
affecting milk P secretion. Intake of S was greater for cows fed the DG versus
CON diet, resulting in greater plasma total S and sulfate concentration and
urinary and fecal S excretion. However, milk S secretion was not affected by DG
compared with CON. Monensin supplementation to the DG diet did not affect N
intake, utilization, and excretion except that apparent N digestibility was lower
compared with DG. In addition, feeding the DGMon diet did not affect P and S
utilization and excretion compared with DG. The study suggests that inclusion of
high RFDG in a ration by replacing mainly soybean meal altered N, P, and S
utilization and excretion, but monensin supplementation to a high-RFDG diet,
overall, had minimal effects on N, P, and S utilization and excretion in
lactating dairy cows.
PMID- 29803419
TI - Effect of milk production on reproductive performance in dairy herds.
AB - The objective of the present study was to assess the relationship between
individual cow milk yield and fertility, accounting for the contextual effect of
the herd. A data set including 657,968 lactations from 677 dairy herds in
Argentina from 2001 to 2012 was used. The odds of pregnancy by 100 d in milk
(DIM) were assessed by a multilevel logistic model (with cow as the first and
herd as the second hierarchical level), and time to pregnancy was assessed by a
proportional hazards regression model. Multilevel logistic models included the
fixed effects of milk yield by 80 DIM, parity, year, and calving season at cow
level and quartiles of herd milk yield by 80 DIM as a contextual effect. The
proportional hazards model included the effect of daily cow-level milk yield as
time-dependent variable, with milk yield at herd level as the stratification
variable. Cows producing 1 standard deviation over the mean milk yield of their
herd had 1.3 percentage point lower pregnancy by 100 DIM (from 31.4 to 30.1%;
odds ratio = 0.942) when in herds in the top quartile of milk yield, whereas they
increased 0.5 percentage points (from 27.9 to 28.4%) when in herds in the lowest
quartile of milk yield. Only 4% of the observed variation in pregnancy by 100 DIM
was explained by the random effect of the herd. Similarly, cows producing 1
standard deviation (8 kg/d) greater than the herd mean daily milk had 1.3% lower
hazard of pregnancy (hazard ratio = 0.987) at 63 DIM in herds in the top quartile
of milk yield, whereas they had 14.8% higher hazard (hazard ratio = 1.148) in
herds in the lowest quartile of milk yield. The magnitude of the negative
association between the cow's daily milk yield and the hazard of pregnancy
increased with DIM. In conclusion, the relationship between milk yield and
reproductive performance is statistically significant, but the effect size is
practically small and is modulated by herd production level.
PMID- 29803420
TI - The inclusion of fresh forage in the lactating buffalo diet affects fatty acid
and sensory profile of mozzarella cheese.
AB - The aim of this study was to determine the effect of inclusion of fresh forage in
diet for lactating buffalo on properties of mozzarella cheese under intensive
farming conditions. Thirty-two buffalo cows were equally allotted into 2 groups
fed diets with (fresh group, FRS) or without (control group, CTL) fresh sorghum.
The study consisted of 2 trials. In the first one, animals from group FRS were
fed a diet containing 10 kg of fresh sorghum (10-FRS diet) that was doubled to 20
kg (20-FRS diet) in the second trial. All diets were isonitrogenous and
isoenergetic, and fresh forage accounted for 13.4 and 26.5 of dietary dry matter,
respectively, for the 10-FRS and 20-FRS diet. In each trial, milk from the 2
groups was used to produce 3 batches/diet of Mozzarella di Bufala Campana
Protected Designation of Origin cheese. Milk yield and composition were not
influenced by dietary treatment. The use of 10-FRS diet did not affect any
properties of mozzarella. As the inclusion rate of fresh sorghum doubled to 20
kg, an increment of unsaturated fatty acid percentages and a lowering of short
chain and saturated fatty acids were observed. Moreover, the sensory
characteristics of mozzarella were modified, although no effects were observed on
consumer acceptance. We conclude that the use of green fodder can represent a low
cost feeding strategy to improve the healthiness of buffalo mozzarella under
intensive farming conditions with no detrimental effect on consumer blind
acceptance.
PMID- 29803421
TI - Invited review: Low milk somatic cell count and susceptibility to mastitis.
AB - An enduring controversy exists about low milk cell counts and susceptibility to
mastitis. The concentration of milk leukocytes, or somatic cell count (SCC), is a
well-established direct indicator of mammary gland inflammation that is highly
correlated with the presence of a mammary infection. The SCC is also used as a
trait for the selection of dairy ruminants less prone to mastitis. As selection
programs favor animals with less SCC, and as milk cells contribute to the defense
of the mammary gland, the idea that susceptibility to mastitis could possibly be
increased in the long term has been put forward and is still widely debated.
Epidemiological and experimental studies aimed at relating SCC to susceptibility
to mastitis have yielded results that seem contradictory at first sight.
Nevertheless, by taking into account the immunobiology of milk and mammary tissue
cells and their role in the defense against infection, along with recent studies
on SCC-based divergent selection of animals, the issue can be settled. Apparent
SCC-linked susceptibility to mastitis is a phenotypic trait that may be linked to
immunomodulation but not to selection.
PMID- 29803422
TI - Evaluation by employees of employee management on large US dairy farms.
AB - Employees, many of whom are not native English speakers, perform the majority of
work on large US dairy farms. Although management of employees is a critical role
of dairy owners and managers, factors that improve employee engagement and
retention are not well known. Objectives were to (1) identify key dairy farm
employee management issues based on employee perceptions, (2) evaluate strengths
and weaknesses of farms based on employee responses, (3) investigate differences
between Latino and English-speaking employees, and (4) investigate differences in
perception between employers and employees. Employees from 12 US dairy farms
(each with a minimum of 10 employees) were interviewed by phone following a
questionnaire provided. Employees provided their responses to 21 Likert scale
questions and 8 open-ended questions. There was a wide range in employee turnover
among farms (<10 to >100%). Latino employees had much shorter tenure and were
more often employed in milking and livestock care than English-speaking
employees. Employee perceptions differed among farms regarding whether they would
recommend their farm as a place to work, teamwork within the dairy, whether rules
were fairly applied, availability of tools and equipment, clear lines of
supervision, and recognition for good work in the previous 15 d. Latino employees
(n = 91) were more positive in many of these measures than their English-speaking
counterparts (n = 77) but less often provided ideas to their employer on how to
improve the business. Employers, surveyed on how they thought their employees
would answer, underestimated employee responses on several questions,
particularly the interest of employees in learning about dairy. When asked to
cite 3 goals of the operation, there were differences among owners, managers, and
employees. Although employees rated their commitment to the farm and their
interest in learning as high, based on turnover, there was an obvious disparity
between reality and ideal employee management. Consequently, employers should act
on identified management shortfalls to improve employee retention.
PMID- 29803423
TI - Time-dependent effect of trans-10,cis-12 conjugated linoleic acid on gene
expression of lipogenic enzymes and regulators in mammary tissue of dairy cows.
AB - Trans-10,cis-12 conjugated linoleic acid (CLA) has been identified as an
intermediate of rumen fatty acid biohydrogenation that caused milk fat depression
(MFD) in the dairy cow. Previous studies in cows experiencing CLA- and diet
induced MFD have identified reduced mammary expression of the master lipogenic
regulator sterol response element transcription factor 1 (SREBF1) and many of its
dependent genes. To distinguish between primary mechanisms regulating milk fat
synthesis and secondary adaptations to the reduction in milk fat, we conducted a
time-course experiment. Eleven dairy cows received by abomasal infusion an
initial priming dose of 6.25 g of CLA followed by 12.5 g/d delivered in multiple
pulses per day for 5 d. Cows were milked 3*/d and mammary biopsies were obtained
under basal condition (prebolus control) and 12, 30, and 120 h relative to
initiation of CLA infusion. Milk fat concentration and yield decreased
progressively reaching a nadir at 69 h (1.82% and 38.2 g/h) and averaged 2.03 +/-
0.19% and 42.1 +/- 4.10 g/h on the last day of treatment (+/-standard deviation).
Expression of fatty acid synthase (FASN) and lipoprotein lipase (LPL) were
decreased at 30 and 120 h compared with control. Expression of SREBF1 and THRSP
were also decreased at 30 and 120 h compared with control. Additionally, we
failed to observe changes in other factors, including peroxisome proliferator
activated receptor gamma and liver * receptor beta and milk fat globular membrane
proteins, during CLA treatment. However, expression of milk fat globular membrane
proteins were decreased after 14 d of diet-induced MFD in samples from a previous
experiment, indicating a possible long-term response. The rapid decrease in
lipogenic enzymes, SREBF1, and THRSP provide strong support for their
transcriptional regulation as a primary mechanism of milk fat depression.
PMID- 29803424
TI - Comparison of fecal pooling strategies for detection of Mycobacterium avium ssp.
paratuberculosis in cattle.
AB - In herds with typical moderate to low within-herd prevalence, testing for
Mycobacterium avium ssp. paratuberculosis (MAP), the infectious agent of Johne's
disease, will be more cost-effective if individual fecal samples are cultured in
composite pools. However, sensitivity to classify a pool containing 1 or more
positive individual samples as positive may depend on pool size and number of
individual positive samples within a pool. Fecal samples collected from 994 dairy
cows sampled at slaughter were cultured to detect MAP. Culturing was done both
individually and as composite pooled samples using the TREK ESP Culture System II
broth medium (Thermo Fisher Scientific, Trek Diagnostic Systems Inc., Cleveland,
OH). Composite samples consisted of pools containing feces from 3, 5, 8, 10, or
15 cows. The number of individual fecal culture-positive cows within each pool
ranged from 0 to 4. Culture of individual fecal samples detected MAP in 36 (3.6%)
of the 994 cows. Individual samples that were detected within the first 50 d by
TREK ESP Culture System II were more likely to lead to a positive pool result. In
total, 840 pooled fecal samples were examined for presence of MAP, and of those,
272 pools actually contained feces from fecal culture-positive cows. The crude
sensitivity (proportion of pools that contained at least 1 fecal-positive cow
that tested positive) for pools of 3, 5, 8, 10, and 15 was 47, 67, 44, 59, and
39%, respectively. Across pools, an increase of the number of fecal culture
positive samples from 1 to 2 enhanced overall crude sensitivity from 44 to 71%.
However, sensitivity did not further increase for pools with 3 or 4 fecal culture
positive samples (63 and 60%, respectively). Additionally, a simulation analysis
assessing probability of pooled fecal samples being positive in herds of 50 and
100 cows was conducted. The simulation assumed that 1, 2, or 5 cows per herd were
MAP fecal culture-positive and that pools of 5 and 10 were used. This low
prevalence herd simulation indicated that weighted mean herd probabilities of
detecting a positive herd ranged between 52 and 99.3%, with the lowest
probability for pools of 10 with 1 positive cow in the herd and the highest
probability for pools of 5 with 5 positive cows in the herd. However, overall,
pools of 5 and 10 had similar diagnostic capabilities, enabling cost savings by
utilizing pools of 10.
PMID- 29803425
TI - Preweaned heifer management on US dairy operations: Part VI. Factors associated
with average daily gain in preweaned dairy heifer calves.
AB - The study objective was to evaluate average daily gain (ADG) in dairy heifer
calves based on health, feeding, management practices, and environmental factors.
This study included 102 operations in 13 states that participated in the calf
component of the National Animal Health Monitoring System's Dairy 2014 study.
This 18-mo longitudinal study included 1,410 Holstein heifer calves monitored
from birth to weaning. The mean ADG from birth to final weight was 0.74 kg/d.
Backward elimination model selection in Proc Mixed after univariate screening
determined factors that significantly affected ADG. The final model included dam
lactation number, singleton versus twin birth, bedding type, Giardia and
Cryptosporidium fecal shedding, disease events, a categorized average temperature
humidity index for the preweaning period (pTHI), amount of protein in the liquid
diet (kg/d), milk pasteurization, direct-fed microbials, and the interaction
between milk pasteurization and direct-fed microbials. After controlling for
other independent variables in the model, calves born to first-lactation dams
gained less (0.60 kg/d) than calves from second- (0.65 kg/d) or third- or greater
lactation (0.64 kg/d) dams. Singleton calves gained 0.07 kg/d more than twins.
Calves bedded with sand or no bedding gained less (0.49 kg/d) than calves on all
other bedding types. Calves negative for Cryptosporidium or Giardia at the time
of sampling gained 0.03 or 0.02 kg/d more, respectively, than calves that were
positive for Cryptosporidium or Giardia. Calves with no disease events gained
0.07 kg/d more than calves with one or more disease events. Calves experiencing
an average pTHI <50 gained more (0.67 kg/d) than calves experiencing an average
pTHI from 50 to 69 (0.62 kg/d), or >=70 (0.59 kg/d). Within the range of observed
kilograms of protein fed per day in the liquid diet, every additional 0.1 kg of
protein fed per day equated to 0.02 kg/d of gain. Calves fed milk replacer with a
direct-fed microbial gained less (0.44 kg/d) than calves fed milk replacer
without a direct-fed microbial (0.60 kg/d) and calves fed pasteurized or
unpasteurized milk regardless of direct-fed microbial use. These results
highlight the importance of feeding a quantity and quality of a liquid diet to
achieve optimal growth, keeping calves free of disease, the type or status of
bedding, and mitigating the effects of temperature and humidity on preweaning
ADG.
PMID- 29803426
TI - Bovine glycomacropeptide promotes the growth of Bifidobacterium longum ssp.
infantis and modulates its gene expression.
AB - Bovine milk glycomacropeptide (GMP) is derived from kappa-casein, with
exclusively o-linked glycosylation. Glycomacropeptide promoted the growth of
Bifidobacterium longum ssp. infantis in a concentration-dependent manner, and
this activity was lost following periodate treatment of the GMP (GMP-P), which
disables biological recognition of the conjugated oligosaccharides.
Transcriptional analysis of B. longum ssp. infantis following exposure to GMP
revealed a substantial response to GMP relative to bacteria treated with GMP-P,
with a greater number of differentially expressed transcripts and larger fold
changes versus the control. Therefore, stimulation of B. longum ssp. infantis
growth by GMP is intrinsically linked to the peptide's O-linked glycosylation.
The pool of differentially expressed transcripts included 2 glycoside hydrolase
(family 25) genes, which were substantially upregulated following exposure to
GMP, but not GMP-P. These GH25 genes were present in duplicated genomic islands
that also contained genes encoding fibronectin type III binding domain proteins
and numerous phage-related proteins, all of which were also upregulated. Homologs
of this genomic arrangement were present in other Bifidobacterium species, which
suggest it may be a conserved domain for the utilization of glycosylated
peptides. This study provides insights into the molecular basis for the prebiotic
effect of bovine milk GMP on B. longum ssp. infantis.
PMID- 29803427
TI - Minimally invasive vertical versus conventional tooth extraction: An interrupted
time series study.
AB - BACKGROUND: Minimally invasive vertical tooth extraction techniques have evolved
in light of the limitations of conventional tooth extraction techniques and flap
surgery in preserving the alveolar bone. The authors conducted a study to obtain
data on the performance of a vertical extraction system. This included comparing
the need for flap surgery using the vertical extraction system versus
conventional tooth extraction techniques for the extraction of anterior teeth and
premolars not suitable for forceps extraction. METHODS: The authors conducted a
prospective observational clinical study of the vertical extraction system versus
conventional tooth extraction techniques using an interrupted time series in line
with the Idea, Development, Exploration, Assessment, Long-term Follow-up
collaboration framework for surgical innovation. RESULTS: Overall, 276 of 323
teeth (85.4%) in 240 patients were successfully extracted using the vertical
extraction system. Of the 47 failures in the vertical tooth extraction cohort, 18
required flap surgery, resulting in an overall incidence of flap surgery of 5.6%
(95% confidence interval [CI], 3.2% to 8.7%). During the routine care period, of
the 94 anterior teeth and premolars in 78 patients, 21 teeth could not be
extracted using conventional techniques and required flap surgery, leading to an
incidence of flap surgery of 22% (95% CI, 14% to 32%). CONCLUSIONS: The results
suggest that the vertical extraction system may be used with a high success rate
for extraction of severely destroyed teeth, and its use may lead to a marked
reduction in the need for flap surgery. Randomized clinical trials are needed to
confirm the findings. PRACTICAL IMPLICATIONS: The use of a vertical extraction
system may lower the incidence of flap surgery.
PMID- 29803428
TI - Simultaneous concentration and separation of target compounds from multicomponent
mixtures by closed-loop recycling countercurrent chromatography.
AB - Closed-loop recycling countercurrent chromatography (CLR CCC) with multiple
sample injection has been shown to provide simultaneous concentration and
separation of target compounds from multicomponent mixtures. Previous analysis of
CLR CCC with multiple sample injections has been limited to the ideal recycling
model, which neglects the effects caused by the pump and connecting lines. In
this study, an analysis of the process is carried out based on the non-ideal
recycling model: recycling chromatograms at two points of the closed-loop - the
inlet of the column (A) and the outlet of the column (B) - are considered. The
sample is repeatedly introduced at the inlet of the column when the circulating
peak of target compound passes point A. Analytical expressions are developed,
allowing the design and simulation of different variants of simultaneous
separation and concentration of target compounds from multicomponent mixtures.
Examples of separation of target compounds from three and five-component mixtures
are discussed. Experimental results are presented demonstrating a reasonable
agreement between the theory and the experiment. Due to its ability to
concentrate individual solutes, CRL CCC with multiple sample injections can
become an efficient analytical method to determine minor components in complex
mixtures.
PMID- 29803429
TI - Hybrid-type carbon microcoil-chitosan composite for selective extraction of
aristolochic acid I from Aristolochiaceae medicinal plants.
AB - Aristolochic acid I is a nephrotoxic compound widely existing in many kinds of
traditional Chinese medicines, especially in Aristolochiaceae medicinal plants.
In this study, chitosan modified carbon microcoils were designed and prepared for
the selective separation of aristolochic acid I from medicinal herbs. Successful
modification of carbon microcoils was confirmed by scanning electron microscopy,
Fourier-transfer infrared spectroscopy, elemental analysis, X-ray photoelectron
spectroscopy, and thermogravimetric analyses. The effects of adsorption
conditions were investigated and it was determined that the adsorption of
aristolochic acid I was controlled by pH. Adsorption isotherms, kinetics, and
selectivity tests were performed to evaluate the adsorption capacity and
selectivity of the modified carbon microcoils. The chitosan modified carbon
microcoils exhibited excellent binding ability (77.72 mg g-1) and satisfactory
selectivity. Finally, this material was used in solid phase extraction combined
with HPLC to enrich and detect aristolochic acid I from medicinal plants. The
detector response for aristolochic acid I was linear from 0.5 to 150 mg L-1, and
the recoveries of aristolochic acid I ranged from 73.61 to 77.73% with the
relative standard deviations of less than 5%. Thus, chitosan modified carbon
microcoils were ideal adsorbents for the selective extraction of aristolochic
acid I from Aristolochiaceae plants.
PMID- 29803430
TI - Post-chromatographic fixed-charge derivatization for the analysis of hydroxyl
containing compounds by a combination of thin-layer chromatography and matrix
assisted laser desorption/ionization mass spectrometry.
AB - A simple and convenient on-spot derivatization has been suggested for the
modification of hydroxyl-containing compounds for their analysis by thin layer
chromatography/matrix-assisted laser desorption ionization mass spectrometry
(TLC/MALDI). The proposed approach was based on post-chromatographic acylation of
separated analytes by 3-bromopropionyl chloride with simultaneous quaternization
of pyridine. In contrast to the initial alcohols not ionizable in TLC/MALDI
conditions, the derivatives, containing permanent positive charge, revealintense
peaks of their cationic moieties in MALDI mass spectra recorded directly from TLC
plates. The method was tested on a series of mammalian and plant sterols, phenols
and terpene alcohols.
PMID- 29803431
TI - A conjoint analysis to consumer choice in Brazil: Defining device attributes for
recognizing customized foods characteristics.
AB - the availability of information about food products may be an essential factor in
the consumer's value perception in their purchasing decision. As the food product
becomes personalized, the customization of this information becomes complex due
to the possible combinations of product components. The use of smart technology
in devices is one way to provide customers with customized food information. In
the following research five attributes were identified in the composition of
these devices: (A) portability; (B) precision; (C) diet customization; (D) food
quality analysis; and (E) price. This study aims to identify the appropriate
combination of possible functionalities or attributes that must be present in a
device in order to detect the food composition of customized foods and their
relation to market characteristics. One experiment used fractional factorial
project to present the attributes in the form of scenarios following the Choice
Based Conjoint Analysis (CBCA) method. The data collection was done using survey
methodology, through online questionnaire, with some 303 Brazilian respondents.
So, the Logistic Regression was applied to data analysis. The moderating
variables-gender, age, gluten restriction, lactose restriction and other
restrictions-were also added to verify potential interactions with the primary
attributes. From the results obtained, it was possible to observe higher
significance for the primary attributes of diet personalization and quality food
analyzes function. Our study contributes to the literature by enhancing the
understanding about what the attributes should be in a technological device that
has the purpose of recognizing food characteristics and is capable of generating
information about customized food products. Furthermore, this device can enabler
the production of mass customized food with the nutritional labels for each
possible combination.
PMID- 29803433
TI - Modification of enzymes by use of high-pressure homogenization.
AB - High-pressure is an emerging and relatively new technology that can modify
various molecules. High-pressure homogenization (HPH) has been used in several
studies on protein modification, especially in enzymes used or found in food,
from animal, plant or microbial resources. According to the literature, the
enzymatic activity can be modulated under pressure causing inactivation,
stabilization or activation of the enzymes, which, depending on the point of view
could be very useful. Homogenization can generate changes in the structure of the
enzyme modifying various chemical bonds (mainly weak bonds) causing different
denaturation levels and, consequently, affecting the catalytic activity. This
review aims to describe the various alterations due to HPH treatment in enzymes,
to show the influence of high-pressure on proteins and to report the HPH effects
on the enzymatic activity of different enzymes employed in the food industry and
research.
PMID- 29803432
TI - Remela de cachorro (Clavija lancifolia Desf.) fruits from South Amazon: Phenolic
composition, biological potential, and aroma analysis.
AB - Remela de cachorro (Clavija lancifolia Desf.) is an Amazonian native fruit
consumed specially in the Purus microregion. Because of its rarity, restricted
consumption, and the lack of knowledge about its chemical composition, remela de
cachorro fruit was studied in relation to its phenolic and aroma constitution.
Using liquid chromatography tandem mass spectrometry (LC-MS/MS), 11 compounds
(flavonoids and its glucosides along with organic acids) were tentatively
identified by fragmentation patterns. A previously validated method was applied
to quantify common antioxidant compounds in the raw pulps, for which kaempferol
was the main compound. Gas chromatography mass spectrometry (GC-MS) with
headspace solid-phase microextraction (HS-SPME) was employed to assess the aroma
composition of remela de cachorro fruit. A total of 27 volatile organic compounds
(VOCs) were identified for this fruit, for which benzaldehyde and linalool were
the main VOCs. Furthermore, biological activities, such as antioxidant capacity
(ABTS, DPPH, and ORAC methods), cytotoxicity, and alpha-glucosidase and lipase
inhibitions of the hydroalcoholic extract of remela de cachorro fruit were
evaluated. In vitro biological assays revealed the potential of this fruit as a
bioactive food that should be further studied and explored in Amazonian products.
PMID- 29803434
TI - Effect of thermal/pressure processing and simulated human digestion on the
immunoreactivity of extractable peanut allergens.
AB - Peanut allergy is one of the most widespread types of food allergies especially
affecting developed countries. To reduce the risk of triggering allergic
reactions, several technological strategies have been devised to modify or remove
allergens from foods. Herein we investigated the combination of high temperature
and pressure on the modulation of peanuts immunoreactivity after simulated gastro
duodenal digestion. Extractable proteins of raw and autoclaved peanuts were
separated on SDS-PAGE and immunogenicity was assessed by ELISA and Western Blot
analyses. Proteins surviving the heat treatment and reacting towards allergic
patients' sera were analysed and attributed to Ara h 3 and Ara h 1 proteins by
untargeted LC-high resolution-MS/MS. A progressive reduction in the intensity of
the major allergen proteins was also highlighted in the protein fraction
extracted from autoclaved peanuts, with a total disappearance of the high
molecular allergens when samples were preliminary exposed to 2 h hydration
although the lower molecular weight fraction was not investigated in the present
work. Furthermore, raw and processed peanuts underwent simulated digestion
experiments and the IgE binding was assessed by using allergic patients' sera.
The persistence of an immunoreactive band was displayed around 20 kDa. In
conclusion, the synergistic effects of heat and pressure played a pivotal role in
the disappearance of the major peanut allergens also contributing to the
significant alteration of the final immunoreactivity. In addition, the surviving
of allergenic determinants in peanuts after gastrointestinal breakdown provides
more insights on the fate of allergenic proteins after autoclaving treatments.
PMID- 29803435
TI - Chemo-sensory approach for the identification of chemical compounds driving green
character in red wines.
AB - The present work seeks to define the "green character" of red wines and
characterise the groups of molecules potentially involved in that perception.
Fifty-four wines were screened by wine experts for different levels of green
character. Six different phenolic fractions were obtained by liquid
chromatography (LC) and further submitted to sensory and chemical
characterisation. The volatile fraction was screened by semipreparative LC, Gas
Chromatography-Olfactometry (GC-O) and quantitative analysis. The green character
was linked to vegetal aroma, astringency, green and dry tannins according to
experts of the Somontano region. Non-volatile fractions containing tannins with
mean degree of polymerisation of ten and smaller anthocyanin-derivative pigments
(1 and a p-value smaller than 0.05
between different age, gender and part groups. These results illustrate that the
influence of the 3 investigated factors on the lipid profiles of Taihe black
boned silky fowl decreased in the order of age > gender > part. Lipid profile
differences will facilitate a better understanding of the curative properties of
Taihe black-boned silky fowl. Taihe and crossbred black-boned silky fowls were
compared in terms of their lipid compositions based on the same strategy. The
results showed that the two groups were able to discriminate from each other
effectively. 47 lipid compounds were determined to be potential markers for the
authentication of Taihe black-boned silky fowl. This work demonstrates the
successful application of lipidomics for lipid profiling in food raw materials.
PMID- 29803442
TI - Volatile compounds and protein profiles analyses of fermented cocoa beans and
chocolates from different hybrids cultivated in Brazil.
AB - Cocoa beans from different geographical and genetic origins show distinct
fermentation dynamics which result in different chocolate qualities. In order to
understand the effects of genetic improvement of cocoa plants, in this work
volatile compounds and proteins profiles of beginning and end of the fermentation
from different cocoa hybrids (CEPEC2004, PH15, PS1319, SJ02) were searched.
Moreover, sensorial characterization of the produced chocolate from these hybrids
was performed. According to the results obtained, different volatile compounds
were identified in fermented beans and in the chocolate produced. Chocolate from
CEPEC2004 was the most accepted by judges and correlated with sweet and bitter
taste which can be explained by the presence of desired flavor compounds, such as
2,3-butanediol and 2-methyl-1-butanol. A higher presence of acids (undesirable
compounds) was observed in chocolates samples from PS1319 hybrid, that have
resulted in the low acceptance by judges. In addition, MALDI-TOF MS analysis
showed that during fermentation the protein profile was different among the
hybrids, which indicates this kind of compounds also contributes to the cocoa
specific flavor.
PMID- 29803443
TI - Polar and non-polar intracellular compounds from microalgae: Methods of
simultaneous extraction, gas chromatography determination and comparative
analysis.
AB - A method to simultaneously extract polar (PC) and non-polar compounds (NPC) from
microalgae was developed for further determination of intracellular metabolites
by gas chromatography. The proposed method was validated and used to characterize
two Chlorophyceae, Chlorella vulgaris and Scenedesmus obliquus, and two
Cyanobacteria, Aphanothece microscopica Nageli and Phormidium autumnale. The
compounds were extracted with a reduced amount of organic solvent mixture
(methanol-chloroform), compared to the reference method, under different
conditions of homogenization and/or cell disruption. The NPC were derivatized by
acid catalysis, whereas the PC fraction was derivatized using N-methyl,N-tert
Butyldimethylsilyltrifluoroacetamide (MTBSTFA) in alkaline medium. The following
parameters for method validation were considered: selectivity, linearity, limit
of detection (LOD), limit of quantitation (LOQ), precision, and accuracy. All
methods of homogenization and cell disruption extracted both PC and NPC from
Chlorophyceae and Cyanobacteria. Derivatization of PC presented satisfactory
validation parameters. Eleven fatty acids, six free amino acids, and three
organic acids were found within the evaluated microalgae species, succinic,
malic, and citric acids, important intermediates of the tricarboxylic acid cycle.
Glutamic acid was the amino acid found in greatest quantities in all species.
Chlorophyceae presented a higher concentration of unsaturated fatty acids, while
Cyanobacteria had more saturated fatty acids. Thus, the proposed method was
suitable to metabolically characterize both PC and NPC from microalgae.
PMID- 29803444
TI - Comparative study of conventional and pressurized liquid extraction for
recovering bioactive compounds from Lippia citriodora leaves.
AB - The extraction of bioactive compounds from Lippia citriodora leaves (Lc) has been
evaluated by comparison between Pressurized Liquid Extraction (PLE) and
conventional extrations combined with HPLC-ESI-TOF-MS in order to maximize
recovery of phytochemicals and to know the efficiency of both methods. To achieve
these goals, conventional extractions were carried out using different
concentrations of ethanol and water. On the other hand, pressurized liquid
extractions were performed by a Response Surface Methodology (RSM) based on a
Central Composite Design 23 model to address the bioactive compounds extraction.
The independent variables selected were temperature, percentage of solvent
(ethanol and water) and extraction time. The response variables were extraction
yield and recovery of bioactive compounds. Thus, the optimum values to maximize
yield was 200 degrees C, 46% ethanol and 17 min. In addition, the design
versatility allowed found the optimal conditions for each chemical group and to
validate them. This experimental model followed by HPLC-ESI-TOF/MS analysis offer
for the first time an easy, rapid, and objective manner to optimize extraction of
bioactive compounds from Lc leaves by PLE, which could be used as methodology for
development functional ingredients.
PMID- 29803445
TI - Infrared spectroscopy as an alternative methodology to evaluate the effect of
structural features on the physical-chemical properties of inulins.
AB - Two types of inulins of different composition were investigated in the glassy and
in the crystalline states, at relative humidities within 11 and 97%. The melting
and glass transition temperatures (Tm, Tg), and their crystallinity indexes (CI)
were determined by modulated differential-scanning calorimetry (MDSC) and wide
angle X-ray scattering (WAXS), respectively. In parallel assays, Fourier
transform-infrared spectroscopy (FTIR) coupled to principal component analysis
(PCA) enabled a physical-chemical and structural characterization of samples,
explaining 90% of the total variance. Finally, partial least square (PLS) models
were defined to determine Tg, Tm, and CI directly from the FTIR spectra, using
the MDSC and WAXS results as reference methods. In all cases, the mean of
predicted values fitted very well those of the reference methods (R2 > 0.961),
thus supporting the use of the PLS models to investigate unknown samples. The
robustness of the models underlines the usefulness of FTIR to easily determine
physical-chemical parameters, otherwise requiring complex preparation of samples
and prolonged times of analysis.
PMID- 29803446
TI - Anthocyanins in cereals: Composition and health effects.
AB - Coloured (black, purple, blue, pink, red, and brown) cereal grains have gained
much attention recently due to attractive nutritional values. A major type of
pigments responsible for the colours as well as the health benefits of the
cereals are anthocyanins. Focusing on the recent updates, this review summarises
the chemical composition of the anthocyanins in diverse cereals including maize,
rice, wheat, barley, sorghum, millet, and rye. There is a great diversity in
anthocyanin composition among various cereals. Special cereal genotypes with much
enhanced anthocyanin content (e.g., endosperm of rice kernels rich in
anthocyanins) have been developed by genetic means. The coloured cereals as
potential ingredients for functional food production have been subjected to
extensive research for health benefits. Both in vitro and in vivo studies on the
health effects of the anthocyanins from the cereals have been summarised. The
claimed health benefits include anti-oxidation, anti-cancer, glycemic and
bodyweight regulation, neuroprotection, retinal protection, hypolipidemia,
hepatoprotection, and anti-ageing. These health effects suggest potential uses of
the cereal anthocyanins for positive human nutrition. However, clinical and human
studies are needed to confirm these claimed health effects.
PMID- 29803448
TI - Flavor characterization of native Peruvian chili peppers through integrated aroma
fingerprinting and pungency profiling.
AB - A broad range of Peruvian chili peppers are available but not properly
characterized. To increase the insight into their flavor compounds, a head space
GC-MS fingerprinting (volatiles) and an HPLC-based profiling approach (pungency)
was implemented to characterize twenty landraces (Capsicum annuum, C. baccatum
and C. chinense). The data obtained was analyzed with powerful chemometric
approaches to identify unique flavor compounds for each of the species and for
each of the landraces within a specific species. The pungency profile and
volatiles such as esters, terpenes and norcarotenoids distinguish Cerezo
triangular (4) (C. annuum). Mainly esters provoked the separation between Chico
(42), Cacho de cabra rojo (323), Amarillo de Chachapoyas (318) (C. baccatum),
Arnaucho (60) and Miscucho amarillo (69) (C. chinense). This study demonstrates
the potential of the integrated fingerprinting, profiling and a chemometric
approach to extensively understand the unique flavor compounds in Peruvian chili
peppers.
PMID- 29803447
TI - The effect of sterol derivatives on properties of soybean and egg yolk lecithin
liposomes: Stability, structure and membrane characteristics.
AB - The effects of three kinds of sterols (cholesterol, beta-sitosterol and
ergosterol) on the stability, microstructure and membrane properties of soybean
and egg yolk lecithin liposomes were investigated by light scattering,
transmission electron microscope (TEM), atomic force microscope (AFM),
fluorescence and Fourier transform infrared spectroscopy (FTIR). The vesicle size
of cholesterol or beta-sitosterol incorporated liposomes was higher than that of
the control and ergosterol incorporated ones, while the zeta-potential was
similar when the same lecithin was used. Due to the excellent emulsifying
capacity, Tween-80 was introduced into the system and which could obviously
maintain the liposomal vesicle size in fetal bovine serum. According to TEM and
AFM, the phenomena of membrane fusion and deformation were observed respectively
in ergosterol-incorporated liposomes. Results of fluorescence probe spectra
revealed the most compact membrane structure was found in cholesterol
incorporated liposomes, which was in accordance with the strongest intermolecular
interaction in bilayers obtained by FTIR results. Conversely, the membrane of
ergosterol-incorporated liposomes was the most fragile and fluid, which was also
identified with the lowest physical stability obtained by Turbiscan. These
results systematically illustrated the relationship between the structure of
sterols and the liposomal membrane stability, and provided some meaningful
information on the choice of sterols and lecithin in preparation of liposomes for
different purposes.
PMID- 29803449
TI - Effect of high intensity ultrasound on structure and foaming properties of pea
protein isolate.
AB - The effects of high intensity ultrasound (HIUS, 20 kHz, at varying amplitude 30%,
60%, 90% for 30 min) on structure and foaming properties of pea protein isolate
(PPI) were investigated. No significant change was observed from the
electrophoresis profiles and circular dichroism (CD) spectrum. Analyses of
fluorescence spectroscopy and the amount of free sulfhydryl groups showed that
HIUS induced protein molecular partial unfolding. Furthermore, HIUS decreased
particle size of PPI and increased exposed hydrophobicity, resulting in a
reduction of the surface tension at the air-water interface. Therefore, the
foaming ability of PPI increased from 145.6% to 200.0%. The foaming stability
increased from 58.0% to 73.3% with the increasing amplitude after 10 min though
all reduced to 50.0% with the extension of time. That suggested that HIUS
treatment has a potential to be implemented to modify foaming properties of PPI.
PMID- 29803450
TI - Evaluation of butyrylcholinesterase inhibitory activity by chlorogenic acids and
coffee extracts assed in ITC and docking simulation models.
AB - In patients with Alzheimer's disease (AD), elevated levels of
butyrylcholinesterase (BChE) are observed. The enzyme hydrolyses acetylcholine,
which shows deficiency in these patients. Therefore, BChE inhibitors are used in
the treatment of Alzheimer's disease, especially synthetic ones, showing side
effects with long-term intake. The sources of natural BChE inhibitors are
constantly being sought. Coffee brews have been shown to reduce the symptoms of
AD in epidemiological studies. However, the ability to inhibit BChE activity has
not been investigated, depending on the degree of coffee roasting. The study was
aimed at determining the interactions between BChE and the bioactive compounds of
coffee and their ability to inhibit the activity of BChE. A comparison of
individual bioactive compounds of coffee as well as extracts obtained from two
main species, Arabica and Robusta, and additionally from different degrees of
roasting was made. Two models were used: isothermal titration calorimetry (ITC)
and molecular docking simulation. ITC analysis showed strong interactions of
ferulic and dihydrocaffeic acids with BChE. These compounds are the metabolites
of the chlorogenic acids, including both mono- and diesters of caffeic acid with
quinic acid. Docking simulation showed their strong hydrophobic interaction with
BChE, stabilized by hydrogen bonds and pi-pi interactions. After introducing
acetylcholine into the model system, the strongest ability to inhibit hydrolytic
activity of BChE was again observed for ferulic acid and additionally for 3
caffeoylquinic acid, and among coffee brews the most active were light roasted
Arabica and green Robusta. The study was based on the physiological
concentrations of coffee components, so the potential therapeutic effect of
coffee infusions was proved.
PMID- 29803451
TI - Development and characterization of microencapsules containing spray dried powder
obtained from Brazilian brown, green and red propolis.
AB - The aim of this study was to obtain dried powders from the brown, green and red
varieties of the Brazilian propolis by spray drying, using gum arabic and
maltodextrin as encapsulating materials. Propolis microparticles were evaluated
for particle size, X-Ray Diffraction (XRD), Fourier Transform Infrared
Spectroscopy (FTIR), Scanning Electron Microscopy (SEM), moisture, water
activity, solubility, hygroscopicity, encapsulation efficiency, total phenolic
content, flavonoids and antioxidant activity (DPPH, ABTS+, FRAP, ORAC). Bioactive
compounds were determined by UPHLC-QqQ-MS/MS. The results showed that the
microparticles presented spherical forms, smooth surfaces, amorphous
characteristics, low water activity, moisture and hygroscopicity, high
solubility, good encapsulation efficiency, good antioxidant activity, although a
reduction in total phenolics and flavonoids from the non-encapsulated propolis.
The most important compounds in propolis powders were artepillin C, kaempferide,
p-coumaric acid, luteolin, chlorogenic acid, kaempferol and caffeic acid. Spray
drying of propolis is a viable option to increase its use in food and
pharmaceutical applications.
PMID- 29803452
TI - Dispersed phase volume fraction, weak acids and Tween 80 in a model emulsion:
Effect on the germination and growth of Bacillus weihenstephanensis KBAB4 spores.
AB - In foodstuffs, physico-chemical interactions and/or physical constraints between
spores, inhibitors and food components may exist. Thus, the objective of this
study was to investigate such interactions using a model emulsion as a microbial
medium in order to improve bacterial spore control with better knowledge of the
interactions in the formulation. Emulsions were prepared with hexadecane mixed
with nutrient broth using sonication and were stabilized by Tween 80 and Span 80.
The hexadecane ratio was either 35% (v/v) or 50% (v/v) and each emulsion was
studied in the presence of organic acid (acetic, lactic or hexanoic) at two pH
levels (5.5 and 6). Self-diffusion coefficients of emulsion components and the
organic acids were measured by Pulsed Field Gradient-Nuclear Magnetic Resonance
(PFG-NMR). The inhibition effect on the spore germination and cell growth of
Bacillus weihenstephanensis KBAB4 was characterized by the measure of the
probability of growth using the most probable number methodology, and the measure
of the time taken for the cells to germinate and grow using a single cell
Bioscreen(r) method and using flow cytometry. The inhibition of spore germination
and growth in the model emulsion depended on the dispersed phase volume fraction
and the pH value. The effect of the dispersed phase volume fraction was due to a
combination of (i) the lipophilicity of the biocide, hexanoic acid, that may have
had an impact on the distribution of organic acid between hexadecane and the
aqueous phases and (ii) the antimicrobial activity of the emulsifier Tween 80
detected at the acidic pH value. The interface phenomena seemed to have a major
influence. Future work will focus on the exploration of these phenomena at the
interface.
PMID- 29803453
TI - Volatile profiles and chromatic characteristics of red wines produced with
Starmerella bacillaris and Saccharomyces cerevisiae.
AB - The use of mixed fermentations with Starmerella bacillaris and Saccharomyces
cerevisiae is gaining attention in recent years due to their ability to modulate
the metabolites production of enological interest. In the present study, four of
the most popular planted red grape varieties (Cabernet sauvignon, Merlot, Pinot
noir and Shiraz) were fermented using the aforementioned species and two
different inoculation protocols (inoculation of S. cerevisiae after 24 and 48 h
from the Starm. bacillaris inoculation), in order to evaluate their impact on the
volatile composition and chromatic characteristics of wines. Analysis from
chemical composition showed that titratable acidity and glycerol content
exhibited marked differences among wines after fermentation. For volatile
compounds, mixed fermented wines using an inoculation delay of 48 h led to
reduction of volatile compounds (mainly esters). A shorter 24 h delay produced
wines with higher values of color intensity than pure fermented wines. The
differences observed between the inoculation protocols can be explained by the
growth dynamics of both species during fermentation. These findings suggest that
mixed fermentations posed a great potential in reducing metabolites which are
considered negative for wine quality (mainly ethyl acetate and volatile fatty
acids) and with an improvement of the chromatic profile of the wines.
PMID- 29803454
TI - Caffeine-based food supplements and beverages: Trends of consumption for
performance purposes and safety concerns.
AB - Nowadays, daily food supplementation regarding the improvement of physical and
mental performance is a growing trend in sport practitioners, young students and
active people. Food supplements are foodstuffs, labeled under food law and not
obliged to safety assessments before their commercialization. Several products
are commercialized claiming ergogenic effects as marketing strategies. Caffeine
is often one of their main ingredients, as it increases both physical performance
and concentration. This manuscript presents a general overview of the current
caffeine-based food supplements and energy drinks available in the Portuguese
market, as well as the consuming trends regarding their ergogenic effects,
performance purposes, and active ingredients. Product claims, recommended daily
intakes, caffeine pharmacology, and safety concerns aspects are also discussed
aspects.
PMID- 29803455
TI - Migration from plastic packaging into meat.
AB - Migration is a known phenomenon defined as the partitioning of chemical compounds
from the packaging into food, and depends on several factors. Migration assays
are generally time-consuming and require specific conditions in order to
investigate the behavior of the packaging in different situations. Furthermore,
these tests are often performed with food simulants, since the determination of
migration under real conditions is highly impaired. Several methodologies have
been designed to carry out this study, but an ideal approach should be capable of
assessing the migration of compounds in real samples, providing fast and reliable
results. Within this context, mass spectrometry can be considered a suitable and
versatile technique that shows great potential to accurately characterize several
contaminants in food by migration. Thus, in this work we present a mass
spectrometry-based application for the detection of several compounds from
plastic, directly from vacuum-packed meat samples. This preliminary and simple
workflow can be easily applied in routine analyses for either quality control
purposes or in the prospection of other potential bioactive contaminants in food.
PMID- 29803456
TI - Inactivation dynamics of 222 nm krypton-chlorine excilamp irradiation on Gram
positive and Gram-negative foodborne pathogenic bacteria.
AB - The object of this study was to elucidate the bactericidal mechanism of a 222 nm
Krypton Chlorine (KrCl) excilamp compared with that of a 254 nm Low Pressure
mercury (LP Hg) lamp. The KrCl excilamp had higher bactericidal capacity against
Gram-positive pathogenic bacteria (Staphylococcus aureus and L. monocytogenes)
and Gram-negative pathogenic bacteria (S. Typhimurium and E. coli O157:H7) than
did the LP Hg lamp when cell suspensions in PBS were irradiated with each type of
UV lamp. It was found out that the KrCl excilamp induced cell membrane damage as
a form of depolarization. From the study of respiratory chain dehydrogenase
activity and the lipid peroxidation assay, it was revealed that cell membrane
damage was attributed to inactivation of enzymes related to generation of
membrane potential and occurrence of lipid peroxidation. Direct absorption of UV
radiation which led to photoreaction through formation of an excited state was
one of the causes inducing cell damage. Additionally, generation of ROS and thus
occurrence of secondary damage can be another cause. The LP Hg lamp only induced
damage to DNA but not to other components such as lipids or proteins. This
difference was derived from differences of UV radiation absorption by cellular
materials.
PMID- 29803457
TI - Enhancing emulsification and antioxidant ability of egg albumin by moderately
acid hydrolysis: Modulating an emulsion-based system for mulberry seed oil.
AB - Mulberry seed oil (MSO) is a kind of potential health-care lipids. This study, we
investigated unsaturated fatty acids profiles of freshly squeezed MSO by GC-MS
and modulated an oil-in-water emulsion system stabilized by acid hydrolyzed egg
albumin (AHEA) to protect MSO from oxidation. The results showed that the content
of total unsaturated fatty acids in MSO was almost 80%, of which 9, 12- and 10,
13-linoleic acid was over 60% and 10% respectively. In the case of the MSO-in
AHEA emulsions, it was observed that acid hydrolysis improved emulsifying effect,
emulsifying stability and antioxidant activity of egg albumin (EA). The
hydrolysates of EA (1%, w/w) acid hydrolyzed for 4 h at 85 degrees C had the
best DPPH radical scavenging efficiency. It was suitable for EA to hydrolyze for
4 to 12 h at pH 2.5 and 85 degrees C because of their better emulsification and
oxidation stability than the others. The results about AHEA could be valuable for
designing delivery and protect systems for MSO or other bioactive component to
avoid their oxidative damage or control their release.
PMID- 29803458
TI - Differences in peptide oxidation between muscles in 12 months Spanish dry-cured
ham.
AB - Oxidative modifications of proteins and peptides can negatively affect
nutritional, sensory and quality characteristics of dry-cured hams. The aim of
this study was to use a peptidomics strategy for the identification and relative
quantification of oxidised peptides in two different muscles, the external
Semimembranosus (SM) and the internal Biceps femoris (BF), from dry-cured hams of
12 months of curing. The analysis by nanoliquid chromatography-tandem mass
spectrometry identified peptides showing oxidation at different amino acid
residues such as methionine, cysteine, histidine, tryptophan, and phenylalanine.
The highest percentages of oxidised peptides identified in SM and BF muscles were
from pyruvate kinase protein (16.7 and 28.6%, respectively). The relative
quantitation of oxidised peptides using mass spectrometry in tandem label-free
methodology evidenced significant differences between muscles, with myosin and
troponin fragments as main responsible peptides for the clustering of data. The
existing differences between BF and SM peptides were confirmed using MALDI
imaging mass spectrometry technique. The obtained results suggest that
differences in physicochemical characteristics such as water and salt contents
between SM and BF muscles could affect the quantity of peptide oxidation. This
peptidomics approach has allowed to analyse differences in peptide oxidation
between dry-cured ham muscles as well as to improve the knowledge about the
oxidative processes that occur in dry-cured hams.
PMID- 29803459
TI - Characterization of edible bird's nest of different production, species and
geographical origins using nutritional composition, physicochemical properties
and antioxidant activities.
AB - Edible bird's nest (EBN) is a precious food made from the solidified saliva of
swiftlets. EBN from three types of origin, namely production, swiftlet species
and geographical were characterised based on its nutritional composition,
physicochemical properties and antioxidant properties. Proximate composition,
total phenolic content (TPC) and antioxidant activities were determined following
official methods, while mineral and heavy metal contents were obtained by
respective atomic adsorption spectrometry (AAS) and inductively coupled plasma
mass spectrometry (ICP-MS). Amino acids profile and sialic acid were determined
using high performance liquid chromatography (HPLC). Calcium and sodium were the
major elements in EBN samples at averages of 17,267 mg/kg and 13,681 mg/kg,
respectively. Despite protein contents were not significantly different;
interestingly the total amino acids in A. fuciphagus EBN, 64.57 g/100 g was found
to be 23% higher than in A. maximus EBN. EBN from house, A. fuciphagus and
Peninsular Malaysia had greater antioxidant activities, 2.33-3.49 mg AAE/g and
higher sialic acid, 13.57 g/100 g while those from cave, A. maximus and East
Malaysia contained more minerals like calcium and magnesium. The 1, 1-diphenyl-2
picrylhydrazyl (DPPH) free radical scavenging activity and ferric ion reducing
antioxidant power (FRAP) of house, A. fuciphagus and Peninsular Malaysia EBNs
were approximately 2 times greater than the others. All samples were complied
with the Malaysian Standard MS 2334:2011, except for mercury and nitrite. The
overall findings suggest that the quality of EBN was varied following the
production, species and geographical origins.
PMID- 29803460
TI - Anti-inflammatory effects of newly synthesized alpha-galacto-oligosaccharides on
dextran sulfate sodium-induced colitis in C57BL/6J mice.
AB - alpha-Galacto-oligosaccharides have been reported to have beneficial health
effects. The purpose of this study was to investigate the preventive effects of a
newly synthesized alpha-galacto-oligosaccharide mixture (alpha-GOSg), as well as
raffinose family oligosaccharides (RFOs), on dextran sulfate sodium (DSS)-induced
colitis in mice. When administered in drinking water at 0.5% for 15 days, both
alpha-GOSg and RFOs significantly decreased fecal hemoglobin content, partially
prevented colon length shortening, reduced the severity of colon inflammation,
and attenuated DSS-induced upregulation of cyclooxygenase-2. In addition, the
activation of the inflammatory regulator nuclear factor-kappa B was slightly
inhibited by alpha-GOSg. The results showed that the newly synthesized alpha-GOSg
preparation has similar anti-inflammatory activities as RFOs in this colitis
model. The anti-inflammatory activity of alpha-GOSg in humans remains to be
investigated.
PMID- 29803461
TI - Dietary supplementation of tiger nut alters biochemical parameters relevant to
erectile function in l-NAME treated rats.
AB - Tiger nut tubers have been reportedly used for the treatment of erectile
dysfunction (ED) in folk medicine without scientific basis. Hence, this study
evaluated the effect of tiger nut on erectile dysfunction by assessing
biochemical parameters relevant to ED in male rats by nitric oxide synthase (NOS)
inhibitor, Nomega-nitro-l-arginine methyl ester hydrochloride (l-NAME) treatment.
Rats were divided into five groups (n = 10) each: Control group; l-NAME plus
basal diet; l-NAME plus Sildenafil citrate; diet supplemented processed tiger nut
(20%) plus l-NAME;diet supplemented raw tiger nut (20%) plus l-NAME. l-NAME pre
treatment (40 mg/kg/day) lasted for 14 days. Arginase, acetycholinesterase (AChE)
and adenosine deaminase (ADA) activities as well as nitric oxide levels (NO) in
serum, brain and penile tissue were measured. l-NAME increased the activity of
arginase, AChE and ADA and reduced NO levels. However, dietary supplementation
with tiger nut caused a reduction on the activities of the above enzymes and up
regulated nitric oxide levels when compared to the control group. The effect of
tiger nut supplemented diet may be said to prevent alterations of the activities
of the enzymes relevant in erectile function. Quercetin was revealed to be the
most active component of tiger nut tuber by HPLC finger printing.
PMID- 29803462
TI - A peptidomic approach of meat protein degradation in a low-sodium fermented
sausage model using autochthonous starter cultures.
AB - Fermented sausage technology is currently compromised in decreasing the addition
of NaCl. Use of starter cultures with peptidogenic potential could be a valuable
strategy that can mask or hide off flavors produced by the use of NaCl
substituents. In the present work, the peptidogenic potential of four lactic acid
bacteria species was evaluated in a low-sodium beaker sausage (BS) model. Using a
peptidomic approach, a total of 86 low molecular weight (LMW) peptides were
accurately identified, mostly derived from myofibrillar proteins, especially
actin, which generated 53 peptides. The BS inoculated with L. curvatus CRL705
generated 56 LMW peptides, followed by Enterococcus (E.) mundtii CRL35 with 43
peptides. In addition, BS inoculated with Lactobacillus (L.) plantarum and with
L. sakei produced higher amino acid amounts over time as compared to the rest of
BS models, highlighting the importance of both, time and sample effect on the
overall free amino acid generation. The presence of each LAB strain in BS models
generated a unique profile of small peptides and amino acids that could serve as
a distinctive biochemical trait to differentiate specific fermented products.
According to these results, E. mundtii and L. sakei, which are compatible between
them, are proposed as the most efficiently adapted to low-sodium conditions. The
use of selected strains during the processing of low-sodium fermented sausages
could have a positive effect on the production of small peptides and free amino
acids.
PMID- 29803463
TI - Protease activity of enzyme extracts from tamarillo fruit and their specific
hydrolysis of bovine caseins.
AB - The characterisation of a serine protease isolated from tamarillo (Solanum
betaceum) fruit and its milk casein hydrolysis activity were investigated.
Compared with calf rennet, a crude extract from tamarillo exhibited wider
caseinolytic activity on sodium caseinate. The purified protease was named
"tamarillin" and revealed proteolytic activity toward purified alpha-, beta- and
kappa-casein. Similar to calf rennet, tamarillin preferably hydrolysed kappa
casein, but, unlike calf rennet, it also displayed high proteolytic activity
toward both alpha- and beta-casein. The major peptide generated from kappa-casein
by tamarillin was analysed by gel electrophoresis and liquid chromatography mass
spectrometry to confirm its molecular mass as 14,290 Da. The cleavage site was
confirmed by in-gel tryptic digestion and time-of-flight mass spectrometry
analysis to be at Asn123-Thr124. This was in contrast to the Phe105-Met106
cleavage site of rennet hydrolysis.
PMID- 29803464
TI - Differentiation of black tea infusions according to origin, processing and
botanical varieties using multivariate statistical analysis of LC-MS data.
PMID- 29803465
TI - Towards a better understanding of Artemisia vulgaris: Botany, phytochemistry,
pharmacological and biotechnological potential.
AB - Artemisia vulgaris is one of the important medicinal plant species of the genus
Artemisia, which is usually known for its volatile oils. The genus Artemisia has
become the subject of great interest due to its chemical and biological diversity
as well as the discovery and isolation of promising anti-malarial drug
artemisinin. A. vulgaris has a long history in treatment of human ailments by
medicinal plants in various parts of the world. This medicinal plant possesses a
broad spectrum of therapeutic properties including: anti-malarial, anti
inflammatory, anti-hypertensive, anti-oxidant, anti-tumoral, immunomodulatory,
hepatoprotective, anti-spasmodic and anti-septic. These activities are mainly
attributed to the presence of various classes of secondary metabolites, including
flavonoids, sesquiterpene lactones, coumarins, acetylenes, phenolic acids,
organic acids, mono- and sesquiterpenes. Studies related to A. vulgaris
morphology, anatomy and phytochemistry has gained a significant interest for
better understanding of production and accumulation of therapeutic compounds in
this species. Recently, phytochemical and pharmacological investigations have
corroborated the therapeutic potential of bioactive compounds of A. vulgaris.
These findings provided further evidence for gaining deeper insight into the
identification and isolation of novel compounds, which act as alternative sources
of anti-malarial drugs in a cost-effective manner. Considering the rising demand
and various medical applications of A. vulgaris, this review highlights the
recent reports on the chemistry, biological activities and biotechnological
interventions for controlled and continuous production of bioactive compounds
from this plant species.
PMID- 29803466
TI - Donkey milk as a supplement in infant formula: Benefits and technological
challenges.
AB - The aim of this review paper is to assess the applicability of donkey's milk to
infants suffering from Cow Milk Protein Allergy (CMPA) compared to human and
other available milk types. The bioactive and immune-supportive character which
could be beneficial as a fortifier to the formula-fed infants is described while
limitations of this type of milk are also discussed. Studies showed that human
and donkey's milk have similar, overall, chemical composition as well as protein
homogeneity and antigenic similarities. Several in vitro and in vivo studies
showed that donkey's milk has nutraceutical and functional properties that can
support immunity, alter metabolism and beneficially modify gut microbiota.
Clinical studies illustrated that donkeys' milk is well tolerated (82.6%-88%) by
infants. Finally, the effect that processing (i.e. thermal, non-thermal
treatments, drying methods) has on donkey milk components is also discussed
pointing out the need for minimally processing this type of milk.
PMID- 29803467
TI - Site-specific hydrolysis of chlorogenic acids by selected Lactobacillus species.
AB - Hydroxycinnamic acids are a major group of phenolic compounds widely distributed
in plants. Among them, chlorogenic acids and caffeic acid have been in the focus
of interest due to their impact on food quality and their putative health
benefits. Numerous microorganisms like lactic acid bacteria are able to hydrolyze
chlorogenic acids by cinnamoyl esterase enzymes. Data on the specificity of
theses enzymes regarding the cleavage of distinct isomers of mono- or
dichlorogenic acids is lacking. Lactobacillus reuteri, Lactobacillus helveticus,
and Lactobacillus fermentum were screened for their ability to hydrolyze
chlorogenic acid isomers in culture medium. Concentrations of chlorogenic acids
and the released caffeic acid were determined by UHPLC-ESI-MS. The highest
hydrolysis rate (100%) was observed for the hydrolysis of 5-CQA by Lactobacillus
helveticus. A so far unknown metabolic pathway for the cleavage of 4-CQA is
proposed including isomerization to 5-CQA and 3-CQA followed by hydrolysis.
PMID- 29803468
TI - Effects of in vitro gastrointestinal digestion on phenolic compounds and
antioxidant activity of different white winemaking byproducts extracts.
AB - The effect of in vitro gastrointestinal digestion on phenolic composition and
antioxidant activity of different white winemaking byproducts extracts (grape
pomace and its parts: seeds, skins and stems) was evaluated. Fourteen individual
phenolic compounds were evaluated by UHPLC. The antioxidant activity was measured
by DPPH and ORAC assays. Differences on phenolic profile and antioxidant activity
were observed depending on the digestion phase, the type of byproduct, the
phenolic group and the antioxidant activity assay. In general, digestion had a
reducing effect on TPC and antioxidant activity; however, ORAC values of seed and
stem extracts increased after digestion and some recovery indexes of the phenolic
groups were very high. Results indicate that extracts from white winemaking
byproducts are a reliable source of bioaccessible antioxidant compounds, which
could be used as functional food ingredients.
PMID- 29803469
TI - An Electronic Nose for Royal Delicious Apple Quality Assessment - A Tri-layer
Approach.
AB - Foodborne pathogens cause serious health issues and have a strong impact on the
economy of the country. In this context, quality testing of royal delicious apple
by detecting pathogen contamination using an electronic nose, which contains an
array of six ready-made sensors, has been proposed. To estimate the types of
pathogens, fresh, half and completely contaminated apple samples were considered
for bacterial studies. This study revealed the presence of Staphylococcus,
Salmonella and Shigella bacteria, which were in the order of zero, 102, 103-104
CFU/mL. Further, the recorded headspace GC-MS spectra of contaminated samples
confirmed the presence of bacterial spoilage markers namely acetone, ethyl
acetate, ethyl alcohol and acetaldehyde. Voltage swing of 0.2 and 0.5 V was
observed for half and completely contaminated apple samples respectively with
reference to the fresh sample. Voltage responses of the sensors fed to Principal
component analysis and Ward's method of hierarchical cluster algorithms helped to
assess the quality of apple samples. By correlating the results of tri-layers
namely bacterial count, GCMS data and classification results, reference table was
developed and embedded in the ATmega processor of the electronic nose for real
time quality estimation of apple samples.
PMID- 29803470
TI - Ultrasound-assisted extraction and bioaccessibility of saponins from edible
seeds: quinoa, lentil, fenugreek, soybean and lupin.
AB - The efficient production of saponin-rich extracts is of increasing interest due
to the bioactive properties that have being demonstrated for these compounds.
However, saponins have a poor bioavailability. In this respect, the knowledge
about the bioaccessibility of saponins as a first step before bioavailability has
been scarcely explored. In this study, the production of ultrasound-assisted
extracts of saponins from edible seeds (quinoa, soybean, red lentil, fenugreek
and lupin) was carried out with ethanol, ethanol:water or water. Extraction
yield, total saponin (TSC), fat and total phenolics content (TPC) were
determined. Then, the bioaccessibility of saponins after the in vitro
gastrointestinal digestion of the extracts was determined and the effect of TPC
and fat in the extracts on bioaccessibility was evaluated. The highest saponin
rich extracts were obtained by ethanol, being fenugreek and red lentil the
richest extracts (12% and 10%, respectively). Saponins from ethanol:water
extracts displayed variable bioaccessibility (from 13% for fenugreek to 83% for
lentil), but a bioaccessibility closer to 100% was reached for all ethanol
extracts. Correlation studies showed that TPC of the extracts negatively affected
the bioaccessibility of saponins, whereas fat of the extracts enhanced this
parameter. As summary, ultrasound-assisted extraction is shown as an efficient
method for obtaining saponin-rich extracts from edible seeds, being ethanol the
most advantageous solvent due to the richness of saponins and the successful
bioaccessibility from these extracts, likely caused by the co-extracted fat with
ethanol. Regardless of the extracts, phenolic compounds or fat may hinder or
enhance the bioaccessibility of saponins, respectively. Additionally, an adequate
balance between saponins to lipids has shown to be relevant on such an effect.
PMID- 29803471
TI - Morphology development during single droplet drying of mixed component
formulations and milk.
AB - We report on the influence of selected components and their mixtures on the
development of the morphology during drying of single droplets and extend the
results to the morphology of whole milk powder particles. Sessile single droplet
drying and acoustic levitation methods were employed to study single droplet
drying. The influence of carbohydrates (lactose and maltodextrin DE12) and
proteins (micellar casein or whey protein) on morphology development is very
different, since upon concentration protein systems will jam and undergo a
colloidal glass transition, whereas carbohydrate systems will gradually increase
in viscosity as a consequence of the concentration. Whey protein gives relatively
rigid shells due to jamming of the "hard sphere" proteins, while casein micelles
behave as "soft spheres" that can deform after jamming, which gives flexibility
to the shell during drying. The influence of the carbohydrates on the final
morphology was found much larger than the influence of the proteins. Caseins
influenced morphology only in mixtures with lactose at higher concentrations due
to its high voluminosity. Similar observations were done for whole milk, where
fat appeared to have no influence. With maltodextrin the influence of the casein
was again observed in the shape and smoothness of wrinkles. Both sessile and
levitated droplet drying methods provide a similar and consistent view on
morphology development.
PMID- 29803472
TI - Recovery of bioactive compounds from Arbutus unedo L. fruits: Comparative
optimization study of maceration/microwave/ultrasound extraction techniques.
AB - The aim of this work was to produce an extract rich in different bioactive
compounds from Arbutus unedo L. fruits to enhance its possible valorization and
commercialization. The conditions of the main variables of maceration, microwave,
and ultrasound assisted extractions (MA, MAE and UAE, respectively) were
optimized and compared in terms of its composition (based on the total material
extracted, total content in carbohydrates, phenolic and flavonoid compounds) and
its preservative potential (based on the response of four in vitro antioxidant
assays). The key variables of each extraction technique (time, temperature or
power and hydroalcoholic mixture) were evaluated by specific experimental designs
using response surface methodology. Mathematical models were developed and
numerical optimal values for each extraction technique and response were
achieved. Regarding the extraction of target compositional compounds, MAE was the
most efficient, closely followed by MA. In terms of its preservative potential,
MAE was the most suitable solution, but MA gave similar results at lower
temperatures (~90 degrees C). Globally, MA and MAE were the best options
conducting to optimal solutions using reduced amounts of ethanol. UAE required
higher ethanol contents (~60%). The results showed alternatives to obtain
extracts of A. unedo fruits, supporting their potential to be exploited at
industrial level.
PMID- 29803473
TI - The influence of the storage temperature on the stability of lipid microparticles
containing ginger oleoresin.
AB - Ginger oleoresin (GO) can be encapsulated within a protective lipid matrix in
order to facilitate handling, provide protection against the external environment
or promote the stability of GO compounds. The aim of this study was to verify the
ability of solid lipid microparticles (SLMs) containing GO (10-20% w/w) to
maintain or improve the stability of ginger compounds, by monitoring SLMs'
characteristics during storage at different temperatures (25 and 40 degrees C).
The lipids matrix of SLMs were composed by stearic acid (90, 80, 75, 65% w/w) and
oleic acid (15% w/w), The crystalline structure of the particles after 84 days of
storage did not present any polymorphic alterations, while presenting spherical
form upon scanning by electron microscopy. SLMs containing oleic acid showed
degradation of 6-gingerol when stored at 40 degrees C. Major volatile compounds
had better stability in particles containing oleic acid. Kinetics of volatiles
release resulted in a diffusion mechanism. SLMs showed better stability of GO
compounds during storage at 25 degrees C than un-encapsulated GO and could,
therefore, improve its distribution in foods due to its conversion to powder.
PMID- 29803474
TI - Synergistic action of cathepsin B, L, D and calpain in disassembly and
degradation of myofibrillar protein of grass carp.
AB - The objective of this study was to investigate the differential role of cathepsin
B, L, D and calpain in degradation and disassembly of myofilament. Myofibrillar
protein of grass carp (Ctenopharyngodon idella) was incubated with proteases
monotonously, simultaneously or sequentially. Subsequently, protein degradation
were detected using SDS-PAGE and myofilament disassembly induced by changes of
non-covalent interactions were measured through SDS-PAGE using l-Ethyl-3-(3
Dimethylaminopropyl) Carbodiimide (EDC) as a zero length cross-linker.
Additionally, content of heat shock proteins which functioned in stabilizing
assembly architecture of myofibrillar protein was determined. Results showed that
calpain and cathepsin B, calpain and cathepisn L could act in a stepwise and
complimentary manner to synergistically dissociate and degrade myofibrillar
protein. In synergistic action, cathepsin B disrupted the thick filament assembly
through lowering the UNC45 and HSP90 concentration in myofibrillar protein,
facilitating the degradation of dissociated MHC by calpain. Meanwhile, Cathepsin
L was shown to preferentially remove the actin from thin filament via lowering
the content of HSP27 and alphab-crystallin, to create dissociated actin as
substrate supply for calpain.
PMID- 29803475
TI - Microencapsulation of betanin in monodisperse W/O/W emulsions.
AB - Betanin is the main pigment of the food color beetroot red (E162). Due to the
fair heat and light stability of E162, this pigment is mainly used in minimally
processed packaged food products. Encapsulation increases the stability of
betanin, but detailing on the effect of different sources of betanin on the
properties and stability of multiple emulsions are scarce. Here we describe the
encapsulation of E162, spray-dried beetroot juice and betanin in a monodisperse
food-grade water-in-oil-in-water (W/O/W) emulsions by using microchannel
emulsification. We compare the tinctorial strength of the encapsulated pigments
and investigate the effect of temperature, storage period and pigment
concentration on emulsion stability and color. Betanin increases the overall
stability of the W/O/W emulsion, reduce the oil droplet size and improve size
distribution when compared to the negative control without pigment and to
emulsions containing betanin from other sources.
PMID- 29803476
TI - Microbiota of edible Liometopum apiculatum ant larvae reveals potential functions
related to their nutritional value.
AB - Edible insects, due to their high nutritive value, are currently considered as a
potential renewable source for food and feed production. Liometopum apiculatum
ants are widely distributed in arid and semi-arid ecosystems and their larvae
(escamoles) are considered as a delicacy, however the microbial importance in L.
apiculatum nutritional ecology is unknown. The aim of this research was to
characterize the microorganisms associated with both L. apiculatum larvae and the
reproductive adult ants using the 16S rRNA gene sequencing and culturomics
approaches. The obligate endosymbionts were also investigated through microscopic
analysis. The most abundant Phylum identified by sequencing in the larvae was
Firmicutes while in adult ants was Proteobacteria. Interestingly, the culturomics
results showed 15 genera corresponding to the bacteria identified by sequencing
analysis. Particularly, it was observed a large population of nitrogen-fixing
bacteria, which could be linked with the high protein content in escamoles.
Endosymbionts were detected in bacteoriocytes, these bacteria are related with
vitamins and essential amino acids biosynthesis, and both compounds contributing
to the high nutritional value of escamoles. This is the first report of the
microorganisms present in the escamolera ant ensuring their safety as food and
opening new areas of nutritional ecological and food processing.
PMID- 29803477
TI - Degradation of cocoa proteins into oligopeptides during spontaneous fermentation
of cocoa beans.
AB - Degradation products of proteins produced during fermentation are believed to be
the key precursors of a range of Maillard reactions that deliver the
characteristic flavor and aroma of cocoa and chocolate. We have utilized UPLC-ESI
Q-q-TOF to identify and relatively quantify the largest collection of cocoa
oligopeptides during a spontaneous fermentation time series using Ivory Coast
cocoa beans. Peptides were identified, sequenced by tandem mass spectrometry and
annotated based on their characteristic fragmentation pattern in the positive-ion
mode. This enabled us to quantitatively trace the sequential degradation of the
two main cocoa storage proteins, namely, albumin and vicilin. We observed
sequential proteolytic degradation forming longer peptides in the early stages of
fermentation and an increasing number of shorter peptides at the latter stages of
fermentation. Protein degradation is mediated by both endo- and exopeptidases
degrading at either peptide termini. In excess of 800 fermentation peptides could
be unambiguously identified, providing unprecedented mechanistic details of cocoa
fermentation.
PMID- 29803478
TI - Chemical characterization of a variety of cold-pressed gourmet oils available on
the Brazilian market.
AB - Different specialty extra virgin oils, produced by cold-pressing fruits/nuts
(olive, pequi, palm, avocado, coconut, macadamia and Brazil nut) and seeds
(grapeseed and canola), and retailed in the Brazilian region of Minas Gerais,
were chemically characterized. Specifically, for each type of oil, the fatty acid
composition was elucidated by GC-FID, the contents of selected polyphenols and
squalene were determined respectively by UHPLC-MS and UHPLC-PDA, whereas minerals
were explored by means of ICP-MS. Olive oil was confirmed to have the highest
MUFA content due to a valuable level of oleic acid, while oils from grapeseed,
Brazil nut and canola were marked by nutritionally important PUFA levels. The
highest SFA content found in coconut oil was mainly due to the high levels of
lauric acid, known for its advantageous HDL-raising effects. As for polyphenols,
gourmet oils from palm, coconut and canola showed higher levels of phenolic acids
(e.g. p-hydroxybenzoic, ferulic, syringic, acids) than olive oil, which was
though characterized by peculiar antioxidants, such as tyrosol and
hydroxytyrosol. Also, olive oil had the highest amount of squalene, followed by
the oil from Brazil nut. Finally, all the investigated oils had very low levels
(order of MUg/kg) of pro-oxidant elements, such as Cu, Fe and Mn. Overall, these
findings may fill the gaps still present in literature on certain compositional
aspects of commercially available gourmet oils.
PMID- 29803479
TI - A predictive model for flavor partitioning and protein-flavor interactions in fat
free dairy protein solutions.
AB - Flavor perception is directly related to the concentration of aroma compounds in
the headspace above a food matrix before and during consumption. With the
knowledge of flavor partition coefficients, the distribution of aroma compounds
within the food matrix and towards the headspace can be calculated. In this study
static headspace measurements and modelling are combined to predict flavor
partitioning of a wide range of flavor compounds above fat-free dairy protein
mixture solutions. AFFIRM(r) (based on Atmospheric Pressure Chemical Ionization
Mass Spectrometry) was used to measure the static headspace concentrations of 9
flavor compounds (3 esters, 3 aldehydes and 3 alcohols) above protein solutions
with different concentrations and ratios of sodium caseinate and whey protein
isolate. Proteins had a small pushing out effect, leading to increased release of
hydrophilic flavor compounds. This effect was negligible for more hydrophobic
compounds, where clear retention was observed. An increased total protein
concentration and higher whey to casein ratio increased the retention for all
flavor compounds. Within the same chemical class, the retention increased with
chain length. The experimental data was interpreted with a model describing
flavor partitioning in protein solutions (Harrison & Hills, 1997), thereby
enabling to extract protein-flavor binding constants. A clear power law was found
between the protein-flavor binding constant and log P (octanol-water partition
coefficient). Assuming solely non-specific hydrophobic interactions gave
satisfying partitioning predictions for the esters and alcohols. For aldehydes
specific chemical interactions with proteins turned out to be significant. This
rendered a binding constant for whey protein that is 5 times higher than for
caseinate in case of esters and alcohols, and 3 times higher in case of
aldehydes. The model can accurately predict equilibrium flavor partitioning in
dairy protein mixtures with only the knowledge of the octanol-water partition
coefficients of the flavor compounds, and the composition of the protein mixture.
PMID- 29803481
TI - Mercury in fish from the Madeira River and health risk to Amazonian and riverine
populations.
AB - The objective of this study was to quantify total mercury in highly popular
Amazonian fish pacu, curimata, jaraqui, and sardinha from the Madeira River and
to estimate the exposure to methylmercury from fish consumption. The samples were
obtained from two locations - Puruzinho Igarape and Santa Rosa - near Humaita,
Amazonia, Brazil in two seasons of 2015 (high and low waters). The fish were
identified, weighed and measured, and lipids were quantified. Total mercury was
determined by gold amalgamation-atomic absorption spectrometry. Mean levels were
used to calculate exposure of Amazonian and riverine populations. There was
significant correlation (p < 0.05) between length * weight for all fish; length *
lipid and weight * lipid were significant only for pacu. Total mercury levels
varied along muscle tissue for the fish, except for sardinha; therefore muscle
from the dorsal area along the fish were sampled, homogenized and used for
analysis. The levels of total mercury varied from 0.01 to 0.46 mg/kg, with higher
median levels in sardinha (0.24 mg/kg), followed by curimata (0.16 mg/kg),
jaraqui (0.13 mg/kg) and pacu (0.04 mg/kg), corresponding with the respective
feeding habits along the trophic chain. Total mercury levels were not affected by
the location of fish capture and by high and low waters seasons. Total mercury
correlated significantly with length and weight for jaraqui and with length for
sardinha (negative correlation). Total mercury levels in fish complied with
legislation; however, exposures to methylmercury from fish consumption overpassed
the safe intake reference dose for sardinha for Amazonians; however, for the
riverine communities, all of the fish would cause potential health risk, mainly
for children and women of childbearing age.
PMID- 29803480
TI - Sensory properties of Nordic edible mushrooms.
AB - Edible mushrooms are a global food with a history of consumption spanning several
millennia. However, studies utilizing modern sensory methods on mushrooms are
still scarce. In this study, the sensory properties of Nordic edible mushrooms
were analyzed by two methods. In the sensory profile, sous vide processed wild
mushroom species Cantharellus cibarius, Craterellus tubaeformis, Boletus edulis,
and Lactarius camphoratus were studied with cultivated Agaricus bisporus as a
control species. The sensory profile consisted of 18 descriptors, and the 5
mushrooms differed from each other in all of them. Only B. edulis and A. bisporus
were linked to typical mushroom-like odor. In projective mapping, consumers
evaluated blanched wild C. cibarius, C. tubaeformis and Suillus variegatus as
well as cultivated Lentinula edodes and both blanched and fresh A. bisporus based
on odor and on flavor. The consumers intuitively grouped the samples into three
groups: wild, fresh cultivated and processed cultivated mushrooms. Wild mushrooms
had a high odor intensity and various odor descriptions but a low flavor
intensity. Cultivated mushrooms had opposite descriptions. Both tests showed
differences in the sensory descriptors between the cultivated and wild mushrooms
with the former linked to typical 'mushroom', indicating the importance and need
for descriptive profiles for different mushroom types.
PMID- 29803482
TI - Pea soluble polysaccharides obtained from two enzyme-assisted extraction methods
and their application as acidified milk drinks stabilizers.
AB - The objective of this work was characterize and evaluate the protein-stabilizing
property of pea soluble polysaccharide (PSPS) extracted from pea by-products
using spray-drying and ethanol precipitation oven drying, obtaining PSPS-A and
PSPS-B, respectively. The weight average molecular weight (Mw) of PSPS-A and PSPS
B were 625 kDa and 809 kDa, respectively. The results of Fourier transform
infrared spectroscopy (FT-IR) analysis indicated that PSPS-A, PSPS-B and soybean
soluble polysaccharide (SSPS) contained the same functional groups. The absolute
negative charges of PSPS-A or PSPS-B in aqueous solution were slightly higher
than that of SSPS at pH 2.0 to 7.0. The apparent diameter of PSPS-B (479.1 nm)
was larger than that of PSPS-A (127.7 nm) and SSPS (209.5 nm) were measured by
dynamic light scattering. The AFM images revealed that both PSPS-A and PSPS-B
possessed star-like structures with more side chains as compared to SSPS. It was
found that the addition of 0.15% PSPS-A or 0.1% PSPS-B was adequate to prevent
the aggregation of protein and obtain stable dispersion. Furthermore, PSPS has a
wider pH range (pH 3.6-4.6) to stabilize milk protein than SSPS (pH 3.6-4.2).
PMID- 29803483
TI - Impact of Saccharomyces cerevisiae strains on traditional sparkling wines
production.
AB - Sparkling wine fermentation is a challenge for yeasts due to the hostile
conditions. A phenotype sought in starters is flocculation, because it reduces
riddling time. For this reason, six flocculent Saccharomyces cerevisiae wine
strains with different flocculation degree and autolytic activity and two
commercial strains were tested for traditional sparkling wine production in a
winery. Yeast viability, free aminoacids and high molecular weight nitrogen
release and physico-chemical composition of sparkling wines were evaluated.
Moreover, strains were tested for their aromatic potential. Obtained data
revealed that flocculent yeasts presented oenological performances (in terms of
fermentation rate, maximum pressure reached, free aminoacids - AAN and high
molecular weight nitrogen - HMWN release) similar to the commercial strains. All
considered strains were able to complete fermentation and viable cells of all
strains were detected in all sparkling wines produced even after 6 months. F6789
and F10471 strains showed slow fermentation kinetics reaching the maximum of
pressure at 180 days. Regarding nitrogen compounds release, FI strain was
characterized by the highest amount of AAN and HMWN released, followed by F6789.
Strains showed a considerable diversification in terms of number and amount of
aroma molecules produced and sparkling wines obtained with autochthonous
flocculent strains presented a higher amount of alcohols and esters already after
3 months. Further studies are necessary to select starter strains to improve
traditional sparkling wines production.
PMID- 29803484
TI - Volatile and sensory profiling of Shiraz wine in response to alcohol management:
comparison of harvest timing versus technological approaches.
AB - The aim of this study was to compare the volatile and sensory profiles of
Australian Shiraz red wines produced by several methods to achieve alcohol
concentrations of 10.5 and 13.5% v/v. These levels were considerably lower
contents than the commercial wine (16-17% v/v) that was produced from this
vineyard site. Wines were produced by: (i) harvest timing (19.3, 24 and 29.3
Brix); (ii) blending equal proportions of early harvest (19.3 Brix) and late
harvest wines (29.3 Brix); and (iii) dealcoholization using reverse osmosis
followed by a membrane contactor. Dealcoholization caused a significant loss of
volatile compounds, particularly esters, while the blending treatment had an
averaging effect on most analytes. Sensory descriptive analysis of treatments
with 10.5% v/v alcohol showed that the perception of the herbaceous attribute was
more intense in the early harvest wines in comparison to the dealcoholized wines,
while those of dark fruit, raisin/prune, astringency and alcohol were lower. No
sensory differences were found amongst the 13.5% v/v wines, except for alcohol.
Sensory and compositional data were modelled by means of Common Dimension
(ComDim) multi-block analysis and indicated which chemical components are
important to the perceived wine sensory properties. Insights from this study will
provide knowledge that may be applied to control or moderate both unripe sensory
attributes in addition to a deficiency of ripe fruit aromas or mouthfeel
characteristics in reduced-alcohol red wines.
PMID- 29803485
TI - Sapucaia nut (Lecythis pisonis Cambess.) flour as a new industrial ingredient:
Physicochemical, thermal, and functional properties.
AB - The aim of this work was to investigate the physicochemical, thermal, and
functional properties of partially defatted sapucaia nut (Lecythis pisonis
Cambess.) flours (PDSF) degreased by subcritical propane (20-60 degrees C; 20
100 bar) and supercritical CO2 + ethanol (1:1 w/w) as co-solvent (60 degrees C;
200 bar) in comparison to the PDSF obtained through Soxhlet extraction with
petroleum ether. Under the conditions studied herein, compressed propane has a
minor effect on the granules' morphology (average particle size between 22 and 32
MUm) or in the physicochemical characteristics of the PDSF. It caused a minimum
impact on the nutritional profile of the samples; unlike, the thermogravimetric
analysis revealed that there is an influence on the thermal stability of the
PDSF. Functional characteristics, such as emulsifying (8-20 m2/g), foam (6-12%),
and high water (0.35-1.38 g/g flour) and oil (0.72-1.57 g/g flour) absorption
capacity, were observed in PDSF. Defatted flours were found to be effective in
the production of emulsions with structures that showed micrometric-sized
droplets (up to 85% droplet size <15.0 MUm) with alleged stability. PDSF is a
source of proteins (31-49%) and carbohydrates (17-31%), thus it can be used as an
ingredient to produce foodstuff in bakery and confectionery aiming to increase
their nutritional value and functional properties.
PMID- 29803486
TI - Effects of processing method and solute interactions on pepsin digestibility of
cooked proso millet flour.
AB - Previous studies have reported a substantial decline in in vitro digestibility of
proso millet protein upon cooking. In this study, several processing techniques
and cooking solutions were tested with the objective of preventing the loss in
pepsin digestibility. Proso millet flour was subjected to the following
processing techniques: high pressure processing (200 and 600 MPa for 5 and 20
min); germination (96 h); fermentation (48 h); roasting (dry heating);
autoclaving (121 degrees C, 3 h), and treatment with transglutaminase (160 mg/g
protein, 37 degrees C, 2 h). To study the interaction of millet proteins with
solutes, millet flour was heated with sucrose (3-7 M); NaCl (2-6 M); and CaCl2
(0.5-3 M). All processing treatments failed to prevent the loss in pepsin
digestibility except germination and treatment with transglutaminase, which
resulted in 23 and 39% increases in digestibility upon cooking, respectively,
when compared with unprocessed cooked flours. Heating in concentrated solutions
of sucrose and NaCl were effective in preventing the loss in pepsin
digestibility, an effect that was attributed to a reduction in water activity
(aw). CaCl2 was also successful in preventing the loss in digestibility but its
action was similar to chaotrops like urea. Thus, a combination of enzymatic
modification and cooking of millet flour with either naturally low aw substances
or edible sources of chaotropic ions may be useful in processing of proso millet
for development of novel foods without loss in digestibility. However, more
research is required to determine optimum processing conditions.
PMID- 29803487
TI - Physicochemical interactions between rice starch and caffeic acid during boiling.
AB - Defining the physicochemical interactions that may occur during fortification of
starchy foods may be of great importance in food science. In this study, DSC and
1H NMR techniques were acquired in order to examine the potential interactions
between rice starch and caffeic acid, after following a hydrothermal treatment
that may be used for rice fortification applications. According to DSC studies,
significant changes were observed in starch thermal characteristics depending on
the amount of caffeic acid added in starch-water mixture prior to heating. These
changes could be attributed to the phenolic acid being probably embedded into
starch-water matrix during heating and this may have altered its thermal
properties and stability. Moreover, NMR studies of hydrothermally treated samples
containing rice starch or caffeic acid and their mixtures showed a possible
interaction of rice starch polysaccharides through H-bond formation with the
phenolic acid.
PMID- 29803488
TI - Application of ultrasound in chicken breast during chilling by immersion promotes
a fast and uniform cooling.
AB - The initial objective of the study was to evaluate different operation modes
(sweep and normal) and frequencies (25 and 130 kHz) of ultrasound in pre-chilling
of breast chicken cylinders (BCC) immersed in water at 10 degrees C during 10
min. The second objective was to study the effect of the immersion time (5, 10,
15, 20, and 30 min) using the best operation mode and frequency obtained in the
pre-chilling of the BCC in water at 10 degrees C. Pre-chilling was evaluated in
both stages by infrared thermography, and the percentages of water absorption
were determined in the second stage. The application of US at 130 kHz and normal
operation mode provided a reduction of temperature on the surface of BBC higher
(~19.6%) than untreated samples. Also, compared to control, the US-treated
samples in these conditions presented a more uniform cooling rate (~22.3%) and
higher water absorption (~113%).
PMID- 29803489
TI - Comparison of volatile and non-volatile metabolites in rice wine fermented by
Koji inoculated with Saccharomycopsis fibuligera and Aspergillus oryzae.
AB - This study investigated volatile and nonvolatile metabolite profiles of makgeolli
(a traditional rice wine in Korea) fermented by koji inoculated with
Saccharomycopsis fibuligera and/or Aspergillus oryzae. The enzyme activities in
koji were also examined to determine their effects on the formation of
metabolites. The contents of all 18 amino acids detected were the highest in
makgeolli fermented by S. fibuligera CN2601-09, and increased after combining
with A. oryzae CN1102-08, unlike the contents of most fatty acids. On the other
hand, major volatile metabolites were fusel alcohols, acetate esters, and ethyl
esters. The contents of most fusel alcohols and acetate esters were the highest
in makgeolli fermented by S. fibuligera CN2601-09, for which the protease
activity was the highest, leading to the largest amounts of amino acods. The
makgeolli samples fermented only by koji inoculated with S. fibuligera could be
discriminated on PCA plots from the makgeolli samples fermented in combination
with A. oryzae. In the case of nonvolatile metabolites, all amino acids and some
metabolites such as xylose, 2-methylbenzoic acid, and oxalic acid contributed
mainly to the characteristics of makgeolli fermented by koji inoculated with S.
fibuligera and A. oryzae. These results showed that the formations of volatile
and nonvolatile metabolites in makgeolli can be significantly affected by
microbial strains with different enzyme activities in koji. To our knowledge,
this study is the first report on the effects of S. fibuligera strains on the
formation of volatile and non-volatile metabolites in rice wine, facilitating
their use in brewing rice wine.
PMID- 29803490
TI - Time-intensity and reaction-time methodology applied to the dynamic perception
and liking of bitterness in relation to body mass index.
AB - There are very few studies which have considered perception temporality when
relating perceived intensity and hedonic responses in relation to body mass index
(BMI; kg/cm2). The aim of the present study was to determine the relationship
between BMI with the dynamic perception and liking of bitter tasting solutions.
For this purpose, two different categories of bitter products were applied: 6-n
propilthiouracil (PROP) solutions (0.010, 0.032 and 0.060 mmol/L) and commercial
beverages (coffee, yerba mate infusion and grapefruit juice). The proposed
methodology to evaluate perception and hedonic response was based on the
measurement of reaction-time (R-T) and multiple-sip time-intensity (T-I)
registers in people with a high BMI (25 < BMI < 30; overweight group) and a
normal BMI (<25; normal-weight control group). The multiple-sip evaluation to
describe perception of PROP solutions and liking of beverages was used as a more
ecologically valid laboratory methodology to simulate a situation of usual
consumption. In this sense, working with a multiple-sip design helped confirm
that bitter taste has a cumulative effect since in every case the sip effect was
significant when evaluating the maximum intensity; this effect was more important
as the bitterness increased. Regarding the body weight group comparisons, the
normal BMI group perceived bitter taste more intensely and the time to react to
it was shorter (faster reaction) for both PROP solutions and the three beverages.
Interestingly, even though the high BMI group rated the bitter taste as less
intense, they had a lower level of acceptance than normal BMI. This result
suggests that the hedonic rather than the sensory component might be playing a
crucial role in the perception of bitter taste in individuals with high BMI.
PMID- 29803492
TI - Linking product-elicited emotional associations and sensory perceptions through a
circumplex model based on valence and arousal: Five consumer studies.
AB - Sensory product characterisation by consumers is increasingly supplemented by
measurement of emotional associations. However, studies that link products'
sensory perception and emotional associations are still scarce. Five consumer
studies were conducted using cashew nuts, peanuts, chocolate, fruit and processed
tomatoes as the product categories. Consumers (n = 685) completed check-all-that
apply (CATA) questions to obtain sensory product perceptions and associations
with emotion words. The latter were conceptualised and interpreted through a
circumplex emotion model spanned by the dimensions of valence (pleasure to
displeasure) and arousal (activation to deactivation). Through regression
analysis, sensory terms were mapped to the circumplex model to represent
statistical linkages with emotion words. Within a were interpretable. The most
notable finding was the highly study-specific nature of the linkages, which was
mainly attributed to the influence of product category. Methodological choices
may also have been partly responsible for the differences. Three studies used a
general emotion vocabulary (EsSense Profile(r)) and an identical number of
sensory terms (n = 39). The less complete coverage of the emotional circumplex
and the presence of synonymous sensory terms could have diminished the ability to
interpret the results. Conversely, two studies used fewer emotion words and
sensory terms and these, furthermore, were purposefully selected for the focal
sets of samples. The linkages in these latter studies were more interpretable and
this could suggest that customised vocabularies of modest length may be desirable
when seeking to establish linkages between emotional associations and sensory
characteristics of food/beverage stimuli. Purposeful inclusion of emotion words
that fully span the circumplex emotion model may also be desirable. Overall, the
research represents a new method for establishing linkages between the sensory
properties and emotional association to food and beverage products.
PMID- 29803491
TI - Comparative study of the physicochemical, nutritional, and antioxidant properties
of some commercial refined and non-centrifugal sugars.
AB - Three refined and four unrefined branded commercial sugars available in Korea
were investigated in terms of pH, soluble solids, moisture, ash content,
turbidity, color values, microbial profile, reducing power, 2,2-diphenyl-1
picrylhydrazyl and 2,2'-azino-bis(3-ethylbenzothiazoline-6-sulfonic acid) radical
scavenging activities, cellular antioxidant activity, and total phytoconstituent
(i.e. phenolic, flavonoid, mineral, sucrose, glucose, and fructose) contents
using standard analytical protocols such as high-performance liquid
chromatography, gas chromatography-flame ionization detector/mass spectrometry,
and inductively coupled plasma atomic emission spectroscopy. All tested
physicochemical parameters were within the recommended standard levels.
Significantly high nutritional and antioxidant properties were observed for the
unrefined sugars, especially AUNO(r) sugar, whereas a high sucrose content was
detected for the refined sugars. Hence, this study revealed that the degree of
purification affects the nutritional values and antioxidant potentials of sugars.
The present findings also indicate that unrefined sugars can be used as
sweeteners in sugar-based cuisine to obtain nutritional and antioxidant-rich
foodstuff.
PMID- 29803493
TI - Effect of multiple freeze-thaw cycles on the quality of instant sea cucumber:
Emphatically on water status of by LF-NMR and MRI.
AB - Instant sea cucumber has become one popular product due to its convenience to
eat, favourable taste and minimal loss of nutrients and bioactive components.
However, there was rare information about the water dynamic of instant sea
cucumber subjected to multiple freeze-thaw cycles. In this study, low-field
nuclear magnetic resonance (LF-NMR) and magnetic resonance image (MRI) were
employed to investigate the effect of freeze-thaw cycles on water status of
instant sea cucumber. Four water populations corresponding to strongly bound
water, weakly bound water, immobile water and free water were observed in instant
sea cucumber. With the increase of freeze-thaw cycles, the transverse relaxation
time of immobile and free water increased, while the peak area of free water
decreased significantly. MRI enabled the visualization of water migration of
instant sea cucumber during multiple freeze-thaw cycles. Multiple freeze-thaw
cycles also led to significant changes of other quality properties including
thawing loss, WHC, color parameters, texture and protein content, and enlarge the
interspace between fiber network in microstructure. Good correlations between
T22, A22, A23 and thaw loss, WHC, L*, hardness and collagen content (0.873 <= r
<= 0.958) revealed LF-NMR may be an effective real-time monitoring method of
these physicochemical parameters as a non-destructive technique.
PMID- 29803494
TI - Characterization of odor-active compounds of chicken broth and improved flavor by
thermal modulation in electrical stewpots.
AB - The influence of high temperature modes (cooking in traditional clay stewpot (TS)
and cooking in commercial ceramic electrical stewpot (CS)) and low temperature
modes (cooking in electrical stewpot with Temperature Modulations, TM1-TM6) on
chicken broth sensory evaluation and flavor profile was studied. Sensory
evaluation results showed that chicken broth processed using TM1 had the best
flavor with higher chicken meat-like and lower off-flavor scores. Gas
chromatography-mass spectrometry (GC-MS) and GC-MS/olfactometry (GC-MS/O) results
revealed that the TM1 samples had the most abundant odorants. The umami-taste
components from TM1 samples such as umami free amino acids and inosine 5'
monophosphate (IMP) had the highest amount, 20.0 +/- 0.10 mg/g chicken broth and
17.19 +/- 0.58 MUg/g chicken broth respectively. The relationship between sensory
evaluation and odor-active compounds were evaluated by Partial Least Squares
Regression (PLSR), and the PLSR analysis indicated that heptanal, benzaldehyde,
(Z)-2-decenal, (E,E)-2,4-decadienal, 1-pentanol, 2-undecanone, 2-pentyl-furan and
one unknown compound were significantly and positively correlated with chicken
meat-like note. Whereas, fatty aroma were significantly and positively correlated
with octanal, (E,E)-2,4-decadienal and 1-pentanol. (E,E)-2,4-Decadienal and 2
undecanone had a significant and negative correlation with off-flavor attribute.
PMID- 29803495
TI - The paradoxical effect of extra-virgin olive oil on oxidative phenomena during in
vitro co-digestion with meat.
AB - Extra-virgin olive oil is an integral part of the Mediterranean diet and its
consumption has been associated with a reduction risk of chronic diseases. Here
we tested the potential of extra-virgin olive oil to limit the oxidative
phenomena during in vitro gastro-intestinal co-digestion with turkey breast meat.
The extra-virgin olive oil was particularly rich in oleuropein aglycone isomers,
which represented the 66.8% of total phenolic determined with MS/MS experiments.
Meals supplemented with extra-virgin olive oil equivocally affected lipid
peroxidation. At low concentration (2.5% respect to meat), a significant
inhibition of lipid oxidation was observed, whereas lipid peroxidation was
greatly enhanced when the amount of extra-virgin olive oil was increased in the
gastro-intestinal system. The inhibitory effect observed at 2.5% extra-virgin
olive oil was due to the antioxidant properties of extra-virgin olive oil
phenolic compounds. At high concentration, extra-virgin olive oil phenolic
compounds (especially hydroxytyrosol-derivative) behaved as pro-oxidants
increasing the generation of lipid hydroperoxides from meat. At the same time,
the presence in the digestive system of catalysers from meat induced the
peroxidation of extra-virgin olive oil fatty acids, which was further intensified
by the pro-oxidant activity of extra-virgin olive oil phenolic compounds. Our
study underlined the importance of the timing and amount of consumption of extra
virgin olive oil as well as its phenolic composition in limiting the peroxidative
phenomena on meat lipids during digestion.
PMID- 29803496
TI - Crocus sativus L. stigmas and byproducts: Qualitative fingerprint, antioxidant
potentials and enzyme inhibitory activities.
AB - Saffron (Crocus sativus L.) has been previously reported to be active as a
protective agent in multiple experimental models of oxidative stress,
inflammation and cancer. These findings refer to the protective effects of
stigmas, not byproducts such as tepals and anthers. In this context, the aims of
the present work were to characterize the phytochemical profile of saffron
stigmas (CST) and high quality byproducts (tepals + anthers - CTA) extracts.
Additionally, we studied the antioxidant and chelating effects of CST and CTA
extracts by preliminary in vitro assay. The antioxidant activity was further
investigated through the evaluation of reactive oxygen species (ROS) levels and
lactate dehydrogenase (LDH) activity on mouse myoblast (C2C12) and human colon
cancer (HCT116) cell lines. Additionally, we evaluated CST and CTA extract
treatment on cholinesterases, alpha-glucosidase and alpha-amylase activity, in
vitro. Finally, we studied the effects of CST extract on malondialdehyde (MDA)
level in rat colon specimens challenged with E. coli lipopolysaccharide (LPS). We
observed that water CST extracts are rich in phenolic content, whereas for CTA
the olive oil was the elective extraction solvent. As expected, water CST
extracts were the most effective in reducing hydrogen peroxide-induced oxidative
stress in both cell lines and in vitro assays. Furthermore, both CST and CTA
water extracts reduced the LDH activity in HCT116 cells challenged with hydrogen
peroxide and LPS-induced MDA levels in rat colon specimens. Concluding, the
present findings showed protective effects exerted by CST and CTA extracts in in
vitro and ex vivo models of inflammation and oxidative stress.
PMID- 29803497
TI - Impact of storage time and temperature on volatomic signature of Tinta Negra
wines by LLME/GC-ITMS.
AB - The current study reports the effect of storage temperature, storage time and
glucose content on the volatomic signature of Tinta Negra wines using liquid
liquid microextraction (LLME) combined with gas chromatography-ion trap mass
spectrometry (GC-ITMS). A total of 65 volatile organic compounds (VOCs) were
identified in Tinta Negra, of which only 14 appear during storage. Based on the
results, the freshness and fruitiness odours that are related to the presence of
some varietal and fermentative components (e.g. terpenic compounds, esters) are
lost during wine storage, while other descriptors such as caramel, dried fruit,
spice, toast and wood arise due to Maillard reactions (e.g. furanic compounds),
among other chemical reactions (e.g. lactones). The results obtained in this
study may be applied as a useful tool in the winemaking field in order to
introduce changes in the baking (estufagem) process and/or predict the effects of
storage time when applying high temperatures. In addition, the VOCs identified in
this study may help winemakers and wine chemists better understand the aroma
composition and profile of Tinta Negra wines.
PMID- 29803499
TI - Racial disparities in surgical outcomes of patients with Inflammatory Bowel
Disease.
AB - BACKGROUND: Inflammatory Bowel Disease (IBD) has not historically been a focus of
racial health disparities research. IBD has been increasing in the black
community. We hypothesized that outcomes following surgery would be worse for
black patients. METHODS: A retrospective cohort study of death and serious
morbidity (DSM) of patients undergoing surgery for IBD was performed using data
from the American College of Surgeons National Surgical Quality Improvement
Program (NSQIP 2011-2014). Multivariable logistic regression modeling was
performed to evaluate associations between race and outcomes. RESULTS: Among
14,679 IBD patients, the overall rate of DSM was 20.3% (white: 19.3%, black
27.0%, other 23.8%, p < 0.001). After adjustment, black patients remained at
increased risk of DSM compared white patients (OR: 1.37; 95% CI 1.14-1.64).
CONCLUSIONS: Black patients are at increased risk of post-operative DSM following
surgery for IBD. The elevated rates of DSM are not explained by traditional risk
factors like obesity, ASA class, emergent surgery, or stoma creation.
PMID- 29803500
TI - Advances in medical polymer technology towards the panacea of complex 3D tissue
and organ manufacture.
PMID- 29803498
TI - Outcomes of abdominal surgeries in cirrhotic patients performed by liver
transplant surgeons: Are these safe?
AB - BACKGROUND: Elective abdominal surgeries in patients with cirrhosis have been
discouraged due to the high risk of complications. This study investigates the
outcomes and safety of surgeries for hernias, and laparoscopic cholecystectomies
in cirrhotic patients. METHODS: A retrospective cohort study that compared 91
cirrhotic patients to a control group of non-cirrhotic patients operated by liver
transplant surgeons was conducted between 2009 and 2015. RESULTS: No statistical
significance found in re-admission rates or complication rates (p = 0.21). Hernia
recurrent rates were similar (p = 0.27). Survival rates among cirrhotic versus
non cirrhotic group was 93.4% and 98.9% respectively (p = 0.0539). Amongst the 91
cirrhotic patients, there was a 100% survival rate for both ventral
herniorrhaphies and laparoscopic cholecystectomy. Survival in umbilical and
inguinal herniorrhaphies was 88.2% and 89.5% respectively. Mortality rate for
umbilical and inguinal hernias was 11.7% and10.5% respectively. Mortality by
Child-Pugh (CP) class were; 8.8% for CP B and 10.7% for CP class C. All CP class
A patients survived. CONCLUSIONS: Our study indicates that elective operations
could be performed safely with acceptable mortality in cirrhotic patients.
PMID- 29803501
TI - Lean methods to improve operating room elective first case on-time starts in a
large, urban, safety net medical center.
AB - BACKGROUND: Delays in first cases contribute to multiple operating room (OR)
inefficiencies and decreases in OR productivity. METHODS: Lean process
improvement methods were used to redesign the existing workflow for elective
first cases of the day in a large, urban, public hospital. First case start times
were prospectively recorded from May 2, 2016 through December 29, 2017. RESULTS:
Data from 415 operating days were examined, 86 days prior to, 35 days during, and
294 days after implementation of interventions in the pre-operative holding area.
During this time, of 23,891 operations performed, 14,981 were elective
procedures, 5963 (39.8%) of which were first cases of the day. The mean rate of
elective first case on-time starts per week went from 23.5% before and during to
73.0% after implementation of lean interventions (p < 0.0000001). CONCLUSIONS:
Implementation of lean interventions in the pre-operative holding area was
associated with significantly improved rates of elective first case on-time
starts.
PMID- 29803502
TI - Biceps tenodesis versus labral repair for superior labrum anterior-to-posterior
tears: a systematic review and meta-analysis.
AB - BACKGROUND: This study systematically reviewed the comparative studies in the
literature to ascertain whether biceps tenodesis or labral repair results in
superior clinical outcomes in the treatment of superior labrum anterior-to
posterior (SLAP) tears. METHODS: A systematic search of articles in PubMed,
EMBASE and The Cochrane Library databases was performed according to the
Preferred Reporting Items for Systematic Reviews and Meta-Analyses guidelines.
Cohort studies of biceps tenodesis compared with labral repair of SLAP tears were
included. Statistical analysis was performed using Review Manager software (The
Nordic Cochrane Centre, The Cochrane Collaboration, Copenhagen, Denmark). A P
value of <.05 was considered to be statistically significant. RESULTS: Included
were 5 studies with 234 patients. Biceps tenodesis resulted in improved rates of
patient satisfaction (95.6% vs. 76.2%, P = .01) and rate of return to sport
(81.3% vs, 64.3%, P = .02), compared with SLAP repair. Although the difference in
reoperation rates was not statistically significant, there was a trend toward
higher reoperation rates in patients treated with SLAP repair (14.2% vs 6.5%, P =
.09). In addition, there was no difference in complication rates or functional
outcomes. CONCLUSION: Our study found that biceps tenodesis resulted in higher
rates of patient satisfaction and return to sport in the studies published in the
literature and that biceps tenodesis and SLAP repair resulted in similar
functional outcome scores.
PMID- 29803503
TI - Does an early mobilization and immediate home-based self-therapy exercise program
displace proximal humeral fractures in conservative treatment? Observational
study.
AB - BACKGROUND: Nonoperative management of proximal humeral fractures (PHFs) is the
most common treatment, but its functional outcome may improve with early
mobilization. In frail osteoporotic patients, quick recovery of prefracture
independency is mandatory. This study assessed fracture displacement in PHFs
managed with conservative treatment after early mobilization and a home-based
self-exercise program. METHODS: We retrospectively analyzed the radiologic
displacement of fracture fragments of PHFs treated conservatively with early
mobilization and a home-based self-exercise program. RESULTS: Included were 99
patients with 26 one-part, 32 two-part, 32 three-part, and 9 four-part PHFs
managed conservatively, followed by early mobilization and a home-based self
exercise program. In the x-ray examinations, the head displaced from varus into
valgus 55 degrees +/- 23 degrees to 42 degrees +/- 22 degrees , in the normal
range of anatomic values. The medial hinge displaced from medial to the diaphysis
(+1 +/- 6 mm) to lateral to the head (-0.6 +/- 6 mm). The greater tuberosity
displaced cranially from -1 +/- 7 mm to 2 +/- 5 mm. The Constant score at the 1
year follow-up was 79.69 +/- 16.3. DISCUSSION AND CONCLUSIONS: The home-based
self-exercise program for conservative treatment of PHFs displaces the head
diaphysis angle and the medial hinge toward anatomic reduction, but there is a
risk of greater tuberosity cranial displacement. Functional results are fairly
good, allowing frail patients to keep on with their independency and life style.
Because a large number of patients might need further physiotherapy, the quality
of the home-based self-exercises should be supervised.
PMID- 29803504
TI - Clinical outcomes and repair integrity after arthroscopic full-thickness rotator
cuff repair: suture-bridge versus double-row modified Mason-Allen technique.
AB - BACKGROUND: This retrospective study compared the clinical and radiologic
outcomes of patients who underwent arthroscopic rotator cuff repairs by the
suture-bridge and double-row modified Mason-Allen techniques. METHODS: From
January 2012 to May 2013, 76 consecutive cases of full-thickness rotator cuff
tear, 1 to 4 cm in the sagittal plane, for which arthroscopic rotator cuff repair
was performed, were included. The suture-bridge technique was used in 37
consecutive shoulders; and the double-row modified Mason-Allen technique, in 39
consecutive shoulders. Clinical outcomes at a minimum of 2 years (mean, 35.7
months) were evaluated postoperatively using the visual analog scale; University
of California, Los Angeles Shoulder Scale; American Shoulder and Elbow Surgeons
Subjective Shoulder Scale; and Constant score. Postoperative cuff integrity was
evaluated at a mean of 17.7 months by magnetic resonance imaging. RESULTS: At the
final follow-up, the clinical outcomes improved in both groups (all P < .001) but
with no significant differences between the 2 groups (all P > .05). The retear
rate was 18.9% in the shoulders subjected to suture-bridge repair and 12.8% in
the double-row modified Mason-Allen group; the difference was not significant (P
= .361). CONCLUSIONS: Despite the presence of fewer suture anchors, the patients
who underwent double-row modified Mason-Allen repair had comparable shoulder
functional outcomes and a comparable retear rate with those who underwent suture
bridge repair. Therefore, the double-row modified Mason-Allen repair technique
can be considered an effective treatment for patients with medium- to large-sized
full-thickness rotator cuff tears.
PMID- 29803505
TI - Selective killing of proinflammatory synovial fibroblasts via activation of
transient receptor potential ankyrin (TRPA1).
AB - BACKGROUND: Studies in rheumatoid arthritis synovial fibroblasts (RASF)
demonstrated the expression of several transient receptor potential channels
(TRP) such as TRPV1, TRPV2, TRPV4, TRPA1 and TRPM8. Upon ligation, these
receptors increase intracellular calcium but they have also been linked to
modulation of inflammation in several cell types. TNF was shown to increase the
expression of TRPA1, the receptor for mustard oil and environmental poisons in
SF, but the functional consequences have not been investigated yet. METHODS:
TRPA1 was detected by immunocytochemistry, western blot and cell-based ELISA.
Calcium measurements were conducted in a multimode reader. Cell viability was
assessed by quantification of lactate dehydrogenase (LDH) in culture supernatants
and "RealTime-Glo" luminescent assays. IL-6 and IL-8 production by SF was
quantified by ELISA. Proliferation was determined by cell titer blue
incorporation. RESULTS: After 72 h, mimicking proinflammatory conditions by the
innate cytokine TNF up-regulated TRPA1 protein levels in RASF which was
accompanied by increased sensitivity to TRPA1 agonists AITC and polygodial. Under
unstimulated conditions, polygodial elicited calcium flux only in the highest
concentrations used (50 uM and 25 uM). TNF preincubation substantially lowered
the activation threshold for polygodial (from 25 uM to 1 uM). In the absence of
TNF pre-stimulation, only polygodial in high concentrations was able to reduce
viability of synovial fibroblasts as determined by a real-time viability assay.
However, following TNF preincubation, stimulation of TRPA1 led to a fast (<30
min) viability loss by necrosis of synovial fibroblasts. TRPA1 activation was
also associated with decreased proliferation of RASFs, an effect that was also
substantially enhanced by TNF preincubation. On the functional level, IL-6 and IL
8 production was attenuated by the TRPA1 antagonist A967079 but also polygodial,
although the latter mediated this effect by reducing cell viability. CONCLUSION:
Simulating inflamed conditions by preincubation of synovial fibroblasts with TNF
up-regulates and sensitizes TRPA1. Subsequent activation of TRPA1 increases
calcium flux and substantially reduces cell viability by inducing necrosis. Since
TRPA1 agonists in the lower concentration range only show effects in TNF
stimulated RASF, this cation channel might be an attractive therapeutic target in
chronic inflammation to selectively reduce the activity of proinflammatory SF in
the joint.
PMID- 29803506
TI - Nano-delivery systems for encapsulation of dietary polyphenols: An experimental
approach for neurodegenerative diseases and brain tumors.
AB - Neurodegenerative diseases (NDs) and brain tumors are severe, disabling, and
incurable disorders that represent a critical problem regarding human suffering
and the economic burden on the healthcare system. Because of the lack of
effective therapies to treat NDs and brain tumors, the challenge for physicians
is to discover new drugs to improve their patients' quality of life. In addition
to risk factors such as genetics and environmental influences, increased cellular
oxidative stress has been reported as one of the potential common etiologies in
both disorders. Given their antioxidant and anti-inflammatory potential, dietary
polyphenols are considered to be one of the most bioactive natural agents in
chronic disease prevention and treatment. Despite the protective activity of
polyphenols, their inefficient delivery systems and poor bioavailability strongly
limit their use in medicine and functional food. A potential solution lies in
polymeric nanoparticle-based polyphenol delivery systems that are able to enhance
their absorption across the gastrointestinal tract, improve their
bioavailability, and transport them to target organs. In the present manuscript,
we provide an overview of the primary polyphenols used for ND and brain tumor
prevention and treatment by focusing on recent findings, the principal factors
limiting their application in clinical practice, and a promising delivery
strategy to improve their bioavailability.
PMID- 29803507
TI - Perceived Desirability of Vocal Fry Among Female Speech Communication Disorders
Graduate Students.
AB - : Twenty-three female Speech Communication Disorders (SCD) graduate students
rated the desirability of 25 adjectives used to describe perceived speaker's
affect (including attitude, emotion, etc). A three-point weighted scale-desirable
= 3, in-between = 2, and undesirable = 1-was used and a "desirability score" was
calculated for each of these adjectives. Afterward, students were asked to use
these adjectives to describe the perceived communication affect of female
speakers with voices characterized by "vocal fry" as well as seven other vocal
qualities (rough, breathy, strained, loud, high pitch, low pitch, and soft/weak).
Proficiency of the students in identification of each of these eight voice
qualities was determined before the survey. A desirability score for each voice
quality, with a focus on vocal fry, was calculated by averaging the sum of the
weighted counts for each adjective used to describe that vocal quality. RESULTS:
Ten adjectives were rated as desirable (eg, cool), two were rated as in-between
(eg, nonaggressive), and 13 were rated as undesirable (eg, vain). Thirty-two
percent used only undesirable adjectives to describe vocal fry; 64% used a
mixture of desirable, in-between, and undesirable; and 5% used only desirable
adjectives and one in-between adjective. The relative order of the desirability
scores of the vocal qualities was low pitch (2.13), high pitch (2.11), loud
(1.89), vocal fry (1.82), breathy (1.77), soft/weak (1.68), rough (1.46), and
strained (1.08). A vocal quality profile for each adjective was created. Vocal
fry was the primary vocal quality associated with the adjectives vain (56%),
apathetic/disinterested (48%), sleepy (38%), relaxed/chill (38%), and
bored/unengaged (36%). CONCLUSIONS: SCD graduate student participants rated the
desirability of 25 adjectives used to describe the perceived speaker's affect
(including attitude, emotion, etc), and a "desirability score" was calculated for
each. Afterward, they used these adjectives to describe the perceived
communication affect of female speakers with voices characterized by vocal fry.
Most participants were undecided, 30% perceived it as undesirable, and only 5%
perceived it as desirable. The desirability scoring system and the adjectives
used in this study add to the growing literature focusing on how different vocal
qualities influence the listener's perception of the speaker's affect.
PMID- 29803508
TI - High prevalence of diabetes, prediabetes and obesity among residents of East
Delhi - The Delhi urban diabetes survey (DUDS).
AB - AIM: The burden of diabetes is very high in our country particularly in the urban
metros. The present survey was planned to ascertain the current prevalence of
diabetes and prediabetes in Delhi since the available prevalence estimates are
over a decade old. METHODS: The present study was conducted in urban area of east
Delhi and followed a multistage random sampling design. The prevalence of known
diabetes was ascertained based on self reporting and prevalence of newly detected
diabetes and prediabetes was based on oral glucose tolerance test (OGTT).
RESULTS: We surveyed 470 households and included 1317 individuals. Prevalence of
diabetes was 18.3% (known 10.8% and newly detected 7.5%). Prevalence of
prediabetes was 21% as per WHO criteria and 39.5% as per ADA criteria. The ratio
of known to unknown diabetes was 1.44:1. Every third household (35.77%) had at
least one known case of diabetes. High rates of obesity and central obesity were
also observed in the study population. CONCLUSION: The present study found a
strikingly high prevalence of diabetes, prediabetes and obesity in Delhi. This
calls for urgent and effective preventive measures to prevent diabetes.
PMID- 29803509
TI - Analysis the significant risk factors on type 2 diabetes perspective of
Bangladesh.
AB - Millions of people in Bangladesh and the world have a metabolic disease named
diabetes. It is also responsible for occurring different kinds of diseases such
as heart attack, kidney disease, blindness and renal failure. Diabetes is a
deadly, disabling disease whose risk is increasing at an alarming rate day by day
perspective to Bangladesh. The detection process of diabetes is a tedious and
multilayered task from some important risk factors. Like other diseases, Type2
diabetes also depends on some factors that are known as risk factors of Type2
diabetes. Risk factors are divided into four categories like Scio-economic
condition, Habits, Family History and Hard Diseases etc. in proposed system.
Initially 731 diabetes and non-diabetes patient's data have been collected from
different diagnostic centers, pre-processed and clustered for identifying
relevant and non-relevant data. Significant factors are discovered according to
four categories. Next correlation is assessment among significant factors.
Finally highly significant factors are discovered whose are directly or
indirectly associated with type2 diabetes. Results indicate that Age, Area of
Residence, Education Level, Social Status, Family Income, Expense, Tobacco, BMI,
Family History, Physical Exercise and Hard Diseases have worst impact on Quality
of Life (QoL) among all factors of type2 diabetes respectively.
PMID- 29803510
TI - Evaluation of psychological resistance to insulin treatment in type II diabetic
patients.
AB - AIMS: Various studies have demonstrated that the majority of the factors
affecting the reluctance of individuals to insulin injections are rooted in
psychological factors. Present study aimed to determine relationships between
main causes of refusal to insulin injection in diabetic patients and factors such
as age, gender, and educational degree of patients. MATERIAL & METHODS: This was
a descriptive study which was conducted on diabetic patients (n = 505) who need
insulin therapy. The data were collected with a questionnaire in following steps.
First, the most important causes of patients' reluctance, in the patients'
opinion, to insulin therapy were determined using the data of the previous
studies. In the second step, the patients were asked to express their opinion on
each of these factors and the recorded responses were analyzed. RESULTS: The
results of the study showed that fear of ampoules, fear of pain caused by insulin
and the embarrassment of patients from injections in public significantly
depended on the gender of the patients, so that these factors were much lower in
men than women. In addition, these factors in the patients with higher degrees of
education led to lower level of refusal to insulin injections. Another factor
influencing the reluctance to insulin injections was the forming of a sense of
addiction due to daily insulin injections, which was significantly lower among
the patients with higher education, but did not have a significant relationship
with sex of the patients. In this regard, another factor was fear of hypoglycemia
and insulin side effects, which did not have a significant relationship with
gender and educational degree. In addition, there was no significant relationship
between the patient's age and any of the factors effective in patients'
reluctance to insulin injections. CONCLUSION: Psychological factors seem to be
effective in the emergence of the sense of reluctance to insulin injections.
Therefore, not only patients but also the entire society need to receive training
and appropriate services to improve their attitude to this issue with a
psychological approach and help to solve this problem.
PMID- 29803511
TI - Glibenclamide-induced photodistributed lichenoid eruption: An unusual
association.
PMID- 29803512
TI - Spanish food composition tables and databases: need for a gold standard for
healthcare professionals.
AB - Food composition tables and databases (FCTs or FCDBs) provide the necessary
information to estimate intake of nutrients and other food components. In Spain,
the lack of a reference database has resulted in use of different FCTs/FCDBs in
nutritional surveys and research studies, as well as for development of dietetic
for diet analysis. As a result, biased, non-comparable results are obtained, and
healthcare professionals are rarely aware of these limitations. AECOSAN and the
BEDCA association developed a FCDB following European standards, the Spanish Food
Composition Database Network (RedBEDCA).The current database has a limited number
of foods and food components and barely contains processed foods, which limits
its use in epidemiological studies and in the daily practice of healthcare
professionals.
PMID- 29803513
TI - Global analysis of population stratification using a smart panel of 27
continental ancestry-informative SNPs.
AB - Over the last decade, several panels of ancestry-informative markers have been
proposed for the analysis of population genetic structure. The differentiation
efficiency depends on the discriminatory ability of the included markers and the
reference population coverage. We previously developed a small set of 27
autosomal single nucleotide polymorphisms (SNPs) for analyzing African, European,
and East Asian ancestries. In the current study, we gathered a high-coverage
reference database of 110 populations (10,350 individuals) from across the globe.
The discrimination power of the panel was re-evaluated using four continental
ancestry groups (as well as Indigenous Americans). We observed that all the 27
SNPs demonstrated stratified population specificity leading to a striking
ancestral discrimination. Five markers (rs728404, rs7170869, rs2470102,
rs1448485, and rs4789193) showed differences (delta > 0.3) in the frequency
profiles between East Asian and Indigenous American populations. Ancestry
components of all involved populations were accurately accessed compared with
those from previous genome-wide analyses, thereafter achieved broadly population
separation. Thus, our ancestral inference panel of a small number of highly
informative SNPs in combination with a large-scale reference database provides a
high-resolution in estimating ancestry compositions and distinguishing individual
origins. We propose extensive usage in biomedical studies and forensics.
PMID- 29803515
TI - Print-, sublexical and lexical processing in children with reading and/or
spelling deficits: An ERP study.
AB - Findings on the neurophysiological correlates of developmental dyslexia are
mixed, due to the differential conceptualization of the impairment. Studies
differ on whether participants with developmental dyslexia are recruited based on
reading skills only or reading as well as spelling skills. The current study
contrasts the contribution of impaired reading and spelling to ERP correlates of
print sensitivity, lexico-semantic access and sensitivity to orthographic
regularities. Four groups of children were recruited: isolated reading deficit,
isolated spelling deficit, combined reading and spelling deficit, and typically
developing. Their neural correlates (EEG) of word, pseudohomophone, and
pseudoword reading, as well as false font processing were compared. 1) All groups
showed higher N1 amplitudes to letters than to false fonts. 2) Good spellers
exhibited more negative N400 amplitudes for meaningless (pseudowords) than for
meaningful stimuli (words and pseudohomophones). This effect was not observed in
poor spellers. 3) Good readers showed sensitivity to orthographic regularities in
a later time window (700-900 ms), whereas this was not the case for poor readers.
1) Print sensitivity is not affected by reading and/or spelling deficit in German
speaking 3rd graders. 2) Spelling deficits are associated with a reduced
orthographic lexicon, 3) Reading deficits are associated with atypical use of
sublexical information. As this effect was observed after lexico-semantic access,
the results are discussed in terms of a possible orthographic reanalysis
hypothesis.
PMID- 29803516
TI - Effect of prior insemination of dead sperm and gestation housing management on
gilt fertility.
AB - Danbred gilts at about 120 kg were group housed for estrous detection. At
detection of estrus, gilts either remained in pens (P) or were re-housed into
individual gestation stalls (S) and were inseminated (DS), or not (SC), with a
dose of frozen/thawed dead semen. Groups were P-DS (n = 81), P-SC (n = 70), S-DS
(n = 98) and S-SC (n = 90). All gilts were inseminated with semen containing
viable sperm at the second detected estrus and 24 h later. Pregnant gilts that
were stall housed were moved to pens 35 d after insemination. There were no
effects of insemination or housing management on farrowing rates or litter sizes.
PMID- 29803514
TI - Upregulation of histone deacetylase 2 in laser capture nigral microglia in
Parkinson's disease.
AB - Histone deacetylase (HDAC) inhibitors have been widely reported to have
considerable therapeutic potential in a host of neurodegenerative diseases.
However, HDAC inhibitor selectivity and specificity in specific cell classes have
been a source of much debate. To address the role of HDAC2 in specific cell
classes, and in disease, we examined glial protein and mRNA levels in the
substantia nigra (SN) of Parkinson's disease (PD) and normal controls (NCs) by
immunohistochemistry and laser captured microdissection followed by quantitative
real time polymerase chain reaction. Differential expression analysis in
immunohistochemically defined laser capture microglia revealed significant
upregulation of HDAC2 in the PD SN compared to NC subjects. Complementary in vivo
evidence reveals significant upregulation of HDAC2 protein levels in PD SN
microglia compared to NC subjects. Correspondingly, human immortalized
telencephalic/mesencephalic microglial cells reveal significant upregulation of
HDAC2 in the presence of the potent microglial activator lipopolysaccharide.
These data provide evidence that selective inhibition of HDAC2 in PD SN microglia
could be a promising approach to treat microglial-initiated nigral dopaminergic
neuronal cell loss in PD.
PMID- 29803517
TI - Influence of Time of Yoga Practice and Gender Differences on Blood Glucose Levels
in Type 2 Diabetes Mellitus and Normal Healthy Adults.
AB - BACKGROUND: Prevalence of type 2 diabetes mellitus (T2DM) is increasing
worldwide. Many studies have demonstrated the effectiveness of yoga in improving
glycaemic control, whereas no studies are available showing the impact of time of
practice on glucose levels. The current study explores the effect of time of yoga
practice on glucose levels in community-dwelling adults with and without T2DM.
MATERIALS AND METHODS: A total of 189 subjects with T2DM and 121 subjects without
T2DM underwent a 10-day yoga program which includes practical and theory lecture
sessions for 60min every day, either in the morning or evening. Baseline and post
intervention assessments of fasting plasma glucose (FPG) were measured on day-1
and day-10, respectively. Data analysis were done using Statistical Package for
the Social Sciences (SPSS), version 16. RESULTS: Results of the study showed that
in individuals with T2DM, a significantly higher reduction in FPG was observed
while practicing yoga in the evening sessions than in the morning sessions.
Likewise, a significant reduction in FPG was observed only in women who practiced
yoga in the evening than in the morning, in non-diabetic healthy individuals,
while the reduction was not statistically significant in men. CONCLUSION: Results
of this study suggest that reduction in FPG level was better while practicing
yoga in evening than morning. Similarly, women had a better reduction in blood
glucose level than men.
PMID- 29803518
TI - Potential Efficacy of Allergen Removed Rhus Verniciflua Stokes Extract to
Maintain Progression-Free Survival of Patients With Advanced Hepatobiliary
Cancer.
AB - Hepatobiliary cancers are among the leading causes of cancer-related deaths
worldwide. Most of the early-stage, surgically resectable cases show recurrence,
and when they do, the prognosis is dismal with limited available treatment
options. Here, we report three patients with relapsed hepatobiliary cancers who
presented relatively long progression-free survival with the administration of a
natural product, allergen removed Rhus verniciflua Stokes (RVS) extract. After
commencement of RVS extract, they were progression-free for over 56 months in one
case of recurred cholangiocarcinoma, and for over 16 and 114 months respectively,
in two cases of advanced hepatocellular carcinoma. These cases suggest that the
RVS extract could be a potential alternative for advanced hepatobiliary cancer
that has no other available treatment.
PMID- 29803519
TI - Deja Vecu and Deja Visite: Similarities and Differences: Further Results From an
Online Questionnaire.
AB - Over an 8-year period, an online questionnaire, devised as a pilot project,
collected exploratory data in order to compare the particularities of deja vecu
(i.e., event related) experiences with those of deja visite (i.e., location
related). In a previous article it was shown that there are sufficient
differences in the results for these two experiences to warrant considering them
as being separate entities. Here, additional analyses have established a number
of relationships that differ across the two phenomena. Their elucidation will
require further investigations with improved research instruments and the studied
population will have to be broader based in order to establish if the
relationships determined here will be found to be true in the general population.
PMID- 29803520
TI - A Rare Case of Incidental Tracheal Lipoma.
PMID- 29803521
TI - Alveolar Proteinosis: The Role of Anti-GM-CSF Antibodies.
PMID- 29803522
TI - Incidental diagnosis of bronchial atresia after chest trauma.
PMID- 29803523
TI - A Diagnosis Hard to Swallow.
PMID- 29803524
TI - Use of Magnetic Resonance Imaging for N-Staging in Patients with Non-Small Cell
Lung Cancer. A Systematic Review.
AB - INTRODUCTION: The aim of this study is to assess the diagnostic value of the
magnetic resonance imaging (MRI) in differentiating metastasic from non
metastatic lymph nodes in NSCLC patients compared with computed tomography (CT)
and fluorodeoxyglucose (FDG) - positron emission tomography (PET) or both
combined. METHODS: Twenty-three studies (19 studies and 4 meta-analysis) with
sample size ranging between 22 and 250 patients were included in this analysis.
MRI, regardless of the sequence obtained, where used for the evaluation of N
staging of NSCLC. Histopathology results and clinical or imaging follow-up were
used as the reference standard. Studies were excluded if the sample size was less
than 20 cases, if less than 10 lymph nodes assessment were presented or studies
where standard reference was not used. Papers not reporting sufficient data were
also excluded. RESULTS: As compared to CT and PET, MRI demonstrated a higher
sensitivity, specificity and diagnostic accuracy in the diagnosis of metastatic
or non-metastatic lymph nodes in N-staging in NSCLC patients. No study considered
MRI inferior than conventional techniques (CT, PET or PET/CT). Other outstanding
results of this review are fewer false positives with MRI in comparison with PET,
their superiority over PET/CT to detect non-resectable lung cancer, to diagnosing
infiltration of adjacent structures or brain metastasis and detecting small
nodules. CONCLUSION: MRI has shown at least similar or better results in
diagnostic accuracy to differentiate metastatic from non-metastatic mediastinal
lymph nodes. This suggests that MRI could play a significant role in mediastinal
NSCLC staging.
PMID- 29803525
TI - Radiological Improvement of Bronchiolitis Obliterans Following Hematopoietic Stem
Cell Transplantation in a Patient Treated with Ruxolitinib.
PMID- 29803526
TI - Percutaneous Marking of 2Adjacent Pulmonary Metastases With a Single Equidistant
Seed.
PMID- 29803527
TI - The relationship between diffusion tensor imaging findings and cognitive outcomes
following adult traumatic brain injury: A meta-analysis.
AB - Cognitive impairments are common following a traumatic brain injury (TBI) and
frequently result from white matter (WM) damage. This damage can be quantified
using diffusion tensor imaging (DTI), which measures the directionality
(fractional anisotropy: FA) and amount (mean diffusivity/apparent diffusion
coefficient: MD/ADC) of water diffusion in WM, with high FA and low MD/ADC
thought to indicate greater WM integrity. However, the relationship between DTI
and cognitive outcomes is currently unclear. The data from 20 studies that
examined the relationship between WM integrity (measured using DTI) and cognition
(categorised into seven domains) following mild-severe adult TBI were meta
analysed. Overall, high FA and low MD/ADC in most brain regions was associated
with better cognitive performance, with memory and attention most strongly
related to DTI findings. Specifically, memory and/or attention were very strongly
related to DTI findings in the corpus callosum, fornix, internal capsule, arcuate
and uncinate fasciculi. However, most findings were based on single studies and
therefore await replication. Larger-scale, longitudinal studies are now needed to
determine the predictive utility of DTI.
PMID- 29803528
TI - Transplantation Opportunities of Hepatitis C Virus-Seropositive Patients on the
Kidney Waiting List.
AB - OBJECTIVE: Besides severe organ shortage, hepatitis C virus (HCV) infection is an
important obstacle for kidney transplantation because of long waiting times on
deceased kidney donor waiting lists. We aimed to evaluate calling number of
candidates according to HCV serology. METHOD: A total of 404 adults on the
deceased donor waiting list invited for cadaveric transplantation was evaluated.
Demographic data, waiting time, calling number for transplantation, and viral
serology were obtained during the 6-year period. RESULTS: Mean waiting duration
and calling number of all patients were 42.7 +/- 34 months and 1.56 +/- 4.37
times, respectively. Twenty-six candidates had chronic HCV infection and 12 of 26
were HCV RNA-positive. Mean waiting duration and calling number in anti-HCV
positive candidates were significantly higher compared with anti-HCV-negative
candidates (85.3 +/- 38.8 vs 39.8 +/- 31.6 months, and 10.8 +/- 10.3 vs 0.92 +/-
2.6 times, respectively; P < .001). Mean waiting duration and total calling
number in HCV-RNA-positive candidates were significantly higher than in HCV-RNA
negative ones (107.5 +/- 7.5 vs 66.2 +/- 44.8 months; P = .018; 15 +/- 9.7 vs 7.3
+/- 9.8 times, respectively; P = .026). CONCLUSIONS: Chronic HCV infection is an
important issue leading to longer waiting time on the list. Our observation
showed that waiting durations of anti-HCV-positive candidates were longer than
that of negative patients, although they had more frequent opportunity for
transplantation.
PMID- 29803530
TI - Inflammatory breast cancer-importance of breast imaging.
PMID- 29803531
TI - Vertigo and Dizziness: Understanding and Managing Fall Risk.
AB - Vertigo and dizziness are common conditions among older adults. They are closely
associated with fall risk and portend major implications for geriatric injury and
disability. Management can be particularly challenging, because symptoms are
often nonspecific and may reflect multiple etiologies. Chronic dizziness can
reflect dysfunction in the vestibular, somatosensory, or visual systems or in
their central integration. Systemic processes, such as postural hypotension,
arrhythmias, heart failure, medication use, and lower extremity weakness or
frailty, also contribute. Management of acute vestibular syndrome requires ruling
out dangerous causes, such as stroke. This article reviews relevant definitions,
epidemiology, pathophysiology, diagnosis, and clinical management.
PMID- 29803529
TI - Resilience and White Matter Integrity in Geriatric Depression.
AB - OBJECTIVE: Greater psychological resilience may protect against developing
depression in a growing geriatric population. Identifying the neural correlates
of resilience in geriatric depression could provide neurobiologic targets to
inform clinical interventions. However, most prior neuroimaging studies have only
considered the presence or absence of resilience and have not addressed the
multifactorial nature of resilience. The current study aimed to establish the
neural correlates of four factors of resilience in the depressed elderly.
METHODS: White matter integrity was assessed using diffusion-weighted magnetic
resonance imaging data collected from 70 older adults with major depressive
disorder. We used four resilience factors previously derived in an exploratory
factor analysis of the Connor-Davidson Resilience Scale in a large sample of
depressed older adults: 1, grit; 2, active coping self-efficacy; 3, accommodative
coping self-efficacy; and 4, spirituality. RESULTS: The resilience factor "grit"
was positively associated with fractional anisotropy in the callosal region
connecting prefrontal cortex and fractional anisotropy in cingulum fibers;
however, the latter did not survive correction for multiple comparisons.
CONCLUSION: Structural integrity of major white matter pathways implicated in
cognitive control and emotion regulation (i.e., connecting prefrontal cortex) was
positively associated with the resilience factor "grit" in our sample of older
adults with depression. Prospective studies are needed to determine the utility
of the structural integrity of these pathways as a biomarker in predicting risk
for depression and treatment response.
PMID- 29803532
TI - Canada's Study of Adherence Outcomes in Patients Receiving Adalimumab: 3-year
Results From the COMPANION Study.
AB - PURPOSE: The aim of this study was to quantify the association between receiving
care-coach calls (CCCs), a service provided by a patient-support program (PSP) in
Canada, and persistence with and adherence to adalimumab therapy over a 3-year
period in patients with immune-related inflammatory diseases (IMID). METHODS:
COMPANION, a longitudinal, retrospective cohort study, was conducted using
patient-level data from the PSP combined with those from a longitudinal pharmacy
transaction database in patients initiating adalimumab therapy between 2010 and
2012. Patients aged >=18 years who were naive to adalimumab therapy were
selected, and data from their prescriptions from 36 months or until drug
discontinuation, defined as >90 days without drug supply, were evaluated. Cox
proportional hazards modeling was used to estimate hazard ratios for the
association between persistence, and patient characteristics and PSP services.
Adherence was measured using the medication possession ratio. Multivariate
logistic regression was used to estimate adjusted odds ratios to determine the
relationship between adherence (medication possession ratio >=80%), and patient
characteristics and PSP services. FINDINGS: A total 4772 patients were included
(55% women; 24% aged 50-59 years). Of these, 2866 qualified for the persistence
analysis, and 51% received CCCs (n = 1452). Of the 4772 patients, 4630 qualified
for the adherence analysis, and 33% received CCCs (n = 1511). Baseline
characteristics were similar between the group that received CCCs versus the
group that did not. During the follow-up period, patients who received CCCs had a
significantly reduced risk for treatment discontinuation (hazard ratio = 0.350;
95% CI, 0.298-0.413; P < 0.0001) and a greater likelihood of being adherent (odds
ratio, 2.248; 95% CI, 1.927-2.624; P < 0.0001). IMPLICATIONS: CCCs were
associated with greater adherence and improved persistence in these patients
receiving adalimumab therapy over a 3-year period for IMID.
PMID- 29803533
TI - Understanding the Patient's Journey in the Diagnosis and Treatment of Multiple
Sclerosis in Clinical Practice.
AB - PURPOSE: The aim of this study was to describe the treatment journey of patients
with multiple sclerosis (MS). METHODS: This study was conducted in 2 phases. The
first consisted of a claims-based analysis of data from patients diagnosed with
MS between October 1, 2010, and May 31, 2014. Study patients were aged >=18
years, had >=12 months of continuous eligibility before and after the earliest MS
diagnosis (index date), >=1 disease-modifying therapy (DMT) claim postindex, and
no claims with a code for DMT or MS during the 12-month preindex period. The
second phase consisted of medical record reviews in a subset of patients in the
claims study who had >=1 neurologist visit within 90 days of the index MS
diagnosis. FINDINGS: A total of 1639 patients were selected for claims-based
analysis, and medical record analysis was conducted in a subset of 327 of those
patients. The mean age in both samples was 42 years; females constituted about
70% of each group. Medical records showed that within a year of the first
neurologist visit, 97.6% patients had a confirmed MS diagnosis; however, in
58.0%, MS type was not specified. MS symptoms were documented in less than half
of all patients at the index neurologist visit. Early management consisted of
magnetic resonance imaging (98.5% of patients), and the management of flares
(annualized relapse rate, 0.3 [0.6] per patient). Use of spinal tap (21.7%),
Expanded Disability Status Scale score (4.6%), and timed 25-foot walk score
(8.6%) to evaluate disease progression was infrequent. The percentages of
patients discontinuing the first DMT over time were high (43.1% among patients
with 12-24 months of postindex follow-up, to 65.7% among patients with >36 months
of postindex follow-up). Neurologists noted that about 10% of patients had
difficulty adhering to an MS medication regimen, and documented several reasons
for discontinuation, including adverse drug events and lack of desired
effectiveness. IMPLICATIONS: In clinical practice, early MS treatment in DMT
users is focused on symptom management, irrespective of MS type. Patients may
benefit from initiating optimal treatment earlier. First-line therapy was often a
transient option.
PMID- 29803535
TI - Case Reports and Informed Consent.
PMID- 29803534
TI - Effects of Nemonoxacin on Thorough ECG QT/QTc Interval: A Randomized, Placebo-
and Positive-controlled Crossover Study in Healthy Chinese Adults.
AB - PURPOSE: Nemonoxacin, a nonfluorinated quinolone, has been approved in Taiwan and
mainland China for the treatment of bacterial infection. Whether nemonoxacin is
associated with the adverse events of other quinolones, such as the risk for QT
interval prolongation, which has led to the withdrawal of several
fluoroquinolones from the market, needs to be elucidated. METHODS: The effects of
nemonoxacin on thorough QT/QTc interval was investigated in this randomized,
placebo- and positive-controlled crossover study conducted according to the
International Conference on Harmonisation E14 guideline. Forty-eight healthy
adults received a single oral dose of nemonoxacin 500 mg (therapeutic dose),
nemonoxacin 750 mg (supratherapeutic dose), moxifloxacin 400 mg (positive
control), or placebo in 1 of 4 cohorts (Williams Latin square design) in the
fasted condition. After a 7-day washout, 6 male and 6 female subjects were orally
administered a 500-mg dose of nemonoxacin after high-fat food intake. The primary
end point was the change in QT interval corrected for heart rate using the
Fridericia formula (QTcF). The secondary end point was the change in QT interval
corrected for heart rate using the Bazett formula (QTcB). FINDINGS: The study
revealed that nemonoxacin was classified as not likely dangerous at the
therapeutic dose (500 mg) and as potentially dangerous at the supratherapeutic
dose (750 mg). The Tmax of nemonoxacin was 1 to 2 hours after administration, and
the elimination half-life was 5 to 7 hours, in the fasted conditions. High-fat
food intake had significant effects on the Tmax, Cmax, AUC0-infinity, and QT/QTc
interval of nemonoxacin compared with these values in the fasted condition. A
correlation between QTcF and the plasma drug concentration of nemonoxacin was not
observed. IMPLICATIONS: Nemonoxacin at the clinically therapeutic and
supratherapeutic doses had a prolongation effect on QT/QTc. ClinicalTrials.gov
identifier: NCT03362853.
PMID- 29803536
TI - Sentinel lymph node biopsy in cutaneous melanoma of the head and neck using the
indocyanine green SPY Elite system.
AB - PURPOSE: Lymph node status is the single most important prognostic factor for
patients with early-stage cutaneous melanoma. Sentinel lymph node biopsy (SLNB)
has become the standard of care for intermediate depth melanomas. Modern SLNB
implementation includes technetium-99 lymphoscintigraphy combined with local
administration of a vital blue dye. However, sentinel lymph nodes may fail to
localize in some cases and false-negative rates range from 0 to 34%. Here we
demonstrate the feasibility of a new sentinel lymph node biopsy technique using
indocyanine green (ICG) and the SPY Elite near-infrared imaging system. MATERIALS
AND METHODS: Cases of primary cutaneous melanoma of the head and neck without
locoregional metastasis, underwent SLNB at a single quaternary care institution
between May 2016 and June 2017. Intraoperatively, 0.25 mL of ICG was injected
intradermal in 4 quadrants around the primary lesion. 10-15 minute circulation
time was permitted. SPY Elite identified the sentinel lymph node within the nodal
basin marked by lymphoscintigraphy. Target first echelon lymph nodes were
confirmed with a gamma probe and ICG fluorescence. RESULTS: 14 patients were
included with T1a to T4b cutaneous melanomas. Success rates for sentinel lymph
node identification using lymphoscintigraphy and the SPY Elite system were both
86%. Zero false negatives occurred. Median length of follow-up was 323 days.
CONCLUSIONS: In this pilot study, Indocyanine green near-infrared fluorescence
demonstrates a safe, and facile method of sentinel lymph node biopsy for
cutaneous melanoma of the head and neck compared with lymphoscintigraphy and
vital blue dyes.
PMID- 29803537
TI - Perioperative high-dose-rate brachytherapy in locally advanced and recurrent
gynecological cancer: Final results of a Phase II trial.
AB - PURPOSE: To determine the long-term results of a Phase II trial of perioperative
high-dose-rate brachytherapy (PHDRB) in primary advanced or recurrent
gynecological cancer. METHODS AND MATERIALS: Fifty patients with locally advanced
and recurrent gynecological cancer suitable for salvage surgery were included.
Unirradiated patients (n = 25) received preoperative chemoradiation followed by
surgery and PHDRB (16-24 Gy). Previously irradiated patients (n = 25) received
surgery and PHDRB alone (32-40 Gy). RESULTS: Median followup was 11.5 years.
Eight unirradiated patients (32%) developed Grade >=3 toxic events including two
fatal events. Local and locoregional control rates at 16 years were 87.3% and
78.9%, respectively. Sixteen-year disease-free and overall survival rates were
42.9% and 46.4%, respectively. Ten previously irradiated patients (40.0%)
developed Grade >=3 adverse events, including four fatal events. Local and
locoregional control rates at 14 years were 59.6% and 42.6%, respectively.
Fourteen-year disease-free and overall survival rates were 16.0% and 19.2%,
respectively. CONCLUSIONS: PHDRB allows effective salvage of a subset of
unfavorable gynecological tumors with high-risk surgical margins. Toxicity was
unacceptable at the initial dose levels but deescalation resulted in the absence
of severe toxicity without a negative impact on locoregional control. A
substantial percentage of patients remain alive and controlled at >10 years
including a few previously irradiated cases with positive margins.
PMID- 29803539
TI - The impact of illustrated side effect information on understanding and sustained
retention of antiretroviral side effect knowledge.
AB - BACKGROUND: Prompt management of side effects is critical to supporting adherence
to antiretroviral (ARV) medication. OBJECTIVE: This study examines the impact of
presenting side effect information using simple text combined with pictograms on
sustained knowledge of ARV side effects over three months. METHOD: Previously
designed side effect pictograms, combined with simple text, were incorporated
into a side effects panel within an ARV information leaflet. In a randomised
controlled study, 116 limited literacy HIV patients taking ARVs were randomly
allocated to either control (standard care) or intervention groups (standard care
plus illustrated information). Side effect knowledge was assessed at baseline,
and intervention patients received the illustrated leaflet. Knowledge was re
tested at one and three months. Interpretation of side effect pictograms was
evaluated at one month. RESULTS: In intervention patients, mean side effect
knowledge increased from 45.9% (baseline) to 95.7% (three months; p<0.0001), and
pictogram interpretation was good. Knowledge did not change significantly in the
control group. All found the pictograms clear and useful, and endorsed their
routine use. CONCLUSIONS: Providing patients with simple written information
containing pictograms on ARV side effects significantly improved side effect
knowledge, sustained over time, in limited literacy, rural South African HIV
patients.
PMID- 29803540
TI - Physiological and pathological roles of branched-chain amino acids in the
regulation of protein and energy metabolism and neurological functions.
AB - Branched-chain amino acids (BCAAs: leucine, isoleucine, and valine) are essential
amino acids for humans and play an important role as the building blocks of
proteins. Recent studies have disclosed that free BCAAs in the tissue amino acid
pool function not only as substrates for protein synthesis, but also as
regulators of protein and energy metabolism. Furthermore, BCAAs are actively used
as an amino group donor to synthesize glutamate in the brain. These functions of
BCAAs are closely related to human health. This review summarizes the recent
findings concerning physiological and pathological roles of free BCAAs in the
metabolism and neurological functions.
PMID- 29803538
TI - Modeling the Embrace of a Mutator: APOBEC Selection of Nucleic Acid Ligands.
AB - The 11-member APOBEC (apolipoprotein B mRNA editing catalytic polypeptide-like)
family of zinc-dependent cytidine deaminases bind to RNA and single-stranded DNA
(ssDNA) and, in specific contexts, modify select (deoxy)cytidines to
(deoxy)uridines. In this review, we describe advances made through high
resolution co-crystal structures of APOBECs bound to mono- or oligonucleotides
that reveal potential substrate-specific binding sites at the active site and non
sequence-specific nucleic acid binding sites distal to the active site. We also
discuss the effect of APOBEC oligomerization on functionality. Future structural
studies will need to address how ssDNA binding away from the active site may
enhance catalysis and the mechanism by which RNA binding may modulate catalytic
activity on ssDNA.
PMID- 29803542
TI - A novel ZC4H2 gene mutation, K209N, in Japanese siblings with arthrogryposis
multiplex congenita and intellectual disability: characterization of the K209N
mutation and clinical findings.
AB - OBJECTIVE: To reveal a molecular lesion in the ZC4H2 gene in a Japanese family
with arthrogryposis multiplex congenita (AMC) and intellectual disability (ID),
and to characterize clinical features of patients with ZC4H2 gene mutations
through a literature review. PATIENTS: The probands are male siblings. The elder
brother is an 11-year-old boy who showed AMC and ID and frequent postprandial
hypoglycemia since 3 years of age. The younger brother also showed AMC, ID, and
subclinical postprandial hypoglycemia. The boys' mother also showed a minor
malformation of the left toes. METHOD AND RESULT: Using Sanger sequencing, a
hemizygous one base substitution designated c.627G > C, which is predicted to
substitute asparagine for lysine at amino acid residue 209 (K209N), was
identified in the siblings. The mother was heterozygous for this mutation. In
silico analysis predicted K209N to be a constituent of a motif required for
subcellular localization of the ZC4H2 protein in the nucleus. Transient
expression studies of subcellular localization in COS-7 cells showed that
compared to the wild-type protein, the transport of the mutant protein into the
nucleus was inhibited, thus confirming K209N as a molecular lesion in this
family. The literature reviews revealed postprandial hypoglycemia as a new
clinical feature that should be considered in ZC4H2 gene-mutation disorders.
CONCLUSION: A Japanese family with AMC and ID caused by a novel ZC4H2 gene
mutation was reported. Hypoglycemia should be considered one of the features in
this disorder.
PMID- 29803543
TI - Interleukin-32 induced thymic stromal lymphopoietin plays a critical role in the
inflammatory response in human corneal epithelium.
AB - Interleukin (IL)-32, a novel cytokine, participates in a variety of inflammatory
disorders. Thymic stromal lymphopoietin (TSLP) plays important roles in mucosal
epithelial cells, especially in allergy-induced inflammation, through the TSLP
TSLPR (thymic stromal lymphopoietin receptor) signalling pathway. However, the
association of IL-32 with TSLP on the ocular surface remains unclear. The present
work aimed to assess the functional association of IL-32 with TSLP in the control
of pro-inflammatory cytokine levels in the corneal epithelium. Human corneal
tissue specimens and human corneal epithelial cells (HCECs) were administered
different concentrations of IL-32 in the presence or absence of various
inhibitors to assess TSLP levels and localization, as well as the molecular
pathways that control pro-inflammatory cytokine production. TSLP mRNA levels were
determined by real time RT- PCR, while protein levels were quantitated by ELISA
and immunohistochemical staining. TSLP protein expression was examined in donor
corneal epithelium samples. IL-32 significantly upregulated TSLP and pro
inflammatory cytokines (TNFalpha and IL-6) in HCECs at the gene and protein
levels. The production of pro-inflammatory molecules by IL-32 was increased by
recombinant TSLP. Interestingly, both NF-kappaB (quinazoline) and caspase-1 (VX
765) inhibitors suppressed the IL-32-related upregulation of pro-inflammatory
cytokines (TNFalpha and IL-6). These findings demonstrate that IL-32 and IL-32
induced-TSLP are critical cytokines that participate in inflammatory responses
through the caspase-1 and NF-kappaB signalling pathways in the corneal
epithelium, suggesting new molecular targets for inflammatory diseases of the
ocular surface. The effects of IL-32 on cell proliferation and apoptosis were
investigated by MTT assays and RT-PCR,respectively. The results demonstrated that
IL-32 inhibits cells apoptosis in HCECs.
PMID- 29803541
TI - Sex, amyloid, and APOE epsilon4 and risk of cognitive decline in preclinical
Alzheimer's disease: Findings from three well-characterized cohorts.
AB - INTRODUCTION: Our objective was to investigate the effect of sex on cognitive
decline within the context of amyloid beta (Abeta) burden and apolipoprotein E
genotype. METHODS: We analyzed sex-specific effects on Abeta-positron emission
tomography, apolipoprotein, and rates of change on the Preclinical Alzheimer
Cognitive Composite-5 across three cohorts, such as the Alzheimer's Disease
Neuroimaging Initiative, Australian Imaging, Biomarker and Lifestyle, and Harvard
Aging Brain Study (n = 755; clinical dementia rating = 0; age (standard
deviation) = 73.6 (6.5); female = 55%). Mixed-effects models of cognitive change
by sex, Abeta-positron emission tomography, and apolipoprotein epsilon4 were
examined with quadratic time effects over a median of 4 years of follow-up.
RESULTS: Apolipoprotein epsilon4 prevalence and Abeta burden did not differ by
sex. Sex did not directly influence cognitive decline. Females with higher Abeta
exhibited faster decline than males. Post hoc contrasts suggested that females
who were Abeta and apolipoprotein epsilon4 positive declined faster than their
male counterparts. DISCUSSION: Although Abeta did not differ by sex, cognitive
decline was greater in females with higher Abeta. Our findings suggest that sex
may play a modifying role on risk of Alzheimer's disease-related cognitive
decline.
PMID- 29803544
TI - Real-world Treatment Patterns Among Patients With Colorectal Cancer Treated With
Trifluridine/Tipiracil and Regorafenib.
AB - BACKGROUND: Trifluridine/tipiracil (FTD/TPI) and regorafenib (REG) prolong
survival in refractory metastatic colorectal cancer (mCRC) and have similar
indications with different side-effect profiles. The present study compared real
world treatment patterns with FTD/TPI and REG for mCRC in a large, representative
US claims database. MATERIALS AND METHODS: Retrospective data from the US
Symphony Health Solutions' Integrated Dataverse database were analyzed for adult
mCRC patients receiving FTD/TPI or REG from October 2014 to July 2016. The index
date was the first FTD/TPI or REG prescription date. The observation period
spanned from the index date to the end of data collection, end of continuous
clinical activity, or treatment switch. Adherence was assessed using the
medication possession ratio and proportion of days covered at 3 months. The time
to discontinuation was assessed over the observation period with gaps of 45, 60,
or 90 days. Outcomes were compared between the cohorts using logistic regression
and Cox proportional hazards models adjusting for baseline characteristic
differences. RESULTS: A total of 1630 FTD/TPI patients and 1425 REG patients were
identified. The FTD/TPI patients were 80% more likely to have a medication
possession ratio of >= 0.80 compared with the REG patients (odds ratio, 1.80; P <
.001) and more than twice as likely to have a proportion of days covered of >=
0.80 (odds ratio, 2.66; P < .001) at 3 months. The FTD/TPI patients were 37% less
likely to discontinue their treatment compared with the REG patients when using
the 60-day gap (hazard ratio, 0.63; P < .001). Similar results were found using
the 45- and 90-day gaps. CONCLUSION: mCRC patients taking FTD/TPI were
significantly more likely to adhere to and comply with therapy compared with
those taking REG.
PMID- 29803546
TI - Seasonal Variations in Cerebral Palsy Births.
AB - INTRODUCTION: Previous studies of cerebral palsy (CP) suggest that it seasonal
variations in the incidence of CP. The purpose of this paper was to compare
seasonal variations in the incidence of cerebral palsy (CP) in Podlaskie
Province, Poland, between 1990-1999 (study 2005) and 2000-2014 (study 2017) in a
retrospective case-controlled study. MATERIALS AND METHODS: Data were obtained
from the hospital database. We compared CP births between January 1, 1990, and
December 31, 1999, n = 212 (116 boys, 96 girls) and January 1, 2000, and December
31, 2014, n = 205 (114 boys, 91 girls). We used Cosinor analysis to examine the
seasonality of CP births. RESULTS: The highest number of CP births occurred in
spring and the lowest in winter, with intermediate values in summer and autumn.
This seasonal pattern was significant for spring vs. winter. The peaks in the
numbers of CP births occurred in May and August; the lowest numbers of CP births
occurred in February, December, and November. In the 2017 study, we observed a
slight increase in spastic tetraplegia and a decrease in mixed CP. No significant
corrections between mean temperature and Apgar score, low birth weight, and
asphyxia were found. CONCLUSIONS: Our study confirmed the existence of seasonal
patterns for CP births.
PMID- 29803547
TI - Successful trans-jugular intrahepatic portosystemic shunt placement during 2nd
trimester.
PMID- 29803545
TI - A Multidisciplinary Consensus for Clinical Care and Research Needs for Sturge
Weber Syndrome.
AB - BACKGROUND: Sturge-Weber syndrome is a neurocutaneous disorder associated with
port-wine birthmark, leptomeningeal capillary malformations, and glaucoma. It is
associated with an unpredictable clinical course. Because of its rarity and
complexity, many physicians are unaware of the disease and its complications. A
major focus moving ahead will be to turn knowledge gaps and unmet needs into new
research directions. METHODS: On October 1-3, 2017, the Sturge-Weber Foundation
assembled clinicians from the Clinical Care Network with patients from the
Patient Engagement Network of the Sturge-Weber Foundation to identify our current
state of knowledge, knowledge gaps, and unmet needs. RESULTS: One clear unmet
need is a need for consensus guidelines on care and surveillance. It was strongly
recommended that patients be followed by multidisciplinary clinical teams with
life-long follow-up for children and adults to monitor disease progression in the
skin, eye, and brain. Standardized neuroimaging modalities at specified time
points are needed together with a stronger clinicopathologic understanding.
Uniform tissue banking and clinical data acquisition strategies are needed with
cross-center, longitudinal studies that will set the stage for new clinical
trials. A better understanding of the pathogenic roles of cerebral calcifications
and stroke-like symptoms is a clear unmet need with potentially devastating
consequences. CONCLUSIONS: Biomarkers capable of predicting disease progression
will be needed to advance new therapeutic strategies. Importantly, how to deal
with the emotional and psychological effects of Sturge-Weber syndrome and its
impact on quality of life is a clear unmet need.
PMID- 29803548
TI - Letter to the Editor/Comments on "First Void Urine: A potential biomarker source
for triage of high risk human papillomavirus infected women" by Van Keer et al.
Eur J Obstet Gynecol Reprod Biol 2017;Sep;216:1-11.
PMID- 29803549
TI - Obstetric surgeries: Specific features different from surgeries in other surgical
fields.
PMID- 29803550
TI - Reply to letter to the editor entitled "Severe pain in women undergoing first
trimester surgical abortion under local anaesthesia: A closer look at the
predictive factors".
PMID- 29803551
TI - The operating diagram of a model of two competitors in a chemostat with an
external inhibitor.
AB - Understanding and exploiting the inhibition phenomenon, which promotes the stable
coexistence of species, is a major challenge in the mathematical theory of the
chemostat. Here, we study a model of two microbial species in a chemostat
competing for a single resource in the presence of an external inhibitor. The
model is a four-dimensional system of ordinary differential equations. Using
general monotonic growth rate functions of the species and absorption rate of the
inhibitor, we give a complete analysis for the existence and local stability of
all steady states. We focus on the behavior of the system with respect of the
three operating parameters represented by the dilution rate and the input
concentrations of the substrate and the inhibitor. The operating diagram has the
operating parameters as its coordinates and the various regions defined in it
correspond to qualitatively different asymptotic behavior: washout, competitive
exclusion of one species, coexistence of the species around a stable steady state
and coexistence around a stable cycle. This bifurcation diagram which determines
the effect of the operating parameters, is very useful to understand the model
from both the mathematical and biological points of view, and is often
constructed in the mathematical and biological literature.
PMID- 29803553
TI - Left Atrial Pressure: The Key to Understand and Treat HFpEF?
PMID- 29803552
TI - Hemodynamic Correlates and Diagnostic Role of Cardiopulmonary Exercise Testing in
Heart Failure With Preserved Ejection Fraction.
AB - OBJECTIVES: This study sought to define the invasive hemodynamic correlates of
peak oxygen consumption (Vo2) in both supine and upright exercise in heart
failure with preserved ejection fraction (HFpEF) and evaluate its diagnostic role
as a method to discriminate HFpEF from noncardiac etiologies of dyspnea (NCD).
BACKGROUND: Peak Vo2 is depressed in patients with HFpEF. The hemodynamic
correlates of reduced peak Vo2 and its role in the clinical evaluation of HFpEF
are unclear. METHODS: Consecutive patients with dyspnea and normal EF (N = 206)
undergoing both noninvasive upright and invasive supine cardiopulmonary exercise
testing were examined. Patients with invasively verified HFpEF were compared with
those with NCD. RESULTS: Compared with NCD (n = 72), HFpEF patients (n = 134)
displayed lower peak Vo2 during upright and supine exercise. Left heart filling
pressures during exercise were inversely correlated with peak Vo2 in HFpEF, even
after accounting for known determinants of O2 transport according to the Fick
principle. Very low upright peak Vo2 (<14 ml/kg/min) discriminated HFpEF from NCD
with excellent specificity (91%) but poor sensitivity (50%). Preserved peak Vo2
(>20 ml/kg/min) excluded HFpEF with high sensitivity (90%) but had poor
specificity (49%). Intermediate peak Vo2 cutoff points were associated with
substantial overlap between cases and NCD. CONCLUSIONS: Elevated cardiac filling
pressure during exercise is independently correlated with reduced exercise
capacity in HFpEF, irrespective of body position, emphasizing its importance as a
novel therapeutic target. Noninvasive cardiopulmonary testing discriminates HFpEF
and NCD at high and low values, but additional testing is required for patients
with intermediate peak Vo2.
PMID- 29803554
TI - Influence of diet on axonal damage in the EAE mouse model of multiple sclerosis.
AB - Numerous studies have shown that diet influences the development of autoimmune
diseases. However, the influence of diet on axonal damage occurring in EAE
(experimental autoimmune encephalomyelitis) has not been examined. In the current
study we compared changes in axonal damage and myelin thickness in spinal cords
of sham- and MOG (myelin oligodendrocyte glycoprotein) peptide-immunized mice
kept on a standard mouse chow (Teklad 7012) versus AIN-93 M chow which was
developed for improved animal health. Despite that the development of clinical
signs was similar in the 2 groups, there were significant differences in axonal
caliber and myelin thickness. Following induction of EAE, axonal caliber was
significantly reduced in mice fed Teklad diet, but not those fed the AIN diet.
Concomitantly, myelin thickness was decreased by EAE in mice fed Teklad, but not
AIN diet. Analysis of g-ratios showed that the increase in g-ratio with
increasing axonal size was reduced in mice fed AIN diet. These findings
demonstrate that differences in axonal pathology occur in the absence of
observable differences in clinical signs, and that inter-study comparisons may be
confounded by differences in dietary care.
PMID- 29803555
TI - Neuronal degeneration and associated alterations in cytokine and protein in an
experimental branch retinal venous occlusion model.
AB - The mechanisms of neuronal degeneration and associated acute alterations in
intraretinal cytokine and protein levels remain poorly understood in variable
ischaemic retinopathies such as in branch retinal vein occlusion (BRVO). Herein
we investigate neuronal damage and alterations in retinal cytokines and proteins
in a pig model of acute BRVO. Twelve pigs had a BRVO induced photothrombotically
in both eyes. Three pigs (6 eyes) each at 2, 6, 10 and 20 days were sacrificed
together with an additional 3 control (6 eyes), enucleated, retinas dissected and
processed. Apoptosis in the inner retina was determined by terminal
deoxyynuclotidyl transferase mediated dUTP nick end labelling (TUNEL) and
histology. Expression of glial acidic fibrillary protein (GFAP), aquaporin-4
(AQP4), inward rectifier potassium channel 10 protein (Kir 4.1) encoded by KCNJ10
gene, vascular endothelial growth factor (VEGF), stromal derived growth factor
1alpha (SDF-1) encoded by CXCL12 gene and interleukin (IL) -6 and 8 were analysed
by quantitative reverse transcription polymerase chain reaction (RT-qPCR) and
immunohistochemistry. TUNEL labelling showed positive nuclei in the ganglion cell
layer (GCL) and the inner nuclear layer (INL) which was significantly higher at 2
days after BRVO compared to other time points (p < 0.05). Analysis by RT-qPCR
revealed that compared with controls, BRVO significantly increased mRNA
expression of GFAP at 6, 10 and 20, AQP4 at 20, VEGF at 6, SDF-1 at 20 and IL-8
at 2 and 10 days respectively (p < 0.05): Kir 4.1 at 6, VEGF at 2 and 10, and IL
6 at 2 days were significantly decreased (p < 0.05). This study indicates that
neural cell death occurs early in this acute model and the responses include
inflammation and breakdown of osmohomeostasis as evidenced by the upregulation of
GFAP and IL-8 and down regulation of Kir 4.1 associated with glyotic changes.
Early short term VEGF upregulation seen may be related to involvement of Muller
glial cells. These findings support the development of acute therapeutic
strategies aimed at preservation of retinal neural cells as part of an overall
management plan for BRVO.
PMID- 29803556
TI - Circular RNAs profiling in the cystathionine-beta-synthase mutant mouse reveals
novel gene targets for hyperhomocysteinemia induced ocular disorders.
AB - Cystathionine-beta-synthase (CBS) gene encodes L-serine hydrolyase which
catalyzes beta-reaction to condense serine with homocysteine (Hcy) by pyridoxal
5'-phosphate helps to form cystathionine which in turn is converted to cysteine.
CBS resides at the intersection of transmethylation, transsulfuration, and
remethylation pathways, thus lack of CBS fundamentally blocks Hcy degradation; an
essential step in glutathione synthesis. Redox homeostasis, free-radical
detoxification and one-carbon metabolism (Methionine-Hcy-Folate cycle) require
CBS and its deficiency leads to hyperhomocysteinemia (HHcy) causing
retinovascular thromboembolism and eye-lens dislocation along with vascular
cognitive impairment and dementia. HHcy results in retinovascular, coronary,
cerebral and peripheral vessels' dysfunction and how it causes metabolic
dysregulation predisposing patients to serious eye conditions remains unknown.
HHcy orchestrates inflammation and redox imbalance via epigenetic remodeling
leading to neurovascular pathologies. Although circular RNAs (circRNAs) are
dominant players regulating their parental genes' expression dynamics, their
importance in ocular biology has not been appreciated. Progress in gene-centered
analytics via improved microarray and bioinformatics are enabling dissection of
genomic pathways however there is an acute under-representation of circular RNAs
in ocular disorders. This study undertook circRNAs' analysis in the eyes of CBS
deficient mice identifying a pool of 12532 circRNAs, 74 exhibited differential
expression profile, ~27% were down-regulated while most were up-regulated (~73%).
Findings also revealed several microRNAs that are specific to each circRNA
suggesting their roles in HHcy induced ocular disorders. Further analysis of
circRNAs helped identify novel parental genes that seem to influence certain eye
disease phenotypes.
PMID- 29803557
TI - Regulation of corneal noradrenaline release and topography of sympathetic
innervation: Functional implications for adrenergic mechanisms in the human
cornea.
AB - Having established a main neuronal origin for noradrenaline (NA) in the cornea,
we set out to study the physiologic determinants of its release and to correlate
functional findings with sympathetic nerve density and overall topography. Whole
corneas were obtained from 3 to 4 month-old rabbits and human donors. Study of
prejunctional effects was carried out after incubation with radiolabelled NA (3H
NA). Corneas were superfused with warm aerated amine-free medium with cocaine and
hydrocortisone to block subsequent neuronal and extraneuronal NA uptake. Samples
were collected every 5 min. Four periods of transmural electrical stimulation
were applied to assess evoked release of 3H-NA in the absence and in the presence
of alpha-2 adrenoceptor antagonists. Catecholamines were extracted with alumina
from the superfusate collected and quantified by high pressure liquid
chromatography with electrochemical detection (HPLC-ED). Corneal nerve morphology
was studied by immunofluorescence staining with monoclonal antibodies and
subsequent confocal microscopy. Corneal lamellar sections were also produced
(epithelium, stroma, endothelium) and endogenous NA and adrenaline (AD) were
quantified by HPLC-ED. Results are means +/- SEM. ANOVA and t-tests were used for
statistical analysis. Ratios between enzymatic end products and their substrates
were calculated. In both rabbit and human corneas, electrical stimulation
increased the outflow of 3H-NA per minute and per shock. Addition of the alpha-2
adrenoceptor antagonist rauwolscine further increased the electrically-evoked
overflow of 3H-NA in a concentration-dependent manner. Immunofluorescence
revealed particular staining patterns for sensory and sympathetic fibres,
epithelial cells and stromal keratocytes. In human corneal lamellar sections only
NA was identified, particularly in the endothelium and epithelium. In the rabbit,
concentration of NA was ten times that of AD. Electrically-evoked overflow
reflects action potential-induced NA release by sympathetic nerves in the cornea
and an alpha-2 adrenoceptor-mediated mechanism for its release is presented.
Sympathetic innervation has similar functional relevance in both rabbit and human
corneas.
PMID- 29803558
TI - Ocular toxicity of reduced graphene oxide or graphene oxide exposure in mouse
eyes.
AB - With the wide application and mass production of nanoparticle products,
environmental nanopollutants will become increasingly common. The eye is an
important organ responsible for vision in most living organisms, and it is
directly exposed to the atmosphere. Direct contact between the eye and
nanoparticles in the environment can potentially lead to ocular damage. However,
publications focusing on the eye-damaging potential of nanoparticles are scarce.
Therefore, to evaluate the impact of nanoparticles on the eyes, we investigated
the ocular toxicity of reduced graphene oxide (RGO) and graphene oxide (GO) using
morphological and molecular biological methods in vivo and in vitro in the
present work. The findings show that short-term repeated GO exposure can cause
obvious intraocular inflammation, an incrassated corneal stromal layer, cell
apoptosis in the cornea, iris neovascularization and significant cytotoxicity of
rat corneal epithelial cells (rCECs), while RGO causes no significant ocular
toxicity in mice.
PMID- 29803559
TI - What Is New with Sexual Side Effects After Transurethral Male Lower Urinary Tract
Symptom Surgery?
AB - : Transurethral resection of the prostate as well as laser prostatectomy (by
either holmium laser enucleation of the prostate or Greenlight laser
vaporization) is associated with risks of sexual dysfunction such as antegrade
ejaculation and occasionally erectile dysfunction. While ejaculation-sparing
variations of these techniques show promising results, larger multicenter studies
are needed to confirm promising data. Prostatic urethral lift maintains erectile
and ejaculatory function at 5-yr follow-up. The same is true for the 3-yr data on
the Rezum system. Recently, Aquablation has shown promising results; however,
these 6-mo data need to be confirmed during longer follow-up. An individualized,
shared decision-making process based on clinical parameters and patient
preference is warranted to select the ideal treatment option for each patient.
PATIENT SUMMARY: Sexual dysfunction such as loss of ejaculation and, less
frequently, erectile dysfunction can occur after transurethral prostate surgery.
Ejaculation-sparing modifications as well as minimally invasive alternatives show
promising results. An individualized approach is warranted to select the ideal
technique for each patient.
PMID- 29803560
TI - Abdominal skin closure using subcuticular sutures prevents incisional surgical
site infection in hepatopancreatobiliary surgery.
AB - BACKGROUND: Hepatopancreatobiliary surgery has a high incidence of postoperative
morbidity, including incisional surgical site infection. Although several studies
showed that subcuticular sutures reduced incisional surgical site infection in
other fields of surgery, their impact on hepatopancreatobiliary surgery remains
unknown. The aim of this study was to assess whether subcuticular sutures could
reduce incisional surgical site infection in patients undergoing
hepatopancreatobiliary surgery. METHODS: A total of 436 consecutive patients
underwent laparotomy and surgical resection for hepatopancreatobiliary tumors in
our department from May 2013 to December 2015. We excluded among them, 8 patients
with a follow-up period <30 days and 1 patient with unclear operative
information. The incidence of incisional surgical site infection was compared
between use of subcuticular sutures and of stapling, using propensity score
analyses. RESULTS: In the baseline cohort (n = 427), abdominal skin closure was
performed by subcuticular sutures in 245 patients (57.4%) and by stapling in 182
patients (42.6%). The incidence of incisional surgical site infection was 5/245
(2.0%) in the subcuticular suture group and 21/182 (11.5%) in the stapling group
(P <. 01). In the propensity score-matched cohort (n = 318), patient demographics
were well balanced between the two groups, and the incidence of incisional
surgical site infection was 3/159 (1.8%) in the subcuticular suture group and
16/159 (10.0%) in the stapling group (P < .01). Propensity score analyses, as
well as simple regression analyses, showed subcuticular sutures could
consistently reduce incisional surgical site infection (with odd ratios of about
0.20). CONCLUSION: Use of subcuticular sutures is preferred to stapling for the
prevention of incisional surgical site infection in hepatopancreatobiliary
surgery.
PMID- 29803561
TI - Association between American Board of Surgery in-training examination score and
attrition from general surgery residency.
AB - BACKGROUND: The American Board of Surgery In-Training Exam is administered
annually to general surgery residents and could provide a way to predict
attrition, potentially offering a point of intervention. METHODS: In 2007, a
national survey of categorical general surgery interns was performed. Resident
characteristics were linked to an American Board of Surgery database of American
Board of Surgery In-Training Exam scores. Attrition was determined based on
completion of training during eight years of follow-up. To identify residents at
risk of attrition, American Board of Surgery In-Training Exam scores were
analyzed based on average rank and change in American Board of Surgery In
Training Exam score. RESULTS: Of 1,048 residents, 739 (70.5%) participated and
108 (14.6%) did not complete training. Average American Board of Surgery In
Training Exam rank was higher for participants who completed training than those
who did not (51.8 vs. 42.7 percentile respectively, P < .001). Ranking below the
25th percentile was less common among those who dropped out (41.7% ranked below
25th percentile and dropped out versus 51.5% ranked below 25th percentile and
completed, P = .06), but those whose rank dropped >16.5 percentile points were
more likely to leave training (attrition rate 13.0% with a drop versus 6.0%
without a drop, P = .003). In adjusted analysis, a one percentile increase in
American Board of Surgery In-Training Exam rank was associated with decreased
odds of attrition (OR 0.98, P < .01). CONCLUSION: Lower American Board of Surgery
In-Training Exam scores are associated with attrition, but this difference is
small, and some residents complete training with very low scores. A large drop in
American Board of Surgery In-Training Exam scores from one year to the next
appears to be associated with attrition. Program directors should focus their
efforts on these at-risk residents.
PMID- 29803562
TI - Adherence to the Pediatric Preinduction Checklist Is Improved When Parents Are
Engaged in Performing the Checklist.
AB - BACKGROUND: The World Health Organization recommends including the parents in
completion of the pediatric surgical safety checklist. At our hospital, the
preinduction surgical safety checklist is conducted in the preoperative holding
with anesthesia, nursing, and often with the parents of children undergoing an
operative procedure. We hypothesized that adherence to the preinduction checklist
is better when parents are engaged in surgical safety checklist performance.
METHODS: An observational study of adherence to the preinduction checklist for
nonemergent pediatric operations was performed (2016-2017). Adherence was defined
as verbalization of checkpoints. Only checkpoints (patient identification,
procedure, site marking, weight, allergies, and NPO status) relevant to parental
knowledge were evaluated. Parental engagement was based on: positive body
language, eye contact, lack of distractions, and understanding of checkpoints.
RESULTS: 484 preinduction surgical safety checklists were observed (interrater
reliability >0.7). Partial completion occurred in 55% cases; only 41% checklists
were fully completed. Parents were present for 81% of checklists, and more
checkpoints were performed when parents were present (5, IQR 4-6) versus absent
(2, IQR 1-3, P < .001). Increased preinduction adherence was associated with
increased parent engagement by linear regression analysis (1.20, 95%CI 1.05
1.33). Staff confirmed more checkpoints with engaged parents (28-78%) versus when
parents were not engaged (1-9%, P < .001 for all checkpoints). CONCLUSION:
Overall preinduction surgical safety checklist performance was poor (less than
half of checklists fully completed). In contrast, checklist adherence improved
with parental presence and engagement during performance of the checklist.
PMID- 29803563
TI - Indicating ALPPS for Colorectal Liver Metastases: A Critical Analysis of Patients
in the International ALPPS Registry.
AB - OBJECTIVES: In the international associating liver partition and portal vein
ligation for staged hepatectomy registry, more than 50% of patients underwent
associating liver partition and portal vein ligation for staged hepatectomy with
a right hepatectomy. This study evaluated the necessity of two-stage
hepatectomies being performed as right hepatectomy associating liver partition
and portal vein ligation for staged hepatectomy in patients with colorectal liver
metastases versus right trisectionectomy associating liver partition and portal
vein ligation for staged hepatectomy. PATIENTS AND METHODS: All patients
registered between 2012 and 2017 undergoing associating liver partition and
portal vein ligation for staged hepatectomy for colorectal liver metastases were
included. A liver to body weight index of 0.5 or less prior to stage I in the
presence of liver damage was used as an internationally accepted standard to
justify a two-stage hepatectomy. RESULTS: Four-hundred and three patients with
colorectal liver metastases with right hepatectomy associating liver partition
and portal vein ligation for staged hepatectomy (n = 183) or right
trisectionectomy associating liver partition and portal vein ligation for staged
hepatectomy (n = 220) were analyzed. Presence of metastases in segments II/III,
liver damage, number of patients on chemotherapy, and cycles were comparable, and
there was a comparable response to chemotherapy. Liver to body weight index was
different prior to stage 1 (right trisectionectomy associating liver partition
and portal vein ligation for staged hepatectomy: 0.33 +/- 0.12 versus right
hepatectomy associating liver partition and portal vein ligation for staged
hepatectomy: 0.40 +/- 0,14; P < .001) and prior to stage 2 (right
trisectionectomy associating liver partition and portal vein ligation for staged
hepatectomy: 0.58 +/- 0.17 versus right hepatectomy associating liver partition
and portal vein ligation for staged hepatectomy: 0.66 +/- 0,18; P < .001).
Hypertrophy rates were similar between groups. As much as 16.9% and 7.2% of
patients in right hepatectomy associating liver partition and portal vein
ligation for staged hepatectomy and right trisectionectomy associating liver
partition and portal vein ligation for staged hepatectomy had no apparent
justification for a two-stage hepatectomy based on LBWI prior to stage 1 and
absence of chemotherapy (<12 cycles). CONCLUSION: More than 15% of associating
liver partition and portal vein ligation for staged hepatectomy procedures were
performed in patients who may have had no indication for a two-stage hepatectomy,
especially in the group of patients with right hepatectomy. Thus, it appears that
there is a risk of the overuse of associating liver partition and portal vein
ligation for staged hepatectomy because of its great potential to induce volume
growth. Due to the high perioperative risk of associating liver partition and
portal vein ligation for staged hepatectomy, indications should be carefully
reconsidered.
PMID- 29803564
TI - Expanding industry partnerships through an accelerated business engagement
program.
PMID- 29803565
TI - Factors affecting the communication experiences of newly diagnosed colorectal
cancer patients.
AB - OBJECTIVE: This study assessed patient-centered communication (PCC) among newly
diagnosed colorectal cancer patients. PCC, a key part of patient-centered care,
contributes directly and indirectly to health-related quality of life,
satisfaction with care, and other outcomes. METHODS: We conducted a survey of
patients in North Carolina, using a theoretically-based and validated measure
that provides an overall PCC score and subscale scores for six PCC functions.
RESULTS: A total of 501 patients participated. The highest scores were for the
PCC functions of Fostering Healing Relationships, Exchanging Information, and
Making Decisions. The lowest scores were for the functions of Managing
Uncertainty and Enabling Self-Management, yet these were functions respondents
rated as most important. Respondents who thought about more than one health
professional (versus oncologist) reported better communication. PCC also varied
by treatment type, mental and physical health status, age, race, and education.
CONCLUSION: Most patients reported good communication overall, however patients
in poor physical health and mental health reported worse communication. The
quality of communication varied across the PCC functions. PRACTICE IMPLICATIONS:
Health professionals need to use a PCC approach that builds trust, respects the
patient, provides salient information that patients can understand, provides
emotional support, and facilitates the patient's engagement in care.
PMID- 29803566
TI - Correction to Lancet Glob Health 2018; published online May 16.
http://dx.doi.org/10.1016/S2214-109X(18)30259-6.
PMID- 29803567
TI - Correction to Lancet Glob Health 2018; published online May 14.
https://doi.org/10.1016/S2214-109X(18)30226-2.
PMID- 29803568
TI - A review on the ethnomedicinal uses, phytochemistry and pharmacology of Alpinia
officinarum Hance.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Alpinia officinarum Hance is a perennial plant
that has been traditionally used for many decades to treat several ailments
including inflammation, pain, stomach-ache, cold, amongst others. Pharmacological
studies over the years have demonstrated remarkable bioactivities that could be
further explored for development of new therapeutic agents against various
ailments. AIM OF THE STUDY: The paper critically reviewed the ethno-medicinal
uses, pharmacology, and phytochemistry of A. officinarum. METHODS: Keywords
including A. officinarum and its synonyms were searched using electronic
databases including ISI web of knowledge, Science direct, Scopus, PubMed, Google
scholar and relevant database for Masters and Doctoral theses. RESULTS: A.
officinarum is prepared in Asia, Turkey, Morocco and Iran as a decoction,
infusion or juice as a single preparation or in combination with other herbs,
food or drinks for the treatment of general health problems including cold,
inflammation, digestive disorders, etc. Pharmacological studies revealed the
potent in vitro and in vivo bioactivities of various parts of A. officinarum that
include anti-inflammatory, cytotoxicity, homeostasis, lipid regulation,
antioxidant, antiviral, antimicrobial, antiosteoporosis, etc. Over 90
phytochemical constituents have been identified and isolated from A. officinarum
comprising vastly of phenolic compounds especially diarylheptanoids isolated from
the rhizome and considered the most active bioactive components. CONCLUSION: In
vitro and in vivo studies have confirmed the potency of A. officinarum. However,
further studies are required to establish the mechanisms mediating its
bioactivities in relation to the medicinal uses as well as investigating any
potential toxicity for future clinical studies.
PMID- 29803569
TI - Antidiarrheal activity of a novel sulfated polysaccharide from the red seaweed
Gracilaria cervicornis.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: The use of marine seaweeds as a source of natural
compounds with medicinal purposes is increasing in Western countries in the last
decades, becoming an important alternative in the traditional medicine of many
developing countries, where diarrhea still remains a severe public health
problem, with high rates of mortality and morbidity. Sulfated polysaccharides
(PLS) extracted from red seaweeds can exhibit therapeutic effects for the
treatment of gastrointestinal disorders. Thus, the pharmacological properties of
the PLS from Gracilaria cervicornis, an endemic seaweed found in the Brazilian
northeast coast, was evaluated as an alternative natural medication for diarrhea.
AIM OF THE STUDY: This study aimed to evaluate the antidiarrheal activity of
sulfated polysaccharides (PLS) extracted from the red seaweed G. cervicornis in
Swiss mice pre-treated with castor oil or cholera toxin. MATERIALS AND METHODS:
The seaweed Gracilaria cervicornis was collected at Flecheiras beach (city of
Trairi, State of Ceara, Brazil) and the PLS was obtained through enzymatic
extraction and administered in mice (25-30 g) before diarrhea induction with
castor oil or cholera toxin. For the evaluation of the total number of fecal
output and diarrheal feces, the animals were placed in cages lined with adsorbent
material. The evaluation of intestinal fluid accumulation (enteropooling) on
castor oil-induced diarrhea in mice occurred by dissecting the small intestine
and measuring its volume. The determination of Na+/K+-ATPase activity was
measured in the small intestine supernatants by colorimetry, using commercial
biochemistry kits. The gastrointestinal motility was evaluated utilizing an
activated charcoal as a food tracer. The intestinal fluid secretion and chloride
ion concentration were evaluated in intestinal closed loops in mice with cholera
toxin-induced secretory diarrhea. The binding ability of PLS with GM1 and/or
cholera toxin was evaluated by an Enzyme-Linked Immunosorbent Assay (ELISA).
RESULTS: The G. cervicornis PLS showed antidiarrheal effects in both acute and
secretory diarrhea, reducing the total number of fecal output, diarrheic stools,
intestinal fluid accumulation, and increasing small intestine Na+/K+-ATPase
activity on castor oil-induced diarrhea. However, the PLS did not affect
gastrointestinal motility, indicating that this compound has a different action
mechanism than loperamide. In secretory diarrhea, the PLS decreased intestinal
fluid secretion and small intestine chloride excretion, binding with GM1 and/or
cholera toxin and blocking their attachment to the enterocyte cell surface.
CONCLUSIONS: In conclusion, PLS has a significant antidiarrheal effect in acute
and secretory diarrhea. Further investigation is needed towards its use as a
natural medicine to treat diarrhea.
PMID- 29803570
TI - Comparative pharmacokinetics of six coumarins in normal and breast cancer bone
metastatic mice after oral administration of Wenshen Zhuanggu Formula.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Wenshen Zhuanggu Formula (WSZG) is a traditional
Chinese medicine (TCM) prescription used in clinics for adjuvant treatment of
breast cancer bone metastases in Longhua Hospital in China. WSZG has been
reported to decrease the risk of bone metastases and alleviate the severity of
bone lesions in a breast cancer xenograft model. AIM OF THE STUDY: The present
study aimed at investigating the pharmacokinetic behaviors of six coumarins in
normal and breast cancer bone-metastatic mice following oral administration of
WSZG extract. MATERIALS AND METHODS: A bone-metastatic mouse model was
established by intracardiac injection of MDA-MB-231BO breast cancer cells, and
WSZG extract (1.60 g/kg) was given orally to the model and normal mice for 4
weeks. Then, the blood pharmacokinetic parameters of six bioactive components
from WSZG (psoralen, isopsoralen, bergapten, xanthotoxin, osthole, and
imperatorin) were analyzed by liquid chromatography tandem mass spectrometry.
RESULTS: There were significant differences in pharmacokinetic behaviors between
normal and pathological states. Compared with normal mice, the model mice showed
significantly increased AUC0-t and AUC0-infinity of the bioactive compounds (P <
0.05) and significantly decreased total blood clearance (CLZ/F) (P < 0.05).
CONCLUSIONS: The different pharmacokinetic behaviors might be partly ascribed to
intestinal functional disorders and imbalance of gastrointestinal microbiota
under the morbid state. The findings provide some valuable information to
evaluate the clinical efficacy and safety of this TCM formula.
PMID- 29803571
TI - Clipping of a Pediatric Pial Arteriovenous Fistula Located at Basilar Artery Tip
Using a Hybrid Trapping-Evacuation Technique.
AB - BACKGROUND: Intracranial pial arteriovenous fistulas (PAVFs) are rare
cerebrovascular lesions with high mortality rates. We report a rare case of
pediatric PAVF at the basilar artery tip and its treatment with surgical clipping
aided by a trapping-evacuation technique in a hybrid operating room. CASE
DESCRIPTION: An 18-month-old boy was admitted with hypoevolutism and 4-month
history of weakness in the left extremities. Magnetic resonance imaging showed a
giant aneurysm-like malformation in the area of midbrain and pons. Angiography
showed a high-flow PAVF fed by the basilar artery and bilateral P1 segments of
the posterior cerebral artery, with deep draining veins into the transverse sinus
and straight sinus. Given the intrinsic characteristics of the lesion, such as
deep location, giant fistula and varix, and multiple feeding arteries, clipping
of PAVF was performed in a hybrid operating room aided by a trapping-evacuation
technique to clearly identify and block the shunting point. CONCLUSIONS: The
successful obliteration of the lesion is reported. In addition, a brief review of
literature comparing endovascular embolization, surgical disconnection, and
hybrid technique for treatment of PAVF is included.
PMID- 29803572
TI - Delayed Intraventricular Pneumocephalus Following Shunting for Normal-Pressure
Hydrocephalus.
AB - BACKGROUND: Pneumocephalus is usually seen in trauma or cranial surgery. It is
rarely reported as a delayed complication of ventriculoperitoneal shunt placement
for hydrocephalus secondary to trauma, tumor, or aqueduct stenosis. We describe a
case of intraventricular pneumocephalus manifesting 10 months after placement of
a shunt for normal-pressure hydrocephalus. CASE DESCRIPTION: A pressure-regulated
ventriculoperitoneal shunt was implanted in an 81-year-old patient after
diagnosis of normal-pressure hydrocephalus. He showed postoperative clinical
improvement. Routine computed tomography performed 2 months after the procedure
showed no abnormalities. He presented 10 months after shunting with a subacute
deterioration of gait. Imaging revealed major intraventricular pneumocephalus and
a left-sided temporal porencephalic cyst with a small, bony defect in the left
petrous bone. A middle fossa approach was performed, and the temporal defect was
covered with fascia of the temporal muscle. This resulted in a gradual resolution
of pneumocephalus. CONCLUSIONS: Pneumocephalus after shunting for NPH is rare and
described as a complication only during the first 2 postoperative months. This
case is unique, as the pneumocephalus developed 10 months after shunting. The
combination of an occult, possibly congenital, skull base defect and the
insertion of a shunt resulted in delayed intraventricular and intraparenchymal
pneumocephalus.
PMID- 29803573
TI - Activity of Nivolumab and Utility of Neutrophil-to-Lymphocyte Ratio as a
Predictive Biomarker for Advanced Non-Small-Cell Lung Cancer: A Prospective
Observational Study.
AB - BACKGROUND: The immune checkpoint inhibitor nivolumab is entering routine
oncologic practice. We investigated the safety and efficacy of nivolumab in the
real world and alternative predictive factors for survival in patients with
advanced non-small-cell lung cancer (NSCLC). PATIENTS AND METHODS: We performed a
prospective observational study to evaluate the activity of nivolumab treatment
for chemotherapy-refractory NSCLC. Patients were treated with nivolumab once
every 2 weeks, and the efficacy was assessed every 8 +/- 2 weeks. RESULTS: Fifty
two patients were enrolled after nivolumab approval in Japan. These patients
received a median of 4 (range, 1-43) cycles of nivolumab. Overall objective
response was observed in 12 patients (23.1%). Median progression-free survival
was 2.1 (95% confidence interval, 1.0-3.2) months, and 1-year overall survival
rate was 59.9%. A total of 23 immune-related adverse events occurred in 20
patients, as follows: 7 cases of pneumonitis, 6 of oral mucositis, 5 of
hypothyroidism, 2 of colitis, 2 of liver dysfunction, and 1 of arthritis. All
patients recovered after appropriate management. A pretreatment neutrophil-to
lymphocyte ratio (NLR) of >= 5 was significantly associated with poor prognosis
compared to NLR < 5 (hazard ratio, 4.52; 95% confidence interval, 1.84-11.14; P =
.013), independently. CONCLUSION: Nivolumab showed promising activity with a
manageable safety profile in clinical practice, consistent with effects of
previous clinical trials. This drug could affect a specific population of
patients with advanced NSCLC, and pretreatment NLR was a candidate for surrogate
markers for survival benefit of patients with NSCLC treated with nivolumab.
PMID- 29803574
TI - Correlation of Neutrophil to Lymphocyte Ratio and Absolute Neutrophil Count With
Outcomes With PD-1 Axis Inhibitors in Patients With Advanced Non-Small-Cell Lung
Cancer.
AB - INTRODUCTION: Programmed death-1 (PD-1) axis inhibitors have become standard
therapy in advanced non-small-cell lung cancer (NSCLC). Response might be delayed
and pseudo-progression occasionally occurs in patients who eventually benefit
from treatment. Additional markers beyond programmed death ligand 1 (PD-L1)
expression are needed to assist in patient selection, response evaluation, and
treatment decisions. MATERIALS AND METHODS: The relationship between
prospectively collected clinical outcomes (response, disease control rate [DCR],
treatment duration, overall survival) and hematologic parameters (neutrophil to
lymphocyte ratio [NLR], absolute neutrophil count [ANC], and platelet to
lymphocyte ratio [PLR]) was explored retrospectively in advanced NSCLC patients
treated with PD-1 axis inhibitors at a major cancer center from May 2013 to
August 2016. Hematologic parameters at baseline and during treatment (week 2 or 3
and week 8) were included. RESULTS: Of 88 patients treated with PD-1 axis
inhibitors, 22 (25%) experienced partial response. Baseline NLR <=4 was
associated with superior DCR (74% vs. 50%; P = .025), treatment duration (P =
.037), time to progression (P = .053), and overall survival (P = .019), with no
differential association according to PD-L1 tumor expression. Lower NLR and ANC
during treatment were also associated with response to treatment (P = .025 and P
= .017, respectively), and treatment duration (P = .036 and P = .008). No
association was found between baseline PLR and DCR, response, treatment duration,
nor overall survival. CONCLUSION: Baseline NLR <=4 and lower NLR and ANC during
treatment might correlate with disease control and treatment response and should
be explored further as potential predictors of treatment benefit in larger
studies.
PMID- 29803575
TI - Risk Factors of Recurrence in Patients With Clinical Stage IA Adenocarcinoma
Presented as Ground-Glass Nodule.
AB - BACKGROUND: In this study we aimed to identify the risk factors of recurrence in
patients with clinical stage IA adenocarcinoma presented as ground glass nodule
(GGN) on computed tomography scans. PATIENTS AND METHODS: The study included 245
patients with clinical stage IA adenocarcinoma presented as GGN who underwent
surgery during 2010 to 2013. All patients were divided into 2 subgroups on the
basis of consolidation diameter to tumor diameter (C/T) ratio on lung window: (1)
ground-glass opacity (GGO)-dominant subgroup (C/T <= 0.5; n = 179); (2) solid
dominant subgroup (C/T > 0.5; n = 66). Recurrence-free survival (RFS) was
analyzed to identify independent risk factors of recurrence using the Kaplan
Meier approach and multivariable Cox models. RESULTS: Patients in the GGO
dominant subgroup had a better prognosis than those in the solid-dominant
subgroup (5-year RFS: 98% vs. 87%; P < .001). Multivariate analysis confirmed
that C/T ratio was an independent risk factor for RFS in patients with clinical
stage IA adenocarcinoma presented as GGN (hazard ratio [HR], 9.47; 95% confidence
interval [CI], 1.75-51.1; P = .009). In the analysis of the solid-dominant group,
multivariate analysis showed that limited resection was an independent risk
factor of recurrence in this subgroup (HR, 6.86; 95% CI, 1.50-31.42; P = .013).
Regarding the GGO-dominant subgroup, surgical type was not a risk factor of
recurrence. CONCLUSION: Patients with clinical stage IA solid-dominant
adenocarcinoma (C/T ratio > 0.5) had a higher rate of recurrence after limited
resection than lobectomy. Thus, limited resection should be performed cautiously
in these patients (C/T ratio > 0.5).
PMID- 29803576
TI - Utilization of Intensity-Modulated Radiation Therapy for Malignant Pleural
Mesothelioma in the United States.
AB - BACKGROUND: Although postoperative radiotherapy (RT) for malignant pleural
mesothelioma (MPM) has historically been delivered using 3-dimensional conformal
RT (3DCRT) techniques, multiple reports show noteworthy safety and efficacy of
the more advanced intensity-modulated RT (IMRT). To our knowledge, this is the
only known study to evaluate national practice patterns of IMRT utilization for
MPM. MATERIALS AND METHODS: The National Cancer Data Base was queried for newly
diagnosed MPM patients who underwent definitive surgery (extrapleural
pneumonectomy [EPP] or extended pleurectomy/decortication [P/D]) followed by
adjuvant RT. Patients with metastatic disease, non-EPP or P/D surgical
techniques, and lack of RT receipt (or without specified RT technique) were
excluded. Statistics included multivariable logistic regression, Kaplan-Meier
overall survival (OS) analysis, and Cox proportional hazards modeling. RESULTS:
Overall, 286 patients met criteria (181 [63%] IMRT and 105 [37%] 3DCRT). Temporal
trends revealed that although 3DCRT was more common at initial time periods, IMRT
utilization rose from 2004 to 2007 and stayed as a relatively constant majority
thereafter. This was also present when substratifying the cohort according to EPP
versus P/D approaches. IMRT was more often delivered at academic centers, along
with institutions in the Southern United States, whereas 3DCRT was more
frequently utilized in community facilities and in the Northeast (P <= .05 for
all). RT technique did not affect OS (P > .05 for all comparisons). CONCLUSION:
In the United States, IMRT is now the most commonly utilized adjuvant RT
technique for MPM. Facility and regional differences might associate with IMRT
delivery. The findings of this investigation have implications for insurance
coverage, clinical referral patterns, and ongoing and future prospective trial
design.
PMID- 29803577
TI - Efficacy of Revision Surgery for the Treatment of Stiffness After Total Knee
Arthroplasty: A Systematic Review.
AB - BACKGROUND: Knee stiffness following total knee arthroplasty (TKA) may cause pain
and reduced functionality, contributing to unsatisfactory surgical outcomes.
Revision surgery remains a possible treatment for refractory postoperative
stiffness. However, the efficacy of the procedure has not been systematically
evaluated. METHODS: A systematic review of the literature was performed to
identify studies that reported on outcomes for patients who underwent revision
surgery for postoperative stiffness after TKA. RESULTS: Ten studies (including
485 knees) were reviewed. The most common etiologies of stiffness requiring
revision TKA were component malposition, malalignment, overstuffing, aseptic
loosening, arthrofibrosis, patella baja, and heterotopic ossification. Of 9
studies reviewing range of motion outcomes after revision TKA, 7 studies
documented significant improvement and 2 found trends toward improvement. Seven
of 8 studies documenting Knee Society knee scores and 7 of 9 studies documenting
functional scores found improvement after revision TKA. All studies reporting on
pain found improvement at final follow-up after revision TKA. CONCLUSION:
Revision TKA results in increased range of motion, improved functionality, and
reduced pain in most patients who require surgery for stiffness. The present
literature is inadequate to predict which patients will achieve adequate outcomes
from revision TKA based on the specific etiology of their stiffness, although
identification of the etiology may help in surgical planning. Surgeons performing
revision TKA should counsel patients on the risks and benefits of undergoing
revision surgery, with the understanding that outcomes for well-selected patients
are generally favorable.
PMID- 29803578
TI - Developmental Dysplasia Treated With Cementless Total Hip Arthroplasty Utilizing
High Hip Center Reconstruction: A Minimum 13-Year Follow-up Study.
AB - BACKGROUND: The primary aim of this study was to determine the clinical outcomes
at 13-year follow-up of patients diagnosed with developmental dysplasia of the
hip and subsequently treated with total hip arthroplasty (THA). The secondary aim
was to investigate the effect of hip center location on clinical outcomes and
polyethylene wear. METHODS: We reviewed data from a consecutive series of 104
patients (123 hips) from a single center. Patients were treated with THA with the
high hip center (HHC) technique using cementless acetabular shells and highly
cross-linked liners. Radiographs were collected preoperatively and through 13
year follow-up to assess degree of dysplasia (Crowe classification), component
positioning, occurrence of bone resorption, and polyethylene wear. The Harris Hip
Score (HHS) was administered at 4 and 13 years. RESULTS: No patients were lost to
follow-up, and one was revised for femoral loosening. Radiolucency was seen in
20% of patients and was not associated with HHC (P = .560). No patients developed
osteolysis. The wear rate was low for all patients (mean: 3 +/- 19 MUm/y) and not
associated with HHC (P = .852). The median 13-year HHS was 91.9 (interquartile
range: 84.8-97.0). There was a statistically significant decline from the 4- to
13-year HHS (P < .001) for the Crowe II-IV group, although 82% of these patients
remained above 80 points at 13 years. The nondysplastic and Crowe I group showed
no longitudinal change in HHS (P = .243). CONCLUSION: This cup design and highly
cross-linked polyethylene liner combination demonstrates excellent clinical
outcomes, similar to THA for primary osteoarthritis, through 13-year follow-up in
patients with various degrees of developmental dysplasia of the hip and HHC
reconstructions.
PMID- 29803579
TI - Letter to the Editor on "Impact of Operative Time on Adverse Events Following
Primary Total Joint Arthroplasty".
PMID- 29803581
TI - Diagnostic Pathway of Patients with a Clinical Suspicion of Prostate Cancer: Does
One Size Fit All?
PMID- 29803580
TI - Sublethal mechanical trauma alters the electrochemical properties and increases
aggregation of erythrocytes.
AB - Circulation of blood depends, in part, on the ability of red blood cells (RBCs)
to aggregate, disaggregate, and deform. The primary intrinsic disaggregating
force of RBCs is derived from their electronegativity, which is largely
determined by sialylated glycoproteins on the plasma membrane. Given
supraphysiological shear exposure - even at levels below those which induce
hemolysis - alters cell morphology, we hypothesized that exposure to
supraphysiological and subhemolytic shear would cleave membrane-bound sialic
acid, altering the electrochemical and physical properties of RBCs, and thus
increase RBC aggregation. Isolated RBCs from healthy donors (n = 20) were
suspended in polyvinylpyrrolidinone. Using a Poiseuille shearing system, RBC
suspensions were exposed to 125 Pa for 1.5 s for three duty-cycles. Following the
first and third shear duty-cycle, samples were assessed for: RBC aggregation; the
ability of RBCs to aggregate independent of plasma ("aggregability");
disaggregation shear rate; membrane-bound sialic acid content, and; cell
electrophoretic mobility. Initial shear exposure significantly increased RBC
aggregation, aggregability, and the shear required for rouleaux dispersion.
Sialic acid concentration significantly decreased on isolated RBC membranes
ghosts, and increased in the supernatant following shear. Initial shear exposure
decreased the electrophoretic mobility of RBCs, decreasing the electronegative
charge from -15.78 +/- 0.31 to -7.55 +/- 0.21 mV. Three exposures to the shear
duty-cycle did not further compound altered RBC measures. A single exposure to
supraphysiological and subhemolytic shear significantly decreased the
electrochemical charge of the RBC membrane, concurrently increasing cell
aggregation/aggregability. The cascading implications of hyperaggregation appears
to potentially explain the ischemia-associated complications commonly reported
following mechanical circulatory support.
PMID- 29803582
TI - Re: Ryan Swearingen, Akshay Sood, Rabii Madi, et al. Zero-fragment
Nephrolithotomy: A Multi-center Evaluation of Robotic Pyelolithotomy and
Nephrolithotomy for Treating Renal Stones. Eur Urol 2017;72:1014-21.
PMID- 29803583
TI - Reply to Yuqi Xia, Weimin Yu, and Fan Cheng's Letter to the Editor re: Ryan
Swearingen, Akshay Sood, Rabii Madi, et al. Zero-fragment Nephrolithotomy: A
Multi-center Evaluation of Robotic Pyelolithotomy and Nephrolithotomy for
Treating Renal Stones. Eur Urol 2017;72:1014-21.
PMID- 29803584
TI - Re: Niven Mehra, David Dolling, Semini Sumanasuriya, et al. Plasma Cell-free DNA
Concentration and Outcomes from Taxane Therapy in Metastatic Castration-resistant
Prostate Cancer from Two Phase III Trials (FIRSTANA and PROSELICA). Eur Urol
2018;74:283-91.
PMID- 29803585
TI - The Midurethral Fascial "Sling on a String": An Alternative to Midurethral
Synthetic Tapes in the Era of Mesh Complications.
AB - BACKGROUND: Surgery for stress urinary incontinence (SUI) has been dominated
recently by synthetic midurethral tapes. Increasing recognition of serious
complications associated with nonabsorbable polypropylene mesh has led to
resurgence in interest in alternative approaches, such as the autologous fascial
sling (AFS). Despite being an efficacious and durable option in women with
recurrent and complex SUI, there has been a reluctance to consider AFS in women
with primary SUI due to a perception that it is only appropriate for treating
patients with intrinsic sphincter deficiency (ISD) and is associated with high
rates of urinary retention and de novo storage symptoms. OBJECTIVE: The video
presented demonstrates the technique for a loosely applied midurethral AFS. In
contrast to AFS applied at the bladder neck, this technical modification in
patients who demonstrate primary SUI without ISD avoids high rates of de novo
storage symptoms and urinary retention. DESIGN, SETTING, AND PARTICIPANTS: A
retrospective review of data on patients undergoing AFS at a tertiary referral
unit. SURGICAL PROCEDURE: AFS placement in a "loose" fashion using a short length
of fascia suspended on a suture bilaterally at the midurethral level rather than
at the bladder neck and only using more tension in patients with ISD.
MEASUREMENTS: Subjective cure rate, rates of postoperative storage symptoms, and
urinary retention necessitating intermittent self-catheterisation (ISC). RESULTS
AND LIMITATIONS: A total of 106 patients underwent AFS; the mean follow-up period
was 9 mo. The mean age was 52.6 (range 24-83) yr. In total, 46.2% had primary
SUI, whilst all of the remaining 53.8% had undergone prior surgical intervention.
Overall subjective cure occurred in 79.2% of patients; a further 15.1% described
significant subjective improvement in symptoms, whilst 5.7% reported no change in
symptoms. In those with primary SUI, rates of subjective cure, improvement, and
nonresolution of symptoms were 87.8%, 12.2%, and 0%, respectively. In individuals
with prior surgical intervention, rates of subjective cure, improvement, and
nonresolution of symptoms were 72.0%, 17.5%, and 10.5%, respectively. De novo
storage symptoms occurred in 8.2% of those with primary SUI compared with 14.0%
of those with prior surgical intervention. Only 2.0% patients with primary SUI
needed to perform ISC beyond 2 wk compared with 10.5% of those after prior
surgery. CONCLUSIONS: A midurethral AFS appears to be effective and safe both in
women with primary SUI who want to avoid the placement of permanent material and
its attendant risks, and in more complex cases where this is less appropriate.
PATIENT SUMMARY: A graft taken from the covering of the abdominal muscle or the
outer aspect of the thigh is an alternative to a synthetic vaginal mesh in women
who have stress urinary incontinence requiring surgical treatment. Placing the
graft loosely at the midpoint of the urethral tube, rather than at the bladder
neck, reduces the risk of postoperative voiding difficulty and overactive bladder
symptoms. Long-term data have suggested an outcome at least as good as a
synthetic nonabsorbable tape without the potential for sling erosion into
adjacent structures, as it avoids the use of nonabsorbable material.
PMID- 29803586
TI - Hypnosis for burn wound care pain and anxiety: A systematic review and meta
analysis.
AB - BACKGROUND: Evidence from clinical trials suggests psychological interventions
should be considered as an adjunct to medications. OBJECTIVE: The purpose of this
systematic review and meta-analysis was to evaluate the effectiveness of clinical
hypnosis on pain, anxiety and medication needs during wound care in adults
suffering from a burn injury. DATA SOURCES: Medline, PsychINFO, CINAHL, Embase,
ISI, SCOPUS, Cochrane, and Proquest databases were searched for randomized
controlled trials comparing hypnosis to other interventions during dressing
change in adult patients. DATA SYNTHESIS: Two independent reviewers extracted
relevant articles and assessed their methodological quality. Only six studies met
the inclusion criteria and were described in detail. Available data was pooled
with Revman 5.3. RESULTS: For the primary outcome, we found a statistically
significant difference in pain intensity ratings favoring hypnosis (MD=-8.90, 95%
CI -16.28, -1.52). For the secondary outcomes, there was a statistically
significant difference in anxiety ratings favoring hypnosis (MD=-21.78, 95% CI
35.64, -7.93) and no difference in medication usage (MD=-0.07, 95% CI -0.32,
0.17). CONCLUSION: These results suggest that hypnosis reduces pain intensity and
anxiety ratings in adults undergoing burn wound care. However, because of the
limitations discussed, clinical recommendations are still premature.
PMID- 29803587
TI - Take a blood pressure pill or undergo renal denervation?
PMID- 29803588
TI - Going further to measure improvements in health-care access and quality.
PMID- 29803589
TI - Effect of renal denervation on blood pressure in the presence of antihypertensive
drugs: 6-month efficacy and safety results from the SPYRAL HTN-ON MED proof-of
concept randomised trial.
AB - BACKGROUND: Previous catheter-based renal denervation studies have reported
variable efficacy results. We aimed to evaluate safety and blood pressure
response after renal denervation or sham control in patients with uncontrolled
hypertension on antihypertensive medications with drug adherence testing.
METHODS: In this international, randomised, single-blind, sham-control, proof-of
concept trial, patients with uncontrolled hypertension (aged 20-80 years) were
enrolled at 25 centres in the USA, Germany, Japan, UK, Australia, Austria, and
Greece. Eligible patients had an office systolic blood pressure of between 150 mm
Hg and 180 mm Hg and a diastolic blood pressure of 90 mm Hg or higher; a 24 h
ambulatory systolic blood pressure of between 140 mm Hg and 170 mm Hg at second
screening; and were on one to three antihypertensive drugs with stable doses for
at least 6 weeks. Patients underwent renal angiography and were randomly assigned
to undergo renal denervation or sham control. Patients, caregivers, and those
assessing blood pressure were masked to randomisation assignments. The primary
efficacy endpoint was blood pressure change from baseline (measured at screening
visit two), based on ambulatory blood pressure measurements assessed at 6 months,
as compared between treatment groups. Drug surveillance was used to assess
medication adherence. The primary analysis was done in the intention-to-treat
population. Safety events were assessed through 6 months as per major adverse
events. This trial is registered with ClinicalTrials.gov, number NCT02439775, and
follow-up is ongoing. FINDINGS: Between July 22, 2015, and June 14, 2017, 467
patients were screened and enrolled. This analysis presents results for the first
80 patients randomly assigned to renal denervation (n=38) and sham control
(n=42). Office and 24 h ambulatory blood pressure decreased significantly from
baseline to 6 months in the renal denervation group (mean baseline-adjusted
treatment differences in 24 h systolic blood pressure -7.0 mm Hg, 95% CI -12.0 to
-2.1; p=0.0059, 24 h diastolic blood pressure -4.3 mm Hg, -7.8 to -0.8; p=0.0174,
office systolic blood pressure -6.6 mm Hg, -12.4 to -0.9; p=0.0250, and office
diastolic blood pressure -4.2 mm Hg, -7.7 to -0.7; p=0.0190). The change in blood
pressure was significantly greater at 6 months in the renal denervation group
than the sham-control group for office systolic blood pressure (difference -6.8
mm Hg, 95% CI -12.5 to -1.1; p=0.0205), 24 h systolic blood pressure (difference
7.4 mm Hg, -12.5 to -2.3; p=0.0051), office diastolic blood pressure (difference
3.5 mm Hg, -7.0 to -0.0; p=0.0478), and 24 h diastolic blood pressure (difference
-4.1 mm Hg, -7.8 to -0.4; p=0.0292). Evaluation of hourly changes in 24 h
systolic blood pressure and diastolic blood pressure showed blood pressure
reduction throughout 24 h for the renal denervation group. 3 month blood pressure
reductions were not significantly different between groups. Medication adherence
was about 60% and varied for individual patients throughout the study. No major
adverse events were recorded in either group. INTERPRETATION: Renal denervation
in the main renal arteries and branches significantly reduced blood pressure
compared with sham control with no major safety events. Incomplete medication
adherence was common. FUNDING: Medtronic.
PMID- 29803591
TI - Renal denervation in uncontrolled hypertension: the story continues to unfold.
PMID- 29803592
TI - Health care worker perceptions toward computerized clinical decision support
tools for Clostridium difficile infection reduction: A qualitative study at 2
hospitals.
AB - BACKGROUND: Clostridium difficile infection (CDI) is associated with significant
morbidity and mortality. Computerized clinical decision support (CCDS) tools can
aid process improvement in infection prevention and antibiotic stewardship, but
implementation and health care workers (HCWs) uptake of these tools is often
variable. The objective of this study was to describe HCWs' perceptions of
barriers and facilitators related to uptake of CCDS tools as part of a CDI
reduction bundle. METHODS: We conducted a qualitative study among HCWs at 2 acute
care hospitals in Maryland. Semi-structured interviews and structured surveys
were completed by HCWs to evaluate their perception to CCDS tools at 2 different
stages: predevelopment and preimplementation. Emergent themes and patterns in the
data were identified and condensed. RESULTS: Gaps in CDI-related knowledge and in
communication between HCWs were identified throughout the evaluation. HCWs agreed
on the potential of the tools to improve CDI diagnosis, prevention, and control.
An important barrier for uptake was the perceived loss of autonomy and clinical
judgment, whereas standardization and error reduction were perceived advantages.
CONCLUSIONS: These observations shaped the development and implementation of the
CDI reduction bundle. Qualitative findings can provide valuable contextual
information during the development stages of CCDS tools in infection prevention
and antibiotic stewardship.
PMID- 29803593
TI - Evaluation of the antimicrobial efficacy and skin safety of a novel color
additive in combination with chlorine disinfectants.
AB - OBJECTIVE: A novel color additive colorizes chlorine disinfectants blue to
improve visibility and enhance spray surface coverage, and it fades to colorless
to indicate elapsed contact time. We investigated its interactions with 3
chlorine disinfectants to determine if the additive would adversely affect the
disinfectants' antimicrobial efficacy or skin safety. METHODS: We tested 0.5%
sodium hypochlorite, 0.2% calcium hypochlorite, and 0.5% sodium
dichloroisocyanurate (NaDCC) alone versus with color additive. An independent
laboratory tested efficacy against Staphylococcus aureus, Pseudomonas aeruginosa,
Vibrio cholerae, and human coronavirus 229E. An independent laboratory also
tested direct skin irritation. RESULTS: Chlorine disinfectants with and without
color additive achieved equal levels of efficacy against the tested pathogens.
Against S. aureus, 0.5% sodium hypochlorite with and without color additive met
Environmental Protection Agency criteria for disinfection success. Against human
coronavirus 229E, 0.5% sodium hypochlorite alone failed disinfection success
criteria, whereas 0.5% sodium hypochlorite with color additive achieved full
viral inactivation (>=4.50 log10 reduction). Against V. cholerae, 0.2% calcium
hypochlorite alone and with color additive achieved 5.99 log10 and >6.03 log10
reductions, respectively. Against S. aureus and P. aeruginosa, 0.5% NaDCC with
and without color additive achieved >4.9 log10 and >3.54 log10 reductions,
respectively. All 3 chlorine disinfectants with color additive tested as
negligible skin irritants. CONCLUSIONS: This color additive can be combined with
chlorine disinfectants without adversely affecting antimicrobial efficacy or skin
safety.
PMID- 29803594
TI - Influenza vaccine coverage and predictive factors associated with influenza
vaccine uptake among pediatric patients.
AB - BACKGROUND: Despite recommendations from health care authorities, reports of
severe influenza occur yearly among unvaccinated infants and children.
OBJECTIVES: This study investigated influenza vaccine coverage and predictive
factors for vaccination status among pediatric patients during the 2016-2017
winter influenza season. METHODS: A cross-sectional survey was conducted among
parents of our study population identified through a major pediatric outpatient
clinic in Hong Kong. Parents with a child aged 6 months to 17 years were invited
to complete a questionnaire that assessed the current influenza vaccine status of
the child and the parents' understanding and beliefs regarding influenza and its
vaccine. A backward logistic regression was conducted to determine predictive
factors and adjusted odds ratios associated with influenza vaccine uptake.
RESULTS: Our study included 348 parents and 405 pediatric patients. Of these, 142
pediatric patients (35.1%) received full vaccination. Predictive factors
associated with the child's positive influenza vaccine status include a "very
good" parental understanding of influenza and its vaccine (adjusted odds ratio,
6.7; 95% confidence interval, 2.1-21.5), a child with chronic medical condition
and a "high" cue to action (adjusted odds ratio, 5.7; 95% confidence interval,
2.8-11.6), and a "high" perceived susceptibility toward influenza (adjusted odds
ratio, 4.8; 95% confidence interval, 2.1-10.8). CONCLUSIONS: This study reflects
the low influenza vaccine coverage among pediatric patients. Interventions
focusing on parental knowledge and understanding of influenza and its vaccine may
improve future vaccine uptake among the pediatric population.
PMID- 29803595
TI - Multisite evaluation of environmental cleanliness of high-touch surfaces in
intensive care unit patient rooms.
AB - The efficacy of discharge cleaning and disinfection of high-touch surfaces of
intensive care unit patient rooms in Brazil, Canada, the Netherlands, and the
United States was evaluated and the effect of an educational intervention was
determined. Significant site-to-site differences in cleaning regimens and
baseline cleanliness levels were observed using ATP levels, colony-forming units,
and reflective surface marker removal percent pass rates. An educational
intervention that includes rapid feedback of the ATP measurements could
significantly improve the quality of the cleaning and disinfection regimens.
PMID- 29803590
TI - Endovascular ultrasound renal denervation to treat hypertension (RADIANCE-HTN
SOLO): a multicentre, international, single-blind, randomised, sham-controlled
trial.
AB - BACKGROUND: Early studies suggest that radiofrequency-based renal denervation
reduces blood pressure in patients with moderate hypertension. We investigated
whether an alternative technology using endovascular ultrasound renal denervation
reduces ambulatory blood pressure in patients with hypertension in the absence of
antihypertensive medications. METHODS: RADIANCE-HTN SOLO was a multicentre,
international, single-blind, randomised, sham-controlled trial done at 21 centres
in the USA and 18 in Europe. Patients with combined systolic-diastolic
hypertension aged 18-75 years were eligible if they had ambulatory blood pressure
greater than or equal to 135/85 mm Hg and less than 170/105 mm Hg after a 4-week
discontinuation of up to two antihypertensive medications and had suitable renal
artery anatomy. Patients were randomised (1:1) to undergo renal denervation with
the Paradise system (ReCor Medical, Palo Alto, CA, USA) or a sham procedure
consisting of renal angiography only. The randomisation sequence was computer
generated and stratified by centres with randomised blocks of four or six and
permutation of treatments within each block. Patients and outcome assessors were
blinded to randomisation. The primary effectiveness endpoint was the change in
daytime ambulatory systolic blood pressure at 2 months in the intention-to-treat
population. Patients were to remain off antihypertensive medications throughout
the 2 months of follow-up unless specified blood pressure criteria were exceeded.
Major adverse events included all-cause mortality, renal failure, an embolic
event with end-organ damage, renal artery or other major vascular complications
requiring intervention, or admission to hospital for hypertensive crisis within
30 days and new renal artery stenosis within 6 months. This study is registered
with ClinicalTrials.gov, number NCT02649426. FINDINGS: Between March 28, 2016,
and Dec 28, 2017, 803 patients were screened for eligibility and 146 were
randomised to undergo renal denervation (n=74) or a sham procedure (n=72). The
reduction in daytime ambulatory systolic blood pressure was greater with renal
denervation (-8.5 mm Hg, SD 9.3) than with the sham procedure (-2.2 mm Hg, SD
10.0; baseline-adjusted difference between groups: -6.3 mm Hg, 95% CI -9.4 to
3.1, p=0.0001). No major adverse events were reported in either group.
INTERPRETATION: Compared with a sham procedure, endovascular ultrasound renal
denervation reduced ambulatory blood pressure at 2 months in patients with
combined systolic-diastolic hypertension in the absence of medications. FUNDING:
ReCor Medical.
PMID- 29803596
TI - Effects of phased education on attitudes toward organ donation and willingness to
donate after brain death in an Asian country.
AB - BACKGROUND/OBJECTIVE: This study aims to investigate the effects of phased
education on attitudes toward organ donation and willingness to donate after
brain death. METHODS: A survey was conducted using a questionnaire to examine
attitudes toward organ donation of the families of patients admitted to a
surgical intensive care unit (SICU) between March 1, 2014 and September 30, 2016.
RESULTS: Ninety-two people voluntarily participated in this survey. Before
reviewing the educational material, 75.0% had a positive attitude toward organ
donation, 60.9% were willing to donate their own organs, and 38.0% were willing
to donate a family member's organs. After reviewing the educational material,
these figures increased to 92.4%, 80.4%, and 56.5%, respectively. Before
receiving an education, there was a significant difference in consistency between
people's attitudes and willingness to donate their own organs, versus donating a
family member's organs (79.3% vs 54.3%, p < 0.001). With phased education, these
percentages increased from 79.3% to 85.9% with regard to donating one's own
organs, and from 54.3% to 64.1% with regard to donating a family member's organs.
CONCLUSION: Phased education was effective overall, but it had a limited effect
on changing the willingness to donate a family member's organs. It increased the
consistency between people's attitudes toward organ donation and willingness to
donate their own, or a family member's organs.
PMID- 29803597
TI - Total Circulating Microparticle Levels After Laparoscopic Surgical Treatment for
Endometrioma: A Pilot, Prospective, Randomized Study Comparing Stripping with CO2
Laser Vaporization.
AB - STUDY OBJECTIVE: To evaluate serial generation of microparticles (MPs) after
laparoscopic stripping or CO2 laser vaporization in the surgical treatment of
patients with ovarian endometrioma (OE). DESIGN: A prospective, randomized,
blinded, pilot study (Canadian Task Force classification I). SETTING: Tertiary
care university hospital from December 2014 to July 2016. PATIENTS: Thirty women
with unilateral OE undergoing laparoscopic surgery. INTERVENTION: Patients were
randomly selected to undergo either CO2 laser vaporization (L group) or
laparoscopic stripping (S group) of OE. MEASUREMENTS AND MAIN RESULTS: Blood
samples were collected before surgery and at 2 hours, 24 hours, 1 month, and 3
months after surgery. An MP generation curve after OE surgery was created. MP
generation was greater in the S group than in the L group at all time points
evaluated. The MP generation curve showed a significantly higher area under the
curve after excisional surgery (p <.05). CONCLUSION: The higher MP levels in the
S group suggest an increased inflammation and procoagulant response after this
procedure.
PMID- 29803598
TI - Detection of Subclinical Atherosclerosis in Subclavian Arteries of Subjects with
Vascular Risk Factors and Normal Carotid Ultrasound.
AB - BACKGROUND AND PURPOSE: Most risk scores that use imaging methods to determine
the presence of subclinical atherosclerosis assess the carotid and coronary
arteries. The value of assessing subclavian arteries to improve the predictive
capacity of traditional imaging studies is not known. MATERIALS AND METHODS: We
studied subjects without cardiac, cerebral, or peripheral vascular symptoms and
normal carotid ultrasound. They had at least 1 traditional vascular risk factor.
We assessed prevalence of atherosclerotic plaques in the right subclavian artery.
RESULTS: We studied 625 subjects aged 54 +/- 12. Most participants had 1 vascular
risk factor. Using the Framingham Heart Study score, 62% were categorized as low
risk, 29% intermediate risk, and 9% high risk. A total of 169 subjects (27%) had
atheromatous plaques in the right subclavian artery. The prevalence of this
finding was greater in women than in men (64% versus 36%, P < .00001) and was
greater in subjects older than 54 years than in younger individuals (72% versus
28%, P < .00001). Plaques in the subclavian artery were present in 27% of
subjects with high risk, 34% with intermediate risk, and 24% with low risk.
CONCLUSIONS: Plaques in subclavian arteries are often detected in asymptomatic
subjects with vascular risk factors and normal carotid arteries even with low
vascular risk scores. Study of the subclavian arteries appears as a simple
strategy for the detection of subclinical atherosclerosis. Its role for improving
cardiovascular risk scales and predicting coronary and cerebrovascular events
needs to be further explored.
PMID- 29803599
TI - Volumetric Distribution of the White Matter Hyper-Intensities in Subject with
Mild to Severe Carotid Artery Stenosis: Does the Side Play a Role?
AB - PURPOSE: The purpose of this paper was to assess the difference in the
distribution of white matter hyperintensities (WMHs) on left and right sides of
the brain hemispheres of subjects with mild to severe carotid artery stenosis.
MATERIAL AND METHODS: Eighty consecutive patients (mean age 71 +/- 6 years, males
66) with carotid artery stenosis were prospectively recruited. FLAIR-WMH lesion
volume was performed using a semiautomated segmentation technique (Jim, Xinapse
System, Leicester, UK). The Wilcoxon test was applied to verify the differences
in the volume of WMHs between the right and left hemispheres. RESULTS: A
statistically significant difference was found in the middle cerebral artery
(MCA) territory for the volume of the lesions (median volume of WMHs of the left
side = 889.5 mm3; median volume of WMHs on the right side = 580.5 mm3; P =
.0416); no statistically significant difference was found on the other
territories by taking into considerations the lesions. By analyzing the degree of
stenosis, we found a higher degree of stenosis of the left side (67.9%; 95%
confidence interval [CI], 64.8%-70.9%) compared with the right side (65.7%; 95%
CI, 62.4%-68.9%), but the Mann-Whitney test did not show a statistically
significant difference (P = .3235). CONCLUSIONS: Results of our study suggest
that there is a difference in the distribution of WMHs in the brain hemispheres
according to the left/right side on the MCA territories and for the
periventricular white matter in subjects with mild to severe carotid artery
stenosis.
PMID- 29803600
TI - Neuroprotective Effects of Tocovid Pretreatment in a Mouse Stroke Model.
AB - BACKGROUND: Tocovid is a new combination of tocotrienols and tocopherol, both of
which are neuroprotective agents for preventing cerebral infarction in mice.
However, the effects of tocovid on anti-inflammation in ischemic model remain
elusive. In the present study, we assessed the effects of Tocovid pretreatment on
anti-inflammatory effects after transient middle cerebral occlusion (tMCAO) in
mice. MATERIALS AND METHODS: We evaluated the therapeutic and anti-inflammatory
effects of tocovid pretreatment (200 mg/kg per day, for 1 month) on mice brain
under 60 minutes of tMCAO. The expressive changes of inflammatory markers were
observed after tMCAO in mice. RESULTS: Tocovid pretreatment greatly improved the
mice neurobehaviors, reduced infarct volumes and decreased expressions of
inflammatory markers such as tumor necrosis factor-alpha (TNF-alpha), monocyte
chemotactic protein-1 (MCP-1) and ionized calcium binding adapter molecule-1 (Iba
1), and improved the damage of neurovascular units including matrix
metallopeptidase 9, IgG and collagen IV after tMCAO. CONCLUSIONS: Our present
findings demonstrated that oral tocovid pretreatment showed obviously
neuroprotective and at least in part by anti-inflammatory effects in ischemic
mice brain.
PMID- 29803601
TI - Cognitive Impairment, Vulnerability, and Mortality Post Ischemic Stroke: A Five
Year Follow-Up of the Action on Secondary Prevention Interventions and
Rehabilitation in Stroke (ASPIRE-S) Cohort.
AB - BACKGROUND: The aim of this study was to examine predictors of mortality in
patients 5 years after ischemic stroke, focusing on cognitive impairment,
vulnerability, and vascular risk factors assessed at 6 months post stroke.
MATERIALS AND METHODS: Patients from the Action on Secondary Prevention
Interventions and Rehabilitation in Stroke (ASPIRE-S) cohort were followed up 5
years post ischemic stroke. Vascular risk factors, cognitive impairment, and
vulnerability were assessed at 6 months post stroke. Cognitive impairment was
assessed using a cutoff score lower than 26 on the Montreal Cognitive Assessment
(MoCA). Vulnerability was defined as a score of 3 or higher on the Vulnerable
Elders Scale (VES). Mortality and date of death were ascertained using hospital
records, death notifications, and contact with general practitioners. Predictors
of mortality were explored using multivariate Cox proportional hazards models.
Adjusted hazard ratios (HRs) and 95% confidence intervals (CIs) are presented.
RESULTS: Sixty-three of 256 patients (24.6%) assessed at 6 months post stroke had
died within 5 years. Cognitive impairment (HR [95% CI]: 2.19 [1.42-3.39]),
vulnerability (HR [95% CI]: 5.23 [2.92-9.36]), atrial fibrillation (AF) (HR [95%
CI]: 2.31 [1.80-2.96]), and dyslipidemia (HR [95% CI]: 1.90 [1.10-3.27]) were
associated with increased risk of 5-year mortality. DISCUSSION: Vulnerability,
cognitive impairment, AF, and dyslipidemia at 6 months were associated with
increased risks of mortality 5 years post ischemic stroke. CONCLUSION:
Identification and management of these risk factors should be emphasized in
poststroke care.
PMID- 29803602
TI - Association of Short- and Medium-Term Particulate Matter Exposure with Risk of
Mortality after Spontaneous Intracerebral Hemorrhage.
AB - OBJECTIVE: We investigated the association of short- and medium-term particulate
matter (PM) exposure with risk of mortality in patients with spontaneous
intracerebral hemorrhage (ICH) identified according to strict etiologic criteria.
METHODS: We conducted a retrospective analysis of prospectively collected data
from consecutive patients with spontaneous ICH admitted to the emergency
department of the University Hospital of Verona from March 2011 to December 2014.
Outcome measures were mortality within 1 month after ICH and significant hematoma
expansion (HE) defined as an absolute growth of more than 12.5 mL or a relative
increase of more than 50% from baseline to follow-up computed tomography scan.
RESULTS: A final number of 308 patients were included. In the adjusted model,
higher PM2.5 and PM10 values in the last 3 days (odds ratio [OR] 1.827, 95%
confidence interval [CI] 1.057-3.159, P = .031 and OR 1.949, 95% CI 1.025-3.704,
P = .042, respectively) and in the last 4 weeks (OR 4.975, 95% CI 2.174-11.381, P
< .001 and OR 9.781, 95% CI 3.425-27.932, P < .001, respectively) before ICH were
associated with higher mortality rate. No association was found between PM
exposure and significant HE. CONCLUSIONS: PM exposure in the short- and medium
term before spontaneous ICH was associated with risk of 1-month mortality,
independent of predictors such as age, sex, stroke severity, intraventricular
hemorrhage, ICH volume, ICH location, ICH etiologic subtype, significant HE,
antithrombotic therapy, atrial fibrillation, and blood glucose levels.
PMID- 29803603
TI - Relationship of Smoking to Hypertension in a Developing Country.
AB - BACKGROUND: Smoking and hypertension are 2 leading causes of death worldwide, and
it has been claimed that smoking is a cause of hypertension despite inconsistent
results in the literature. In addition, the literature focuses mostly on
developed countries, although more people in developing countries are adversely
affected by smoking and hypertension. OBJECTIVES: This study attempted to address
critical limitations of the literature by analyzing a nationally representative
survey of Indonesians with a large sample size and a long follow-up period.
METHODS: This study drew on the Indonesian Family Life Survey and followed men
aged >=15 years from 1993 to 2014. The sample size was 14,722 in the unbalanced
panel data, 7,876 in the balanced data, and 12,715 in the cross-sectional data.
Fixed-effects models were used to remove time-invariant individual
characteristics for the panel data. These results were compared to those derived
from the cross-sectional data in linear probability models, and the importance of
controlling for time-invariant individual characteristics was assessed. RESULTS:
The results derived from fixed-effects models indicated no relation of smoking to
hypertension. The relation remained null whether the unbalanced or balanced panel
data, hypertension status or blood pressure, or smoking status or smoking
intensity in linear or nonlinear form were analyzed. In contrast, the results
derived from linear probability models exaggerated the relation. CONCLUSIONS:
Given the null relation, smoking was not associated with hypertension in this
population.
PMID- 29803604
TI - A Content Analysis Study: Concerns of Indonesian Women Infected With HIV by
Husbands Who Used Intravenous Drugs.
AB - Few international studies document the concerns of women living with HIV (WLWH)
who were infected by their intravenous drug-using husbands. Our content analysis
described the concerns of this population by analyzing 12 interviews with WLWH.
Three main concerns were discussed by the women: dealing with anger and being a
dedicated wife, going home to one's parents as the only place to go, and being
strong and staying alive for the children. Dealing with their own emotional
responses to the HIV diagnosis, lacking financial resources after the husband's
death, and remaining focused on staying healthy were major issues in their
stories. Indonesia needs large-scale public HIV education campaigns about the
risks women encounter as wives to husbands who use intravenous drugs as well as
campaigns to educate husbands about their risks. Nurses need to encourage women
to get tested during prenatal care to prevent infecting their children.
PMID- 29803605
TI - Building Quality Improvement Capacity for HIV Programs in Sub-Saharan Africa: An
Innovative, Mixed Method Training Course.
PMID- 29803606
TI - Sleep disruption and quality of life in persons with dementia: A state-of-the-art
review.
AB - Sleep disruption in persons with dementia is pervasive and contributes to
negative health outcomes and decreased quality of life. Using Lawton's framework
for quality of life in persons with dementia, the aim of this state-of-the-art
review was to synthesize current knowledge on the association between sleep
disruption and quality of life in persons with dementia in four domains:
physical, social/behavioral, emotional well-being, and cognitive. Based on the
final sample of six studies, sleep disruption was negatively associated with all
four quality of life domains in persons with dementia. Given the variations in
research design, measurement and sample size, conclusions could not be generated
on the magnitude of the effects by domain. We do, however, provide
recommendations for future research and clinical practice.
PMID- 29803607
TI - Clinical-features analysis on 926 patients with virological breakthrough in
chronic hepatitis B receiving nucleos(t)ide analogues.
PMID- 29803608
TI - Bacterial diversity and community structure of the intestinal microbiome of
Channel Catfish (Ictalurus punctatus) during ontogenesis.
AB - The acquisition of gut microbes does not occur randomly and is highly dependent
on host factors, environmental cues, and self-assembly rules exerted by the
microbes themselves. The main objective of this project was to characterize how
the gut microbiome develops during the early life stages of Channel Catfish and
to identify i) which bacteria are the main constituents of the gut microbiome at
different ontogenesis stages, and ii) at which time point(s) the gut microbiome
stabilizes. High-throughput Illumina Miseq DNA sequencing of the V4 domain of the
16S rRNA gene was used to assess the microbial community composition during the
life stages of Channel Catfish along with water and feed samples. Microbiomes
from fertilized eggs, sac fry, swim up fry, pre-fingerlings, and fingerlings were
all significantly distinct. OTUs analyses showed that the phylum Proteobacteria,
Firmicutes, Fusobacteria and Cyanobacteria dominated the Channel Catfish gut
microbiome. During the early stages of ontogenesis, the fish microbiome was
dynamic and highly diverse, with significant shifts occurring between fertilized
eggs to sac fry (6dph), and from sac fry to swim up fry (15dph). The gut
microbiome stabilized between the pre-fingerlings and fingerlings stage (<=90dph)
with an observed reduction in species richness. Feed had a more significantly
contribution to the microbial colonization of the gut than water. We have
identified the period in which the gut microbiome changes rapidly from 15dph
until 21dph before stabilizing after 90dph.
PMID- 29803609
TI - Definition of two new symbiovars, sv. lupini and sv. mediterranense, within the
genera Bradyrhizobium and Phyllobacterium efficiently nodulating Lupinus
micranthus in Tunisia.
AB - In this study, a polyphasic approach was used to analyze three representative
strains (LmiH4, LmiM2 and LmiT21) from a collection of six previously described
strains isolated in Tunisia from root nodules of Lupinus micranthus. The
phylogenetic analysis of the concatenated rrs, recA and glnII genes showed that
strain LmiH4 had 100% concatenated gene sequence identity with the type strain
Bradyrhizobium retamae Ro19T. Similarly, strain LmiM2 shared 100% concatenated
gene sequence identity with the species Bradyrhizobium valentinum LmjM3T.
However, strain LmiT21 showed an identical concatenated gene sequence with
reference strain Phyllobacterium sophorae CCBAU03422T. The recA-glnII
concatenated protein-coding genes used produced incongruent phylogenies compared
with 16S rDNA phylogeny. The nodC gene analysis showed that the strains were
phylogenetically divergent to the Bradyrhizobium symbiovars defined to date, and
represented two new symbiovars. Plant infection analysis revealed that the three
strains showed moderate host range and symbiotic specificities. Based on their
symbiotic characteristics, we propose that the three strains isolated from
Lupinus micranthus nodules belong to two new symbiovars, with the first
denominated lupini within the two species Bradyrhizobium valentinum (type strain
LmiM2) and B. retamae (type strain LmiH4), and the second denominated
mediterranense within the species P. sophorae (type strain LmiT21).
PMID- 29803610
TI - Symptoms and medications change patterns for Parkinson's disease patients
stratification.
AB - Quality of life of patients with Parkinson's disease degrades significantly with
disease progression. This paper presents a step towards personalized management
of Parkinson's disease patients, based on discovering groups of similar patients.
Similarity is based on patients' medical conditions and changes in the prescribed
therapy when the medical conditions change. We present two novel approaches. The
first algorithm discovers symptoms' impact on Parkinson's disease progression.
Experiments on the Parkinson Progression Markers Initiative (PPMI) data reveal a
subset of symptoms influencing disease progression which are already established
in Parkinson's disease literature, as well as symptoms that are considered only
recently as possible indicators of disease progression by clinicians. The second
novelty is a methodology for detecting patterns of medications dosage changes
based on the patient status. The methodology combines multitask learning using
predictive clustering trees and short time series analysis to better understand
when a change in medications is required. The experiments on PPMI data
demonstrate that, using the proposed methodology, we can identify some clinically
confirmed patients' symptoms suggesting medications change. In terms of
predictive performance, our multitask predictive clustering tree approach is
mostly comparable to the random forest multitask model, but has the advantage of
model interpretability.
PMID- 29803611
TI - The role, practice and training of unregulated birth workers in Australia: A
mixed methods study.
AB - BACKGROUND: In Australia, the provision of homebirth services by unregulated
birthworkers (doulas, ex-registered midwives, traditional midwives and lay
workers) has increased. Accessing a homebirth with a registered midwife via
mainstream services is limited. Concern is growing that new legislation aimed at
prohibiting unregulated birthworkers practice may result in homebirth going
underground. AIM: To explore the role, practice and training of unregulated
birthworkers in Australian and establish what they would do if legislation
prohibited their practice. METHODS: This study used a mixed methods sequential
exploratory design to explore the practice, training and role of unregulated
birthworkers in Australia. In phase one, four unregulated birthworkers were
interviewed in-depth and the findings informed the development of a survey in
phase two. This was distributed nationally through two consumer websites, social
media, Facebook and email. Data from both phases were integrated. FINDINGS:
Unregulated birthworkers in Australia provide homebirth services to women with
high and low-risk pregnancies when this choice is unavailable or unacceptable
within mainstream services. They operate covertly to protect their practice and
avoid the scrutiny of authorities. Unregulated birthworkers can be experienced
and trained in childbirth care and practice, much like a midwife working within a
holistic paradigm of care. CONCLUSION: Unregulated birthworkers believe they
provide women with the homebirth service they want but cannot access. Mainstream
service providers need to listen to consumer criticisms, as women seek answers
outside the system. Change is needed to improve and align services with women's
expectations of homebirth.
PMID- 29803612
TI - Copper-redox cycling by coumarin-di(2-picolyl)amine hybrid molecule leads to ROS
mediated DNA damage and apoptosis: A mechanism for cancer chemoprevention.
AB - Coumarin is an important bioactive pharmacophore. It is found in plants as a
secondary metabolite and exhibits diverse pharmacological properties including
anticancer effects against different malignancies. Therapeutic efficacy of
coumarin derivatives depends on the pattern of substitution and conjugation with
different moieties. Cancer cells contain elevated copper as compared to normal
cells that plays a role in angiogenesis. Thus, targeting copper in malignant
cells via copper chelators can serve as an attractive targeted anticancer
strategy. Our previous efforts led to the synthesis of di(2-picolyl)amine
3(bromoacetyl)coumarin hybrid molecule (ligand-L) endowed with DNA/Cu(II) binding
properties, and ROS generation ability in the presence of copper ions. In the
present study, we aimed to validate copper-dependent cytotoxic action of ligand-L
against malignant cells. For this, we used a cellular model system of copper (Cu)
overloaded lymphocytes (CuOLs) to simulate malignancy-like condition. In CuOLs,
lipid peroxidation/protein carbonylation, ROS generation, DNA fragmentation and
apoptosis were investigated in the presence of ligand-L. Results showed that
ligand-L-Cu(II) interaction leads to ROS generation, lipid peroxidation/protein
carbonylation (oxidative stress parameters), DNA damage, up-regulation of p53 and
mitochondrial-mediated apoptosis in treated lymphocytes. Further, pre-incubation
with neocuproine (membrane permeable copper chelator) and ROS scavengers
attenuated the DNA damage and apoptosis. These results suggest that cellular
copper acts as molecular target for ligand-L to propagate redox cycling and
generation of ROS via Fenton-like reaction leading to DNA damage and apoptosis.
Further, we showed that ligand-L targets elevated copper in breast cancer MCF-7
and colon cancer HCT116 cells leading to a pro-oxidant inhibition of
proliferation of cancer cells. In conclusion, we propose copper-dependent ROS
mediated mechanism for the cytotoxic action of ligand-L in malignant cells. Thus,
targeting elevated copper represents an effective therapeutic strategy for
selective cytotoxicity against malignant cells.
PMID- 29803613
TI - Tumor environment differentiated "nanodepot" programmed for site-specific drug
shuttling and combinative therapy on metastatic cancer.
AB - Metastatic cancer is difficult to defeat with current treatments due to lack of
etiological therapeutics and efficient delivery platforms. Employing tumor
microenvironment in programming intelligent nanosystems has attracted
considerable attention for combinative antitumor therapy. Herein, we proposed a
core-shell based drug depot consisting of micellar core and crosslinked-gel shell
for site-specific shuttling of paclitaxel (PTX) and KIAA1199 specific shRNA
(shKIAA). Poly (e-caprolactone) were grafted with branched polyethylenimine (PEI
PCL) as micellar core, into which hydrophobic PTX was embedded; while shKIAA, a
reliable RNAi regimen for metastatic cell inhibition was condensed with PEI
through electrostatic interaction; and then photo-crosslinked hyaluronic acid (m
HA) was further coated as shell. The nanoscale drug depot shared HAase-triggered
charge switching and desirable release profile. Upon reaching tumor region, HA
shell was degraded by concentrated HAase, and facilitated drug shuttling to
individual subcellular targeting site. Rapid intracellular trafficking of
micellar core achieved endo/lysosomal escape and cytoplasmic liberation. The half
maximal inhibitory concentration (IC50) of "nanodepot" toward human breast cancer
cell line MDA-MB-231 was 0.016 MUg/mL (PTX concentration), approximately 3-fold
decrease compared to that of monotherapy group (0.043 MUg/mL). The tumor weight
inhibition (TWI) is 83.30% in xenografted MDA-MB-231 tumor model and metastasis
was effectively inhibited in 4T1 orthotopic tumors. Moreover, knockdown of
KIAA1199 via sustainable RNAi affected a broad range of cellular functions
including apoptosis, migration and invasion. Collectively, tumor environment
differentiated spatiotemporal co-delivery fashion holds a great promise for
combinative treatment with enhanced efficacy on metastatic cancer cases.
PMID- 29803614
TI - Is alexithymia characterised by impaired interoception? Further evidence, the
importance of control variables, and the problems with the Heartbeat Counting
Task.
AB - Interoception, the perception of one's internal state, is commonly quantified
using the heartbeat counting task (HCT) - which is thought to be a measure of
cardiac interoceptive sensitivity (accuracy). Interoceptive sensitivity has been
associated with a number of clinical traits and aspects of higher order
cognition, including emotion processing and decision-making. It has been proposed
that alexithymia (difficulties identifying and describing one's own emotions) is
associated with impaired interoceptive sensitivity, but new research questions
this association. Problematically, much evidence attesting to the absence of this
association has been conducted using the HCT, a measure affected by various
physiological and psychological factors. Here, we present novel data (N = 287)
examining the relationship between alexithymia and HCT performance, controlling
for a number of potential confounds. Inclusion of these control measures reveals
the predicted negative relationship between alexithymia and HCT performance.
Results are discussed with regard to difficulties quantifying interoceptive
sensitivity using the HCT.
PMID- 29803615
TI - Predictive and Prognostic Markers in Adults With Acute Myeloid Leukemia: A Single
Institution Experience.
AB - BACKGROUND: Acute myeloid leukemia (AML) is a heterogeneous malignancy with
diverse genetic abnormalities, clinical presentations, and outcomes. Known
predictive and prognostic factors in AML include age, performance status,
comorbidities, cytogenetics, and molecular mutations. Identifying prognostic and
predictive factors can inform the choice of induction therapy and outcomes
prediction. PATIENTS AND METHODS: A retrospective review was performed of 137
adult AML patients from 2010 to 2015. Predictors of complete remission (CR) and
overall survival (OS) were determined for patients treated with 3+7 (3 days of
anthracycline and 7 days of cytarabine) or hypomethylating agent. Variables
associated with CR or OS were assessed using univariate Cox regression and a
multivariate Cox model. RESULTS: The average age was 65 years and 91 patients
(66%), sample size is 137 patients had primary AML. Patients in the 3+7 induction
group were younger, had a higher bone marrow blast percentage, and more de novo
AML compared with those in the hypomethylating agent group (P < .001, P < .001, P
= .005, respectively). Univariate logistic regression for CR showed a significant
association between age (P < .001), choice of induction (P < .001), and monosomy
(P = .015), although only induction with 3+7 (P < .001) and absence of monosomy
(P = .042) remained significant in multivariate analysis. Univariate Cox
regression indicated that age (P = .003), AML status (de novo or secondary; P =
.0277), choice of induction (P = .030), and monosomy (P = .010) had a significant
association with OS. Only younger age (P = .018) and absence of monosomy (P =
.022) were predictive of OS in multivariate Cox analysis. CONCLUSION: Positive
predictors of CR in adult AML include absence of monosomy and induction treatment
with 3+7; whereas positive predictors of OS are younger age and absence of
monosomy.
PMID- 29803616
TI - Assessment of Intragranular and Extragranular Fracture in the Development of
Tablet Tensile Strength.
AB - When a tablet is compacted from deformable granules and then broken, the fracture
plane may cleave granules in 2 (intragranular fracture) or separate neighboring
granules (extragranular fracture). In this study, a novel method was developed to
quantify the extent of intragranular versus extragranular fracture by compacting
tablets from multicolored ideal granules and evaluating fracture surfaces. The
proportions of intragranular and extragranular fracture were quantified and
modeled in light of a new metric; the deformation potential, Delta, reflecting
the solid fraction increase as an initial granule bed is compressed into a final
tablet. Results show that a measurable tablet strength is achieved at Delta >
0.18, but intragranular fracture is not observed until Delta > 0.21. At very
large Delta, tablets experience almost exclusively intragranular fracture, yet
the tablet tensile strength is considerably lower than that of a tablet compacted
from raw powders versus precompacted granules. Thus, secondary compaction of
granules appears to weaken the granule matrix, leading to reduced tablet tensile
strength even in the presence of strong extragranular bonding.
PMID- 29803618
TI - Challenges in Environmental Science and Engineering, CESE-2017: 11-15 Nov. 2017,
Kunming, China.
PMID- 29803617
TI - Biocatalysis mechanisms and characterization of a novel denitrification process
with porphyrin compounds based on the electron transfer chain.
AB - In this research, the nitrate reduction rate increased 2-3 fold in the presence
of five different porphyrin compounds (0.25 mM), among which hemin expressed the
best accelerating effectiveness. Therefore, hemin was used to explore the
catalytic characteristics and mechanisms during denitrification. The relationship
between hemin concentrations (Chemin) and nitrate reduction rates (k) could be
best described by the equation k = 8.7463 + 0.44528ln (Chemin-0.00993) (R2 =
0.9908). Furthermore, the activation energy decreased 87% compared to the hemin
free system. Two active centers of hemin, the Fe3+ atom and the porphyrin ligand,
might be involved in catalyzing the denitrification process. Additionally, the
accelerating site of hemin in the denitrification electron transfer chain was
elucidated by different metabolic inhibitors. This study provides a better
understanding of porphyrin compounds in bio-multistage redox reactions and is a
promising strategy for its practice application.
PMID- 29803619
TI - Should detectable cardiac troponin concentrations in a healthy population be the
only criterion for classifying high-sensitivity cardiac troponin assays?
PMID- 29803620
TI - Spiking neural P systems with multiple channels and anti-spikes.
AB - Spiking neural P systems (SN P systems) with multiple channels are a variant of
SN P systems presented recently. By introducing anti-spikes in neurons, SN P
systems with multiple channels and anti-spikes are constructed in this work,
where both spikes and anti-spikes are used in rules with channel labels. The
Turing universality as number generating and accepting devices is proved at
first, and then a universal SN P systems with multiple channels and anti-spikes
for computing functions is investigated. At last, a small universal system using
65 neurons for computing any Turing computable function is given.
PMID- 29803621
TI - Lipopolysaccharide preconditioning increased the level of regulatory B cells in
the spleen after acute ischaemia/reperfusion in mice.
AB - BACKGROUND: The inflammatory reaction of the spleen is an important component in
the pathophysiology of cerebral ischaemia (CI). Regulatory B cells (Bregs)
derived from the spleen can inhibit the expansion of inflammation and reduce the
damage caused by CI. AIM: The aim of the present study was to explore changes in
spleen function and Bregs production due to lipopolysaccharide preconditioning
(LPS PC) in ischaemia/reperfusion (I/R) and to uncover potential protective
effect of LPS PC on stroke. METHODS: Focal cerebral I/R mice were induced by
middle cerebral artery occlusion (MCAO). Infarct size and inflammatory cell
infiltration in brain tissue, athletic ability, and immune status were analysed
by immunostaining, behavioural analyses, and flow cytometry, respectively.
RESULTS: The volume of the cerebral infarct was significantly decreased in I/R
mice with LPS PC (LPS + I/R) compared to I/R mice, and neuronal apoptosis was
ameliorated by LPS PC. After preconditioning with LPS, locomotor activity,
forelimb strength, motor endurance, motor coordination, and short-term memory
were improved to varying degrees. Moreover, blood-brain barrier (BBB) dysfunction
was reversed, and CD11b+, major histocompatibility complex-II positive (MHC-II+),
and Gr-1+ cell infiltration in the brains of LPS + I/R mice was also
significantly reduced. B cell-activating factor (BAFF), tumour necrosis factor
alpha (TNF-alpha), interleukin-1 beta (IL-1beta), and IL-6 in the brain and
spleen in the LPS + I/R group decreased to different degrees, while the levels of
transforming growth factor-beta (TGF-beta) and IL-10 increased. LPS PC alleviated
atrophy of the spleen following I/R. In addition, the number of CD8+ T cells,
macrophages, TNF+ cells, NF-kappaB+ cells, and neutrophils in the spleen was
reduced, while the number of proliferating cells and CD19+-IL10+ Bregs was
significantly increased. The number of follicular B (FO B) cells and marginal
zone B (MZ B) cells in the spleens of LPS + I/R mice was also increased.
CONCLUSIONS: I/R mice preconditioned with LPS showed significantly reduced
pathological damage, motor dysfunction, cognitive dysfunction, and inflammatory
responses. LPS PC may initiate anti-inflammatory protective mechanism in the
spleen after stroke, may increase the number of anti-inflammatory cells, such as
Bregs, in the spleen, and may play a protective role in stroke.
PMID- 29803622
TI - Extreme anemia (Hb 33 g/L) in a 13-year-old girl: Is the transfusion always
mandatory?
AB - Iron-deficiency anemia is a frequent condition in women and adolescent girls
often caused by heavy menstrual bleeding. Sometimes the level of chronic anemia,
although well tolerated, can be very severe. The recently introduced Patient
Blood Management guidelines and the availability of effective and safe
intravenous iron preparations may question transfusion as the traditional option.
We describe here the case of a 13 years old girl with extreme iron-deficiency
chronic anemia (Hb 33 g/L) that was successfully treated with i.v. Ferric
Carboxymaltose (FCM). After the administration of 2 g of FCM in three refracted
doses, in association with folic acid 5 mg/day for two weeks, the hemoglobin
raised to 79 g/L in 12 days and to 144 g/L after about 7 months without any
undesired effect recorded. Intravenous FCM can be an effective and safe
alternative to blood transfusion also in selected cases of severe iron-deficiency
anemia in children.
PMID- 29803623
TI - Potential use of IgG endopeptidase in the management of platelet refractoriness
due to HLA alloimmunization.
PMID- 29803624
TI - Adults with congenital heart disease: A growing public health problem?
PMID- 29803625
TI - Geographical variation in the echolocation calls of bent-winged bats, Miniopterus
fuliginosus.
AB - Evolutionary biologists had a long-standing interest in the evolutionary forces
underlying geographical variation in the acoustic signals of animals. However,
the evolutionary forces driving acoustic variation are still unclear. In this
study, we quantified the geographical variation in the peak frequencies of
echolocation calls in eight Miniopterus fuliginosus bat colonies, and assessed
the forces that drive acoustic divergence. Our results demonstrated that seven of
the colonies had very similar peak frequencies, while only one colony was
significantly higher than the others. This similarity in echolocation call
frequency among the seven colonies was likely due to frequent dispersal and
migration, leading to male-mediated infiltration of nuclear genes. This
infiltration enhances gene flow and weakens ecological selection, and also
increases interactions in the presence of conspecifics. Significant correlations
were not observed between acoustic distances and morphological distances,
climatic differences, geographic distances or mtDNA genetic distances. However,
variation in acoustic distances was significantly positive correlated with nDNA
genetic distance, even after controlling for geographic distance. Interestingly,
the relationship between call divergence and genetic distance was no longer
significant after excluding the colony with the highest call frequency, which may
be due to the minimal genetic distance among the other seven colonies. The
highest frequencies of echolocation calls observed in the one colony may be
shaped by selection pressure due to loud background noise in the area. Taken
together, these results suggest that geographic divergence of echolocation calls
may not be subject to genetic drift, but rather, that the strong selective
pressure induced by background noise may lead to acoustic and genetic
differentiation between JXT and the other colonies.
PMID- 29803626
TI - Bioactive glasses entering the mainstream.
AB - Over the past decade, the extended research on bioactive glasses (BGs) has
drastically grown because of their bioactive nature and unique ability to deliver
therapeutics in tissue engineering, regenerative medicine and even cancer
research. These strategies mostly rely on the inherent potential of BGs regarding
bonding to the living tissues and accelerating the healing process. All the
possibilities are strongly associated with releasing various therapeutic ions
from the BG structures into the biological environment. Additionally, some types
of glasses [i.e., mesoporous bioactive glasses (MBGs)] can serve as suitable
platforms for the delivery of various small molecules and pharmaceutical agents.
This class of biomaterials is recognised as a highly versatile delivery system,
playing a crucial part in the future of medicine.
PMID- 29803627
TI - Accumulation of Advanced Glycation Endproducts and Subclinical Inflammation in
Deep Tissues of Adult Patients With and Without Diabetes.
AB - OBJECTIVES: Advanced glycation endproducts (AGEs) play a key role in the
development of foot complications in people with diabetes. Skin autofluorescence
(AF) might noninvasively determine tissue accumulation of AGEs. This study
evaluated the association between skin AF and AGE contents in the deep tissues of
those with diabetes and the further consequences of such contents. METHODS:
Between September 2014 and September 2015, we studied 33 patients, with and
without diabetes, who had received lower-limb amputations. Skin AF was measured.
Artery, nerve and skin were harvested during surgery. AGE contents were
quantified using high-performance liquid chromatography mass spectrometry and
were located by immunohistochemistry staining. Inflammatory cells were also
located by immunohistochemistry, immunofluorescence and scanning electron
microscopy. RESULTS: Values of skin AF and AGE contents in artery, nerve and skin
in patients with diabetes were higher than those in healthy patients. Skin AF was
strongly affected by AGE contents in these tissues. AGE contents in various
tissues were strongly correlated with each other. Differing AGEs were deposited
in similar manners in the same tissues and were accompanied by inflammatory
cells. CONCLUSIONS: AGE contents were strongly correlated with each other and
were accompanied by inflammatory cells. Skin AF measurement could provide
information about the systemic accumulation of AGEs.
PMID- 29803628
TI - Prenatal inflammation exposure-programmed cardiovascular diseases and potential
prevention.
AB - In recent years, the rapid development of medical and pharmacological
interventions has led to a steady decline in certain noncommunicable chronic
diseases (NCDs), such as cancer. However, the overall incidence of cardiovascular
diseases (CVDs) has not seemed to decline. CVDs have become even more prevalent
in many countries and represent a global health threat and financial burden. An
increasing number of epidemiological and experimental studies have demonstrated
that maternal insults not only can result in birth defects but also can cause
developmental functional defects that contribute to adult NCDs. In the current
review, we provide an overview of evidence from both epidemiological
investigations and experimental animal studies supporting the concept of
developmental reprogramming of adult CVDs in offspring that have experienced
prenatal inflammation exposure (PIE) during fetal development (PIE-programmed
CVDs), a disease-causing event that has not been effectively controlled. This
review describes the epidemiological observations, data from animal models, and
related mechanisms for the pathogenesis of PIE-programmed CVDs. In addition, the
potential therapeutic interventions of PIE-programmed CVDs are discussed.
Finally, we also deliberate the need for future mechanistic studies and biomarker
screenings in this important field, which creates a great opportunity to combat
the global increase in CVDs by managing the adverse effects of inflammation for
prepregnant and pregnant individuals who are at risk for PIE-programmed CVDs.
PMID- 29803630
TI - Links between evolutionary processes and phenotypic robustness in microbes.
AB - The costs and benefits of random phenotypic heterogeneity in microbes have been
vigorously debated and experimental tested for decades; yet, this conversation is
largely independent from discussion of phenotypic robustness in other
disciplines. In this review I connect microbial examples of stochasticity with
studies on the ecological and population-genetic consequences of phenotypic
variability. These topics illustrate the complexity of selection pressures on
phenotypic robustness and provide inspiration that this complexity can be parsed
with theoretical advances and the experimental power of microbial systems.
PMID- 29803631
TI - Trans-anethole ameliorates obesity via induction of browning in white adipocytes
and activation of brown adipocytes.
AB - To treat obesity, suppression of white adipose tissue (WAT) expansion and
activation of brown adipose tissue (BAT) are considered as potential therapeutic
targets. Recent advances have been made in the induction of brown fat-like
adipocytes (beige) in WAT, which represents an attractive potential strategy for
the management and treatment of obesity. Use of natural compounds for browning of
white adipocytes can be considered as a safe and novel strategy against obesity.
Here, we report that trans-anethole (TA), a flavoring substance present in the
essential oils of various plants, alleviated high fat diet (HFD)-induced obesity
in mice models via elevation of the expression of beige-specific genes such as
Ppargc1alpha, Prdm16, Ucp1, Cd137, Cited1, Tbx1, and Tmem26. TA also regulated
lipid metabolism in white adipocytes via reduction of adipogenesis and
lipogenesis as well as elevation of lipolysis and fat oxidation. Moreover, TA
exhibited thermogenic activity by increasing mitochondrial biogenesis in white
adipocytes and activating brown adipocytes. In addition, molecular docking
analysis enabled us to successfully predict core proteins for fat browning such
as beta3-adrenergic receptor (beta3-AR) and sirtuin1 (SIRT1) based on their low
binding energy interactions with TA for promotion of regulatory mechanisms.
Indeed, agonistic and antagonistic studies demonstrated that TA induced browning
of 3T3-L1 adipocytes through activation of beta3-AR as well as the AMPK-mediated
SIRT1 pathway regulating PPARalpha and PGC-1alpha. In conclusion, TA possesses
potential therapeutic implications for treatment of obesity by playing multiple
modulatory roles in the induction of white fat browning, activation of brown
adipocytes, and promotion of lipid catabolism.
PMID- 29803632
TI - Non-stereoselective decomposition of (+/-)-S-alk(en)yl-l-cysteine sulfoxides to
antibacterial thiosulfinates catalyzed by C115H mutant methionine gamma-lyase
from Citrobacter freundii.
AB - S-Alk(en)yl-l-cysteine sulfoxides, initially found in plants of the genus Allium,
are converted to antimicrobial thiosulfinates by pyridoxal 5'-phosphate(PLP)
dependent alliinase (EC 4.4.1.4). It was found that methionine gamma-lyase (MGL,
EC 4.4.1.11) catalyzes the beta-elimination reaction of (+/-)-S-alk(en)yl-l
cysteine sulfoxides to yield thiosulfinates. The efficient catalyst for the
production of thiosulfinates, C115H mutant MGL, developed in our previous work,
cleaves S-alk(en)yl-l-cysteine sulfoxides more effectively than the wild type
enzyme. Thiosulfinates generated by the C115H MGL/sulfoxide system have
demonstrated growth inhibition of Gram-positive, Gram-negative bacteria and
clinical isolates of pathogenic bacteria from mice. In search of a more effective
system for production of antibacterial thiosulfinates we synthesized S
substituted analogues of l-cysteine sulfoxide with a longer side chains - (+/-)-S
propyl-l-cysteine sulfoxide ((+/-)-propiin) and (+/-)-S-n-butyl-l-cysteine
sulfoxide ((+/-)-butiin) and determined catalytic parameters of the beta
elimination reaction of two sulfoxides. It was found that C115H MGL cleaves (+/-)
propiin with the highest rate, as compared to other (+/-)-S-alk(en)yl-l-cysteine
sulfoxides. Studies on interaction of the enzyme with (+)- or (-)-S-alk(en)yl-l
cysteine sulfoxides revealed that C115H MGL can decompose both diastereomers
equally. The antibacterial activity of the mixture of the mutant MGL with (+/-)
propiin is comparable with those of the mixtures with S-allyl-l-cysteine
sulfoxide (alliin) and S-methyl-l-cysteine sulfoxide (methiin). The results make
MGL/sulfoxide system more advantageous in preparing antibacterial thiosulfinates
as compared to alliinase-based system, which preferably cleaves naturally
occurring (+)-sulfoxides.
PMID- 29803629
TI - The neurobiology of depression, ketamine and rapid-acting antidepressants: Is it
glutamate inhibition or activation?
AB - The discovery of the antidepressant effects of ketamine has opened a breakthrough
opportunity to develop a truly novel class of safe, effective, and rapid-acting
antidepressants (RAADs). In addition, the rapid and robust biological and
behavioral effects of ketamine offered a unique opportunity to utilize the drug
as a tool to thoroughly investigate the neurobiology of stress and depression in
animals, and to develop sensitive and reproducible biomarkers in humans. The
ketamine literature over the past two decades has considerably enriched our
understanding of the mechanisms underlying chronic stress, depression, and RAADs.
However, considering the complexity of the pharmacokinetics and in vivo
pharmacodynamics of ketamine, several questions remain unanswered and, at times,
even answered questions continue to be considered controversial or at least not
fully understood. The current perspective paper summarizes our understanding of
the neurobiology of depression, and the mechanisms of action of ketamine and
other RAADs. The review focuses on the role of glutamate neurotransmission -
reviewing the history of the "glutamate inhibition" and "glutamate activation"
hypotheses, proposing a synaptic connectivity model of chronic stress pathology,
and describing the mechanism of action of ketamine. It will also summarize the
clinical efficacy findings of putative RAADs, present relevant human biomarker
findings, and discuss current challenges and future directions.
PMID- 29803633
TI - A Prospective Study of Stingray Injury and Envenomation Outcomes.
AB - BACKGROUND: Stingray injuries result in thousands of emergency department visits
annually. OBJECTIVES: This study aimed to assess the complication rate and
outcome of field treatment with hot water immersion. METHODS: This was an on
site, prospective, observational study. Subjects were enrolled after having been
stung by a stingray. A trained researcher obtained the following information:
age, sex, health conditions and medications, and wound description. The efficacy
of hot water immersion on pain was recorded. Patients were contacted on
postinjury days 3, 7, and 14 for follow up. RESULTS: Twenty-two subjects were
included. No obvious foreign bodies were observed in wounds. Ten subjects were
treated with hot water immersion and povidone-iodine, 12 with hot water immersion
alone. Ongoing symptoms or complications were noted at the 3-day follow-up in 6
of 22 subjects (27.3%). One subject was diagnosed with cellulitis on post-sting
day 8 and was treated with antibiotics. Ongoing symptoms or complications were
reported more commonly in patients treated with hot water and povidone-iodine
compared with those treated with hot water alone (p = 0.056). There was a
significant difference in wound size between those with and without ongoing
symptoms at the 3-day follow-up (p = 0.0102). No wounds <1 cm developed any
complications. Average duration of water immersion was 73.6 min (range 35-145
min). The mean pain score pretreatment was 7.36 and posttreatment was 2.18, with
an average decrease of 5.18 (95% confidence interval 4.22-6.15). CONCLUSION:
Stingray injuries responded well to hot water immersion for pain control. Skin
and soft tissue infection was diagnosed in 1 of 22 patients (4.55%).
PMID- 29803634
TI - Children's contrast sensitivity function in relation to organophosphate
insecticide prenatal exposure in the mother-child PELAGIE cohort.
AB - Human exposure to organophosphate pesticides (OP) is widespread. Several studies
suggest that OP prenatal exposure alters the development of cognitive and
behavioural functions in children, but the effects of OP prenatal exposure on
child sensory functions are largely unknown. The aim of the study was to evaluate
the association between OP prenatal exposure and visual processing in school-aged
children from the mother-child PELAGIE cohort (France). OP biomarkers of exposure
were measured in maternal urine samples at the beginning of pregnancy. The
Functional Acuity Contrast Test (FACT) was used to assess visual contrast
sensitivity in 180 children at 6 years of age. Linear regression models were
performed on all children, and separately for boys and girls, taking into account
various potential confounders, including maternal education and breastfeeding. No
associations were observed in the whole sample, while maternal OP urinary
metabolite levels were associated with a decrease of FACT scores in boys. These
findings indicate that OP prenatal exposure might impair visual processing later
in life in boys only.
PMID- 29803635
TI - Enhanced Striatal Dopamine Release to Expectation of Alcohol: A Potential Risk
Factor for Alcohol Use Disorder.
AB - BACKGROUND: We used positron emission tomography imaging with [11C]raclopride to
examine the effects of consumption of alcohol or placebo beverage by participants
with alcohol use disorder (AUD) compared with healthy participants with and
without family history of AUD. We sought to assess dopamine release following
alcohol exposure in relation to AUD risk. METHODS: Three groups were enrolled:
participants with AUD (n = 15) and healthy participants with family history
negative (n = 34) or positive (n = 16) for AUD. Participants consumed a placebo
(n = 65) or alcohol (n = 63) beverage in counterbalanced order before positron
emission tomography scanning (128 scans). Binding potential (BPND) in the two
drink conditions and the percent change in BPND between conditions were evaluated
across striatal subregions. Subjective effects of beverage consumption were
rated. Effects of group, drink order, and sex were evaluated. RESULTS: Alcohol
resulted in greater dopamine release than did placebo in the ventral striatum (p
< .001). There were no main effects of group, drink order, or sex on ventral
striatum BPND or percent change in BPND. However, there was a drink order-by
group interaction (p = .02) whereby family history-positive participants who
received placebo first had both lower placebo BPND and less difference between
placebo and alcohol BPND than all other groups, consistent with expectation of
alcohol powerfully evoking dopamine release in this group. Subjective responses
showed the same order-by-group interaction. CONCLUSIONS: Hyper-responsivity of
the dopaminergic system in family history-positive participants to expectation of
alcohol may contribute to the expression of familial risk for AUD.
PMID- 29803636
TI - Deficiency of IL-1 receptor antagonist suppresses IL-10-producing B cells in
autoimmune arthritis in an IL-17/Th17-dependent manner.
AB - Rheumatoid arthritis (RA) is a systemic autoimmune disease with CD4+ T cell
infiltration and hyperplasia of synovial tissues leading to progressive
destruction of articular cartilage. In addition to the central role of T cells in
the pathogenesis of RA, recent reports have suggested that B cells also
contribute to RA. To explore the effects of interleukin (IL)-17 on B cell
development and response in excess IL-1 signaling, we generated IL-17 and IL-1
receptor antagonist (IL-1Ra) double-deficient mice via backcrossing IL-17
knockout (KO) and IL-1RaKO mice. We studied the effect of IL-17 deficiency on
antibody-producing B cells and regulatory B cells in IL-1RaKO mice. Excess IL-1
signal increased the frequency of B220+ IgG+ cells and plasma cells. It also
promoted the production of immunoglobulins in vitro. Moreover, IL-17 deficiency
significantly enhanced the frequency of regulatory IL-10-producing regulatory B
cells in IL-1RaKO mice. IL-17 deficiency ameliorated disease symptoms of
inflammatory arthritis in IL-1RaKO mice by suppressing the frequency of plasma
cells and antibody production while enhancing the frequency of IL-10-producing B
cells. These findings suggest that IL-17 can trigger an inflammatory immune
reaction by activating antibody-producing B cells while suppressing immune
regulatory B cells in RA.
PMID- 29803637
TI - Diastolic blood pressure and risk profile in renal and cardiovascular diseases.
Results from the SPRINT trial.
AB - The Systolic Blood Pressure Intervention Trial (SPRINT) trial demonstrated the
efficacy and safety of targeting a systolic blood pressure of <120 mmHg compared
to <140 mmHg in selected hypertensive patients. Some evidence, however, suggests
a J-curve for; diastolic blood pressure (DBP) particularly in subjects with
cardiovascular (CV) and chronic kidney disease. We evaluated the risk of events
in SPRINT with focus on these subgroups according to DBP. Mean DBP (+/-standard
deviation) throughout follow-up time was calculated for each patient. Patients
were then categorized into five groups according to mean DBP (<60 mmHg, 60-69
mmHg, 70-79 mmHg [reference], 80-89 mmHg, >=90 mmHg); hazard ratio for outcomes
was assessed overall and in the predefined subgroups. A higher risk for CV events
was observed in the lower DBP range overall (hazard ratio 1.46, confidential
interval 95% 1.1-1.95, P < .001), but not in the absence of pre-existing CV or
renal disease. Indeed, such risk significantly increased above 80 mmHg in
patients with CV disease and below 70 mmHg in those with chronic kidney disease
for selected outcomes. DBP<70 mmHg particularly affected renal outcomes
irrespective of renal status. Different risk profiles according to DBP appear to
be related to specific clinical characteristics in SPRINT. These findings require
further testing in dedicated trials with appropriate follow-up.
PMID- 29803638
TI - Comparative efficacy and safety analysis of CSE-1034: An open labeled phase III
study in community acquired pneumonia.
AB - OBJECTIVE: CSE-1034 is a novel antibiotic adjuvant entity (AAE) with proven
activity against broad range of multi-drug resistant (MDR) pathogens causing
various bacterial infections. This phase 3 clinical trial was designed to
evaluate the efficacy and safety of CSE-1034 therapy for the treatment of
community-acquired pneumonia (CAP) patients of Pneumonia Outcomes Research Team
(PORT) risk III-IV. METHODS: In this multi-centric, controlled, open-labeled
phase 3 trial, adult patients with PORT risk III-IV CAP were randomized to
receive either intravenous CSE-1034 (3g every 12h) or Ceftriaxone (2g every 12h)
for 3-10 days. The primary endpoint was clinical response in clinically-evaluable
(CE) population and microbiological eradication in microbiologically-evaluable
(ME) population at test of cure (TOC) visits. Secondary endpoints included
verification of the primary endpoints across all other visits, treatment duration
and safety of patients. RESULTS: 156 patients were screened at 5 study centers of
which 93 subjects were enrolled in the study and randomized in CSE-1034 and
Ceftriaxone treatment arms. In CE population (n=90), the clinical cure rates at
TOC visit were 96% and 64% in CSE-1034 (n=46) and Ceftriaxone (n=44) treatment
arms respectively (treatment difference: 32.0%; 95% CI, 15.8%-47.1%). The
bacterial eradication in ME population of two treatment arms were 94% (n=36) and
56% (n=27) at TOC visit (treatment difference: 38.9%; 95% CI, 17.8%-57.6%).
Overall, the total number of adverse events (AEs) reported in both groups were 21
(22.5%). The AEs rates reported in two treatment arms were 15.2% in CSE-1034 and
29.8% in Ceftriaxone group. CONCLUSION: Overall assessment of clinical cure rate,
microbiological eradication rate and safety assessment in this study has shown
that CSE-1034 is an effective and safe option for the treatment of CAP patients
of PORT risk III-IV. Moreover, the superiority of CSE-1034 over Ceftriaxone is
also proven.
PMID- 29803640
TI - Loss of 5-Hydroxymethylcytosine Is an Epigenetic Biomarker in Cutaneous T-Cell
Lymphoma.
AB - DNA hydroxymethylation at the 5 position of cytosine (5-hmC) is a product of the
TET family of DNA hydroxylases. Accumulating evidence shows that loss of 5-hmC is
critical for various biological and pathological processes. However, its level in
cutaneous T-cell lymphoma (CTCL) remains largely unknown. Here, we report that
the loss of 5-hmC is an epigenetic hallmark of CTCL, with diagnostic and
prognostic implications. Immunohistochemistry staining on 90 mycosis fungoides
(MF) samples showed a significant decrease of 5-hmC staining in CD4+ T cells in
patch and tumor stages, especially in MF with large cell transformation, compared
with benign inflammatory dermatoses. The 5-hmC staining level decreased with
disease progression and showed remarkable loss in the large cells of large cell
transformed MF samples, regardless of the CD30 positivity. Furthermore, 5-hmC
decrease was correlated to poor overall survival in our patient cohort.
Pharmacological augments of global 5-hmC with l-ascorbic acid in CTCL cell lines
led to remarkable 5-hmC accumulation and promoted apoptosis in CTCL cell lines,
as well as in patient-derived CTCL cells. In conclusion, 5-hmC is an epigenetic
mark of predictive value in MF prognosis. Restoration of 5-hmC levels in MF may
serve as a therapeutic regimen in CTCL.
PMID- 29803639
TI - Premature Ejaculation Among Italian Men: Prevalence and Clinical Correlates From
an Observational, Non-Interventional, Cross-Sectional, Epidemiological Study
(IPER).
AB - INTRODUCTION: There is a great variability in the prevalence of premature
ejaculation (PE) worldwide and only few data are available about the Italian
population. AIM: To determine the prevalence of PE in the adult male population
in Italy. METHODS: Adult men 18 to 80 years old who were sexually active were
randomly sampled from patient lists of general practitioners in Italy and were
included in this observational, non-interventional, cross-sectional epidemiologic
study from January to July 2015. MAIN OUTCOME MEASURES: Subjects were asked to
complete general questionnaires on anthropometric data, lifestyle, education,
occupation, economic conditions, general health status, comorbidities, and sexual
habits: the Premature Ejaculation Diagnostic Tool (PEDT), the 5-item
International Index of Erectile Function, and the Sexual Quality of Life
Questionnaire-Male. RESULTS: 1,104 subjects were recruited. Mean age was 45.6
years. Mean prevalence of PE based on PEDT score (>=11) was 18.5%, and 12.4% self
reported an intravaginal ejaculatory latency time shorter than 1 minute.
Prevalence of PE proportionally increased with age. 64.6% of patients presented
lifelong PE vs 35.4% of patients who reported acquired PE. Estimated prevalence
of coexisting PE and erectile dysfunction was 7.0%. Furthermore, overall quality
of sexual life was significantly worse in men with PE (P = .006). Enrolled men
reported an overall rate of sexual problems in their partners of approximately
30%. 31.3% of patients with PE did not seek help for their dysfunction. No
significant differences were noted between patients with and without PE for body
mass index, alcohol consumption, smoking habits, physical activity, education,
economic conditions, and marital status. CONCLUSIONS: PE has a high prevalence in
the Italian male population, increases with age, and heavily affects quality of
life in patients and their partners. Encouraging data exist concerning the
percentage of patients seeking help for their condition. Verze P, Arcaniolo D,
Palmieri A, et al. Premature Ejaculation Among Italian Men: Prevalence and
Clinical Correlates From an Observational, Non-Interventional, Cross-Sectional,
Epidemiological Study (IPER). Sex Med 2018;6:193-202.
PMID- 29803641
TI - The Neuropeptide Y System Regulates Both Mechanical and Histaminergic Itch.
AB - Itch is a somatosensory modality that serves to alert an organism to harmful
elements removable by scratching, such as parasites and chemical irritants.
Recently, ablation or silencing of neuropeptide Y (NPY)-expressing spinal
interneurons was reported to selectively enhance mechanical itch, whereas
chemical itch was unaffected. We examined the effect of activating the NPY/Y1
receptor system on scratch behavior in mice. We found that intrathecal
administration of the Y1 agonist [Leu31,Pro34]-NPY (LP-NPY) attenuated itch
behavior induced by application of 0.07 g von Frey filament in the nape of the
neck compared with saline treatment, indicating that activation of the spinal
NPY/Y1 system dampens mechanical itch. However, intrathecal administration of LP
NPY also attenuated chemically induced scratching provoked by intradermal
application of histamine or the mast cell degranulator 48/80 (histaminergic
itch), and the latter effect could be reversed by administration of the Y1
antagonist BIBO3304. Intrathecal application of the native nonselective agonist
NPY also attenuated histamine or 48/80-induced scratching. Our analyses emphasize
the importance of including additional quantitative parameters to characterize
the full spectrum of itch behavior and show that the NPY/Y1 system dampens both
mechanically and chemically induced scratching and hence is shared by the two
submodalities of itch.
PMID- 29803642
TI - [An initiative to reduce the use of unnecessary medication in infants with
bronchiolitis in primary care].
AB - INTRODUCTION AND OBJECTIVE: Although evidence-based guidelines for acute
bronchiolitis recommend primarily supportive care unnecessary treatments remain
well documented. The objective of this study was to analyse a quality improvement
initiative to reduce overuse of unnecessary treatments in infants with acute
bronchiolitis in primary care settings. METHOD: To determine the number of
unnecessary treatments we reviewed the charts corresponding to infants aged <24
months of age diagnosed with acute bronchiolitis in two Primary Care areas during
two bronchiolitis seasons [October-Mars of 2015-2016 (pre-intervention period)
and 2016-2017 (post-intervention period)]. Between those seasons we distributed
an evidence-based management protocol and developed interactive sessions with on
line data collection and feed-back. Outcomes were the rate of infants receiving
salbutamol, steroids or antibiotics. RESULTS: Twenty outpatient clinics
contributed with 1,277 chart reviews (619 in the pre-intervention period and 658
in the post-intervention period). Overall, the use of any medication was reduced
from 72.5% (95% CI, 68.8-75.9) to 52.1% (95% CI, 48.3-55.9) (p<0.01): salbutamol
from 56.0% (95% CI, 52.1-59.9) to 38.3% (95% CI, 34.6-42.0) (p<0.01),
corticosteroids from 23.7% (95% CI, 20.5-27.2) to 12.9% (95% CI, 10.5-15.7)
(p<0.01) and antibiotics from 36.1% (95% CI; 32.5-40.0) to 29.6% (95% CI; 26.2
33.2) (p<0.05). The number of medications per patient decreased from a mean of
1.81 (SD: 0.86) to 1.62 (SD: 0.81) (p<0.01). CONCLUSIONS: We significantly
decreased the use of unnecessary treatments in infants with acute bronchiolitis.
This quality improvement initiative may be applied to the settings where the
majority of infants with acute bronchiolitis are attended in western countries.
PMID- 29803643
TI - [Heart murmur in children less than 2 years-old: looking for a safe and effective
referral strategy].
AB - INTRODUCTION: Current guidelines in Spain recommend performing transthoracic
echocardiography (TTE) in all children under 2 years of age with a heart murmur.
In 2014, the American Paediatric Association published the first appropriate use
criteria (AUC) for outpatient paediatric transthoracic echocardiography (TTE) to
promote its cost-efficient use. The aim of this article is to analyse the AUC and
other clinical factors as predictors of congenital heart disease (CHD) in
children less than 2 years of age with a heart murmur, and to develop a safe and
efficient referral strategy. PATIENTS AND METHOD: Case-control study conducted
with children less than 2 years of age, referred from Paediatric Primary Care to
Paediatric Cardiology during a 4-year study. A predictive model for CHD was
determined using multivariate analysis. RESULTS: A total of 688 patients were
included, with 129 (19%) cases of CHD. An age less than 3 months (adjusted odds
ratio [ORa] 3.8 [1.5-8.4], p=.030) and fulfilling AUC (ORa 16.3 [9.4-28.3],
p<.001) were predictors of CHD. Concurrent infection (ORa 0.6 [0.2-0.8], p<.001)
and a negative neonatal screening with pulse oximetry (ORa 0.1 [0.05-0.4],
p=.001) decreased the risk of CHD. The referral strategy that included these
criteria had a 98% sensitivity, 39% specificity, and positive and negative
predictive values of 27% and 99%, respectively. It could not diagnose 2% of CHD
(all mild), and showed a 32% TTE reduction rate compared to our current strategy.
CONCLUSION: To refer children less than 3 months old, fulfilling AUC, without a
concurrent infection, or without negative neonatal pulse oximetry screening, is a
safe and efficient strategy for the management of heart murmur in children under
2 years of age.
PMID- 29803644
TI - The cellular bases of choroid fissure formation and closure.
AB - Defects in choroid fissure (CF) formation and closure lead to coloboma, a major
cause of childhood blindness. Despite genetic advances, the cellular defects
underlying coloboma remain poorly elucidated due to our limited understanding of
normal CF morphogenesis. We address this deficit by conducting high-resolution
spatio-temporal analyses of CF formation and closure in the chick, mouse and
fish. We show that a small ventral midline invagination initiates CF formation in
the medial-proximal optic cup, subsequently extending it dorsally toward the
lens, and proximally into the optic stalk. Unlike previously supposed, the optic
disc does not form solely as a result of this invagination. Morphogenetic events
that alter the shape of the proximal optic cup also direct clusters of outer
layer and optic stalk cells to form dorsal optic disc. A cross-species comparison
suggests that CF closure can be accomplished by breaking down basement membranes
(BM) along the CF margins, and by establishing BM continuity along the dorsal and
ventral surfaces of the CF. CF closure is subsequently accomplished via two
distinct mechanisms: tissue fusion or the intercalation of various tissues into
the inter-CF space. We identify several novel cell behaviors that underlie CF
fusion, many of which involve remodeling of the retinal epithelium. In addition
to BM disruption, these include NCAD downregulation along the SOX2+ retinal CF
margin, and the protrusion or movement of partially polarized retinal cells into
the inter-CF space to mediate fusion. Proximally, the inter-CF space does not
fuse or narrow and is instead loosely packed with migrating SOX2+/PAX2+/Vimentin+
astrocytes until it is closed by the outgoing optic nerve. Taken together, our
results highlight distinct proximal-distal differences in CF morphogenesis and
closure and establish detailed cellular models that can be utilized for
understanding the genetic bases of coloboma.
PMID- 29803646
TI - The intrigue is infectious: Impacts of prion protein during neural development.
AB - Normally folded prion protein is abundant in the CNS and remarkably conserved,
suggesting that it has important functions, yet these functions have remained
elusive. Now the work of Parrie et al. has codified a requirement for prion
protein in adult neurogenesis. Their insightful use of prion protein knockout and
over-expressing mice, combined with the well-characterized olfactory system site
of neurogenesis, demonstrated that prion protein promotes proliferation and
survival of adult neurons. The work provides a unique independent confirmation of
prion protein playing a role in neuroprotection, especially extending the
conclusion beyond models using acute injury. Parrie et al. (2018) further show
that prion protein is required for CNS axon guidance. A growing list of
phenotypes associated with prion protein loss are coincident with symptoms of
neurodegenerative disease and dementia, though it remains contentious whether any
such disruption of prion protein function contributes to disease aetiology.
Perhaps most intriguingly, identifying the developmental functions for prion
protein opens new avenues to understand the evolution of prion protein: what
history led to a CNS protein that is conserved and abundant paradoxically being
both dispensable for life and the template for devastating disease?
PMID- 29803647
TI - Coronary pressure (sometimes) lies....
PMID- 29803645
TI - Convergence on reduced stress behavior in the Mexican blind cavefish.
AB - Responding appropriately to stress is essential for survival, yet in pathological
states, these responses can develop into debilitating conditions such as post
traumatic stress disorder and generalized anxiety. While genetic models have
provided insight into the neurochemical and neuroanatomical pathways that
underlie stress, little is known about how evolutionary processes and naturally
occurring variation contribute to the diverse responses to stressful stimuli
observed in the animal kingdom. The Mexican cavefish is a powerful system to
address how altered genetic and neuronal systems can give rise to altered
behaviors. When introduced into a novel tank, surface fish and cavefish display a
stereotypic stress response, characterized by reduced exploratory behavior and
increased immobility, akin to "freezing". The stress response in cave and surface
forms is reduced by pharmacological treatment with the anxiolytic drug,
buspirone, fortifying the notion that behavior in the assay represents a
conserved stress state. We find that cave populations display reduced behavioral
measures of stress compared to surface conspecifics, including increased time in
the top half of the tank and fewer periods of immobility. Further, reduced stress
responses are observed in multiple independently derived cavefish populations,
suggesting convergence on loss of behavioral stress responses in the novel tank
assay. These findings provide evidence of a naturally occurring species with two
drastically different forms in which a shift in predator-rich ecology to one with
few predators corresponds to a reduction in stress behavior.
PMID- 29803648
TI - From the boundaries of normality to the acknowledgement of a new nosological
entity.
PMID- 29803649
TI - Concomitant pulmonary embolism and aortic dissection: approach to
anticoagulation.
AB - The authors describe a case of a patient admitted with a pre-syncopal episode and
precordial discomfort, and whose cardiac ultrasound performed in the Emergency
Room was suggestive of Pulmonary Embolism. The patient was submitted to
fibrinolytic therapy after cardiac arrest. The computerized tomography done after
this episode not only confirmed the presence of pulmonary embolism but had also
shown a Stanford Type B Aortic Dissection. The option was to maintain the
therapeutic anticoagulation, having the patient evolved favourably.
PMID- 29803650
TI - Acute coronary syndrome in the oncology patient: An avoidable event?
AB - 5-Fluorouracil is a first-line agent in several cancer-therapy regimens.
Cardiotoxicity is common, with coronary artery disease being an important risk
factor. We report the case of an acute coronary syndrome presumably induced by 5
FU, in a patient with previously unknown and asymptomatic coronary artery
disease, with an estimated intermediate risk for cardiovascular events. Pre
chemotherapy risk evaluation and optimal patient care are still not standardized
in this clinical scenario.
PMID- 29803651
TI - Comparative analysis of fractional flow reserve and instantaneous wave-free
ratio: Results of a five-year registry.
AB - INTRODUCTION AND OBJECTIVE: Assessment of coronary lesions by the instantaneous
wave free ratio (iFR) has generated significant debate. We aimed to assess the
diagnostic performance of iFR and its impact on the decision to use fractional
flow reserve (FFR) and on procedural characteristics. METHODS: In this single
center registry of patients undergoing functional assessment of coronary lesions,
FFR was used as a reference for assessing the diagnostic performance of iFR. An
iFR value <0.86 was considered positive and a value >0.93 was considered
negative. RESULTS: Functional testing was undertaken of 402 lesions, of which 154
were assessed with both techniques, 222 with FFR only, and 26 with iFR only.
Using a cut-off of <=0.80 for iFR, the area under the curve was 0.73 (95% CI 0.65
0.81), with an optimal value of <=0.91. FFR was undertaken in 93 out of 94
lesions with an inconclusive iFR and was performed in 69.1% of the remaining iFR
tested lesions. Concordance between iFR and FFR was 87% (chi-square=22.43;
p<0.001). Notwithstanding, there were four out of 13 cases (30.7%) of positive
iFR with negative FFR and three out of 42 (7.1%) cases of negative iFR and
positive FFR. This difference was significant (p=0.026). iFR had no impact on
procedure time, fluoroscopy time or radiation dose. CONCLUSION: iFR had a
reasonable diagnostic performance. Operators often chose to perform FFR despite
conclusive iFR results. iFR and FFR were highly concordant, but a non-negligible
proportion of lesions classified as ischemic by iFR were classified as non
ischemic by FFR. iFR had no impact on procedural characteristics.
PMID- 29803653
TI - No effect of sex and estrous cycle on the fear potentiated startle response in
rats.
AB - The prevalence of anxiety disorders is higher in women than in men. Yet
preclinical studies on anxiety are mostly performed in male subjects. This may
have limited our understanding of mechanisms contributing to anxiety disorders.
Since fear conditioning is considered an important factor in the etiology of
anxiety disorders, the present study aimed to investigate the effect of sex and
estrous cycle on conditioned fear and the anxiolytic effect of benzodiazepines in
rats. We measured the fear-potentiated startle response in male and female rats
during different estrous cycle stages and performed a replication study in a
separate cohort. In addition, we assessed the response to diazepam (0-3.0 mg/kg
IP) and chlordiazepoxide (0-10 mg/kg IP) in male and female rats in
proestrous/estrous and diestrous stage. Our results showed that there were no sex
differences in the expression of fear-potentiated startle. The estrous cycle also
did not affect the fear-potentiated startle response. In addition, male and
female rats did not differ in their fear-potentiated startle response following
treatment with either diazepam or chlordiazepoxide. In conclusion, the current
study shows that male and female rats do not differ in their conditioned fear
response and the responsiveness to benzodiazepines. The results further indicate
that conditioned fear-related processes are not affected by gonadal hormone
fluctuations in this paradigm. These findings may suggest that the higher
prevalence of anxiety disorders in women more likely results from differences in
responding to previous experiences or differences in other predisposing factors,
rather than differences in conditioned fear per se.
PMID- 29803652
TI - Right ventricle enlargement: A diagnosis beyond the heart?
PMID- 29803654
TI - Chronic unpredictable mild stress-induced depressive-like behavior and
dysregulation of brain levels of biogenic amines in Drosophila melanogaster.
AB - The etiopathogenesis of depression may involve repeated exposure to several
unpredictable stressors. This study was conducted to investigate changes induced
by chronic unpredictable mild stress (CUMS) and to assess behavioral and
neurochemical changes that predict depressive-like behavior in Drosophila
melanogaster. Male Drosophila melanogaster flies were exposed to CUMS with
several stressors (cold, heat, starvation, and sleep deprivation) in an
unpredictable and chronic manner for ten days. At the end of treatment, in vivo
behavioral tests (open field, aggression, forced swimming, mating, light/dark
box, male fertility evaluation, sucrose preference, weight evaluation) and ex
vivo analyses (dopamine and serotonin levels) were performed. Using this CUMS
model, we obtained results that contribute to the construction of a depressive
model in Drosophila, where we reproduce some behavioral phenotypes corresponding
to depressive symptoms, such as immobility in the forced swimming test, less
exploration in the light/dark test, changes in mating behavior, changes in the
aggressiveness test, reduced sucrose preference, and weight-loss, in addition to
a significant reduction in the levels of serotonin and dopamine when compared to
the control group. Fluoxetine was used in our study as a positive control to
demonstrate that CUMS-induced depressive-like behaviors in flies can be reversed
by antidepressants. In conclusion, male Drosophila melanogaster exposed to CUMS
display a depressive-like phenotype, and, while this poses some limitations as an
animal model for depression, it meets some of the criteria required to be a valid
model, such as good face and construct validity.
PMID- 29803656
TI - IL-1beta/IL-6 network in the tumor microenvironment of human colorectal cancer.
AB - OBJECTIVES: Recent studies suggest that the interaction between interleukin (IL)
1beta and IL-6 in the microenvironment might be involved in the development and
progression of human colorectal cancer (CRC). However, the expression of IL
1beta/IL-6 network within the CRC microenvironment is not fully understood.
MATERIALS AND METHODS: The level of IL-1beta/IL-6 network expression in 40
biopsies of sporadic CRC and 15 biopsies of controls was assessed using
quantitative real-time polymerase chain reaction (PCR) assay,
immunohistochemistry (IHC) and double immunofluorescence staining. RESULTS:
Quantitative results obtained by real-time PCR revealed that both IL-1beta and IL
6 mRNA expressions were increased in CRC tissues compared with expressions in
controls. In which, IL-6 mRNA expression in primary CRC tissues showed a
statistically significant relationship with tumor invasion depth. IHC
observations confirmed that increased expression of IL-1beta and IL-6
immunoreactivities was located in both the CRC epithelium and stroma.
Furthermore, IHC results also revealed that increased expression of IL-1beta
receptor type 1 (IL-1R1) and IL-6 receptor (IL-6R) were observed in both CRC
epithelial and stromal cells. IHCs in serial CRC sections and double
immunofluorescence staining revealed a highly co-expression of IL-1R1
immunoreactivity with IL-6 immunoreactivity in the same cells, which confirmed a
histological fundament of IL-1beta/IL-6 network. CONCLUSION: The IL-1beta/IL-6
network is highly expressed in the CRC microenvironment, indicating that this
network is important in the progression of CRC.
PMID- 29803655
TI - Insulin dependent and independent normalization of blood glucose levels reduces
the enhanced rewarding effects of nicotine in a rodent model of diabetes.
AB - The rewarding effects of nicotine have been previously shown to be enhanced in
rodent models of diabetes. It is presently unclear whether the enhanced nicotine
reward observed in the diabetes models are mediated via an insulin or glucose
mechanism. This study examined whether the enhanced rewarding effects of nicotine
observed in streptozotocin (STZ)-treated rats are insulin-mediated. Male and
female rats were treated with STZ and the rewarding effects of nicotine (0.2
mg/kg) were measured using the conditioned place preference (CPP) procedure. Some
STZ-treated animals received insulin supplementation via subcutaneous pellets
immediately after STZ administration, while other rats received daily injections
of dapagliflozin (10 mg/kg), a sodium-glucose cotransporter-2 inhibitor. Both
male and female STZ-treated rats displayed hyperglycemia, and their blood glucose
levels (BGLs) were normalized to control levels following insulin supplementation
or dapagliflozin administration. STZ-treated male rats displayed higher nicotine
CPP relative to vehicle-treated controls. This effect was abolished in rats that
received insulin supplementation or dapagliflozin administration. STZ-treated
female rats displayed reduced levels of nicotine CPP as compared to male rats,
regardless of treatment condition. These results suggest that glucose plays a
major role in modulating the rewarding effects of nicotine in male rats treated
with STZ.
PMID- 29803657
TI - The prognostic values of tumor-infiltrating neutrophils, lymphocytes and
neutrophil/lymphocyte rates in bladder urothelial cancer.
AB - Tumor-infiltrating neutrophils (TINs) and lymphocytes (TILs) are found to play
essential roles in many tumors and associate with the prognosis of patients. But,
the prognostic values of TINs, TILs and NLR (neutrophils-lymphocytes ratio) in
bladder cancer (BC) are still undefined. The object of our study was to
systematically interrogate the associations of these immune cells with clinical
outcomes of BC patients. In our study, a total of 102 patients pathologically
diagnosed with BC were included. CD66b+ and CD8+ antibodies were used to mark
neutrophils and CD8+ lymphocytes by immunohistochemistry. The results found that
TINs and NLR were significantly associated with pathological T-stages of tumors
(P < 0.01), but TILs were not. And TINs were also related to pathological tumor
grades (P = 0.012). Regarding the prognostic values, TINs was related to the high
risk of recurrence in non-muscle invasive BC (NMIBC) patients. Elevated TINs and
NLR were associated with poor overall survivals of BC patients, whereas higher
TILs were related to longer survivals (P < 0.01). Multivariate analysis showed
that both of TINs (HR 2.427, 1.024-5.752, P = 0.044) and NLR (HR 3.529, 1.147
10.864, P = 0.028) were independent unfavorable prognosis markers. In conclusion,
Tumor infiltrating immune cells, including TINs, TILs and NLR were important
markers in predicting the prognosis of bladder cancer patients. TINs and NLR were
more likely to be negative predictors, but TILs were favorable in patients with
BC.
PMID- 29803658
TI - H3K27me3 and VEGF is associated with poor prognosis in patients with synovial
sarcoma.
AB - PURPOSE: Previous studies have shown a correlation between the expression of
H3K27me3 and pathological characteristics of malignant tumors. This study aimed
to investigate the association of H3K27me3 and VEGF expression with clinical
outcomes of synovial sarcoma patients. METHODS: This study included 48 patients
with synovial sarcoma. H3K27me3 and VEGF levels were evaluated by
immunohistochemical staining, and their correlation with clinical parameters was
analyzed by Spearman's and Pearson's test. Univariate and multivariate Cox
regression analyses were used to identify potential prognostic factors. Kaplan
Meier method was used to analyze overall survival. RESULTS: Protein levels of
both H3K27me3 and VEGF were significantly associated with histologic grade (P =
0.004, P = 0.042, respectively), metastasis (P = 0.009, P = 0.028, respectively),
and AJCC staging (P < 0.001, P = 0.003, respectively). H3K27me3 and VEGF
expression showed positive correlation (P < 0.001, R = 0.618). Both H3K27me3 and
VEGF expression were significantly associated with shorter overall survival by
univariate analysis, but the association was significant for H3K27me3 [P = 0.26,
HR = 2.640 (1.124-6.200)] only by multivariate analysis. CONCLUSIONS: H3K27me3
and VEGF expression are both significantly associated with overall survival of
synovial sarcoma, and H3K27me3 is a significant independent prognostic indicator
in patients with synovial sarcoma.
PMID- 29803659
TI - Topotecan alleviates ventilator-induced lung injury via NF-kappaB pathway
inhibition.
AB - OBJECTIVE: We investigated the effect of topotecan on injury and inflammation in
a model of ventilator-inducedlunginjury (VILI). METHODS: Acute lung injury (ALI)
was induced in mice by high-tidal volume ventilation, and the mice were then
treated with topotecan or PBS. Lung tissue and bronchoalveolar lavage fluid were
collected to assess pulmonary vascular leaks, inflammation, and cell apoptosis.
RESULTS: Compared to PBS treatment, topotecan significantly decreased the ALI
score, myeloperoxidase (MPO) content, total protein concentration, and presence
of inflammatory cells and inflammatory cytokines in bronchoalveolar lavage fluid.
Topotecan also reduced caspase-3 activation and type II alveolar epithelial cell
apoptosis. Moreover, topotecan inhibited NF-kappaB expression and activation in
the VILI model. CONCLUSION: Topotecan alleviates acute lung injury in the model
of VILI through the inhibition of the NF-kappaB pathway.
PMID- 29803660
TI - Level of tear cytokines in population-level participants and correlation with
clinical features.
AB - AIMS: Tear cytokine levels indicate severity of ocular surface inflammation.
Previous reports of cytokine concentrations were based on hospital-based studies
or non-Chinese populations. We determine the range of tear concentration of
cytokines in a representative adult Chinese population. METHODS: Thirty-nine
participants were recruited from a population-based study of Chinese adults in
Singapore, and standardized clinical ocular surface/eyelid features evaluated.
Tear was extracted from Schirmer strips and analysed using a multiplex bead-based
assay. RESULTS: Tear concentrations of 14 cytokines were investigated and
quantifiable in each participant. Eight cytokines increased with increasing age,
and 4 cytokines (IL-4, IL-12, IL-10 and IFN-gamma) were increased in people with
increased frequency of ocular discomfort. Three cytokines (MCP-1, IP-10 and IL
13) had increased levels in people with lower Schirmer tests, while 9 other
cytokines were increased in patients with eyelid crusting (TNF-alpha, IL-1beta,
IL-17alpha, IL-2, IL-4, IL-6, IL-12, IL-10 and IFN-gamma). Twelve percent of
participants had eyelid crusting. CONCLUSION: Using a convenient collection
technique that is a routine clinical test, 14 tear cytokines could be
quantifiable even in Singapore Chinese adults without a dry eye diagnosis.
Elevation of different tear cytokines may be linked to subclinical aqueous tear
deficiency or eyelid inflammation even in asymptomatic people.
PMID- 29803661
TI - The role of the NLRP3 inflammasome and the activation of IL-1beta in the
pathogenesis of chronic viral hepatic inflammation.
AB - BACKGROUND AND AIMS: Chronic viral hepatitis is a prevalent disease with major
health implications. Its underlying pathophysiological mechanisms are not fully
understood. IL-1beta and the NLRP3 inflammasome involvement has been suggested in
recent years, from in vitro data and data from peripheral blood samples.
Therefore, we investigated IL-1beta and the NLRP3 inflammasome in liver tissues
in an effort to clarify their role in the pathophysiology of chronic viral
hepatitis. METHODS: We studied liver biopsies from patients with a new diagnosis
of either chronic hepatitis B (CHB) and chronic hepatitis C (CHC) or patients
with chronic hepatitis B in remission (CHB-rem). The biopsies were separated in
two parts. The first part was sent to histology to determine the grade of
inflammation and fibrosis. From the second part, RNA was extracted and converted
to cDNA used in semi-quantitative Real-Time PCR to measure the levels of IL1B,
CASP1, NLRP3, ASC and IL1RA. The cell lines used in the in vitro experiments were
Huh7.5, LX2 and THP-1 in variety of combinations of monocultures, co-cultures and
triple cultures with one of the cell lines infected with the JFH-1 HCV clone.
From the cell cultures RNA was extracted and converted to cDNA. For cell lines,
we focused in the expression of IL1B and NLRP3. RESULTS: The expression of IL1B,
CASP1 and NLRP3 were found significantly different between our groups (p = 0.001,
p = 0.001 and p = 0.038, respectively). CHB patients displayed significantly
higher IL1B and CASP1 mRNA levels compared to both CHB-rem and CHC patients. IL1B
expression significantly correlates with liver biochemical data in CHB patients
(AST: p = 0.006, r = 0.457; ALT p = 0.002, r = 0.497). Finally, mRNA levels of
IL1B in CHB patients significantly correlate with the degree of inflammation (p =
0.016) but not the stage of fibrosis (p = 0.362). Interestingly, the relative
expression of IL1B in triple culture experiments in vitro was below of 1.5-fold,
suggesting no activation of IL1B. Moreover, no activation of NLRP3 was
demonstrated in all investigated in vitro conditions. CONCLUSION: IL-1beta might
play an important role in the pathogenesis of chronic hepatic inflammation from
HBV, but not from HCV.
PMID- 29803662
TI - Emodin as a selective proliferative inhibitor of vascular smooth muscle cells
versus endothelial cells suppress arterial intima formation.
AB - A well-known natural anthraquinone "Emodin", has been proven to inhibit the
proliferation of vascular smooth muscle cells (VSMCs). But the anti-proliferative
effects of emodin on both VSMCs versus vascular endothelial cells (VECs) are
still largely unknown. Herein, a comparative study for the evaluation of anti
proliferation effects of emodin on human VSMCs and VECs was designed. Various
methodologies including MTS, EdU assay, FACS analysis, qRT-PCR and mitochondrial
fluorescent probes were used for detecting cell viabilities, DNA synthesis rate,
cell cycle, proliferation genes expression levels and mitochondrial activities,
respectively. In addition, carotid arteries balloon injury was performed to
evaluate the effects of emodin on intima hyperplasia (IH) and re
endothelialization. The emodin showed a dose-dependent (0.05 to 5 MUM) inhibition
of hVSMCs proliferation was quiet higher than hVECs in vitro. Conditioned culture
media with a range of emodin concentrations (2.5, and 5 MUM) reduced CDK1, Ki67,
and E2F-1 gene expression, along with inhibition of mitochondrial activities in
both hVSMCs and hVECs cells, while former remained highly sensitive. Emodin (10
mg/kg) was injected intraperitoneally for 2 weeks, and had obvious alleviation in
an endothelial denudation induced-IH formation and limited interfere
endothelialization in injured arteries in vivo. Emodin preferentially inhibited
hVSMCs proliferation but not the hVECs in vitro and had limited influence on the
re-endothelialization of later in a rat artery endothelial denudation model. It
is concluded that emodin will provide a promising approach for efficient
prevention of blood vessel restenosis.
PMID- 29803663
TI - Molecular cloning and expression analysis of a prawn (Macrobrachium rosenbergii)
juvenile hormone esterase-like carboxylesterase following immune challenge.
AB - Methyl farnesoate (MF), the crustacean juvenile hormone (JH), plays critical
roles in various physiological processes in crustaceans. The titer of MF is
precisely regulated by specific carboxylesterase. Here, we report for the first
time that the cloning and expression analysis of a JH esterase-like
carboxylesterase from the prawn Macrobrachium rosenbergii (named as MrCXE). MrCXE
contained a 1935-bp open reading frame (ORF) conceptually translated into a 644
amino acids protein. MrCXE protein shared the highest identity (36%) with JH
esterase-like carboxylesterase from the swimming crab, Portunus trituberculatus
and exhibited the typical motifs of JH esterase-like carboxylesterases. MrCXE was
most abundantly expressed in hepatopancreas, the major tissue for MF metabolism.
MrCXE was expressed at a low level in gut and was not detected in other tissues.
Additionally, MrCXE expression was upregulated in hepatopancreas by eyestalk
ablation to increase MF level. Furthermore, the mRNA level of MrCXE was
significantly increased in the hepatopancreas when challenged by the bacterial
pathogens Aeromonas hydrophila and Vibrio parahaemolyticus. To our knowledge,
this is the first report that the JH esterase-like carboxylesterase is involved
in the innate immune response of the crustaceans.
PMID- 29803664
TI - Identification and characterization of TEP family genes in Yesso scallop
(Patinopecten yessoensis) and their diverse expression patterns in response to
bacterial infection.
AB - Thioester-containing protein (TEP) family members are characterized by their
unique intrachain beta-cysteinyl-gamma-glutamyl thioesters, and they play
important roles in innate immune responses. Although significant effects of TEP
members on immunity have been reported in most vertebrates, as well as certain
invertebrates, the complete TEP family has not been systematically characterized
in scallops. In this study, five TEP family genes (PyC3, PyA2M, PyTEP1, PyTEP2
and PyCD109) were identified from Yesso scallop (Patinopecten yessoensis) through
whole-genome scanning, including one pair of tandem duplications located on the
same scaffold. Phylogenetic and protein structural analyses were performed to
determine the identities and evolutionary relationships of the five genes
(PyTEPs). The vast distribution of PyTEPs in TEP subfamilies confirmed that the
Yesso scallop contains relatively comprehensive types of TEP members in
evolution. The expression profiles of PyTEPs were determined in hemocytes after
bacterial infection with gram-positive (Micrococcus luteus) and gram-negative
(Vibrio anguillarum) using quantitative real-time PCR (qRT-PCR). Expression
analysis revealed that the PyTEP genes exhibited disparate expression patterns in
response to the infection by gram bacteria. A majority of PyTEP genes were
overexpressed after bacterial stimulation at most time points, especially the
notable elevation displayed by duplicated genes after V. anguillarum challenge.
Interestingly, at different infection times, PyTEP1 and PyTEP2 shared analogous
expression patterns, as did PyC3 and PyCD109. Taken together, these results help
to characterize gene duplication and the evolutionary origin of PyTEPs and
supplied valuable resources for elucidating their versatile roles in bivalve
innate immune responses to bacterial pathogen challenges.
PMID- 29803666
TI - Black rockfish C-type lectin, SsCTL4: A pattern recognition receptor that
promotes bactericidal activity and virus escape from host immune defense.
AB - C-type lectin (CTL) is an immune receptor and is received extensive attention of
its important roles in immune response and immune escape. Some CTL, such as CTL4,
has been well characterized in human and several other mammals, but much less
documentation exists about the immunological function of CTL4 in lower
vertebrates. In the present study, a C-type lectin domain family 4 member,
SsCTL4, which is also high homology with CD209 antigen-like protein, from the
teleost fish black rockfish (Sebastes schlegelii) was identified and examined at
expression and functional levels. The open reading frame of SsCTL4 is 765 bp, and
the deduced amino acid sequence of SsCTL4 shares 78%-84% overall identities with
the C-type lectin of several fish species. In silico analysis identified several
conserved C-type lectin features, including a carbohydrate-recognition domain and
four disulfide bond-forming cysteine residues. Expression of SsCTL4 occurred in
multiple tissues and was upregulated during bacterial and viral infection.
Recombinant SsCTL4 (rSsCTL4) exhibited apparent binding activities against
bacteria (Edwardsiella tarda and Vibrio anguillarum) and virus (infectious spleen
and kidney necrosis virus, ISKNV). rSsCTL4 was able to agglutinate the Gram
negative and Gram-positive bacteria in a Ca2+-dependent manner. The agglutinating
ability of rSsCTL4 was abolished in the absence of calcium or presence of
mannose. rSsCTL4 also increased macrophage bactericidal activity. In the presence
of rSsCTL4, fish exhibited enhanced resistance against bacterial infection but
increased susceptibility to viral infections. Collectively, these results
indicate that SsCTL4 serves as a pattern recognition receptor that not only
promotes bactericidal activity, but may also serve as targets for virus
manipulation of host defense system.
PMID- 29803667
TI - Relationships between enteral nutrition facts and urinary stones in a cohort of
pediatric patients in rehabilitation from severe acquired brain injury.
AB - BACKGROUND & AIMS: Urolithiasis affects pediatric patients with severe acquired
brain injury, in whom the role of several clinical variables and of the presence
and composition of enteral nutrition has not been investigated. METHODS:
Retrospective chart review on 371 pediatric patients with severe acquired brain
injury. We used an essential electronic database to check the association between
stones and enteral feeding. We then picked at random paper clinical records until
we collected 20 and 20 complete records for patients with/without stones, not
matched. With that information, we tested the association of stones with:
nutrition facts of enteral formulae (sodium, potassium, calcium, magnesium,
phosphorus, proteins, vitamin C); bladder dysfunction, urinary infections,
catheterisms, tracheostomy, gallstones, way of feeding; blood and urine exams
before stones diagnosis; age, type and severity of injury; prior physical
activity, relevant drugs. RESULTS: All patients with stones were fed enterally.
At univariate statistics they were older, weighed more, received bigger volumes
of hydration and nutrition; they had worse GCS, more UTIs and they alone received
catheterisms; their nutrition mixes were richer in sodium. In multivariate
logistic regression for stone development, UTIs (OR 11.4, 95% C.I. 1.6-83.4) and
higher sodium nutrition content (OR 7.5, 95% C.I. 1.6-34.3) were risk factors;
higher GCS (OR 0.66, 95% C.I. 0.43-0.99) and higher calcium nutrition content (OR
0.14, 95% C.I. 0.03-0.73) were protective factors. CONCLUSIONS: Besides known
risk factors for urolithiasis, including UTIs, catheterisms, worse neurological
states, also enteral nutrition was a risk factor, particularly with higher sodium
and lower calcium contents. Future studies should test the effect of different
sodium/calcium nutrition contents on lithogenesis.
PMID- 29803665
TI - Starvation stress affects the interplay among shrimp gut microbiota, digestion
and immune activities.
AB - Aquatic animals are frequently suffered from starvation due to restricted food
availability or deprivation. It is currently known that gut microbiota assists
host in nutrient acquisition. Thus, exploring the gut microbiota responses would
improve our understanding on physiological adaptation to starvation. To achieve
this, we investigated how the gut microbiota and shrimp digestion and immune
activities were affected under starvation stress. The results showed that the
measured digestion activities in starved shrimp were significantly lower than in
normal cohorts; while the measured immune activities exhibited an opposite trend.
A structural equation modeling (SEM) revealed that changes in the gut bacterial
community were directly related to digestive and immune enzyme activities, which
in turn markedly affected shrimp growth traits. Notably, several gut bacterial
indicators that characterized the shrimp nutrient status were identified, with
more abundant opportunistic pathogens in starved shrimp, although there were no
statistical differences in the overall diversity and the structures of gut
bacterial communities between starved and normal shrimp. Starved shrimp exhibited
less connected and cooperative interspecies interaction as compared with normal
cohorts. Additionally, the functional pathways involved in carbohydrate and
protein digestion, glycan biosynthesis, lipid and enzyme metabolism remarkably
decreased in starved shrimp. These attenuations could increase the susceptibility
of starved shrimp to pathogens infection. In summary, this study provides novel
insights into the interplay among shrimp digestion, immune activities and gut
microbiota in response to starvation stress.
PMID- 29803668
TI - Iron loading, alcohol and mortality: A prospective study.
AB - BACKGROUND & AIMS: The relationship between total body iron and cardiovascular
disease remains controversial and information absent in black sub-Saharan
Africans in whom alcohol consumption tends to be high. The level of total body
iron is tightly regulated, however this regulation is compromised by high alcohol
intake causing iron loading. The aim of this study is to investigate total body
iron, as represented by serum ferritin, and its interaction with measures of
alcohol intake in predicting all-cause and cardiovascular mortality. METHODS: We
followed health outcomes for a median of 9.22 years in 877 randomly selected HIV
negative African women (mean age: 50.4 years). RESULTS: One hundred and five
deaths occurred of which 40 were cardiovascular related. Ferritin averaged 84.0
(5th to 95th percentile interval, 7.5-533.3) ng/ml and due to the augmenting
effect of inflammation, lowered to 75.3 (6.9-523.2) ng/ml after excluding 271
participants with high-sensitivity C-reactive protein (CRP) levels (above 8
mg/l). CRP increased by quartiles of ferritin in the total group (P trend =
0.002), but this relationship was absent after excluding the 271 participants
with high CRP values (P trend = 0.10). Ferritin, gamma-glutamyl transferase and
carbohydrate deficient transferrin (all P < 0.0001) were higher in drinkers
compared to non-drinkers, but CRP was similar (P = 0.77). In multivariable
adjusted analyses, ferritin predicted both all-cause (hazard ratio, 2.08; 95%
confidence interval, 1.62-2.68; P < 0.0001) and cardiovascular (1.94; 1.29-2.92;
P = 0.002) mortality. In participants with CRP levels below or equal to 8 mg/l,
the significant relationship remained between ferritin and all-cause (2.51; 1.81
3.49; P < 0.0001) and cardiovascular mortality (2.34; 1.45-3.76; P = 0.0005). In
fully adjusted models, interactions existed between ferritin and gamma-glutamyl
transferase, self-reported alcohol use and carbohydrate deficient transferrin in
predicting all-cause (P <= 0.012) and cardiovascular mortality (P <= 0.003).
CONCLUSIONS: Iron loading in African women predicted all-cause and cardiovascular
mortality and the intake of alcohol seems mechanistically implicated.
PMID- 29803669
TI - The Use of Adjunct Psychosocial Interventions Can Decrease Postoperative Pain and
Improve the Quality of Clinical Care in Orthopedic Surgery: A Systematic Review
and Meta-Analysis of Randomized Controlled Trials.
AB - This study aims to assess the effectiveness of psychosocial techniques to
decrease postoperative pain and improve perioperative clinical care in orthopedic
surgery. A systematic review and meta-analysis was performed to evaluate the
effects of psychosocial methods among adults undergoing orthopedic surgeries. The
systematic review included both randomized and nonrandomized trials, but only
randomized controlled clinical trials (RCTs) were included in the meta-analysis.
Key outcomes were postoperative pain, analgesic requirement, perioperative
anxiety, quality of life, and recovery. After searching the databases from
January 1980 to September 2016, a total of 62 RCTs were included with a pooled
sample size of 4,908. Psychosocial interventions significantly reduced
postoperative pain (Hedges' g = 0.31 [95% confidence interval = 0.14, 0.48]), and
preoperative and postoperative anxiety (g = 0.26 [0.11, 0.42] and g = 0.4 [0.21,
0.59], respectively). Furthermore, psychosocial interventions improved recovery
(g = 0.38 [0.22, 0.54]). However, no significant effects were found for
postoperative analgesic use (g = 0.16 [-0.01, 0.32]) and quality of life (g =
0.14 [-0.05, 0.33]). Patient education and relaxation techniques produced the
most consistent positive effects, showing benefits on pain, anxiety, and
recovery. Cognitive or behavioral techniques improved recovery. Furthermore,
larger effects were found for studies that included acute surgeries compared to
elective surgeries. The results indicate that psychosocial interventions,
especially patient education and relaxation training, may reduce perioperative
side effects and improve recovery in patients undergoing orthopedic procedures,
but the quality of evidence is generally low. More well-powered, high-quality
studies are needed to increase confidence. PERSPECTIVE: In this meta-analysis of
RCTs in orthopedic surgeries, significant benefits in postoperative pain,
perioperative anxiety, and recovery were found, suggesting that psychosocial
interventions, especially patient education and relaxation techniques, are useful
in improving clinical care.
PMID- 29803671
TI - Cortical Somatosensory Excitability Is Modulated in Response to Several Days of
Muscle Soreness.
AB - Changes in excitability of the sensorimotor cortex have been demonstrated in
clinical musculoskeletal pain, although the timing is unknown. Eccentric exercise
provokes delayed-onset muscle soreness providing a model to study the temporal
profile of sensorimotor cortical plasticity during progressively developing
muscle soreness. Twelve healthy participants performed eccentric exercise of the
wrist extensors. Likert pain scores, pressure pain thresholds at the extensor
carpi radialis (ECR) muscle, somatosensory evoked potentials from electrical
stimulation of the radial nerve, maximal wrist extension force, and ECR motor
evoked potentials to transcranial magnetic stimulation were recorded before
(baseline) and at 2 hours (2-h post), 2 days (day 2), and 6 days (day 6) after
exercise. Compared with baseline, 1) the Likert pain score was increased at 2-h
post and increased further at day 2 (P < .01); 2) the ECR pressure pain
thresholds were decreased at day 2 (P < .001); 3) the P45 amplitude of the
somatosensory evoked potential from central-parietal recording sites was
increased at day 2 (P < .001); 4) maximal wrist extension force was reduced 2-h
post and at day 2 (P < .002); and 5) the cortical area from which ECR motor
evoked potentials could be elicited was reduced at 2-h post and at day 2 (P <
.03). A decrease in the ECR pressure pain thresholds was correlated (P < .027)
with an increase in the P45 amplitude at a centroparietal recording site.
PERSPECTIVE: These novel data demonstrate that the somatosensory cortical
excitability may be affected by muscle soreness developing over days in parallel
with a deficit in the motor system. Cortical neuroplasticity may thus develop in
the subacute phase and be relevant for understanding neural adaptation in the
transition from acute to persistent pain.
PMID- 29803670
TI - Intrinsic Activity of C57BL/6 Substrains Associates with High-Fat Diet-Induced
Mechanical Sensitivity in Mice.
AB - Pain is significantly impacted by the increasing epidemic of obesity and the
metabolic syndrome. Our understanding of how these features impact pain is only
beginning to be developed. Herein, we have investigated how small genetic
differences among C57BL/6 mice from 2 different commercial vendors lead to
important differences in the development of high-fat diet-induced mechanical
sensitivity. Two substrains of C57BL/6 mice from Jackson Laboratories (Bar
Harbor, ME; C57BL/6J and C57BL/6NIH), as well as C57BL/6 from Charles Rivers
Laboratories (Wilmington, MA; C57BL/6CR) were placed on high-fat diets and
analyzed for changes in metabolic features influenced by high-fat diet and
obesity, as well as measures of pain-related behaviors. All 3 substrains
responded to the high-fat diet; however, C57BL/6CR mice had the highest weights,
fat mass, and impaired glucose tolerance of the 3 substrains. In addition, the
C57BL/6CR mice were the only strain to develop significant mechanical sensitivity
over the course of 8 weeks. Importantly, the C57BL/6J mice were protected from
mechanical sensitivity, which may be based on increased physical activity
compared with the other 2 substrains. These findings suggest that activity may
play a powerful role in protecting metabolic changes associated with a high-fat
diet and that these may also be protective in pain-associated changes as a result
of a high-fat diet. These findings also emphasize the importance of selection and
transparency in choosing C57BL/6 substrains in pain-related research.
PERSPECTIVE: Obesity and the metabolic syndrome play an important role in pain.
This study identifies key differences in the response to a high-fat diet among
substrains of C57BL/6 mice and differences in intrinsic physical activity that
may influence pain sensitivity. The results emphasize physical activity as a
powerful modulator of obesity-related pain sensitivity.
PMID- 29803672
TI - YY1 promotes IL-6 expression in LPS-stimulated BV2 microglial cells by
interacting with p65 to promote transcriptional activation of IL-6.
AB - Neuroinflammation plays a critical role in the process of neurodegenerative
disorders, during which microglia, the principal resident immune cells in the
central nervous system, are activated and produce proinflammatory mediators. Yin
Yang 1 (YY1), a multi-functional transcription factor, is widely expressed in
cells of the immune system and participate in various cellular processes.
However, whether YY1 is involved in the process of neuroinflammation is still
unknown. In the present study, we found that YY1 was progressively up-regulated
in BV2 microglial cells stimulated with lipopolysaccharide (LPS), which was
dependent on the transactivation function of nuclear factor kappa B (NF-kappaB).
Furthermore, YY1 knockdown notably inhibited LPS-induced the activation of NF
kappaB signaling and interleukin-6 (IL-6) expression in BV-2 cells, but not
mitogen-activated protein kinase (MAPK) signaling. Moreover, YY1 strengthened p65
binding to IL-6 promoter by interacting with p65 but decreased H3K27ac
modification on IL-6 promoter, eventually increasing IL-6 transcription. Taken
together, these results for the first time uncover the regulatory mechanism of
YY1 on IL-6 expression during neuroinflammation responses and provide new lights
into neuroinflammation.
PMID- 29803673
TI - The long non-coding RNA PCSEAT exhibits an oncogenic property in prostate cancer
and functions as a competing endogenous RNA that associates with EZH2.
AB - Prostate cancer (PCa) is the most common malignancy and the leading cause of
cancer deaths in males. Recent studies demonstrate that long non-coding RNAs
(lncRNAs) are involved in many aspects of PCa. However, their biological roles in
PCa remain imperfectly understood. Here,wecharacterized anlncRNA, PCaspecific
expression and EZH2-associatedtranscript (PCSEAT, annotated as PRCAT38), which is
specifically overexpressedin PCa. We further demonstrated that knockdown of
PCSEAT results in the reduction of PCa cell growth and motility, and
overexpression of PCSEAT reverses these phenotypes. Furthermore, bioactive PCSEAT
is incorporated into exosomes and transmitted to adjacent cells, thus promoting
cell proliferation and motility. Mechanistically, we found that PCSEAT promotes
cell proliferation, at least in part by affecting miR-143-3p- and miR-24-2-5p
mediated regulation of EZH2, suggesting that PCSEAT and EZH2 competitively
'sponge' miR-143-3p and miR-24-2-5p.Overall, ourresultsrevealthat PCSEAT is
specifically overexpressed in PCa patients and a potential oncogene in PCa cells
via mediating EZH2 activity, indicating that PCSEAT may be a potential
therapeutic target in PCa.
PMID- 29803674
TI - Expression of ZO1, vimentin, pan-cadherin and AGTR1 in tanycyte-like cells of the
sulcus medianus organum.
AB - Tanycytes are a specialized ependymal lining of brain ventricles with exceptional
features of having long basal processes and junctional complexes between cell
bodies. These tanycytes are present at the regions of circumventricular organs
(CVOs) which possess common morphological and functional features enabling them
to be described as the brain windows where the barrier systems have special
properties. Previous studies detailed seven of these CVOs but little information
is available regarding another putative site at the rostral part of the median
sulcus of the 4th ventricle, or the sulcus medianus organum (SMO). Here we
performed a pilot immunohistochemical study to support earlier observations
suggesting the SMO as a novel CVO. We labeled rat brain with ZO1, vimentin, pan
cadherin and angiotensin II type 1 receptors markers which showed a
morphologically distinct population of cells at the region of the SMO similar to
tanycytes present in the median eminence, a known CVO. These cells had basal
processes reaching the deeply seated blood vessels while the caudal part of the
median sulcus did not show similar long cellular extensions. We concluded that
tanycyte-like cells are present in the SMO in a pattern resembling that of other
CVOs where the strategic location of the SMO is probably for signal integration
between brainstem nuclei and the rostrally located neuronal centers.
PMID- 29803676
TI - USP10 regulates the stability of the EMT-transcription factor Slug/SNAI2.
AB - Epithelial-to-mesenchymal transition (EMT) is a fundamental mechanism governing
the switch of cells from an epithelial to a motile mesenchymal-like state. This
transdifferentiation is regulated by key transcription factors, including Slug.
The stability and function of Slug can be regulated by multiple mechanisms,
including ubiquitin-mediated post-translational modifications. Here, by using a
genome wide siRNA screen for human deubiquitinating enzymes (DUBs), we identified
USP10 as a deubiquitinase for Slug in cancer cells. USP10 interacts with Slug and
mediates its degradation by the proteasome. Importantly, USP10 is concomitantly
highly expressed with Slug in cancer biopsies. Genetic knockdown of USP10 leads
to suppressed Slug levels with a decreased expression of the mesenchymal marker
Vimentin. Further, it reduces the migratory capacity of cancer cells. Reversely,
overexpression of USP10 elevates the level of both Slug and Vimentin. Our study
identifies USP10 as a regulator of the EMT-transcription factor Slug and cell
migration.
PMID- 29803675
TI - Crystal structure of the delta-class glutathione transferase in Musca domestica.
AB - Among the various glutathione transferase (GST) isozymes in insects, the delta-
and epsilon-class GSTs fulfill critical functions during the detoxification of
insecticides. We crystalized MdGSTD1, the major delta-class GST isozyme in the
housefly (Musca domestica), in complex with glutathione (GSH) and solved its
structure at a resolution of 1.4 A. The overall folding of MdGSTD1 resembled
other known delta-class GSTs. Its substrate binding pocket was exposed to solvent
and considerably more open than in the epsilon-class GST from M. domestica
(MdGSTE2). However, their C-terminal structures differed the most because of the
different lengths of the C-terminal regions. Although this region does not seem
to directly interact with substrates, its deletion reduced the enzymatic activity
by more than 70%, indicating a function in maintaining the proper conformation of
the binding pocket. Binding of GSH to the GSH-binding region of MdGSTD1 results
in a rigid conformation of this region. Although MdGSTD1 has a higher affinity
for GSH than the epsilon class enzymes, the thiol group of the GSH molecule was
not close enough to serine residue 9 to form a hydrogen-bond with this residue,
which is predicted to act as the catalytic center for thiol group deprotonation
in GSH.
PMID- 29803677
TI - A rapid and sensitive colorimetric assay for the determination of adenosine
kinase activity.
AB - Adenosine kinase (ADK) plays an important role in the growth and development of
organisms. A convenient, quick, reliable, sensitive and low-cost assay for ADK
activity is of great significance. Here, we found the reaction system with
bromothymol blue as the pH indicator had a maximum absorption peak at 614 nm. The
absorbance change in 614 nm was positively correlated with the generated hydrogen
ions in the reaction catalyzed by ADK. Then, we demonstrated this assay was
feasible for ADK activity. Further, we analyzed the effects of buffer,
bromothymol blue concentrations on the sensitivity of the assay, and investigated
the sensitivity of ADK contents and adenosine concentration on the assay.
Finally, we calculated the Km and Vmax of ADK from Bombyx mori with this assay.
Our results suggested this assay was quick, convenient, reliable, sensitive and
economic for the activity of ADK. It is an excellent alternative for the
conventional ADK assays.
PMID- 29803678
TI - Isokinetic peak torque and flexibility changes of the hamstring muscles after
eccentric training: Trained versus untrained subjects.
AB - OBJECTIVE: The aim of this study was to examine the effect of eccentric isotonic
training on hamstring flexibility and eccentric and concentric isokinetic peak
torque in trained and untrained subjects. METHODS: Sixty healthy subjects (mean
age: 21.66 +/- 2.64) were divided into three equal groups, each with 20 voluntary
participants. Two experimental groups (untrained and trained groups) participated
in a hamstring eccentric isotonic strengthening program (five days/week) for a
six-week period and one control group that was not involved in the training
program. The passive knee extension range of motion and hamstring eccentric and
concentric isokinetic peak torque were measured at angular velocities 60 degrees
and 120 degrees /s for all groups before and after the training period. RESULTS:
Two-way analysis of variance showed that there was a significant increase in the
hamstring flexibility of the untrained and trained groups (25.65 +/- 6.32 degrees
, 26.55 +/- 5.99 degrees , respectively), (p < 0.05) without a significant
increase in the control group (31.55 +/- 5.84 degrees ), (p > 0.05). Moreover,
there was a significant increase in eccentric isokinetic peak torque of both the
untrained and trained groups (127.25 +/- 22.60Nm, 139.65 +/- 19.15Nm, 125.40 +/-
21.61Nm, 130.90 +/- 18.71Nm, respectively), (p < 0.05) without a significant
increase in the control group (109.15 +/- 20.89Nm, 105.70 +/- 21.31Nm,
respectively), (p > 0.05) at both angular velocities. On the other hand, there
was no significant increase in the concentric isokinetic peak torque of the three
groups (92.50 +/- 20.50Nm, 79.05 +/- 18.95Nm, 92.20 +/- 21.96Nm, 79.85 +/-
18.97Nm, 100.45 +/- 25.78Nm, 83.40 +/- 23.73Nm, respectively), (p > 0.05) at both
angular velocities. The change scores in the hamstring flexibility (06.25 +/-
1.86 degrees ) and eccentric peak torque of the untrained group (16.60 +/-
4.81Nm, 17.45 +/- 5.40Nm, respectively) were significantly higher (p < 0.05) than
those of the trained group (03.40 +/- 1.14 degrees , 9.90 +/- 5.14Nm, 9.80 +/-
7.57Nm, respectively), and the control group (00.90 +/- 2.10 degrees , 0.60 +/-
2.93Nm, 1.40 +/- 3.53Nm, respectively), at both angular velocities. Meanwhile,
the change scores of the concentric peak torques of the three groups (1.15 +/-
1.50Nm, -0.15 +/- 2.16Nm, 1.35 +/- 1.63Nm, 0.20 +/- 2.95Nm, 0.60 +/- 2.28Nm,
0.30 +/- 2.25Nm) were statistically insignificant (p > 0.05). CONCLUSION: After a
six-week period of eccentric isotonic training, the hamstring eccentric peak
torque and flexibility of trained and untrained groups improved without changes
in the concentric peak torque. Moreover, the improvement of untrained subjects
was higher than trained subjects. These findings may be helpful in designing the
hamstring rehabilitation program.
PMID- 29803680
TI - Natural history of aneurysmal aortic arch branch vessels in a single tertiary
referral center.
AB - OBJECTIVE: Little is known about the natural history and management of aneurysmal
aortic arch branch vessels (AABVs). The objectives of this study were to assess
the natural history of aneurysmal AABVs and to examine the outcomes of operative
intervention. METHODS: A retrospective review of the Yale radiologic database
from 1999 to 2016 was performed. Only those patients with an aneurysmal AABV and
a computed tomography scan were selected for review. Patients' demographics,
aneurysm characteristics, management, and follow-up information were collected.
RESULTS: There were 105 patients with 147 aneurysmal AABVs; 76 were male (72%),
with a mean age of 70 years (range, 17-93 years). We identified 63 innominate, 50
left subclavian, 30 right subclavian, and 4 common carotid artery aneurysms. On
computed tomography, 65 (62%) had aortic aneurysms and six (6%) had suffered an
aortic dissection. Most were asymptomatic (104 [99%]); one had chest pain and an
enlarging swollen mass. Twelve (11%) patients underwent operative repair (OR) for
12 aneurysmal AABVs because of symptoms, growth, or concomitant aortic
operations; 93 (89%) were observed in the no operative repair (NOR) group with
cross-sectional imaging. The overall mean vessel diameter was 2.08 +/- 0.68 cm.
The mean diameters in the OR and NOR groups were 3.32 +/- 1.24 cm and 1.97 +/-
0.46 cm, respectively (P = .002). OR included nine bypasses with resection, two
stent grafts, and one resection without reconstruction. Two patients developed
postoperative hemorrhage requiring re-exploration, one patient developed stent
thrombosis, and one patient required pseudoaneurysm repair 20 years after index
operation. Mean follow-up was 52 +/- 51 months for the NOR group, with no
ruptures or emboli. The growth rate was 0.04 +/- 0.10 cm/y. On multivariable
regression analysis, a descending aortic aneurysm (P = .041) and a left
subclavian artery aneurysm (P = .016) were associated with higher growth rates,
whereas height was associated with a lower growth rate (P = .001). CONCLUSIONS:
Aneurysmal AABVs tend to have a benign natural history with slow growth rates and
low rates of complications, including rupture and embolization. We recommend
expectant observational management for small, incidentally detected aneurysms.
PMID- 29803681
TI - Comparison of patient-specific factors and outcomes for one- and two-stage
basilic vein transposition fistulas.
AB - OBJECTIVE: The decision to perform a one- or two-stage basilic vein transposition
(BVT) arteriovenous fistula often depends on factors such as the vessel's
diameter, the patient's disposition, and the surgeon's preference. This study's
aim was to analyze patency by BVT staging technique and to identify patient
specific characteristics associated with outcomes. METHODS: A retrospective
review of all patients who underwent one- or two-stage BVT at our institution
between 2008 and 2013 was performed. Comparisons of age, sex, race, and
associated comorbidities were made. Clinical course was followed for 2 years
after fistula construction, comparing maturation rate, thrombosis, stenosis,
steal, and catheter infections. Continuous variables were expressed as means or
medians and compared across stage and maturation groups by t-test; differences
between categorical variables were assessed using Fisher exact test. A Kaplan
Meier survival analysis was performed to calculate patency rates and compared by
log-rank test. RESULTS: There were 49 one-stage and 169 two-stage BVTs examined.
The mean age of the patients at time of construction was 58 years and 61 years
for one-stage and two-stage patients, respectively. There was no difference in
mean proximal, mid, or distal basilic vein diameters between the groups. Fistula
maturation was similar between stage groups, with primary failure affecting 26.5%
of one-stage and 24.3% of two-stage BVTs (P = .78). Across one- and two-stage
BVTs, 2-year primary patency rates were 51% and 52%, respectively (P = .68);
primary assisted patency, 66% and 85% (P = .05); and secondary patency, 64% and
78% (P = .26). Multivariate logistic regression showed a trend toward diabetics
at higher risk for primary failure (odds ratio, 1.60; 95% confidence interval,
0.95-2.55; P = .07). For two-stage BVT, the median interstage period between
operations lasted 105.00 (interquartile range, 77.00-174.50) days and was
associated with a large proportion of the overall primary failures (19/41 [46%])
and catheter-related infections (12/20 [60%]). CONCLUSIONS: This study
demonstrates similar maturation, primary patency, primary assisted patency,
secondary patency, and complication rates in a large series of BVTs constructed
using a one- or two-stage transposition technique regardless of vein diameter.
Diabetes was associated with primary failure by either technique. High
proportions of overall primary failures and catheter-related infections observed
in two-stage BVT occurred during the interstage, suggesting that a one-stage
technique should be considered over a two-stage approach to minimize the risk of
catheter infection and to decrease time to maturity.
PMID- 29803679
TI - Anterior reconstruction versus posterior osteotomy in treating Kummell's disease
with neurological deficits: A systematic review.
AB - OBJECTIVE: This study aimed to conduct a systematic review of literature
comparing the clinical effectiveness and safety between anterior reconstruction
(AR) and posterior osteotomy (PO) in the treatment of Kummell's disease with
neurological deficits. METHODS: We systematically reviewed the literature in
PubMed, EMBASE, Cochrane Database of Systematic Reviews, and the Web of Science
for "spin*," "surg*," "Kummell's disease," "Kummell's disease," "Kummell
disease," "vertebral osteonecrosis," "vertebral pseudarthrosis," "intravertebral
vacuum cleft," "delayed vertebral collapse," and "compression fracture nonunion".
Quality was assessed using the Grading of Recommendations, Assessment,
Development, and Evaluation method. RESULTS: A total of 10 publications involving
268 Kummell's disease patients with neurological deficits were included in this
review, with 7 studies of low- or very low-quality. There were 37.7% and 62.3% of
patients receiving AR and PO, respectively. For clinical outcomes, AR group
showed no significant differences in pain, neurological dysfunction, and imaging
outcome improvements compared with patients who underwent PO. However, the
incidence of implant-related complications including loose screw, screw fracture,
screw disconnection, and plate dislodgment, was higher in AR group compared with
PO group (21.6% vs. 14.3%). As another major complication, AR group more often
required a second surgery. CONCLUSION: This systematic review demonstrated that
both AR and PO could improve pain, neurological dysfunction and imaging outcomes.
However, serious comorbidities, multilevel corpectomies and/or severe
osteoporosis highly required PO. Design discrepancies were found in the current
studies, further higher-quality studies are warranted. LEVEL OF EVIDENCE: Level
III, therapeutic study.
PMID- 29803682
TI - Carotid artery plaque echomorphology and its association with histopathologic
characteristics.
AB - OBJECTIVE: The aim of the study was to determine the association of ultrasonic
texture features (severity of stenosis, grey scale median, plaque area,
juxtaluminal black area [JBA], and discrete white areas) previously shown to be
independent predictors for stroke with established histologic features of plaque
instability. METHODS: A cross-sectional study was performed involving 70 patients
scheduled for carotid endarterectomy. Before surgery, carotid plaque texture
features were obtained with ultrasound after normalization using commercially
available software (LifeQ Medical, Nicosia, Cyprus). After carotid
endarterectomy, histologic features (number of macrophages [CD68 staining],
severity of angiogenesis [CD31 staining], smooth muscle cell [SMC] numbers, size
of lipid core, thickness of the fibrous cap, presence of intraplaque hemorrhage,
plaque rupture, and instability) also were studied. RESULTS: Symptomatic (n = 20)
and asymptomatic (n = 50) patients were comparable in terms of internal carotid
stenosis (mean stenosis, 86%; range, 60%-99%) and prevalence of risk factors
except for total cholesterol (which was higher in the symptomatic group; P =
.023). A low grey scale median and the presence of discrete white areas were
associated with an increased number of macrophages (P < .001 and P < .001,
respectively), increased neovascularization (P = .019 and P < .001,
respectively), larger lipid core (P = .001 and P = .025, respectively),
intraplaque hemorrhage presence (P = .001 and P = .001, respectively), plaque
rupture (P = .001 and P = .025, respectively), and a decreased number of SMCs (P
= .003 and P = .003, respectively). The presence of JBA was associated with a
decreased number of SMCs (P = .042), larger lipid core (P = .013), and plaque
rupture (P = .002). The combination of a thin fibrous cap with either a large
lipid core or plaque rupture was associated with the highest (65%) prevalence of
a JBA. Plaque area was not associated with any of the histologic features. After
adjusting statin therapy for symptoms, statins were associated with a decreased
number of macrophages (P = .038), decreased neovascularization (P = .019), and an
increased number of SMCs (P = .023). CONCLUSIONS: A number of ultrasonic texture
features previously shown to be independent predictors of stroke have been found
to have a strong association with established histologic features of plaque
instability. This finding provides insight into the mechanism of ultrasonic
texture features in stroke prediction and validates the use of ultrasound in
stroke risk stratification.
PMID- 29803683
TI - The incidence and effect of noncylindrical neck morphology on outcomes after
endovascular aortic aneurysm repair in the Global Registry for Endovascular
Aortic Treatment.
AB - BACKGROUND: The Gore Global Registry for Endovascular Aortic Treatment (GREAT)
was designed to evaluate real-world outcomes after treatment with Gore aortic
endografts used in a real-world, global setting. We retrospectively analyzed the
GREAT data to evaluate the incidence and effects of noncylindrical neck anatomy
in patients undergoing endovascular aortic aneurysm repair. METHODS: The present
analysis included patients with data in the GREAT who had been treated with the
EXCLUDER endograft from August 2010 to October 2016. A noncylindrical neck was
defined when the proximal aortic landing zone diameter had changed >=2 mm over
the first 15 mm of the proximal landing zone, indicating a tapered, conical, or
hourglass morphology. Cox multivariate regression analyses were performed for any
reintervention (including reinterventions on aortic branch vessels), device
related reinterventions, and reintervention specifically for endoleak.
Independent binary (cylindrical vs noncylindrical necks) and continuous
(percentage of neck diameter change) variables were assessed. The abdominal
aortic aneurysm (AAA) diameter, proximal neck length, maximal infrarenal neck
angle, gender, and use of aortic extender cuffs were also assessed. RESULTS: Of
3077 GREAT patients with available proximal aortic landing zone diameter
measurements available, 1765 were found to have cylindrical necks and 1312 had
noncylindrical necks. The noncylindrical neck cohort had a significantly greater
proportion of women (17.4% vs 12.6%; P < .001) and more severe infrarenal
angulation (33.8 degrees vs 28.4 degrees ; P < .001). A total 14.7% of
noncylindrical neck patients and 11.2% cylindrical neck patients underwent
implantation outside of the EXCLUDER instructions for use regarding the anatomic
inclusion criteria (P = .004). The procedural characteristics were similar
between the two cohorts; however, noncylindrical neck patients required
significantly more aortic extender cuffs (P = .004). The average follow-up was
21.2 +/- 17.5 months and 17.8 +/- 15.8 months for the cylindrical and
noncylindrical cohorts, respectively (P < .001). The Cox multivariate regression
models demonstrated female gender and maximum AAA diameter were significant risk
factors for subsequent reintervention (overall, device-related, and endoleak
specific). Women were 2.2 times as likely to require device-related intervention
during the follow-up period compared with men (P < .001). Neck shape morphology
was not a significant predictor, except for device-related intervention, for
which cylindrical necks (binary definition) resulted in a slightly elevated risk
(1.5 times; P = .03). CONCLUSIONS: Noncylindrical neck morphology was more common
in women and was associated with an increased use of aortic extender cuffs but
did not increase the risk of intervention. Female gender and AAA diameter were
associated with an increased need for reintervention.
PMID- 29803684
TI - The Society for Vascular Surgery Wound, Ischemia, and foot Infection (WIfI)
classification system predicts wound healing better than direct angiosome
perfusion in diabetic foot wounds.
AB - OBJECTIVE: Previous studies show conflicting results in wound healing outcomes
based on angiosome direct perfusion (DP), but few have adjusted for wound
characteristics in their analyses. We have previously shown that the Society for
Vascular Surgery Wound, Ischemia, and foot Infection (WIfI) classification
correlates with wound healing in diabetic foot ulcers (DFUs) treated by a
multidisciplinary team. The aim of this study was to compare WIfI classification
vs DP and pedal arch patency as predictors of wound healing in patients
presenting with DFU and peripheral arterial disease. METHODS: We performed a
retrospective review of a prospectively maintained database of all patients with
peripheral arterial disease presenting to our multidisciplinary DFU clinic who
underwent angiography. An angiosome was considered directly perfused if the
artery feeding the angiosome was revascularized or was completely patent. Wound
healing time at 1 year was compared on the basis of DP vs indirect perfusion,
Rutherford pedal arch grade, and WIfI classification using univariable statistics
and Cox proportional hazards models. RESULTS: Angiography was performed on 225
wounds in 99 patients (mean age, 63.3 +/- 1.2 years; 62.6% male; 53.5% black)
during the entire study period. There were 33 WIfI stage 1, 33 stage 2, 51 stage
3, and 108 stage 4 wounds. DP was achieved in 154 wounds (68.4%) and indirect
perfusion in 71 wounds (31.6%). On univariable analysis, WIfI classification was
significantly associated with improved wound healing (57.2% for WIfI 3/4 vs 77.3%
for WIfI 1/2; P = .02), whereas DP and pedal arch patency were not (both, P >=
.08). After adjusting for baseline patient and wound characteristics, WIfI stage
remained independently predictive of wound healing (WIfI 3/4: hazard ratio [HR],
0.77; 95% confidence interval [CI], 0.67-0.88), whereas DP (HR, 0.82; 95% CI,
0.55-1.21) and pedal arch grade (HR, 0.85; 95% CI, 0.70-1.03) were not.
CONCLUSIONS: In our population of patients treated by a multidisciplinary
diabetic foot service, the Society for Vascular Surgery WIfI classification
system was a stronger predictor of diabetic foot wound healing than DP or pedal
arch patency. Our results suggest that a measure of wound severity should be
included in all future studies assessing wound healing as an outcome, as
differences in patients' wound characteristics may be a strong contributor to the
variation of angiosome-directed perfusion results previously observed.
PMID- 29803685
TI - Novel analytical methods to assess the chemical and physical properties of
liposomes.
AB - Liposomes are used in commercial pharmaceutical formulations (PFs) and dietary
supplements (DSs) as a carrier vehicle to protect the active ingredient from
degradation and to increase the half-life of the injectable. Even as the
commercialization of liposomal products has rapidly increased, characterization
methodologies to evaluate physical and chemical properties of the liposomal
products have not been well-established. Herein we develop rapid methodologies to
evaluate chemical and selected physical properties of liposomal formulations.
Chemical properties of liposomes are determined by their lipid composition. The
lipid composition is evaluated by first screening of the lipids present in the
sample using HPLC-ELSD followed by HPLC-MSMS analysis with high mass accuracy (<5
ppm), fragmentation pattern and lipid structure databases searching. Physical
properties such as particle size and size distribution were investigated using
Tunable Resistive Pulse Sensing (TRPS). The developed methods were used to
analyze commercially available PFs and DSs. As results, PFs contain distinct
number of lipids as indicated by the manufacture, but DSs were more complicated
containing a large number of lipids belonging to different sub-classes.
Commercially available liposomes have particles with wide size distribution based
on size measurements performed by TRPS. The high mass accuracy as well as
identification lipids using multiple fragment ions aided to accurately identify
the lipids and differentiate them from other lipophilic molecules. The developed
analytical methodologies were successfully adapted to measure the physiochemical
properties of commercial liposomes.
PMID- 29803686
TI - Separation and characterization of homopipecolic acid isoflavonoid ester
derivatives isolated from Ononis spinosa L. root.
AB - Spiny restharrow root (Ononis spinosa L.) and its preparations are mainly used
for the treatment of urinary infections or bladder stones in numerous countries.
Spiny restharrow root is rich in isoflavonoids (formononetin, calycosin and
pseudobaptigenin), pterocarpans (medicarpin and maackiain) and
dihydroisoflavonoids (onogenin and sativanone), which metabolites are present as
glucosides, glucoside malonates, glucoside acetates and free aglycones in the
root. The in-depth analysis of tandem mass spectrometric (MS) and high-resolution
MS (HR-MS) data revealed the presence of nitrogen-containing compounds in the
root extracts. An ion-exchange-based purification and a preparative-scale
reversed phase chromatographic isolation procedure was developed for the
characterization of these new natural products. For the unambiguous
identification of the isolated compounds NMR experiments were carried out. The
thorough characterization confirmed the presence of six piperidin-2-yl-acetic
acid (homopipecolic acid) esters of isoflavonoid glucosides. This is the first
report of homopipecolic acid esters isolated from higher plants.
PMID- 29803687
TI - Determination of liraglutide in rat plasma by a selective liquid chromatography
tandem mass spectrometry method: Application to a pharmacokinetics study.
AB - A simple, sensitive and selective LC-MS/MS method was developed for the
quantitative analysis of liraglutide and validated in rat plasma. Human insulin
was used as the internal standard. After a simple protein precipitation step,
liraglutide was chromatographically separated using an InertSustain Bio C18
column with mobile phases comprising acetonitrile with 0.1% formic acid (A) and
water with 0.1% formic acid (B). Detection was achieved using positive ion
electrospray ionization in multiple-reaction monitoring (MRM) mode. Good
linearity was observed in the concentration range 0.5-250 ng/mL (r2 > 0.99). The
intra- and inter-day precision values (expressed as relative standard deviation,
RSD) of liraglutide ranged from 1.97-7.63% and 5.25-11.9, respectively. The
accuracy (expressed as relative error, RE) ranged from -8.79-11.4%. Both the
recovery and matrix effect were within acceptable limits. This method was
successfully applied for the pharmacokinetics study of liraglutide in rats after
subcutaneous administration.
PMID- 29803688
TI - Development of probiotic-loaded microcapsules for local delivery: Physical
properties, cell release and growth.
AB - The delivery of probiotics to different sites of action within the human body
might help to prevent and treat several diseases. Here, we describe a
microcapsule-based system for delivery of probiotic bacteria, as vegetative cells
or spores, which promotes their prolonged survival and efficient revival, and
successful colonisation of the target surface. This system is proposed for local
delivery into periodontal pockets. Encapsulation of the probiotic bacteria was
based on alginate crosslinking with calcium ions. This was performed by prilling
the polymer dispersion supplemented with the probiotic using membrane vibration
technology, followed by chitosan coating by polyelectrolyte complexation. The
microcapsules were 120-150 MUm in diameter, and were dried by lyophilisation. The
chitosan coating increased the specific surface area and improved the bioadhesion
potential, with no negative impact on viability and growth kinetics of the
probiotic bacteria. Chitosan represents a barrier, which promotes sustained
release of the probiotic bacteria. Vegetative bacteria were encapsulated at 2 *
108 CFU/g dry microcapsules, which represented ~5% of the prepared microcapsules,
with stable viability for at least 2 months. Encapsulation of bacterial spores
was greater, at 2 * 1010 CFU/g dry microcapsules, achieving 100% of microcapsules
with incorporated revivable spores.
PMID- 29803689
TI - Fesoterodine: Pharmacological properties and clinical implications.
AB - Fesoterodine (as one of three drugs: dutasteride, finasteride and fesoterodine)
was classified B (beneficial) by LUTS-FORTA 2014, indicating that it is a
medicinal product with proven or obvious efficacy in the elderly, with limited
side effects and/or safety concerns. A systematic literature review was
undertaken in January 2018 using the PubMed and Google Scholar databases with the
following individual and combined keywords: "fesoterodine", "pharmacology",
"overactive bladder" and "antimuscarinics". The aim of the review was to
determine which of fesoterodine's pharmacological properties explains its
clinical benefits in general patient populations with OAB and the elderly in
particular. The articles in the results were then selected by publication
language (English and French only), methodology (off-topic studies, reported
cases and literature reviews were excluded), relevance to the subject matter and
publication date prior to 31 January 2018. A total of 205 articles was initially
obtained, with 115 read and 45 selected. It appears that the association of four
pharmacological properties specific to fesoterodine can explain that this drug
has a good balance between efficacy and tolerability. These properties are namely
the drug's high and nearly equal affinity for both the M2 and M3 muscarinic
receptors, poor penetration of the blood-brain barrier, lack of hepatic first
pass activation -fesoterodine being rapidly and extensively converted to its
active metabolite, 5-hydroxymethyl tolterodine, by ubiquitous esterases-, and its
extended-release formulation. Fesoterodine's pharmacological profile is optimal
for the treatment of overactive bladder. It is now recognized as one of the
leading first-line treatment for this indication.
PMID- 29803690
TI - Pregnancy care in Germany, France and Japan: an international comparison of
quality and efficiency using structural equation modelling and data envelopment
analysis.
AB - OBJECTIVES: Healthcare systems in developed countries may differ in financing and
organisation. Maternity services and delivery are particularly influenced by
culture and habits. In this study, we compared the pregnancy care quality and
efficiency of the German, French and Japanese healthcare systems. STUDY DESIGN:
Comparative healthcare data analysis. METHODS: In an international comparison
based mainly on Organisation for Economic Co-operation and Development (OECD)
indicators, we analysed the health resources significantly affecting pregnancy
care and quantified its quality using structural equation modelling. Pregnancy
care efficiency was studied using data envelopment analysis. Pregnancy output was
quantified overall or separately using indicators based on perinatal, neonatal or
maternal mortality. RESULTS: The density of obstetricians, midwives,
paediatricians and the average annual doctor's consultations were positively and
the caesarean delivery rate negatively associated with pregnancy outcome. In the
international comparison at an aggregate level, Japan ranked first for pregnancy
care quality, whereas Germany and France were positioned in the second part of
the ranking. Similarly, at an aggregate level, the Japanese system showed pure
technical efficiency, whereas Germany and France revealed mediocre efficiency
results. Perinatal, neonatal and maternal care quality and efficiency taken
separately were quite similar and mediocre in Germany and France. In Japan, there
was a marked difference between a highly effective and efficient care of the
unborn and newborn baby, and a rather mediocre quality and efficiency of maternal
care. CONCLUSION: Germany, France, and Japan have to struggle with quality and
efficiency issues that are nevertheless different: in Germany and France,
disappointing pregnancy care quality does not correspond to the high health care
expenditures and lead to low technical efficiency. The Japanese system shows a
high variability in outcomes and technical efficiency. Maternal care quality
during delivery seems to be a particular issue that could possibly be addressed
by legally implementing quality assurance systems with stricter rules for
reimbursement in obstetrics.
PMID- 29803691
TI - Nonintubated Subxiphoid Bilateral Redo Lung Volume Reduction Surgery.
AB - This report describes a nonintubated, bilateral thoracoscopic redo lung volume
reduction surgery procedure through a single subxiphoid access in a patient who
previously underwent one-stage bilateral volume reduction for upper lobe
predominant heterogeneous emphysema 19 years earlier. The patient was
uneventfully discharged on postoperative day 2, and meaningful improvement in
respiratory function and exercise tolerance occurred at 3 months postoperatively.
This novel surgical approach may merge the potential benefits of a subxiphoid
incision for bilateral treatment, nonintercostal passage of chest drains, and
adoption of a nonintubated anesthesia protocol.
PMID- 29803692
TI - Single- Versus Double-Lung Transplantation in Pulmonary Fibrosis: Impact of Age
and Pulmonary Hypertension.
AB - BACKGROUND: Double-lung transplantation (DLT) has better long-term outcomes
compared with single-lung transplantation (SLT) in pulmonary fibrosis. However,
controversy persists about whether older patients or patients with high lung
allocation scores would benefit from DLT. Moreover, the degree of pulmonary
hypertension in which SLT should be avoided is unknown. METHODS: A retrospective
analysis using the United Network for Organ Sharing database was performed in all
recipients of lung transplants for pulmonary fibrosis. Kaplan-Meier survival for
SLT versus DLT was compared and stratified by age, allocation score, and mean
pulmonary artery pressure. Cox regression and propensity-matching analyses were
performed. RESULTS: Between 1987 and 2015; 9,191 of 29,779 lung transplants were
performed in pulmonary fibrosis. Ten-year survival rates were 55% for DLT and 32%
for SLT (p < 0.001). When stratified by age, DLT recipients had improved survival
at all age cutoffs, except age >=70 years. In addition, DLT recipients had
improved survival across all lung allocation scores (<45, >=45, >=60, >=75) and
all pulmonary artery pressure categories (<25, >=25, >=30, >=40 mm Hg). Among DLT
recipients, pulmonary artery pressure and allocation score did not affect
survival. Among SLT recipients, a pressure >=25 mm Hg did not influence survival.
Conversely, patients with a pressure >=30 mm Hg and an allocation score >=45 had
decreased survival. On Cox regression and on propensity matching, DLT had
improved survival compared with SLT. CONCLUSIONS: In pulmonary fibrosis, DLT has
improved survival compared with SLT and should be considered the procedure of
choice in patients younger than 70 years of age. SLT in patients with mean
pulmonary artery pressure >=30 mm Hg and an allocation score >=45 should be
discouraged.
PMID- 29803693
TI - On the S-layer of Thermus thermophilus and the assembling of its main protein
SlpA.
AB - We have isolated and analysed the cell envelope of the thermophilic bacterium
Thermus thermophilus HB8. Isolated cell walls, characterized by the dominance of
the S-layer protein SlpA, are found to be constituted by several protein
complexes of high molecular weights. Further isolation steps, starting from the
cell wall samples, led to the selective release of the S-layer protein SlpA in
solution as confirmed by mass spectrometry. Blue Native gel electrophoresis on
these samples showed that SlpA is organized into a specific hierarchical order of
oligomeric states that are consistent with the complexes at high molecular weight
identified on the total cell wall fraction. The analysis showed that SlpA bases
this peculiar organization on monomers and exceptionally stable dimers, leading
to the formation of tetramers, heptamers, and decamers. Furthermore, the two
elementary units of SlpA, monomers and dimers, are regulated by the presence of
calcium not only for the assembling of monomers into dimers, but also for the
splitting of dimers into monomers. Finally, the SlpA protein was found to be
subjected to specific proteolysis leading to characteristic degradation products.
Findings are discussed in terms of S-layer assembling properties as bases for
understanding its structure, turn-over and organization.
PMID- 29803694
TI - The ACURATE neo Transcatheter Heart Valve: A Comprehensive Analysis of Predictors
of Procedural Outcome.
AB - OBJECTIVES: The aim of this study was to perform a comprehensive analysis of
factors that affect procedural outcomes of transcatheter aortic valve replacement
using the ACURATE neo prosthesis (Symetis/Boston, Ecublens, Switzerland).
BACKGROUND: Predictors of procedural outcomes using the ACURATE neo prosthesis
are poorly understood. METHODS: A total of 500 patients underwent transfemoral
aortic valve replacement with the ACURATE neo prosthesis. Device landing zone
calcification was stratified as severe, moderate, or mild. Anatomic and
procedural predictors of second-degree or greater paravalvular leakage and
permanent pacemaker implantation were assessed. RESULTS: Post-procedural second
degree or greater paravalvular leakage was more frequent with increasing device
landing zone calcification (mild 0.8% vs. moderate 5.0% vs. severe 13.0%; p <
0.001), whereas permanent pacemaker implantation was independent of device
landing zone calcification. More severe periannular calcification (odds ratio
[OR]: 1.007; 95% confidence interval [CI]: 1.003 to 1.010; p < 0.001), less
oversizing (OR: 0.867; 95% CI: 0.773 to 0.971; p = 0.014), the presence of
annular plaque protrusions (OR: 2.756; 95% CI: 1.138 to 6.670; p = 0.025), and
aortic movement of the delivery system after full deployment (OR: 5.593; 95% CI:
1.299 to 24.076; p = 0.02), and sinotubular junction height (OR: 1.156; 95% CI:
1.007 to 1.328; p = 0.04) independently predicted second-degree or greater
paravalvular leakage. Predictors of permanent pacemaker implantation were pre
existing right bundle branch block (OR: 3.122; 95% CI: 1.261 to 7.731; p = 0.01)
and more oversizing (OR: 1.111; 95% CI: 1.009 to 1.222; p = 0.03). CONCLUSIONS:
Successful transcatheter aortic valve replacement using the ACURATE neo device
predominantly depends on careful patient selection with appropriate oversizing
and taking into account the individual anatomy and calcium distribution of the
aortic root.
PMID- 29803695
TI - Evolving Routine Standards in Invasive Hemodynamic Assessment of Coronary
Stenosis: The Nationwide Italian SICI-GISE Cross-Sectional ERIS Study.
AB - OBJECTIVES: The aims of the ERIS (Evolving Routine Standards of FFR Use) study
are to describe the current use of invasive coronary physiology assessment and
discern the reasons for its nonuse in daily practice. BACKGROUND: Adoption of
coronary physiology guidance in the catheterization laboratory varies among
countries, centers, and operators. METHODS: ERIS is an investigator-driven,
nationwide, prospective, cross-sectional study involving 76 Italian
catheterization laboratories. Each center had a 60-day window to include
consecutive cases that fulfilled the inclusion and exclusion criteria. Two pre
specified groups were enrolled: 1) patients who had operators apply fractional
flow reserve or instantaneous wave-free ratio assessment (physiology assessment
group); and 2) patients who had operators decide not to perform fractional flow
reserve or instantaneous wave-free ratio assessment, although the patients met
the inclusion and exclusion criteria (visual estimation group). RESULTS: Overall,
1,858 cases were included (physiology assessment group, n = 1,177; visual
estimation group, n = 681). Physiology-based guidance was used in 7% and 13% of
the total volume of angiographic and percutaneous coronary interventions,
respectively. Its use was in line with European and American guidelines in 48% of
the cases (n = 569). Physiology guidance was used in a consistent number of
patients with acute coronary syndromes (n = 529 [45%]). The main reason for not
using physiology guidance was the operator's confidence that clinical and
angiographic data alone were sufficient. CONCLUSIONS: Use of coronary physiology
assessment in daily practice meets the current guideline indications in
approximately 50% of cases. The major limiting factor for the adoption of
physiology guidance was the operator's confidence in visual assessment alone.
(Evolving Routine Standards of FFR Use [ERIS]; NCT03082989).
PMID- 29803696
TI - Multicatheter interstitial brachytherapy for breast cancer.
AB - Brachytherapy remains the best irradiation technique to deliver a high dose in a
small volume. Breast brachytherapy is part of the arsenal of therapy in the
management of breast cancer. In this article, we present the technical data
related to multicatheter interstitial brachytherapy to the breast proceeding,
from the implantation of the vectors to the treatment itself. The indications for
brachytherapy in breast cancer are boost after whole breast irradiation,
accelerated partial breast irradiation or selected patients with second
ipsilateral breast tumor event. The results in terms of efficacy and toxicity are
presented for each indication. Multicatheter interstitial breast brachytherapy
remains a major technique for breast cancer treatment.
PMID- 29803697
TI - Cyanidin ameliorates endotoxin-induced myocardial toxicity by modulating
inflammation and oxidative stress through mitochondria and other factors.
AB - Cyanidin, an anthocyanin pigment, demonstrates anti-oxidant and anti-inflammatory
properties. Here, we examined the mechanistic role of cyanidin in endotoxin
induced myocardial injury in inflammation and oxidative stress. In
lipopolysaccharide (LPS) induced myocardial injury model, cyanidin ameliorated
cardiac injury (Lactate dehydrogenase or LDH, Creatine Kinase or CK, cardiac
troponin I or cTnI and cardiac myosin light chains 1 or cMLC1), cell death
(caspase 3 activity and PARP activity), and improved cardiac function (ejection
fraction or EF and end diastolic left ventricular inner dimension or LVID).
Cyanidin also attenuated endotoxin induced myocardial injury by modulating
inflammatory cytokines (Tumor necrosis factor alpha or TNFalpha, Interleukin-1
beta or IL-1beta, macrophage inflammatory protein 2 or MIP-2 and chemokine (C-C
motif) ligand 2 also known as monocyte chemoattractant protein 1 or MCP1) and
oxidative stress (protein nitration). Cyanidin modulated redox homeostasis
through intracellular oxidized/reduced glutathione. The most striking properties
of cyanidin in endotoxin induced mediated myocardial injury was the modulation of
mitochondria, its oxidative damage and associated factor Opa1 and Trx1. Thus, our
study demonstrated that cyanidin as a constituent of our food chain may be
beneficial and has therapeutic potential in sepsis treatment or other myocardial
oxidative and/or inflammation induced injuries.
PMID- 29803698
TI - Diabetes, malignancy and age as predictors of Candida glabrata bloodstream
infection: A re-evaluation of the risk factors.
AB - OBJECTIVE: Echinocandins and azoles are widely used in the treatment of
candidaemia. Guidelines of the Infectious Diseases Society of America recommend
commencing treatment with an echinocandin in candidaemic patients with risk
factors for Candida glabrata i.e. patients who are elderly, or who have diabetes
or malignancy, or those with recent prescription of azoles. We attempted to
validate whether age, diabetes and malignancy are associated with C. glabrata
candidaemia. PATIENTS, MATERIALS AND METHODS: Information in relation to
demographics, patient associated risk factors, and laboratory parameters were
collected from the casenotes and the laboratory information system. We then
analysed the distribution of the risk factors (age, diabetes, and malignancy) in
candidaemic patients with C. glabrata and patients with species other than C.
glabrata (excluding Candida krusei). RESULTS: Over a 42-month period (April 2011
September 2017), 124 patients had candidaemia. We analysed data for 119 patients
of whom 33 (27.7%) had C. glabrata and the remaining 86 (72.2%) were infected
with other species. Sixty-five patients were elderly (age>=65), 40 had some form
of malignancy, 34 had diabetes, and 4 patients were prescribed azoles in the 30
days prior to candidaemia (many patients had multiple risk factors). Comparing
patients with C. glabrata to patients infected with other species, we found no
association with diabetes (39.3% vs. 24.4%, P=0.1), malignancy (36.3 vs. 32.5%,
P=0.69), and age (54.5% vs. 54.6%, P=0.99). CONCLUSIONS: Diabetes, malignancy and
age are not reliable predictors of candidaemia due to C. glabrata.
PMID- 29803700
TI - The influence of visual and vestibular orientation cues in a clock reading task.
AB - We investigated how performance in the real-life perceptual task of analog clock
reading is influenced by the clock's orientation with respect to egocentric,
gravitational, and visual-environmental reference frames. In Experiment 1, we
designed a simple clock-reading task and found that observers' reaction time to
correctly tell the time depends systematically on the clock's orientation. In
Experiment 2, we dissociated egocentric from environmental reference frames by
having participants sit upright or lie sideways while performing the task. We
found that both reference frames substantially contribute to response times in
this task. In Experiment 3, we placed upright or rotated participants in an
upright or rotated immersive virtual environment, which allowed us to further
dissociate vestibular from visual cues to the environmental reference frame. We
found evidence of environmental reference frame effects only when visual and
vestibular cues were aligned. We discuss the implications for the design of
remote and head-mounted displays.
PMID- 29803699
TI - Phytochemical analysis and mode of action against Candida glabrata of Paeonia
emodi extracts.
AB - In the present study, we have evaluated the antifungal activity of the seed, root
and leaf of Paeonia emodi (commonly known as Himalayan peony) in four common
solvents (acetone, chloroform, methanol and water) against six fungal strains.
The methanolic seed extract (MSE) showed promising antifungal activity against
Candida albicans (6.25mg/mL), Candida glabrata (3.12mg/mL) and Candida
parapsilosis (12.50mg/mL) among all the fungal strains tested. Combination of the
MSE with the well-known commercial antifungal drugs amphotericin B (Amp B),
nystatin (NYS) and fluconazole (FLC) resulted in the killing of C. glabrata at
non-inhibitory concentrations, i.e., 0.35MUg/mL for Amp B, 0.55MUg/mL for NYS and
1.19MUg/mL for FLC. Notably, MSE caused cell wall damage of C. glabrata cells, as
confirmed by confocal microscopy, flowcytometry and scanning electron microscopy
(SEM). The MSE was fractionated by thin layer chromatography (TLC). TLC
bioautography was used to determine the active compounds present in the MSE.
Column chromatography was used to separate the potential active compounds from
the MSE. Furthermore, gas chromatography-mass spectrometry (GC-MS) andfourier
transform infrared spectroscopy (FTIR) were used to identify the phytocomponents
of the MSE. These experiments revealed 13-docosenamide/9-octadecenamide/trans-13
docosenamide (89.70%) as being the predominant compound using a
chloroform/methanol solvent system for the separation. Interestingly, the MSE
also exhibited less significant cytotoxicity at the minimum inhibitory
concentration (MIC) against mammalian cells (HeLa and HEK293). This study
suggests that the MSE of P. emodi can be used for the treatment of C. glabrata
infection.
PMID- 29803701
TI - Translucent larval integument and flaccid paralysis caused by genome editing in a
gene governing molybdenum cofactor biosynthesis in Bombyx mori.
AB - Translucency of the larval integument in Bombyx mori is caused by a lack of uric
acid in the epidermis. Hime'nichi translucent (ohi) is a unique mutation causing
intermediate translucency of the larval integument and male-specific flaccid
paralysis. To determine the gene associated with the ohi mutation, the ohi locus
was mapped to a 400-kb region containing 29 predicted genes. Among the genes in
this region, we focused on Bombyx homolog of mammalian Gephyrin (BmGphn), which
regulates molybdenum cofactor (MoCo) biosynthesis, because MoCo is indispensable
for the activity of xanthine dehydrogenase (XDH), a key enzyme in uric acid
biosynthesis. The translucent integument of ohi larvae turned opaque after
injection of bovine xanthine oxidase, which is a mammalian equivalent to XDH,
indicating that XDH activity is defective in ohi larvae. RT-PCR and sequencing
analysis showed that (i) in ohi larvae, expression of the BmGphn gene was
repressed in the fat body where uric acid is synthesized, and (ii) there was no
amino acid substitution in the ohi mutant allele. Finally, we obtained BmGphn
knockout alleles (hereafter denoted as BmGphnDelta) by using CRISPR/Cas9. The
resulting ohi/BmGphnDelta larvae had translucent integuments, demonstrating that
BmGphn is the gene responsible for the ohi phenotype. Our results show that
repressed expression of BmGphn is a causative factor for the defective MoCo
biosynthesis and XDH activity observed in ohi larvae. Interestingly, all male
BmGphnDelta homozygotes died before pupation and showed a flaccid paralysis
phenotype. The genetic and physiological mechanisms underlying this flaccid
paralysis phenotype are also discussed.
PMID- 29803702
TI - Diet, cancer, and NCD prevention.
PMID- 29803703
TI - Effects of intra-resuscitation antiarrhythmic administration on rearrest
occurrence and intra-resuscitation ECG characteristics in the ROC ALPS trial.
AB - BACKGROUND: Intra-resuscitation antiarrhythmic drugs may improve resuscitation
outcomes, in part by avoiding rearrest, a condition associated with poor out-of
hospital cardiac arrest (OHCA) outcomes. However, antiarrhythmics may also alter
defibrillation threshold. The objective of this study was to investigate the
relationship between rearrest and intra-resuscitation antiarrhythmic drugs in the
context of the Resuscitation Outcomes Consortium (ROC) amiodarone, lidocaine, and
placebo (ALPS) trial. HYPOTHESIS: Rearrest rates would be lower in cases treated
with amiodarone or lidocaine, versus saline placebo, prior to first return of
spontaneous circulation (ROSC). We also hypothesized antiarrhythmic effects would
be quantifiable through analysis of the prehospital electrocardiogram. METHODS:
We conducted a secondary analysis of the ROC ALPS trial. Cases that first
achieved prehospital ROSC after randomized administration of study drug were
included in the analysis. Rearrest, defined as loss of pulses following ROSC, was
ascertained from emergency medical services records. Rearrest rate was calculated
overall, as well as by ALPS treatment group. Multivariable logistic regression
models were constructed to assess the association between treatment group and
rearrest, as well as rearrest and both survival to hospital discharge and
survival with neurologic function. Amplitude spectrum area, median slope, and
centroid frequency of the ventricular fibrillation (VF) ECG were calculated and
compared across treatment groups. RESULTS: A total of 1144 (40.4%) cases with
study drug prior to first ROSC were included. Rearrest rate was 44.0% overall;
42.9% for placebo, 45.7% for lidocaine, and 43.0% for amiodarone. In
multivariable logistic regression models, ALPS treatment group was not associated
with rearrest, though rearrest was associated with poor survival and neurologic
outcomes. AMSA and median slope measures of the first available VF were
associated with rearrest case status, while median slope and centroid frequency
were associated with ALPS treatment group. CONCLUSION: Rearrest rates did not
differ between antiarrhythmic and placebo treatment groups. ECG waveform
characteristics were correlated with treatment group and rearrest. Rearrest was
inversely associated with survival and neurologic outcomes.
PMID- 29803704
TI - Here's the NEWS from Belgium....
PMID- 29803705
TI - Medical emergency response in non-hospitalized patients (Code Whites) in a rural
tertiary academic medical center: A 7 year observational study.
PMID- 29803706
TI - Condensin Smc4 promotes inflammatory innate immune response by epigenetically
enhancing NEMO transcription.
AB - Structural maintenance of chromosome (Smc) protein complex (condensin) plays a
central role in organizing and compacting chromosomes, which determines DNA
binding activity and gene expression; however, the role of condensin Smc in
innate immunity and inflammation remains largely unknown. Through a high
throughput screening of the epigenetic modifiers, we identified Smc4, a core
subunit of condensin, to potentially promote inflammatory innate immune response.
Knockdown or deficiency of Smc4 inhibited TLR- or virus-triggered production of
proinflammatory cytokines IL-6, TNF-alpha and IFN-beta in macrophages. Mice with
Smc4 knockdown were less susceptible to sepsis. Mechanistically, Smc4 enhanced
NEMO transcription by recruiting H4K5ac to and increasing H4K5 acetylation of
nemo promoter, leading to innate signals-triggered more potent activation of NF
kappaB and IRF3 pathways. Therefore, Smc4 promotes inflammatory innate immune
responses by enhancing NEMO transcription, and our data add insight to epigenetic
regulation of innate immunity and inflammation, and outline potential target for
controlling inflammatory diseases.
PMID- 29803707
TI - Allergy and atopy from infancy to adulthood: Messages from the German birth
cohort MAS.
AB - OBJECTIVE: We present an overview of important results obtained during the first
20 years of the Multicenter Allergy Study (MAS), one of the first and longest
running population-based birth cohorts focusing on asthma and allergy. DATA
SOURCE/STUDY: The MAS is an observational population-based allergy-risk enriched
cohort of 1,314 newborns enrolled in Germany in 1990. Assessments of various
lifestyle and environmental exposures took place at 19 points, including 9
clinical visits for physical examinations and biosampling up to age 20 years.
RESULTS: A positive allergic family history was a strong predictor of asthma from
childhood up to adulthood, more so for allergic multimorbidity than single
allergic entities. For asthma prevalence, the early male preponderance shifted
toward females during adolescence, leading to a sex-balanced distribution by age
20 years. Eczema prevalence switched toward a clear and persisting female
predominance, whereas allergic rhinitis continued to affect more males up to age
20 years. The immunoglobulin (Ig) E antibody response to grass evolved in many
allergic children from a simple, often mono- and oligomolecular to a
polymolecular sensitization stage ("molecular spreading"). Indoor allergen
exposure increased the risk for specific sensitization, which was linked to
asthma and impaired lung function at early school-age. Moreover, the MAS birth
cohort has made important contributions to the investigation of genetic factors
in the manifestation of clinical subphenotypes and in the long-term temporal
trajectory of allergic diseases. CONCLUSIONS: Follow-up assessments over 2
decades provided new insights into risk factors and predictors for eczema,
rhinitis, and asthma up to adulthood to develop better prevention strategies.
PMID- 29803708
TI - The role of gastrointestinal permeability in food allergy.
PMID- 29803709
TI - Hypereosinophilic syndrome in the differential diagnosis of pulmonary infiltrates
with eosinophilia.
AB - OBJECTIVE: To describe key diagnostic considerations in patients with pulmonary
infiltrates with eosinophilia, with a special emphasis on raising awareness of
hypereosinophilic syndrome (HES), a disease that often involves the lungs and
prompts investigation for clonal neoplastic processes that determine prognosis
and treatment. DATA SOURCES: Studies and review articles were selected from
PubMed and Scopus for relevance to pertinent topics. STUDY SELECTIONS: The
literature was screened for studies that described lung eosinophilia and HES.
Studies relevant to the topic were included in this review. RESULTS: Pulmonary
eosinophil infiltrates in lung biopsy specimens present a broad differential
diagnosis, including eosinophilic pneumonia; hypersensitivity reactions, such as
allergic bronchopulmonary fungal disease; and pulmonary manifestations of
systemic diseases, such as eosinophilic granulomatosis with polyangiitis. An
additional important consideration in the differential diagnosis is pulmonary
involvement by HES. HES is a rare syndrome that comprises a heterogeneous group
of conditions characterized by persistent blood and/or tissue eosinophilia
associated with organ dysfunction. Approximately one-third of HES cases are
caused by neoplastic diseases, with the remaining cases classified as reactive or
idiopathic. Lung involvement is seen in up to 67% of cases and may be the
presenting manifestation of the disorder. CONCLUSION: The differential diagnosis
of pulmonary eosinophilia is broad and requires a multidisciplinary approach with
clinicopathologic-radiologic correlation.
PMID- 29803710
TI - Subcutaneous allergen immunotherapy may be a suitable treatment for exacerbator
allergic asthma.
PMID- 29803711
TI - Efficacy of add-on sublingual immunotherapy for adults with asthma: A meta
analysis and systematic review.
AB - BACKGROUND: Sublingual immunotherapy (SLIT) reduces symptom scores and the use of
rescue medication in children with allergic asthma, but the effect of SLIT
therapy in adult patients has not been reported. OBJECTIVE: To examine the
efficacy and adverse effects of SLIT add-on vs conventional medication in adult
patients with mild to moderate asthma. METHODS: We systematically searched the
MEDLINE, Embase, Cochrane, and Central databases. Eligible studies included adult
patients with allergic asthma who received either SLIT or standard care. Standard
mean differences were used as measures of efficacy in a random-effects model.
RESULTS: Twenty trials that included 2,288 patients in the SLIT add-on group and
1,268 patients in the traditional therapy group were identified as eligible for
final analysis. Compared with traditional therapy, SLIT add-on therapy was
associated with significant improvements in lower and upper airway scores, a
higher forced expiratory volume in 1 second, and maximal expiratory flow at 25%
of forced vital capacity, and improved bronchial reactivity. Drug consumptions
were significantly decreased as well. Airway inflammatory parameters, such as
nasal eosinophil infiltration, were markedly improved. CONCLUSION: The findings
of this study suggested that long-term SLIT add-on therapy is a complementary
treatment for adults with asthma in addition to conventional medicine. It not
only reduces symptom scores but also improves lung function and airway
inflammation.
PMID- 29803712
TI - Dose counting and use of short-acting beta-agonist inhalers in emergency
department patients with asthma exacerbation.
PMID- 29803714
TI - High-risk drug rashes.
AB - OBJECTIVE: To provide a brief overview of the clinical presentation, common
offending agents, management, prognosis, and mortality of 6 selected high-risk
drug rashes, namely, Stevens-Johnson syndrome (SJS), toxic epidermal necrolysis
(TEN), drug reaction with eosinophilia and systemic symptoms (DRESS) syndrome,
multiple drug hypersensitivity (MDH) syndrome, acute generalized exanthematous
pustulosis (AGEP), and drug-induced bullous pemphigoid (DIBP). DATA SOURCES: A
review of the published literature was performed with PubMed and supplemented
with our clinical experience. STUDY SELECTIONS: The most recent clinically
relevant studies and older seminal works were selected. RESULTS: Most of the
published data on these uncommon rashes were based on small observational series
or case reports. SJS and TEN have specific genotypes association with certain
drugs, have high morbidity and mortality, and require aggressive management by a
team of multiple specialists. DRESS syndrome is a severe, prolonged multiorgan
reaction, yet it has a better prognosis than TEN. MDH is a syndrome of repeated
reactions to unrelated drugs that often imposes diagnostic and management
difficulties. AGEP consists of generalized sterile small pustules, usually
mistaken for infection with subsequent inappropriate treatment. Bullous
pemphigoid presents with tense pruritic bullae and characteristic linear basement
membrane deposition of IgG and C3. DIBP has much better prognosis than the
autoimmune variety. CONCLUSION: In such high-risk drug rashes, early recognition,
immediate withdrawal of the suspected drug(s), prompt individualized management,
and monitoring of vital organs function are mandatory for reducing morbidity and
mortality. The lack of reliable tests for identification of the causative agent
imposes difficulty, particularly in patients receiving multiple medications.
PMID- 29803713
TI - Prospective assessment of diagnostic tests for pediatric penicillin allergy: From
clinical history to challenge tests.
AB - BACKGROUND: Diagnostic guidelines for penicillin allergy in children recommend
cumbersome protocols based partially on data from adults, which may be suboptimal
for pediatric use. OBJECTIVE: To assess the accuracy of tools for diagnosis of
penicillin allergy in children. METHODS: A prospective, multicenter study was
conducted in children with reported adverse events related to penicillin,
excluding severe reactions. All patients underwent a uniform diagnostic protocol
that consisted of clinical history, skin tests, serum specific IgE (sIgE), and,
regardless of these results, drug provocation tests (DPTs). RESULTS: A total of
732 children (mean age, 5.5 years; 51.2% males) completed the allergy workup,
including DPTs. Amoxicillin triggered 96.9% of all reactions. None of the
patients with an immediate index reaction (IR) developed a reaction on DPT.
Penicillin allergy was confirmed in 35 children (4.8%): 6 immediate reactions
(17%) and 29 nonimmediate reactions (83%) on the DPT. No severe reactions were
recorded. The allergist diagnosis based on the clinical history was not
associated with the DPT final outcome. In 30 of 33 allergic patients (91%), the
results of all skin tests and sIgE tests were negative. A logistic regression
model identified the following to be associated with penicillin allergy: a family
history of drug allergy (odds ratio [OR], 3.03; 95% confidence interval [CI],
1.33-6.89; P = .008), an IR lasting more than 3 days vs 24 hours or less (OR,
8.96; 95% CI, 2.01-39.86; P = .004), and an IR treated with corticosteroids (OR,
2.68; 95% CI, 1.30-5.54; P = .007). CONCLUSION: Conventional predictors of
allergy to penicillin performed weakly. The authors propose straightforward
penicillin provocation testing in controlled, experienced centers for the
diagnosis of nonsevere penicillin allergy in children.
PMID- 29803715
TI - Comparative analysis of long non-coding RNAs in Atlantic and Coho salmon reveals
divergent transcriptome responses associated with immunity and tissue repair
during sea lice infestation.
AB - The increasing capacity of transcriptomic analysis by high throughput sequencing
has highlighted the presence of a large proportion of transcripts that do not
encode proteins. In particular, long non-coding RNAs (lncRNAs) are sequences with
low coding potential and conservation among species. Moreover, cumulative
evidence has revealed important roles in post-transcriptional gene modulation in
several taxa. In fish, the role of lncRNAs has been scarcely studied and even
less so during the immune response against sea lice. In the present study we
mined for lncRNAs in Atlantic salmon (Salmo salar) and Coho salmon (Oncorhynkus
kisutch), which are affected by the sea louse Caligus rogercresseyi, evaluating
the degree of sequence conservation between these two fish species and their
putative roles during the infection process. Herein, Atlantic and Coho salmon
were infected with 35 lice/fish and evaluated after 7 and 14 days post
infestation (dpi). For RNA sequencing, samples from skin and head kidney were
collected. A total of 5658/4140 and 3678/2123 lncRNAs were identified in
uninfected/infected Atlantic and Coho salmon transcriptomes, respectively.
Species-specific transcription patterns were observed in exclusive lncRNAs
according to the tissue analyzed. Furthermore, neighbor gene GO enrichment
analysis of the top 100 highly regulated lncRNAs in Atlantic salmon showed that
lncRNAs were localized near genes related to the immune response. On the other
hand, in Coho salmon the highly regulated lncRNAs were localized near genes
involved in tissue repair processes. This study revealed high regulation of
lncRNAs closely localized to immune and tissue repair-related genes in Atlantic
and Coho salmon, respectively, suggesting putative roles for lncRNAs in salmon
against sea lice infestation.
PMID- 29803717
TI - Stent Extension below the Common Femoral Vein in Extensive Chronic Iliofemoral
Venous Obstructions.
AB - PURPOSE: To analyze whether primary venous stent placement into 1 dominant inflow
vein peripheral to the common femoral vein (CFV) confluence is feasible.
MATERIALS AND METHODS: Retrospective review was performed of 14 consecutive
patients who underwent primary venous stent placement into veins peripheral to
the CFV between 2013 and 2016. Mean patient age was 49 years; 6 (43%) patients
were women. All patients had successful deep venous stent placement with brisk
contrast flow through the stent. Patients had primary percutaneous stent
placement when postthrombotic changes extended peripherally to the femoral
confluence but a trabeculation-free area in the deep femoral vein (DFV) could be
identified. Based on imaging findings, the DFV had to be considered the prominent
inflow vein with normal anatomy. Femoral vein, DFV, and collateral inflow were
minimally impaired owing to postthrombotic scarring or trabeculations. RESULTS:
Primary, assisted primary, and secondary patency rates were 92% at a median
follow-up of 481 d (range, 411-792 d). Venous Clinical Severity Score decreased
from a mean of 8.9 to 6.4 (P = .03). The Villalta scale decreased from a mean of
11.7 to 4.3 (P = .003). Before intervention, venous claudication was present in
92% and remained in 38% after intervention (P = .016). CONCLUSIONS: Stent
placement through the femoral confluence into a dominant inflow vein is a
promising option in a carefully selected group of patients.
PMID- 29803719
TI - A semi-continuous system for monitoring microbially influenced corrosion.
AB - Microbially influenced corrosion (MIC), also known as biocorrosion, has
significant impacts on the environment and economy. Typical systems to study
biocorrosion are either dynamic (once-through flow) or static (serum bottle
incubations). Dynamic systems can be materials and personnel intensive, while
static systems quickly become nutrient limiting and exhibit long incubations. A
semi-continuous biocorrosion cell was developed to address these issues. Low
carbon shim steel was used as a test surface. Initial results revealed that 50
ppm glutaraldehyde (GLT), a common oil field biocide, in an abiotic cell was 3.6
times more corrosive (24.5 * 10-3 mm/y) than a biocorrosion cell inoculated with
a sulfate-reducing bacteria (SRB) enrichment (6.73 * 10-3 mm/y). The SRB
inoculated cell treated with GLT (50 ppm) reduced the corrosion rate from 6.73 *
10-3 mm/y to 3.68 * 10-3 mm/y. It was hypothesized that a biocide-surfactant
combination would enhance biocide activity, thereby lowering corrosion in a semi
continuous biocorrosion cell. The biocide and surfactant were GLT (30 ppm) and
Tween 80 (TW80; 100 ppm). MIC of SRB increased in the presence of a non
inhibitory concentration of GLT (23.4 * 10-3 mm/y), compared to the untreated
+SRB condition (8.29 * 10-3 mm/y). The non-ionic surfactant alone reduced MIC
(4.57 * 10-3 mm/y) and even more so in combination with GLT (3.69 * 10-3 mm/y).
Over 50% of 16S rDNA sequences in the biofilm on the test surface were identified
as belonging to the genera Desulfovibrio and Desulfomicrobium. The utility of a
semi-continuous system for MIC studies and biocide testing was demonstrated. The
concept of regular partial medium replacement is applicable to different
corrosion cell and corrosion coupon geometries. Biocide-surfactant combinations
may have the potential to reduce the concentration of biocides used in the field.
In addition, a semi-defined medium for enumerating Acid-Producing Bacteria (APB)
was developed, resulting in higher recoveries compared to a standard phenol red
medium (e.g., 1.1 * 104 APB/cm2 vs < 4 * 10-1 APB/cm2).
PMID- 29803718
TI - Identification of Campylobacter fetus by fluorescence in situ hybridization
(FISH).
AB - Two new DNA FISH-probes for Campylobacter fetus were designed, in silico checked
for cross-reactions and successfully evaluated in a multi-centric approach with
41 Campylobacter fetus isolates including isolates of all three know subspecies:
Campylobacter fetus ssp. fetus, Campylobacter fetus ssp. venerealis, and
Campylobacter fetus ssp. testudinum and 40 strains of five non-target
Campylobacter species.
PMID- 29803716
TI - Evidence-based nutritional and pharmacological interventions targeting chronic
low-grade inflammation in middle-age and older adults: A systematic review and
meta-analysis.
AB - Growing evidence suggests chronic low-grade inflammation (LGI) as a possible
mechanism underlying the aging process. Some biological and pharmaceutical
compounds may reduce systemic inflammation and potentially avert functional
decline occurring with aging. The aim of the present meta-analysis was to examine
the association of pre-selected interventions on two established biomarkers of
inflammation, interleukin-6 (IL-6), and C-reactive protein (CRP) in middle-age
and older adults with chronic LGI. We reviewed the literature on potential anti
inflammatory compounds, selecting them based on safety, tolerability,
acceptability, innovation, affordability, and evidence from randomized controlled
trials. Six compounds met all five inclusion criteria for our systematic review
and meta-analysis: angiotensin II receptor blockers (ARBs), metformin, omega-3,
probiotics, resveratrol and vitamin D. We searched in MEDLINE, PubMed and EMBASE
database until January 2017. A total of 49 articles fulfilled the selection
criteria. Effect size of each study and pooled effect size for each compound were
measured by the standardized mean difference. I2 was computed to measure
heterogeneity of effects across studies. The following compounds showed a
significant small to large effect in reducing IL-6 levels: probiotics (-0.68
pg/ml), ARBs (-0.37 pg/ml) and omega-3 (-0.19 pg/ml). For CRP, a significant
small to medium effect was observed with probiotics (-0.43 mg/L), ARBs (-0.2
mg/L), omega-3 (-0.17 mg/L) and metformin (-0.16 mg/L). Resveratrol and vitamin D
were not associated with any significant reductions in either biomarker. These
results suggest that nutritional and pharmaceutical compounds can significantly
reduce established biomarkers of systemic inflammation in middle-age and older
adults. The findings should be interpreted with caution, however, due to the
evidence of heterogeneity across the studies.
PMID- 29803720
TI - Development of PLGA nanoparticle loaded dissolving microneedles and comparison
with hollow microneedles in intradermal vaccine delivery.
AB - Skin is an attractive but also very challenging immunisation site for particulate
subunit vaccines. The aim of this study was to develop hyaluronan (HA)-based
dissolving microneedles (MNs) loaded with PLGA nanoparticles (NPs) co
encapsulating ovalbumin (OVA) and poly(I:C) for intradermal immunisation. The
NP:HA ratio used for the preparation of dissolving MNs appeared to be critical
for the quality of MNs and their dissolution in ex vivo human skin. Asymmetrical
flow field-flow fractionation and dynamic light scattering were used to analyse
the NPs released from the MNs in vitro. Successful release of the NPs depended on
the drying conditions during MN preparation. The delivered antigen dose from
dissolving MNs in mice was determined to be 1 ug OVA, in NPs or as free antigen,
by using near-infrared fluorescence imaging. Finally, the immunogenicity of the
NPs after administration of dissolving MNs (NP:HA weight ratio 1:4) was compared
with that of hollow MN-delivered NPs in mice. Immunization with free antigen in
dissolving MNs resulted in equally strong immune responses compared to delivery
by hollow MNs. However, humoral and cellular immune responses evoked by NP-loaded
dissolving MNs were inferior to those elicited by NPs delivered through a hollow
MN. In conclusion, we identified several critical formulation parameters for the
further development of NP-loaded dissolving MNs.
PMID- 29803722
TI - Syntax meets semantics during brain logical computations.
AB - The discrepancy between syntax and semantics is a painstaking issue that hinders
a better comprehension of the underlying neuronal processes in the human brain.
In order to tackle the issue, we at first describe a striking correlation between
Wittgenstein's Tractatus, that assesses the syntactic relationships between
language and world, and Perlovsky's joint language-cognitive computational model,
that assesses the semantic relationships between emotions and "knowledge
instinct". Once established a correlation between a purely logical approach to
the language and computable psychological activities, we aim to find the neural
correlates of syntax and semantics in the human brain. Starting from topological
arguments, we suggest that the semantic properties of a proposition are processed
in higher brain's functional dimensions than the syntactic ones. In a fully
reversible process, the syntactic elements embedded in Broca's area project into
multiple scattered semantic cortical zones. The presence of higher functional
dimensions gives rise to the increase in informational content that takes place
in semantic expressions. Therefore, diverse features of human language and
cognitive world can be assessed in terms of both the logic armor described by the
Tractatus, and the neurocomputational techniques at hand. One of our motivations
is to build a neuro-computational framework able to provide a feasible
explanation for brain's semantic processing, in preparation for novel computers
with nodes built into higher dimensions.
PMID- 29803721
TI - Influence of PLA-PEG nanoparticles manufacturing process on intestinal
transporter PepT1 targeting and oxytocin transport.
AB - Oral administration of peptides still remains a challenging issue. We previously
pointed out the possibility to target intestinal PepT1 transporter with
functionalized PLA-PEG nanoparticles (NPs) formulated by nanoprecipitation, and
to improve drug-loaded intestinal permeability. Nevertheless, alternative
manufacturing processes exist and the impact on the intestinal transporter
targeting could be interesting to study. Our objective is consequently to assess
the ability of functionalized NPs to target PepT1 according to the manufacturing
process, and the possibility to improve peptide absorption. PLA-PEG-Valine NPs
were formulated by nanoprecipitation, double and simple emulsion with median
particle size <200 nm. Using Caco-2 cells, the competition between PLA-PEG-Val
NPs formulated by the different manufacturing processes, and [3H]Glycylsarcosine,
a well-known substrate of PepT1, was observed to evaluate the impact of the
process on the intestinal transporter PepT1 targeting. Simultaneously, PLA-PEG
Val NPs were labeled with fluorescein (FITC) to evaluate PepT1 targeting and to
observe the behavior of the NPs close to the cell according to the manufacturing
process by confocal imaging. Finally, oxytocin peptide (OXY) was encapsulated in
Val-NPs according to the most relevant process and the transport of the drug was
assessed in vitro and in vivo, and compared to free drug. It was possible to
observe by TEM imaging a better organization and expression of the ligand at the
surface for NPs formulated by emulsion processes. Furthermore, the competition
between functionalized NPs and [3H]Glycylsarcosine revealed a better transport
inhibition of [3H]Glycylsarcosine for NPs formulated by double emulsion (~ 67%).
These results were confirmed by fluorescence measurements, comparing the amount
of fluorescence linked to the cells after incubation with fluorescent Val-NPs for
the 3 processes (~ 39% for double emulsion). Additionally, confocal microscopy
confirmed the ability of Val-NPs prepared by double emulsion to target the cell
membrane and even to reach the intracellular space. OXY was then encapsulated by
double emulsion in Val-NPs with a drug load of ~ 4%. It was thus shown in vitro
that drug transport was doubled compared to free drug. In vivo, OXY plasma
concentration after oral administration were significantly increased when
encapsulated in Val-NPS obtained by double emulsion compared to free drug. These
results demonstrated that NPs prepared by double emulsion allowed a better PepT1
targeting and is a promising approach for oral peptide delivery.
PMID- 29803723
TI - The influence of DCDC2 risk genetic variants on reading: Testing main and
haplotypic effects.
AB - Developmental dyslexia (DD) is a complex neurodevelopmental heritable disorder.
Among DD candidate genes, DCDC2 is one of the most replicated, with rs793862,
READ1 and rs793842 likely contribute to phenotypic variability in reading
(dis)ability. In this study, we tested the effects of these genetic variants on
DD as a categorical trait and on quantitative reading-related measures in a
sample of 555 Italian nuclear families with 930 offspring, of which 687 were
diagnosed with DD. We conducted both single-marker and haplotype analyses,
finding that the READ1-deletion was significantly associated with reading,
whereas no significant haplotype associations were found. Our findings add
further evidence to support the hypothesis of a DCDC2 contribution to inter
individual variation in distinct indicators of reading (dis)ability in
transparent languages (i.e., reading accuracy and speed), suggesting a potential
pleiotropic effect.
PMID- 29803724
TI - In pea stipules a functional photosynthetic electron flow occurs despite a
reduced dynamicity of LHCII association with photosystems.
AB - The flexible association of the light harvesting complex II (LHCII) to
photosystem (PS) I and PSII to balance their excitation is a major short-term
acclimation process of the thylakoid membrane, together with the thermal
dissipation of excess absorbed energy, reflected in non-photochemical quenching
of chlorophyll fluorescence (NPQ). In Pisum sativum, the leaf includes two main
photosynthetic parts, the basal stipules and the leaflets. Since the stipules are
less efficient in carbon fixation than leaflets, the adjustments of the thylakoid
system, which safeguard the photosynthetic membrane against photodamage, were
analysed. As compared to leaflets, the stipules experienced a decay in PSII
photochemical activity. The supramolecular organization of photosystems in
stipules showed a more conspicuous accumulation of large PSII-LHCII
supercomplexes in the grana, but also a tendency to retain the PSI-LHCI-LHCII
state transition complex and the PSI-LHCI-PSII-LHCII megacomplexes probably
located at the interface between appressed and stroma-exposed membranes. As a
consequence, stipules had a lower capacity to perform state transitions and the
overall thylakoid architecture was less structurally flexible and ordered than in
leaflets. Yet, stipules proved to be quite efficient in regulating the redox
state of the electron transport chain and more capable of inducing NPQ than
leaflets. It is proposed that, in spite of a relatively static thylakoid
arrangement, LHCII interaction with both photosystems in megacomplexes can
contribute to a regulated electron flow.
PMID- 29803725
TI - Steroid sulfatase inhibition success and limitation in breast cancer clinical
assays: An underlying mechanism.
AB - Steroid sulfatase is detectable in most hormone-dependent breast cancers. STX64,
an STS inhibitor, induced tumor reduction in animal assay. Despite success in
phase I clinical trial, the results of phase II trial were not that significant.
Breast Cancer epithelial cells (MCF-7 and T47D) were treated with two STS
inhibitors (STX64 and EM1913). Cell proliferation, cell cycle, and the
concentrations of estradiol and 5alpha-dihydrotestosterone were measured to
determine the endocrinological mechanism of sulfatase inhibition. Comparisons
were made with inhibitions of reductive 17beta-hydroxysteroid dehydrogenases
(17beta-HSDs). Proliferation studies showed that DNA synthesis in cancer cells
was modestly decreased (approximately 20%), accompanied by an up to 6.5% in cells
in the G0/G1 phase and cyclin D1 expression reduction. The concentrations of
estradiol and 5alpha-dihydrotestosterone were decreased by 26% and 3%
respectively. However, supplementation of 5alpha-dihydrotestosterone produced a
significant increase (approximately 35.6%) in the anti-proliferative effect of
sulfatase inhibition. This study has clarified sex-hormone control by sulfatase
in BC, suggesting that the different roles of estradiol and 5alpha
dihydrotestosterone can lead to a reduction in the effect of sulfatase inhibition
when compared with 17beta-HSD7 inhibition. This suggests that combined treatment
of sulfatase inhibitors with 17beta-HSD inhibitors such as the type7 inhibitor
could hold promise for hormone-dependent breast cancer.
PMID- 29803726
TI - Estradiol dimer inhibits tubulin polymerization and microtubule dynamics.
AB - Microtubule dynamics is one of the major targets for new chemotherapeutic agents.
This communication presents the synthesis and biological profiling of steroidal
dimers based on estradiol, testosterone and pregnenolone bridged by 2,6
bis(azidomethyl)pyridine between D rings. The biological profiling revealed
unique properties of the estradiol dimer including cytotoxic activities on a
panel of 11 human cell lines, ability to arrest in the G2/M phase of the cell
cycle accompanied with the attenuation of DNA/RNA synthesis. Thorough
investigation precluded a genomic mechanism of action and revealed that the
estradiol dimer acts at the cytoskeletal level by inhibiting tubulin
polymerization. Further studies showed that estradiol dimer, but none of the
other structurally related dimeric steroids, inhibited assembly of purified
tubulin (IC50, 3.6 MUM). The estradiol dimer was more potent than 2
methoxyestradiol, an endogenous metabolite of 17beta-estradiol and well-studied
microtubule polymerization inhibitor with antitumor effects that was evaluated in
clinical trials. Further, it was equipotent to nocodazole (IC50, 1.5 MUM), an
antimitotic small molecule of natural origin. Both estradiol dimer and nocodazole
completely and reversibly depolymerized microtubules in interphase U2OS cells at
2.5 MUM concentration. At lower concentrations (50 nM), estradiol dimer decreased
the microtubule dynamics and growth life-time and produced comparable effect to
nocodazole on the microtubule dynamicity. In silico modeling predicted that
estradiol dimer binds to the colchicine-binding site in the tubulin dimer.
Finally, dimerization of the steroids abolished their ability to induce
transactivation by estrogen receptor alpha and androgen receptors. Although other
steroids were reported to interact with microtubules, the estradiol dimer
represents a new structural type of steroid inhibitor of tubulin polymerization
and microtubule dynamics, bearing antimitotic and cytotoxic activity in cancer
cell lines.
PMID- 29803727
TI - The impact of hyperglycemia on survival in glioblastoma: A systematic review and
meta-analysis.
AB - In the management of glioblastoma (GBM), there is a considerable predisposition
to hyperglycemia due to significant integration of corticosteroid therapy to
treat predictable clinical sequelae following diagnosis and treatment. The aim of
this study was to quantify effect of hyperglycemia during the management of GBM
on overall survival (OS). Searches of seven electronic databases from inception
to January 2018 were conducted following Preferred Reporting Items for Systematic
reviews and Meta-Analyses (PRISMA) guidelines. There were 1475 articles
identified for screening. Prognostic hazard ratios (HRs) derived from
multivariate regression analysis were extracted, and analyzed using meta-analysis
of proportions and linear regression. Six observational studies reporting
prognostic HRs in 10 cohorts were included. They described 1481 GBM diagnoses,
all surveyed for hyperglycemia during management. Hyperglycemia was found to
confer a statistically significant poorer OS outcome (HR, 1.671; p < 0.001). This
trend and its significance was not modified by study year, size or proportion of
pre-diagnostic diabetes mellitus. Hyperglycemia in GBM is an independent poor
prognostic factor for OS. Heterogeneity in clinical course limits inter-study
comparability. Future, prospective, randomized studies will validate the findings
of this study, and ascertain the potential benefit of more rigorous monitoring
for hyperglycemia and glycemic control.
PMID- 29803728
TI - Kava analogues as agents for treatment of periodontal diseases: Synthesis and
initial biological evaluation.
AB - Six kava analogues of the structural type 3-oxocyclohex-1-en-1-yl benzoates (and
corresponding benzamides) were synthesized and evaluated for their affect on
periodontal deconstruction in collagen anti-body primed oral gavage model of
periodontitis. The compounds were prepared through an acylation or amidation of
the enolizable cyclic 1,3-diketone. We have learned that three of the analogues
are responsible for the reduction of inflammatory cell counts within soft tissue.
These novel kava-like molecules where the lactone is replaced by an alpha,beta
unsaturated ketone show promise in the prevention and treatment of inflammation
and alveolar bone loss associated with periodontitis.
PMID- 29803729
TI - Structure activity relationships of anthranilic acid-based compounds on cellular
and in vivo mitogen activated protein kinase-5 signaling pathways.
PMID- 29803730
TI - Design and synthesis of functionalized piperazin-1yl-(E)-stilbenes as inhibitors
of 17alpha-hydroxylase-C17,20-lyase (Cyp17).
AB - The synthesis of steroid hormones is critical to human physiology and improper
regulation of either the synthesis of these key molecules or activation of the
associated receptors can lead to disease states. This has led to intense interest
in developing compounds capable of modulating the synthesis of steroid hormones.
Compounds capable of inhibiting Cyp19 (Aromatase), a key enzyme in the synthesis
of estrogens, have been successfully employed as breast cancer therapies, while
inhibitors of Cyp17 (17alpha-hydroxylase-17,20-lyase), a key enzyme in the
synthesis of glucocorticoids, mineralocorticoids and steroidal sex hormones, are
a key component of prostate cancer therapy. Inhibition of CYP17 has also been
suggested as a possible target for the treatment of Cushing Syndrome and
Metabolic Syndrome. We have identified two novel series of stilbene based CYP17
inhibitors and demonstrated that exemplary compounds in these series have
pharmacokinetic properties consistent with orally delivered drugs. These findings
suggest that compounds in these classes may be useful for the treatment of
diseases and conditions associated with improper regulation of glucocorticoids
synthesis and glucocorticoids receptor activation.
PMID- 29803731
TI - Adamantyl thioureas as soluble epoxide hydrolase inhibitors.
AB - A series of inhibitors of the soluble epoxide hydrolase (sEH) containing one or
two thiourea groups has been developed. Inhibition potency of the described
compounds ranges from 50 MUM to 7.2 nM. 1,7-(Heptamethylene)bis[(adamant-1
yl)thiourea] (6f) was found to be the most potent sEH inhibitor, among the
thioureas tested. The inhibitory activity of the thioureas against the human sEH
is closer to the value of activity against rat sEH rather than murine sEH. While
being less active, thioureas are up to 7-fold more soluble than ureas, which
makes them more bioavailable and thus promising as sEH inhibitors.
PMID- 29803732
TI - CRISPR/Cas9-edited Pax6-GFP reporter system facilitates the generation of mouse
neural progenitor cells during differentiation.
PMID- 29803733
TI - Application of a Janus aglycon with dual function in benzyl-free synthesis of
spacer-armed oligosaccharide fragments of polysaccharides from rhizobacterium
Azospirillum brasilense sp7.
AB - Both protective and pre-spacer features of 4-(2-chloroethoxy)phenyl (CEP)
aglycon, which belong to the class of Janus aglycons, were engaged in a benzyl
free synthesis of oligosaccharide fragments of polysaccharides from
rhizobacterium Azospirillum brasilense sp7. Introduction of alpha-1,4-linked L
fucose residue was performed using 3,4-di-O-benzoyl-2-O-triisopropylsilyl-alpha-L
fucopyranosyl N-phenyltrifluoroacetimidate in excellent stereoselectivity and
high yields. The obtained deprotected di-, tri- and tetrasaccharides contain 4-(2
azidoethoxy)phenyl (AEP) spacer aglycon, which allows straightforward preparation
of neoglycoconjugates that will be used for the study of the role of
lipopolysaccharide of rhizobacterium A. brasilense sp7 in plant-microbe
symbiosis. The intermediate protected oligosaccharide building blocks with
cleavable CEP/AEP aglycons have a strong potential for further application in the
synthesis of more complex oligosaccharides.
PMID- 29803734
TI - Health-related quality of life is decreased in middle-aged adults with chronic
ankle instability.
AB - OBJECTIVES: The purpose of this study was to determine whether health-related
quality of life and region-specific outcomes differ between middle-aged adults
with and without chronic ankle instability. DESIGN: Cross-sectional. METHODS: Two
hundred middle-aged individuals (40 years or older) volunteered to participate in
the study. There were 75 individuals classified as having chronic ankle
instability (51.5+/-7.3years, 175.8+/-3.7cm, 86.5+/-18.9kg) and 125 classified as
not having chronic ankle instability (53.2+/-7.3years, 176.2+/-5.4cm, 81.3+/
17.6kg). Participants completed the Identification of Functional Ankle
Instability as the inclusionary survey and the Internal Ankle Consortium
guidelines were followed to classify individuals. Following the inclusionary
survey participants completed the American Academy of Orthopaedic Surgeons Lower
Limb Questionnaire and the Short Form-36 v2. RESULTS: The Academy of Orthopaedic
Surgeons Lower Limb Questionnaire, and the Physical Component Summary score of
the Short Form-36 v2 were statistically different between groups (p<0.05).
Specifically, the mean difference was 16.7 points and 12.3 points for the Academy
of Orthopaedic Surgeons Lower Limb Questionnaire and the Physical Component
Summary score, respectively, with the CAI participants scoring worse.
CONCLUSIONS: Individuals with CAI displayed decreased generic health-related
quality of life and reduced region-specific ankle function.
PMID- 29803735
TI - Low-intensity elbow flexion eccentric contractions attenuate maximal eccentric
exercise-induced muscle damage of the contralateral arm.
AB - OBJECTIVES: The magnitude of muscle damage induced by maximal eccentric
contractions (MaxEC) of the elbow flexors (EF) is reduced when it is preceded by
low-intensity (10% of maximal voluntary isometric contraction strength) eccentric
contractions (10%EC) of the same muscle, or by MaxEC of the opposite EF. This
study investigated whether 10%EC would reduce the magnitude of muscle damage
after MaxEC performed by the opposite arm. DESIGN: Comparison among 6 groups for
changes in indirect markers of muscle damage. METHOD: Young (21.0+/-1.8years)
untrained men were assigned to five experimental groups (n=13/group) that
performed 30, 10%EC followed by 30 MaxEC of the other arm performed at either 1
(1d), 2 (2d), 7 (1wk), 14 (2wk) or 21days (3wk) later, and one control group that
performed 30 MaxEC without 10%EC (n=13). Changes in several indirect markers of
muscle damage after MaxEC were compared among the groups by mixed-design two-way
ANOVAs. RESULTS: No significant changes in maximal voluntary concentric
contraction torque, plasma creatine kinase activity and muscle soreness were
evident after 10%EC. Changes in these variables after MaxEC were smaller (p<0.05)
for the 1d, 2d and 1wk groups than control group, without significant differences
between the 1d, 2d and 1wk groups. No significance differences in the changes
were evident among the 2wk, 3wk and control groups, except for muscle soreness
showing smaller (p<0.05) increases for the 2wk and 3wk groups than control group.
CONCLUSIONS: These results showed that 10%EC conferred muscle damage protection
to the contralateral arm that performed MaxEC.
PMID- 29803736
TI - Tackle technique of rugby union players during head impact tackles compared to
injury free tackles.
AB - OBJECTIVES: The majority of head injuries in rugby union occur during tackles in
which the head receives an impact. Head impacted tackles may be a result of poor
tackle technique. Therefore, the purpose of this study was to analyse ball
carrier and tackler technique proficiency in head impacted tackles and compare
the technique proficiency to successfully completed tackles in real-match
situations. DESIGN: Retrospective video analysis. METHODS: Video footage of head
impacts with the 'head impacted player' (n=157) and the opposing player
'impacting player' (n=156) were scored for contact technique using a list of
technical criteria and compared to contact technique scores of role and tackle
type matched injury-free, successful tackles (n=170). RESULTS: Ball-carriers
contacting their head during front-on head impacted tackles (mean 6.4, 95%CI 5.6
7.1 AU, out of a total score of 14) scored significantly less than the 'impacting
player' (mean 8.1, 95%CI 7.1-9.1 AU, p<0.01, ES=0.5, small) and successful ball
carriers (successful ball-carrier mean 9.4, 95%CI 8.9-9.9 AU, p<0.0001, ES=1.1,
moderate). Tackler contact proficiency scores during successful front-on tackles
(mean 12.3, 95%CI 11.6-12.9 AU, out of a total score of 16) were significantly
greater than tackler contact proficiency scores for the 'head impacted player'
(mean 9.8, 95%CI 8.6-10.9, p<0.001, ES=0.8, moderate) and 'impacting player'
(mean 9.3, 95%CI 8.4-10.1, p<0.0001, ES=1.0, moderate). CONCLUSIONS: Both the
ball-carrier and tackler have a technical deficiency when there is a head impact
in matches. The implication of this finding is that players and coaches need to
acknowledge that both the ball-carrier and tackler are responsible for each
other's safety during the tackle.
PMID- 29803737
TI - An equation to predict the maximal lactate steady state from ramp-incremental
exercise test data in cycling.
AB - OBJECTIVES: The maximal lactate steady state (MLSS) represents the highest
exercise intensity at which an elevated blood lactate concentration ([Lac]b) is
stabilized above resting values. MLSS quantifies the boundary between the heavy
to-very-heavy intensity domains but its determination is not widely performed due
to the number of trials required. DESIGN: This study aimed to: (i) develop a
mathematical equation capable of predicting MLSS using variables measured during
a single ramp-incremental cycling test and (ii) test the accuracy of the
optimized mathematical equation. METHODS: The predictive MLSS equation was
determined by stepwise backward regression analysis of twelve independent
variables measured in sixty individuals who had previously performed ramp
incremental exercise and in whom MLSS was known (MLSSobs). Next, twenty-nine
different individuals were prospectively recruited to test the accuracy of the
equation. These participants performed ramp-incremental exercise to exhaustion
and two-to-three 30-min constant-power output cycling bouts with [Lac]b sampled
at regular intervals for determination of MLSSobs. Predicted MLSS (MLSSpred) and
MLSSobs in both phases of the study were compared by paired t-test, major-axis
regression and Bland-Altman analysis. RESULTS: The predictor variables of MLSS
were: respiratory compensation point (Wkg-1), peak oxygen uptake (VO2peak) (mlkg
1min-1) and body mass (kg). MLSSpred was highly correlated with MLSSobs (r=0.93;
p<0.01). When this equation was tested on the independent group, MLSSpred was not
different from MLSSobs (234+/-43 vs. 234+/-44W; SEE 4.8W; r=0.99; p<0.01).
CONCLUSIONS: These data support the validity of the predictive MLSS equation. We
advocate its use as a time-efficient alternative to traditional MLSS testing in
cycling.
PMID- 29803738
TI - An important role of SREBP-1 in HBV and HCV co-replication inhibition by PTEN.
AB - HBV HCV co-infection leads to more severe liver diseases including liver cancer
than mono-infections. Phosphatase and tensin homolog deleted on chromosome 10
(PTEN), a tumor suppressor, inhibits sterol regulatory element binding protein-1
(SREBP-1). In this study, we characterized the effect of the PTEN - SREBP-1
pathway on HBV HCV co-replication in a cellular model. We found that HBV and HCV
can co-replicate in Huh-7 cells with no interference. Overexpression of PTEN
inhibits, whereas PTEN knockdown enhances, HBV replication as well as HBV and HCV
co-replication. Knocking down SREBP-1 decreases HBV replication in an HBx
dependent manner. SREBP-1 knockdown also decreases HCV replication. PTEN
knockdown is concomitant with increased nuclear SREBP-1 levels. PTEN and SREBP-1
double knockdown results in intermediate levels of HBV and HCV replication in
mono- and co-replication scenarios. Taken together, we demonstrated, for the
first time, that the PTEN - SREBP-1 pathway can regulate HBV HCV co-replication.
PMID- 29803739
TI - Anaerobic gaseous biofuel production using microalgal biomass - A review.
AB - Most photosynthetic organisms store and convert solar energy in an aerobic
process and produce biomass for various uses. Utilization of biomass for the
production of renewable energy carriers employs anaerobic conditions. This review
focuses on microalgal biomass and its use for biological hydrogen and methane
production. Microalgae offer several advantages compared to terrestrial plants.
Strategies to maintain anaerobic environment for biohydrogen production are
summarized. Efficient biogas production via anaerobic digestion is significantly
affected by the biomass composition, pretreatment strategies and the parameters
of the digestion process. Coupled biohydrogen and biogas production increases the
efficiency and sustainability of renewable energy production.
PMID- 29803740
TI - Plakophilin3 loss leads to an increase in lipocalin2 expression, which is
required for tumour formation.
AB - An increase in tumour formation and metastasis are observed upon plakophilin3
(PKP3) loss. To identify pathways downstream of PKP3 loss that are required for
increased tumour formation, a gene expression analysis was performed, which
demonstrated that the expression of lipocalin2 (LCN2) was elevated upon PKP3 loss
and this is consistent with expression data from human tumour samples suggesting
that PKP3 loss correlates with an increase in LCN2 expression. PKP3 loss leads to
an increase in invasion, tumour formation and metastasis and these phenotypes
were dependent on the increase in LCN2 expression. The increased LCN2 expression
was due to an increase in the activation of p38 MAPK in the HCT116 derived PKP3
knockdown clones as LCN2 expression decreased upon inhibition of p38 MAPK. The
phosphorylated active form of p38 MAPK is translocated to the nucleus upon PKP3
loss and is dependent on complex formation between p38 MAPK and PKP3. WT PKP3
inhibits LCN2 reporter activity in PKP3 knockdown cells but a PKP3 mutant that
fails to form a complex with p38 MAPK cannot suppress LCN2 promoter activity.
Further, LCN2 expression is decreased upon loss of p38beta, but not p38alpha, in
the PKP3 knockdown cells. These results suggest that PKP3 loss leads to an
increase in the nuclear translocation of p38 MAPK and p38beta MAPK is required
for the increase in LCN2 expression.
PMID- 29803741
TI - Mini-peptide RPL41 attenuated retinal neovascularization by inducing degradation
of ATF4 in oxygen-induced retinopathy mice.
AB - Endoplasmic reticulum (ER) stress signaling is activated in retinal degeneration
disease. Activating transcription factor 4 (ATF4), an important mediator of the
unfolded protein response (UPR), is a key element that maintains cell survival
and proliferation in hypoxic conditions. Our previous studies showed that a small
ribosomal protein L41 (RPL41) inhibits ATF4 by inducing its phosphorylation and
degradation. In the present study, the effects of mini-peptide RPL41 on retinal
neovascularization (RNV) in oxygen-induced retinopathy (OIR) mice was
investigated. We induced OIR in C57BL/6 mice and obtained retinas from normoxia,
OIR, OIR control (treated with PBS), and OIR treated (treated with RPL41) mice.
Our results showed that ER stress signaling was activated and ATF4 was
overexpressed in the retinas of OIR mice. After intravitreal injection of RPL41,
the size of RNV and vaso-obliteration, and the number of preretinal neovascular
cell nuclei in the retinas of OIR mice were significantly decreased. Western blot
analysis and quantitative real-time polymerase chain reaction (qPCR) showed ATF4
and VEGF expression decreased after intravitreal injection of RPL41. Furthermore,
the expression levels of inflammatory genes including TNF-alpha, IL-1beta, and IL
6 were significantly decreased compared with the OIR control mice. In conclusion,
RPL41 prevented pathologic neovascularization and exerted anti-inflammatory
effects by degrading the important ER stress factor ATF4, thus, RPL41 could be a
promising therapeutic agent for the treatment of neovascular eye diseases,
especially retinopathy of prematurity (ROP).
PMID- 29803742
TI - Total hip arthroplasty in patients with Trisomy 21: Systematic review and
exploratory patient level analysis.
AB - INTRODUCTION: Trisomy 21 is the most common chromosomal disorders in humans; it
is caused by an extra copy of chromosome number 21. This extra chromosomal
material causes widespread abnormalities involving nearly every part of human
body. Hip disorders are the second most serious musculoskeletal disorder in
patients with T21 with a reported incidence between 2 and 28%. The outcomes of
these hip disorders in patients with T21 are much less favorable than similar hip
diagnoses in normal patients and a substantive number of these patients develop
severe osteoarthritis that require total hip arthroplasty (THA). The outcome of
THA in this cohort of patient is not well studied. METHODS: A modified Cochrane
review methodology has been utilized in this review. An extended literature
search was performed of the medical databases. A hierarchical approach was used
to include relevant studies. Search, published papers and extracted data were
checked by authors independently then jointly to ensure accuracy. RESULTS: Nine
studies (321 patients with Trisomy 21) who underwent total hip arthroplasty (THA)
were included. The functional hip scores (Harris and WOMAC hip scores) improved
substantively after hip arthroplasty. The 5-year cumulative revision rate was
7.5%; twice as high as age matched control. Medical and surgical complications
were 3 times higher than matched controls. CONCLUSION: Total hip arthroplasty can
be offered to patients with T21 and severe arthritis although the increased
revision and complication rates must be appreciated by patients and care givers.
PMID- 29803743
TI - Effect of edible co-polymers coatings using gamma-irradiation on Hyani date fruit
behavior during marketing.
AB - The present work introduces a preparation of coating fruits film from natural
biodegradable materials with evaluation of its efficiency in keeping the quality
of fresh date fruits. Triple blend (Tb) which involved PVA, chitosan (Cs) and
tannic (TA) acids was studied in preservation of Rutab (Hyani) date.
Antimicrobial characters besides decay of fruits during a cold storage were
determined. The blend solutions were exposed to the gamma-irradiation (5.0 to 20
kGy) before casting or use. The effects of polymer composition and irradiation
dose on the mechanical and thermo-mechanical properties were studied. The
obtained results showed that gamma-irradiation and the addition of tannic acid
(TA) increased the mechanical properties of the films and the shelf-life of Rutab
(Hyani) date during the marketing period (12 +/- 2 degrees C, 98%, RH) from one
week to one month of marketing period for consumers with accepted freshness and
quality.
PMID- 29803744
TI - SIRT1 reverses senescence via enhancing autophagy and attenuates oxidative stress
induced apoptosis through promoting p53 degradation.
AB - Stem cell senescence and exhaustion are considered important drivers of
organismal aging, and human adipose-derived stem cells (ADSCs) have emerged as a
promising cell source for cell-based therapy. However, aging and low survival
rate compromise the optimal outcome of cell-based therapy due to oxidative stress
in the graft areas. Oxidative stress has long been considered to be harmful to
cells, nevertheless, in this study, we found that lower concentration of hydrogen
peroxide (H2O2) decreased the number of SA-betagal-immunopositive cells, which
was ameliorated by inhibition of SIRT1. Autophagy, a degradation mechanism that
plays a major role in maintaining cellular homeostasis and, is involved in this
effect. SIRT1 protein level in ADSCs was increased by the treatment with H2O2,
meanwhile, H2O2 activated p53-depended apoptosis in high concentration.
Incubation of ADSCs with H2O2 dose dependently induced ADSCs apoptosis. SIRT1
overexpression reduced the rate of ADSCs apoptosis, whereas SIRT1 downregulation
and EX527 displayed the opposite effect. SIRT1 overexpression decreased the total
p53 protein, whereas SIRT1 downregulation and EX527 increased the amount of p53
protein. Co-immunoprecipitation assay showed that SIRT1 could bind to p53, reduce
its acetylation level, and treatment with nutlin-3A reversed the effect of SIRT1
on the level of p53 in ADSCs. These results suggest that SIRT1 had a pivotally
protective role in the regulation of ADSCs aging and apoptosis induced by H2O2.
PMID- 29803745
TI - Antityrosinase mechanism of omeprazole and its application on the preservation of
fresh-cut Fuji apple.
AB - Omeprazole was first evaluated for its antityrosinase activity and preservation
of fresh-cut apples. The results obtained from enzymic analyses showed that the
omeprazole inhibited tyrosinase activity (IC50 = 40 +/- 1.2 MUM) with a
reversible and competitive mechanism. Fluorescence quenching assays demonstrated
that the interaction between omeprazole and tyrosinase was driven by hydrophobic
forces and hydrogen bonds in a static procedure. Molecular docking further
revealed that hydrogen bonds and hydrophobic forces were generated by omeprazole
with the amino acid residues located in the A chain of tyrosinase. Moreover, the
results from preservation assays showed that omeprazole could inhibit the
activities of polyphenol oxidase (PPO) and peroxidase (POD), prevent the
oxidation of total phenolics and flavonoid, thereby delay the browning of fresh
cut apples. Hence, this work identified a novel tyrosinase inhibitor and expands
its feasible application as a food preservative.
PMID- 29803746
TI - Oxyalkylation modification as a promising method for preparing low-melting-point
agarose.
AB - In this study, agarose was chemically modified with ethylene oxide, 1,2
epoxypropane and 1,2-epoxybutane, to prepare hydroxyethyl agarose (HEAG),
hydroxypropyl agarose (HPAG), and hydroxybutyl agarose (HBAG). The structures and
properties of the products were characterized by Fourier transform infrared
spectroscopy (FTIR), 1H NMR spectroscopy, X-ray diffractometry (XRD), rheology
measurement and gel electrophoresis. The results showed that the gelling
temperature (Tg) of HEAG, HPAG, and HBAG were decreased to 28.3 degrees C, 29.0
degrees C and 28.7 degrees C respectively, with the melting temperature (Tm)
simultaneously declined to 64.2 degrees C, 63.8 degrees C and 63.0 degrees C,
respectively. Meanwhile, the gel strength of HBAG, HEAG, and HPAG were
dramatically reduced to 194 g/cm2, 312 g/cm2 and 279 g/cm2, respectively.
Furthermore, HEAG and HPAG showed excellent separation efficiency which is in
accordance with commercialized low-melting-point (LMP) agarose (Amersco 0815).
These results indicated that oxyalkylation is a promising and economical method
for the preparation of LMP agarose.
PMID- 29803747
TI - Immobilized carbonic anhydrase on mesoporous cruciate flower-like metal organic
framework for promoting CO2 sequestration.
AB - CO2 capture by immobilized carbonic anhydrase (CA) has become an alternative and
environmental friendly approach in CO2 sequestration technology. However, the
immobilized CA usually exhibits low CO2 sequestration efficiency due to no gas
adsorption function for the conventional CA supports. Metal organic frameworks
(MOFs) are an excellent material for gas adsorption and enzyme immobilization.
Herein, a combined immobilization system of CA and ZIF-8 with cruciate flower
like morphology for CO2 adsorption was prepared for the first time by adsorbing
CA onto ZIF-8. The immobilization efficiency was greater than 95%, and the
maximum activity recovery reached 75%, indicating the highly efficient
immobilization process. The resultant CA@ZIF-8 composites exhibited outstanding
thermostability, the tolerance against denaturants, and reusability compared with
free CA. Furthermore, we demonstrated for the first time that the shape of ZIF-8
could be controlled by adjusting concentrations of Zn2+ ions at the high
concentration of 2-methylimidazole (1 M). More importantly, we also demonstrated
the applicability of the CA@ZIF-8 composites to the sequestration of CO2 in
carbonate minerals. The yields of the CaCO3 obtained by using CA@ZIF-8 composites
were 22-folds compared to free CA. Thus, this CA@ZIF-8 composite can be
successfully used as a robust biocatalyst for sequestration of CO2.
PMID- 29803748
TI - Fabrication of aqueous nanodispersion from natural DNA and chitosan as eminent
carriers for water-insoluble bioactives.
AB - For high-valued application of natural DNA as raw materials, we prepared
nanocarriers by using salmon sperm DNA and chitosan to encapsulate water
insoluble bioactives. Here, water dispersible astaxanthin/DNA/chitosan nano
aggregates (ADC-NAs) were prepared by co-assemble evaporation method. The key
point for preparing well formed ADC-NAs was specifically discussed. The resultant
ADC-NAs were spherical with 100-300 nm diameter measured by dynamic light
scattering (DLS) and transmission electron microscopy (TEM), and their
homogeneous dispersions were sufficiently stable at room temperature. One
important feature of these nanocarriers is enormously high loading amount of
cargo (about 40 wt%). According to the UV-Vis spectra of the nanosuspension, we
deduced that astaxanthin was encapsulated as uniquely structured J-aggregates.
Fourier transform infra-red (FTIR) spectroscopy proved fabrication was
successfully and astaxanthin was embedding in DNA/chitosan nanocarriers.
Cytotoxicity was examined in vitro using cell culture in L929 cell lines. When
necessary, these nano-aggregates can be degraded by DNase I. Homogeneous
dispersions of other non-charged guest molecules are also prepared by using
DNA/chitosan nanocarriers. These dispersions are cheaply and easily obtainable
from naturally occurring DNA and chitosan, and should be useful for versatile
applications.
PMID- 29803749
TI - Structural characterization of a novel glycoprotein in wheat germ and its
physicochemical properties.
AB - Wheat germ is well known for as a rich source of nutrients. In this study, a
novel water soluble wheat germ glycoprotein (WGPII), with an average molecular
weight of 14.5 kDa, was extracted from commercial wheat germ. The protein
segments occupy 70.38% (w/w) of WGPII, and are rich in glutamic acid. The sugar
residues of WGPII are primarily composed of (1 -> 5)-linked alpha-l-Araf, (1 ->
3, 6)-linked-alpha-d-Manp, (1->)-alpha-d-Glcp, (1 -> 6)-linked-alpha-d-Glcp, (1
> 6)-linked-alpha-d-Galp, (1 -> 4)-linked-alpha-d-Xylp and (1 -> 4,6)-linked
alpha-d-Glcp. The protein and polysaccharide parts are linked by O-linkage bonds.
WGPII is highly stable in aqueous solution at low concentrations (0.1-1 mg/mL),
and show high thermo stability.
PMID- 29803750
TI - Green process to prepare water-insoluble silk scaffolds with silk I structure.
AB - Silk porous scaffolds have shown promising applications in tissue regenerations
as cellular scaffolds to incorporate cells in vitro and in vivo, and facilitate
cell proliferation and production of extracellular matrix. It remains strong
needs to optimize the microstructures and performances of silk scaffolds for
better biocompatibility. Here, a green process was developed to form water
insoluble scaffolds. Repeated freezing-dissolving procedures and silk nanofibers
were introduced to tune the performances of the scaffolds, resulting in amorphous
conformations and nanofibrous structures. Controllable degradation and mechanical
properties as well as improved cell compatibility were then achieved for these
scaffolds, suggesting their promising future in tissue regenerations. Our present
results confirmed the possibility of actively designing silk scaffolds with
preferable properties used in various tissue regenerations.
PMID- 29803751
TI - Effects of sodium carbonate and potassium carbonate on colloidal properties and
molecular characteristics of konjac glucomannan hydrogels.
AB - When konjac glucomannan (KGM) molecules are deacetylated under alkaline
conditions, the aqueous KGM solution is transformed into a thermally stable gel.
In this study, series of Na2CO3-induced and K2CO3-induced KGM hydrogels were
prepared by deacetylation using different concentrations (0.1, 0.2, 0.3, and 0.4
M) of alkali. The hydrogels were characterized using texture profile analysis,
scanning electron microscopy (SEM), Fourier-transform infrared spectroscopy, X
ray diffraction, and rheological property analysis. The data showed that KGM
hydrogel formation was facilitated at all the alkali concentrations used. The
mechanisms of Na2CO3-induced and K2CO3-induced KGM hydrogels formation differed
slightly. The hardness, springiness, chewiness, gumminess, and storage modulus G'
of the Na2CO3-induced KGM hydrogels initially increased and then decreased with
increasing alkali concentration. However, the values of the corresponding
properties of the K2CO3-induced KGM hydrogels increased with increasing alkali
concentration. All the data were consistent with the structures observed using
SEM. The 0.3 M Na2CO3-induced KGM hydrogel had the highest hardness and storage
modulus G', a well-proportioned network structure, and a dense architecture; 0.3
M Na2CO3 was therefore the most suitable modifier for inducing KGM hydrogel
formation.
PMID- 29803752
TI - Novel Ultrasonographic Scoring System of Sinusoidal Obstruction Syndrome after
Hematopoietic Stem Cell Transplantation.
AB - Sinusoidal obstruction syndrome (SOS)/hepatic veno-occlusive disease (VOD) is a
well-documented complication after hematopoietic stem cell transplantation
(HSCT). Transabdominal ultrasonography (US) enables the visualization of blood
flow abnormalities and is therefore useful for the diagnosis of SOS/VOD. We
herein prospectively evaluated accuracy of a novel US diagnostic scoring system
of SOS/VOD based on US findings. We carried out US in 106 patients on day 14 and
when SOS/VOD was suspected after allogeneic HSCT. Among 106 patients, 10 patients
(9.4%) were diagnosed as SOS/VOD by Baltimore or Seattle criteria. According to
univariate analysis of 17 US findings (US-17 screening), we established a novel
scoring system (HokUS-10) consisting of 10 parameters, such as gallbladder wall
thickening, ascites, and blood flow signal in the paraumbilical vein. The
sensitivity and specificity were 100% and 95.8%, respectively. Diagnostic
performance of the HokUS-10 was significantly better than US-17 screening. In 4
of 10 patients US detection of SOS/VOD preceded to clinical diagnosis. The HokUS
10 scoring system is useful in the diagnosis of SOS/VOD; however, our results
should be validated in other cohorts.
PMID- 29803753
TI - Propensity Score Analysis of Conditioning Intensity in Peripheral Blood
Haploidentical Hematopoietic Cell Transplantation.
AB - T cell replete HLA-haploidentical hematopoietic cell transplantation (haplo-HCT)
with post-transplant cyclophosphamide was originally described using a reduced
intensity conditioning (RIC) regimen. Given that myeloablative conditioning (MAC)
is more effective at preventing disease relapse, we compared outcomes of patients
receiving MAC and RIC regimens. We evaluated overall survival (OS), disease-free
survival (DFS), relapse, nonrelapse mortality (NRM), and graft-versus-host
disease (GVHD) of 148 patients that underwent haplo-HCT with either MAC (n = 61)
or RIC (n = 87). Propensity score adjustment (PSA) was used to balance baseline
characteristics between groups and more effectively compare outcomes based on
conditioning intensity. After the PSA analysis, relapse was significantly
decreased with MAC (hazard ratio [HR], .47; 95% confidence interval [CI], .31 to
.70), but was associated with higher NRM (HR, 1.74; 95% CI, 1.13 to 2.67). OS and
DFS were not significantly different between groups (HRs for MAC versus RIC were
.87 [95% CI, .64 to 1.18] and .90 [95% CI, .68 to 1.18] for OS and DFS,
respectively). Rates of acute and chronic GVHD were not significantly different
between groups. This analysis suggests that both MAC and RIC regimens are
effective in haplo-HCT and that MAC regimens may result in less relapse in
selected patients. These results need to be verified in a larger registry study.
PMID- 29803754
TI - Determination of an Optimized Weighting Factor of Liver Parenchyma for Six-point
Interference Dixon Fat Percentage Imaging Accuracy in Nonalcoholic Fatty Liver
Disease Rat Model.
AB - RATIONALE AND OBJECTIVES: The aim of this study was to determine the optimal
weighting factor (WF) for precise quantification using six-point interference
Dixon fat percentage imaging by analyzing changes in WFs of fatty acid
metabolites (FMs) in high-fat-induced fatty liver disease rat model. MATERIALS
AND METHODS: Individual FM-related WFs were calculated based on concentration
ratios of integrated areas of seven peak FMs with four phantom series. Ten 8-week
old male Sprague-Dawley rats were used for baseline quantification of fat in
liver magnetic resonance imaging or magnetic resonance spectroscopy data. These
seven lipid metabolites were then quantitatively analyzed. Spearman test was used
for correlation analysis of different lipid proton concentrations. The most
accurate WF for six-point interference Dixon fat percentage imaging was then
determined. RESULTS: The seven lipid resonance WF values obtained from magnetic
resonance spectroscopy data for three different oils (oleic, linoleic, and
soybean) were different from each other. In lipid phantoms, except for the
phantom containing oleic acid, changes in FP values were significantly different
when WFs were changed in six-point interference Dixon fat percentage image. The
seven lipid resonance WF values for the nonalcoholic fatty liver animal model
were different from human subcutaneous adipose tissue lipid WF values.
CONCLUSIONS: WF affected the calculation of six-point interference Dixon-based
fat percentage imaging value in phantom experiment. If WF of liver parenchyma FM
which is specific to each liver disease is applied, the accuracy of six-point
interference Dixon fat percentage imaging can be further increased.
PMID- 29803755
TI - Ten Events That Defined Anthelmintic Resistance Research.
AB - Fifty years after anthelmintic resistance in livestock parasites was first
reported, the prevalence of resistance has increased globally, and is of
increasing significance in animal industries. It is now timely to reflect on what
we have learnt, how research has unfolded, and what we hope to learn in the
future. This Opinion paper examines ten important research events that were
pivotal in resistance research. The moments include the discovery, description,
and diagnosis of parasite resistance, as well as important physiological and
genetic findings, and the development of online tools to help manage resistance.
Despite our efforts, resistance remains the greatest challenge in parasite
control. The future directions for research, including people and funding, are
discussed.
PMID- 29803756
TI - Records of ticks on humans in Rio Grande do Sul state, Brazil.
AB - More than seventy tick species have been reported in Brazil. Despite the
emergence of tick-borne diseases in Neotropical region, there are still limited
data available on tick species parasitizing humans in Brazil. Rio Grande do Sul
is the southernmost state of Brazil, comprising the only part of Brazilian
territory inside the Pampa biome, as well as the transition between subtropical
and temperate zones. Here, we report on human parasitism by ticks in Rio Grande
do Sul state between 2004 and 2017. Seventy cases of human parasitism by ticks
were recorded, with a total of 81 tick specimens collected. These included 11
tick species belonging to three genera of Ixodidae (hard-ticks), Amblyomma,
Haemaphysalis and Rhipicephalus; and one genus of Argasidae, Ornithodoros. The
most prevalent tick species associated to cases of human parasitism were
Amblyomma parkeri (24%), Rhipicephalus sanguineus sensu lato (22%), Amblyomma
aureolatum (15%) and Amblyomma ovale (12%). A spatial analysis showed two major
hot spots of human parasitism by ticks in Rio Grande do Sul state. The findings
of this study highlight the need for permanent monitoring of human parasitism by
ticks in order to provide a better understanding of tick and tick-borne disease
eco-epidemiology, and the early identification of potential cases of tick-borne
diseases, particularly in spotted fever endemic regions.
PMID- 29803758
TI - Increase in chromogranin A- and serotonin-positive cells in pouch mucosa of
patients with ulcerative colitis undergoing proctocolectomy.
AB - BACKGROUND: Inflammatory bowel disease (IBD) is associated with neuroendocrine
cell hyperplasia. AIMS: We investigated neuroendocrine cells in J-pouches of
patients with ulcerative colitis undergoing restorative proctocolectomy and ileal
pouch-anal anastomosis. METHODS: Sections from pouch biopsies of 17 patients and
ileal biopsies of 17 active IBD patients and 16 controls were processed by
immunohistochemistry for chromogranin A (CgA) and serotonin. Mucosal tryptophan
hydroxylase (TpH)-1 and serotonin-selective reuptake transporter (SERT)
transcripts were measured by quantitative RT-PCR. TpH-1 and SERT transcripts were
detected in pouch biopsies cultured with infliximab or its isotype control, while
interleukin (IL)-6 and IL-8 were measured in biopsy supernatants. RESULTS: A
significant increase in CgA-positive cells and serotonin-positive cells was
observed in both pouch and IBD ileum compared to control ileum. Significantly
raised transcripts of TpH-1, but not SERT, were found in IBD ileum in comparison
to control ileum, with no significant difference between pouch and IBD ileum.
Infliximab had no influence on ex vivo pouch expression of TpH-1 and SERT, nor on
the production of IL-6 and IL-8. CONCLUSION: We here demonstrated neuroendocrine
cell hyperplasia in pouch mucosa. Further studies are needed to clarify the
pathophysiological implication of this finding.
PMID- 29803757
TI - The epidemiology of Budd-Chiari syndrome in France.
AB - INTRODUCTION: Epidemiological data is lacking on primary Budd-Chiari syndrome
(BCS) in France. METHODS: Two approaches were used: (1) A nationwide survey in
specialized liver units for French adults. (2) A query of the French database of
discharge diagnoses screening to identify incident cases in adults. BCS
associated with cancer, alcoholic/viral cirrhosis, or occurring after liver
transplantation were classified as secondary. RESULTS: Approach (1) 178 primary
BCS were identified (prevalence 4.04 per million inhabitants (pmi)), of which 30
were incident (incidence 0.68 pmi). Mean age was 40 +/- 14 yrs. Risk factors
included myeloproliferative neoplasms (MPN) (48%), oral contraceptives (35%) and
factor V Leiden (16%). None were identified in 21% of patients, >=2 risk factors
in 25%. BMI was higher in the group without any risk factor (25.7 kg/m2 vs 23.7
kg/m2, p < 0.001). Approach (2) 110 incident primary BCS were admitted to French
hospitals (incidence 2.17 pmi). MPN was less common (30%) and inflammatory local
factors predominated (39%). CONCLUSION: The entity of primary BCS as recorded in
French liver units is 3 times less common than the entity recorded as
nonmalignant hepatic vein obstruction in the hospital discharge database. The
former entity is mostly related to MPN whereas the latter with abdominal
inflammatory diseases.
PMID- 29803759
TI - Relationships between abstract features and methodological quality explained
variations of social media activity derived from systematic reviews about
psoriasis interventions.
AB - OBJECTIVES: The aim of this study was to describe the relationship among abstract
structure, readability, and completeness, and how these features may influence
social media activity and bibliometric results, considering systematic reviews
(SRs) about interventions in psoriasis classified by methodological quality.
STUDY DESIGN AND SETTING: Systematic literature searches about psoriasis
interventions were undertaken on relevant databases. For each review,
methodological quality was evaluated using the assessing the methodological
quality of systematic reviews tool. Abstract extension, structure, readability,
and quality and completeness of reporting were analyzed. Social media activity,
which consider Twitter and Facebook mention counts, as well as Mendeley readers
and Google scholar citations were obtained for each article. Analyses were
conducted to describe any potential influence of abstract characteristics on
review's social media diffusion. RESULTS: We classified 139 intervention SRs as
displaying high/moderate/low methodological quality. We observed that abstract
readability of SRs has been maintained high for last 20 years, although there are
some differences based on their methodological quality. Free format abstracts
were most sensitive to the increase of text readability as compared with more
structured abstracts (Introduction, Methods, Results, and Discussion or eight
headings), yielding opposite effects on their quality and completeness depending
on the methodological quality: a worsening in low quality reviews and an
improvement in those of high quality. Both readability indices and preferred
reporting items of systematic reviews and meta-analyses for Abstract total scores
showed an inverse relationship with social media activity and bibliometric
results in high methodological quality reviews but not in those of lower quality.
CONCLUSION: Our results suggest that increasing abstract readability must be
specially considered when writing free format summaries of high-quality reviews
because this fact correlates with an improvement of their completeness and
quality, and this may help to achieve broader social media visibility and article
usage.
PMID- 29803760
TI - Prolonged partial obstruction during sleep is a NREM phenomenon.
AB - OBJECTIVE: Prolonged partial obstruction (PPO) is a common finding in sleep
studies. Although not verified, it seems to emerge in deep sleep. We study the
effect of PPO on sleep architecture or sleep electroencephalography (EEG)
frequency. METHODS: Fifteen OSA patients, 15 PPO + OSA patients and 15 healthy
subjects underwent a polysomnography. PPO was detected from Emfit mattress
signal. Visual sleep parameters and median NREM sleep frequency of the EEG
channels were evaluated. RESULTS: The amount of deep sleep (N3) did not differ
between the PPO + OSA and control groups (medians 11.8% and 13.8%). PPO + OSA
patients' N3 consisted mostly of PPO. PPO + OSA patients had lighter sleep than
healthy controls in three brain areas (Fp2-A1, C4-A1, O1-A2, p-values < 0.05).
CONCLUSION: PPO evolved in NREM sleep and especially in N3 indicating that upper
airway obstruction does not always ameliorate in deep sleep but changes the type.
Even if PPO + OSA-patients had N3, their NREM sleep was lighter in three EEG
locations. This might reflect impaired recovery function of sleep.
PMID- 29803761
TI - Carotid body size measured by computed tomographic angiography in individuals
born prematurely.
AB - PURPOSE: We tested the hypothesis that the carotid bodies would be smaller in
individuals born prematurely or exposed to perinatal oxygen therapy when compared
individuals born full term that did not receive oxygen therapy. METHODS: A
retrospective chart review was conducted on patients who underwent head/neck
computed tomography angiography (CTA) at the Mayo Clinic between 10 and 40 years
of age (n = 2503). Patients were identified as premature ( < 38 weeks) or
receiving perinatal oxygen therapy by physician completion or billing codes (n =
16 premature and n = 7 receiving oxygen). Widest axial measurements of the
carotid body images captured during the CTA were performed. RESULTS: Carotid body
visualization was possible in 43% of patients and 52% of age, sex, and body mass
index (BMI)-matched controls but only 17% of juvenile preterm subjects (p = .07).
Of the carotid bodies that could be visualized, widest axial measurements of the
carotid bodies in individuals born prematurely (n = 7, 34 +/- 4 weeks gestation,
birth weight: 2460 +/- 454 g; average size: 2.5 +/- 0.2 cm) or individuals
exposed to perinatal oxygen therapy (n = 3, 38 +/- 2 weeks gestation, Average
size: 2.2 +/- 0.1 cm) were not different when compared to controls (2.3 +/- 0.2
cm and 2.3 +/- 0.2 cm, respectively, p > 0.05). CONCLUSIONS: Carotid body size,
as measured using CTA, is not smaller in adults born prematurely or exposed to
perinatal oxygen therapy when compared to sex, age, and BMI-matched controls.
However, carotid body visualization was lower in juvenile premature patients. The
decreased ability to visualize the carotid bodies in these individuals may be a
result of their prematurity.
PMID- 29803762
TI - Review of Recent Important Papers in Interventional Pulmonology.
AB - Interventional pulmonology is an innovative branch of pulmonary medicine that
uses minimally invasive diagnostic and therapeutic approaches to airway,
parenchymal, and pleural disease. The author reviews recent high-impact trials
including randomized trials describing the use of endobronchial coils and valves
in the management of severe emphysema. Novel approaches to the palliation of
malignant and benign pleural effusion including increasing the frequency of
drainage and instillation of talc via tunneled pleural catheters are also
presented. In addition, a recent consensus paper discussing the optimal use and
technique of cryobiopsy in diffuse parenchymal disease is reviewed, as well as a
systematic review and meta-analysis of its diagnostic yield. The final trial
reviewed in this article describes the preliminary safety and efficacy study of a
novel endobronchial, balloon, and injection catheter for the local administration
of chemotherapy into the airway mucosa so as to minimize the systemic absorption
of these agents.
PMID- 29803763
TI - Clinical characteristics and outcome of critically ill patients with bacteremia
caused by extended-spectrum beta-lactamase-producing and non-producing
Escherichia coli.
AB - The number of patients infected with extended-spectrum beta-lactamase (ESBL)
producing organisms has increased dramatically worldwide, and high mortality
rates are seen in severely ill patients. This study retrospectively compared the
clinical characteristics and outcomes of critically ill patients in an intensive
care unit (ICU) at the Tsuyama Chuo Hospital (Okayama, Japan) who were
hospitalized for bacteremia caused by ESBL-producing Escherichia coli (ESBL-EC)
or non-ESBL-producing E. coli (non-ESBL-EC) between January 2006 and December
2016 (11 years). We analyzed the patients' age, sex, underlying disease(s),
sequential organ failure assessment scores, primary focus of bacteremia, empiric
antibiotics, rate of appropriateness of empiric antibiotics, and treatment
duration, with 28-day mortality being the primary outcome. The study included 24
patients with ESBL-EC bacteremia and 77 with non-ESBL-EC bacteremia. The rate of
appropriate initial antibiotic treatment was significantly lower (54.2% vs.
96.1%, respectively; P < 0.01) and the mortality due to bacteremia significantly
higher (37.5% vs. 15.6%, respectively; P = 0.04) in the ESBL-EC than in the non
ESBL-EC bacteremia group. A subgroup analysis focusing on patients who were
administered appropriate empiric antibiotics showed that the 28-day mortality
rate did not differ significantly between the two groups (P = 0.23). To our
knowledge, this is the first study to compare the outcomes of patients with ESBL
EC and non-ESBL-EC bacteremia in a Japanese ICU setting. Initial empiric
antibiotic therapy covering ESBL-producing pathogens should be considered for
critically ill patients in the ICU.
PMID- 29803764
TI - Serotonergic projections to the ventral respiratory column from raphe nuclei in
rats.
AB - The ventral respiratory column (VRC) generates rhythmical respiration and is
divided into four compartments: the Botzinger complex (BC), pre-Botzinger complex
(PBC), rostral ventral respiratory group (rVRG), and caudal ventral respiratory
group (cVRG). Serotonergic nerve fibers are densely distributed in the rostral to
caudal VRC and serotonin would be one of the important modulators for the
respiratory control in the VRC. In the present study, to elucidate detailed
distribution of serotonergic neurons in raphe nuclei projecting to the various
rostrocaudal levels of VRC, we performed combination of retrograde tracing
technique by cholera toxin B subunit (CTB) with immunohistochemistry for
tryptophan hydroxylase 2 (TPH2). The double-immunoreactive neurons with CTB and
TPH2 were distributed in the both rostral and caudal raphe nuclei, i.e. dorsal
raphe nucleus, raphe magnus nucleus, gigantocellular reticular nucleus alpha and
ventral parts, lateral paragigantocellular nucleus, parapyramidal area, raphe
obscurus nucleus, and raphe pallidus nucleus. The distributions of double
immunoreactive neurons were similar among injection groups of BC, PBC, anterior
rVRG, and posterior rVRG/cVRG. In conclusion, serotonergic neurons in both
rostral and caudal raphe nuclei projected throughout the VRC and these
serotonergic projections may contribute to respiratory responses to various
environmental and vital changes.
PMID- 29803765
TI - In situ reactive multi-material Ti6Al4V-calcium phosphate-nitride coatings for
bio-tribological applications.
AB - To reduce the wear related damage of medical grade Ti-6Al-4V alloy, laser
engineered net shaping (LENSTM) based in situ reactive multi-material additive
manufacturing was employed to process a mixed coating of Ti-6Al-4V powder and
calcium phosphate (CaP) in an oxygen free, nitrogen-argon environment. The
resultant coatings were composite materials of titanium nitrides and calcium
titanate in an alpha-Ti matrix. Hardness was increased by up to ~148% to 868 +/-
9 HV as compared to the untreated Ti-6Al-4V substrate. Similarly, when
tribological properties were evaluated in deionized (DI) water medium against
alumina counter material, the wear damage was reduced by ~91% as compared to the
untreated Ti-6Al-4V substrate. Furthermore, the untreated Ti-6Al-4V substrate
released Ti ions of ~12.45 ppm concentration during wear whereas the Ti6Al4V
5%CaP coating processed in an argon-nitrogen environment released ions of ~3.17
ppm concentration under similar testing conditions. The overall coefficient of
friction was also found to decrease due to the addition of CaP and processing the
Ti6Al4V-CaP mixture in an argon-nitrogen environment. Our results indicate that
this reactive multi-material additive manufacturing of metal-ceramic composites
is an effective way of enhancing the tribological performance of metallic
materials.
PMID- 29803766
TI - Evolution of callus tissue behavior during stable distraction osteogenesis.
AB - Multiple studies have sought to characterize the mechanical behavior of callus
tissue in vivo during distraction osteogenesis. The aims of such studies are to
understand the mechanobiology of distraction and elucidate the complex
viscoelasticity and evolution of the tissue. The former objective has direct
clinical relevance to surgical technique and process control while the latter is
necessary for the calibration and validation of the predictive healing models.
Such models seek to reduce the researcher's dependence on animal studies and
prospectively allow improved surgical planning. To date, no study has been
capable of controlling the mechanical conditions sufficiently enough to decouple
the distraction process from the secondary mechanical stimulation associated with
the finite stiffness of the fixation constructs employed. It is the goal of this
work to understand the mechanobiology of pure distraction as well as characterize
viscoelastic tissue behavior under precisely defined mechanical conditions. This
is achieved using a novel lateral distraction model. The structural integrity of
the bone is maintained, allowing the collection of force relaxation data due to a
stepwise distraction process without the superimposed influence of secondary
mechanical stimulation. The average instantaneous modulus increases from
approximately 2 kPa to approximately 1100 kPa while the equilibrium modulus
increases from approximately 0 kPa to 200 kPa over the distraction period.
PMID- 29803767
TI - Incidence of interval colorectal cancer attributable to an endoscopist in
clinical practice.
AB - BACKGROUND AND AIMS: Endoscopists who encounter an interval colorectal cancer (I
CRC) may be concerned about the implications because I-CRCs may represent a lapse
in colonoscopy quality and a missed opportunity for prevention. We wanted to
determine the I-CRC rate per colonoscopy examination and to examine the effect of
colonoscopy volume and adenoma detection rate (ADR) on the number of I-CRCs
attributable to an endoscopist. METHODS: We determined the rate of I-CRC
diagnosis per outpatient colonoscopy examination by measuring the incidence of
CRC diagnosis in practice and by assessing, via literature review, the percentage
of cancers that are interval. We also estimated the number of attributable I-CRCs
as a function of ADR and colonoscopy volume. RESULTS: Among 93,562 colonoscopies
performed in 2013 to 2015 by 120 physicians in 4 diverse U.S. medical centers,
526 CRCs were diagnosed (.6%). Of 149,556 CRCs in the published literature, 7958
were I-CRCs (5.25% +/- .94%). With rates of .6% (CRC per colonoscopy) and 5.25%
(I-CRC per CRC), the rate of I-CRC is 1 per 3174 colonoscopies (95% confidence
interval, 1 per 2710 to 1 per 3875). An endoscopist at the median of outpatient
colonoscopy volume (316/year) in the lowest ADR quintile of detection (7%-19%)
would have an I-CRC attributed every 8.2 years, or 4.2 I-CRCs in a 35-year
career, versus every 16.7 years, or 2.0 I-CRCs in a 35-year career, for an
endoscopist in the highest ADR quintile (33%-52%). CONCLUSIONS: An average-volume
endoscopist will have 2 to 4 attributable I-CRCs in a 35-year career, but the
frequency will vary depending on colonoscopy volume and ADR.
PMID- 29803768
TI - Visceral infiltration of intrahepatic cholangiocarcinoma is most prognostic after
curative resection - Retrospective cohort study of 102 consecutive liver
resections from a single center.
AB - INTRODUCTION: Intrahepatic cholangiocarcinoma (ICC) is a rare malignancy, and
therefore large unicenter series on the surgical outcome are rare in the
literature, and prognostic factors for overall survival in the literature vary
widely. METHODS: All patients who underwent surgery for ICC were prospectively
recorded. The type of resection, operative details, histological results,
morbidity, mortality, overall and recurrence-free survivals as well as prognostic
factors were assessed. Prognostic factors were examined by univariate and
multivariate analyses. P-values <0.05 were considered significant. RESULTS:
Between January 2008 and December 2015, 102 patients underwent a resection with
curative intent and were included in this analysis. Major and extended
hepatectomies were performed in 19 and 53 cases, respectively. Twenty-eight
patients had additional vascular and 35 patients additional visceral resections.
R0-resections were achieved in 87 patients (85.3%). Median recurrence-free and
overall survivals were 9.3 and 20.8 months, respectively. N-stage, infiltration
of surrounding structures and UICC stage were significant prognostic factors in
the univariate analysis. Multivariate analysis depicted only visceral
infiltration (p = 0.011) as independent predictor for overall survival, and tumor
size (p < 0.001), N-stage (p = 0.007), R-stage (p = 0.008) and M-stage (p =
0.009) for recurrence-free survival. CONCLUSION: An aggressive surgical approach
achieves a high rate of R0 resections even in advanced ICC. Visceral infiltration
is an independent predictor for overall survival for ICC after curative
resection.
PMID- 29803769
TI - Nomogram for predicting central lymph node metastasis in papillary thyroid
microcarcinoma: A retrospective cohort study of 8668 patients.
AB - INTRODUCTION: The status of central lymph node metastasis (CLNM) is crucial to
determining the surgical strategies for papillary thyroid micro carcinoma (PTMC).
The objective of the study was to establish a nomogram to predict the possibility
of CLNM in PTMC patients. METHODS: A total of 8668 PTMC patients who underwent
total thyroidectomy or lobectomy with central lymph node dissection (CLND) from
2006 to 2016 were retrospectively retrieved. Univariate and multivariate analysis
were performed to examine risk factors associated with CLNM. A nomogram for
predicting CLNM was established and internally validated. RESULTS: Six variables
significantly associated with CLNM were included in our model, these are age <55
years (odd ratio [OR] = 1.779, 95% confidence interval [CI],1.569-2.017; P <
0.001), male sex (OR = 1.718, 95%CI,1.543-1.913; P < 0.001), tumor size 0.5-1.0
cm (OR = 1.985,95%CI,1.761-2.238; P < 0.001), multifocal lesions (OR = 1.263,
95%CI,1.118-1.426; P < 0.001), extra thyroidal extension (ETE) (OR = 1.348,
95%CI,1.092-1.664; P = 0.005) and lateral lymph node metastasis (LLNM) (OR =
5.135, 95%CI, 4.236-6.225; P < 0.001). The discrimination of the prediction model
was 0.711 (95%CI, 0.700-0.722; P < 0.001). CONCLUSIONS: Based on the quantified
risk stratification offered by our nomogram, clinicians might have a thorough
discussion with PTMC patients during the both pre- and postoperative period.
Prophylactic CLND and strict postoperative evaluation may be indicated when the
patients have a high nomogram score.
PMID- 29803770
TI - Evolution of pancreatectomy with en bloc venous resection for pancreatic cancer
in Italy. Retrospective cohort study on 425 cases in 10 pancreatic referral
units.
AB - INTRODUCTION: The aim of this study is to analyze the evolution of pancreatectomy
with venous resection in 10 referral Italian centers in the last 25 years.
METHODS: A multicenter database of 425 patients submitted to pancreatectomy with
venous resection between 1991 and 2015 was retrospectively analyzed. Patients
were classified in 5 periods: 1 (1991-1995); 2 (1996-2000); 3 (2001-2005); 4
(2006-2010); 5 (2011-2015). Indications and outcomes were compared according to
the period of surgery. RESULTS: Nineteen patients were operated in period 1, 28
in period 2, 91 in period 3, 140 in period 4, and 147 in period 5. Use of
neoadjuvant therapy increased from 0% in period 1 and 2-12.1% in period 5.
Postoperative complications ranged from 46.3% to 67.8%, and mortality from 5.3%
to 9.2%. Median survival progressively increased, from 6 months in period 1-16
months in period 2, 24 months in period 3 and 4 and 35 months in period 5 (p =
0.004). Period, venous and nodal invasion were significant prognostic factors for
survival. CONCLUSION: Management and outcomes of pancreatectomy with venous
resection have evolved in the last 25 years in Italy. Improvement in patients'
multidisciplinary management has lead to significant improvement of median
survival.
PMID- 29803771
TI - Saccharification efficiencies of multi-enzyme complexes produced by aerobic
fungi.
AB - In the present study, we have characterized high molecular weight multi-enzyme
complexes in two commercial enzymes produced by Trichoderma reesei (Spezyme CP)
and Penicillium funiculosum (Accellerase XC). We successfully identified 146-1000
kDa complexes using Blue native polyacrylamide gel electrophoresis (BN-PAGE) to
fractionate the protein profile in both preparations. Identified complexes
dissociated into lower molecular weight constituents when loaded on SDS PAGE.
Unfolding of the secondary structure of multi-enzyme complexes with
trimethylamine (pH >10) suggested that they were not a result of unspecific
protein aggregation. Cellulase (CMCase) profiles of extracts of BN-PAGE
fractionated protein bands confirmed cellulase activity within the multi-enzyme
complexes. A microassay was used to identify protein bands that promoted high
levels of glucose release from barley straw. Those with high saccharification
yield were subjected to LC-MS analysis to identify the principal enzymatic
activities responsible. The results suggest that secretion of proteins by aerobic
fungi leads to the formation of high molecular weight multi-enzyme complexes that
display activity against carboxymethyl cellulose and barley straw.
PMID- 29803772
TI - Long-Term Skills Retention Following a Randomized Prospective Trial on Adaptive
Procedural Training.
AB - OBJECTIVE: Cumulative sum (CuSum) is a real-time proficiency-monitoring tool
adapted for simulation-based training. This study's objective was to investigate
long-term outcomes of a double blinded, randomized control trial conducted with
medical students assessing CuSum-guided curriculum against volume-based
standards. The trial found a nearly 20% reduction in practice time to reach
proficiency using the CuSum curriculum but long-term effects of decreased
practice volume on proficiency is unknown. DESIGN: Prior participants completed a
survey assessing confidence, exposure, and feedback at 12 to 18 months following
trial completion. They underwent retention testing of suturing, intubation, and
central venous catheter placement (CVC), which was video-recorded and assessed by
an expert evaluator. Baseline characteristics among repeat subjects were compared
using chi-squared tests. Retention and initial trial outcome were compared using
paired parametric statistical methods. SETTING: The study was conducted at a
major tertiary care center and training hospital. PARTICIPANTS: Medical students,
which completed the initial randomized control trial were eligible for
enrollment. A total of 30/46(65%) responded to the survey, whereas 33/46(72%)
completed retention testing. RESULTS: Average scores and decay in procedural
tasks over time for suturing, intubation and CVC were 91.6% (-4.7%), 86.1% (
4.1%), and 76.2% (-14.8%), respectively. Compared to the control group, the CuSum
group mean difference in retention evaluation scores was -5.6% (p = 0.12).
Confidence was not associated with initial or retention testing performance in
any procedural task. Higher confidence was associated with additional exposure to
the procedural task in suturing and intubation (p = 0.03 and p = 0.02,
respectively). For intubation, higher confidence was reported by participants who
received positive feedback (p = 0.01), and those assigned to the volume-based
training arm (p = 0.03). CONCLUSION: CuSum-guided training was equivalent to
conventional training for suturing, intubation, and CVC. These findings
importantly suggest medical students can retain competency in invasive surgical
tasks with modest decay in proficiency over time regardless of initial training
method.
PMID- 29803773
TI - Pulmonary aspergillomas management: A 26-years case series of surgical therapy.
PMID- 29803774
TI - Popeye sign: Frequency and functional impact.
AB - INTRODUCTION: There is currently no consensus on the choice between tenotomy and
tenodesis of the long head of the biceps tendon in rotator cuff repair. The
Popeye sign is often seen as a drawback of tenotomy. The main objective of the
present study was to determine the frequency and clinical impact of the Popeye
sign. HYPOTHESIS: The Popeye sign is rarely found clinically, and shows little
functional impact following tenotomy. MATERIALS AND METHODS: A single-center non
randomized prospective study was conducted between February and October 2015 in
all patients undergoing rotator cuff surgery. Patients without surgery on the
long head of the biceps tendon were excluded. The rate of Popeye sign was
assessed 6 months postoperatively. Tenotomy patients with and without Popeye sign
were compared in terms of pain on visual analog scale<=3, gain in range of
motion, improvement in subjective shoulder value, discomfort or cramps and gain
in Constant score. RESULTS: Seven of the 55 patients included (15.2%) showed
postoperative Popeye sign. There were no differences between the groups with and
without Popeye sign on any of the assessment criteria except for a significantly
greater improvement in mean Constant range of motion score in the Popeye group:
gain of 13.8 versus 3.8 points; p=0.01. DISCUSSION: The Popeye sign was
relatively rarely observed. Functional impact in the present study was slight.
Longhead of the biceps tenotomy is a justifiable treatment option in case of
associated rotator cuff tear repair. LEVEL OF EVIDENCE: IV, prospective non
randomized.
PMID- 29803775
TI - Development of a three-plex single molecule immunoassay enabling measurement of
the EGFR ligands amphiregulin, betacellulin and transforming growth factor alpha
simultaneously in human serum samples.
AB - BACKGROUND: Prior to large studies in breast cancer patients and healthy
individuals we established a sensitive three-plex immunoassay to measure the EGFR
ligands amphiregulin (AR), betacellulin (BTC) and transforming growth factor
alpha (TGF-alpha) simultaneously in human serum samples. METHOD: The three-plex
immunoassay was developed using single molecule array (Simoa) technology and
requires only 20 MUL of serum. RESULTS: AR, BTC and TGF-alpha were first
established as three single-plex assays. Multiplexing the three single-plex
assays showed no significant cross reactivity between the reagents. The
concentrations of the ligands in serum samples showed correlations r2 >= 0.84
between the single-plex and three-plex methods. The three-plex assay demonstrated
limit of detection levels at 0.16 ng/L for AR, 0.23 ng/L for BTC and 0.22 ng/L
for TGF-alpha. Total coefficients of variations were 8.5%-31% for AR, 11%-21.8%
for BTC and 12.4%-16.2% for TGF-alpha. Spiking experiments showed a mean recovery
of 97% for AR, 86% for BTC and 81% for TGF-alpha. The concentrations of the EGFR
ligands did not change significantly after series of freeze thaw cycles or
incubation at 22 degrees C for up to 24 h. CONCLUSION: This robust three-plex
assay with up to 40-fold increase in sensitivity relative to conventional ELISA
is the first published method that has the required sensitivity to measure AR,
BTC and TGF-alpha simultaneously in human blood samples.
PMID- 29803776
TI - Quantitative ELISA sandwich for a new vaccine against avian influenza virus H5N1.
AB - Analytical techniques are essential in the process of standardizing and
validating vaccines. In this study we described a methodology to establish an
ELISA sandwich for the quantification of a new vaccine against avian influenza
virus H5N1 based on the main antigenic determinant of the virus, the
extracellular domain of the glycoprotein hemagglutinin (HA), fused to the
extracellular domain of the chicken CD154 glycoprotein (HACD). The chimerical
proteins HA and HACD were produced in SiHa cells and the experiments were
performed by using three monoclonal antibodies (MAb-HA1, MAb-HA2 and MAb-HA3),
alone or conjugated to horseradish peroxidase (HRP-HA1, HRP-HA2 and HRP-HA3). The
hemagglutination inhibition assay was carried out with a negative and a positive
H5N2 reference serum, together with the antigen H5N1 A/Mallard/Italy/3401/05, all
purchased from the "Istituto Zooprofilattico delle Venezie", Italy. After
demonstrating the similar recognition pattern between the HA and the HACD
proteins, the MAb-HA2 at a concentration of 2,5 MUg/mL was selected as the
capture antibody and the HRP-HA3 at a dilution of 1/20000 was selected as the
detection antibody due to their optimal values of optical density at these
conditions. The best dynamic range of the standard curve using the protein HACD
was achieved at concentrations from 100 to 1,56 ng/mL. There were no significant
differences when five batches of HACD were quantified by the ELISA sandwich and
the bicinchoninic acid method linked to densitometry. In conclusion, the final
parameters for the quantification of the chimeric protein HACD using an ELISA
sandwich were described, which could contribute to develop a large-scale process
for the final vaccine production.
PMID- 29803777
TI - A 5-year follow-up study of 633 cutaneous SCC excisions: Rates of local
recurrence and lymph node metastasis.
AB - BACKGROUND: A 5-year follow-up study of 633 cutaneous squamous cell cancer (SCC)
excisions was performed by collecting data on rates of local recurrence (LR) and
lymph node (LN) metastasis. METHODS: A retrospective analysis of patients was
performed across four regional plastic surgery centres (Stoke Mandeville
Hospital, Aylesbury; John Radcliffe Hospital, Oxford; Salisbury District
Hospital, Salisbury and Queen Alexandra Hospital, Portsmouth) assessing rates of
LR and LN metastasis. RESULTS: We report 5-year outcomes from 598 SCCs (95%
follow-up rate). The total recurrence rate (LR and LN metastasis) was 6.7% (n =
40) at 5 years, with 96% of these occurring within 2 years. Median time to LR was
9 months (1-57), with 76.9% (n = 20) undergoing further wide local excision.
Median time to LN metastasis was 5.5 months (1-18 months). There were two cases
of disease-related death. Only 15% (n = 6) of incomplete excisions recurred.
Interestingly, 19.1% (n = 9) of 47 SCCs with perineural invasion on original
histopathology recurred versus only 5.6% (n = 31) of the 551 SCCs without
perineural invasion (p = 0.005). CONCLUSIONS: This study is one of the largest
studies to date following up 598 SCC excisions at 5 years with total recurrence
rates comparable to those in current published literature. We report perineural
invasion as a significant predictor of recurrence and that 96% of total
recurrence occurred within 2 years. This is in contrast to current UK guidelines
(75% at 2 years, 95% at 5 years), thus suggesting that shorter length of hospital
follow-up may be reasonable.
PMID- 29803778
TI - Say yes to "Sunday Dinner" and no to "Nyam and Scram": Family mealtimes,
nutrition, and emotional health among adolescents and mothers in Jamaica.
AB - We explore the quantity (frequency) and quality (priority, atmosphere, structure)
of family mealtimes and associations with nutritional and emotional health in
Jamaica. Urban adolescents (N = 330, M = 13.8 years, SD = 1.8, 64% girls) and
their mothers (M = 41.4 years, SD = 7.8) completed questionnaires. On average,
mothers reported having family meals 3-4 times/week and mealtime quality, but not
quantity, was associated with health. Correlations revealed that mothers ate more
unhealthily if they watched more TV during meals, and actor-partner independence
modeling showed that high SES adolescents ate more unhealthily if their mothers
had more difficulty finding time for family meals (and vice versa: partner
interaction). Additionally, adolescents and mothers were more psychologically
distressed if they themselves had more difficulty finding time for family meals,
if they had less positive attitudes/behaviors around mealtime atmosphere (actor
effects), or if they were high SES individuals placing lower importance on
mealtimes (actor interaction). Overall, however many weekly meals Jamaican
families are able to share together, what's important is to make those mealtimes
count as quality time. Leisurely family meals with enjoyable conversation
uninterrupted by television, such as the age-old Jamaican tradition of "Sunday
Dinner", may nourish both body and soul.
PMID- 29803779
TI - Effects of induced rumination on body dissatisfaction: Is there any difference
between men and women?
AB - BACKGROUND AND OBJECTIVES: Rumination is a factor in the development and
maintenance of body dissatisfaction. However, no study has yet investigated the
impact of the type of rumination on body image. The first aim of this study was
to examine whether the induction of analytic-abstract vs. concrete-experiential
rumination affects body dissatisfaction following an induction of negative body
image. The second objective was to examine gender differences in these effects.
METHODS: Following induction of negative body image, 102 university
undergraduates were randomly assigned to one of three experimental conditions
distraction, concrete rumination or abstract rumination. RESULTS: As expected,
there were significant main effects of gender and condition, and a significant
interaction between gender and condition on change in body dissatisfaction. In
women abstract rumination predicted the highest increase in body dissatisfaction,
whereas concrete rumination predicted the highest increase in body
dissatisfaction in men. LIMITATIONS: Given that our sample consisted of
undergraduate students, our findings cannot be generalized to clinical sample
suffering from eating disorder. CONCLUSIONS: The different types of rumination
seem to impact differentially body dissatisfaction in men and women.
PMID- 29803780
TI - Strength in Numbers: A Team-Based Approach to Managing Patients with
Hyponatremia.
AB - This virtual patient panel provides learners with real-world application of best
practices among various members of the healthcare team in the management of
patients with hyponatremia. A patient case is provided, followed by discussion
among the team members to provide insight into and context for why particular
courses of action were chosen. (Online access:
https://courses.elseviercme.com/hyponatremia17).
PMID- 29803781
TI - Statistical selection of biological models for genome-wide association analyses.
AB - Genome-wide association studies have discovered many biologically important
associations of genes with phenotypes. Typically, genome-wide association
analyses formally test the association of each genetic feature (SNP, CNV, etc)
with the phenotype of interest and summarize the results with multiplicity
adjusted p-values. However, very small p-values only provide evidence against the
null hypothesis of no association without indicating which biological model best
explains the observed data. Correctly identifying a specific biological model may
improve the scientific interpretation and can be used to more effectively select
and design a follow-up validation study. Thus, statistical methodology to
identify the correct biological model for a particular genotype-phenotype
association can be very useful to investigators. Here, we propose a general
statistical method to summarize how accurately each of five biological models
(null, additive, dominant, recessive, co-dominant) represents the data observed
for each variant in a GWAS study. We show that the new method stringently
controls the false discovery rate and asymptotically selects the correct
biological model. Simulations of two-stage discovery-validation studies show that
the new method has these properties and that its validation power is similar to
or exceeds that of simple methods that use the same statistical model for all
SNPs. Example analyses of three data sets also highlight these advantages of the
new method. An R package is freely available at
www.stjuderesearch.org/site/depts/biostats/maew.
PMID- 29803782
TI - A hybrid injectable hydrogel from hyperbranched PEG macromer as a stem cell
delivery and retention platform for diabetic wound healing.
AB - : The injectable hydrogel with desirable biocompatibility and tunable properties
can improve the efficacy of stem cell-based therapy. However, the development of
injectable hydrogel remains a great challenge due to the restriction of
crosslinking efficiency, mechanical properties, and potential toxicity. Here, we
report that a new injectable hydrogel system was fabricated from hyperbranched
multi-acrylated poly(ethylene glycol) macromers (HP-PEGs) and thiolated
hyaluronic acid (HA-SH) and used as a stem cell delivery and retention platform.
The new HP-PEGs were synthesized via in situ reversible addition fragmentation
chain transfer (RAFT) polymerization using an FDA approved anti-alcoholic drug
Disulfiram (DS) as the RAFT agent precursor. HP-PEGs can form injectable
hydrogels with HA-SH rapidly via thiol-ene click reaction under physiological
conditions. The hydrogels exhibited stable mechanical properties, non-swelling
and anti-fouling properties. Hydrogels encapsulating adipose-derived stem cells
(ADSCs) have demonstrated promising regenerative capabilities such as the
maintenance of ADSCs' stemness and secretion abilities. The ADSCs embedded
hydrogels were tested on the treatment of diabetic wound in a diabetic murine
animal model, showing enhanced wound healing. STATEMENT OF SIGNIFICANCE: Diabetic
wounds, which are a severe type of diabetes, have become one of the most serious
clinical problems. There is a great promise in the delivery of adipose stem cells
into wound sites using injectable hydrogels that can improve diabetic wound
healing. Due to the biocompatibility of poly(ethylene glycol) diacrylate (PEGDA),
we developed an in situ RAFT polymerization approach using anti-alcoholic drug
Disulfiram (DS) as a RAFT agent precursor to achieve hyperbranched PEGDA (HP
PEG). HP-PEG can form an injectable hydrogel by crosslinking with thiolated
hyaluronic acid (HA-SH). ADSCs can maintain their regenerative ability and be
delivered into the wound sites. Hence, diabetic wound healing process was
remarkably promoted, including inhibition of inflammation, enhanced angiogenesis
and re-epithelialization. Taken together, the ADSCs-seeded injectable hydrogel
may be a promising candidate for diabetic wound treatment.
PMID- 29803783
TI - Arginine-based poly(ester amide) nanoparticle platform: From structure-property
relationship to nucleic acid delivery.
AB - : Many different types of polycations have been vigorously studied for nucleic
acid delivery, but a systematical investigation of the structure-property
relationships of polycations for nucleic acid delivery is still lacking. In this
study, a new library of biodegradable and biocompatible arginine-based poly(ester
amide) (Arg-PEA) biomaterials was designed and synthesized with a tunable
structure for such a comprehensive structure-property research. Nanoparticle (NP)
complexes were formed through the electrostatic interactions between the
polycationic Arg-PEAs and anionic nucleic acids. The following structure effects
of the Arg-PEAs on the transfection efficiency of nucleic acids were
investigated: 1) the linker/spacer length (length effect and odd-even effect); 2)
salt type of arginine; 3) the side chain; 4) chain stiffness; 5) molecular weight
(MW). The data obtained revealed that a slight change in the Arg-PEA structure
could finely tune its physicochemical property such as hydrophobicity, and this
could subsequently affect the nanoparticle size and zeta potential, which, in
turn, regulate the transfection efficiency and silencing outcomes. A further
study of the Arg-PEA/CpG oligodeoxynucleotide NP complexes indicated that the
polymer structure could precisily regulate the immune response of CpG, thus
providing a new potential nano-immunotherapy strategy. The in vitro data have
further confirmed that the Arg-PEA NPs showed a satisfactory delivery performance
for a variety of nucleic acids. Therefore, the data from the current study
provide comprehensive information about the Arg-PEA structure-transfection
property relationship; the tunable property of the library of Arg-PEA
biomaterials can be one of the promising candidates for nucleic acid delivery and
other biomedical applications. STATEMENT OF SIGNIFICANCE: Polycations have being
intensive utilized for nucleic acid delivery. However, there has not been
elucidated about the relationship between polycation's structure and the
physicochemical properties/biological function. In this timely report, an
arginine based poly(ester amide) (Arg-PEA) library was prepared with finely
tunable structure to systematically investigate the structure-property
relationships of polycations for nucleic acid delivery. The results revealed that
slight change of Arg-PEA structure could finely tune the physicochemical property
(such as hydrophobicity), which subsequently affect the size and zeta potential
of Arg-PEA/nucleic acid nanoparticles(NPs), and finally regulate the resulting
transfection or silencing outcomes. Further study of Arg-PEA/CpG NPs indicated
that the polymer structure could precisely regulate immuno response of CpG,
providing new potential nano-immunotherapy strategy. In vitro evaluations
confirmed that the NPs showed satisfied delivery performance for a variety types
of nucleic acids. Therefore, these studies provide comprehensive information of
Arg-PEA structure-property relationship, and the tunable properties of Arg-PEAs
make them promising candidates for nucleic acid delivery and other biomedical
applications. Overall, we have shown enough significance and novelty in terms of
nucleic acid delivery, biomaterials, pharmaceutical science and nanomedicine.
PMID- 29803785
TI - Cholecystokinin (CCK) level is higher among first time suicide attempters than
healthy controls, but is not associated with higher depression scores.
AB - Suicide and suicide attempts are dramatic events for both the individuals
concerned and for their social environments. Efforts have been made to identify
reliable biological predictors of suicide and suicide attempts. In the present
study, we focused on one potential marker, cholecystokinin (CCK), among first
time suicide attempters. A total of 25 suicide attempters (mean age: 30 years;
80% females) and 23 healthy controls were enrolled in the present cross-sectional
study. Experts rated participants' symptoms of depression (Hamilton Depression
Rating Scale; HDRS). Blood levels of CCK levels were assessed. Suicide attempters
had CCK levels 22.67 times higher and HDRS scores 14.33 higher than healthy
controls. CCK levels were only weakly associated with HDRS scores. CCK appears to
be a fairly reliable biomarker for suicide attempts. However, CCK levels were not
associated with depression scores, making it difficult to match biological
markers to depressive behaviour.
PMID- 29803786
TI - Molecularly imprinted polymer based microtiter chemiluminescence array for
determination of phenothiazines and benzodiazepines in pork.
AB - In this study, a molecularly imprinted polymer based chemiluminescence array
capable of simultaneous determining phenothiazines and benzodiazepines was first
reported. Two polymers were coated in different wells of the conventional 96-well
microtiter plate as the recognition reagents, and the added analytes competed
with a horseradish peroxidase-labeled bi-hapten conjugate to bind the recognition
reagents. The light signal was induced by using a highly effective luminol-H2O2
IMP system. The assay procedure consisted of only one sample-loading step prior
to data acquisition. Then, the array was used to determine 4 phenothiazines and 5
benzodiazepines in pork simultaneously. The limits of detection for the 9 drugs
were in a range of 0.001-0.01 ng/mL, and the recoveries from the fortified blank
pork were in a range of 63.5%-94.1%. Furthermore, the array could be reused for 8
times. The detection results for some real pork samples were consistent with an
ultra performance liquid chromatography method.
PMID- 29803784
TI - Injectable nanocomposite analgesic delivery system for musculoskeletal pain
management.
AB - : Musculoskeletal pain is a major health issue which results from surgical
procedures (i.e. total knee and/or hip replacements and rotator cuff repairs), as
well as from non-surgical conditions (i.e. sympathetically-mediated pain syndrome
and occipital neuralgia). Local anesthetics, opioids or corticosteroids are
currently used for the pain management of musculoskeletal conditions. Even though
local anesthetics are highly preferred, the need for multiple administration
presents significant disadvantages. Development of unique delivery systems that
can deliver local anesthetics at the injection site for prolonged time could
significantly enhance the therapeutic efficacy and patient comfort. The goal of
the present study is to evaluate the efficacy of an injectable local anesthetic
nanocomposite carrier to provide sustained analgesic effect. The nanocomposite
carrier was developed by encapsulating ropivacaine, a local anesthetic, in lipid
nanocapsules (LNC-Rop), and incorporating the nanocapsules in enzymatically
crosslinked glycol chitosan (0.3GC) hydrogels. Cryo Scanning Electron Microscopic
(Cryo SEM) images showed the ability to distribute the LNCs within the hydrogel
without adversely affecting their morphology. The study demonstrated the
feasibility to achieve sustained release of lipophilic molecules from the
nanocomposite carrier in vitro and in vivo. A rat chronic constriction injury
(CCI) pain model was used to evaluate the efficacy of the nanocomposite carrier
using thermal paw withdrawal latency (TWL). The nanocomposite carriers loaded
with ropivacaine and dexamethasone showed significant improvement in pain
response compared to the control groups for at least 7 days. The study
demonstrated the clinical potential of these nanocomposite carriers for post
operative and neuropathic pain. STATEMENT OF SIGNIFICANCE: Acute or chronic pain
associated with musculoskeletal conditions is considered a major health issue,
with healthcare costs totaling several billion dollars. The opioid crisis
presents a pressing clinical need to develop alternative and effective approaches
to treat musculoskeletal pain. The goal of this study was to develop a long
acting injectable anesthetic formulation which can sustain a local anesthetic
effect for a prolonged time. This in turn could increase the quality of life and
rehabilitation outcome of patients, and decrease opioid consumption. The
developed injectable nanocomposite demonstrated the feasibility to achieve
prolonged pain relief in a rat chronic constriction injury (CCI) model.
PMID- 29803787
TI - Advanced tools for the analysis of protein phosphorylation in yeast mitochondria.
AB - The biochemical analysis of protein phosphorylation in mitochondria lags behind
that of cytosolic signaling events. One reason is the poor stability of many
phosphorylation sites during common isolation procedures for mitochondria. We
present here an optimized, fast protocol for the purification of yeast
mitochondria that greatly increases recovery of phosphorylated mitochondrial
proteins. Moreover, we describe improved protocols for the biochemical analysis
of mitochondrial protein phosphorylation by Zn2+-Phos-tag electrophoresis under
both denaturing and - for the first time - native conditions, and demonstrate
that they outperform previously applied methods.
PMID- 29803788
TI - miRNAs in immune responses to Mycobacterium tuberculosis infection.
AB - Tuberculosis (TB) is one of the most fatal infectious diseases, affecting one
third of the world's population. The causative agent, Mycobacterium tuberculosis
(Mtb), has a well-established ability to circumvent the host's immune system for
its long-term intracellular survival. MicroRNAs (miRNAs) are crucial post
transcriptional regulators of immune response. They act by negatively regulating
the expression levels of important genes in both innate and adaptive immunity. It
has been established in recent studies that the host immune response against Mtb
is regulated by many miRNAs, most of which are induced by Mtb infection.
Moreover, differential expression of miRNA in tuberculosis (TB) patients may help
distinguish between TB patients and healthy individuals or latent TB. In this
review, we present the recent advancements on the miRNA regulation of the host
responses against Mtb infection, as well as the potential of miRNAs to as
biomarkers for TB diagnosis.
PMID- 29803789
TI - CircIRAK3 sponges miR-3607 to facilitate breast cancer metastasis.
AB - As a class of endogenous noncoding RNAs, circular RNAs (circRNAs) have been
recently identified to regulate tumourigenesis and progression in multiple
malignancies. However, the expression profiles and function of circRNAs in breast
cancer metastasis are largely unknown. Here, we determined that the expression of
a novel circRNA, which we named circIRAK3, was increased in metastatic breast
cancer (BC) cells and predictive of BC recurrence. Gain-of-function and loss-of
function studies in BC cells demonstrated that circIRAK3 promoted cell migration,
invasion and metastasis in vitro and in vivo but did not affect cell
proliferation, colony formation or cell cycle progression. Using circIRAK3 in
vivo precipitation and luciferase reporter assays, we identified miR-3607 as a
circIRAK3-associated miRNA. Furthermore, RNA sequencing and bioinformatics
analysis showed that forkhead box C1 (FOXC1), the target of miR-3607, was
downregulated in circIRAK3-silenced cells and mediated circIRAK3-induced BC cell
migration. Intriguingly, FOXC1 could, in turn, bind to the IRAK3 promoter,
triggering a positive-feedback loop that perpetuated the circIRAK3/miR-3607/FOXC1
signaling axis. Collectively, our findings indicated that circIRAK3 may exert
regulatory roles in BC metastasis and may be a potential target for metastatic BC
therapy.
PMID- 29803790
TI - Nemo-like kinase (NLK) primes colorectal cancer progression by releasing the E2F1
complex from HDAC1.
AB - Control of E2F1 activity is restricted via its interactions with RB1 and HDAC1.
However, the detailed regulatory mechanisms underlying the E2F1/HDAC1 complex
remain elusive. Here, we report that Nemo-like kinase (NLK) boosts cell cycle
progression, which facilitates tumor development by releasing the E2F1 protein
from HDAC1. Deletion of NLK largely blocks colorectal tumor proliferation and
development. Moreover, RNA-seq shows that cell cycle is arrested at the G1/S
phase in NLK-deficient cells and that the expression of E2F complex-targeted
genes are affected, whereas overexpression of NLK but not an NLK mutant restores
the wild-type phenotype. Mechanistically, we show that NLK interacts with the
E2F1 complex, leading to disassembly of the E2F1/HDAC1 complex and thus
diminishing the ability of E2F1 to bind to target gene promoters. Our results
indicate that NLK boosts cell proliferation and E2F1 activity and controls the
cell cycle switch by releasing HDAC1 from the E2F1 complex.
PMID- 29803791
TI - Enhanced cellular uptake and osteogenic differentiation efficiency of melatonin
by inclusion complexation with 2-hydroxypropyl beta-cyclodextrin.
AB - Melatonin (MLT), a hormone secreted from the pineal gland, is recognized as a
potential candidate for stimulation of bone regeneration. However, because of its
hydrophobicity, the administration of MLT to stimulate bone regeneration is
difficult. In this study, an inclusion complex of MLT with 2-hydroxypropyl beta
cyclodextrin (HP-beta-CD) was prepared to improve the water solubility, and the
osteogenic differentiation ability of the inclusion complex was investigated in
MC3T3-E1 cells. The formation of HP-beta-CD/MLT inclusion complex was confirmed
by 1H and 13C nuclear magnetic resonance spectroscopy and wide-angle X-ray
diffraction. The water solubility of MLT increased linearly upon addition of HP
beta-CD because of the formation of the inclusion complex. Additionally,
treatment of the cells with HP-beta-CD/MLT inclusion complex showed higher uptake
amount of MLT than that treated with free MLT. In addition, treatment of MC3T3-E1
cells with HP-beta-CD/MLT inclusion complex increased alkaline phosphatase
activity and mineralized matrix deposition, compared to that in free MLT-treated
and untreated cells. Furthermore, cells treated with HP-beta-CD/MLT inclusion
complex exhibited higher expression levels of osteogenic differentiation genes
than those in the untreated and free MLT-treated cells. Accordingly, these
results suggested that inclusion complexation of MLT with HP-beta-CD would be a
potential formulation for bone regeneration because of its improved solubility
and enhanced osteogenic differentiation efficiency.
PMID- 29803792
TI - A novel fusion protein attenuates collagen-induced arthritis by targeting
interleukin 17A and tumor necrosis factor alpha.
AB - This study was undertaken to generate a novel dual targeting fusion protein
(DTF), targeting tumor necrosis factor alpha (TNF-alpha) and interleukin 17A (IL
17A), and determine its anti-arthritis properties in vitro and in vivo. DTF
consisted of an anti-IL-17A single chain variable fragment, a soluble TNF
receptor 1, and an Fc fragment. Both clinical and histopathological evaluations
suggest that DTF and etanercept can ameliorate collagen induced arthritis.
However, the arthritis severity score of DTF-treated mice was lower than that of
etanercept-treated mice. In addition, DTF was more potent than etanercept in
decreasing the ratio of RANKL/OPG in the serum and rebalancing the population
ratio of Treg/Th17 cells in the spleens. In vitro, IL-17A and TNF-alpha had
synergistic effects in inducing the expression of inflammatory cytokines in
fibroblast-like synoviocyte from RA patients (RA-FLS), human leukemia (THP-1),
and rheumatoid synovial fibroblast (MH7A). IL-17A and TNF-alpha also had
synergistic effects in inducing proliferation and migration of MH7A cells.
However, we observed that DTF was more efficient than etanercept in suppressing
these synergistic effects. Our results demonstrate that DTF is highly efficient
in the treatment of arthritis and has the potential to overcome the limited
therapeutic responses obtained with single cytokine neutralization.
PMID- 29803793
TI - Comparative evaluation of powder flow parameters with reference to particle size
and shape.
AB - Powder flow is critical to the success of various pharmaceutical processes such
as tableting and capsule filling. Despite a plethora of flow characterisation
techniques and parameters available, powder flow still remains to be a not well
understood subject. Inter-relationships between the various powder flow
parameters in particular have not been well established. Furthermore, while it is
known that particle size and shape are important determinants of powder flow,
their relative impact on individual flow parameter is unclear. In this study,
granules were evaluated for their flow properties using various characterisation
methods. Through multivariate analysis, flow parameters were classified based on
the underlying physical granule property. Angle of repose, Hausner ratio, shear
cell parameters and avalanche flow were found to be affected primarily by powder
cohesion, which was in turn determined by the smallest granule size fraction. On
the other hand, powder compressibility and inter-particulate friction were the
main factors underlying basic flow energy. Angle of internal friction was
primarily affected by particle roundness and did not appear to describe powder
bulk flow properties. This study showed that while the various flow
characterisation techniques were different in terms of their applications, there
were common physical attributes that governed the measurements.
PMID- 29803794
TI - Self-assembled liposome from multi-layered fibrous mucoadhesive membrane for
buccal delivery of drugs having high first-pass metabolism.
AB - A novel delivery system based on self-assembled liposome from multi-layered
fibrous mucoadhesive membrane has been developed to improve the bioavailability
of Carvedilol (Car). This system consisted of an electrospun layer (enable self
assembly of liposome once contacting with water), an adhesive layer (prolong the
retention period in the mouth) and a backing layer. SEM, DSC and FTIR were
applied to characterize the fiber. The TEM and fluorescence study demonstrated
the formation of self-assembled liposome when electrospun fiber encountered
water. The ratio of PC to Car and the molecular weight of PVP both had a
significant impact on the drug encapsulation efficiency. In vitro and in vivo
adhesive tests were conducted to evaluate the bioadhesive performance of the
adhesive layer. The dialysis dissolution and permeation study through porcine
buccal mucosa were carried out. The electrospun fiber showed excellent drug
permeation amount compared to pure Car. The drug concentration-time curves, in
rabbits, of fibrous mucoadhesive membrane and Car suspension were different, and
possible reasons were analyzed. The pharmacokinetic study demonstrated 154%
increase in the relative bioavailability compared to Car suspension. This drug
delivery system offered a novel platform for potential buccal delivery of drugs
with high first-pass effect.
PMID- 29803795
TI - Development of ciprofloxacin-loaded poly(vinyl alcohol) dry powder formulations
for lung delivery.
AB - Polymeric microparticles are micro carriers for the sustained drug delivery of
drugs in the lungs, used as alternatives to the use of established excipients.
This study aims to develop and characterize inhalable ciprofloxacin (CPx)-loaded
poly(vinyl alcohol) (PVA) microparticles by a single-step spray-drying procedure.
The optimization of the processing parameters was achieved by an orthogonal
design of the most relevant processing parameters (polymer concentration, feed
rate and inlet temperature). The obtained spray-dried particles showed a drug
encapsulation efficiency higher than 90%. Furthermore, PVA-CPx formulations, with
drug contents up to 10 wt%, showed a morphology and size suitable for inhalation,
with a sustained release profile over 24 h. Data from Fourier transformed infra
red spectroscopy and differential scanning calorimetry indicated absence of
interaction between the polymer matrix and the drug. Aerodynamic assessment of
PVA-CPx 10 wt% was determined by the next generation impactor (NGI), using spray
dried CPx as a control. The results showed improved values of mass median
aerodynamic diameter (5.06+/-0.10MUm) and a fine particle fraction (39.78+/
0.98%) when comparing with the CPx alone (5.33+/-0.39MUm and 30.43+/-1.38%). This
study highlights the potential of spray-dried PVA microparticles as drug carriers
for lung local delivery of antibiotics.
PMID- 29803796
TI - Characterization of mannitol granules and powder: A comparative study using two
flowability testers.
AB - In the manufacture of tablets, especially in direct tableting processes, the
flowability of excipient powders and formulated powders is one of the most
important characteristics. In the past two decades, orally disintegrating tablets
(ODTs) have been prepared as popular solid dosage forms for elderly patients.
Many types of mannitol granules have been developed and marketed as new
pharmaceutical excipients for ODTs, owing to the solubility and palatability of
mannitol. Characterizing the flow behaviors of these mannitol granules is
essential to their use. The flowability of mannitol excipients was the focus of
the present study. A fine crystalline mannitol powder, eight commercial types of
mannitol granules and four types of mannitol mixture granules were evaluated. Two
flowability testers were used for comparing and analyzing the samples'
flowabilities. A variety of methodologies were used: an assessment using Carr's
index, a shear test and a dynamic flow test. Mannitol powder showed the lowest
Carr's index, meaning the lowest flowability. Spherical mannitol granules showed
the lowest angle of internal friction in the shear test and extremely low basic
flow energy in the dynamic flow test. Larger granules showed relatively high
values for Carr's index, but also a relatively high total flow energy.
PMID- 29803798
TI - Aspergillosis, eosinophilic esophagitis, and allergic rhinitis in signal
transducer and activator of transcription 3 haploinsufficiency.
PMID- 29803799
TI - Vaccination-induced herd immunity: Successes and challenges.
PMID- 29803797
TI - New developments in patients with eosinophilic gastrointestinal diseases
presented at the CEGIR/TIGERS Symposium at the 2018 American Academy of Allergy,
Asthma & Immunology Meeting.
AB - The Consortium of Eosinophilic Gastrointestinal Diseases and the International
Gastrointestinal Eosinophil Researchers organized a day-long symposium at the
recent 2018 Annual Meeting of the American Academy of Allergy, Asthma &
Immunology, which was coupled for the first time with the World Allergy
Organization meeting to create an international platform. The symposium featured
experts in many facets of eosinophilic gastrointestinal diseases, including
allergy, immunology, gastroenterology, pathology, and nutrition, and was a well
attended event. The basic science, genetics, cellular immunology, and clinical
features of the diseases, with a focus on epithelial, eosinophil, and mast cell
responses, as well as current and emerging treatment options, were reviewed. Here
we briefly review some of the highlights of the material presented at the
meeting.
PMID- 29803800
TI - Ichthyosis molecular fingerprinting shows profound Th17-skewing and a unique
barrier genomic signature.
AB - BACKGROUND: Ichthyoses are a group of rare skin disorders lacking effective
treatments. Although genetic mutations are progressively delineated,
comprehensive molecular phenotyping of ichthyotic skin could suggest much-needed
pathogenesis-based therapy. OBJECTIVE: To profile the molecular fingerprint of
the most common orphan ichthyoses. METHODS: Gene, protein, and serum studies were
performed on skin and blood samples from 29 patients (congenital ichthyosiform
erythroderma/CIE, n=9; lamellar ichthyosis/LI, n=8; epidermolytic ichthyosis/EI,
n=8; and Netherton syndrome/NS, n=4), as well as age-matched healthy controls
(n=14), psoriasis (n=30), and atopic dermatitis/AD (n=16) patients. RESULTS:
Using fold-change>2 and false-discovery-rate<0.05 criteria, 132 differentially
expressed genes/DEGs were commonly shared among all ichthyoses, including many IL
17 and TNFalpha co-regulated genes, considered hallmarks of psoriasis (DEFB4A,
KYNU, VNN3). While striking up-regulation of Th17 pathway genes (IL17F, IL36B/G),
resembling psoriasis, was common to all ichthyoses in a severity-related manner,
NS showed greatest T-cell activation (ICOS) and a broader immune phenotype with
Th1/IFNgamma, OASL, and Th2/IL4R/IL5 skewing, albeit less than AD (all P<0.05).
Ichthyoses lacked the epidermal differentiation and tight junction alterations of
AD (LOR, FLG, CLDN 1), but showed characteristic alterations in lipid metabolism
genes (ELOVL3, GAL), with parallel reductions in extracellular lipids and
corneocyte compaction in all ichthyoses except EI, suggesting phenotypic
variations. TEWL, a functional barrier measure, significantly correlated with IL
17-regulated genes (IL-17F, IL-36alpha/IL-36beta/IL-36gamma). CONCLUSION: Similar
to AD and psoriasis where cytokine dysregulation and barrier impairment
orchestrate disease phenotypes, psoriasis-like immune dysregulation and lipid
alterations characterize the ichthyoses. These data support the testing of IL
17/IL-36-targeted therapeutics for ichthyosis patients, similar to psoriasis.
PMID- 29803801
TI - Microbiome in patients with upper airway disease: Moving from taxonomic findings
to mechanisms and causality.
PMID- 29803802
TI - Children's exposure assessment of radiofrequency fields: Comparison between spot
and personal measurements.
AB - INTRODUCTION: Radiofrequency (RF) fields are widely used and, while it is still
unknown whether children are more vulnerable to this type of exposure, it is
essential to explore their level of exposure in order to conduct adequate
epidemiological studies. Personal measurements provide individualized
information, but they are costly in terms of time and resources, especially in
large epidemiological studies. Other approaches, such as estimation of time
weighted averages (TWAs) based on spot measurements could simplify the work.
OBJECTIVES: The aims of this study were to assess RF exposure in the Spanish INMA
birth cohort by spot measurements and by personal measurements in the settings
where children tend to spend most of their time, i.e., homes, schools and parks;
to identify the settings and sources that contribute most to that exposure; and
to explore if exposure assessment based on spot measurements is a valid proxy for
personal exposure. METHODS: When children were 8 years old, spot measurements
were conducted in the principal settings of 104 participants: homes (104),
schools and their playgrounds (26) and parks (79). At the same time, personal
measurements were taken for a subsample of 50 children during 3 days. Exposure
assessment based on personal and on spot measurements were compared both in terms
of mean exposures and in exposure-dependent categories by means of Bland-Altman
plots, Cohen's kappa and McNemar test. RESULTS: Median exposure levels ranged
from 29.73 (in children's bedrooms) to 200.10 MUW/m2 (in school playgrounds) for
spot measurements and were higher outdoors than indoors. Median personal exposure
was 52.13 MUW/m2 and median levels of assessments based on spot measurements
ranged from 25.46 to 123.21 MUW/m2. Based on spot measurements, the sources that
contributed most to the exposure were FM radio, mobile phone downlink and Digital
Video Broadcasting-Terrestrial, while indoor and personal sources contributed
very little (altogether <20%). Similar distribution was observed with personal
measurements. There was a bias proportional to power density between personal
measurements and estimates based on spot measurements, with the latter providing
higher exposure estimates. Nevertheless, there were no systematic differences
between those methodologies when classifying subjects into exposure categories.
Personal measurements of total RF exposure showed low to moderate agreement with
home and bedroom spot measurements and agreed better, though moderately, with TWA
based on spot measurements in the main settings where children spend time (homes,
schools and parks; Kappa = 0.46). CONCLUSIONS: Exposure assessment based on spot
measurements could be a feasible proxy to rank personal RF exposure in children
population, providing that all relevant locations are being measured.
PMID- 29803803
TI - Characteristics and potential health risk of rural Tibetans' exposure to
polycyclic aromatic hydrocarbons during summer period.
AB - Biomass fuels remain main energy sources in many remote rural regions, but
potential health hazards from exposure to biomass combustion fumes have not been
adequately assessed. Combustion of biomass fuels generates abundant polycyclic
aromatic hydrocarbons (PAHs); hence residential exposure to PAHs can be used to
evaluate the potential health risk to remote rural populations. The present study
selected rural Tibetans to address the above-mentioned issue. Samples of indoor
air and dust, human urine and local foods (Tsampa flour and buttered tea) were
collected from five rural households in Langkazi County, an agricultural and
pasturing region in Tibet of China in the summer season, which represented the
best-case scenario as no heating was required. Residential exposure to PAHs by
adults amounted to benzo[a]pyrene equivalent (BaPeq) dosages of 110-760, 1.2-50
and 0.5-23 ng d-1 for ingestion, inhalation and dermal contact, respectively.
Daily intakes of naphthalene, fluorene, phenanthrene and pyrene estimated from
urinary monohydroxy PAH metabolites and from diet and inhalation exposure to PAHs
were comparable (3.9, 1.9, 12 and 3.3 MUg d-1 versus 9.5, 2.5, 5.1 and 1.1 MUg d
1), indicating the utility of external exposure in assessing daily intake of
PAHs. The median incremental lifetime cancer risk was 32 * 10-6 (95% confidence
interval: 0.7-73 * 10-6) for ingestion and 2.4 * 10-6 (95% confidence interval:
0.02-12 * 10-6) for inhalation and dermal contact combined, indicating moderate
to slight potential cancer risk. Diet is the dominant source of health hazards
for rural Tibetans, but cooking fumes also present a meaningful concern. The
present study demonstrates that the pristine lifestyles of remote rural residents
may be of global health concern, and merit further investigations.
PMID- 29803804
TI - Forced ethanol ingestion by Wistar rats from a juvenile age increased voluntary
alcohol consumption in adulthood, with the involvement of orexin-A.
AB - Human adolescents who drink alcohol are more likely to become alcoholics in
adulthood. Alcohol administration (intraperitoneally) or drinking (in a 2-bottle
free choice paradigm) during the juvenile/adolescent age of rats promotes
voluntary alcohol consumption in adulthood. On the other hand, there is growing
evidence that the orexinergic system plays a role in several rewarded behaviors,
including alcohol ingestion. Since it is unknown what effect is exerted in
adulthood by forced oral ethanol intake and/or administration of orexin-A (OX-A)
in juvenile rats, the present study aimed to evaluate this question. A group of
male Wistar rats was forced to drink ethanol (10% v/v) as the only liquid in the
diet from weaning (postnatal day 21) to postnatal day 67 (46 days), followed by a
forced withdrawal period. An age-matched group was raised drinking tap water
(control). OX-A or its vehicle was microinjected intracerebroventricularly
(i.c.v.) (1 nmol/0.6 MUL) to explore its effect as well. Locomotor activity and
voluntary ethanol consumption were later assessed in all groups. The rats forced
to consume ethanol early in life showed an elevated level of ambulation and
alcohol ingestion in adulthood. A single injection of OX-A increased locomotor
activity and acute ethanol intake in rats with or without prior exposure to
alcohol at the juvenile stage. In conclusion, forced ethanol consumption in
juvenile rats led to increased voluntary alcohol drinking behavior during
adulthood, an effect likely facilitated by OX-A.
PMID- 29803805
TI - Implementation of a Population-Based Cirrhosis Identification and Management
System.
PMID- 29803806
TI - A new bioluminescent imaging technology for studying oxidative stress in the
testis and its impacts on fertility.
AB - PURPOSE: Excessive oxidative stress (OS) leads to cellular dysfunctions and cell
death and constitutes a major cause of male infertility. However, the etiologies
of increased reactive oxygen species (ROS) in male infertility is not fully
understood. One major limitation is the lack of an in vivo imaging system that
can be used to effectively study the impact of excessive ROS in the testis.
Recently, we discovered that the hepatocellular carcinoma reporter (HCR) mice
previously generated in our laboratory also expressed luciferase in the
spermatids of the testis. The goal of the current study is to use the HCR mice to
detect OS in the testis and to investigate the potential use of this new system
in studying OS-induced male infertility. EXPERIMENTAL DESIGN: Bioluminescence
imaging (BLI) was performed in HCR mice that were treated with peroxy caged
luciferin-1 (PCL-1), an OS reporter, to establish a new mouse model for in vivo
monitoring of the OS status inside the male reproductive tract. Subsequently, the
effect of acetaminophen (APAP) overdose on the OS inside the testis and male
fertility were determined. Lastly, APAP was co-administered with glutathione, an
antioxidant reagent, to test if the HCR mice can serve as a model for the
effective and rapid assessment of the potency of individual agents in modifying
the OS inside the mouse testis. RESULTS: The OS level in the testis in the HCR
mice was readily detected by BLI. The use of this new model led to the discovery
that APAP caused a sudden rise of OS in the testis and was a potent toxicant for
the male reproductive system. Moreover, administration of glutathione was
effective in preventing the APAP-induced elevation of OS and in ameliorating all
of the OS-induced anomalies in the testis. CONCLUSIONS: The HCR mice represent an
excellent model for monitoring OS change in the mouse testis by real time BLI.
APAP is a potent male reproductive toxicant and APAP-treated mice represent a
valid model for OS-induced male infertility. This model can be used to study OS
induced damage in male reproductive tract and in assessing the effects of
therapeutic agents on the relative levels of OS and male fertility.
PMID- 29803808
TI - Effect of copper-impregnated composite bed linens and patient gowns on healthcare
associated infection rates in six hospitals.
AB - BACKGROUND: Hospital linens and patient gowns are frequently touched and
contaminated, and may contribute to endogenous, indirect-contact, and aerosol
transmission of nosocomial-related pathogens. Recently Sentara Healthcare adopted
biocidal copper oxide-impregnated linens across its hospitals. AIM: To assess
whether the replacement of the linens resulted in the reduction of healthcare
associated infection (HCAI). METHODS: Rates of HCAI caused by Clostridium
difficile and multidrug-resistant organisms (MDROs) were compared in six Sentara
Healthcare hospitals with similar patient demo-graphics (total of 1019 beds) in
three parallel periods (90, 180 and 240 days) before and after (periods A1, A2
and A3, and periods B1, B2, and B3, respectively), replacing all the regular non
biocidal linens with the copper oxide-impregnated biocidal linens. FINDINGS:
During periods B1, B2, and B3, compared with periods A1, A2 and A3, there were
61.2% (P < 0.05), 41.1% (P < 0.05) and 42.9% (P < 0.01) reductions in HCAI per
10,000 patient-days in hospital caused by C. difficile, respectively; 48.3% (P >
0.05), 36.4% (P > 0.05), and 19.2% (P > 0.05) reductions in HCAI per 1000 patient
days caused by MDROs; and 59.8% (P < 0.01), 39.9% (P < 0.05), and 37.2% (P <
0.05) in the reduction of HCAI per 1000 patient-days caused by C. difficile and
MDROs combined. CONCLUSION: The use of biocidal copper oxide-impregnated linens
in the six analysed Sentara Healthcare hospitals resulted in significant
reduction in both HCAI caused by C. difficile, and the combined metric of C.
difficile or MDRO infection. Similar reductions in HCAI caused by MDROs were
observed, although these reductions did not reach statistical significance,
probably due to very low HCAI rates caused by these pathogens in the study
facilities.
PMID- 29803807
TI - Administration of nicotinamide riboside prevents oxidative stress and organ
injury in sepsis.
AB - AIMS: Sepsis-caused multiple organ failure remains the major cause of morbidity
and mortality in intensive care units. Nicotinamide riboside (NR) is a precursor
of nicotinamide adenine dinucleotide (NAD+), which is important in regulating
oxidative stress. This study investigated whether administration of NR prevented
oxidative stress and organ injury in sepsis. METHODS: Mouse sepsis models were
induced by injection of lipopolysaccharides (LPS) or feces-injection-in
peritoneum. NR was given before sepsis onset. Cultured macrophages and
endothelial cells were incubated with various agents. RESULTS: Administration of
NR elevated the NAD+ levels, and elicited a reduction of oxidative stress,
inflammation and caspase-3 activity in lung and heart tissues, which correlated
with attenuation of pulmonary microvascular permeability and myocardial
dysfunction, leading to less mortality in sepsis models. These protective effects
of NR were associated with decreased levels of plasma high mobility group box-1
(HMGB1) in septic mice. Consistently, pre-treatment of macrophages with NR
increased NAD+ content and reduced HMGB1 release upon LPS stimulation. NR also
prevented reactive oxygen species (ROS) production and apoptosis in endothelial
cells induced by a conditioned-medium collected from LPS-treated macrophages.
Furthermore, inhibition of SIRT1 by EX527 offset the negative effects of NR on
HMGB1 release in macrophages, and ROS and apoptosis in endothelial cells.
CONCLUSIONS: Administration of NR prevents lung and heart injury, and improves
the survival in sepsis, likely by inhibiting HMGB1 release and oxidative stress
via the NAD+/SIRT1 signaling. Given NR has been used as a health supplement, it
may be a useful agent to prevent organ injury in sepsis.
PMID- 29803809
TI - In-hospital infective endocarditis following transcatheter aortic valve
replacement: a cross-sectional study of the National Inpatient Sample database in
the USA.
AB - BACKGROUND: While the utilization of transcatheter aortic valve replacement
(TAVR) for patients with severe aortic stenosis has been increasing, in-hospital
infective endocarditis (IE) following TAVR has not been well described. AIM: To
identify in-hospital IE following TAVR. METHODS: All patients who underwent TAVR
between 2012 and 2014 were identified using the National Inpatient Sample
database. Multi-variate logistic regression was performed to identify the
predictors of in-hospital IE after TAVR. FINDINGS: Of the 41,025 patients who
received TAVR, 120 patients (0.3%) developed in-hospital IE. Viridans group
streptococci (20.8%) was the most frequent causative organism for in-hospital IE,
followed by Staphylococcus aureus (16.7%) and enterococci (8.3%). Patients who
developed in-hospital IE after TAVR had significantly higher rates of death
(20.8% vs 4.1%, P<0.001), septic shock (16.7% vs 0.8%, P<0.001), cardiogenic
shock (12.5% vs 3.4%, P=0.02), acute kidney injury requiring haemodialysis (16.7%
vs 1.6%, P<0.001), bleeding requiring transfusion (29.2% vs 11.3%, P=0.01),
myocardial infarction (12.5% vs 2.1%, P<0.001) and permanent pacemaker removal
(4.2% vs 0.05%, P<0.001) compared with patients without IE. Independent
predictors of in-hospital IE after TAVR include younger age [odds ratio (OR)
0.92, 95% confidence interval (CI) 0.89-0.95], drug abuse (OR 48.9, 95% CI 6.9
347.3) and human immunodeficiency virus (HIV) infection (OR 7.8, 95% CI 1.4
44.4). CONCLUSION: IE occurred in 0.3% of patients after TAVR during the same
hospitalization, resulting in higher rates of adverse outcomes including
mortality. Patients with younger age, a history of drug abuse or HIV infection
are at greater risk of in-hospital IE following TAVR, and would benefit from
vigilant preventive measures perioperatively.
PMID- 29803810
TI - Synergistic degradation of crude oil by indigenous bacterial consortium and
exogenous fungus Scedosporium boydii.
AB - The purpose of this study was to investigate the potential of defined co-culture
of indigenous bacterial consortium and exogenous fungus Scedosporium boydii for
biodegradation of crude oil. After 7 days of incubation, residual oil, n-alkanes
and aromatic fraction were analyzed. The degradation rate of crude oil was
increased from 61.06% to 81.45% by the defined co-culture according to the 3:1
inoculation ratio of bacteria to fungi. The microbial activity was enhanced
markedly and the formation of biofilms was accelerated after suitable inoculation
of Scedosporium boydii. High throughput analysis showed that bacterial evenness
and diversity were increased and the relative abundance of Paraburkholderia
tropica was increased observably from 7.67% to 56.13% in the defined co-culture.
These results indicated that synergistic degradation of crude oil in the bacteria
fungi consortium may be advantageous for bioremediation of petroleum-contaminated
site.
PMID- 29803811
TI - Catalytic fast pyrolysis of durian rind using silica-alumina catalyst: Effects of
pyrolysis parameters.
AB - Silica-alumina catalyst was prepared and used in the catalytic fast pyrolysis of
durian rind in a drop-type two-stage reactor. The effects of catalytic
temperature (400 degrees C-600 degrees C) and catalyst-to-durian rind ratio
(1:30-3:30) were evaluated. Bio-oil yield was increased with increased catalytic
temperature due to considerable dehydration process, but it was reduced with high
catalyst loading due to the overcracking of organics into light gases. Silica
alumina catalyst possessed good selectivity and the products changed according to
the temperature. The major components in bio-oil were hydrocarbons, furan
derivatives, and aromatic compounds at 400 degrees C, 500 degrees C, and 600
degrees C, respectively. The hydrogen and carbon contents of bio-oil were reduced
with high catalyst loading due to the overcracking of organics, and the
deoxygenation process became unfavorable. The silica-alumina catalyst worked well
in catalytic fast pyrolysis of durian rind, and the condition may be adjusted
based on the desired products.
PMID- 29803812
TI - Investigation of lead bioimmobilization and transformation by Penicillium
oxalicum SL2.
AB - Fungi Penicillium oxalicum SL2 was applied for Pb2+ bioremediation in aqueous
solution in this study. After 7 days of incubation at different initial
concentrations of Pb2+ (0, 100, 500 and 2500 mg L-1), most of Pb2+ were removed
(90, 98.3, and 86.2%), the maximum Pb content in mycelium reached about 155.6 mg
g-1 dw. Meanwhile, the formation of extracellular secondary minerals and
intracellular Pb-complex were observed and identified, the speciation of Pb in
mycelium was also detected by X-ray absorption near-edge structure (XANES)
spectroscopy, i.e., Pb-oxalate, Pb-citrate, Pb-hydrogen phosphate and Pb
glutathione analogues. In addition, content of glutathione and oxidized
glutathione was increased under the exposure of Pb2+, which implied that
glutathione might play a key role in Pb immobilization and detoxification in P.
oxalicum SL2. This study elucidated partial mechanisms of Pb immobilization and
speciation transformation of this strain, providing an alternative biomaterial in
the bioremediation of Pb-contaminated wastewater.
PMID- 29803813
TI - Initial diagnosis of insignificant cancer, high-grade prostatic intraepithelial
neoplasia, atypical small acinar proliferation, and negative have the same rate
of upgrade to a Gleason score of 7 or higher on repeat prostate biopsy.
AB - Extended prostate needle core biopsies are standard of care for the diagnosis of
prostatic carcinoma. Subsequent biopsies may be performed for a variety of
indications. Knowledge of biopsy characteristics indicating risk for progression
may have utility to guide therapeutic management. Prostate needle core biopsies
performed between 2008 and 2014 were reviewed. Patients with at least 1
subsequent biopsy were identified. Cases were categorized by worst initial
diagnosis. Gleason <=6 carcinoma was further classified as significant or
insignificant with insignificant defined as follows: <=2 cores with carcinoma,
sites with <=50% carcinoma, and unilateral carcinoma. A total of 329 men
underwent repeat biopsies. Gleason <=6 insignificant carcinoma, high-grade
prostatic intraepithelial neoplasia (HGPIN) and/or atypical small acinar
proliferation, and negative biopsies had a similar rate of Gleason >=7 upon
repeat biopsy (16%, 17%, 14%; P = .91). Initial biopsy diagnoses of Gleason <=6
significant carcinoma had a higher rate of Gleason >=7 on repeat biopsy compared
with initial biopsies of Gleason <=6 insignificant carcinoma (39%, 16%; P =
.003). Within initial diagnoses of Gleason <=6, 1 core compared with more than 1
core positive had a lower rate of Gleason >=7 on repeat biopsy (17%, 30%),
although this difference was not significant (P = .08). An initial biopsy
diagnosed as Gleason <=6 insignificant carcinoma, HGPIN and/or atypical small
acinar proliferation, or negative had a similar substantial risk of Gleason >=7
carcinoma upon subsequent biopsy. Our findings support the continued
stratification of Gleason <=6 and thus the diagnostic workup of all atypical foci
to provide an accurate, thorough number of involved cores.
PMID- 29803814
TI - A non-radioactive in vitro CaMKII activity assay using HPLC-MS.
AB - INTRODUCTION: Calcium/Calmodulin-dependent protein kinase II (CaMKII) is a
multifunctional protein kinase that phosphorylates and regulates activity of many
substrates in various tissues. Traditional CaMKII activity assays rely on
incorporation of radioactivity onto a CaMKII substrate by utilizing gamma-32P
ATP, which has a short half-life and can pose health risks to the researchers.
METHODS: An 8-minute HPLC-MS method was developed to measure a CaMKII-specific
peptide substrate autocamtide-2 (AC-2) and its phosphorylated form,
phosphoautocamtide-2 (PAC-2). Degradation of AC-2 and PAC-2 in solutions and how
to stabilize them were studied. The method was validated according to FDA
guidelines for bioassays, and applied to determine CaMKII activity in a C2C12
cell lysate and IC50 of KN-93, a known CaMKII inhibitor. RESULTS: Simple
acidification with formic acid prevented AC-2 and PAC-2 from undergoing rapid
degradation in the CaMKII assay mixture and in diluted water solutions. LLOQ of
the HPLC-MS method was 0.26 MUM and 0.12 MUM for quantification of AC-2 and PAC
2, respectively. Precision was within 15% and accuracy was within 100 +/- 15%.
Using the developed method, IC50 of KN-93 was measured to be 399 +/- 66 nM, which
was compatible to reported values. CONCLUSIONS: A validated HPLC-MS method
provides precise and accurate determination of AC-2 and PAC-2. This method
enabled enzyme activity assay and inhibitor IC50 determination for CaMKII without
radioactive labelled reagents.
PMID- 29803817
TI - Microbial synthesis of mammalian metabolites of spironolactone by thermophilic
fungus Thermomyces lanuginosus.
AB - Mesophilic fungi are well recognized as models of mammalian drug metabolism.
Thermophilic fungi remained unexplored despite having a unique mechanism of
growing at higher temperatures and performing wide diverse reactions. The present
investigation is directed to isolate a promising thermophilic fungal strain
capable of biotransformation using spironolactone as a model drug. Two-stage
fermentation protocol was followed for the process. The transformation of
spironolactone was identified by HPLC and structure elucidation of the
metabolites was done with the help of LC-MS/MS analysis and previous reports. A
strain of Thermomyces lanuginosus isolated from decomposed banana peel waste was
found to be most promising in transforming spironolactone to 4 metabolites
viz.7alpha-thiospironolactone (M1) canrenone (M2), 7alpha
thiomethylspironolactone (M3) and 6beta-OH-7alpha-thiomethylspironolactone (M4),
the major mammalian metabolites reported previously. The synthesis of metabolites
of spironolactone by T. lanuginosus similar to mammals clearly states that this
fungus possess enzyme system similar to mammals. Hence, this fungus has the
potential to use as a model organism for studying drug metabolism.
PMID- 29803815
TI - The "Empowering Latinas to Obtain Breast Cancer Screenings" study: Rationale and
design.
AB - BACKGROUND: Latinas suffer disproportionately from breast cancer (BC) in part due
to lower guideline-concordant screening. Multiple intervention approaches have
been developed to promote screening through direct patient education and
empowerment approaches (i.e., training community members to share BC
information). This study compares the relative effects of these approaches on: 1)
women's BC screening; and, 2) women's dissemination of BC information within
their social networks. DESIGN/METHODS: Our quasi-experimental trial is being
implemented in community venues in two predominantly Latino neighborhoods in
South and West Chicago. Eligible participants: 1) are female; 2) are 52-74 years
old; 3) have not obtained a mammogram in the past 2 years; and, 4) have not
previously participated in health-related volunteerism. Based on their geographic
location, participants are assigned to one of two group-based interventions. Both
interventions consist of three two-hour sessions, which includes BC early
detection education. The education intervention sessions also covers BC
prevention (diet, physical activity), whereas the empowerment intervention covers
sharing information with family/friends, and health volunteerism. Navigation is
provided for all women who wish to obtain mammograms. Primary outcomes include:
1) receipt of BC screening; and, 2) participants' dissemination of BC
information. Secondary outcomes include positive changes in 1) participants' self
reported psychosocial facilitators; and, 2) social network members' BC behaviors.
DISCUSSION: The design of our program allows for a preliminary comparison of the
effectiveness of these two approaches. This work will inform larger
comparativeness trials and offers a new approach to intervention evaluation via
social network analysis.
PMID- 29803816
TI - Design and participant characteristics of a primary care adaptation of the Look
AHEAD Lifestyle Intervention for weight loss in type 2 diabetes: The REAL HEALTH
diabetes study.
AB - BACKGROUND/AIMS: The REAL HEALTH -Diabetes Study is a practice-based clinical
trial that adapted the Look AHEAD lifestyle intervention for implementation in
primary care settings. The trial will compare the effectiveness and cost
effectiveness of in-person group lifestyle intervention, telephone group
lifestyle intervention, and individual medical nutrition therapy (MNT), the
current recommended standard of care in type 2 diabetes. The primary outcome is
percent weight loss at 6 months with outcomes also measured at 12, 18, 24
(intervention completion), and 36 months. Here, we describe the adaptation, trial
design, implementation strategies, and baseline characteristics of enrolled
participants. METHODS: The study is a three-arm, patient-level, randomized trial
conducted in three community health centers (CHCs) and one diabetes practice
affiliated with one academic medical center. RESULTS: The study used existing
clinical infrastructure to recruit participants from study sites. Strategies for
successful conduct of the trial included partnering with health-center based co
investigator clinicians, engaging primary care providers, and accommodating
clinical workflows. Of 248 eligible patients who attended a screening visit, 211
enrolled, with 70 randomly assigned to in-person group lifestyle intervention, 72
to telephone group lifestyle intervention, and 69 to MNT. The cohort was 55%
female, 29% non-white, with mean age 62 years and mean BMI 35 kg/m2. Enrollment
rates were higher at CHC sites. CONCLUSIONS: A practice-based randomized trial of
a complex behavioral lifestyle intervention for type 2 diabetes can be
implemented in community health and usual clinical settings. Participant and
provider engagement was higher at local CHC sites reflecting the study
implementation focus. CLINICAL TRIAL REGISTRATION: NCT02320253.
PMID- 29803818
TI - Perinatal anxiety: approach to diagnosis and management in the obstetric setting.
AB - Anxiety is common in women during the perinatal period, manifests with various
symptoms and severity, and is associated with significant maternal morbidity and
adverse obstetric and neonatal outcomes. Given the intimate relationship and
frequency of contact, the obstetric provider is positioned optimally to create a
therapeutic alliance and to treat perinatal anxiety. Time constraints, absence of
randomized controlled trials, mixed quality of data, and concern for potential
adverse reproductive outcomes all limit the clinician's ability to initiate
informed risk-benefit discussions. Clear understanding of the role of the
obstetric provider in the identification, stabilization, and initiation of
medication and/or referral to psychotherapy for women with perinatal anxiety
disorders is critical to maternal and neonatal wellbeing. Informed by our
clinical practice as perinatal psychiatric providers, we have provided a concise
summary of current research on the approach to the treatment of perinatal anxiety
disorders in the obstetric setting that includes psychotherapy and supportive
interventions, primary and adjuvant psychiatric medication, and general
prescribing pearls. Medications that we examined include antidepressants,
benzodiazepines, sedative-hypnotics, antihistamines, quetiapine, buspirone,
propranolol, and melatonin. Further research into management of perinatal
anxiety, particularly psychopharmacologic management, is warranted.
PMID- 29803819
TI - Fetal growth velocity: the NICHD fetal growth studies.
AB - BACKGROUND: Accurately identifying pregnancies with accelerated or diminished
fetal growth is challenging and generally based on cross-sectional percentile
estimates of fetal weight. Longitudinal growth velocity might improve
identification of abnormally grown fetuses. OBJECTIVE: We sought to complement
fetal size standards with fetal growth velocity, develop a model to compute fetal
growth velocity percentiles for any given set of gestational week intervals, and
determine association between fetal growth velocity and birthweight. STUDY
DESIGN: This was a prospective cohort study with data collected at 12 US sites
(2009 through 2013) from 1733 nonobese, low-risk pregnancies included in the
singleton standard. Following a standardized sonogram at 10w0d-13w6d, each woman
was randomized to 1 of 4 follow-up visit schedules with 5 additional study
sonograms (targeted ranges: 16-22, 24-29, 30-33, 34-37, and 38-41 weeks). Study
visits could occur +/- 1 week from the targeted GA. Ultrasound biometric
measurements included biparietal diameter, head circumference, abdominal
circumference, and femur length, and estimated fetal weight was calculated. We
used linear mixed models with cubic splines for the fixed effects and random
effects to flexibly model ultrasound trajectories. We computed velocity
percentiles in 2 ways: (1) difference between 2 consecutive weekly measurements
(ie, weekly velocity), and (2) difference between any 2 ultrasounds at a
clinically reasonable difference between 2 gestational ages (ie, velocity
calculator). We compared correlation between fetal growth velocity percentiles
and estimated fetal weight percentiles at 4-week intervals, with 32 (+/-1) weeks'
gestation for illustration. Growth velocity was computed as estimated fetal
growth rate (g/wk) between ultrasound at that gestational age and from prior
visit [ie, for 28-32 weeks' gestational age: velocity = (estimated fetal weight
32-28)/(gestational age 32-28)]. We examined differences in birthweight by
whether or not estimated fetal weight and estimated fetal weight velocity were
<5th or >=5th percentiles using chi2. RESULTS: Fetal growth velocity was
nonmonotonic, with acceleration early in pregnancy, peaking at 13, 14, 15, and 16
weeks for biparietal diameter, head circumference, femur length, and abdominal
circumference, respectively. Biparietal diameter, head circumference, and
abdominal circumference had a second acceleration at 19-22, 19-21, and 27-31
weeks, respectively. Estimated fetal weight velocity peaked around 35 weeks.
Fetal growth velocity varied slightly by race/ethnicity although comparisons
reflected differences for parameters at various gestational ages. Estimated fetal
weight velocity percentiles were not highly correlated with fetal size
percentiles (Pearson r = 0.40-0.41, P < .001), suggesting that these measurements
reflect different aspects of fetal growth and velocity may add additional
information to a single measure of estimated fetal weight. At 32 (SD +/- 1)
weeks, if both estimated fetal weight velocity and size were <5th percentile,
mean birthweight was 2550 g; however, even when size remained <5th percentile but
velocity was >=5th percentile, birthweight increased to 2867 g, reflecting the
important contribution of higher growth velocities. For estimated fetal weight
>=5th percentile, but growth velocity <5th, birthweight was smaller (3208 vs 3357
g, respectively, P < .001). CONCLUSION: We provide fetal growth velocity data to
complement our previous work on fetal growth size standards, and have developed a
calculator to compute fetal growth velocity. Preliminary findings suggest that
growth velocity adds additional information over knowing fetal size alone.
PMID- 29803820
TI - Improved synaptic and cognitive function in aged 3 * Tg-AD mice with reduced
amyloid-beta after immunotherapy with a novel recombinant 6Abeta15-TF chimeric
vaccine.
AB - Alzheimer's disease (AD) is the most common progressive neurodegenerative
disorder impairing memory and cognition. In this study, we describe the
immunogenicity and protective efficacy of the novel recombinant 6Abeta15-TF
chimeric antigen as a subunit protein vaccine for AD. Recombinant 6Abeta15-TF
chimeric vaccine induced strong Abeta-specific humoral immune responses without
Abeta-specific T cell immunity in C57/BL6 and 3 * Tg-AD mice at different ages.
As an early immunotherapy model for AD, this vaccine induced high titers of long
lasting anti-Abeta42 antibodies in aged 3 * Tg-AD mice, which led to improve
behavioral performance and markedly reduced the levels of insoluble and soluble
Abeta and Abeta oligomers. In agreement with these findings, immunotherapy with
6Abeta15-TF prevented the Abeta-induced decrease of presynaptic and postsynaptic
proteins in aged 3 * Tg-AD mice. Our results suggest that this novel and highly
immunogenic recombinant 6Abeta15-TF chimeric vaccine provides neuroprotection in
AD mice and can be considered an effective AD candidate vaccine.
PMID- 29803821
TI - Rise of multidrug-resistant Streptococcus pneumoniae clones expressing non
vaccine serotypes among children following introduction of the 10-valent
pneumococcal conjugate vaccine in Bulgaria.
AB - OBJECTIVES: Pneumococcal conjugate vaccines (PCVs) have reduced the incidence of
pneumococcal disease, but non-vaccine serotypes are of concern, particularly if
antimicrobial-resistant. This study retrospectively evaluated the serotype
specific clonality of paediatric multidrug-resistant (MDR) invasive and non
invasive Streptococcus pneumoniae isolates collected following PCV10 introduction
(2011-2017) in Bulgaria. METHODS: Capsular types, drug resistance patterns and
multilocus sequence typing (MLST) of the most common MDR S. pneumoniae serotypes
sampled from children were determined. RESULTS: Overall, the rate of MDR
pneumococci was 44.6% (107/240). The most common serotypes among MDR strains were
19F (25.2%), 19A (19.6%), 6C (13.1%), 6A and 23A (6.5% each) and 15A (4.7%),
contributing 75.7% of all MDR strains. With the exception of serotype 19F, the
remaining serotypes were non-PCV10 types. Among MDR pneumococci, the most
frequently found sequence types were ST320 (30.4%; 19A and 19F), ST386 (12.7%; 6C
and 6A) and ST8029 (5.1%; 23A). The majority of MDR STs (74.7%) belonged to PMEN
clonal complexes, of which the most common were CC320 (Taiwan19F-14), CC315
(Poland6B-20) and CC180 (Netherlands3-31), accounting for 43.0%, 13.9% and 5.1%,
respectively. In the post-vaccine period, a shift in the genetic structure of
serotype 19A was found, with a significant increase of PMEN-14 (CC320) and a
concurrent decrease of the major clone Denmark14-32 (CC230) observed prior to
PCV10 introduction in Bulgaria. CONCLUSIONS: Clonality was found behind the wide
distribution of MDR capsular types 19A, 6C, 23A and 3 following vaccine
introduction, and a highly multiresistant and virulent clone Taiwan19F-14/ST320
has emerged as a common pathogen in children.
PMID- 29803822
TI - In-vivo stiffness assessment of distal femur fracture locked plating constructs.
AB - BACKGROUND: The purpose of this study was to design and validate a novel
stiffness-measuring device using locked plating of distal femur fractures as a
model. METHODS: All patients underwent a laterally-based approach, with a
bridging locked construct after indirect reduction. A custom and calibrated
intraoperative stiffness device was applied and the stiffness of the construct
was blindly recorded. Fourteen of twenty-seven patients enrolled with distal
femur fractures (AO/OTA 33A and 33C) completed the study. Correlations between
stiffness and callus formation, working length, working length/plate length
ratio, number of distal locking screws, and fracture pattern were explored.
FINDINGS: Callus and modified radiographic union scale in tibias scores as a
linear function of stiffness did not correlate (R2 = 0.06 and 0.07,
respectively). Construct working length and working length to plate length ratio
did not correlate to stiffness (R2 = 0.18 and 0.16 respectively). A combined
delayed and nonunion rate was 14%. Lower extremity measure scores were not
statistically different when comparing delayed and nonunion with healed
fractures. INTERPRETATION: The lack of correlation may have been due to the
mechanical properties of the plate itself and its large contribution to the
overall stiffness of the construct. To our knowledge, clinically relevant
stiffness has not been described and this study may provide some estimates. This
methodology and these preliminary findings may lay the groundwork for further
investigations into this prevalent clinical problem. Other parameters not
investigated may play a key role such as body mass index and bone mineral
density. LEVEL OF EVIDENCE: Diagnostic/Prognostic Level II.
PMID- 29803823
TI - Design and clinical outcome of a novel 3D-printed prosthetic joint replacement
for the human temporomandibular joint.
AB - BACKGROUND: Stock prosthetic temporomandibular joint replacements come in limited
sizes, and do not always encompass the joint anatomy that presents clinically.
The aims of this study were twofold. Firstly, to design a personalized prosthetic
total joint replacement for the treatment of a patient's end-stage
temporomandibular joint osteoarthritis, to implant the prosthesis into the
patient, and assess clinical outcome 12-months post-operatively; and secondly, to
evaluate the influence of changes in prosthetic condyle geometry on implant load
response during mastication. METHODS: A 48-year-old female patient with Grade-5
osteoarthritis to the left temporomandibular joint was recruited, and a
prosthesis developed to match the native temporomandibular joint anatomy. The
prosthesis was 3D printed, sterilized and implanted into the patient, and pain
and function measured 12-months post-operatively. The prosthesis load response
during a chewing-bite and maximum-force bite was evaluated using a personalized
multi-body musculoskeletal model. Simulations were performed after perturbing
condyle thickness, neck length and head sphericity. FINDINGS: Increases in
prosthetic condyle neck length malaligned the mandible and perturbed
temporomandibular joint force. Changes in condylar component thickness greatly
influenced fixation screw stress response, while a more eccentric condylar head
increased prosthetic joint-contact loading. Post-operatively, the prosthetic
temporomandibular joint surgery reduced patient pain from 7/10 to 1/10 on a
visual analog scale, and increased intercisal opening distance from 22 mm to 38
mm. INTERPRETATION: This study demonstrates effectiveness of a personalized
prosthesis that may ultimately be adapted to treat a wide-range of end-stage
temporomandibular joint conditions, and highlights sensitivity of prosthesis load
response to changes in condylar geometry.
PMID- 29803824
TI - Low-dimensional dynamical characterization of human performance of cancer
patients using motion data.
AB - BACKGROUND: Biomechanical characterization of human performance with respect to
fatigue and fitness is relevant in many settings, however is usually limited to
either fully qualitative assessments or invasive methods which require a
significant experimental setup consisting of numerous sensors, force plates, and
motion detectors. Qualitative assessments are difficult to standardize due to
their intrinsic subjective nature, on the other hand, invasive methods provide
reliable metrics but are not feasible for large scale applications. METHODS:
Presented here is a dynamical toolset for detecting performance groups using a
non-invasive system based on the Microsoft Kinect motion capture sensor, and a
case study of 37 cancer patients performing two clinically monitored tasks before
and after therapy regimens. Dynamical features are extracted from the motion time
series data and evaluated based on their ability to i) cluster patients into
coherent fitness groups using unsupervised learning algorithms and to ii) predict
Eastern Cooperative Oncology Group performance status via supervised learning.
FINDINGS: The unsupervised patient clustering is comparable to clustering based
on physician assigned Eastern Cooperative Oncology Group status in that they both
have similar concordance with change in weight before and after therapy as well
as unexpected hospitalizations throughout the study. The extracted dynamical
features can predict physician, coordinator, and patient Eastern Cooperative
Oncology Group status with an accuracy of approximately 80%. INTERPRETATION: The
non-invasive Microsoft Kinect sensor and the proposed dynamical toolset comprised
of data preprocessing, feature extraction, dimensionality reduction, and machine
learning offers a low-cost and general method for performance segregation and can
complement existing qualitative clinical assessments.
PMID- 29803826
TI - Heparanase is expressed in adult human osteoarthritic cartilage and drives
catabolic responses in primary chondrocytes.
AB - OBJECTIVES: The chondrocytes' pericellular matrix acts as a mechanosensor by
sequestering growth factors that are bound to heparan sulfate (HS) proteoglycans.
Heparanase is the sole mammalian enzyme with HS degrading endoglycosidase
activity. Here, we aimed to ascertain whether heparanase plays a role in
modulating the anabolic or catabolic responses of human articular chondrocytes.
METHODS: Primary chondrocytes were incubated with pro-heparanase and catabolic
and anabolic gene expression was analyzed by quantitative polymerase chain
reaction (PCR). MMP13 enzymatic activity in the culture medium was measured with
a specific fluorescent assay. Extracellular regulated kinase (ERK)
phosphorylation was evaluated by Western blot. Human osteoarthritis (OA)
cartilage was assessed for heparanase expression by reverse-transcriptase PCR, by
Western blot and by a heparanase enzymatic activity assay. RESULTS: Cultured
chondrocytes rapidly associated with and activated pro-heparanase. Heparanase
induced the catabolic genes MMP13 and ADAMTS4 and the secretion of active MMP13,
and down-regulated the anabolic genes ACAN and COL2A1. PG545, a HS-mimetic,
inhibited the effects of heparanase. Heparanase expression and enzymatic activity
were demonstrated in adult human osteoarthritic cartilage. Heparanase induced ERK
phosphorylation in cultured chondrocytes and this could be inhibited by PG545, by
fibroblast growth factor 2 (FGF2) neutralizing antibodies and by a FGF-receptor
inhibitor. CONCLUSIONS: Heparanase is active in osteoarthritic cartilage and
induces catabolic responses in primary human chondrocytes. This response is due,
at least in part, to the release of soluble growth factors such as FGF2.
PMID- 29803827
TI - Csf1R inhibition attenuates experimental autoimmune encephalomyelitis and
promotes recovery.
AB - Multiple sclerosis (MS) is a chronic autoimmune disease of the central nervous
system (CNS) characterized by progressive neuronal demyelination and
degeneration. Much of this damage can be attributed to microglia, the resident
innate immune cells of the CNS, as well as monocyte-derived macrophages, which
breach the blood-brain barrier in this inflammatory state. Upon activation, both
microglia and macrophages release a variety of factors that greatly contribute to
disease progression, and thus therapeutic approaches in MS focus on diminishing
their activity. We use the CSF1R inhibitor PLX5622, administered in mouse chow,
to ablate microglia and macrophages during the course of experimental autoimmune
encephalomyelitis (EAE), an animal model of MS. Here, we show that ablation of
these cells significantly improves animal mobility and weight gain in EAE.
Further, we show that this treatment addresses the pathological hallmarks of MS,
as it reduces demyelination and immune activation. White matter lesion areas in
microglia/macrophage-depleted animals show substantial preservation of mature,
myelinating oligodendrocytes in comparison to control animals. Taken together,
these findings suggest that ablation of microglia/macrophages during the
symptomatic phase of EAE reduces CNS inflammation and may also promote a more
permissive environment for remyelination and recovery. This microglia and
macrophage-targeted therapy could be a promising avenue for treatment of MS.
PMID- 29803825
TI - A Delphi-Based Consensus Statement on the Management of Anticoagulated Patients
With Botulinum Toxin for Limb Spasticity.
AB - OBJECTIVE: To create a consensus statement on the considerations for treatment of
anticoagulated patients with botulinum toxin A (BoNTA) intramuscular injections
for limb spasticity. DESIGN: We used the Delphi method. SETTING: A multiquestion
electronic survey. PARTICIPANTS: Canadian physicians (N=39) who use BoNTA
injections for spasticity management in their practice. INTERVENTIONS: After the
survey was sent, there were e-mail discussions to facilitate an understanding of
the issues underlying the responses. Consensus for each question was reached when
agreement level was >=75%. MAIN OUTCOME MEASURES: Not applicable. RESULTS: When
injecting BoNTA in anticoagulated patients: (1) BoNTA injections should not be
withheld regardless of muscles injected; (2) a 25G or smaller size needle should
be used when injecting into the deep leg compartment muscles; (3) international
normalized ratio (INR) level should be <=3.5 when injecting the deep leg
compartment muscles; (4) if there are clinical concerns such as history of a
fluctuating INR, recent bleeding, excessive or new bruising, then an INR value on
the day of injection with point-of-care testing or within the preceding 2-3 days
should be taken into consideration when injecting deep compartment muscles; (5)
the concern regarding bleeding when using direct oral anticoagulants (DOACs)
should be the same as with warfarin (when INR is in the therapeutic range); (6)
the dose and scheduling of DOACs should not be altered for the purpose of
minimizing the risk of bleeding prior to BoNTA injections. CONCLUSIONS: These
consensus statements provide a framework for physicians to consider when
injecting BoNTA for spasticity in anticoagulated patients. These consensus
statements are not strict guidelines or decision-making steps, but rather an
effort to generate common understanding in the absence of evidence in the
literature.
PMID- 29803829
TI - No Evidence for Presence of Mucosal-Associated Invariant T Cells in the Insulitic
Lesions in Patients Recently Diagnosed with Type 1 Diabetes.
AB - Mucosal-associated invariant T (MAIT) cells are innate T cells that recognize
bacteria-infected cells and are thought to play a role in autoimmune diseases.
Translocation of duodenal bacteria and viruses to the pancreas through the
pancreatic duct has been hypothesized to initiate an innate inflammatory response
that could contribute to the development of type 1 diabetes, a process that could
involve MAIT cells. In this study, we used immunohistochemistry and quantitative
PCR to search for evidence of MAIT cells in the insulitic lesions in the pancreas
of human patients recently diagnosed with type 1 diabetes. Only a few scattered
MAIT cells were found within the exocrine parenchyma in all pancreatic samples,
but no MAIT cells were found in association to the islets. Also, only low gene
expression levels of the MAIT T-cell receptor Valpha7.2-Jalpha33 were found in
the pancreas of patients with type 1 diabetes, in similar levels as that in
nondiabetic organ donors used as control. The absence of MAIT cells shown in
insulitic lesions in humans questions the direct cytotoxic role of these cells in
beta-cell destruction.
PMID- 29803828
TI - PTK2B/Pyk2 overexpression improves a mouse model of Alzheimer's disease.
AB - Pyk2 is a Ca2+-activated non-receptor tyrosine kinase enriched in forebrain
neurons and involved in synaptic regulation. Human genetic studies associated
PTK2B, the gene coding Pyk2, with risk for Alzheimer's disease (AD). We
previously showed that Pyk2 is important for hippocampal function, plasticity,
and spine structure. However, its potential role in AD is unknown. To address
this question we used human brain samples and 5XFAD mice, an amyloid mouse model
of AD expressing mutated human amyloid precursor protein and presenilin1. In the
hippocampus of 5XFAD mice and in human AD patients' cortex and hippocampus, Pyk2
total levels were normal. However, Pyk2 Tyr-402 phosphorylation levels,
reflecting its autophosphorylation-dependent activity, were reduced in 5XFAD mice
at 8 months of age but not 3 months. We crossed these mice with Pyk2-/- mice to
generate 5XFAD animals devoid of Pyk2. At 8 months the phenotype of 5XFAD x Pyk2
/- double mutant mice was not different from that of 5XFAD. In contrast,
overexpression of Pyk2 in the hippocampus of 5XFAD mice, using adeno-associated
virus, rescued autophosphorylated Pyk2 levels and improved synaptic markers and
performance in several behavioral tasks. Both Pyk2-/- and 5XFAD mice showed an
increase of potentially neurotoxic Src cleavage product, which was rescued by
Pyk2 overexpression. Manipulating Pyk2 levels had only minor effects on Abeta
plaques, which were slightly decreased in hippocampus CA3 region of double mutant
mice and increased following overexpression. Our results show that Pyk2 is not
essential for the pathogenic effects of human amyloidogenic mutations in the
5XFAD mouse model. However, the slight decrease in plaque number observed in
these mice in the absence of Pyk2 and their increase following Pyk2
overexpression suggest a contribution of this kinase in plaque formation.
Importantly, a decreased function of Pyk2 was observed in 5XFAD mice, indicated
by its decreased autophosphorylation and associated Src alterations. Overcoming
this deficit by Pyk2 overexpression improved the behavioral and molecular
phenotype of 5XFAD mice. Thus, our results in a mouse model of AD suggest that
Pyk2 impairment may play a role in the symptoms of the disease.
PMID- 29803830
TI - Cause and Effect Relationship between Changes in Scleral Matrix Metallopeptidase
2 Expression and Myopia Development in Mice.
AB - Myopia is a serious sight-compromising condition in which decreases in scleral
biomechanical strength are associated with protease up-regulation resulting in
thinning of its collagenous framework and changes in the extracellular matrix
composition. Matrix metallopeptidase (MMP)-2 is one of the known proteases
mediating these alterations. To determine whether MMP-2 up-regulation precedes
myopia development, the direct effects of gain and loss in Mmp2 gene function
were evaluated on refractive development and form deprivation myopia in mice.
Four weeks after injecting an adeno-associated virus serotype 8 packaged Mmp2
overexpression vector (AAV8-Mmp2), scleral MMP-2 up-regulation was accompanied by
significant myopia in a normal visual environment. In contrast, AAV8 packaging
with shRNA targeting Mmp2 inhibited rises in MMP-2 expression induced by form
deprivation by 54% and reduced myopia development by 23% compared with eyes
injected with an irrelevant scrambled sequence. Because opposing changes in MMP-2
protein expression levels had corresponding effects on myopia progression, up
regulation of this protease contributes to inducing this condition. This notion
of a cause-and-effect relationship between MMP-2 up-regulation and myopia
development is supported by showing that form-deprived myopia development was
attenuated by 27% in fibroblast-specific Mmp2 deletion (S100a4creMmp2fl/fl) mice
relative to Cre-negative littermates (Mmp2fl/fl). Therefore, MMP-2 is a potential
drug target for inhibiting myopia progression.
PMID- 29803831
TI - Mice Knocked Out for the Primary Brain Calcification-Associated Gene Slc20a2 Show
Unimpaired Prenatal Survival but Retarded Growth and Nodules in the Brain that
Grow and Calcify Over Time.
AB - Brain calcification of especially the basal ganglia characterizes primary
familial brain calcification (PFBC). PFBC is a rare neurodegenerative disorder
with neuropsychiatric and motor symptoms, and only symptomatic treatment is
available. Four PFBC-associated genes are known; approximately 40% of patients
carry mutations in the gene SLC20A2, which encodes the type III sodium-dependent
inorganic phosphate transporter PiT2. To investigate the role of PiT2 in PFBC
development, we studied Slc20a2-knockout (KO) mice using histology, microcomputed
tomography, electron microscopy, and energy-dispersive X-ray spectroscopy.
Slc20a2-KO mice showed histologically detectable nodules in the brain already at
8 weeks of age, which contained organic material and were weakly calcified. In 15
week-old mice, the nodules were increased in size and number and were markedly
more calcified. The major minerals in overt calcifications were Ca and P, but Fe,
Zn, and Al were also generally present. Electron microscopy suggested that the
calcifications initiate intracellularly, mainly in pericytes and astrocytes. As
the calcification grew, they incorporated organic material. Furthermore,
endogenous IgG was detected around nodules, suggesting local increased blood
brain barrier permeabilities. Nodules were found in all 8-week-old Slc20a2-KO
mice, but no prenatal or marked postnatal lethality was observed. Thus, besides
allowing for the study of PFBC development, the Slc20a2-KO mouse is a potential
solid preclinical model for evaluation of PFBC treatments.
PMID- 29803832
TI - Acute Beneficial Effects of Sodium Nitroprusside in a Rabbit Model of Massive
Pulmonary Embolism Associated with Circulatory Shock.
AB - We established a rabbit model of acute massive pulmonary embolism (PE) with
associated circulatory shock using autologous blood clots. Rabbits were randomly
assigned to a sham operation group (S group), model group (M group; equal volume
of saline intravenously after shock), and sodium nitroprusside group (SNP group;
sodium nitroprusside intravenously after shock). SNP treatment significantly
decreased mean pulmonary arterial pressure and increased mean arterial pressure
and arterial partial pressure of oxygen and resulted in a partial reversal of the
acute circulatory failure. The shock-reversal rate was 0% in the M group and 80%
in the SNP group. Moreover, pulmonary artery angiography and echocardiography
examinations evidenced alleviated PE-induced changes after SNP therapy. 5
Hydroxytryptamine was significantly reduced in both PE and non-PE tissues,
thromboxane A2 level was significantly reduced in PE and tended to be lower in
non-PE tissues, neutrophil accumulation was significantly reduced in both PE and
non-PE tissues after SNP therapy. Our study demonstrated that pulmonary vasospasm
in the nonembolic region might be a major pathologic factor leading to reduced
left ventricular filling and circulatory shock after massive PE. Reduction of
pulmonary vasospasm in the nonembolic area after SNP might serve as a major
therapeutic mechanism involved in the observed beneficial effects of SNP in this
model.
PMID- 29803833
TI - ELABELA/APELA Levels Are Not Decreased in the Maternal Circulation or Placenta
among Women with Preeclampsia.
AB - The genetic deletion of apelin receptor early endogenous ligand (Elabela;
official name APELA) produces a preeclampsia-like phenotype in mice. However,
evidence linking ELABELA with human disease is lacking. Therefore, we measured
placental mRNA and circulating ELABELA in human samples. ELABELA mRNA (measured
by RNA sequencing) was unchanged in 82 preeclamptic placentas compared with 82
matched controls (mean difference, 0.53%; 95% CI, -25.9 to 27.0; P = 0.78). We
measured circulating ELABELA in 32 women with preterm preeclampsia (delivered at
<34 weeks' gestation) and 32 matched controls sampled at the same gestational
age. There was no difference in circulating ELABELA concentrations in the
preeclamptic cohort compared with controls (median, 28.5 pg/mL; 95% CI, 5.3 to
63.2 versus median, 20.5 pg/mL; 95% CI, 9.2 to 58.0, respectively); the median
difference was 8.0 pg/mL (95% CI, -17.7 to 12.1; P = 0.43). In contrast, soluble
FLT1 (a protein with an established association with preeclampsia) mRNA was
increased in placental tissue (mean difference, 34.9%; 95% CI, 16.6 to 53.1; P =
0.001), and circulating concentrations were 16.8-fold higher among the
preeclamptic cohort (P < 0.0001). In conclusion, we were able to recapitulate the
association between circulating soluble FLT1 and preeclampsia, but there was no
association with ELABELA. The speculated clinical relevance of observations in
the murine model linking ELABELA to preeclampsia likely are incorrect.
PMID- 29803834
TI - Epithelial Splicing Regulatory Protein 1 Inhibits the Invasion and Metastasis of
Lung Adenocarcinoma.
AB - Despite the development of various treatments, metastasis remains a significant
problem with lung adenocarcinoma (ADC). The role and mechanism of epithelial
splicing regulatory protein 1 (ESRP1), an epithelial-specific RNA binding
protein, on promoting the invasion and metastasis of lung ADC remain to be fully
elucidated. Immunohistochemical analysis in 125 human lung ADC tissue samples
demonstrated that ESRP1 overexpression was inversely related to the presence of
metastases, tumor size, and clinical stage of lung ADC. Impaired ESRP1 expression
was also found to stimulate the invasion capacity of lung ADC cells both in vitro
and in vivo. Functionally, overexpression of the ZEB1 gene decreased ESRP1
expression, and knockdown of the ZEB1 gene caused increased ESRP1 expression. On
the basis of a gene array analysis, the expression of ESRP1 was associated with
the regulation of the extracellular matrix. The expression of CD44 and fibroblast
growth factor receptor, representatives that interact with the extracellular
matrix, was studied. The CD44 subtypes promoted lung ADC cell invasion by
regulating matrix metalloproteinase 2 expression. In conclusion, ESRP1 inhibits
the invasion and metastasis of lung ADC and plays a role in regulating proteins
involved in epithelial-to-mesenchymal transition.
PMID- 29803835
TI - Impaired Fasting-Induced Adaptive Lipid Droplet Biogenesis in Liver-Specific Atg5
Deficient Mouse Liver Is Mediated by Persistent Nuclear Factor-Like 2 Activation.
AB - Lipid droplets (LDs) are intracellular organelles that store neutral lipids as
energy reservoir. Recent studies suggest that autophagy is important in
maintaining the homeostasis of intracellular LDs by either regulating the
biogenesis of LDs, mobilization of fatty acids, or degradation of LDs in cultured
cells. Increasing evidence also supports a role of autophagy in regulating
glucose and lipid metabolism in vivo in mammals. In response to
fasting/starvation, lipids are mobilized from the adipose tissue to the liver,
which increases the number of intracellular LDs and stimulates fatty acid
oxidation and ketogenesis. However, it is still controversial and unclear how
impaired autophagy in hepatocytes affects the biogenesis of LDs in mouse livers.
In the present study, it was demonstrated that hepatic autophagy-deficient (L
Atg)5 knockout mice had impaired adaptation to fasting-induced hepatic biogenesis
of LDs. The maladaptation to fasting-induced hepatic biogenesis of LDs in L-Atg5
knockout mouse livers was not due to hepatic changes of de novo lipogenesis,
secretion of very-low-density lipoprotein or fatty acid beta-oxidation, but it
was due to persistent nuclear factor-like 2 activation because biogenesis of LDs
restored in L-Atg5/nuclear factor-like 2 double-knockout mice.
PMID- 29803836
TI - No Superiority of Stents vs Balloon Dilatation for Dominant Strictures in
Patients With Primary Sclerosing Cholangitis.
AB - BACKGROUND & AIMS: Dominant strictures occur in approximately 50% of patients
with primary sclerosing cholangitis (PSC). Short-term stents have been reported
to produce longer resolution of dominant strictures than single-balloon
dilatation. We performed a prospective study to compare the efficacy and safety
of balloon dilatation vs short-term stents in patients with non-end-stage PSC.
METHODS: We performed an open-label trial of patients with PSC undergoing
therapeutic endoscopic retrograde cholangiopancreatography (ERCP) at 9 tertiary
care centers in Europe, from July 2011 through April 2016. Patients found to have
a dominant stricture during ERCP were randomly assigned to groups that underwent
balloon dilatation (n = 31) or stent placement for a maximum of 2 weeks (n = 34);
patients were followed for 24 months. The primary outcome was the cumulative
recurrence-free patency of the primary dominant strictures. RESULTS: Study
recruitment was terminated after a planned interim analysis because of futility
and differences in treatment-related serious adverse events (SAEs) between
groups. The cumulative recurrence-free rate did not differ significantly between
groups (0.34 for the stent group and 0.30 for the balloon dilatation group at 24
months; P = 1.0). Most patients in both groups had reductions in symptoms at 3
months after the procedure. There were 17 treatment-related SAEs: post-ERCP
pancreatitis in 9 patients and bacterial cholangitis in 4 patients. SAEs occurred
in 15 patients in the stent group (45%) and in only 2 patients in the balloon
dilatation group (6.7%) (odds ratio, 11.7; 95% confidence interval, 2.4-57.2; P =
.001). CONCLUSIONS: In a multicenter randomized trial of patients with PSC and a
dominant stricture, short-term stents were not superior to balloon dilatation and
were associated with a significantly higher occurrence of treatment-related SAEs.
Balloon dilatation should be the initial treatment of choice for dominant
strictures in patients with PSC. This may be particularly relevant to patients
with an intact papilla. ClinicalTrials.gov no. NCT01398917.
PMID- 29803837
TI - Older Age Reduces Upper Esophageal Sphincter and Esophageal Body Responses to
Simulated Slow and Ultraslow Reflux Events and Post-Reflux Residue.
AB - BACKGROUND & AIMS: It is not clear how age affects airway protective mechanisms.
We investigated the effects of aging on upper esophageal sphincter (UES) and
esophageal body pressure responses to slow and ultraslow simulated reflux events
and post-reflux residue. METHODS: We performed a prospective study of 11 elderly
(74 +/- 9 years old) and 11 young (28 +/- 7 years old) healthy volunteers.
Participants were placed in a supine position and evaluated by concurrent high
resolution impedance manometry and an esophageal infusion technique. Potential
conditions of gastroesophageal reflux were simulated, via infusion of 0.1 N HCl
and saline. UES and esophageal pressure responses were measured during the
following: slow infusion (1 mL/s) for 60 seconds, 60 seconds of postinfusion
dwell period, ultraslow infusion (0.05 mL/s) for 60 seconds, and 60 seconds of a
postinfusion dwell period. All infusions were repeated 3 times. We used the UES
high-pressure zone contractile integral (UES-CI) to determine responses of the
UES. RESULTS: Young and elderly subjects each had a significant increase in the
UES-CI during slow infusions and during entire passive dwell intervals compared
with baseline (P < .01, both groups). Ultraslow infusions were associated with a
significant increase in UES-CI in only the young group, in the late infusion
period, and into the dwell interval (P < .01). During the slow infusions and
their associated dwell periods, young subjects had a higher frequency of
secondary peristalsis than elderly subjects (P < .05). There was more secondary
peristalsis during active infusions than dwell intervals. Secondary peristalsis
was scarce during ultraslow infusions in both groups. CONCLUSIONS: UES and
esophageal body pressure responses to low-volume ultraslow reflux and associated
post-reflux residue are reduced in elderly individuals. This deterioration could
have negative effects on airway protection for people in this age group.
PMID- 29803838
TI - Over-the-Scope Clips Are More Effective Than Standard Endoscopic Therapy for
Patients With Recurrent Bleeding of Peptic Ulcers.
AB - BACKGROUND & AIMS: Endoscopic hemostasis is effective in treatment of bleeding
peptic ulcers. However, rebleeding is difficult to treat and associated with
substantial morbidity and mortality. We performed a prospective randomized trial
to determine whether over-the-scope clips (OTSCs) are more effective than
standard treatment of severe recurrent upper gastrointestinal bleeding. METHODS:
We performed our study at 9 academic referral centers (in Germany, Switzerland,
and Hong Kong) from March 2013 through September 2016. Adult patients with
recurrent peptic ulcer bleeding following initially successful hemostasis (66
patients in the intent-to-treat analysis) were randomly assigned to groups (1:1)
that underwent hemostasis with either OTSC or standard therapy. Standard therapy
was defined as hemostasis with through-the-scope clips (TTSC, n = 31) or thermal
therapy plus injection with diluted adrenaline (n = 2). The primary endpoint was
further bleeding (a composite endpoint of a persistent bleeding despite
endoscopic therapy according to the protocol or recurrent bleeding within 7 days
after successful hemostasis). Patients with further bleeding were allowed to
cross over to OTSC therapy. Main secondary endpoints were mortality, necessity of
surgical or angiographic salvage therapy, duration of stay in the hospital or
intensive care, number of blood units transfused, and complications associated
with endoscopic therapy. RESULTS: Persistent bleeding after per-protocol
hemostasis was observed in 14 patients (42.4%) in the standard therapy group and
2 patients (6.0%) in the OTSC group (P = .001). Recurrent bleeding within 7 days
occurred in 5 patients (16.1%) in the standard therapy group vs 3 patients (9.1%)
in the OTSC group (P = .468). Further bleeding occurred in 19 patients (57.6%) in
the standard therapy group and in 5 patients (15.2%) in the OTSC group (absolute
difference 42.4%; 95% confidence interval 21.6-63.2; P = .001) Within 30 days of
follow-up, 1 patient in the standard therapy group (3.0%) and 1 patient in the
OTSC group (3.0%) required surgical therapy (P = .999). Within 30 days of the
procedure, 2 patients died in the standard therapy group (6.3%) and 4 patients
died in the OTSC group (12.1%) (P = .672). There were no significant differences
in the other secondary endpoints. CONCLUSIONS: In prospective randomized trial,
we found endoscopic treatment with OTSCs to be superior to standard therapy with
TTSCs for patients with recurrent peptic ulcer bleeding. STING Study,
Clinicaltrials.gov no: NCT1836900.
PMID- 29803840
TI - Bringing in vitro analysis closer to in vivo: Studying doxorubicin toxicity and
associated mechanisms in 3D human microtissues with PBPK-based dose modelling.
AB - Doxorubicin (DOX) is a chemotherapeutic agent of which the medical use is limited
due to cardiotoxicity. While acute cardiotoxicity is reversible, chronic
cardiotoxicity is persistent or progressive, dose-dependent and irreversible.
While DOX mechanisms of action are not fully understood yet, 3 toxicity processes
are known to occur in vivo: cardiomyocyte dysfunction, mitochondrial dysfunction
and cell death. We present an in vitro experimental design aimed at detecting DOX
induced cardiotoxicity by obtaining a global view of the induced molecular
mechanisms through RNA-sequencing. To better reflect the in vivo situation, human
3D cardiac microtissues were exposed to physiologically-based pharmacokinetic
(PBPK) relevant doses of DOX for 2 weeks. We analysed a therapeutic and a toxic
dosing profile. Transcriptomics analysis revealed significant gene expression
changes in pathways related to "striated muscle contraction" and "respiratory
electron transport", thus suggesting mitochondrial dysfunction as an underlying
mechanism for cardiotoxicity. Furthermore, expression changes in mitochondrial
processes differed significantly between the doses. Therapeutic dose reflects
processes resembling the phenotype of delayed chronic cardiotoxicity, while toxic
doses resembled acute cardiotoxicity. Overall, these results demonstrate the
capability of our innovative in vitro approach to detect the three known
mechanisms of DOX leading to toxicity, thus suggesting its potential relevance
for reflecting the patient situation. Our study also demonstrated the importance
of applying physiologically relevant doses during toxicological research, since
mechanisms of acute and chronic toxicity differ.
PMID- 29803841
TI - The second generation tyrosine kinase inhibitor dasatinib induced eryptosis in
human erythrocytes-An in vitro study.
AB - Dasatinib, a new tyrosine kinase inhibitor, is used clinically to kill chronic
myelogenous leukemia and acute lymphoblastic leukemia through apoptosis.
Obviously, anemia is developed in many patients receiving dasatinib for
treatment. Until now, the mechanism for the cytotoxic effects of dasatinib in
human erythrocytes is not fully understood. As many tyrosine kinases are found in
human erythrocytes, it is therefore logical to hypothesize that dasatinib is able
to induce apoptosis (or eryptosis) in human erythrocytes. True to our
expectation, dasatinib inhibited tyrosine kinase and induced eryptosis in human
erythrocytes with early denature of esterase, cell shrinkage, loss of membrane
integrity with inside-out phosphatidylserine, increase in the cytosolic Ca2+ ion
concentration ([Ca2+]i), caspase-3 activation and change in cellular redox state.
Mechanistically, the rise of [Ca2+]i seems to be a key mediator in the dasatinib
mediated eryptosis because depletion of external Ca2+ could suppress the
eryptotic effects. Also, dasatinib was able to reduce membrane fluidity in human
RBCs. For the direct action on membrane, dasatinib permeabilized RBC ghosts in a
way similar to digitonin. Taken together, we report here for the first time that
dasatinib inhibited tyrosine kinase and induced eryptosis in human erythrocytes
through Ca2+ loading and membrane permeabilization.
PMID- 29803839
TI - Risk of Neoplastic Progression in Individuals at High Risk for Pancreatic Cancer
Undergoing Long-term Surveillance.
AB - BACKGROUND & AIMS: Screening of individuals who have a high risk of pancreatic
ductal adenocarcinoma (PDAC), because of genetic factors, frequently leads to
identification of pancreatic lesions. We investigated the incidence of PDAC and
risk factors for neoplastic progression in individuals at high risk for PDAC
enrolled in a long-term screening study. METHODS: We analyzed data from 354
individuals at high risk for PDAC (based on genetic factors of family history),
enrolled in Cancer of the Pancreas Screening cohort studies at tertiary care
academic centers from 1998 through 2014 (median follow-up time, 5.6 years). All
subjects were evaluated at study entry (baseline) by endoscopic ultrasonography
and underwent surveillance with endoscopic ultrasonography, magnetic resonance
imaging, and/or computed tomography. The primary endpoint was the cumulative
incidence of PDAC, pancreatic intraepithelial neoplasia grade 3, or intraductal
papillary mucinous neoplasm with high-grade dysplasia (HGD) after baseline. We
performed multivariate Cox regression and Kaplan-Meier analyses. RESULTS: During
the follow-up period, pancreatic lesions with worrisome features (solid mass,
multiple cysts, cyst size > 3 cm, thickened/enhancing walls, mural nodule,
dilated main pancreatic duct > 5 mm, or abrupt change in duct caliber) or rapid
cyst growth (>4 mm/year) were detected in 68 patients (19%). Overall, 24 of 354
patients (7%) had neoplastic progression (14 PDACs and 10 HGDs) over a 16-year
period; the rate of progression was 1.6%/year, and 93% had detectable lesions
with worrisome features before diagnosis of the PDAC or HGD. Nine of the 10 PDACs
detected during routine surveillance were resectable; a significantly higher
proportion of patients with resectable PDACs survived 3 years (85%) compared with
the 4 subjects with symptomatic, unresectable PDACs (25%), which developed
outside surveillance (log rank P < .0001). Neoplastic progression occurred at a
median age of 67 years; the median time from baseline screening until PDAC
diagnosis was 4.8 years (interquartile range, 1.6-6.9 years). CONCLUSIONS: In a
long-term (16-year) follow-up study of individuals at high-risk for PDAC, we
found most PDACs detected during surveillance (9/10) to be resectable, and 85% of
these patients survived for 3 years. We identified radiologic features associated
with neoplastic progression.
PMID- 29803843
TI - The development and early clinical testing of the ExPEC4V conjugate vaccine
against uropathogenic Escherichia coli.
AB - OBJECTIVES: In this 'how it was done' narrative review, we provide a description
of, and context for, the early development of a conjugate vaccine targeting extra
intestinal, pathogenic Escherichia coli (ExPEC), from its creation in the
laboratory to its testing in a large, first-in-human phase Ib trial. SOURCES: We
searched the Pubmed database for previous attempts to develop vaccines against
ExPEC, and we provide data from laboratory and trial databases established during
the development of ExPEC4V, the tetravalent conjugate vaccine candidate. CONTENT:
Earlier attempts at ExPEC vaccines had mixed success: whole-cell or cell-lysate
preparations have limited effectiveness, and though an early conjugate vaccine
was immunogenic in animal models, its development stalled before extensive
clinical testing could occur. The development of the current conjugate vaccine
candidate, ExPEC4V, began at a population level, with an epidemiological survey
to determine the most common E. coli serotypes causing urinary tract infections
(UTI) in Switzerland, Germany and the USA. The O antigens of the four most
prevalent serotypes were selected for inclusion in ExPEC4V. After its creation in
the laboratory by means of an in vivo bioconjugation process engineered to occur
within E. coli cells, ExPEC4V underwent toxicity and immunogenicity testing in
animal models. It then underwent safety and immunogenicity testing in a first-in
human, phase Ib multicentre trial, whose population of healthy women with a
history of recurrent UTI allowed for an additional, preliminary assessment of the
candidate's clinical efficacy. IMPLICATIONS: Laboratory development and early
phase I testing were successful, as the vaccine candidate emerged with strong
safety and immunogenicity profiles. The clinical trial was ultimately
underpowered to detect a significant reduction in vaccine-specific E. coli UTI,
though it showed a significant decrease in the incidence of UTI caused by E. coli
of any serotype. We discuss the findings, including the lessons learned.
PMID- 29803842
TI - Microbiologic epidemiology depending on time to occurrence of prosthetic joint
infection: a prospective cohort study.
AB - OBJECTIVES: The high microbiologic diversity encountered in prosthetic joint
infection (PJI) makes the choice of empirical antimicrobial therapies
challenging, especially in cases of implant retention or one-stage exchange.
Despite the risk of dysbiosis and toxicity, the combination of vancomycin with a
broad-spectrum beta-lactam is currently recommended in all cases, even if Gram
negative bacilli (GNB) might be less represented in late PJI. In this context,
this study aimed to describe the microbiologic epidemiology of PJI according to
the chronology of infection. METHODS: This prospective cohort study (2011-2016)
evaluated the microbiologic aetiology of 567 PJI according to time of occurrence
from prosthesis implantation-early (<3 months), delayed (3-12 months) and late
(>12 months)-as well as mechanism of acquisition. RESULTS: Initial microbiologic
documentation (n = 511; 90.1%) disclosed 164 (28.9%) Staphylococcus aureus
(including 26 (16.1%) methicillin-resistant S. aureus), 162 (28.6%) coagulase
negative staphylococci (including 81 (59.1%) methicillin-resistant coagulase
negative staphylococci), 80 (14.1%) Enterobacteriaceae, 74 (13.1%) streptococci
and 60 (10.6%) Cutibacterium acnes. Considering nonhaematogenous late PJI (n =
182), Enterobacteriaceae (n = 7; 3.8%) were less represented than in the first
year after implantation (n = 56; 17.2%; p <0.001), without difference regarding
nonfermenting GNB (4.6% and 2.7%, respectively). The prevalence of anaerobes (n =
40; 21.9%; including 32 (80.0%) C. acnes) was higher in late PJI (p <0.001).
Consequently, a broad-spectrum beta-lactam might be useful in 12 patients (6.6%)
with late PJI only compared to 66 patients (20.3%) with early/delayed PJI (p
<0.001). CONCLUSIONS: Considering the minority amount of GNB in late
postoperative PJI, the empirical use of a broad-spectrum beta-lactam should be
reconsidered, especially when a two-stage exchange is planned.
PMID- 29803844
TI - Regular monitoring of cytomegalovirus-specific cell-mediated immunity in
intermediate-risk kidney transplant recipients: predictive value of the immediate
post-transplant assessment.
AB - OBJECTIVE: Previous studies on monitoring of post-transplant cytomegalovirus
(CMV)-specific cell-mediated immunity (CMI) are limited by single-centre designs
and disparate risk categories. We aimed to assess the clinical value of a regular
monitoring strategy in a large multicentre cohort of intermediate-risk kidney
transplant (KT) recipients. METHODS: We recruited 124 CMV-seropositive KT
recipients with no T-cell-depleting induction pre-emptively managed at four
Spanish institutions. CMV-specific interferon-gamma-producing CD4+ and CD8+ T
cells were counted through the first post-transplant year by intracellular
cytokine staining after stimulation with pp65 and immediate early-1 peptides
(mean of six measurements per patient). The primary outcome was the occurrence of
any CMV event (asymptomatic infection and/or disease). Optimal cut-off values for
CMV-specific T cells were calculated at baseline and day 15. RESULTS: Twelve
month cumulative incidence of CMV infection and/or disease was 47.6%. Patients
with pre-transplant CMV-specific CD8+ T-cell count <1.0 cells/MUL had greater
risk of CMV events (adjusted hazard ratio (aHR) 2.84; p 0.054). When the CMI
assessment was performed in the immediate post-transplant period (day 15), the
presence of <2.0 CD8+ T cells/MUL (aHR 2.18; p 0.034) or <1.0 CD4+ T cells/MUL
(aHR 2.43; p 0.016) also predicted the subsequent development of a CMV event. In
addition, lower counts of CMV-specific CD4+ (but not CD8+) T cells at days 60 and
180 were associated with a higher incidence of late-onset events. CONCLUSIONS:
Monitoring for CMV-specific CMI in intermediate-risk KT recipients must be
regular to reflect dynamic changes in overall immunosuppression and individual
susceptibility. The early assessment at post-transplant day 15 remains
particularly informative.
PMID- 29803846
TI - Paracoccidioidomycosis: Detection of Paracoccidioides brasiliensis' genome in
biological samples by quantitative chain reaction polymerase (qPCR).
AB - Paracoccidioidomycosis (PCM) is a chronic mycosis caused by the saprobic and
dimorphic species Paracoccidioides brasiliensis and P. lutzii. This disease is
prevalent in Latin American countries. PCM appears as a relevant concern and
challenge for the mycologists, since until now there is no a methodology suitable
for an efficient and safe diagnosis and species identification. Thus, the present
study aimed to validate a methodology for PCM's diagnosis, using quantitative
Polymerase Chain Reaction (qPCR) through target amplification of the gene
encoding the recombinant protein Pb27, a common protein to the both species
Paracoccidioides brasiliensis and P. lutzii. The experiments were performed in
vitro to determine the specificity, efficiency and detection limit of qPCR assay,
using specific primers and probe, which sequences were subject to a patent
deposited in Brazilian CTIT, under the registration number: BR1020160078830.
According to the results the technique showed sensitivity of 94% and specificity
of 100%, demonstrating that it will be possible to develop a new fast and safe
diagnostic PCM and can be standardized in order to present a low cost, accessible
to the patient served by the public health system in Brazil and Latin America.
PMID- 29803845
TI - Mycobacterium chimaera infection following cardiac surgery in the United Kingdom:
clinical features and outcome of the first 30 cases.
AB - OBJECTIVES: Mycobacterium chimaera infection following cardiac surgery, due to
contaminated cardiopulmonary bypass heater-cooler units, has been reported
worldwide. However, the spectrum of clinical disease remains poorly understood.
To address this, we report the clinical and laboratory features, treatment and
outcome of the first 30 UK cases. METHODS: Case note review was performed for
cases identified retrospectively through outbreak investigations and
prospectively through ongoing surveillance. Case definition was Mycobacterium
chimaera detected in any clinical specimen, history of cardiothoracic surgery
with cardiopulmonary bypass, and compatible clinical presentation. RESULTS:
Thirty patients were identified (28 with prosthetic material) exhibiting a
spectrum of disease including prosthetic valve endocarditis (14/30), sternal
wound infection (2/30), aortic graft infection (4/30) and disseminated (non
cardiac) disease (10/30). Patients presented a median of 14 months post surgery
(maximum 5 years) most commonly complaining of fever and weight loss.
Investigations frequently revealed lymphopenia, thrombocytopenia, liver
cholestasis and non-necrotizing granulomatous inflammation. Diagnostic
sensitivity for a single mycobacterial blood culture was 68% but increased if
multiple samples were sent. In all, 27 patients started macrolide-based
combination treatment and 14 had further surgery. To date, 18 patients have died
(60%) a median of 30 months (interquartile range 20-39 months) after initial
surgery. Survival analysis identified younger age, mitral valve surgery,
mechanical valve replacement, higher serum sodium concentration and lower C
reactive protein as factors associated with better survival. CONCLUSIONS:
Mycobacterium chimaera infection following cardiac surgery is associated with a
wide spectrum of disease. The diagnosis should be considered in all patients who
develop an unexplained illness following cardiac surgery.
PMID- 29803847
TI - Identification of a new myxosporean parasite Thelohanellus indiana n. sp.
(Myxosporea: Myxobolidae) isolated from three major organs of goldfish, Carassius
auratus L. highlighted with its morphological and SSU rDNA sequence based
molecular description.
AB - Fish mortality and poor growth in surviving fish contribute substantial losses to
the ornamental fish farms of India and revealed an infection of a new
myxosporidian parasite Thelohanellus indiana n. sp. which has become one of the
most important limiting factors for successful aquaculture management. The
parasite infects Carassius auratus, an Indian goldfish, described on the basis of
myxospores morphology and amplification of a part of 18 S rDNA gene. Three major
attaching site of fish body have been explored for showing the location of
attachment for the parasites. The whitish cysts of the parasites are about 2.5
3.5 mm contains large amount of lemon shaped mature myxospores measuring 12.1
15.2 (13.8) * 7.5-8.8 (8) MUm. A single round or elliptical polar capsule located
only at the anterior pole of the spore having 6.2-7.2 (6.8) * 3.3-4.7 (4.0) MUm
in diameter. The morphological characters have been assessed by both the light
and scanning electron microscope. The most differentiating feature from closely
related species was carried out by morpho-taxonomic affinities with previously
described species which are tremendously supported by molecular taxonomy by
partial sequencing of the 18 S rDNA gene resulted in a total of 2101 bp fragment
of newly obtained SSU rRNA gene sequence of the new species which exhibit 79-91%
homogeneity with other closely related species available in GenBank. The BLAST
search of Thelohanellus sp. did not matches with any available sequences in
GenBank and the phylogenetic analysis revealed that the novel species were sister
to T. habibpuri and T. caudatus, in the Thelohanellus clade and form a closest
neighboring branch as a subclade in phylogenetic tree from which the new
Thelohanellus parasite is being placed. Both the branches are originating from
monophyletic clade that are strongly supported by bootstrap values which indicate
clearly about independent position of T. indiana n. sp.
PMID- 29803848
TI - Microbial shifts in the swine nasal microbiota in response to parenteral
antimicrobial administration.
AB - The continuous administration of antimicrobials in swine production has been
widely criticized with the increase of antimicrobial-resistant bacteria and
dysbiosis of the beneficial microbial communities. While an increasing number of
studies investigate the effects of antimicrobial administration on swine
gastrointestinal microbiota biodiversity, the impact of their use on the
composition and diversity of nasal microbial communities has not been widely
explored. The objective of this study was to characterize the short-term impact
of different parenteral antibiotics administration on the composition and
diversity of nasal microbial communities in growing pigs. Five antimicrobial
treatment groups, each consisting of four, eight-week old piglets, were
administered one of the antimicrobials; Ceftiofur Crystalline free acid (CCFA),
Ceftiofur hydrochloride (CHC), Tulathromycin (TUL), Oxytetracycline (OTC), and
Procaine Penicillin G (PPG) at label dose and route. Individual deep nasal swabs
were collected immediately before antimicrobial administration (control = day 0),
and again on days 1, 3, 7, and 14 after dosing. The nasal microbiota across all
the samples were dominated by Firmicutes, proteobacteria and Bacteroidetes.
While, the predominant bacterial genera were Moraxella, Clostridium and
Streptococcus. Linear discriminant analysis, showed a pronounced, antimicrobial
dependent microbial shift in the composition of nasal microbiota and over time
from day 0. By day 14, the nasal microbial compositions of the groups receiving
CCFA and OTC had returned to a distribution that closely resembled that observed
on day 0. In contrast, pigs that received CHC, TUL and PPG appeared to deviate
away from the day 0 composition by day 14. Based on our results, it appears that
the impact of parenteral antibiotics on the swine nasal microbiota is variable
and has a considerable impact in modulating the nasal microbiota structure. Our
results will aid in developing alternative strategies for antibiotics to improve
swine health and consequently production.
PMID- 29803849
TI - Simultaneous lead traction from above and below: A novel technique to reduce the
risk of superior vena cava injury during transvenous lead extraction.
AB - BACKGROUND: Superior vena cava (SVC) injury is a rare but dreaded complication
during percutaneous transvenous lead extraction (TLE) that carries high morbidity
and mortality. Despite technological advances and improved efficacy, complication
rates remain unchanged. OBJECTIVE: We sought to develop and test a novel
technique that could reduce the risk of SVC injury during TLE. METHODS: Fifteen
patients referred for TLE of an implantable cardioverter-defibrillator lead were
included. Patients underwent fluoroscopic and intracardiac echocardiographic
(ICE) imaging of the lead-SVC interface with traction from above, below, and
simultaneously. Clinical characteristics, fluoroscopic and ICE findings, and
procedural outcomes were collected and analyzed. RESULTS: Fourteen of 15 patients
were men (93%) with a mean age of 58 years. The mean lead dwell time was 8.09 +/-
3.97 years (range 1.08-16.25 years), and 12 of 15 leads (80%) were dual-coil.
Acceptable ICE imaging was not possible in 3 of 15 patients (20%). Simultaneous
traction showed greater leftward fluoroscopic shift compared with traction from
above (24.96 +/- 8.82 mm vs 13.68 +/- 6.86 mm; P < .01), created greater
separation between the lead and the SVC wall upon ICE imaging (2.0 +/- 0.52 mm vs
1.24 +/- 0.38 mm; P < .01), and maintained a more parallel relationship of the
lead with the SVC wall (24.41 degrees +/- 4.14 degrees vs 27.91 degrees +/-
4.92 degrees ; P < .05). CONCLUSION: In patients presenting for TLE, simultaneous
traction results in increased separation and a more parallel alignment of the
lead and SVC wall, allowing the sheath to be better oriented in the desired
cleavage plane. This improved sheath alignment is particularly critical when
powered sheaths are to be used.
PMID- 29803850
TI - Time until diagnosis of clinical events with different remote monitoring systems
in implantable cardioverter-defibrillator patients.
AB - BACKGROUND: Remote monitoring (RM) is an established technology integrated into
routine follow-up of patients with an implantable cardioverter-defibrillator
(ICD). Current RM systems differ according to transmission frequency and alert
definition. OBJECTIVE: The purpose of this study was to compare the time
difference between detection and acknowledgment of clinically relevant events
between 4 RM systems. METHODS: We analyzed time delay between detection of
ventricular arrhythmic and technical events by the ICD and acknowledgment by
hospital staff in 1802 consecutive patients followed with RM between September
2014 and August 2016. Devices from Biotronik (BIO; n = 374), Boston Scientific
(BSC; n = 196), Medtronic (MDT; n = 468), and St Jude Medical (SJM; n = 764) were
included. We identified all events from RM web pages and their acknowledgment
with RM or at in-clinic follow-up. Events that occurred during weekends were
excluded. RESULTS: We included 3472 events. Proportion of events acknowledged
within 24 hours was 72%, 23%, 18%, and 65% with BIO, BSC, MDT, and SJM,
respectively, with median times of 13, 222, 163, and 18 hours from detection to
acknowledgment (P <.001 for both comparisons between manufacturers). Including
only events transmitted as alerts by RM, 72%, 68%, 61%, and 65% for BIO, BSC, MDT
and SJM, respectively, were acknowledged within 24 hours. Variation in time to
acknowledgment of ventricular tachyarrhythmia episodes not treated with shock
therapy was the primary cause for the difference between manufacturers.
CONCLUSION: Significant and clinically relevant differences in time delay from
event detection to acknowledgment exist between RM systems. Varying definitions
of which events RM transmits as alerts are important for the differences
observed.
PMID- 29803851
TI - Thoracoscopic stapler-and-loop technique for left atrial appendage closure in
nonvalvular atrial fibrillation: Mid-term outcomes in 201 patients.
AB - BACKGROUND: Left atrial appendage (LAA) closure can be an alternative to oral
anticoagulation to prevent cardiogenic thromboembolisms in patients with
nonvalvular atrial fibrillation. OBJECTIVE: The purpose of this study was to
retrospectively evaluate the safety, completeness, and mid-term prevention of our
thoracoscopic stapler-and-loop technique for LAA closure. METHODS: Patients
operated on between October 2008 and February 2017 were reviewed. Endoscopic
stapler and ligation loops were used. Patients received 1 month of
anticoagulation before discontinuation. Hospital death and procedure-related
major complications (thromboembolism, hemorrhagic events, phrenic palsy) were the
primary composite endpoint for safety, and cardiogenic thromboembolisms were the
endpoint for prevention. Brain magnetic resonance imaging investigated new
thromboembolic spots 1 year after surgery. RESULTS: There were 201 patients (118
men, 83 women) with a mean age of 74 years (range 68-94) years, mean CHA2DS2-VASc
score (+/- SD) 4.1 +/-1.4, and mean HAS-BLED score 2.9 +/- 1.0. Mean operation
time was 28 minutes. All LAAs were removed, and intraoperative transesophageal
echocardiography confirmed completeness of the closure in each patient. No
hospital deaths or major procedure-related complications occurred. Follow-up
results for 198 patients (98%) over a mean period of 48 months (range 12-110)
revealed that 2 patients developed cardiogenic thromboembolisms (0.25 event per
100 patient-years). Magnetic resonance imaging of 51 patients with a mean CHA2DS2
VASc score of 4.7 +/- 1.6 revealed 1 new small spot in each of 2 patients (3.9%;
3.9 spots per 100 patient-years). CONCLUSION: Our thoracoscopic stapler-and-loop
technique swiftly, safely, and completely closed LAAs in patients with
nonvalvular atrial fibrillation and provided acceptable mid-term prevention
without anticoagulation.
PMID- 29803852
TI - Cost-effectiveness analysis of magnetic resonance imaging-conditional pacemaker
implantation: Insights from a multicenter study and implications in the current
era.
AB - BACKGROUND: Magnetic resonance imaging (MRI)-conditional pacemakers (M-PPMs)
grant patients greater accessibility to MRI scans. The cost-effectiveness of
implanting M-PPM is unknown. OBJECTIVE: The purpose of this study was to
determine the cost-effectiveness of M-PPM implantation. METHODS: Cost
effectiveness analysis was performed on patients receiving a M-PPM across 4
institutions. The incremental cost-effectiveness ratio (ICER) was calculated by
dividing the sum of the total incremental cost of implanting a M-PPM vs a
conventional pacemaker and the cost of MRI scans by the utility of MRI scans in
terms of quality-adjusted life-years (QALY) gained. QALY and lifespan of M-PPM (7
11 years) data were obtained from the literature. The benchmark of <$100,000 per
QALY was used as the threshold for cost-effectiveness. Computer
modeling/simulations were used to calculate the percentage of patients required
to achieve this benchmark, to extrapolate the cumulative projected percentage of
patients utilizing MRI scans over the lifespan of a M-PPM via the Weibull
parametric survival model, and to conduct univariate and multivariate,
probabilistic sensitivity analyses. RESULTS: The ICER during the follow-up period
(21 +/- 17 months) was $451,569. The cost-effectiveness ICER benchmark is reached
7.0 years postimplantation, when a projected 38% of recipients would receive MRI
scans. The projected percentage of patients receiving MRI scans at 11 years was
58%, yielding an ICER of $74,221 per QALY. Henceforth, assuming increased MRI
usage in regular PPM based on Centers for Medicare & Medicaid Services memo
CAG00399R4 and decreased cost of M-PPM, M-PPM implantation is still cost
effective, with a lifetime ICER of $49,817 per QALY. CONCLUSION: M-PPM
implantation is cost-effective over the lifespan of a M-PPM based on projected
usage of MRI.
PMID- 29803853
TI - Short-term heart rate variability: Easy to measure, difficult to interpret.
PMID- 29803854
TI - Afferent connections of the dorsal, perigenual, and subgenual anterior cingulate
cortices of the monkey: Amygdalar inputs and intrinsic connections.
AB - The anterior cingulate cortex (ACC) is crucial for emotional processing, and its
abnormal activities contributes to mood disorders. The ACC is divided into three
subregions: the dorsal ACC (dACC), perigenual ACC (pgACC), and subgenual ACC
(sgACC). Although these regions have been implicated in emotional processing, the
dACC is more involved in cognitive functions, while the other two regions are
important in the pathophysiology underlying mood disorders. Recent studies have
suggested that the sgACC and pgACC exhibit opposite emotion-related activity
patterns and that an interaction of the ACC with the amygdala is crucial for
emotion-related ACC functions. Here, we injected neuronal tracers into the sgACC,
pgACC, and dACC of macaques and quantitatively compared the distributions of the
retrogradely labeled neurons in the amygdalar nuclei. For both the dACC and
pgACC, about 90% of the labeled neurons were found in the basal nucleus, about
10% were in the accessory basal nucleus, and the lateral nucleus had almost no
neuronal labeling. However, after sgACC injections, nearly half of the labeled
neurons were found in the accessory basal nucleus, and a moderate number of
labeled neurons were found in the lateral nucleus. These differences in amygdalar
inputs might underlie the functional differences in the sgACC and pgACC.
Moreover, after tracer injections in the sgACC, labeled neurons were observed in
the pgACC and not the dACC, suggesting that the pgACC directly influences the
activity of the sgACC.
PMID- 29803855
TI - Behavioral, cellular and molecular maladaptations covary with exposure to
pyridostigmine bromide in a rat model of gulf war illness pain.
AB - Many veterans of Operation Desert Storm (ODS) struggle with the chronic pain of
Gulf War Illness (GWI). Exposure to insecticides and pyridostigmine bromide (PB)
have been implicated in the etiology of this multisymptom disease. We examined
the influence of 3 (DEET (N,N-diethyl-meta-toluamide), permethrin, chlorpyrifos)
or 4 GW agents (DEET, permethrin, chlorpyrifos, pyridostigmine bromide (PB)) on
the post-exposure ambulatory and resting behaviors of rats. In three independent
studies, rats that were exposed to all 4 agents consistently developed both
immediate and delayed ambulatory deficits that persisted at least 16 weeks after
exposures had ceased. Rats exposed to a 3 agent protocol (PB excluded) did not
develop any ambulatory deficits. Cellular and molecular studies on nociceptors
harvested from 16WP (weeks post-exposure) rats indicated that vascular nociceptor
Nav1.9 mediated currents were chronically potentiated following the 4 agent
protocol but not following the 3 agent protocol. Muscarinic linkages to muscle
nociceptor TRPA1 were also potentiated in the 4 agent but not the 3 agent, PB
excluded, protocol. Although Kv7 activity changes diverged from the behavioral
data, a Kv7 opener, retigabine, transiently reversed ambulation deficits. We
concluded that PB played a critical role in the development of pain-like signs in
a GWI rat model and that shifts in Nav1.9 and TRPA1 activity were critical to the
expression of these pain behaviors.
PMID- 29803856
TI - Chronic stress sensitizes amphetamine-elicited 50-kHz calls in the rat:
Dependence on positive affective phenotype and effects of long-term fluoxetine
pretreatment.
AB - High level of positive affectivity acts as a protective factor against adverse
effects of stress and decreases vulnerability to mood disorders and drug abuse.
Fifty-kHz ultrasonic vocalizations (50-kHz USV) index the level of positive
affect in the rat, whereas stable, trait-like inter-individual differences in
terms of vocalization activity exist. Previously we have demonstrated that
chronic stress can alter the effect of repeated amphetamine administration on 50
kHz vocalizations, and this effect is different in rats with high and low
positive affectivity. In the present study it was tested whether the chronic
stress effect on amphetamine-induced 50-kHz USV activity is altered by inhibition
of serotonin reuptake. Male Wistar high (HC) and low (LC) 50-kHz vocalizing rats
were subjected to 43-day chronic variable stress (CVS) regimen. On day 17 of the
CVS, the four-week once a day fluoxetine (10 mg/kg) treatment was started. After
the CVS and fluoxetine treatment, amphetamine (1 mg/kg) was daily administered
for ten days and again nine days after withdrawal. Chronically stressed rats
developed cross-sensitization of 50-kHz USV-s with repeated administration of
amphetamine except the stressed LC rats that had not received fluoxetine.
Amphetamine treatment decreased serotonin turnover in the fluoxetine-treated HC
rats, but increased it in fluoxetine-treated LC rats. The effect of amphetamine
on levels of amino acids in frontal cortex and hippocampus also depended on
previous experience with chronic stress, repeated treatment with fluoxetine, and
positive affectivity. Hence, this study provides further evidence the effects of
chronic stress, psychostimulants, and a selective serotonin reuptake inhibitor
are influenced by the inherent positive affectivity.
PMID- 29803857
TI - Immune-spaying as an alternative to surgical spaying in Iberian x Duroc females:
Effect on the sensory traits and volatile organic compound profile of dry-cured
shoulders and dry-cured loins.
AB - The aim of this study was to assess the effect of immune-spaying on sensory
characteristics and the volatile organic compound (VOC) profile of dry-cured
shoulders and loins by comparing Iberian * Duroc surgically spayed females,
immune-spayed females and entire females. VOC profile of dry-cured shoulders was
not significantly affected by the reproductive status, probably due to the large
heterogeneity of dry-cured shoulders as a product. Correspondingly, dry-cured
shoulders showed little differences among treatment groups, with better scores
for marbling, hardness and chewiness attributes in the immune-spayed females. Dry
cured loin sensory traits such as brightness, marbling, chewiness and juiciness,
presented better scores in immune-spayed females. Moreover, dry-cured loins
showed a higher homogeneity that allowed the effects of spaying to be observed,
thus the Principal Component Analysis performed on VOC profile data indicated a
better separation of samples among treatment groups. Consequently, immune-spaying
could be a viable alternative to surgical spaying from the point of view of meat
quality.
PMID- 29803858
TI - Pink slimed: Media framing of novel food technologies and risk related to ground
beef and processed foods in the U.S.
AB - In March 2012 ABC World News Report aired a series of reports on lean finely
textured beef (LFTB) that resulted in a 10-year low for beef prices and the
bankruptcy of a major firm that produced LFTB. Using a random sample survey, we
tested the effects of the media frame "pink slime" and industry frame "lean
finely textured beef," alongside media use, food-related knowledge, trust in food
related institutions and preference for local, fresh, organic and GMO-free foods
on perceptions of risk related to ground beef containing pink slime/LFTB,
processed foods and red meat. The "pink slime" frame was strongly and positively
associated with risk related to ground beef, but not risk related to red meat or
processed foods. Attention to news stories about pink slime/LFTB was strongly
associated with risk related to ground beef and processed foods, but not red
meat. We found varying effects of food values, knowledge and trust on all three
dependent variables. Implications are discussed.
PMID- 29803859
TI - Selenylated plant polysaccharides: A survey of their chemical and pharmacological
properties.
AB - Polysaccharides from plants and fungi are considered nowadays as powerful
pharmacological tools with a great therapeutic potential. In the meantime,
efforts have been addressed to set up effective chemical modifications of
naturally occurring polysaccharides to improve their biological effects as well
as to positively modify some key parameters like solubility, bioavailability,
pharmacokinetic, and similar. To this concern much attention has been focused
during the last decade to the selenylation of natural polysaccharides from
plants, algae, and fungi, the use of which is already encoded in ethnomedical
traditions. The aim of this review article is to provide a detailed survey of the
in so far reported literature data and a deeper knowledge about the state of the
art on the chemical and pharmacological properties of selenylated polysaccharides
of plant, algal, and fungal origin in terms of anti-oxidant, anti-cancer, anti
diabetic, and immunomodulatory activities. In all cases, literature data revealed
that selenylation greatly improved such properties respect to the parent
polysaccharides, indicating that selenylation is a valid, alternative, and
effective chemical modification of naturally occurring carbohydrates.
PMID- 29803860
TI - Understanding the genetic regulation of anthocyanin biosynthesis in plants -
Tools for breeding purple varieties of fruits and vegetables.
AB - Anthocyanins are naturally occurring flavonoids derived from the phenylpropanoid
pathway. There is increasing evidence of the preventative and protective roles of
anthocyanins against a broad range of pathologies, including different cancer
types and metabolic diseases. However, most of the fresh produce available to
consumers typically contains only small amounts of anthocyanins, mostly limited
to the epidermis of plant organs. Therefore, transgenic and non-transgenic
approaches have been proposed to enhance the levels of this phytonutrient in
vegetables, fruits, and cereals. Here, were review the current literature on the
anthocyanin biosynthesis pathway in model and crop species, including the
structural and regulatory genes involved in the differential pigmentation
patterns of plant structures. Furthermore, we explore the genetic regulation of
anthocyanin biosynthesis and the reasons why it is strongly repressed in specific
cell types, in order to create more efficient breeding strategies to boost the
biosynthesis and accumulation of anthocyanins in fresh fruits and vegetables.
PMID- 29803861
TI - Molecular machinery of starch digestion and glucose absorption along the midgut
of Musca domestica.
AB - Until now there is no molecular model of starch digestion and absorption of the
resulting glucose molecules along the larval midgut of Musca domestica. For
addressing to this, we used RNA-seq analyses from seven sections of the midgut
and carcass to evaluate the expression level of the genes coding for amylases,
maltases and sugar transporters (SP). An amylase related protein (Amyrel) and two
amylase sequences, one soluble and one with a predicted GPI-anchor, were
identified. Three highly expressed maltase genes were correlated with
biochemically characterized maltases: one soluble, other glycocalyx-associated,
and another membrane-bound. SPs were checked as being apical or basal by
proteomics of microvillar preparations and those up-regulated by starch were
identified by real time PCR. From the 9 SP sequences with high expression in
midgut, two are putative sugar sensors (MdSP4 and MdSP5), one is probably a
trehalose transporter (MdSP8), whereas MdSP1-3, MdSP6, and MdSP9 are supposed to
transport glucose into cells, and MdSP7 from cells to hemolymph. MdSP1, MdSP7,
and MdSP9 are up-regulated by starch. Based on the data, starch is at first
digested by amylase and maltases at anterior midgut, with the resulting glucose
units absorbed at middle midgut. At this region, low pH, lysozyme, and cathepsin
D open the ingested bacteria and fungi cells, freeing sugars and glycogen. This
and the remaining dietary starch are digested by amylase and maltases at the end
of middle midgut and up to the middle part of the posterior midgut, with
resulting sugars being absorbed along the posterior midgut.
PMID- 29803862
TI - Potamotrygon motoro stingray venom induces both neurogenic and inflammatory pain
behavior in rodents.
AB - Freshwater stingray accidents cause an immediate, intense, and unrelieved pain
which is followed by edema, erythema and necrosis formation. Treatment for
stingray envenomation is based on administration of analgesic, antipyretic and
anti-inflammatory drugs. Concerning pain control, it is prescribed to immerse
punctured limb on hot water to alleviate pain. There are no studies demonstrating
specific targets on which stingray venom acts to promote pain. Therefore, the aim
of this work was to investigate some mechanisms of Potamotrygon motoro venom
(PmV) that contribute to nociception induction. Evaluating spontaneous pain
behavior in mice injected i.pl. with PmV, it was seen that PmV induced both
neurogenic and inflammatory pain. PmV also induced hyperalgesia in both mice and
rats, evaluated through electronic von Frey and rat paw pressure test,
respectively. Partial inhibition of hyperalgesia was observed in mice treated
with cromolyn or promethazine, which indicated that mast cell and histamine via
H1 receptor participate in the inflammatory pain. To search for some targets
involved in PmVinduced hyperalgesia, the participation of TRPV1, calcium
channels, neurokinins, CGRP, and norepinephrine, was evaluated in rats. It was
seen that PmV-induced hyperalgesia occurs with the participation of neurokinins,
mainly via NK1 receptor, CGRP, and calcium influx, through both P/Q and L-type
voltage-dependent calcium channels, besides TRPV1 activation. The data presented
herein indicate that PmV causes hyperalgesia in rodents which is dependent on the
participation of several neuroinflammatory mediators.
PMID- 29803863
TI - Fever, rash, and red eyes in Thailand: A diagnostic challenge.
PMID- 29803864
TI - Cascading reaction of arginase and urease on a graphene-based FET for
ultrasensitive, real-time detection of arginine.
AB - Herein, a biosensor based on a reduced graphene oxide field effect transistor
(rGO-FET) functionalized with the cascading enzymes arginase and urease was
developed for the detection of L-arginine. Arginase and urease were immobilized
on the rGO-FET sensing surface via electrostatic layer-by-layer assembly using
polyethylenimine (PEI) as cationic building block. The signal transduction
mechanism is based on the ability of the cascading enzymes to selectively perform
chemical transformations and prompt local pH changes, that are sensitively
detected by the rGO-FET. In the presence of L-arginine, the transistors modified
with (PEI/urease(arginase)) multilayers showed a shift in the Dirac point due to
the change in the local pH close to the graphene surface, produced by the
catalyzed urea hydrolysis. The transistors were able to monitor L-arginine in the
10-1000 MUM linear range with a LOD of 10 MUM, displaying a fast response and a
good long-term stability. The sensor showed stereospecificity and high
selectivity in the presence of non-target amino acids. Taking into account the
label-free, real-time measurement capabilities and the easily quantifiable,
electronic output signal, this biosensor offers advantages over state-of-the-art
L-arginine detection methods.
PMID- 29803865
TI - Sample-to-answer palm-sized nucleic acid testing device towards low-cost malaria
mass screening.
AB - The effectiveness of malaria screening and treatment highly depends on the low
cost access to the highly sensitive and specific malaria test. We report a real
time fluorescence nucleic acid testing device for malaria field detection with
automated and scalable sample preparation capability. The device consists a
compact analyzer and a disposable microfluidic reagent compact disc. The parasite
DNA sample preparation and subsequent real-time LAMP detection were seamlessly
integrated on a single microfluidic compact disc, driven by energy efficient non
centrifuge based magnetic field interactions. Each disc contains four parallel
testing units which could be configured either as four identical tests or as four
species-specific tests. When configured as species-specific tests, it could
identify two of the most life-threatening malaria species (P. falciparum and P.
vivax). The NAT device is capable of processing four samples simultaneously
within 50 min turnaround time. It achieves a detection limit of ~0.5 parasites/ul
for whole blood, sufficient for detecting asymptomatic parasite carriers. The
combination of the sensitivity, specificity, cost, and scalable sample
preparation suggests the real-time fluorescence LAMP device could be particularly
useful for malaria screening in the field settings.
PMID- 29803866
TI - Sensitive and label-free electrochemical lead ion biosensor based on a DNAzyme
triggered G-quadruplex/hemin conformation.
AB - Lead ion (Pb2+) is a common environmental contaminant, which causes serious
bioaccumulation and toxicity in human body. In this work, we developed a novel
Pb2+ electrochemical biosensor using the specific DNAzyme on a DNA tetrahedron
probe, in the presence of Pb2+, the substrate strand was cleaved into two parts
and released a "G-rich" oligo which subsequently formed a G-quadruplex/hemin
complex, generating a detectable catalysis current signal with the assistant of
H2O2. The 3-D DNA tetrahedron regulated the density and orientation of the probe
and thus improved the DNAzyme reaction, and facilitated the complex DNA
conformational change in the confined space of the interface on the electrode
surface, Finally, the LOD of our biosensor was proved to be 0.008 nM (3sigma),
which is 9000 times lower than the safety limit of EPA (15 MUg/L or 72 nM), and
6000 times lower than IARC (10 MUg/L or 48.26 nM), and more importantly, the
specificity and reproducibility of the proposed biosensor was well demonstrated.
PMID- 29803867
TI - Multiplexed antibody detection from blood sera by immobilization of in vitro
expressed antigens and label-free readout via imaging reflectometric
interferometry (iRIf).
AB - The detection of antibodies from blood sera is crucial for diagnostic purposes.
Miniaturized protein assays in combination with microfluidic setups hold great
potential by enabling automated handling and multiplexed analyses. Yet, the
separate expression, purification, and storage of many individual proteins are
time consuming and limit applicability. In vitro cell-free expression has been
proposed as an alternative procedure for the generation of protein assays. We
report the successful in vitro expression of different model proteins from DNA
templates with an optimized expression mix. His10-tagged proteins were
specifically captured and immobilized on a Ni-NTA coated sensor surface directly
from the in vitro expression mix. Finally, the specific binding of antibodies
from rabbit-derived blood sera to the immobilized proteins was monitored by
imaging reflectometric interferometry (iRIf). Antibodies in the blood sera could
be identified by binding to the respective epitopes with minimal cross
reactivity. The results show the potential of in vitro expression and label-free
detection for binding assays in general and diagnostic purposes in specific.
PMID- 29803868
TI - Targeted metabolomics analysis reveals the association between maternal folic
acid supplementation and fatty acids and amino acids profiles in rat pups.
AB - Maternal diet during pregnancy can influence offspring's health by affecting
development and metabolism. This study aimed to analyze the influence of maternal
folic acid (FA) supplementation on the metabolism of rat pups using targeted
metabolomics. Twenty female rats were randomly assigned to a FA supplementation
(FAS group, n = 10) or control group (n = 10), which were fed AIN93G diet with 2
or 10 mg/kg FA, respectively. We then measured amino acids and their derivatives,
biogenic amines, and fatty acids in the female rats and their pups by ultra-high
performance liquid chromatography-triple quadrupole mass spectrometry (UHPLC/MS
MS) and gas chromatography-mass spectrometry (GC/MS-MS). In maternal rats, the
significant changes of three metabolites (proline, gamma-aminobutyric acid and
esterified octadecatetraenoic acid, P < 0.05) were observed in FAS group. For the
rat pups, FAS pups had significantly lower homocysteine and higher FA levels than
control pups. The lower levels of amino acids (leucine, isoleucine, serine,
proline) were obtained in FAS pups. Furthermore, there were the decreased
esterified fatty acids (arachidonic acid, eicosapentaenoic acid, and
docosatetraenoic acid) and free fatty acids (oleic acid, linoleic acid, gamma
linolenic acid, octadecatetraenoic acid, arachidonic acid, eicosapentaenoic acid
and selacholeic acid) in FAS pups. Metabolic changes in the FAS pups were
characterized by changes in fatty acids and amino acids. These results suggested
that FA supplementation during pregnancy influenced amino acids and fatty acids
metabolism in rat pups. This study provides new insights into the regulation of
amino acids and fatty acids metabolism during early life.
PMID- 29803869
TI - Review on sample preparation methods for oligonucleotides analysis by liquid
chromatography.
AB - Antisense oligonucleotides have been successfully investigated for the treatment
of different types of diseases. Detection and determination of antisense
oligonucleotides and their metabolites are necessary for drug development and
evaluation. This review focuses mainly on the first step of the analysis of
oligonucleotides i.e. the sample preparation stage, and in particular on the
techniques used for liquid chromatography and liquid chromatography coupled with
mass spectrometry. Exceptional sample preparation techniques are required as
antisense oligonucleotides need to be determined in complex biological matrices.
The text discusses general issues in oligonucleotide sample preparation and
approaches to their solution. The most popular techniques i.e. protein
precipitation, protein enzyme digestion and liquid-liquid extraction are
reviewed. Solid phase extraction methods are discussed and the issues connected
with the application of each method are highlighted. Other newly reported
promising techniques are also described. Finally, there is a summary of actually
used techniques and the indication of the direction of future research.
PMID- 29803870
TI - The role of depressive symptoms in treatment of adolescent cannabis use disorder
with N-Acetylcysteine.
AB - Relative to adults, adolescents are at greater risk of developing a cannabis use
disorder (CUD) and risk may be exacerbated by co-occurring depressive symptoms. N
Acetylcysteine (NAC), an over-the-counter antioxidant, is thought to normalize
glutamate transmission. Oxidative stress and glutamate transmission are disrupted
in both depression and CUD. Thus, NAC may be particularly effective at promoting
cannabis abstinence among adolescents with elevated depressive symptoms.
Secondary analyses were conducted using a sub-sample of adolescents with CUD (N =
74) who participated in an 8-week randomized placebo-controlled clinical trial
examining the efficacy of NAC for cannabis cessation. It was hypothesized that
NAC would reduce severity of depressive symptoms, and that decreases depressive
symptom severity would mediate decreases in positive weekly urine cannabinoid
tests (11-nor-9-carboxy-Delta9-tetrahydrocannabinol). Additionally, it was
expected that adolescents with greater severity of baseline depressive symptoms
would be more likely to become abstinent when assigned NAC relative to placebo.
Results from linear mixed models and generalized estimating equations did not
suggest that NAC reduced severity of depressive symptoms, and the hypothesis that
NAC's effect on cannabis cessation would be mediated by reduced depressive
symptoms was not supported. However, an interaction between treatment condition
and baseline severity of depressive symptoms as a predictor of weekly urine
cannabinoid tests was significant, suggesting that NAC was more effective at
promoting abstinence among adolescents with heightened baseline depressive
symptoms. These secondary findings, though preliminary, suggest a need for
further examination of the role of depressive symptoms in treatment of adolescent
CUD with NAC.
PMID- 29803872
TI - Autophagy is involved in sevoflurane-induced developmental neurotoxicity in the
developing rat brain.
AB - BACKGROUND: Sevoflurane can induce neonatal wide neurodegenerative and serious
deficit to space learning tasks in rodents, however, the specific mechanism is
still unclear. At present, the study tried to explore the possible role of
autophagy in sevoflurane-induced neurotoxicity through observing the changes in
the levels of autophagy in the newborn SD rat hippocampus tissue after
sevoflurane exposure. METHODS: We used seventy-two SD rats of seven days
receiving sevoflurane exposure to explore hippocampus neuron autophagy and
apoptosis. RESULTS: Our results indicated that sevoflurane increased the levels
of Beclin-1, microtubule-associated protein light chain 3II protein and decreased
sequestosome 1 levels in a time-dependent manner by Western blot in the
developing brain. These results were further substantiated by transmission
electron microscopy, quantitative reverse transcription polymerase chain
reaction, immunohistochemistry and immunofluorescence. Rapamycin, an activator of
autophagy, increased the levels of Beclin-1and LC3-II protein, meanwhile, 3
methyladenine, an inhibitor of autophagy, decreased Beclin-1and LC3-II protein
levels. CONCLUSION: Taken together, autophagy may be involved in sevoflurane
induced developmental neurotoxicity and promoting protective autophagy may be a
potential way of preventing developmental sevoflurane-induced neurotoxicity.
PMID- 29803871
TI - Positive changes in femoral nerve morphometry in older rats following aerobic
training.
AB - The objective of the present study was to analyze alterations of the femoral
nerve of aged rats subjected to aerobic training. Wistar rats (12-mo of age) were
divided in to two groups: S group (sedentary) and T group (trained). The exercise
protocol were 16 weeks long. The groups were sacrificed at 16 months. Ultrafine
sections of the femoral nerve have been used. There was no change in the body
weight between the groups. T group showed a significant increase in myelinated
fiber area, axon diameter, myelin sheath thickness and myelin fiber number
compared with sedentary controls. In exercised trained animals, histograms of the
frequency distribution of myelinated axons according to their areas showed
increased number of medium and large fibers in relation to small fibers, which
decreased in number. Aerobic training animals, showed the distribution of
myelinated fiber population according to their area being bimodal, with the
distribution shifted to the right, indicating increased fiber area. The T group
showed a percent damage of large myelinated fibers significantly lower compared
to controls. No significant difference was observed between the groups for the g
ratio. The T group also showed a significant increase in the number of
microtubules and neurofilaments in myelinated fibers, which was not observed in S
group. In conclusion, aerobic training improves nerve structure without evidence
of nerve damage and produces an attenuation on the modifications in femoral nerve
that develop in old age.
PMID- 29803873
TI - Transcranial magnetic stimulation in myoclonus of different aetiologies.
AB - Transcranial magnetic stimulation (TMS) may represent a valuable tool for
investigating important neurophysiological and pathophysiological aspects of
myoclonus. Moreover, repetitive TMS (rTMS) can influence neural activity. In this
review we performed a systematic search of all studies using TMS in order to
explore cortical excitability/plasticity and rTMS for the treatment of myoclonus
due to different aetiologies. We identified and reviewed 40 articles matching the
inclusion criteria; 415 patients were included in these studies. The reviewed TMS
studies have detected abnormalities in motor cortex excitability and sensorimotor
plasticity. The most consistent finding is a decrease in intracortical
inhibition. Short-interval intracortical inhibition (SICI) is reduced in
myoclonic epilepsies. Unlike the juvenile and the benign myoclonus epilepsy, long
interval intracortical inhibition, interhemispheric inhibition and sensorimotor
integration were altered in patients with progressive myoclonic epilepsies. In
patients with myoclonus-dystonia the results are partly conflicting. Cortical
membrane excitability was impaired while parameters assessing cortical synaptic
activity were normal in DYT11 gene carriers. In other studies normal SICI
suggests that the GABAergic cortical circuits are largely intact and that the
mechanisms of myoclonus-dystonia are different from those for cortical myoclonus
and other dystonic disorders. In conclusion, different TMS study protocols have
provided new insights into sensorimotor plasticity and cortical excitability of
the different forms of myoclonus, and have shed some light on the pathophysiology
of this movement disorder. Well-defined motor cortical excitability patterns can
be identified in the different disorders characterized by myoclonus, even if
preliminary findings should be confirmed in future studies in larger cohorts of
patients. Repetitive TMS might have therapeutic potential at least in some
patients with myoclonus, similar to that reported in other neurological and
psychiatric disorders.
PMID- 29803874
TI - Hepatitis E virus epidemiology among HIV-infected women in an urban area in
Tanzania.
AB - OBJECTIVES: This study was performed to determine the seroprevalence and
incidence of hepatitis E virus (HEV) infection among HIV-infected women during
pregnancy and after delivery in a cohort of 200 Tanzanian women. METHODS: HIV
infected women participating in a study on antiretroviral therapy for the
prevention of mother-to-child HIV transmission between 2006 and 2011, were tested
retrospectively for anti-HEV immunoglobulin G (IgG) in plasma samples at 9 months
post-partum. Anti-HEV IgG-positive patients were tested for anti-HEV IgG and
immunoglobulin M (IgM) in samples from enrolment, and seroconverting women were
tested for HEV RNA. RESULTS: A total of 16 women were anti-HEV IgG-positive, two
of whom had seroconverted between enrolment and 9 months post-partum, with no
detection of anti-HEV IgM or HEV RNA, yielding an HEV seroprevalence of 8.0%
(confidence interval 5.0-12.6%) and an annual incidence rate of 1.0% (confidence
interval 0.2-3.4%). CD4 cell counts were relatively high (median 403*106/l), with
no significant difference between women with and without serological signs of
HEV. CONCLUSIONS: An annual HEV infection incidence rate of 1% strongly indicates
ongoing transmission of HEV in Tanzania and should be kept in mind for pregnant
women presenting with signs of acute hepatitis.
PMID- 29803875
TI - Emergence of mcr-1 and mcr-3 variants coding for plasmid-mediated colistin
resistance in Escherichia coli isolates from food- producing animals in South
Korea.
AB - We hereby report the first characterization of mcr-3 gene from healthy animals in
South Korea. Out of 636 E. coli isolates, collected between 2014- 2017, nine
colistin resistant isolates were screened for the presence of mcr-1 and mcr-3
genes. Nine (1.4%) isolates had shown resistance for colistin and among them
three and two isolates were mcr-1 harboring and mcr-3 harboring strains,
respectively. All the colistin-resistant isolates were multidrug-resistant. mcr-1
and mcr-3 genes were confirmed to be transferred to a recipient E. coli J53 AZR.
PMID- 29803876
TI - Lead and copper removal from groundwater by spherical agglomeration using a
biosurfactant extracted from Yucca decipiens Trel.
AB - The spherical agglomeration technique (SAT) has emerged as an innovative
alternative for the removal of heavy metals from water at optimum levels of
surfactant addition. This technique has achieved high removal efficiencies. In
the present study, Yucca decipiens extracts were applied as the biosurfactant for
the removal of heavy metals from groundwater of a mining community using SAT.
Aqueous models were generated to explain the removal of copper and lead in
solutions. It was possible to remove 99.96% and 99.62% respectively. The highest
concentrations of copper and lead 209.5 and 2 mg L-1, respectively, were observed
at a waterhole in the mining community. This sample was used to test the
efficiency of SAT, using optimal conditions of the models. It was possible to
remove 99.22% of copper and 91.50% of lead present in the groundwater. High
concentrations of sodium and calcium were found. To reduce the residual sodium
concentration, the pH was decreased from 11 to 9.5. 99.84% of copper and only
93.49% of lead were removed; the remaining concentrations did not exceed the
limit of Mexican regulations (NOM-001-SEMARNAT-1996, 1996). It was demonstrated
that the Yucca extracts are effective or the treatment of water with high
concentrations of heavy metals under the conditions of SAT.
PMID- 29803877
TI - Visible-light-assisted photocatalytic activity of bismuth-TiO2 nanotube
composites for chromium reduction and dye degradation.
AB - TiO2 nanotubes (TNTs) were synthesized on a Ti sheet using the electrochemical
anodization method. Bismuth (Bi) was coupled on the anodized TNTs via
hydrothermal process. We verified the effect of different Bi concentrations on
the photocatalytic properties of Bi-TNT composites. The obtained samples were
characterized using field emission scanning electron microscopy, energy
dispersive X-ray spectroscopy, X-ray diffraction, X-ray photoelectron
spectroscopy, Raman spectroscopy, UV-Vis diffuse reflectance spectra, and
photoluminescence spectra. The Bi-TNT photocatalysts exhibited higher activities
by factors of 6.6 and 3.6 toward chromium reduction and methylene blue
degradation, respectively, under visible light than the pure TNTs. The Bi-TNT
material was recycled to examine the stability of the catalyst. The quantum
efficiency of the photocatalytic system was calculated, and the synergistic
effects of bismuth modification were discussed. The Bi-TNT composites were
observed to be promising for separation of photoinduced e- and h+ by decreasing
charge recombination, and helped the formation of the hydroxyl radicals, h+, and
superoxides used in the photocatalytic process.
PMID- 29803878
TI - Influence of suspended sediment characteristics on the bioaccumulation and
biological effects of citalopram in Daphnia magna.
AB - The influence of suspended sediment (SPS) characteristics on the bioavailability
of the antidepressant citalopram (CIT) was investigated in the cladoceran Daphnia
magna. The bioaccumulation, swimming behaviours, psychological indices, and
oxidative stress were examined. The CIT bioaccumulation were altered in the
presence of SPS, such that the body burden of CIT decreased as the concentration
of SPS increased and as the organic carbon content of SPS (foc) increased;
moreover, the body burden of CIT increased as SPS particle size increased. All
the biomarker activities of D. magna were markedly induced at a CIT exposure
concentration of 10 MUg/L. However, the biological effects of CIT did not depend
on the body burden of CIT as SPS concentration increased, while the swimming
activities and oxidative stress were significantly enhanced by SPS concentration
at 1 g/L. The influences of SPS particle size and foc on the activities of
swimming and physiological indicators were mainly associated with the CIT
bioaccumulation, while foc in SPS was more substantial than particle size. In
addition, the antioxidant activities decreased as foc increased and were
significantly strengthened at particle sizes of 30-60 MUm. The impacts of
different SPS characteristics on the adsorption and desorption capacity of CIT
and the ingestion habits of D. magna were the main reasons for the variations in
CIT body burden and biological effects. According to the results obtained in this
study, the SPS characteristics should be considered in the risk assessment of
contaminants in natural aquatic environments.
PMID- 29803881
TI - Potential adverse outcome pathway (AOP) of silver nanoparticles mediated
reproductive toxicity in zebrafish.
AB - Recently, the augmented utilization of silver nanoparticles (AgNPs) resulted in
increasingrates of its release to aquatic environment, which potentially caused
adverse effects to aquatic organisms. Therefore, this study investigated -
reproductive toxicity and associated potential adverse outcome pathway (AOP) in
zebrafish after chronic exposure to AgNPs. To serve the purpose, three-month-old
adult zebrafish were exposed to different concentrations (0, 10, 33 and 100
MUg/L) of AgNPs for five weeks. Exposure to 33 and 100 MUg/L of AgNPs
significantly decreased the fecundity in female zebrafish, accompanied by
increasing apoptotic cells in the ovarian and testicular tissue using TUNEL
assay. Increasing tissue burdens of AgNPs and reactive oxygen species (ROS)
production were also found in both ovary and testis after five-week exposure to
AgNPs. To explore the mechanism of the apoptotic pathway, the transcription
levels of various genes (bax, bcl-2, caspase-3, and caspase-9) associated with
the mitochondrion-mediated apoptosis pathway were examined in zebrafish after
exposure to AgNPs. The results showed that the expression patterns of all the
investigated genes were altered to some extent. These findings demonstrated that
AgNPs exposure caused oxidative stress, induced germ cells apoptosis via
mitochondrial-dependent pathway, and ultimately impaired the reproduction in
zebrafish.
PMID- 29803880
TI - Driving force behind electrochemical performance of microbial fuel cells fed with
different substrates.
AB - The performance of miniaturized microbial fuel cells operating with five
different substrates (acetate, lactate, glucose and octanoate) were studied with
the aim to identify the reason for its different performance. In all cases, the
COD removal rate was about 650 mg COD L-1 d-1. However, the bio-electrochemical
performance of the MFC was very different, showing the MFC fed with acetate the
best performance: 20 A m-2 as maximum current density, 2 W m-2 of maximum power
density, 0.376 V of OCV and 12.6% of CE. In addition, the acetate showed the best
bio-electrochemical performance in the polarization curves and cyclic
voltammetries. These polarization curves were modelled and the key to explain the
better electrical performance of acetate was its lower ohmic losses. When working
with acetate, its ohmic losses were one log-unit below those attained by the
other substrates. These lower ohmic losses were not associated to the electrolyte
conductivity of the fuel but to the lower ohmic loses of the biofilm generated.
PMID- 29803879
TI - Efficient photocatalytic oxidation of arsenite from contaminated water by Fe2O3
Mn2O3 nanocomposite under UVA radiation and process optimization with
experimental design.
AB - The efficiency of photocatalytic oxidation process in arsenite (As(III)) removal
from contaminated water by a new Fe2O3-Mn2O3 nanocomposite under UVA radiation
was investigated. The effect of nanocomposite dosage, pH and initial As(III)
concentration on the photocatalytic oxidation of As(III) were studied by
experimental design. The synthesized nanocomposite had a uniform and spherical
morphological structure and contained 49.83% of Fe2O3 and 29.36% of Mn2O3. Based
on the experimental design model, in photocatalytic oxidation process, the effect
of pH was higher than other parameters. At nanocomposite concentrations of more
than 12 mg L-1, pH 4 to 6 and oxidation time of 30 min, photocatalytic oxidation
efficiency was more than 95% for initial As(III) concentration of less than 500
MUg L-1. By decreasing pH and increasing the nanocomposite concentration, the
photocatalytic oxidation efficiency was increased. Furthermore, by increasing the
oxidation time from 10 to 240 min, in addition to oxidation of As(III) to
arsenate (As(V)), the residual As(V) was adsorbed on the Fe2O3-Mn2O3
nanocomposite and total As concentration was decreased. Therefore, Fe2O3-Mn2O3
nanocomposite as a bimetal oxide, at low doses and short time, can enhance and
improve the efficiency of the photocatalytic oxidation and adsorption of As(III)
from contaminated water resources. Furthermore, the energy and material costs of
the UVA/Fe2O3-Mn2O3 system for photocatalytic oxidation of 1 mg L-1 As(III) in
the 1 L laboratory scale reactor was 0.0051 ?.
PMID- 29803882
TI - A simple multi-residue method for determination of plant growth retardants in
Ophiopogon japonicus and soil using ultra-performance liquid chromatography
tandem mass spectrometry.
AB - Plant growth retardants may play an important role in regulation of yield and
quality of crops, fruits, and vegetables. Such compounds have begun to be used in
the cultivation of traditional Chinese medicines (TCMs), especially for root
medicines. Although the potential risks to human health of these compounds has
attracted increasing attention, analytical methods for detection of plant growth
retardants in TCMs remain poorly investigated. In this study, an effective and
reliable method for simultaneous determination of 11 plant growth retardants in
Ophiopogon japonicus and soil samples was developed by ultra-performance liquid
chromatography-triple quadrupole tandem mass spectrometry (UPLC-QqQ-MS/MS).
Extraction was conducted in acetonitrile containing 1.0% (v/v) acetic acid with
ultrasonication. The octadecylsilyl (C18) and MgSO4 were used as the dispersive
solid phase extraction (d-SPE) sorbent and provided satisfactory recoveries for
the analytes. The conditions of extraction and LC-MS/MS were optimized to achieve
the highest recovery and sensitivity. Good linearity was achieved within a wide
range with all correlation coefficients exceeding 0.9950. The recoveries of all
analytes in O. japonicus and soil samples ranged from 57.37% (choline chloride)
to 99.93% (trinexapac-ethyl) and from 54.37% (daminozide) to 94.82%
(triadimenol), respectively. The limits of quantifications ranged from 0.03 to
3.54 MUg/L. The proposed method was successfully applied to detect and quantify
11 plant growth retardants in empirical O. japonicus and soil samples. High
frequency of paclobutrazol and choline chloride was found in O. japonicus
samples. In addition, paclobutrazol showed a high residual concentration (>1100
MUg/kg) in the soil of O. japonicus indigenous production.
PMID- 29803883
TI - Metabolic impact induced by total, water soluble and insoluble components of
PM2.5 acute exposure in mice.
AB - Fine particulate matter (PM2.5) has been listed as an important environmental
risk factor for human health. However, the systemic biological effects on
metabolic responses induced by PM2.5 and its components were poorly understood.
This study was aimed to evaluate the toxicity of different components of PM2.5 at
molecular level via metabolomics approach. In the present study, we adopted a 1H
NMR-based metabolomics approach to evaluate metabolic profiles in mice after
acute exposure to Total-PM2.5, water soluble components of PM2.5 (WS-PM2.5) and
water insoluble components of PM2.5 (WIS-PM2.5). First, we characterized the
morphological features and chemical composition of PM2.5. Then, the metabolites
changes of serum and urine in mice were systematically analyzed using 800 MHz 1H
NMR techniques in combination with multivariate statistical analysis. Total-PM2.5
exposure affected metabolites mainly involved in amino acid metabolism, protein
biosynthesis, energy metabolism and metabolism of cofactors and vitamins. WS
PM2.5 exposure influenced lipid metabolism and carbohydrate metabolism. WIS-PM2.5
exposure mainly perturbed amino acid metabolism and energy metabolism. The
results suggested that acute exposure to the Total-PM2.5, WS-PM2.5 and WIS-PM2.5
in mice exhibited marked systemic metabolic changes. In addition, the insoluble
fraction of PM2.5 contributed greatly to the toxicity of PM2.5.
PMID- 29803884
TI - Blend-electrospun graphene oxide/Poly(vinylidene fluoride) nanofibrous membranes
with high flux, tetracycline removal and anti-fouling properties.
AB - Graphene oxide (GO)/poly(vinylidene fluoride) (PVDF) electrospun nanofibrous
membranes (ENMs) have been fabricated to remove tetracycline (TC) from water via
adsorptive-filtration. The pure water permeation flux of GO/PVDF ENMs (27,407
29,337 LMH/bar) was increased compared with that of PVDF ENMs. The flow pore
diameter was steadily reduced by increasing the GO content from 0 to 1.5 wt% in
the GO/PVDF ENMs. The maximum TC adsorption capacity of GO is 720.26 mg/g
(Langmuir model) and GO retained its TC adsorption property after incorporation
into GO/PVDF ENMs during water filtration (transmembrane pressure = 0.91 bar).
The maximum experimental TC removal capacity (qa,exp) was 17.92 mg/g with 1.5 wt%
of GO (GO1.5/PVDF) ENMs, which was similar to the modified dose-response model
value of 18.03 mg/g. In the presence of natural organic matter, TC adsorption was
enhanced, because hydrophobic organic carbon improved hydrophobic and pi-pi
interactions. The presence of Cu(II) further improved the TC adsorption capacity
of GO1.5/PVDF ENMs through cation bridging. However, the presence of Ca(II)
hindered TC adsorption by an electron shielding effect. For examining anti
fouling activity of GO1.5/PVDF ENMs, the log removal values of both bacteria,
Escherichia coli and Staphylococcus aureus, were maintained at over 5 during
water filtration. In addition, incorporation of GO in PVDF ENMs prevents bovine
serum albumin (BSA) adsorption by both increasing the hydrophilicity of the ENMs
forming hydration layer on the surface and electrostatic repulsion between both
negatively charged BSA and GO in GO1.5/PVDF ENMs (zeta potential = - 14.14 mV,
deionized water at pH 6).
PMID- 29803885
TI - Key operating parameters affecting nitrogen removal rate in single-stage
deammonification.
AB - The key operating parameters for improving the nitrogen removal rate (NRR) in a
sequencing batch reactor (SBR) for deammonification were investigated. The major
operating strategies were the coexistence between deammonification and
denitrification with a carbon/nitrogen (C/N) ratio of 0.5 and the control of the
number of sub-cycles based on substrate concentration for anaerobic ammonium
oxidation (ANAMMOX) and ammonium oxidizing bacteria (AOB). In the study,
denitrification with the addition of an organic source was beneficial for
improving the NRR from 0.5 +/- 0.01 kg N m-3 d-1 to 0.53 +/- 0.01 kg N m-3 d-1 by
removing the nitrate produced as a by-product of ANAMMOX. Unlike the gradual
increase of the specific activity for AOB, the specific ANAMMOX activity (SAA)
was maximized when an ammonium concentration supplied after sub-feeding phase was
increased from 20 to 100 mg L-1, which increased the NRR from 0.53 +/- 0.01 kg N
m-3 d-1 to 0.79 +/- 0.01 kg N m-3 d-1. This result suggested that the SAA is more
important than the specific activity for AOB as a parameter for controlling the
NRR in the single-stage deammonification. In the whole experimental period, the
granule size smaller than 100 MUm accounted for 52.5 +/- 0.9%, making the largest
contribution to the activity for AOB and denitrifiers. However, the granule size
larger than 100 MUm made the greatest contribution (83.8 +/- 0.5%) to SAA. The
feasibility of using the derivate of pH and OPR as indirect parameters to control
the NRR was verified.
PMID- 29803886
TI - Real-time PCR array to study the effects of chemicals on the growth
hormone/insulin-like growth factors (GH/IGFs) axis of zebrafish embryos/larvae.
AB - Growth hormone/insulin-like growth factors (GH/IGFs) axis PCR array of zebrafish
(Danio rerio) larvae was developed based on the quantification of mRNA
expressions of 19 genes that were confirmed to play vital roles in the regulation
of fish growth. Zebrafish embryos were exposed to each of four concentrations of
the six representative chemicals, bisphenol A (BPA), perfluorooctane sulfonates
(PFOS), tris (1,3-dichloroisopropyl) phosphate (TDCIPP), cadmium chloride
(CdCl2), mercury (II) chloride (HgCl2) and lead (II) acetate (PbAc) from 2 h post
fertilization (hpf) to 96 hpf. Developmental endpoints and transcriptional
profiles of the genes involved in GH/IGFs axis of zebrafish larvae were examined
at 96 hpf. Body length of zebrfish larvae was found to be a more susceptible
endpoint in zebrafish embryo toxicity test than other endpoints, including
survival rate, hatching rate, malformation incidence and heart rate after
exposure to each of those representative chemicals selected. Perturbation of mRNA
expressions of GH/IGF axis genes accompanied by decreased body length indicated
that indicated that the growth inhibition observed might be attributed to the
dysregulation of GH/IGFs axis. Therefore, GH/IGF axis PCR array of zebrafish
larvae could be used to evaluate the effects of chemicals on GH/IGF endocrine
system.
PMID- 29803887
TI - Properties of magnetic carbon nanomaterials and application in removal organic
dyes.
AB - Magnetic carbon nanomaterials were prepared facilely by one step hydrothermal
synthesis method using biologically regenerated glucose as carbon sources and
ferric ammonium citrate as iron sources. As-synthesized nanomaterials were
characterized by means of SEM, TEM, XRD, N2 adsorption-desorption, VSM and XPS
etc. techniques. Results show as-prepared magnetic nanomaterials are sphere
particles with aggregation state and magnetic alpha-Fe particles are enclosed by
carbon matrixes. With increase of calcination temperature, the degrees of the
sample aggregation decrease, whereas the average particle sizes, BET specific
surface areas and saturation magnetizations increase. The carbon with graphite
structure has higher adsorption efficiency than that of amorphous carbon for
organic dye rhodamine B in water. Whereas the iron with amorphous structure shows
higher photocatalytic activity than that of the iron with crystalline structure
for the degradation of rhodamine B. And rhodamine B in water can almost be
degraded completely through the combination of adsorption and photocatalysis.
PMID- 29803888
TI - Consequences of oxidative damage and mitochondrial dysfunction on the fatty acid
profile of muscle of Indian Major Carps considering metal toxicity.
AB - Current study aims to find interrelation between mitochondrial enzyme function
and fatty acid profile in fish muscle and role of antioxidant agents to maintain
their balance in response to metal accumulation. Fishes (Labeo rohita, Catla
catla, Cirrhinus cirrhosus) were collected from two sites (Nalban Bheri and
Diamond Harbour, India). Concentrations of metals (lead, cadmium, copper, nickel,
zinc), enzymatic and non-enzymatic antioxidant activity (malondialdehyde,
superoxide dismutase, catalase, glutathione reductase, glutathione peroxidase,
glutathione S-transferase), muscle enzyme activity (acetylcholinesterase,
succinate dehydrogenase, lactate dehydrogenase, Ca2+ATPase, AMP-deaminase,
lipoamide reductase, cytochrome C oxidase, aldolase) and fatty acid composition
in muscle tissues were analyzed. Metal concentrations were significantly higher
(P < 0.05) in fish muscles from Nalban compared to those in Diamond Harbour.
Increased activity of antioxidant enzymes was noted with diminished mitochondrial
enzymes activity and altered fatty acid composition in response to higher metal
accumulation. Higher metal concentration in fish muscle of Nalban seems to
significantly (P < 0.05) affect poly and monounsaturated fatty acid content,
possibly due to oxidative damage and accumulation of hazardous reactive oxygen
species (ROS) molecules. Changes in fatty acid contents following metal
accumulation were observed to be species specific. Current study is the first
correlative study to illuminate the level of oxidative damage and possible
consequences on muscle cellular integrity, mitochondrial functionality and flesh
quality against bioaccumulation of different metals in carps. Future studies are
needed to quantify the relative contributions of enzymatic and low-molecular-mass
antioxidants in protecting mitochondrial function and maintenance of proper fatty
acid oxidation during acclimation to long term metal exposure.
PMID- 29803889
TI - Evaluation of apoptosis, oxidative stress responses, AChE activity and body
malformations in zebrafish (Danio rerio) embryos exposed to deltamethrin.
AB - In this study, we observed the zebrafish embryo/larvae (Danio rerio) exposed to
Deltamethrin (DM) used as pesticide in agricultural fields. We determined
respectively, changes in body morphology, cell apoptosis, antioxidant enzyme
(SOD, CAT, GPx) activities, MDA and acetylcholinesterase (AChE) levels after 96h
of DM exposure. The embryos were exposed to 2.5 MUg/l - 10 MUg/l - 25 MUg/l - 50
MUg/l of DM concentration for 96 h. Survival and hatching rates, and body
malformations were determined under a stereo microscope for in 24, 48, 72 and
96th hours. DM caused the cellular apoptosis and an increase in MDA levels while
inhibiting SOD, CAT, GPx enzyme activities and AChE level (P < 0.05). In
addition, pericardial edema, yolk sac edema, spinal cord curvature and body
malformations were determined in the embryo by depending on the dose of
pesticide. As conclusion it can be concluded that DM inhibits the antioxidant
enzyme mechanism, increases the cellular apoptosis, malformations. This study may
provide enable us for understanding toxic mechanisms of DM in zebrafish embryos.
PMID- 29803890
TI - Binding of Cd by ferrihydrite organo-mineral composites: Implications for Cd
mobility and fate in natural and contaminated environments.
AB - Adsorption and coprecipitation of organic matter with iron (hydr)oxides can alter
iron (hydr)oxide surface properties and their reactivity towards nutrient
elements and heavy metals. Organo-mineral composites were synthesized using humic
acid (HA) and iron oxide, during coprecipitation with ferrihydrite (Fh) and
adsorption to pre-formed Fh with two C loadings. The Fh-HA coprecipitated
composites have a higher C content and smaller surface area compared to the
equivalent adsorbed composites. NanoSIMS shows there is a high degree of spatial
correlation between Fe and C for both composites, but C distribution is more
uniform in the coprecipitated composites. The C 1s NEXAFS reveals a similar C
composition between the Fh-HA coprecipitated and adsorbed composites. However
composites at high carbon loading are more enriched in aromatic C, likely due to
preferential binding of carboxyl functional groups on aromatic rings in the HA.
The amount of Cd sorbed is independent of the composite type, either
coprecipitated or adsorbed, but is a function of the C loading. Composites with
low C loading show Cd sorption that is almost identical to pure Fh, while
composites with high C loading show Cd sorption that is intermediate between pure
Fh and pure HA, with sorption significantly enhanced over pure Fh at pH < 6.5. A
bidentate edge-sharing binding was identified for Cd on pure Fh and Cd-carboxyl
binding on pure HA. These findings have significant implications not only for the
sequestration of Cd in contaminated environments but also the coupled
biogeochemical cycling of Cd, Fe and C in the critical zone.
PMID- 29803891
TI - Occurrence of Dechlorane Plus and related compounds in catfish (Silurus spp.)
from rivers in France.
AB - Dechlorane related compounds (DRCs), including Dechlorane Plus (syn-DP and anti
DP), Dechlorane-601, -602, -603 and Chlordene Plus (CP), constitute a group of
polychlorinated flame retardants (FRs) that are still of industrial use. In
particular, DRCs have been detected in various environmental matrices and in
different aquatic and terrestrial biota, thus exhibiting bioaccumulation and
biomagnification potentials. The present study aimed at producing first
occurrence data of a range of DRCs in Silurus spp. samples from different rivers
located in France. Determination was carried out by gas chromatography high
resolution mass spectrometry after a sample clean-up based on a multilayer silica
column and gel permeation chromatography. The concentration of monitored
SigmaDRCs ranged from 1.58 to 408 pg g-1 wet weight (54-11100 pg g-1 lipid
weight). The fractional abundance of syn- and anti-DP stereoisomers was similar
to that reported by other studies with an average equal to 0.60. Dec-601 was not
detected in any sample. Detection frequencies ranged between 34 and 100% for
other DRCs. Investigated correlations between DRCs and polychlorobiphenyls (PCBs)
suggest a link with lipid content but independent contamination sources.
PMID- 29803892
TI - Quantitative analysis of in-vivo responses of reproductive and thyroid endpoints
in male goldfish exposed to monocrotophos pesticide.
AB - Cross-regulation occurs at many points between the hypothalamic-pituitary-gonad
(HPG) and hypothalamic-pituitary-thyroid (HPT) axes. Monocrotophos (MCP)
pesticide could disrupt HPG and HPT axes, but its direct target within the
endocrine system is still unclear. In the present study, hormone concentrations
and transcriptional profiles of HPG and HPT genes were examined in male goldfish
(Carassius auratus) exposed to 0, 4, 40, and 400 MUg/L MCP for 2, 4, 8, and 12 d.
In vivo data were analyzed by multiple linear regression and correlation
analysis, quantitatively indicating that MCP-induced plasma 17beta-estradiol (E2)
levels were most associated with alteration of cyp19a transcription, which was
also a potential point indirectly modulated by the MCP-altered thyroid hormones
(THs) status; disturbance of THs pathways was most related with effect of MCP on
regulation of the hypothalamic-pituitary hormones involved in the thyroid system,
and the increased E2 levels might enhance the impact of MCP on HPT axis by
modulating hepatic deiodinase expression. Our finding, based on these
correlational data, gave a whole view of the regulations, especially on the cross
talk between sex hormone and thyroid hormone pathways upon exposure to chemicals
with unknown direct target in vivo, and cautions should be exercised when
developing adverse outcome pathway networks for reproductive and thyroidal
endocrine disruption.
PMID- 29803893
TI - A FTIRM study of the interactive effects of metals (zinc, copper and cadmium) in
binary mixtures on the biochemical constituents of the gills in rainbow trout
(Oncorhynchus mykiss).
AB - We employed Fourier Transform Infrared Microspectroscopy to examine, in situ, the
effects of waterborne Cu, Cd and Zn, alone and in binary mixtures, during acute
exposure on the integrity of major lipid and protein constituents of the gill of
a model teleost species, rainbow trout (Oncorhynchus mykiss). Our findings
demonstrated that acute exposure to metals, both individually and in binary
mixture, resulted in the degradations of various components of proteins and
lipids in the gill tissue. Generally, when comparing the effects of individual
metals, Cu was found to induce the maximum adverse effects followed by Cd and Zn,
respectively. Among the binary metal-mixture combinations, Cu and Cd produced
additive effects on the degradation of major proteins and lipid moieties, whereas
the co-exposure of Zn with Cd or Cu elicited ameliorative effects, indicating
antagonistic (less than additive) interactions between Zn and Cd or Cu in the
rainbow trout gill. Overall, the present study demonstrates that FTIRM can be a
useful tool to gain novel mechanistic insights into the biochemical changes
induced by metals in the fish gill, which could influence the overall toxicity of
metals to fish.
PMID- 29803894
TI - Impact of selective serotonin reuptake inhibitors (SSRIs) during pregnancy and
lactation: a focus on short and long-term vascular effects.
AB - SSRIs are the first choice for the treatment of mood disorders during pregnancy
and lactation. Despite the known side effects, the benefits/risks balance
suggests their use. Hypertensive disorders of pregnancy (HDP) and Intrauterine
growth restriction (IUGR) are the main vascular effects of these drugs, with
mechanisms that involves endothelial dysfunction in feto-placental system. These
data are supported by animal models, even ifpreliminary findings are not yet
adequately supported by molecular and clinical data.
PMID- 29803895
TI - Incidence of amplification failure in DMPK allele due to allelic dropout event in
a diagnostic laboratory.
AB - BACKGROUND: Myotonic dystrophy type 1 (DM1) is caused by an expanded CTG repeat
in the non-coding 3' UTR of the DMPK gene. PCR and Southern Blot Analysis (SBA)
of long-range PCR represent the routine molecular testing most widely used for
DM1 diagnosis. However, in these conventional methods artifacts such as allele
dropout (ADO) represent a risk of misdiagnosis for DM1. Subjects, who show a
single product by conventional methods, require a complementary technique such as
triplet repeat primed PCR (TP-PCR). OBJECT: To estimate and minimize the
incidence of allele dropout event in our diagnostic molecular laboratory by the
use of new kit TP-PCR-based. METHODS: We retrospectively studied 190 DMPK
alleles, on blood samples from to ninety-five subjects, previously genotyped by
traditional methods to validate a new assay. The pedigree of a DM1 family was
used to expand our analysis. RESULTS: TP-PCR assay correctly identified all 95/95
(100%) subjects and these results were in agreement with the other molecular
laboratory. By conventional methods the amplification failure due to allele
dropout in our cohort was in 12/190 (6.3%) DMPK alleles analyzed. When these 12
alleles were detected and solved by new assay, we found that the 2.6% was caused
by primer sequence-dependent and the remaining 3.6% by polymerase-hindering
secondary structures. CONCLUSIONS: Allele dropout could be considered as a
potentially important problem in DM1 diagnosis that may lead to the attribution
of a wrong genotype with long-term consequences for both proband and family.
PMID- 29803897
TI - Uric acid and cardiovascular disease.
AB - Uric acid (UA) is an end product of purine metabolism in humans and great apes.
UA acts as an antioxidant and it accounts for 50% of the total antioxidant
capacity of biological fluids in humans. When present in cytoplasm of the cells
or in acidic/hydrophobic milieu in atherosclerotic plaques, UA converts into a
pro-oxidant agent and promotes oxidative stress and through this mechanism
participates in the pathophysiology of human disease including cardiovascular
disease (CVD). Most epidemiological studies but not all of them suggested the
existence of an association between elevated serum UA level and CVD, including
coronary heart disease (CHD), stroke, congestive heart failure, arterial
hypertension and atrial fibrillation as well as an increased risk for mortality
due to CVD in general population and subjects with confirmed CHD. Evidence
available also suggests an association between elevated UA and traditional
cardiovascular risk factors, metabolic syndrome, insulin resistance, obesity, non
alcoholic fatty liver disease and chronic kidney disease. Experimental and
clinical studies have evidenced several mechanisms through which elevated UA
level exerts deleterious effects on cardiovascular health including increased
oxidative stress, reduced availability of nitric oxide and endothelial
dysfunction, promotion of local and systemic inflammation, vasoconstriction and
proliferation of vascular smooth muscle cells, insulin resistance and metabolic
dysregulation. Although the causality in the relationship between UA and CVD
remains unproven, UA may be pathogenic and participate in the pathophysiology of
CVD by serving as a bridging mechanism mediating (enabling) or potentiating the
deleterious effects of cardiovascular risk factors on vascular tissue and
myocardium.
PMID- 29803896
TI - Prognostic value of ribonucleotide reductase subunit M1 (RRM1) in non-small cell
lung cancer: A meta-analysis.
AB - BACKGROUND: Ribonucleotide reductase subunit 1 (RRM1) is a potential prognostic
factor for non-small cell lung cancer (NSCLC). This study evaluates prognostic
value of RRM1 in NSCLC patients by meta-analyzing outcomes reported in
literature. METHOD: Data were acquired from research articles retrieved after
literature search in online databases. Random effects meta-analyses were
conducted by pooling hazard ratios (HR). Meta-analyses of standardized mean
differences (SMD) were used to evaluate overall survival (OS) and progression
free survival (PFS) between low and high RRM1 expression groups. Metaregression
analyses were conducted to evaluate the factors that could affect prognostic
relationship of RRM1 with treatment and survival outcomes. RESULTS: 23 studies
(3148 patients) were included. RRM1 expression was not meaningfully associated
with prognosis of NSCLC even when the reference (HR = 1) was either low RRM1
expression (0.918 [95% CI 0.833, 1.003]) or high RRM1 expression (0.834 [0.625,
1.043]). OS was significantly longer in low RRM1 expression group compared to
high RRM1 expression group (SMD 0.73 [0.36, 1.09]; P < 0.0001). PFS was not
significantly different between low and high RRM1 expression groups (SMD 0.08 [
0.29, 0.45]; p = 0.68). Age was inversely associated with HR (p = 0.001) even
when reference was low RRMI (p = 0.027) or high RRM1 (p = 0.006). Age was
positively associated with OS in both low and high RRM1 groups. CONCLUSION: In
meta-analysis of studies which used gemcitabine-based therapies, higher RRM1
expression is found to associated with shorter OS but not PFS. HR depicting
relationship between RRM1 expression and OS/PFS/treatment response could not
demonstrate a prognostic role of RRM1 in NSCLC patients.
PMID- 29803898
TI - Evaluation of the new Sysmex UF-5000 fluorescence flow cytometry analyser for
ruling out bacterial urinary tract infection and for prediction of Gram negative
bacteria in urine cultures.
AB - BACKGROUND: We evaluated the new flow cytometer UF-5000 with a blue
semiconductant laser as a screening tool for ruling out urine samples negative
for UTI and its ability to predict Gram negatives in culture. METHODS: Flow
cytometry and microbiological analysis were performed on 2719 urine samples, sent
to our microbiology laboratory with a request for urine culture. RESULTS: UF-5000
showed a very good performance in the screening process. Carryover and cross
contamination was negligible. 797 samples were culture positive at a cut-off of
>=105CFU/mL. ROC curve analysis for BACT count demonstrated AUC between 0.973, on
2714 samples, 0.959, on 1516 female samples, and 0.988 on 1198 male samples,
respectively. At the cut-off of BACT >=58/MUL AND/OR YLC >=150/MUL, SE was 99.4%,
SP 78.2%, PPV 65.4% and NPV 99.7%; false negatives were 0.6%, avoiding
unnecessary cultures in 55.5% of specimens. "Gram Neg?" flag predicted Gram
negatives in culture with a SE of 81.6% and SP of 93.3%. CONCLUSION: The new
Sysmex UF-5000 showed high diagnostic accuracy in UTI-screening with a very low
rate of false negatives. The instrument is capable of predicting Gram negatives
with a good SE and a high agreement with the culture, even if this performance
needs further evaluation.
PMID- 29803899
TI - Intestinal HIF-1alpha deletion exacerbates alcoholic liver disease by inducing
intestinal dysbiosis and barrier dysfunction.
AB - BACKGROUND & AIMS: Alcoholic liver disease (ALD) is characterized by gut
dysbiosis and increased gut permeability. Hypoxia inducible factor 1alpha (HIF
1alpha) has been implicated in transcriptional regulation of intestinal barrier
integrity and inflammation. We aimed to test the hypothesis that HIF-1alpha plays
a critical role in gut microbiota homeostasis and the maintenance of intestinal
barrier integrity in a mouse model of ALD. METHODS: Wild-type (WT) and intestinal
epithelial-specific Hif1a knockout mice (IEhif1alpha-/-) were pair-fed modified
Lieber-DeCarli liquid diet containing 5% (w/v) alcohol or isocaloric maltose
dextrin for 24 days. Serum levels of alanine aminotransferase and endotoxin were
determined. Fecal microbiota were assessed. Liver steatosis and injury, and
intestinal barrier integrity were evaluated. RESULTS: Alcohol feeding increased
serum levels of alanine aminotransferase and lipopolysaccharide, hepatic
triglyceride concentration, and liver injury in the WT mice. These deleterious
effects were exaggerated in IEhif1alpha-/- mice. Alcohol exposure resulted in
greater reduction of the expression of intestinal epithelial tight junction
proteins, claudin-1 and occludin, in IEhif1alpha-/- mice. In addition,
cathelicidin-related antimicrobial peptide and intestinal trefoil factor were
further decreased by alcohol in IEhif1alpha-/- mice. Metagenomic analysis showed
increased gut dysbiosis and significantly decreased Firmicutes/Bacteroidetes
ratio in IEhif1alpha-/- mice compared to the WT mice exposed to alcohol. An
increased abundance of Akkermansia and a decreased level of Lactobacillus in
IEhif1alpha-/- mice were also observed. Non-absorbable antibiotic treatment
reversed the liver steatosis in both WT and IEhif1alpha-/- mice. CONCLUSION:
Intestinal HIF-1alpha is essential for the adaptative response to alcohol-induced
changes in intestinal microbiota and barrier function associated with elevated
endotoxemia and hepatic steatosis and injury. LAY SUMMARY: Alcohol consumption
alters gut microbiota and multiple intestinal barrier protecting factors that are
regulated by intestinal hypoxia-inducible factor 1alpha (HIF-1alpha). Absence of
intestinal HIF-1alpha exacerbates gut leakiness leading to an increased
translocation of bacteria and bacterial products to the liver, consequently
causing alcoholic liver disease. Intestinal specific upregulation of HIF-1alpha
could be developed as a novel approach for the treatment of alcoholic liver
disease.
PMID- 29803900
TI - Social support and depressive symptom disparity between urban and rural older
adults in China.
AB - BACKGROUND: Depressive symptom disparity between urban and rural older adults is
an important public health issue in China. Social support is considered as an
effective way to alleviate depression of older adults. This study aimed to
investigate the extent to which social support could explain the depressive
symptom disparity between urban and rural older adults in China. METHODS: This
study used data drawn from the 2011 China Health and Retirement Longitudinal
Study with 6,772 observations. Multiple data analysis strategies were adopted,
including descriptive analyses, bivariate analyses, regression analyses and
decomposition analyses. RESULTS: There were significant depressive symptom
disparities between urban and rural older adults in China. Social support had
significant association with depressive symptom of older adults while adjusting
for covariates. About 25%-28% of the depressive symptom disparities could be
attributed to urban-rural gaps in social support, in which community support
contributed 21%-25%. Educational level and physical health status also
contributed to the disparities. LIMITATION: This study only established
correlations between social support and depressive symptom disparity rather than
casual relationships; and the self-reported measurement of depressive symptom and
the unobservable cultural factors might cause limitations. CONCLUSIONS: The urban
rural gap in social support, especially community support was a prime explanation
for depressive symptom disparities between urban and rural older adults in China.
To reduce the depressive symptom disparities, effective community construction in
rural China should be put into place, including improving the infrastructure
construction, strengthening the role of social organizations, and encouraging
community interpersonal interactions for older adults.
PMID- 29803901
TI - Cognitive impairment and medial temporal lobe structure in young adults with a
depressive episode.
AB - BACKGROUND: Cognitive deficits are common in patients with a depressive episode
although the predictors for their development and severity remain elusive. We
investigated whether subjective and objective cognitive impairment in young
depressed adults would be associated with cortical thinning in medial temporal
subregions. METHODS: High-resolution magnetic resonance imaging, cortical
unfolding data analysis, and comprehensive assessments of subjective and
objective cognitive abilities were performed on 27 young patients with a
depressive episode (mean age: 29.0 +/- 5.8 years) and 23 older participants
without a history of a depressive disorder but amnestic mild cognitive impairment
(68.5 +/- 6.6 years) or normal cognition (65.2 +/- 8.7 years). RESULTS: Thickness
reductions in parahippocampal, perirhinal and fusiform cortices were associated
with subjective memory deficits only among young patients with a depressive
episode and a measurable cognitive impairment. LIMITATIONS: Long-term
longitudinal data would be desirable to determine the trajectories of cognitive
impairment associated with depression in patients with or without cortical
structure changes. CONCLUSIONS: The presence of clinically significant cognitive
deficits in young people with a depressive episode may identify a patient
population with extrahippocampal cortical thinning.
PMID- 29803902
TI - Cerebral blood flow modulations during cognitive control in major depressive
disorder.
AB - BACKGROUND: This study investigated cerebral blood flow modulations during
proactive and reactive cognitive control in major depressive disorder (MDD).
Proactive control refers to preparatory processes during anticipation of a
behaviorally relevant event; reactive control is activated after such an event to
ensure goal attainment. METHODS: Using functional transcranial Doppler
sonography, blood flow velocities in the middle cerebral arteries of both
hemispheres were recorded in 40 MDD patients and 40 healthy controls during a
precued Stroop task. The font color of color words, which appeared 5 s after an
acoustic warning signal, had to be indicated while ignoring word meaning.
RESULTS: Patients, as compared to controls, exhibited smaller bilateral blood
flow increases during task preparation and larger increases after color word
presentation. Response time was longer in patients irrespective of the match or
mismatch between font color and word meaning. The blood flow increase after word
presentation correlated positively with response time. LIMITATIONS: Potential
effects of psychotropic medication on cognition and cerebral blood flow could not
be controlled. CONCLUSIONS: The study revealed evidence of reduced cortical
activity during proactive and elevated activity that occurs during reactive
control in MDD. Deficient implementation of proactive control in MDD may lead to
increased reliance on reactive control. The association between the blood flow
increase after color word presentation and poorer performance indicates that
deficient response preparation cannot be compensated for by reactive strategies.
The findings are clinically relevant, as they may contribute to our understanding
of the mechanisms relevant to cognitive impairments in MDD.
PMID- 29803903
TI - Oral diabetes medications other than dipeptidyl peptidase 4 inhibitors are not
associated with bullous pemphigoid: A Finnish nationwide case-control study.
AB - BACKGROUND: Dipeptidyl peptidase 4 inhibitors (DPP4is) used to treat diabetes
have been reported to be associated with an increased risk of bullous pemphigoid
(BP). There are no previous reports analyzing the risk of BP in patients who are
using other diabetes medications. OBJECTIVE: To evaluate the association between
diabetes medications other than DPP4i and development of BP. METHODS: We
investigated the prevalence of diabetes among patients with BP and the
association between the use of diabetes drugs (excluding DPP4i, metformin, and
insulin) and BP by analyzing national Finnish registry data for 3397 patients
with BP and 12,941 patients with basal cell carcinoma as controls. RESULTS: Our
results show that 19.6% of patients with BP have type 2 diabetes. Use of none of
the investigated medications was associated with an increased risk of BP.
LIMITATIONS: Because this was a registry-based study, it was not possible to
verify the accuracy of the diagnoses. The risk of BP in users of glucagon-like
peptide 1 receptor agonists could not be analyzed. CONCLUSION: Our study shows
that the investigated diabetes drugs are not associated with an increased risk of
BP in a Finnish patient database, indicating they can be safely used in this
population. Generalization of these results to other populations will require
further study.
PMID- 29803905
TI - Host characteristics and dynamics of Staphylococcus aureus colonization in
patients with moderate-to-severe psoriasis before and after treatment: A
prospective cohort study.
PMID- 29803904
TI - Maintenance of skin clearance with ixekizumab treatment of psoriasis: Three-year
results from the UNCOVER-3 study.
AB - BACKGROUND: Psoriasis is a chronic disease that may require long-term treatment.
Ixekizumab (IXE), which is a high-affinity monoclonal antibody that selectively
targets interleukin 17A, is an approved therapy for patients with moderate-to
severe plaque psoriasis. OBJECTIVE: To evaluate the efficacy and safety of IXE
through 156 weeks from the UNCOVER-3 study in patients who were treated with the
recommended dose regimen (160 mg of IXE at week 0, 80 mg every 2 weeks up to week
12, and 80 mg every 4 weeks thereafter). METHODS: Patients randomized to IXE
every 2 weeks, IXE every 4 weeks, etanercept twice weekly, or placebo were
switched to IXE every 4 weeks during the long-term extension period. Efficacy
data were summarized by using the as-observed, multiple imputation, and modified
nonresponder imputation methods. RESULTS: At week 156, 80.5% of patients had
achieved at least a 75% improvement from baseline in their Psoriasis Area
Severity Index (PASI) score, 66.0% had achived at least a 90% improvement from
baseline in their PASI score, and 45.1% had achieved a 100% improvement from
baseline in their PASI score with use of the modified nonresponder imputation
method, and 97.2% and 86.2% of patients had achived at least a 75% improvement
from baseline in their PASI score with use of the as-observed and multiple
imputation methods, respectively. Similar response rates were observed in
patients with baseline scalp, nail, or palmoplantar involvement. No new safety
signals were identified through year 3. LIMITATIONS: No placebo or active
comparison after week 12. CONCLUSION: IXE sustained high responses with clearance
of skin and nail lesions, with no new safety concerns through 3 years.
PMID- 29803906
TI - Custom paper shield to prevent perilesional hyperpigmentation after excimer laser
treatment for vitiligo.
PMID- 29803907
TI - Approaches for enhancing in situ detection of enterocin genes in thermized milk,
and selective isolation of enterocin-producing Enterococcus faecium from Baird
Parker agar.
AB - Enterococci are naturally selected for growth in thermized ewes'/goats' milk
mixtures used for traditional cooked hard cheese processing in Greece. A culture
independent PCR-based approach was applied to detect the presence of enterocin
encoding genes in naturally culture-enriched thermized milk (TM). Portions of TM
(63 degrees C, 30 s) collected from a commercial cheese plant before addition of
starters were fermented at 37 degrees C for 48 h to facilitate growth of
indigenous enterococci. The multiple enterocin-producing (m-Ent+) Enterococcus
faecium KE82 and the nisin A-producing Lactococcus lactis subsp. cremoris M104
served as bacteriocin-positive inocula in separate TM treatments. The PCR results
revealed a constant presence of the enterocin A, B and P genes in TM fermented
naturally at 37 degrees C. Eleven out of 42 (26.2%) lactic isolates from the
enriched TM cultures without inoculation were Ent+ E. faecium assigned to three
biotypes. Biotype I (4 isolates) included single entA possessors, whereas biotype
II (5 isolates) and biotype III (2 isolates) were m-Ent+ variants profiling entA
entB-entP and entA-entB genes, respectively. Biotype II displayed the strongest
antilisterial activity in vitro. Surprisingly, 85.7% (6/7) of the m-Ent+ E.
faecium were selectively isolated from Baird-Parker agar, reflecting their
natural resistance to 0.01% tellurite contained in the egg yolk supplement. No
cytolysin-positive E. faecalis or other Ent+ Enterococcus spp. were isolated. In
conclusion, commercially thermized Greek milk is a natural pool or 'reservoir' of
antagonistic Ent+ or m-Ent+ E. faecium strains that can be easily detected and
recovered by applying this PCR-based approach to naturally fermented milks or
cheese products.
PMID- 29803908
TI - Determination and pharmacokinetic study of Enasidenib in rat plasma by UPLC
MS/MS.
AB - Enasidenib, an oral product for treating Acute Myeloid Leukemia, has been
approved by FDA in Aug, 2017. In this study, we set up an ultra-performance
liquid chromatography-mass spectrometry (UPLC-MS/MS) method for measuring
Enasidenib and imatinib (internal standard, IS), simultaneously. Enasidenib and
imatinib were separated on an ACQUITY UPLC BEH C18 Column (2.1 mm * 50 mm, 1.7
MUm, 132 A). Mass detection was carried out by electrospray ionization in the
position mode, and the multiple reaction monitoring transitions were m/z 474.23
> 456.17 and m/z 494.30 -> 394.20 for Enasidenib and imatinib, respectively.
Linearity (2 - 500 ng.mL-1, R2 > 0.999), precision and accuracy (RE < +/- 15%),
extraction recovery (>= 96.69%), matrix effect (>= 96.47%) and stability (RE < +/
10%) were validated which demonstrated the robustness of our method. This rapid,
efficient and reliable UPLC-MS/MS method shows specificity and repeatability of
Enasidenib in rat plasma and can be used in further pharmacokinetic studies.
PMID- 29803909
TI - Degradation study of irbesartan: Isolation and structural elucidation of novel
degradants.
AB - To assess the stability of Irbesartan under stress conditions, and identify the
degradation products, it was subjected to hydrolytic and oxidative stress,
according to ICH guideline Q1A (R2). The drug showed degradation only in basic
conditions, while it was stable to other stress conditions. Three degradation
products were formed, which were separated on a C-8 column employing prep HPLC
using gradient elution. The structures were established by extensive 1D and 2D
NMR spectroscopic studies and mass spectra. The products were identified as (2'
(2H-tetrazol-5-yl)-[1,1'-biphenyl]-4-yl)methanamine (DP-1), N-((2'-(2H-tetrazol-5
yl)-[1,1'-biphenyl]-4-yl)methyl)pentanamide (DP-2) and N-((2'-(2H-tetrazol-5-yl)
[1,1'-biphenyl]-4-yl)methyl)-1-pentanamidocyclopentane-1-carboxamide (DP-3). One
of the three, DP-1, was reported earlier. However, its structure has not been
elucidated by NMR. The other two degradants are novel and are being reported here
for the first time.
PMID- 29803910
TI - Metabolites characterization of a novel DPP-4 inhibitor, imigliptin in humans and
rats using ultra-high performance liquid chromatography coupled with synapt high
resolution mass spectrometry.
AB - Imigliptin has been reported as a novel dipeptidyl-peptidase-IV (DPP-4) inhibitor
to treat type 2 Diabetes Mellitus (T2DM), and is currently being tested in
clinical trials. In the first human clinical study, imigliptin was well tolerated
and proved to be a potent DPP-4 inhibitor. Considering its potential therapeutic
benefits and promising future, it is of great importance to study the metabolite
profiles in the early stage of drug development. In the present study, a robust
and reliable analytical method based on the ultra-high performance liquid
chromatography/quadrupole time-of-flight mass spectrometry (UHPLC/Q-TOF MS)
method combined with MassLynx software was established to investigate the
characterization of metabolites of imigliptin in human and rat plasma, urine and
feces after oral administration. As a result, a total of 9 metabolites were
identified in humans, including 6, 9 and 8 metabolites in human plasma, urine,
and feces, respectively. A total of 11 metabolites were identified in rats,
including 7, 10 and 8 metabolites in rat plasma, urine, and feces, respectively.
In addition, 6 of the metabolites detected in humans and rats were phase I
metabolites, including demethylation, carboxylation, hydroxylation and
dehydrogenation metabolites, and 5 of the metabolites were phase II metabolites,
including acetylation and glucuronidation. There was no human metabolite detected
compared to those in rats. The major metabolites detected in human plasma (M1 and
M2) were products resulting from acetylation, and hydroxylation followed by
dehydrogenation. M1 was the major metabolite in rat plasma. M2 and the parent
drug were the major drug-related substances in human urine. The parent drug was
the major drug-related substances in rat urine. M2, M5 (hydroxylation product)
and M6 (2 * hydroxylation and acetylation product) were the predominant
metabolites in human feces. M2 and M5 were the major metabolites in rat feces. In
addition, renal clearance was the major route of excretion for imigliptin.
PMID- 29803911
TI - Novel markers to track oxidative polysorbate degradation in pharmaceutical
formulations.
AB - Polysorbates can undergo oxidative degradation in pharmaceutical formulations
resulting in both soluble and insoluble degradation products. The insoluble
degradants may precipitate to form subvisible and visible particulates, which are
undesirable in liquid parenteral products. To date, no oxidation byproduct has
been identified as an established marker to track Polysorbate 20 oxidation.
Herein, we identified the aldehyde derivative of free fatty acid esters as a
byproduct of polysorbate oxidation that can be derivatized using 2,4
dinitrophenylhydrazine and tracked analytically to monitor oxidative polysorbate
degradation in pharmaceutical formulations.
PMID- 29803912
TI - A dried blood spot assay with UPLC-MS/MS for the simultaneous determination of
E6005, a phosphodiesterase 4 inhibitor, and its metabolite in human blood.
AB - E6005, a novel phosphodiesterase 4 inhibitor, is currently under clinical
development for the treatment of atopic dermatitis. To support pediatric clinical
trials, the dried blood spot assay for simultaneous determination of E6005 and
its main metabolite, ER-392710 (M11), has been developed using ultra-performance
liquid chromatography with tandem mass spectrometry. E6005 and M11, in 25 MUL
blood spotted onto FTATM DMPK-C cards, were extracted by water/acetonitrile (1:1,
v/v), and then chromatographed on a reversed phase column under gradient elution.
The mass transitions, m/z 473.1 -> 163.0 for E6005 and m/z 459.1 -> 149.0 for
M11, with corresponding stable isotope internal standard, m/z 477.2 -> 167.0, and
m/z 463.2 -> 153.0, were monitored. E6005 and M11 were quantifiable from 1 to 200
ng/mL as free base. Accuracy and precision of the two analytes in the intra- and
inter-batch reproducibility were within +/-8.0% and 15.7%, respectively.
Extraction recoveries of the analytes were 73% or more and hematocrit ranging
from 26.9% to 51.8% did not impact the analytes' accuracy. Various stability
assessments, including possible conversion of E6005 to M11, were thoroughly
performed, and bench-top stability was ensured up to 160 days. The DBS method was
applied to determine E6005 and M11 concentrations in blood samples supporting a
pediatric clinical trial.
PMID- 29803913
TI - Combination of 4-hydroperoxy cyclophosphamide and methotrexate inhibits IL-6/sIL
6R-induced RANKL expression in fibroblast-like synoviocytes via suppression of
the JAK2/STAT3 and p38MAPK signaling pathway.
AB - Although conventional combination therapy is effective for most patients with
rheumatoid arthritis (RA), many still do not respond to current therapies.
Therefore, novel combination regimens that better target cellular processes
involved in RA pathogenesis are required. Preliminary studies have demonstrated
the beneficial effects of a combination of cyclophosphamide (CTX) and
methotrexate (MTX) in models of RA. Using western blotting, real-time polymerase
chain reaction, enzyme-linked immunosorbent assays, and immunofluorescent
staining, we demonstrated that the combination of 4-hydroperoxy CTX (4-H-CTX) and
MTX inhibited the expression of receptor activator of nuclear factor-kappaB
ligand (RANKL) in fibroblast-like synoviocytes (FLS) treated with the interleukin
(IL)-6/soluble IL-6 receptor (sIL-6R) complex. To elucidate the mechanisms
underlying this effect, we treated RA-FLS with the JAK2/STAT3 inhibitor AG490 or
p38MAPK inhibitor SB203580. The results showed that IL-6/sIL-6R-induced RANKL
upregulation required phosphorylation-mediated activation of STAT3 and p38
signaling, and that 4-H-CTX and/or MTX inhibited RANKL expression in IL-6/sIL-6R
stimulated FLS by suppressing JAK2/STAT3 and p38MAPK signaling. This study
demonstrated for the first time the inhibitory effects of 4-H-CTX and MTX on
RANKL expression in IL-6/sIL-6R-stimulated FLS via suppression of STAT3 and
p38MAPK phosphorylation. These results identify promising therapeutic agents that
might have clinical applications in patients with RA who are at high risk of bone
erosion or do not respond well to conventional therapy.
PMID- 29803915
TI - Measurement of proton chemical shift anisotropy in solid-state NMR spectroscopy.
AB - Proton chemical shift anisotropy (CSA) is significantly important as it provides
the information of the dynamics and local environmental structure of the proton.
The measurement of proton CSA keeps drawing the attention of NMR researchers, and
great efforts have been expended. In the early years, measuring proton CSA in
solid-state NMR, especially with the strong 1H-1H dipolar network, was hampered
by ineffective decoupling or selectively recoupling techniques, and the
applications were only limited to those with sparse proton sites or single
crystals. Till the latest decades, the dramatic progress on NMR methodology and
magic-angle spinning (MAS) technology enable accurate detection of proton CSA in
complicated powder samples even proteins. In this review, following a brief
description of the measurement of proton CSA in solution and LCs NMR, a
retrospect of the experimental development of proton CSA measurement in solid
state NMR is presented, from the continuous wave (CW) and multiple pulse
sequences for static solid samples, to combined rotation and multiple pulse
spectroscopy (CRAMPS), then to the latest methods including rotary resonance, CSA
amplification and R-symmetry pulse sequences under MAS conditions.
PMID- 29803916
TI - Acetone mobility in zeolite cages with new features in the deuteron NMR spectra
and relaxation.
AB - We studied deuteron NMR spectra and spin-lattice relaxation of deuterated acetone
d6, adsorbed into zeolites NaX (1.3) and NaY(2.4) at 100% coverage of sodium
cations. At temperatures roughly below 160 K the deuterons are localized and
their NMR characteristics are determined by CD3 rotation and rotational
oscillations of acetone molecules. In NaX the CD3 rotation and rotational
oscillations about the twofold axis of acetone dominate the spectra below 100 K,
while above it oscillations also about other axes become important. In NaY
dominant features are related to methyl tunnelling and to a smaller extent to
rigid acetones, before the rotational oscillations about twofold axis start to
prevail above 40 K. The analysis of the strongly non-exponential magnetization
recovery was done by applying the recently introduced method (Ylinen et al., 2015
[12]), improved here to take into account the limited fast recovery at the level
crossings, 10% at omegat=omega0 and 28% at omegat=2omega0. At first the
experimental recovery is fitted by three exponentials with adjustable weights and
decay rates. Then these quantities are calculated from activation energy
distributions and known expressions for the deuteron relaxation rate. In NaY two
distinctly separate activation energy distributions were needed, the dominant one
being very broad. The use of three distributions, two of them covering
practically the same energies as the broad one, lead to a somewhat better
agreement with experiment. In general the theoretical results agree with
experiment within experimental scatter. As the final result the mean activation
energies and widths are obtained for activation energy distributions.
PMID- 29803914
TI - Combination of TLR8 and TLR4 agonists reduces the degrading effects of nicotine
on DC-NK mediated effector T cell generation.
AB - The magnitude of immune responses to vaccination is a critical factor in
determining protection from disease. It is known that cigarette smoke dampens the
immune system and increases the risk of vaccine-preventable diseases. We reported
that nicotine, the immunosuppressive component of cigarette smoke, disrupts the
differentiation and functional properties of DC, which are pivotal in the
initiation of immune response to vaccines. We also reported that TLR agonists act
in synergy and boost DC maturation, DC-NK crosstalk and ultimately naive T cell
polarization into effector Th1 and Tc1 cells. Here, we investigated whether the
combination of TLR agonists could diminish the degrading effects of nicotine on
DC-NK mediated effector T cell generation. We found that none of TLR agonists,
single or combined, were able to diminish completely the adverse effects of
nicotine on DC. However, TLR3, TLR4, and TLR8 agonists acted as the most
effective adjuvants to increase the expression levels of antigen-presenting,
costimulatory molecules and production of cytokines by nicotine-exposed DC
(nicDC). When combined, TLR3 + 8 and TLR4 + 8 synergistically optimized nicDC
maturation and IFN-gamma secretion from nicotine-exposed NK (nicNK) during co
cultures. Interestingly, in contrast to DC-NK-T, co-cultures of nicDC-nicNK-T
treated with TLR3 + 8 or TLR4 + 8 agonists produced a similar frequency of
effector memory Th1 and Tc1 cells. However, the effector cells from TLR4 + 8
followed by TLR3 + 8 treated nicDC-nicNK-T co-cultures produced significantly
more IFN-gamma when compared with aluminum salt treated co-culture. Our data
suggest that addition of appropriate TLR agonists to vaccine formulation could
potentially augment the immune response to vaccination in smokers.
PMID- 29803917
TI - Stable contrast mode on TiO2(110) surface with metal-coated tips using AFM.
AB - We investigated a method to obtain a stable contrast mode on the TiO2(110)
surface. The stable contrast rate is approximately 95% with a W-coated Si
cantilever, which demonstrates that a stable tip apex plays an important role to
obtain the real geometry of the surface during atomic force microscopy
measurement. Information related to surface structure and tunnelling current on
the TiO2(110) surface can be obtained by the W-coated Si cantilever. It is
possible to investigate the electronic structure and surface potential on the
TiO2(110) surface with atomic resolution. In particular, the proposed method
could be widely applied to investigate the catalytic activity and the mechanism
of a catalytic reaction by a metal-coated tip in the future.
PMID- 29803918
TI - The MRItab: A MR-compatible touchscreen with video-display.
AB - BACKGROUND: A touchscreen interface permits rich user interactions for research
in many fields, but is rarely found within a Magnetic Resonance Imaging (MRI)
environment due to difficulties adapting conventional technologies to the strong
electromagnetic fields. Conventional MR-compatible video display technology uses
either large-screen displays that are placed outside of the bore of the MRI
itself, or projectors located beyond the participant's reach, making touch
interfaces impossible. NEW METHOD: Here, we describe the MR-compatibility of the
'MRItab' in terms of MR safety and image quality. The MRItab adapts inexpensive
off-the-shelf components with special signal-driver circuitry and shielding to
bring the touchscreen interface into the MR environment, without adversely
affecting MRI image quality, thereby making touch interfaces possible. RESULTS:
Our testing demonstrated that the functioning of the MRItab was not affected by
the functioning of the MRI scanner and that the MRItab did not adversely affect
the image data acquired. Participants were able to interact naturally with the
MRItab during MRI scanning. COMPARISON WITH OTHER METHOD (S): The MRItab is the
first MR-compatible touchscreen device with video-display screen capabilities
designed for use in the MRI environment. This interactive digital device is the
first to allow participants to see their hands directly as they interact with a
touch-sensitive display screen, resulting in high ecological validity.
CONCLUSIONS: The MRItab provides a methodological advantage for research in many
fields, given the realistic human-computer interaction it supports.
PMID- 29803919
TI - Associations of maternal exposure to triclosan, parabens, and other phenols with
prenatal maternal and neonatal thyroid hormone levels.
AB - Environmental phenols and parabens are commonly used in personal care products
and other consumer products and human exposure to these chemicals is widespread.
Although human and animal studies suggest an association between exposure to
phenols and parabens and thyroid hormone levels, few studies have investigated
the association of in utero exposure to these chemicals and thyroid hormones in
pregnant women and their neonates. We measured four environmental phenols
(triclosan, benzophenone-3, and 2,4- and 2,5-dichlorophenol), and three parabens
(methyl-, propyl-, and butyl paraben) in urine collected from mothers at two time
points during pregnancy as part of the CHAMACOS (Center for the Health Assessment
of Mothers and Children of Salinas) study. We measured free thyroxine (T4), total
T4, and thyroid-stimulating hormone (TSH) in serum of the pregnant women (N =
454) and TSH in their neonates (N = 365). We examined potential confounding by a
large number of additional chemical exposures and used Bayesian Model Averaging
(BMA) to select the most influential chemicals to include in regression models.
We observed negative associations of prenatal urinary concentrations of propyl
paraben and maternal TSH (beta for two-fold increase = -3.26%, 95% CI: -5.55,
0.90) and negative associations of 2,4-dichlorophenol and maternal free T4 (beta
for two-fold increase = -0.05, 95% CI: -0.08, -0.02), after controlling for other
chemical exposures. We observed negative associations of triclosan with maternal
total T4 after controlling for demographic variables, but this association became
non-significant after controlling for other chemicals (beta for two-fold increase
= -0.05, 95% CI: -0.11, 0.00). We found evidence that environmental phenols and
parabens are associated with lower TSH and free T4 in pregnant women after
controlling for related chemical exposures.
PMID- 29803920
TI - Automated comprehensive Adolescent Idiopathic Scoliosis assessment using MVC-Net.
AB - Automated quantitative estimation of spinal curvature is an important task for
the ongoing evaluation and treatment planning of Adolescent Idiopathic Scoliosis
(AIS). It solves the widely accepted disadvantage of manual Cobb angle
measurement (time-consuming and unreliable) which is currently the gold standard
for AIS assessment. Attempts have been made to improve the reliability of
automated Cobb angle estimation. However, it is very challenging to achieve
accurate and robust estimation of Cobb angles due to the need for correctly
identifying all the required vertebrae in both Anterior-posterior (AP) and
Lateral (LAT) view x-rays. The challenge is especially evident in LAT x-ray where
occlusion of vertebrae by the ribcage occurs. We therefore propose a novel Multi
View Correlation Network (MVC-Net) architecture that can provide a fully
automated end-to-end framework for spinal curvature estimation in multi-view
(both AP and LAT) x-rays. The proposed MVC-Net uses our newly designed multi-view
convolution layers to incorporate joint features of multi-view x-rays, which
allows the network to mitigate the occlusion problem by utilizing the structural
dependencies of the two views. The MVC-Net consists of three closely-linked
components: (1) a series of X-modules for joint representation of spinal
structure (2) a Spinal Landmark Estimator network for robust spinal landmark
estimation, and (3) a Cobb Angle Estimator network for accurate Cobb Angles
estimation. By utilizing an iterative multi-task training algorithm to train the
Spinal Landmark Estimator and Cobb Angle Estimator in tandem, the MVC-Net
leverages the multi-task relationship between landmark and angle estimation to
reliably detect all the required vertebrae for accurate Cobb angles estimation.
Experimental results on 526 x-ray images from 154 patients show an impressive
4.04 degrees Circular Mean Absolute Error (CMAE) in AP Cobb angle and 4.07
degrees CMAE in LAT Cobb angle estimation, which demonstrates the MVC-Net's
capability of robust and accurate estimation of Cobb angles in multi-view x-rays.
Our method therefore provides clinicians with a framework for efficient,
accurate, and reliable estimation of spinal curvature for comprehensive AIS
assessment.
PMID- 29803921
TI - Joint spatial-angular sparse coding for dMRI with separable dictionaries.
AB - Diffusion MRI (dMRI) provides the ability to reconstruct neuronal fibers in the
brain, in vivo, by measuring water diffusion along angular gradient directions in
q-space. High angular resolution diffusion imaging (HARDI) can produce better
estimates of fiber orientation than the popularly used diffusion tensor imaging,
but the high number of samples needed to estimate diffusivity requires longer
patient scan times. To accelerate dMRI, compressed sensing (CS) has been utilized
by exploiting a sparse dictionary representation of the data, discovered through
sparse coding. The sparser the representation, the fewer samples are needed to
reconstruct a high resolution signal with limited information loss, and so an
important area of research has focused on finding the sparsest possible
representation of dMRI. Current reconstruction methods however, rely on an
angular representation per voxel with added spatial regularization, and so, for
non-zero signals, one is required to have at least one non-zero coefficient per
voxel. This means that the global level of sparsity must be greater than the
number of voxels. In contrast, we propose a joint spatial-angular representation
of dMRI that will allow us to achieve levels of global sparsity that are below
the number of voxels. A major challenge, however, is the computational complexity
of solving a global sparse coding problem over large-scale dMRI. In this work, we
present novel adaptations of popular sparse coding algorithms that become better
suited for solving large-scale problems by exploiting spatial-angular
separability. Our experiments show that our method achieves significantly sparser
representations of HARDI than is possible by the state of the art.
PMID- 29803922
TI - MiR-320a-3p/ELF3 axis regulates cell metastasis and invasion in non-small cell
lung cancer via PI3K/Akt pathway.
AB - MicroRNAs (miRNAs) play important roles in tumorigenesis and tumor progression.
In this study, we investigated the role of miR-320a-3p in non-small cell lung
cancer (NSCLC). Expressions of miR-320a-3p were firstly determined in 80 NSCLC
patients' cancer tissues and adjacent normal lung tissues by qRT-PCR. Then MTT
assay, cell migration and invasion assays were performed in vitro. Potential
binding sites on target gene of miR-320a-3p were predicted and luciferase
reporter assay was used to identify the potential binding sites. Tumorigenesis
assay were performed in nude mice by injecting A549 cells which stably express
miR-320a-3p. Results indicated that high expression of miR-320a-3p suppresses
cell proliferation, migration and invasion through the inactivation of PI3K/Akt
signaling pathway in NSCLC cells. Smaller tumor size and lighter weight were also
found in nude mice which had miR-320a-3p higher expressed. Furthermore, data from
luciferase reporter assay proved the direct binding of miR-320a-3p on the 3'UTR
region of ELF3 mRNA, this could further decrease ELF3 expression
transcriptionally. We provided evidence that miR-320a-3p might work as a tumor
suppressor in NSCLC both in vivo and in vitro.
PMID- 29803923
TI - The association of lncRNA-HULC polymorphisms with hepatocellular cancer risk and
prognosis.
AB - BACKGROUND: Genetic polymorphisms in lncRNA HULC may affect the susceptibility
and clinical outcome of cancer. We aimed to investigate the association of HULC
tagSNPs with the risk and prognosis of hepatocellular cancer, as well as the
influence of the SNPs on lncRNA expression level. METHODS: A total of 1338
samples were recruited in the risk study. Among them, 351 HCC patients were
involved in the prognosis study. SNP genotyping was performed using KASP method
and lncRNA expression was detected by Real-time PCR. RESULTS: We found a promoter
SNP, rs1041279, was associated with a 1.41-fold increased HCC risk (P = 0.032).
In the stratified analysis, rs1041279 had greater ORs for the increased HCC risk
in the male subgroup (P = 0.014, OR = 1.54). Furthermore, multi-logistic
regression analysis revealed a two-way interaction effect of smoking-rs2038540
SNP on HCC risk (OR = 4.20). And MDR analysis consistently demonstrated a SNP
environmental interaction among smoking-drinking-rs2038540 SNP as the best model
for predicting HCC risk (P = 0.0107). In our study, no significant association
was found between HULC SNPs and the overall survival (P > 0.05), and no
significant effect was observed of rs1041279 SNP on lncRNA-HULC expression (P >
0.05). CONCLUSION: lncRNA-HULC rs1041279 SNP and the interaction of rs2038540 SNP
with environmental factors could enhance HCC risk.
PMID- 29803924
TI - Adipose-specific expression of mouse Rbp7 gene and its developmental and
metabolic changes.
AB - Alternative splicing and alternative promoter usage have been shown to have an
integral role in creating flexibility in the regulation of gene expression.
Previous studies collectively showed that expression of the retinol binding
protein 7 (Rbp7) gene was adipose tissue-specific across species. Nevertheless,
alternative splicing and alternative promoter usage of the Rbp7 gene in adipose
tissue and other tissues have not been investigated. The objectives of this study
were to investigate protein isoforms of RBP7 produced from alternative splicing,
alternative promoter usage and pre-mRNA trans-splicing, and to examine expression
patterns of RBP7 isoforms during adipogenesis, cold exposure, and retinol or
retinoic acid treatment. Our RT-PCR analysis revealed that mouse Rbp7 isoforms
were present, but only one protein isoform was detected which was specific to
adipose tissue. In addition, a fusion transcript of the Nmnat1 gene and the Rbp7
gene was produced by pre-mRNA trans-splicing in several tissues; however, its
protein expression was not detectable. During adipogenesis, RBP7 expression was
prominent in both neonatal and after-weaning stages and its expression was
significantly higher in fat cells than in preadipocytes. Exposure to cold led to
an increased expression of RBP7 in brown adipose tissue (BAT). Furthermore, Rbp7
mRNA expression in 3T3-L1 cells was significantly up- and down-regulated by
retinol and retinoic acid, respectively. Our data showed that the mouse Rbp7 gene
produces a predominant isoform in adipose tissue during adipocyte development,
cold exposure, and nutritional treatments, which can be a potential target for
future investigation on reduced adiposity.
PMID- 29803925
TI - TNFSF15 is likely a susceptibility gene for systemic lupus erythematosus.
AB - We aim to explore the correlation of TNFSF15 genetic polymorphisms with
susceptibility to systemic lupus erythematosus (SLE). This study enrolled SLE
patients and healthy individuals to detect three single nucleotide polymorphisms
(SNPs) of TNFSF15 (rs3810936, rs6478108 and rs4979462) through using polymerase
chain reaction-restriction fragment length polymorphism (PCR-RFLP) to analyze the
possible association of these three SNPs with the risk of SLE and the mRNA level
of TNFSF15 was quantified by real-time PCR. The rs3810936 T allele carrier
greatly decreased risk of SLE (OR = 0.620, 95% CI = 0.454-0.849, P = 0.003),
while the risk of SLE for rs4979462 T allele carrier was significantly increased
(OR = 1.66, 95% CI = 1.243-2.218, P < 0.001). The mRNA level of TNFSF15 was
obviously higher in SLE patients, and specifically, the patients who carried the
CC genotype of TNFSF15 rs3810936 had a higher TNFSF15 mRNA, but the rs4979462 CC
genotype carriers appeared to be associated with the decreased TNFSF15 mRNA (all
P < 0.05). Besides, the genotypes of rs3810936 and rs4979462 of TNFSF15 were
significantly associated with butterfly rash, arthritis, serositis, renal
nephritis, hematological disorder, immunological disorder and positive
antinuclear antibody (ANA) of SLE patients (all P < 0.05). CCT and CTT haplotypes
were risk factors of SLE, but CCC and TTT were protective factors of SLE (all P <
0.05). Logistic regression analysis showed that rs3810936 and rs4979462 of
TNFSF15, histories of chilblain and wet living environment were independently
associated with the risk of SLE (all P < 0.05).The current results suggested that
TNFSF15 (rs3810936 and rs4979462) SNPs may confer susceptibility to SLE risk,
which were significantly associated with the clinical phenotypes of SLE.
PMID- 29803926
TI - 5-HT1B receptor agonist CGS12066 presynaptically inhibits glutamate release in
rat hippocampus.
AB - CGS12066, a 5-hydroxytryptamine 1B (5-HT1B) receptor agonist, has been reported
to exhibit antidepressant activity. Considering that glutamatergic dysfunction is
implicated in depression, the effect of CGS12066 on glutamate release in rat
hippocampal nerve terminals and possible underlying mechanism were investigated.
We observed that CGS12066 inhibited 4-aminopyridine (4-AP)-evoked glutamate
release, and that a 5-HT1B receptor antagonist blocked this inhibition. Western
blot analysis and immunocytochemistry confirmed the presence of presynaptic 5
HT1B receptor proteins. CGS12066-mediated inhibition of 4-AP-evoked glutamate
release was completely abolished in the synaptosomes pretreated with inhibitors
of Gi/Go-protein, adenylate cyclase (AC), and protein kinase A (PKA), namely
pertussis toxin, MDL12330A, and H89, respectively. CGS12066 reduced the elevation
of 4-AP-evoked intrasynaptosomal Ca2+ and cyclic AMP (cAMP) levels, but did not
affect the synaptosomal membrane potential. Furthermore, in the presence of omega
conotoxin MVIIC, a N- and P/Q-type channel blocker, CGS12066-mediated inhibition
of 4-AP-evoked glutamate release was markedly reduced; however, the intracellular
Ca2+-release inhibitors dantrolene and CGP37157 did not affect the CGS12066
effect. Furthermore, CGS12066 reduced glutamatergic miniature excitatory
postsynaptic current (mEPSC) frequency but did not affect mEPSC amplitude or
glutamate-activated currents in hippocampal slices. Our data are the first to
suggest that CGS12066 reduces AC/cAMP/PKA activation, through the activation of
Gi/Go protein-coupled 5-HT1B receptors present on hippocampal nerve terminals,
subsequently reducing Ca2+ entry through voltage-dependent Ca2+ channels and
reducing 4-AP-evoked glutamate release. This investigation into the role of 5
HT1B receptors in glutamate release provides crucial information regarding the
potential therapeutic role of 5-HT1B receptors for treating depression.
PMID- 29803927
TI - Long non-coding RNA HULC promotes UVB-induced injury by up-regulation of BNIP3 in
keratinocytes.
AB - Ultraviolet radiation b (UVB) is a common high-energy radiation which can lead to
cell damage and even skin cancer. The mechanisms of lncRNAs in various diseases
have attracted much attention of researchers. Herein, we investigated the effects
and regulations of lncRNA highly up-regulated in liver cancer (HULC) on UVB
induced injury in HaCaT cells. The HaCaT cells were exposed to UVB at a
wavelength of 280-320 nm. Cell viability was detected at different times (0, 3,
6, 12 and 24 h) after UVB treatment. Cells were transfected with sh-HULC, pc
HULC, sh-BNIP3 (Bcl-2 interacting protein 3) or pc-BNIP3, respectively. ZM 39,923
HCl was used as JAK/STAT(1/3) inhibitor. Cell viability and apoptosis were tested
by trypan blue dye and flow cytometry analysis, respectively. The expression
levels of autophagy-related factors were analyzed by Western blot assay. The
expression changes of HULC and BNIP3 were measured by qRT-PCR. We found that UVB
decreased cell viability, increased apoptosis and autophagy, and up-regulated the
expression of HULC in HaCaT cells. Overexpression of HULC reduced cell viability,
enhanced apoptosis and autophagy, and up-regulated BNIP3 expression by activating
JAK/STAT(1/3) signaling pathway. Finally, BNIP3 suppression increased cell
viability, reduced apoptosis and autophagy via the deactivation of mTOR signaling
pathway. The results demonstrated that lncRNA HULC up-regulated BNIP3 and
activated JAK/STAT(1/3) signaling pathway to accelerate UVB-induced cell damage
in HaCaT cells. This study provides a possible target for the clinical treatment
of UVB-induced keratinocyte injury.
PMID- 29803928
TI - Protective effects of cichoric acid on H2O2-induced oxidative injury in
hepatocytes and larval zebrafish models.
AB - Non-alcoholic fatty liver disease (NAFLD) is the most common liver disease with a
broad spectrum of liver injury. Oxidant stress is believed to be the pathogenesis
of NAFLD as the "second hit". Hydrogen peroxide is widely used as an oxidant
reagent to induce the oxidant injury of cells and larval zebrafish. Recently,
cichoric acid is being studied extensively for its obesity attenuating, hepatic
steatosis reduction and anti-oxidant effects. In this study, to identify whether
CRA could protect the H2O2 induced oxidant injury via anti-oxidant impact by
using L02 and HepG2 hepatocytes as in vitro and larval zebrafish as in vivo
injury models, and evaluated the protective and anti-oxidant effects of CRA by
pretreated it on both in vitro and in vivo models. CRA was found to reduce the
production of ROS and MDA, activate the anti-oxidant enzymes SOD and GSH-px, and
pathways Keap1-Nrf2 and HO-1. These results demonstrated that CRA might protect
the liver injury by its anti-oxidant effect, which could be a potential
therapeutic agent of NAFLD.
PMID- 29803929
TI - Long noncoding RNA PVT1 enhances the viability and invasion of papillary thyroid
carcinoma cells by functioning as ceRNA of microRNA-30a through mediating
expression of insulin like growth factor 1 receptor.
AB - OBJECTIVE: Invasion and metastasis of papillary thyroid carcinoma (PTC)
significantly affects prognosis and quality of life of patients. Herein, we
explored the binding relationship of long noncoding RNA PVT1 as ceRNA to microRNA
30a (miR-30a), and their effect on the development of PTC through regulating
insulin like growth factor 1 receptor (IGF1R). METHODS: PTC and adjacent normal
tissues were collected, where the qRT-PCR and western blot assay were employed to
evaluate the expression levels of PVT1, miR-30a and IGF1R. The correlation
between PVT1 expression and clinicopathological characteristics of PTC patients
was observed. PTC cell lines with the most/least significant difference from
normal thyroid cells were selected and treated with siRNA PVT1 or overexpression
PVT1 plasmids, miR-30a mimics or miR-30a inhibitors. Nucleus and cytoplasm
segmentation was used to identify subcellular fractionation of PVT1. The binding
relationship of PVT1 to miR-30a and the targeting relationship of miR-30a to
IGF1R were confirmed by using bioinformatic prediction program, dual-luciferase
reporter gene assay and RNA-pull down. Cell viability, cell cycle and apoptosis,
invasion and migration capacities were assessed by MTT, flow cytometry, Transwell
assay and scratch test, respectively. Western blot assay was employed to examine
protein expression of IGF1R, apoptosis-related factors (caspase-3, cleaved capase
3) and epithelial-mesenchymal transition (EMT)-related factors (E-cadherin,
Vimentin). RESULTS: In the PTC tissues and cells, PVT1 and IGF1R were highly
expressed and miR-30a was poorly expressed. PVT1 exerted its effects on PTC
mainly in the cytoplasm. The PVT1 expression was correlated with TNM staging, LNM
and tumor infiltration of PTC. The competitive binding of PVT1 to miR-30a
enhanced expression of IGF1R. In the in vitro experiments, BCPAP and TPC-1 cells
were selected. When subjected to siRNA PVT1 or miR-30a mimics, BCPAP and TPC-1
cells exhibited inhibited proliferation, cell cycle progression, invasion,
migration, EMT (increased E-cadherin and reduced Vimentin) and promoted apoptosis
(reduced caspase-3 and increased cleaved capase-3), and moreover, the expression
of IGF1R was reduced. CONCLUSION: This study provides evidence that long
noncoding RNA PVT1 enhances the expression of IGF1R through competitive binding
to miR-30a, whereby PVT1 facilitates the development of PTC.
PMID- 29803931
TI - Long noncoding RNA TP73-AS1 promotes non-small cell lung cancer progression by
competitively sponging miR-449a/EZH2.
AB - Long noncoding RNAs (lncRNAs) are a type of noncoding RNA transcript that are
characterized by lack of protein-coding capacity. The vital role of lncRNAs in
non-small cell lung cancer (NSCLC) is attracting increasingly more attention. In
the present study, we investigate the role of lncRNA antisense RNA of the TP73
gene (TP73-AS1) in NSCLC carcinogenesis. The results demonstrate that TP73-AS1 is
markedly upregulated in NSCLC tissues, and functional experiments revealed that
TP73-AS1 is significantly increased in NSCLC tissue and cell lines, indicating a
possible oncogenic role. In loss-of-function assays, the knockdown of TP73-AS1
inhibited NSCLC cell proliferation, tumor growth and cycle progression in vivo
and in vitro. Bioinformatic tools predicted that miR-449a both targeted the 3'
UTR of TP73-AS1 and EZH2, which was confirmed using luciferase reporter assay and
AGO2-dependent RNA immunoprecipitate (RIP). TP73-AS1 and miR-449a were in the
same RNA-induced silencing complex (RISC). In summary, the results indicate an
explicit oncogenic role of TP73-AS1 in the NSCLC tumorigenesis, suggesting a TP73
AS1-miR-449a-EZH2 axis and providing new insight for NSCLC tumorigenesis.
PMID- 29803930
TI - Candidate biomarkers for Parkinson's disease.
AB - Parkinson's disease (PD) is one of the most common diseases associated with
neurodegenerative disorders. It affects 3% to 4% of the population over the age
of 65 years. The neuropathological dominant symptoms of PD include the
destruction of neurons in the substantia nigra, thus causing striatal dopamine
deficiency and the presence of intracellular inclusions that contain aggregates
of alpha-synuclein. The premature form of PD is familial and is known as early
onset PD (EOPD). It involves a small portion of patients with PD, displaying
symptoms before the age of 60 years. Although individuals who are suffering from
the EOPD may have genetic changes, the molecular mechanisms that differentiate
between EOPD and late onset PD (LOPD) remain unclear. Owing to the complexity of
discriminating between the different forms, treatment, and management of PD, the
identification of biomarkers for early diagnosis seems necessary. For this
purpose, many studies have been undertaken for the introduction of several
biological molecules through various techniques as potential biomarkers. The main
focus of these studies was on alpha-synuclein. However, there are other molecules
that are potential biomarkers, such as microRNAs and peptoids. In this article,
we tried to review some of these studies.
PMID- 29803932
TI - Reshaping drug development using 3D printing.
AB - The pharmaceutical industry stands on the brink of a revolution, calling for the
recognition and embracement of novel techniques. 3D printing (3DP) is forecast to
reshape the way in which drugs are designed, manufactured, and used. Although a
clear trend towards personalised fabrication is perceived, here we accentuate the
merits and shortcomings of each technology, providing insights into aspects such
as the efficiency of production, global supply, and logistics. Contemporary
opportunities for 3DP in drug discovery and pharmaceutical development and
manufacturing are unveiled, offering a forward-looking view on its potential uses
as a digitised tool for personalised dispensing of drugs.
PMID- 29803933
TI - Progress in the development of nanosensitizers for X-ray-induced photodynamic
therapy.
AB - In recent years, photodynamic therapy has been applied in cancer treatment
because of its high selectivity and marginal invasion properties. However, the
excitation light used has limited ability to penetrate tissue, which creates a
stumbling block for its future development. To overcome this, X-rays have been
introduced to transmit energy to deeper tissues. Given that a large number of X
ray-induced sensitizers have been designed to facilitate X-ray excitation and
generate reactive oxygen species (ROS), this has led to the concept of X-ray
induced photodynamic therapy (X-PDT). After 10 years of development, this
treatment now shows good therapeutic effects as well as shortcomings. Going
forward, it will be important to improve tumor targeting and a standard deep
seated tumor model should be established.
PMID- 29803934
TI - The Clinical Innovation Network: a policy for promoting development of drugs and
medical devices in Japan.
AB - The continuous increase in the costs of developing new drugs and medical devices
drives increases in medical expenses. Seventy to ninety percent of these costs
are associated with clinical trials. Therefore, the development of cost-effective
methods to perform clinical trials remains a challenge. One approach is to use
patient registries, collections of data related to patients with a specific
diagnosis, condition, or procedure. Patient registries are used in Denmark,
Sweden, and the USA for the enrollment of patients into clinical trials, and to
evaluate endpoints. In Japan, a national project for registry-oriented clinical
research, termed the 'Clinical Innovation Network' (CIN), was initiated in 2016.
Here, we provide an overview of the CIN and discuss its impact on drug and device
development in Japan.
PMID- 29803935
TI - Host-defense peptides and their potential use as biomarkers in human diseases.
AB - Since the early 19th century, host-defense peptides (HDPs) have been known to
play a crucial role in innate host defense. Subsequent work has demonstrated
their role in adaptive immunity as well as their involvement in cancer and also a
number of inflammatory and/or autoimmune diseases. In addition to these multiple
functional activities, several studies have shown that HDP accumulation might be
correlated with various human diseases and, therefore, could be used as a
biomarkers for such. Thus, research has aimed to validate the clinical use of
HDPs for diagnosis, prognosis, and further treatment. In this review, we outline
the most recent findings related to the use of HDPs as biomarkers, their clinical
and epidemiological value, and the techniques used to determine the levels of
HDPs.
PMID- 29803936
TI - Understanding drug targets: no such thing as bad news.
AB - How can small-to-medium pharma and biotech companies enhance the chances of
running a successful drug project and maximise the return on a limited number of
assets? Having a full appreciation of the safety risks associated with proposed
drug targets is a crucial element in understanding the unwanted side-effects that
might stop a project in its tracks. Having this information is necessary to
complement knowledge about the probable efficacy of a future drug. However, the
lack of data-rich insight into drug-target safety is one of the major causes of
drug-project failure today. Conducting comprehensive target-safety reviews early
in the drug discovery process enables project teams to make the right decisions
about which drug targets to take forward.
PMID- 29803939
TI - The heparan sulfate proteoglycan grip on hyperlipidemia and atherosclerosis.
AB - Heparan sulfate proteoglycans are found at the cell surface and in the
extracellular matrix, where they interact with a plethora of proteins involved in
lipid homeostasis and inflammation. Over the last decade, new insights have
emerged regarding the mechanism and biological significance of these interactions
in the context of cardiovascular disease. The majority of cardiovascular disease
related deaths are caused by complications of atherosclerosis, a disease that
results in narrowing of the arterial lumen, thereby reducing blood flow to
critical levels in vital organs, such as the heart and brain. Here, we discuss
novel insights into how heparan sulfate proteoglycans modulate risk factors such
as hyperlipidemia and inflammation that drive the initiation and progression of
atherosclerotic plaques to their clinical critical endpoint.
PMID- 29803940
TI - Adaptation of the Plasmodium falciparum FCB strain for in vitro and in vivo
analysis in squirrel monkeys (Saimiri sciureus).
AB - The asexual blood stages of the Plasmodium falciparum parasite are responsible
for inducing the clinical symptoms and the most severe presentations of malaria
infection that causes frequent mortality and morbidity in tropical and
subtropical areas of the world, making the blood stages of infection a key target
of new malaria treatment and prevention strategies. Progress towards the
development of more effective treatment and prevention strategies has been
hindered by the limited availability of infection models that permit the
sequential analysis of blood stage parasites in vitro followed by in vivo
analysis to confirm therapeutic benefits. To advance a model for in vitro and in
vivo analysis of blood stage parasites, we examined nine laboratory strains of P.
falciparum to determine which strains could adapt to growth in vivo in
splenectomized squirrel monkeys (Saimiri sciureus). Only one of the nine
laboratory strains tested, the FCB strain, adapted to in vivo growth.
Morphological analysis show that the adapted ring-stage parasites have a
different morphology from original parasites cultured in vitro, and more often
they were found to localize at the edge of the infected red blood cell. No
remarkable differences were observed for both trophozoites and schizonts. The
adapted strain can be cultured back in vitro similar to the original parasite,
indicating that the adapted parasite can develop both in vitro and in vivo. This
squirrel monkey-adapted P. falciparum parasite is expected to be suitable and is
advantageous for the research and development of vaccines and antimalarial drugs.
PMID- 29803941
TI - Activation of the dopamine D1 receptor can extend long-term spatial memory
persistence via PKA signaling in mice.
AB - Many works have been performed to understand the mechanisms of the formation and
persistence of memory. However, it is not fully understood whether the decay of
long-term memory can be modulated by the activation of dopamine D1 receptor. A
Barnes maze task was employed to measure long-term spatial memory. We observed
that the spatial memory acquired through 3 trials per session for 4 days had
begun to fade out by the 14th day and had completely disappeared by 21 days after
the first probe test. The intraperitoneal administration of SKF 38393 (a dopamine
D1 receptor agonist) for 7 days beginning on the 14th day after the first probe
test prevented natural memory forgetting, and the intraperitoneal administration
of SCH 23390 (a dopamine D1 receptor antagonist) prevented this memory
persistence. In the Western blotting, the administration of SKF 38393 increased
the phosphorylation levels of PKA, ERK1/2, CaMKII, and CREB in the hippocampus.
In addition, such increased levels were decreased by the corresponding antagonist
(SCH 23390). Moreover, the inhibition of PKA could completely reverse the
preservation of spatial memory induced by dopamine D1 receptor activation. These
results suggest that the activation of the dopamine D1 receptor plays a critical
role in the persistence of long-term spatial memory through the PKA signaling
pathway.
PMID- 29803937
TI - Tissue linkage through adjoining basement membranes: The long and the short term
of it.
AB - Basement membranes (BMs) are thin dense sheets of extracellular matrix that
surround most tissues. When the BMs of neighboring tissues come into contact,
they usually slide along one another and act to separate tissues and organs into
distinct compartments. However, in certain specialized regions, the BMs of
neighboring tissues link, helping to bring tissues together. These BM connections
can be transient, such as during tissue fusion events in development, or long
term, as with adult tissues involved with filtration, including the blood brain
barrier and kidney glomerulus. The transitory nature of these connections in
development and the complexity of tissue filtration systems in adults have
hindered the understanding of how juxtaposed BMs fasten together. The recent
identification of a BM-BM adhesion system in C. elegans, termed B-LINK (BM
linkage), however, is revealing cellular and extracellular matrix components of a
nascent tissue adhesion system. We discuss insights gained from studying the B
LINK tissue adhesion system in C. elegans, compare this adhesion with other BM-BM
connections in Drosophila and vertebrates, and outline important future
directions towards elucidating this fascinating and poorly understood mode of
adhesion that joins neighboring tissues.
PMID- 29803942
TI - The debate on the knowledge product developed in nursing doctorates and the
assignment of the PhD to a modernist endeavor in the creation of the contrasting
position.
AB - Both PhDs and Professional Doctorates are now common in many countries as
alternate doctoral education products. The cohesion of the discourse to this
point used to distinguish the products, while holding together superficially on
closer examination collapses. It is time to engage in debate to critically
consider how the products differ to allow the decision of consumers of what best
fits with chosen career trajectories for nurses.
PMID- 29803943
TI - Smartphone virtual reality to increase clinical balance assessment
responsiveness.
AB - OBJECTIVE: To determine if a low cost smartphone based, clinically applicable
virtual reality (VR) modification to the standard Balance Error Scoring System
(BESS) can challenge postural stability beyond the traditional BESS. DESIGN:
Cross-sectional study. SETTING: University research laboratory. PARTICIPANTS: 28
adults (mean age 23.36 +/- 2.38 years, mean height 1.74 m +/- 0.13, mean weight
77.95 kg +/- 16.63). MAIN OUTCOME MEASURES: BESS postural control errors and
center of pressure (CoP) velocity were recorded during the BESS test and a VR
modified BESS (VR-BESS). The VR-BESS used a headset and phone to display a
rollercoaster ride to induce a visual and vestibular challenge to postural
stability. RESULTS: The VR-BESS significantly increased total errors (20.93 vs.
11.42, p < 0.05) and CoP velocity summed across all stances and surfaces (52.96
cm/s vs. 37.73 cm/s, p < 0.05) beyond the traditional BESS. CONCLUSION: The VR
BESS provides a standardized, and effective way to increase postural stability
challenge in the clinical setting. The VR-BESS can use any smartphone technology
to induce postural stability deficits that may otherwise normalize with
traditional testing. Thus, providing a unique relatively inexpensive and simple
to operate clinical assessment tool and?or training stimulus.
PMID- 29803938
TI - Cartilage diseases.
AB - Hyaline cartilages, fibrocartilages and elastic cartilages play multiple roles in
the human body including bearing loads in articular joints and intervertebral
discs, providing joint lubrication, forming the external ears and nose,
supporting the trachea, and forming the long bones during development and growth.
The structure and organization of cartilage's extracellular matrix (ECM) are the
primary determinants of normal function. Most diseases involving cartilage lead
to dramatic changes in the ECM which can govern disease progression (e.g., in
osteoarthritis), cause the main symptoms of the disease (e.g., dwarfism caused by
genetically inherited mutations) or occur as collateral damage in pathological
processes occurring in other nearby tissues (e.g., osteochondritis dissecans and
inflammatory arthropathies). Challenges associated with cartilage diseases
include poor understanding of the etiology and pathogenesis, delayed diagnoses
due to the aneural nature of the tissue and drug delivery challenges due to the
avascular nature of adult cartilages. This narrative review provides an overview
of the clinical and pathological features as well as current treatment options
available for various cartilage diseases. Late breaking advances are also
described in the quest for development and delivery of effective disease
modifying drugs for cartilage diseases including osteoarthritis, the most common
form of arthritis that affects hundreds of millions of people worldwide.
PMID- 29803944
TI - Estimation of core body temperature from skin temperature, heat flux, and heart
rate using a Kalman filter.
AB - Core body temperature (TC) is a key physiological metric of thermal heat-strain
yet it remains difficult to measure non-invasively in the field. This work used
combinations of observations of skin temperature (TS), heat flux (HF), and heart
rate (HR) to accurately estimate TC using a Kalman Filter (KF). Data were
collected from eight volunteers (age 22 +/- 4 yr, height 1.75 +/- 0.10 m, body
mass 76.4 +/- 10.7 kg, and body fat 23.4 +/- 5.8%, mean +/- standard deviation)
while walking at two different metabolic rates (~350 and ~550 W) under three
conditions (warm: 25 degrees C, 50% relative humidity (RH); hot-humid: 35
degrees C, 70% RH; and hot-dry: 40 degrees C, 20% RH). Skin temperature and HF
data were collected from six locations: pectoralis, inner thigh, scapula,
sternum, rib cage, and forehead. Kalman filter variables were learned via linear
regression and covariance calculations between TC and TS, HF, and HR. Root mean
square error (RMSE) and bias were calculated to identify the best performing
models. The pectoralis (RMSE 0.18 +/- 0.04 degrees C; bias -0.01 +/- 0.09
degrees C), rib (RMSE 0.18 +/- 0.09 degrees C; bias -0.03 +/- 0.09 degrees C),
and sternum (RMSE 0.20 +/- 0.10 degrees C; bias -0.04 +/- 0.13 degrees C) were
found to have the lowest error values when using TS, HF, and HR but, using only
two of these measures provided similar accuracy.
PMID- 29803945
TI - "Seizures have become a means of somehow learning things about myself" - A
qualitative study of the development of self-efficacy and mastery during a
psychotherapeutic intervention for people with epilepsy.
AB - BACKGROUND: Psychological interventions may enhance health-related quality of
life in people with epilepsy. The concepts of self-efficacy and mastery may be
particularly relevant in the context of epilepsy. To date, the investigation of
psychological interventions has not included a qualitative analysis of the
effects of such interventions on the interrelation between mastery and general
and epilepsy-specific self-efficacy. This qualitative study aimed to explore the
relationship between the lived experiences of these concepts in people with
epilepsy who participated in a resource-oriented and mindfulness-based
psychotherapeutic intervention delivered on a one-to-one basis in an outpatient
setting. METHODS: Semi-structured pre- and postintervention interviews were
conducted with people with epilepsy who participated in a six-month resource
oriented and mindfulness-based intervention. The formulation of intervention
goals was based on the preintervention interviews. The intervention involved
regular one-to-one interactions with the therapist, journal-keeping, and
mindfulness-based relaxation. Qualitative content analysis of pre- and
posttherapy interviews was conducted to characterize changes in subjective
experiences. RESULTS: Nine people with epilepsy aged 18-59 years participated in
9 to 22 (median 13) sessions. The following six main themes emerged: (A)
Encouragement of individual solutions, (B) Awareness of the link of personal
traits with seizure-related worries, (C) How to develop self-efficacy, (D)
Shaping everyday life in a way that is good for oneself (general self-efficacy),
(E) Coping with seizures (seizure-related self-efficacy), (F) Epilepsy as a means
of increasing self-knowledge and control over one's life (sense of mastery). The
patients' development of self-efficacy was motivated by their personal initial
goals and facilitated by the encouragement to find individual solutions and an
increased awareness of the link of personal traits with seizure-related worries.
A sense of mastery only emerged through the development of general self-efficacy
and as a result of the active self-examination prompted by the challenge of
living with epilepsy. CONCLUSION: The qualitative differences observed before and
after a psychotherapeutic intervention for individuals with epilepsy increase our
understanding of the complex process of psychotherapy-associated change involving
self-efficacy and mastery and highlight the contribution that qualitative
research approaches can make.
PMID- 29803946
TI - Validation of the Polish version of the Hospital Anxiety and Depression Scale for
anxiety disorders in patients with epilepsy.
AB - OBJECTIVE: Anxiety disorders are frequent comorbid disorders in patients with
epilepsy (PWEs). The availability of validated screening instruments to detect
anxiety disorders in PWEs is limited. The aim of the present study was to
validate the Polish version of the Hospital Anxiety and Depression Scale (HADS)
in adult PWEs for the detection of anxiety disorders. METHODS: A total of 96
outpatients with epilepsy completed the self-reported symptom scale, the HADS,
and were diagnosed using the structured clinical interview for Diagnostic and
Statistical Manual of Mental Disorders, Fourth Edition, Text Revision (DSM-IV-TR)
axis I disorders (SCID-I). The sensitivity, specificity, positive and negative
predictive values (PPV and NPV, respectively), and receiver operating
characteristic (ROC) curves were assessed to determine the optimal threshold
scores for the HADS anxiety subscale (HADS-A). RESULTS: Receiver operating
characteristic analyses showed areas under the curve at 80.8%. For diagnoses of
anxiety disorder, the HADS-A demonstrated the best psychometric properties for a
cutoff score >=10 with sensitivity of 81.3%, specificity of 70.0%, PPV of 31.5%,
and NPV of 94.9%. CONCLUSIONS: The HADS-A proved to be a valid and reliable
psychometric instrument in terms of screening for anxiety disorders in our sample
of PWEs. In the epilepsy setting, the HADS-A maintains adequate sensitivity,
acceptable specificity, and high NPV but low PPV for diagnosing anxiety disorders
with an optimum cutoff score >=10.
PMID- 29803947
TI - Bitemporal seizure spread and its effect on autonomic dysfunction.
AB - OBJECTIVE: Autonomic dysregulation is a possible pathomechanism of sudden
unexpected death in epilepsy (SUDEP). Cardiac arrhythmias and autonomic symptoms
are most commonly associated with seizures arising from the temporal lobes. The
aim of this study was to investigate whether simultaneous seizure activity in
both temporal lobes affects the autonomic nervous system differently from seizure
activity in one temporal lobe as assessed by heart rate variability (HRV).
METHODS: Electrocardiography (ECG) and intracranial electroencephalography (iEEG)
data from 13 patients with refractory temporal lobe epilepsy who had seizures
that propagated electrically from one temporal lobe to the other during video-EEG
ECG monitoring were retrospectively reviewed. The time domain, frequency domain,
and nonlinear parameters of HRV were evaluated by analyzing 4-minute-long ECG
epochs, sampling from baseline, preictal and postictal periods as well as epochs
constituting unitemporal and bitemporal ictal activity. RESULTS: Heart rate was
significantly higher during bitemporal ictal activity compared with all other
time points. The time domain and nonlinear parameters of HRV were significantly
decreased during bitemporal activity compared with baseline, and multiple
components of HRV (standard deviation of RR intervals (SDNN), coefficient of
variation (CV), root mean square of successive differences (RMSSD), and standard
deviation of short-term variability (SD1)) were significantly lower during
bitemporal activity compared with unitemporal activity. Frequency domain analysis
showed no significant differences. CONCLUSION: This study shows that bitemporal
seizure activity significantly increases heart rate and decreases HRV, indicating
increased autonomic imbalance with a shift towards sympathetic predominance, and
this may increase the risk of SUDEP.
PMID- 29803948
TI - Common lizards break Dollo's law of irreversibility: Genome-wide phylogenomics
support a single origin of viviparity and re-evolution of oviparity.
AB - Dollo's law of irreversibility states that once a complex trait has been lost in
evolution, it cannot be regained. It is thought that complex epistatic
interactions and developmental constraints impede the re-emergence of such a
trait. Oviparous reproduction (egg-laying) requires the formation of an eggshell
and represents an example of such a complex trait. In reptiles, viviparity (live
bearing) has evolved repeatedly but it is highly disputed if oviparity can re
evolve. Here, using up to 194,358 SNP loci and 1,334,760 bp of sequence, we
reconstruct the phylogeny of viviparous and oviparous lineages of common lizards
and infer the evolutionary history of parity modes. Our phylogeny supports six
main common lizard lineages that have been previously identified. We find strong
statistical support for a topological arrangement that suggests a reversal to
oviparity from viviparity. Our topology is consistent with highly differentiated
chromosomal configurations between lineages, but disagrees with previous
phylogenetic studies in some nodes. While we find high support for a reversal to
oviparity, more genomic and developmental data are needed to robustly test this
and assess the mechanism by which a reversal might have occurred.
PMID- 29803949
TI - Early Cretaceous greenhouse pumped higher taxa diversification in spiders.
AB - The Cretaceous experienced one of the most remarkable greenhouse periods in
geological history. During this time, ecosystem reorganization significantly
impacted the diversification of many groups of organisms. The rise of angiosperms
marked a major biome turnover. Notwithstanding, relatively little remains known
about how the Cretaceous global ecosystem impacted the evolution of spiders,
which constitute one of the most abundant groups of predators. Herein, we
evaluate the transcriptomes of 91 taxa representing more than half of the spider
families. We add 23 newly sequenced taxa to the existing database to obtain a
robust phylogenomic assessment. Phylogenetic reconstructions using different
datasets and methods obtain novel placements of some groups, especially in the
Synspermiata and the group having a retrolateral tibial apophysis (RTA).
Molecular analyses indicate an expansion of the RTA clade at the Early Cretaceous
with a hunting predatory strategy shift. Fossil analyses show a 7-fold increase
of diversification rate at the same period, but this likely owes to the first
occurrence of spiders in amber deposit. Additional analyses of fossil abundance
show an accumulation of spider lineages in the Early Cretaceous. We speculate
that the establishment of a warm greenhouse climate pumped the diversification of
spiders, in particular among webless forms tracking the abundance of insect prey.
Our study offers a new pathway for future investigations of spider phylogeny and
diversification.
PMID- 29803950
TI - Plastome sequences and exploration of tree-space help to resolve the phylogeny of
riceflowers (Thymelaeaceae: Pimelea).
AB - Data sets comprising small numbers of genetic markers are not always able to
resolve phylogenetic relationships. This has frequently been the case in
molecular systematic studies of plants, with many analyses being based on
sequence data from only two or three chloroplast genes. An example of this comes
from the riceflowers Pimelea Banks & Sol. ex Gaertn. (Thymelaeaceae), a large
genus of flowering plants predominantly distributed in Australia. Despite the
considerable morphological variation in the genus, low sequence divergence in
chloroplast markers has led to the phylogeny of Pimelea remaining largely
uncertain. In this study, we resolve the backbone of the phylogeny of Pimelea in
comprehensive Bayesian and maximum-likelihood analyses of plastome sequences from
41 taxa. However, some relationships received only moderate to poor support, and
the Pimelea clade contained extremely short internal branches. By using topology
clustering analyses, we demonstrate that conflicting phylogenetic signals can be
found across the trees estimated from individual chloroplast protein-coding
genes. A relaxed-clock dating analysis reveals that Pimelea arose in the mid
Miocene, with most divergences within the genus occurring during a subsequent
rapid diversification. Our new phylogenetic estimate offers better resolution and
is more strongly supported than previous estimates, providing a platform for
future taxonomic revisions of both Pimelea and the broader subfamily. Our study
has demonstrated the substantial improvements in phylogenetic resolution that can
be achieved using plastome-scale data sets in plant molecular systematics.
PMID- 29803952
TI - Structural Flexibility Enables Alternative Maturation, ARGONAUTE Sorting and
Activities of miR168, a Global Gene Silencing Regulator in Plants.
AB - In eukaryotes, the RNase-III Dicer often produces length/sequence microRNA
(miRNA) variants, called "isomiRs", owing to intrinsic structural/sequence
determinants of the miRNA precursors (pre-miRNAs). In this study, we combined
biophysics, genetics and biochemistry approaches to study Arabidopsis miR168, the
key feedback regulator of central plant silencing effector protein ARGONAUTE1
(AGO1). We identified a motif conserved among plant pre-miR168 orthologs, which
enables flexible internal base-pairing underlying at least three metastable
structural configurations. These configurations promote alternative, accurate
Dicer cleavage events generating length and structural isomiR168 variants with
distinctive AGO sorting properties and modes of action. Among these isomiR168s, a
duplex with a 22-nt guide strand exhibits strikingly preferential affinity for
AGO10, the closest AGO1 paralog. The 22-nt miR168-AGO10 complex antagonizes AGO1
accumulation in part via "transitive RNAi", a silencing-amplification process, to
maintain appropriate AGO1 cellular homeostasis. Furthermore, we found that the
tombusviral P19 silencing-suppressor protein displays markedly weaker affinity
for the 22-nt form among its isomiR168 cargoes, thereby promoting AGO10-directed
suppression of AGO1-mediated antiviral silencing. Taken together, these findings
indicate that structural flexibility, a previously overlooked property of pre
miRNAs, considerably increases the versatility and regulatory potential of
individual MIRNA genes, and that some pathogens might have evolved the capacity
or mechanisms to usurp this property.
PMID- 29803953
TI - Challenges in managing epilepsy associated with focal cortical dysplasia in
children.
AB - Focal cortical dysplasia (FCD) is the most common cause of intractable focal
epilepsy in children, in whom seizures are most commonly pharmacoresistant from
onset. This review summarizes the current understandings of the epidemiology,
natural history, and the proposed mechanisms of epileptogenisis in FCD. Advances
in neuroimaging techniques have enhanced the recognition of this pathology, which
can be subtle. Illustrative neurophysiology and imaging examples are provided to
help the clinicians identify diagnostic evidence of suspected FCD. Given the
refractory course to pharmacologic management, alternative options such as
ketogenic diet, resective surgery or neuromodulation can be considered.
Recognition of FCD pathology in children with early onset epilepsy should prompt
timely evaluations for resective surgery, which may render a significant number
of patients seizure-free and improve neurocognitive outcome.
PMID- 29803951
TI - Speed of antidepressant response to electroconvulsive therapy in bipolar disorder
vs. major depressive disorder.
AB - AIMS: We reviewed studies that reported speed of antidepressant response to
electroconvulsive therapy (ECT) in both bipolar depression (BPD) and major
depressive disorder (MDD). METHODS: We identified English language reports
allowing this comparison. RESULTS: Ten studies met our criteria. Four reported
significantly faster response in BPD and in one there was trend for faster
response. None reported evidence for slower response in BPD. CONCLUSION: The
findings support further study of speed of response to ECT in BPD.
PMID- 29803954
TI - Bipolar electro-coagulation with cortextomy in the treatment of insular and
insulo-opercular epilepsy explored by stereoelectro-encephalography.
AB - OBJECTIVE: The diagnosis and treatment of insular and insulo-opercular epilepsy
remain underexplored because the insula is covered by the insulo-opercular and a
dense vascular curtain. Stereoelectro-encephalography (SEEG) is a reliable and
recommended technique for locating the epileptic zone, especially in insular and
insulo-opercular regions (Isnard et al., 2000, 2004). Bipolar electro-coagulation
with cortextomy is an alternative therapy for treating drug-resistant epilepsy
and is more convenient and less likely to cause adverse complications. We report
20 patients with insular and insulo-opercular epilepsy diagnosed through SEEG who
underwent surgical treatment via bipolar electro-coagulation with cortextomy. The
outcomes and findings are presented as a retrospective analysis (Cui et al.,
2012; Zhai et al., 2015). METHOD: We performed a retrospective analysis of 20
insular and insulo-opercular epilepsy patients. All patients involved in the
analysis had undergone a comprehensive presurgical evaluation, including video
electro-encephalographic recordings (at least 1 insultus), SEEG (at least 3
electrodes placed at insular depth), magnetic resonance imaging, positron
emission tomography, and magneto-encephalography. All patients underwent bipolar
electro-coagulation with cortextomy surgery. The follow-up time was over 24
months. RESULT: After bipolar electro-coagulation with cortextomy surgical
treatment, 16 of the 20 patients (80.0%) were seizure free (Engel class I), and
all patients achieved satisfactory seizure control (Engel class I-III) with a
mean follow-up of 29.6 months (range, 24-39 months). Histopathological findings
demonstrated focal cortical dysplasia in 14 patients (70.0%), neuro-damage in 3
patients (15.0%), ganglioglioma in 2 patients (10.0%), and gliosis in 1 patient
(5.0%). Sixteen of the 20 patients reported no complications (80.0%), 3 exhibited
temporary complications that recovered within 3 months (15.0%), and 1 patient
experienced permanent complications (5.0%). SIGNIFICANCE: Bipolar electro
coagulation with cortextomy of the insular and insulo-opercular cortex explored
by SEEG findings can be performed safely and resulted in satisfactory seizure
control.
PMID- 29803955
TI - Stober synthesis of tannic acid-formaldehyde resin polymer spheres and their
derived carbon nanospheres and nanocomposites for oxygen reduction reaction.
AB - We report a facile Stober approach to prepare polyphenol-based resin polymer
spheres using tannic acid and formaldehyde as reactants. The tannic acid
formaldehyde (TAF) spheres directly convert into carbon spheres with
monodispersity and structural integrity. In addition, TAF-Fe complex spheres are
carbonized into Fe nanoparticles (NPs) decorated carbon spheres (TAF-C@Fe), which
are successfully applied as electrocatalysts for oxygen reduction reaction (ORR)
in alkaline media. The electrocatalyst exhibits similar catalytic activity but
better methanol tolerance performance to 20% commercial Pt/C in alkaline media.
This feasible strategy presents a novel precursor for fabricating and tailoring
the structure, composition, and size of polyphenol resin and derived carbon-based
materials for energy conversion and storage.
PMID- 29803957
TI - Dorsal and ventral cortices are coupled by cross-frequency interactions during
working memory.
AB - Oscillatory activity in the alpha and gamma bands is considered key in shaping
functional brain architecture. Power increases in the high-frequency gamma band
are typically reported in parallel to decreases in the low-frequency alpha band.
However, their functional significance and in particular their interactions are
not well understood. The present study shows that, in the context of an N-back
working memory task, alpha power decreases in the dorsal visual stream are
related to gamma power increases in early visual areas. Granger causality
analysis revealed directed interregional interactions from dorsal to ventral
stream areas, in accordance with task demands. Present results reveal a robust,
behaviorally relevant, and architectonically decisive power-to-power relationship
between alpha and gamma activity. This relationship suggests that anatomically
distant power fluctuations in oscillatory activity can link cerebral network
dynamics on trial-by-trial basis during cognitive operations such as working
memory.
PMID- 29803956
TI - Cation instructed steroidal prodrug supramolecular hydrogel.
AB - In the present study, we propose an ionic coordination strategy for the design of
a steroidal prodrug supramolecular hydrogel. The hydrogel composed of nanofibril
networks formed spontaneously by the introduction of divalent cations (e.g.,
Mg2+, Ca2+, Zn2+ and Fe2+) and NH4+ to a succinated dexamethasone (Dex-SA)
aqueous solution at room temperature. The formation of the nanofibril structure
was dominantly driven by the ionic coordination with the assistance of a delicate
balance of multiple noncovalent interactions. A rheological analysis indicated
that the formed Ca2+/Dex-SA supramolecular hydrogel exhibits dominant elastic and
thixotropic properties. The formed Ca2+/Dex-SA supramolecular hydrogel allowed
the gradual release of Dex and Dex-SA in vitro, and the drug release behaviour
can be finely tuned by changing the Ca2+ concentration. Storage stability studies
showed that Dex-SA in hydrogel underwent an apparent chemical decomposition at 4
degrees C and 37 degrees C. In contrast, the Dex-SA xerogel was quite stable
without any obvious chemical decomposition of Dex-SA in storage at -20 degrees C
for 35 days, and it was able to turn into a hydrogel again within one minute
after rehydration. The formed Ca2+/Dex-SA supramolecular hydrogel caused
negligible cytotoxicity against HCEC and L-929 cells at drug concentrations up to
2 mM, as indicated by the in vitro cytotoxicity tests. Additionally, the proposed
Ca2+/Dex-SA supramolecular hydrogel displayed a comparable anti-inflammatory
efficacy with Dexp via the downregulation of NO, TNF-alpha and IL-6 expression in
lipopolysaccharide (LPS)-activated RAW264.7 macrophage. Overall, the cation
instructed steroidal prodrug supramolecular hydrogel might be a promising
ophthalmic drug delivery system for anti-inflammatory therapy.
PMID- 29803959
TI - Distinct neural circuits support incentivized inhibition.
AB - The ability to inhibit responses under high stakes, or "incentivized inhibition,"
is critical for adaptive impulse control. While previous research indicates that
right ventrolateral prefrontal cortical (VLPFC) activity plays a key role in
response inhibition, less research has addressed how incentives might influence
this circuit. By combining a novel behavioral task, functional magnetic resonance
imaging (FMRI), and diffusion-weighted imaging (DWI), we targeted and
characterized specific neural circuits that support incentivized inhibition.
Behaviorally, large incentives enhanced responses to obtain money, but also
reduced response inhibition. Functionally, activity in both right VLPFC and right
anterior insula (AIns) predicted successful inhibition for high incentives.
Structurally, characterization of a novel white-matter tract connecting the right
AIns and VLPFC revealed an association of tract coherence with incentivized
inhibition performance. Finally, individual differences in right VLPFC activity
statistically mediated the association of right AIns-VLPFC tract coherence with
incentivized inhibition performance. These multimodal findings bridge brain
structure, brain function, and behavior to clarify how individuals can inhibit
impulses, even in the face of high stakes.
PMID- 29803960
TI - The neuroscience of intergroup emotion.
AB - What happens to our emotions and in our brains when we experience the world
through the lens of our group memberships rather than as individuals? Here we
review recent advances in social and affective neuroscience that have identified
potential input variables and processing mechanisms underlying one widely studied
emotion in intergroup contexts: empathy. There is a well-documented in-group bias
in empathy but the mental processes that generate it are poorly understood.
Drawing from recent insights in memory research, we suggest that episodic
simulation-the ability to imagine events-is an underexplored candidate process
that is likely to be involved in shaping emotional experience in intergroup
settings.
PMID- 29803958
TI - Disrupted topology of the resting state structural connectome in middle-aged APOE
epsilon4 carriers.
AB - The apolipoprotein E (APOE) epsilon4 allele is the best characterized genetic
risk factor for Alzheimer's disease to date. Older APOE epsilon4 carriers (aged
60 + years) are known to have disrupted structural and functional connectivity,
but less is known about APOE-associated network integrity in middle age. The goal
of this study was to characterize APOE-related differences in network topology in
middle age, as disentangling the early effects of healthy versus pathological
aging may aid early detection of Alzheimer's disease and inform treatments. We
performed resting state functional magnetic resonance imaging (rs-fMRI) and
diffusion tensor imaging (DTI) in healthy, cognitively normal, middle-aged adults
(age 40-60; N = 76, 38 APOE epsilon4 carriers). Graph theoretical analysis was
used to calculate local and global efficiency of 1) a whole brain rs-fMRI
network; 2) a whole brain DTI network; and 3) the resting state structural
connectome (rsSC), an integrated functional-structural network derived using
functional-by-structural hierarchical (FSH) mapping. Our results indicated no
APOE epsilon4-associated differences in network topology of the rs-fMRI or DTI
networks alone. However, epsilon4 carriers had significantly lower global and
local efficiency of the integrated rsSC compared to non-carriers. Furthermore,
epsilon4 carriers were less resilient to targeted node failure of the rsSC, which
mimics the neuropathological process of Alzheimer's disease. Collectively, these
findings suggest that integrating multiple neuroimaging modalities and employing
graph theoretical analysis may reveal network-level vulnerabilities that may
serve as biomarkers of age-related cognitive decline in middle age, decades
before the onset of overt cognitive impairment.
PMID- 29803961
TI - Persuasion and influence: what makes a successful persuader?
AB - What makes people successful at influencing others? In this review, we focus on
the role of the persuader (i.e., person who attempts to influence a recipient),
drawing from findings in neuroscience to highlight key drivers that contribute to
persuaders' decisions to share information, and variables that distinguish
successful persuaders from those who are less successful. We review evidence that
people's motivations to share are guided in the brain by value-based decision
making, with self-relevance and social-relevance as two key motivational inputs
to the value computation. We then argue that persuaders who exhibit higher
awareness of social considerations and increased recruitment of the brain's
mentalizing system are more successful. We conclude by suggesting that approaches
integrating social and neural networks can productively advance knowledge in this
field.
PMID- 29803962
TI - A qualitative study on psychopathology of dhat syndrome in men: Implications for
classification of disorders.
AB - BACKGROUND: Dhat syndrome is regarded by many as a culture bound syndrome of the
Indian sub-continent. However the nosological status, conceptual understanding of
the condition as well as the diagnostic guidelines are all mired in controversy.
AIMS: The current study aims to study the psychopathology of Dhat syndrome in men
by using a qualitative approach and to arrive at an operational definition for
diagnosing Dhat syndrome. METHOD: The qualitative approach consisted of five
Focus Group Discussions (FGD) and five Key Individual Interviews (KII) with
participants, consisting of patients as well as doctors - both allopathic as well
as traditional. RESULTS: Detailed analysis revealed valuable data regarding the
symptoms, causes, treatment measures, socio-cultural context, psychiatric co
morbidity, nature of the disorder and various other phenomenological dimensions.
Ideas for future nosological positioning were also specifically looked for.
Operational definition and diagnostic guidelines were also arrived at based on
the analysis as well as on previous literature. CONCLUSION: Although lot of
agreement existed among various stakeholders about symptoms and presentation,
they varied significantly in their opinion on nature of the condition and
treatment. Suggestions for ICD 11 have been made.
PMID- 29803963
TI - Hyponatremia secondary to SIADH in a schizophrenic patient treated with
Quetiapine.
PMID- 29803964
TI - In silico-in vitro discovery of untargeted kinase-inhibitor interactions from
kinase-targeted therapies: A case study on the cancer MAPK signaling pathway.
AB - Protein kinase inhibitors have been widely used as therapeutic agents to treat a
variety of diseases, but many of them may cause off-target effects by
unexpectedly targeting other noncognate kinases due to high conversion across the
protein kinase family. The mitogen-activated protein kinase (MAPK) signaling
pathway plays an essential role in tumorigenesis, which has been recognized as a
high priority in the druggable target candidates of anticancer therapy. Here, we
attempt to investigate the untargeted kinase-inhibitor interactions (UKIIs) of
kinase-targeted therapies for the cancer MAPK signaling cascade via an
integration of biomolecular modeling, cell viability assay and kinase inhibition
analysis. A systematic kinase-inhibitor interaction profile is created for 28 FDA
approved kinase inhibitor drugs across 9 caner-related MAPK kinases. The created
profile is analyzed at structural, energetic and dynamic levels and,
consequently, totally 18 promising UKII pairs with high theoretical affinity are
derived, from which the noncognate inhibitors Cabozantinib, Regorafenib and
Crizotinib are selected to test their cytotoxic effects on human epithelial
colorectal adenocarcinoma Caco-2 cell line and inhibition activity against the
recombinant protein of human p38alpha kinase domain. The obtained results are
compared with two cognate MAPK inhibitors JNK-IN-8 and BIRB796. As might be
expected, the Regorafenib, Crizotinib and Cabozantinib exhibit high, moderate and
low cytotoxicities, respectively. In addition, the Regorafenib is determined to
have a potent p38alpha-inhibitory activity. This is basically in line with the
test results of positive controls JNK-IN-8 and BIRB796 and can be well confirmed
by computational modeling.
PMID- 29803966
TI - Antioxidant activity of selenenamide-based mimic as a function of the aromatic
thiols nucleophilicity, a DFT-SAPE model.
AB - The mechanism of action of the selenenamide 1 as a mimic of the glutathione
peroxidase (GPx) was investigated by the density functional theory. The solvent
assisted proton exchange procedure was applied to model the catalytic behavior
and antioxidant activity of this mimic. To have an insight into the charge
transfer effect, different aromatic thiols, including electron donating
substituents on the phenyl ring were considered. The catalytic behavior of the
selenenamide was modeled in a four-step mechanism, described by the oxidation of
the mimic, the reduction of the obtained product, selenoxide, the reduction of
the selenenylsulfide and dehydration of selenenic acid. On the basis of the
activation parameters, the final step of the proposed mechanism is the rate
determining states of the catalytic cycle. Turnover frequency (TOF) analysis
showed that the electron donating groups at the para-position of the phenyl ring
of the PhSH do not affect the catalytic activity of the selenenamide in contrast
to p-methyl thiophenol which indicates the highest nucleophilicity. The
evaluation of the electronic contribution of the various donating groups on the
phenyl ring of the aromatic thiols shows that the antioxidant activity of the
selenenamide sufficiently increases in the presence of the electron-donating
substitutions. Finally, the charge transfer process at the rate-determining state
was investigated based on the natural bond orbital analysis.
PMID- 29803965
TI - Molecular dynamics of Middle East Respiratory Syndrome Coronavirus (MERS CoV)
fusion heptad repeat trimers.
AB - Structural studies related to Middle East Respiratory Syndrome Coronavirus (MERS
CoV) infection process are so limited. In this study, molecular dynamics (MD)
simulations were carried out to unravel changes in the MERS CoV heptad repeat
domains (HRs) and factors affecting fusion state HR stability. Results indicated
that HR trimer is more rapidly stabilized, having stable system energy and lower
root mean square deviations (RMSDs). While trimers were the predominant active
form of CoVs HRs, monomers were also discovered in both of viral and cellular
membranes. In order to find the differences between S2 monomer and trimer
molecular dynamics, S2 monomer was modelled and subjected to MD simulation. In
contrast to S2 trimer, S2 monomer was unstable, having high RMSDs with major
drifts above 8 A. Fluctuation of HR residue positions revealed major changes in
the C-terminal of HR2 and the linker coil between HR1 and HR2 in both monomer and
trimer. Hydrophobic residues at the a and d positions of HR helices stabilize the
whole system, with minimal changes in RMSD. The global distance test and contact
area difference scores support instability of MERS CoV S2 monomer. Analysis of
HR1-HR2 inter-residue contacts and interaction energy revealed three energy
scales along HR helices. Two strong interaction energies were identified at the
start of the HR2 helix and at the C-terminal of HR2. The identified critical
residues by MD simulation and residues at the a and d positions of HR helix were
strong stabilizers of HR recognition.
PMID- 29803967
TI - Induced pluripotent stem cells derived from a schizophrenia patient with ASTN2
deletion.
AB - Astrotactin-2, encoded by ASTN2, is implicated in neuronal migration. Although
genetic studies of schizophrenia (SCZ) patients have suggested that exonic
deletions of ASTN2 are associated with neurodevelopmental and psychiatric
disorders, their biological significance remains unclear. Herein, we generated
human induced pluripotent stem cells (iPSCs) from a SCZ patient with an exonic
deletion of ASTN2. The generated iPSCs carried ASTN2 deletion and showed typical
iPSC morphology, pluripotency marker expression, normal chromosomal aneuploidy,
and the capacity to differentiate into three germ layers. This iPSC line may be
suitable for evaluating Astrotactin-2 function relevant for SCZ onset in the
human brain.
PMID- 29803970
TI - "Like finding a unicorn": Healthcare preferences among lesbian, gay, and bisexual
people in the United States.
AB - Lesbian, gay, and bisexual (LGB) peoples' healthcare preferences are often
developed in response to social and institutional factors that can ultimately
deter them from care. Prior qualitative explorations of LGB healthcare
preferences have been limited in their ability to identify and compare patterns
across age cohort, gender, and race/ethnicity. The current study examines
qualitative data from 186 modified Life Story Interviews with three age cohorts
of LGB people from New York City, NY, San Francisco, CA, Tucson, AZ, and Austin,
TX to understand the factors influencing LGB people's healthcare preferences.
Data are analyzed using a directed content analysis approach. Five key themes
emerged regarding influences on healthcare preferences: Stigma, provider
expertise, identity, service type, and access. Findings suggest that healthcare
preferences among LGB people are both complex and closely linked to social
changes over time. Healthcare preferences among LGB people are both complex and
closely linked to social changes over time.
PMID- 29803968
TI - Experimental evidence of dietary ciguatoxin accumulation in an herbivorous coral
reef fish.
AB - Ciguatoxins (CTXs) are potent algal toxins that cause widespread ciguatera
poisoning and are found ubiquitously in coral reef food webs. Here we developed
an environmentally-relevant, experimental model of CTX trophic transfer involving
dietary exposure of herbivorous fish to the CTX-producing microalgae
Gambierdiscus polynesiensis. Juvenile Naso brevirostris were fed a gel-food
embedded with microalgae for 16 weeks (89 cells g-1 fish daily, 0.4 MUg CTX3C
equiv kg-1 fish). CTXs in muscle tissue were detectable after 2 weeks at levels
above the threshold for human intoxication (1.2 +/- 0.2 MUg CTX3C equiv kg-1).
Although tissue CTX concentrations stabilized after 8 weeks (~3 +/- 0.5 MUg CTX3C
equiv kg-1), muscle toxin burden (total MUg CTX in muscle tissue) continued to
increase linearly through the end of the experiment (16 weeks). Toxin
accumulation was therefore continuous, yet masked by somatic growth dilution. The
observed CTX concentrations, accumulation rates, and general absence of
behavioural signs of intoxication are consistent with field observations and
indicate that this method of dietary exposure may be used to develop predictive
models of tissue-specific CTX uptake, metabolism and depuration. Results also
imply that slow-growing fish may accumulate higher CTX flesh concentrations than
fast-growing fish, which has important implications for global seafood safety.
PMID- 29803969
TI - Freedom of choice, expressions of gratitude: Patient experiences of short-term
surgical missions in Guatemala.
AB - Lack of surgical care has been highlighted as a critical global health problem,
and short-term medical missions (STMMs) have become a de facto measure to address
this shortfall. Participation in STMMs is an increasingly popular activity for
foreign medical professionals to undertake in low- and middle-income countries
(LMICs) where their clinical skills may be in short supply. While there is
emerging literature on the STMM phenomenon, patient experiences of surgical
missions are underrepresented. This research addresses this gap through thirty
seven in-depth interviews with patients or caregivers who received care from a
short-term surgical mission within the three years prior to the four-week data
collection period in July and August 2013. Interviews were conducted in Antigua,
Guatemala and nearby communities, and participants came from 9 different
departments of the country. These first-hand accounts of health-seeking through a
surgical mission provide important insights into the benefits and challenges of
STMMs that patients encounter, including waiting time, ancillary costs, and
access to care. Patient agency in care-seeking is considered within the
pluralistic, privatized health care context in Guatemala in which foreign
participants deliver STMM care.
PMID- 29803971
TI - Long term economic impact associated with childhood bullying victimisation.
AB - Being bullied is associated with mental health problems in childhood, with
increasing evidence of persisting negative impacts, and increased mental health
service use, into adulthood. There are also impacts of bullying victimisation on
employment, income and being in poverty, but little is known about the long-term
economic impacts. We therefore aimed to estimate the most important economic
consequences at age 50 of being bullied in childhood: to our knowledge this is
the first study that does so. Using 1958 British birth cohort data collected in
1965, 1969, 1991, 2003 and 2008 (study samples size 7323-9242), we find
substantial and durable individual and societal economic impacts four decades
after the childhood bullying occurred. Both men and women who were bullied in
childhood were less likely to be in employment and had accumulated less wealth in
the form of home-ownership or savings than participants who were not bullied.
Individual earnings from paid employment were lower for women who were bullied in
childhood. Frequent bullying in childhood was also associated with higher
societal employment-related costs for men and higher health service costs for
women. Our findings underline the importance of preventing bullying in childhood
and, as the consequences are so long-lasting and pervasive, supporting people
still experiencing the negative consequences in the decades that follow.
PMID- 29803972
TI - Opportunities and guidelines for discovery of orally absorbed drugs in beyond
rule of 5 space.
AB - Recent years have seen a dramatic increase in the number of drugs approved in
chemical space outside of Lipinski's rule of 5, that is in what has been termed
beyond rule of 5 (bRo5) space. The development of three major classes of oral
drugs that treat HIV and HCV infections and the growing evidence that novel,
difficult targets can be accessed has prompted research into understanding design
of drugs displaying cell permeability, solubility and ultimately oral
bioavailability in bRo5 space. Studies have found a consistent outer property
limit for a reasonable chance of de novo designing oral bioavailability. In
addition, several property-based guidelines, along with incorporation of
chameleonic features, have emerged as strategies to aid design in bRo5 space. A
more detailed understanding of the complex and environment dependent
conformational landscape will likely be the focus of the next generation of
guidelines allowing property predictions of ever more complex compounds. By
pushing the boundaries of current orally designable chemical space we hope that
discoveries will be made for fundamental science and also for discovery of novel
therapeutics.
PMID- 29803973
TI - Advances and challenges in bacterial compound accumulation assays for drug
discovery.
AB - The identification of potent in vitro inhibitors of essential bacterial targets
is relatively straightforward, however vanishingly few of these molecules have
Gram-negative antibacterial potency and spectrum because of a failure to
accumulate inside the bacteria. The Gram-negative bacterial cell envelope
provides a formidable barrier to entry and couples with efflux pumps to prevent
compound accumulation. Assays to measure the cellular permeation, efflux and
accumulation of compounds in bacteria continue to be innovated and refined to
guide drug discovery. Important advances in the label-free detection of compounds
associated with or passing through bacteria rely on mass spectrometry This
technique holds the promise of bacterial subcellular resolution and the
throughput needed to test libraries of compounds to evaluate structure
accumulation relationships.
PMID- 29803975
TI - An ab initio investigation on the low-lying electronic states of NaMg.
AB - Theoretical investigations for NaMg have been performed on the icMRCI + Q level
employing basis set of quintuple-zeta quality with corrections of core-valence
correlation and scalar relativistic effect. The geometrical parameters, potential
energy curves, vibrational energy levels, spectroscopic constants for the eight
Lambda-S states, with respect to the lowest four dissociation limits, are
investigated. Through the spin-orbit coupling effect, these states split into
fourteen Omega states. The permanent dipole moments, transition dipole moments,
Einstein emission coefficients, radiative lifetimes and Franck-Condon factors for
all Omega states are studied. The feasibility of performing laser cooling of NaMg
has also been discussed. Our predictive results are anticipated to serve as
guidelines for further researches on NaMg.
PMID- 29803974
TI - Advanced chemometrics manipulation of UV-spectroscopic data for determination of
three co-formulated drugs along with their impurities in different formulations
using variable selection and regression model updating.
AB - Multivariate calibration models manipulating UV-spectroscopic data of three anti
productive cough drugs namely ambroxol, guaifenesin and theophylline were
constructed for the intent of simultaneous determination in presence of their
impurities; guaiacol and caffeine. Both interval partial least squares (iPLS) and
synergy interval partial least square (siPLS) algorithms were adopted for
variables selection to extract useful information and improve the models'
performance. The optimal spectral range and their combinations were assigned
according to the lowest value of Root Mean Square Error of Prediction (RMSEP),
Standard Error of Prediction (SEP) and Correlation Coefficient (R2). The results
obtained from full spectrum PLS were compared with those obtained by iPLS and
siPLS. The siPLS method exhibited better performance. The combination of four
subintervals, 2, 9, 13, and 16, showed the best effect, with RMSEP of 0.1039,
0.3548 and 0.207 MUg/mL, for ambroxol, guaifenesin and theophylline, respectively
and correlation coefficient of 0.9999, 0.9975 and 0.9994 for ambroxol,
guaifenesin and theophylline, respectively. The proposed methods were used for
the simultaneous determination of the three drugs in presence of their impurities
in bulk powder and in pharmaceutical formulation.
PMID- 29803976
TI - Rapid fabrication of flexible and transparent gold nanorods/poly (methyl
methacrylate) membrane substrate for SERS nanosensor application.
AB - Flexible substrates have been proposed for daily-life applications in SERS
detection due to the prominent sample collection properties such as they can be
wrapped around non-planar object surface. Combining the noble metals with
polymers, flexible SERS substrates could be fabricated with advantages of light
weight, transparency and high SERS sensitivity. Herein, we prepare a gold
nanorods (AuNRs)/poly(methyl methacrylate) (PMMA) film as flexible SERS substrate
by self-assembling a uniformly AuNRs array layer on PMMA template. This
AuNRs/PMMA film performs excellently on thiram trace detection with the lowest
detection concentration of 0.5 ppb. The fabricated substrates were applied for
practical detection with cucumber by directly covering the AuNRs/PMMA flexible
film on the target surface. Furthermore, the high SERS sensitivity as well as
great reproducibility present a wide range of prospections for the further
application of non-plane surface.
PMID- 29803977
TI - Diabetes escalates knee osteoarthritis in rats: Evidence of adaptive mechanism.
AB - Clinical reports on the coexistence of diabetes mellitus (DM) and osteoarthritis
(OA) dated back to the 1960 s. Therefore, the study investigated the effects of
induced DM and/or knee osteoarthritis (KOA) on known biomarkers in male Wistar
rats. Twenty rats of five animals per group were induced with DM and/or knee OA
using streptozotocin plus nicotinamide and sodium monoiodoacetate. Afterwards,
they were left untreated for four weeks.The results showed that pro-inflammatory
and pro-oxidative events were most significantly expressed in D + OA group and
least in OA group. In contrast to the other experimental groups, there was a
decreased bone formation in DM group.Unexpectedly, there were significant
increases in bone and cartilage degradation markers in diabetic group, relative
to D + OA group. In conclusion, diabetic-osteoarthritic state is characterised by
more altered biochemical profile, relative to what is probable in either disease
condition. Nevertheless, this situation remains subject to the influence of
endogenous homeostatic mechanisms.
PMID- 29803978
TI - Inter-individual and inter-organ variability in the bioactivation of paracetamol
by human liver and kidney tissues.
AB - Paracetamol (PAR) overdose is associated with massive hepatic injury; it may
induce kidney toxicity as well. It is essential to measure organ-specific
activities of related CYPs for evaluating the overdose cases. Available HPLC
based methods require high amounts of tissue samples. In order to develop liquid
chromatography mass spectrometry (LC-MS)-based methods to process small amounts
of human tissues, liver and kidney samples were obtained. Individual microsomes
were prepared and incubated with PAR (for quantifying bioactivation), with
nifedipine (for measuring CYP3A4 activity) and with p-nitrophenol (for measuring
CYP2E1 activity). The small amount of tissue microsomes was sufficient to measure
both the formation of NAPQI and the activities of CYP enzymes. Although the
sample size in group was relatively low, both NAPQI formation and activity of
CYP2E1 were significantly higher in males compared to females in kidney.
Considerable variations in the metabolic capacity of individuals were observed
for both organs.
PMID- 29803979
TI - Extraction of guided wave dispersion curve in isotropic and anisotropic materials
by Matrix Pencil method.
AB - Guided wave dispersion curves in isotropic and anisotropic materials are
extracted automatically from measured data by Matrix Pencil (MP) method
investigating through k-t or x-omega domain with a broadband signal. A
piezoelectric wafer emits a broadband excitation, linear chirp signal to generate
guided waves in the plate. The propagating waves are measured at discrete
locations along the lines for one-dimensional laser Doppler vibrometer (1-D LDV).
Measurements are first Fourier transformed into either wavenumber-time k-t domain
or space-frequency x-omega domain. MP method is then employed to extract the
dispersion curves explicitly associated with different wave modes. In addition,
the phase and group velocity are deduced by the relations between wavenumbers and
frequencies. In this research, the inspections for dispersion relations on an
aluminum plate by MP method from k-t or x-omega domain are demonstrated and
compared with two-dimensional Fourier transform (2-D FFT). Other experiments on a
thicker aluminum plate for higher modes and a composite plate are analyzed by MP
method. Extracted relations of composite plate are confirmed by three-dimensional
(3-D) theoretical curves computed numerically. The results explain that the MP
method not only shows more accuracy for distinguishing the dispersion curves on
isotropic material, but also obtains good agreements with theoretical curves on
anisotropic and laminated materials.
PMID- 29803980
TI - Stable thrombus formation on irradiated microvascular endothelial cells under
pulsatile flow: Pre-testing annexin V-thrombin conjugate for treatment of brain
arteriovenous malformations.
AB - BACKGROUND: Our goal is to develop a vascular targeting treatment for brain
arteriovenous malformations (AVMs). Externalized phosphatidylserine has been
established as a potential biomarker on the endothelium of irradiated AVM blood
vessels. We hypothesize that phosphatidylserine could be selectively targeted
after AVM radiosurgery with a ligand-directed vascular targeting agent to achieve
localized thrombosis and rapid occlusion of pathological AVM vessels. OBJECTIVE:
The study aim was to establish an in vitro parallel-plate flow chamber to test
the efficacy of a pro-thrombotic conjugate targeting phosphatidylserine. METHODS:
Conjugate was prepared by Lys-Lys cross-linking of thrombin with the
phosphatidylserine-targeting ligand, annexin V. Cerebral microvascular
endothelial cells were irradiated (5, 15, and 25 Gy) and after 1 or 3 days
assembled in a parallel-plate flow chamber containing whole human blood and
conjugate (1.25 or 2.5 MUg/mL). Confocal microscopy was used to assess thrombus
formation after flow via binding and aggregation of fluorescently-labelled
platelets and fibrinogen. RESULTS AND CONCLUSIONS: The annexin V-thrombin
conjugate induced rapid thrombosis (fibrin deposition) on irradiated endothelial
cells under shear stress in the parallel-plate flow device. Unconjugated, non
targeting thrombin did not induce fibrin deposition. A synergistic interaction
between radiation and conjugate dose was observed. Thrombosis was greatest at the
highest combined doses of radiation (25 Gy) and conjugate (2.5 MUg/mL). The
parallel-plate flow system provides a rapid method to pre-test pro-thrombotic
vascular targeting agents. These findings validate the translation of the annexin
V-thrombin conjugate to pre-clinical studies.
PMID- 29803981
TI - Dabigatran, rivaroxaban and apixaban vs. high TTR warfarin in atrial
fibrillation.
AB - INTRODUCTION: New oral anticoagulants are non-inferior compared with warfarin
regarding stroke prevention in atrial fibrillation, with similar or decreased
risk of bleeding. However, it is unclear whether high TTR warfarin is as
effective and safe as NOACs. Our objective was to investigate efficacy and safety
of apixaban, dabigatran or rivaroxaban compared with warfarin in clinical
practice. MATERIALS AND METHODS: Nationwide retrospective cohort study based on
Swedish quality registries. Atrial fibrillation patients initiated on apixaban,
dabigatran, rivaroxaban or warfarin between 2013-01-01 and 2015-12-31 were
included. Main outcome measures were all-cause stroke and systemic embolism, all
cause stroke, ischemic stroke, hemorrhagic stroke; major bleeding, intracranial
bleeding, gastrointestinal bleeding, other bleeding (fatal or requiring hospital
care); all-cause mortality; myocardial infarction. RESULTS: The study included
64,382 patients corresponding to 81,176 treatment years. Of these, 37,174
patients were instituted on warfarin, 6574 on dabigatran, 8323 on rivaroxaban and
12,311 on apixaban. In warfarin treated patients, the time in therapeutic range
was 71.4%. After propensity score matching, there was no significant difference
in risk of stroke or systemic embolism between NOAC and warfarin treated
patients. Hazard ratios for major bleeding events were 0.63(95%CI 0.52-0.75) for
apixaban, 0.74(0.62-0.87) for dabigatran and 1.06(0.92-1.23) for rivaroxaban,
compared with warfarin. CONCLUSIONS: This study showed no difference between
apixaban, dabigatran, or rivaroxaban compared to high TTR warfarin treatment
regarding stroke prevention. However, fewer bleeding events were seen for
apixaban and dabigatran, but not for rivaroxaban. Further studies are needed on
the comparability of individual NOACs with respect to bleeding risks.
PMID- 29803982
TI - Heterogeneity of intrahepatic iron deposition in transfusion-dependent iron
overload patients with hematological malignancies.
PMID- 29803983
TI - GlycA and hsCRP are independent and additive predictors of future cardiovascular
events among patients undergoing angiography: The intermountain heart
collaborative study.
AB - BACKGROUND: GlycA is an inflammatory marker that is raised in patients with
cardiometabolic diseases and associated with cardiovascular (CV) events. We
sought to determine if GlycA adds independent value to hsCRP for CV risk
prediction. METHODS: Patients in the Intermountain Heart Collaborative Study who
underwent coronary angiography and had plasma GlycA and hsCRP levels were studied
(n = 2996). Patients were followed for 7.0 +/- 2.8 years. GlycA and hsCRP were
moderately correlated (r = 0.46, P < .0001). GlycA and hsCRP concentrations were
stratified into high and low categories by their median values. Multivariable cox
hazard regression was utilized to determine the associations of GlycA quartiles,
as well as high and low categories of GlycA and hsCRP, with major adverse
cardiovascular events (MACE) defined as the composite of death, myocardial
infarction (MI), heart failure (HF) hospitalization, and stroke. RESULTS: The
highest GlycA quartile was associated with future MACE [HR: 1.43; 95% CI: 1.22
1.69; P < .0001]. Patients with high GlycA and high hsCRP had more diabetes,
hyperlipidemia, hypertension, HF, renal failure and MI, but not coronary artery
disease. High GlycA and hsCRP (H/H) versus low GlycA and hsCRP (L/L) was
associated with MACE, death and HF hospitalization, but not MI or stroke.
Combined MACE rates were 33.5%, 41.3%, 35.7% and 49.1% for L/L, L/H, H/L and H/H
categories of GlycA/hsCRP, respectively (P-trend < .0001). The interaction
between GlycA and hsCRP was significant for the outcome of death (P = .03).
CONCLUSION: In this study, levels of GlycA and hsCRP were independent and
additive markers of risk for MACE, death and HF hospitalization.
PMID- 29803984
TI - Analysis of outcomes for 15,259 US patients with acute myocardial infarction
cardiogenic shock (AMICS) supported with the Impella device.
AB - BACKGROUND: The Impella percutaneous ventricular assist device (PVAD) rapidly
deploys mechanical circulatory support (MCS) in patients with acute myocardial
infarction complicated by cardiogenic shock (AMICS). We present findings from a
quality improvement (IQ) registry for US patients with AMICS who received Impella
devices. METHODS AND RESULTS: From January 2009 to December 2016, 46,949 patients
from 1010US hospitals were entered into the IQ registry; of these, 15,259 had
AMICS. Limited de-identified patient information, product performance, and
survival to explantation were recorded. Of those with AMICS, 51% survived to
explantation of PVAD. There was a significant difference between survival at
explantation with quintile volume at hospitals (range: 0-100%; 30% survival rate
in lowest quintile vs. 76% in top quintile; P<.0001). Use of the Impella device
as first-line treatment pre-PCI was associated with a 59% survival rate, compared
with 52% when used as a salvage strategy (P<.001). The survival rate among those
who received hemodynamic monitoring with pulmonary artery catheters was 63% as
compared with 49% in those who did not (P<.0001). Overall institutional Impella
volume was related to survival (56% survival at sites with >7/year vs. 51% at
sites with <=1; P<.001). CONCLUSIONS: In this early clinical experience with
Impella support for AMICS, wide variation in outcomes existed across centers.
Survival was higher when Impella was used as first support strategy, when
invasive hemodynamic monitoring was used, and at centers with higher Impella
implantation volume.
PMID- 29803985
TI - Design and rationale for the Cardiovascular and Metabolic Effects of Lorcaserin
in Overweight and Obese Patients-Thrombolysis in Myocardial Infarction 61
(CAMELLIA-TIMI 61) trial.
AB - OBJECTIVES: Lorcaserin, a selective serotonin 2C receptor agonist, is an
effective pharmacologic weight-loss therapy that improves several cardiovascular
risk factors. The long-term clinical cardiovascular and metabolic safety and
efficacy in patients with elevated cardiovascular risk are unknown. RESEARCH
DESIGN AND METHODS: CAMELLIA-TIMI 61 (NCT02019264) is a randomized, double-blind,
placebo-controlled, multinational clinical trial designed to evaluate the safety
and efficacy of lorcaserin with regard to major adverse cardiovascular events and
progression to diabetes in overweight or obese patients at high cardiovascular
risk. Overweight or obese patients either with established cardiovascular disease
or with diabetes and at least 1 other cardiovascular risk factor were randomized
in a 1:1 ratio to lorcaserin 10 mg twice daily or matching placebo. The primary
safety objective is to assess for noninferiority of lorcaserin for the composite
end point of cardiovascular death, myocardial infarction, or stroke (major
adverse cardiovascular event [MACE]) (with noninferiority defined as the upper
bound of a 1-sided 97.5% CI excluding a hazard ratio of 1.4) compared with
placebo assessed at an interim analysis with 460 adjudicated events. The efficacy
objectives, assessed at study completion, will evaluate the superiority of
lorcaserin for the primary composite end point of cardiovascular death,
myocardial infarction, stroke, hospitalization for unstable angina, heart
failure, or any coronary revascularization (MACE+) and the key secondary end
point of conversion to diabetes. Recruitment began in January 2014 and was
completed in November 2015 resulting in a total population of 12,000 patients.
The trial is planned to continue until at least 1,401 adjudicated MACE+ events
are accrued and the median treatment duration exceeds 2.5 years. CONCLUSION:
CAMELLIA-TIMI 61 is investigating the safety and efficacy of lorcaserin for MACEs
and conversion to diabetes in overweight or obese patients with established
cardiovascular disease or multiple cardiovascular risk factors.
PMID- 29803986
TI - The CardiAMP Heart Failure trial: A randomized controlled pivotal trial of high
dose autologous bone marrow mononuclear cells using the CardiAMP cell therapy
system in patients with post-myocardial infarction heart failure: Trial rationale
and study design.
AB - BACKGROUND: Heart failure following myocardial infarction is a common, disabling,
and deadly condition. Direct injection of autologous bone marrow mononuclear
cells into the myocardium may result in improved functional recovery, relieve
symptoms, and improve other cardiovascular outcomes. METHODS: CardiAMP-HF is a
randomized, double-blind, sham-controlled, pivotal trial designed to investigate
the safety and efficacy of autologous bone marrow mononuclear cells treatment for
patients with medically refractory and symptomatic ischemic cardiomyopathy. The
primary end point is change in 6-minute walk distance adjusted for major adverse
cardiovascular events at 12 months following treatment. Particularly novel
aspects of this trial include a cell potency assay to screen subjects who have
bone marrow cell characteristics that suggest a favorable response to treatment,
a point-of-care treatment method, a high target dose of 200 million cells, and an
efficient transcatheter intramyocardial delivery method that is associated with
high cell retention. CONCLUSIONS: This novel approach may lead to a new treatment
for those with ischemic heart disease suffering from medically refractory heart
failure.
PMID- 29803988
TI - Comparing caseload and non-caseload midwives' burnout levels and professional
attitudes: A national, cross-sectional survey of Australian midwives working in
the public maternity system.
AB - BACKGROUND: Caseload midwifery has many benefits for women and their babies,
however only around 8% of women receive caseload care in the public maternity
system in Australia. Midwives working within caseload models are required to
provide activity-based care (working on-call, responsively to the needs of their
caseload of women) rather than undertaking shift work. There has been debate
regarding the impact of caseload work on midwives, but recently caseload work has
been associated with higher professional satisfaction and lower burnout when
compared to midwives working in traditional models. However, there continues to
be debate about the impact of caseload on midwives, so further investigation is
needed. DESIGN AND SETTING: A national cross-sectional survey of midwives working
in Australian public hospitals that have birthing services was undertaken. We
explored burnout and midwives' attitudes to their professional role using the
Copenhagen Burnout Inventory and the Midwifery Process Questionnaire,
respectively. Comparisons were made across three groups of midwives: those who
worked in the caseload model, midwives who did not work in this model but worked
in a hospital with a caseload model, and midwives who worked in a hospital
without a caseload model. PARTICIPANTS AND FINDINGS: We received 542 responses
from midwives from 111 hospitals from all Australian states and one of the
territories. Of respondents, 107 midwives worked in a caseload model, 212 worked
in a hospital with a caseload model but did not work in caseload, and 220
midwives worked in a hospital without a caseload model. Midwives working in
caseload had significantly lower burnout scores in the personal and work-related
burnout subscales, and a trend toward lower scores in the client-related burnout
subscale. They also had higher scores across all four subscales of the midwifery
process questionnaire, demonstrating more positive attitudes to their
professional role. KEY CONCLUSIONS: Although concerns have been raised regarding
the impact of caseload midwifery on midwives, this national study found that
midwives working within caseload had a more positive attitude to their work and
lower burnout scores than those not working in the model, compared with both
midwives working in a hospital with a caseload model and midwives working in a
hospital without caseload. This large national study does not support earlier
suggestions that caseload midwifery causes increased burnout. IMPLICATIONS FOR
PRACTICE: Given the benefits of caseload for women and their infants, and the
benefits for midwives found in this study, policy-makers and health care
providers should focus on how the caseload model can be expanded to provide
increased access for both women and midwives.
PMID- 29803989
TI - The intellectual profile of abused and neglected children in the Philippines: An
analysis of SB5 IQ scores of sexually abused, physically abused and neglected
children.
AB - Child abuse and neglect have been associated with cognitive deficits, among other
effects on child development. This study explores the prediction that child abuse
and neglect has an impact on Stanford-Binet Intelligence Scales 5th Edition (SB5)
IQ scores, in relation to gender, age and type of abuse experienced. 300 children
with experiences of abuse and neglect were included in the study, comprising 100
sexually abused, 100 physically abused and 100 neglected children. Overall, all
scores on the SB5 were found to be significantly lower than the minimum average
scores on the test. Verbal IQ (VIQ) scores were likewise found to be
significantly lower than Nonverbal IQ (NVIQ) scores. Full Scale IQ (FSIQ) scores
did not reveal heterogeneity when gender was factored in. Age and type of abuse
(with a moderate effect size) on the other hand, showed significant differences
among groups. Statistical analyses of SB5 Factor Index Scores revealed that
abused children, in general, have significantly higher Visual-Spatial Processing
(VS) and Quantitative Reasoning (QR) scores and lower scores in Knowledge (KN).
There was a large effect size found in such an analysis. Age (with a large effect
size), gender and type of abuse (with moderate effect sizes) give significant
variations to this obtained profile.
PMID- 29803990
TI - Synthetic transcription factors for cell fate reprogramming.
AB - The ability to reprogram cell lineage specification through the activity of
master regulatory transcription factors has transformed disease modeling, drug
screening, and cell therapy for regenerative medicine. Recent advances in the
engineering of synthetic transcription factors to modulate endogenous gene
expression networks and chromatin states have generated a new set of tools with
unique advantages to study and enhance cell reprogramming methods. Several
studies have applied synthetic transcription factors in various cell
reprogramming paradigms in human and murine cells. Moreover, the adaption of
CRISPR-based transcription factors for high-throughput screening will enable the
systematic identification of optimal factors and gene network perturbations to
improve current reprogramming protocols and enable conversion to more diverse,
highly specified, and mature cell types. The rapid development of next-generation
technologies with more robust and versatile functionality will continue to expand
the application of synthetic transcription factors for cell reprogramming.
PMID- 29803987
TI - Rationale and design of the British Heart Foundation (BHF) Coronary Microvascular
Angina (CorMicA) stratified medicine clinical trial.
AB - BACKGROUND: Coronary angiography is performed to assess for obstructive coronary
artery disease (CAD), but "nonobstructive CAD" is a common finding. Microvascular
or vasospastic angina may be relevant, but routine confirmatory testing is not
evidence based and thus rarely performed. AIM: The aim was to assess the effect
of stratified medicine guided by coronary function testing on the diagnosis,
treatment, and well-being of patients with angina and nonobstructive CAD. DESIGN:
The BHF CorMicA trial is a prospective, multicenter, randomized, blinded, sham
controlled trial of stratified medicine (NCT03193294). All-comers referred for
elective coronary angiography for investigation of suspected CAD will be
screened. Following informed consent, eligible patients with angina and
nonobstructive CAD will be randomized 1:1 immediately in the catheter laboratory
to either coronary artery function-guided diagnosis and treatment (intervention
group) or not (control group). Coronary function will be assessed using a
pressure-temperature-sensitive guidewire and adenosine followed by
pharmacological testing with intracoronary acetylcholine. Patients will be
stratified into endotypes with linked therapy. The primary outcome is change in
Seattle Angina Questionnaire score at 6 months. Secondary outcomes include
safety, feasibility, diagnostic utility (impact on diagnosis and diagnostic
certainty), and clinical utility (impact on treatment and investigations). Health
status is a key secondary outcome assessed according to the following domains:
quality of life, treatment satisfaction, illness perception, physical activity,
and anxiety-depression score. Patients with obstructive disease who are not
randomized will form a registry group who will be followed up as a comparator for
secondary outcomes including health status. Health and economic outcomes will be
evaluated in the longer term using electronic health record linkage. VALUE:
CorMicA is a proof-of-concept clinical trial of a disruptive stratified
intervention with potential benefits to patients and health care providers.
PMID- 29803991
TI - Novel approach to temozolomide resistance in malignant glioma: connexin43
directed therapeutics.
AB - Resistance of malignant glioma, including glioblastoma (GBM), to the
chemotherapeutic temozolomide (TMZ) remains a key obstacle in treatment
strategies. The gap junction protein connexin43 (Cx43) has complex roles in the
establishment, progression, and persistence of malignant glioma. Recent findings
demonstrate that connexins play an important role in the microenvironment of
malignant glioma and that Cx43 is capable of conferring chemotherapeutic
resistance to GBM cells. Carboxyl-terminal Cx43 peptidomimetics show therapeutic
promise in overcoming TMZ resistance via mechanisms that may include modulating
junctional activity between tumor cells and peritumoral cells and/or downstream
molecular signaling events mediated by Cx43 protein binding. High levels of intra
tumor and inter-tumor heterogeneity make it difficult to clearly define specific
populations for Cx43-targeted therapy; hence, development of in vitro models that
better mimic the microenvironment of malignant glioma, and the incorporation of
patient-derived stem cells, could provide opportunities for patient-specific drug
screening. This review summarizes recent advances in understanding the roles of
Cx43 in malignant glioma, with a special focus on tumor microenvironment, TMZ
resistance, and therapeutic opportunity offered by Cx43 peptidomimetics.
PMID- 29803992
TI - Rational development of nanomedicines for molecular targeting in periodontal
disease.
AB - Recent advances in understanding the etiology and pathogenesis of periodontal
disease and polymicrobial synergy in the dysbiotic oral microbial community
endorsed novel therapeutic targets and assured further improvement in periodontal
disease treatment. Moreover, understanding of the events at the molecular level
inspired the researchers to alleviate the stress from the disease by applying the
bottom-up approach and delivering the drugs at the site of action, using
nanoscale medicines. This review is focused on promising strategies for rational
design of nanopaharmaceuticals for periodontal disease treatment based on novel
therapeutic targets and the potential of advanced concepts for inflammation
cascade targeting. Due to their size, nanomedicines are capable to interact with
the elements of the immune system through cell receptor binding and to
subsequently influence specific intracellular signaling pathways activation. They
might also interfere with different signaling molecules continuously involved in
the disease progression, in order to abolish cell activation and block the
production of proinflammatory substances. Different biomacromolecules can be
trafficked to the site of action using nanomedicines for gene targeting: i) decoy
oligodeoxynucleotide (ODN) for suppression of NF-kappaB transcription activity,
ii) DNA therapeutics for modulation of cell inflammatory response and iii) siRNA
for cytokine production silencing. However, despite the potential of the
nanotechnology for improvement of periodontal disease treatment, the translation
of nano-drug delivery systems to clinical therapy is hindered by the lack of
standard procedures for proper safety and efficacy profile evaluation.
PMID- 29803993
TI - The overview of channels, transporters, and calcium signaling molecules during
amelogenesis.
AB - Enamel is a highly calcified tissue. Its formation requires a progressive and
dynamic system for the regulation of electrolyte concentration by enamel
epithelia. A critical function of enamel epithelial cells, ameloblasts, is the
secretion and movement of electrolytes via various channels and transporters to
develop the enamel tissue. Enamel formation generates protons, which need to be
neutralised. Thus, ameloblasts possess a buffering system to sustain mineral
accretion. Normal tooth formation involves stage-dependent net fluctuations in pH
during amelogenesis. To date, all of our information about ion transporters in
dental enamel tissue is based solely on immunostaining-expression techniques.
This review critically evaluates the current understanding and recent discoveries
and physiological role of ion channels and transporters, Mg2+ transporters, and
Ca2+ regulatory proteins during amelogenesis in enamel formation. The ways in
which ameloblasts modulate ions are discussed in the context of current research
for developing a novel morphologic-functional model of enamel maturation.
PMID- 29803994
TI - Design, synthesis and X-ray crystallography of selenides bearing
benzenesulfonamide moiety with neuropathic pain modulating effects.
AB - A series of selenides bearing benzensulfonamide were investigated as inhibitors
of the metalloenzyme carbonic anhydrase (CA, EC 4.2.1.1). Potent inhibitory
action, in the low nanomolar range, was detected against isoforms hCA II and VII,
which are known to be involved in neuropathic pain modulation. These selenides
showed on the other hand moderate inhibition against the cytosolic isoforms hCA I
and transmembrane hCA IX. X-ray crystallographic data of two derivatives bound to
hCA II allowed us to rationalize the excellent inhibitory data. In a mice model
of neuropathic pain induced by oxaliplatin, some of the strong CA II/VII
inhibitors induced a long lasting pain relieving effect.
PMID- 29803995
TI - Two new Cu(II) dipeptide complexes based on 5-methyl-2-(2'-pyridyl)benzimidazole
as potential antimicrobial and anticancer drugs: Special exploration of their
possible anticancer mechanism.
AB - In the search for more effective anticancer drugs with less toxic side effects,
dipeptides were introduced into the Cu(II) complex of 5-methyl-2-(2'
pyridyl)benzimidazole (HPBM). Analytical and spectroscopic techniques were
employed to thoroughly characterize complexes [Cu(Gly-gly)(HPBM)(H2O)]ClO4.0.5H2O
(1) and [Cu(Gly-L-leu)(HPBM)(H2O)]ClO4 (2) (where Gly-gly = Glycyl-glycine anion,
Gly-L-leu = Glycyl-l-leucine anion). The solution stability studies performed by
ultraviolet-visible (UV-Vis) spectroscopy confirmed the stability of the
complexes in the buffer solutions. The DNA binding affinity was evaluated using
multi-spectroscopy, viscosity measurement and molecular docking methods and
further quantified by Kb and Kapp values, revealing an intercalative mode.
Moreover, gel electrophoresis analysis revealed that the complexes could damage
CT DNA through a hydroxyl radical pathway in the presence of ascorbic acid. All
the complexes displayed favorable antimicrobial and cytotoxic activities toward
the tested microorganisms (Bacillus subtilis, Staphylococcus aureus, Escherichia
coli and Pseudomonas aeruginosa) and cancer cells (A549, HeLa and PC-3). Most
importantly, the possible anticancer mechanism of the complexes was explored by
determining the cells morphological changes, intracellular reactive oxygen
species (ROS) levels, location in mitochondria, mitochondrial membrane potentials
and the expression of Bcl-2 family proteins. The results showed that the
complexes could induce apoptosis in HeLa cells through an ROS-mediated
mitochondrial dysfunction pathway, which was accompanied by the regulation of Bcl
2 family proteins.
PMID- 29803997
TI - Parents attending to nurse visits and birth age contribute to infant development:
A study about the determinants of infant development.
AB - Life experiences and parenting play an important role in infant development. To
prevent developmental risks and support parents in their educational role, it is
important to identify the determinants of infant development. In this study, we
investigate the association between child, maternal, family and social variables,
and infant development, as well as we investigate the determinants of infant
development. A sample of 86 healthy infants and their mothers participated in
this study. At 11-months, infant development was assessed with Schedule of
Growing Skills II (SGSII). To assess mother-infant quality of interaction, the
dyads were observed in free play at 12-months using CARE-Index. Maternal
sensitivity and infant cooperative behavior were correlated with SGSII global
scores and sub-scales. Infant development was associated with maternal years of
education, number of siblings, birth weight or risks in pregnancy. Number of
nurse visits attended by parents during the infant first year and birth age were
determinants of infant development.
PMID- 29803998
TI - Conventional MRI scan and DTI imaging show more severe brain injury in neonates
with hypoxic-ischemic encephalopathy and seizures.
AB - BACKGROUND: Neonates with hypoxic-ischemic encephalopathy (HIE) and seizures have
poorer outcome for undetermined reasons. AIMS: Our aim was to determine if brain
imaging was more abnormal in neonates with HIE and electrographically confirmed
seizures and whether this was impacted by seizure burden. STUDY DESIGN: Single
center retrospective review. SUBJECTS: Forty-eight term neonates with HIE (with
and without seizures) underwent MRI brain scans before age 14 days between the
years 2008 and 2013. OUTCOME MEASURES: Images were rated using a MRI injury score
and fractional anisotropy (FA) values were extracted from diffusion tensor
imaging (DTI). RESULTS: The seizure group (n = 25) had significantly more injury
within white matter, basal ganglia, posterior limb of internal capsule, and
watershed areas compared to the group without seizures (n = 23). The severity of
injury in all measured areas increased with increasing seizure severity. The
seizure group also had lower FA values in posterior limb of the internal capsule
and the splenium of corpus callosum. CONCLUSIONS: Neonates with HIE and seizures
had more brain injury that occurred in areas typically affected by HIE and was
greater with higher seizure burden. Seizures may be a marker of more severe brain
injury or seizures themselves may amplify brain damage from HIE.
PMID- 29803996
TI - SAR studies on truxillic acid mono esters as a new class of antinociceptive
agents targeting fatty acid binding proteins.
AB - Fatty acid binding proteins (FABPs) serve as critical modulators of
endocannabinoid signaling by facilitating the intracellular transport of
anandamide and whose inhibition potentiates anandamide signaling. Our previous
work has identified a novel small-molecule FABP inhibitor, alpha-truxillic acid 1
naphthyl monoester (SB-FI-26, 3) that has shown efficacy as an antinociceptive
and anti-inflammatory agent in rodent models. In the present work, we have
performed an extensive SAR study on a series of 3-analogs as novel FABP
inhibitors based on computer-aided inhibitor drug design and docking analysis,
chemical synthesis and biological evaluations. The prediction of binding affinity
of these analogs to target FABP3, 5 and 7 isoforms was performed using the
AutoDock 4.2 program, using the recently determined co-crystal structures of 3
with FABP5 and FABP7. The compounds with high docking scores were synthesized and
evaluated for their activities using a fluorescence displacement assay against
FABP3, 5 and 7. During lead optimization, compound 3l emerged as a promising
compound with the Ki value of 0.21 MUM for FABP 5, 4-fold more potent than 3 (Ki,
0.81 MUM). Nine compounds exhibit similar or better binding affinity than 3,
including compounds 4b (Ki, 0.55 MUM) and 4e (Ki, 0.68 MUM). Twelve compounds are
selective for FABP5 and 7 with >10 MUM Ki values for FABP3, indicating a safe
profile to avoid potential cardiotoxicity concerns. Compounds 4f, 4j and 4k
showed excellent selectivity for FABP5 and would serve as other new lead
compounds. Compound 3a possessed high affinity and high selectivity for FABP7.
Compounds with moderate to high affinity for FABP5 displayed antinociceptive
effects in mice while compounds with low FABP5 affinity lacked in vivo efficacy.
In vivo pain model studies in mice revealed that exceeding hydrophobicity
significantly affects the efficacy. Thus, among the compounds with high affinity
to FABP5 in vitro, the compounds with moderate hydrophobicity were identified as
promising new lead compounds for the next round of optimization, including
compounds 4b and 4j. For select cases, computational analysis of the observed
SAR, especially the selectivity of new inhibitors to particular FABP isoforms, by
comparing docking poses, interaction map, and docking energy scores has provided
useful insights.
PMID- 29803999
TI - Delivery of small interfering RNA against Nogo-B receptor via tumor-acidity
responsive nanoparticles for tumor vessel normalization and metastasis
suppression.
AB - Nogo-B receptor (NgBR) plays fundamental roles in regulating angiogenesis,
vascular development, and the epithelial-mesenchymal transition (EMT) of cancer
cells. However, the therapeutic effect of NgBR blockade on tumor vasculature and
malignancy is unknown, investigations on which requires an adequate delivery
system for small interfering RNA against NgBR (NgBR siRNA). Here a surface charge
switchable polymeric nanoparticle that was sensitive to the slightly acidic tumor
microenvironment was developed for steady delivery of NgBR siRNA to tumor
tissues. The nanoformulation was constructed by conjugating 2, 3-dimethylmaleic
anhydride (DMMA) molecules to the surface amines of micelles formed by cationic
co-polymer poly(lactic-co-glycolic acid)2-poly(ethylenimine) and subsequent
absorption of NgBR siRNAs. The nanoparticles remained negatively charged in
physiological condition and smartly converted to positive surface charge due to
tumor-acidity-activated shedding of DMMA. The charge conversion facilitated
cellular uptake of siRNAs and in turn efficiently depleted the expression of NgBR
in tumor-bearing tissues. Silencing of NgBR suppressed endothelial cell migration
and tubule formation, and reverted the EMT process of breast cancer cells.
Delivery of the nanoformulation to mice bearing orthotopic breast carcinoma
showed no effect on tumor growth, but led to remarkable decrease of distant
metastasis by normalizing tumor vessels and suppressing the EMT of breast cancer
cells. This study demonstrated that NgBR is a promising therapeutic target in
abnormal tumor vasculature and aggressive cancer cells, and the tumor-responsive
nanoparticle with the feature of charge transformation offers great potential for
tumor-specific delivery of gene therapeutics.
PMID- 29804000
TI - A multifunctional nanotheranostic for the intelligent MRI diagnosis and
synergistic treatment of hypoxic tumor.
AB - Hypoxia, as an inevitable characteristic of solid tumors, has been regarded as a
noticeably causative factor to therapeutic resistance and metastatic variants.
Exploring novel theranostics to realize the accurate diagnosis of hypoxia and the
simultaneous implementation of effective therapy is a promising prospect for the
successful treatment of tumors. In the present study, we designed and synthesized
a multifunctional rattle-structured nanotheranostic, with the inner core coated
by hollow mesoporous silica for chemical drug Doxorubicin (DOX) storage and
hypoxia-sensitive MnO2 enrichment. In various acidic micro-environments caused by
hypoxia, MnO2 nanosheets could be degraded into manganese ions (Mn2+), which were
chelated by the modified Tetraxetanum (DOTA) ligands for real-time T1-magnetic
resonance imaging (T1-MRI), with on-demand DOX release to realize both normoxia
and hypoxia-sensitive chemotherapy by overcoming hypoxia. Nanotheranostics
integrating hypoxia-driven T1-MRI with synergetic chemotherapy have tremendous
potential in the intelligent diagnosis, personalized treatment and excellent
prognosis of solid tumors in the future.
PMID- 29804001
TI - Carbon nanotube multilayered nanocomposites as multifunctional substrates for
actuating neuronal differentiation and functions of neural stem cells.
AB - Carbon nanotubes (CNTs) have shown potential applications in neuroscience as
growth substrates owing to their numerous unique properties. However, a key
concern in the fabrication of homogeneous composites is the serious aggregation
of CNTs during incorporation into the biomaterial matrix. Moreover, the
regulation mechanism of CNT-based substrates on neural differentiation remains
unclear. Here, a novel strategy was introduced for the construction of CNT
nanocomposites via layer-by-layer assembly of negatively charged multi-walled
CNTs and positively charged poly(dimethyldiallylammonium chloride). Results
demonstrated that the CNT-multilayered nanocomposites provided a potent
regulatory signal over neural stem cells (NSCs), including cell adhesion,
viability, differentiation, neurite outgrowth, and electrophysiological
maturation of NSC-derived neurons. Importantly, the dynamic molecular mechanisms
in the NSC differentiation involved the integrin-mediated interactions between
NSCs and CNT multilayers, thereby activating focal adhesion kinase, subsequently
triggering downstream signaling events to regulate neuronal differentiation and
synapse formation. This study provided insights for future applications of CNT
multilayered nanomaterials in neural fields as potent modulators of stem cell
behavior.
PMID- 29804002
TI - Ecotoxicity evaluation of natural suspended particles using the microalga,
Euglena gracilis.
AB - As vectors for pollutants, suspended particles (SPs) have been studied for many
years. However, limited studies have focused on the ecotoxicity of natural SPs.
This study examined ecotoxicity of natural SPs isolated from Gonghu Bay and its
Ecological Restoration Area (ERA) water samples by Tangential Flow Filtration
(TFF) using the microalga Euglena gracilis as a model organism. Effects of SPs on
algae growth, photosynthesis pigment contents, superoxide dismutase (SOD)
activity and DNA damage were characterized to determine the effects of ecological
restoration. Additionally, SPs were separated into nanoscale (<1 MUm diameter)
and common-scale (>=1 MUm diameter) groups by size, to compare the differences in
toxicity of SPs with different sizes. We found, in naturally occurring
concentrations in Gonghu Bay, nanoscale SPs were more toxic than common-scale
ones. However, no significant adverse effects were detected in the nanoscale SPs
from the ERA, which demonstrated that ecological restoration might reduce the
toxicity of nanoscale SPs. The results were supported by the inhibition of
growth, SOD activities and DNA damage, while no adverse influences were detected
on pigment contents of E. gracilis in all the treated groups. Our study provides
new insights into the toxic effects of SPs.
PMID- 29804003
TI - The imbalanced anterior and posterior default mode network in the primary
insomnia.
AB - Neuroimaging studies have demonstrated that the brain is altered both
structurally and functionally in patients with primary insomnia (PI). However,
most previous hypothesis-driven studies of PI might bias observations. Here, we
use global functional connectivity density (gFCD), a hypothesis-free approach, to
investigate the brain functional alteration in patients with PI. Forty-eight
patients with PI and 51 matched healthy control (HC) subjects were recruited and
underwent a resting state functional magnetic resonance imaging (fMRI) scan.
Analyses of group differences of gFCD in the whole brain and the clinical
significances of abnormal brain function were conducted. Compared with the HC
group, patients with PI showed increased gFCD in the executive control network,
salience network, dorsal attention network, and visual network. Interestingly,
the gFCD in the anterior default mode network (DMN) is increased in the PI group,
whereas the gFCD in the posterior DMN is decreased. In addition, the increased
gFCD in the insula is associated with higher Pittsburgh Sleep Quality Index
scores in the PI group. The gender and duration of disease have no significant
effects on abnormal gFCD network. This study is the first attempt to explore the
gFCD feature in patients with PI. Our findings support the hyperarousal model of
insomnia and suggest an imbalanced neural spontaneous fluctuation between
anterior and posterior DMN in the resting state in patients with PI. These
findings expand our understanding of the neuropathological mechanism of primary
insomnia.
PMID- 29804005
TI - Evidence for the interaction of peroxiredoxin-4 with the store-operated calcium
channel activator STIM1 in liver cells.
AB - Ca2+ entry through store-operated Ca2+ channels (SOCs) in the plasma membrane
(PM) of hepatocytes plays a central role in the hormonal regulation of liver
metabolism. SOCs are composed of Orai1, the channel pore protein, and STIM1, the
activator protein, and are regulated by hormones and reactive oxygen species
(ROS). In addition to Orai1, STIM1 also interacts with several other
intracellular proteins. Most previous studies of the cellular functions of Orai1
and STIM1 have employed immortalised cells in culture expressing ectopic proteins
tagged with a fluorescent polypeptide such as GFP. Little is known about the
intracellular distributions of endogenous Orai1 and STIM1. The aims are to
determine the intracellular distribution of endogenous Orai1 and STIM1 in
hepatocytes and to identify novel STIM1 binding proteins. Subcellular fractions
of rat liver were prepared by homogenisation and differential centrifugation.
Orai1 and STIM1 were identified and quantified by western blot. Orai1 was found
in the PM (0.03%), heavy (44%) and light (27%) microsomal fractions, and STIM1 in
the PM (0.09%), and heavy (85%) and light (13%) microsomal fractions.
Immunoprecipitation of STIM1 followed by LC/MS or western blot identified
peroxiredoxin-4 (Prx-4) as a potential STIM1 binding protein. Prx-4 was found
principally in the heavy microsomal fraction. Knockdown of Prx-4 using siRNA, or
inhibition of Prx-4 using conoidin A, did not affect Ca2+ entry through SOCs but
rendered SOCs susceptible to inhibition by H2O2. It is concluded that, in
hepatocytes, a considerable proportion of endogenous Orai1 and STIM1 is located
in the rough ER. In the rough ER, STIM1 interacts with Prx-4, and this
interaction may contribute to the regulation by ROS of STIM1 and SOCs.
PMID- 29804004
TI - The rheology of polyvinylpyrrolidone-coated silica nanoparticles positioned at an
air-aqueous interface.
AB - Particle-stabilized emulsions and foams are widely encountered, as such there
remains a concerted effort to better understand the relationship between the
particle network structure surrounding droplets and bubbles, and the rheology of
the particle-stabilized interface. Poly(vinylpyrrolidone)-coated silica
nanoparticles were used to stabilize foams. The shear rheology of planar particle
laden interfaces were measured using an interfacial shear rheometer and the
rheological properties measured as a function of the sub-phase electrolyte
concentration and surface pressure. All particle-laden interfaces exhibited a
liquid-like to solid-like transition with increasing surface pressure. The
surface pressure-dependent interfacial rheology was then correlated to the formed
micron-scale structures of the particle-laden interfaces which were imaged using
a Brewster angle microscope. With the baseline knowledge established, foams were
prepared using the same composite particles and the particle network structure
imaged using cryo-SEM. An attempt has been made to correlate the two structures
observed at a planar interface and that surrounding a bubble to elucidate the
likely rheology of the bubble stabilizing particle network. Independent of the
sub-phase electrolyte concentration, the resulting rheology of the bubble
stabilizing particle network was strongly elastic and appeared to be in a
compression state at the region of the L-S phase transition.
PMID- 29804006
TI - Diversity of olfactory structures: A comparative study of antennal sensilla in
Trichoptera and Lepidoptera.
AB - The antenna is the main sensory organ of insects, housing different types of
sensilla dedicated to detect chemical cues, motion, humidity and temperature.
Sensilla are divided into different types based on their wall structure and
morphology. Among the olfactory sensilla, there is an enormous variation in the
numbers and morphological types present in different insect taxa. The reasons for
this variation remain obscure, though there may be a correlation between
sensillum morphology and the characteristics of the stimulus that the olfactory
sensory neurons inside the sensillum detect. Here, we report the first
comparative analysis of the morphology and ultrastructure of sensilla from
Rhyacophila nubila (Rhyacophilidae: Trichoptera) and three species of
Lepidoptera, Eriocrania semipurpurella (Eriocraniidae), Lampronia capitella
(Prodoxidae), and Bicyclus anynana (Nymphalidae), which use different chemical
types of pheromones. Our results, together with a thorough literature review,
suggest a shift in major types of olfactory sensilla, from a high proportion of
sensilla placodea or auricillica in Trichoptera and the most basal moth lineages
(including Eriocraniidae), respectively, to sensilla trichodea in the more
derived Lepidoptera (including Prodoxidae and the Ditrysia clade), which
parallels the change in the types of sex pheromones used.
PMID- 29804007
TI - Do exerciser weight status and perceived motivation predict instructors'
motivation and beliefs about the exerciser? A test of motivation contagion
effects.
AB - We examined how fitness professionals' perceptions of a hypothetical exerciser's
motivation and weight status impacted the professionals' motivation to instruct,
perceived effectiveness of different interpersonal behaviors toward the
exerciser, and beliefs about the exerciser's efficacy to overcome barriers to
exercise. Results of a 2 (autonomous vs. controlled exerciser motivation) x 2
(normal weight vs. overweight exerciser) between-subjects experimental design
showed that fitness professionals (N = 134) were more autonomously motivated to
instruct, perceived autonomy-supportive behaviors as more effective, and had
stronger beliefs regarding the exerciser's efficacy when the exerciser was
portrayed as having autonomous motivation, compared to controlled motivation.
Fitness professionals reported higher levels of controlled motivation to instruct
and perceived controlling behaviors as more effective when presented with the
overweight exerciser, compared to the normal weight exerciser. Our findings
suggest that perceptions of exercisers' motivation and body weight can influence
fitness professionals' interactions with and beliefs about their clients.
PMID- 29804008
TI - Chronic sequelae and severe complications of norovirus infection: A systematic
review of literature.
AB - Norovirus causes an estimated 18% of all cases of acute gastroenteritis worldwide
and is found to be associated with mortality. To create a first overview of
severe complications and chronic sequelae of norovirus infections, a systematic
review of literature was performed. Of 3928 individual hits, 176 publications
remained for data extraction. Study periods varied between 1974 and 2017, though
strongly skewed towards the last decade (n = 122, 70%). Countries of studies were
worldwide, though Africa, and Carribean, Central and South America were
underrepresented. Strong evidence was found for chronic diarrhea in
immunocompromised patients, affecting 9%-100% of investigated cohorts. The
duration of chronic diarrhea varied from four weeks up to nine years, leading to
either wasting, weight loss or failure to thrive in a third of the reported cases
(224). Other complications with significant evidence were necrotizing
enterocolitis (NEC) in preterm infants associated with norovirus infection (8
papers), and benign infantile convulsions with gastroenteritis (BICG; 19 papers).
Studies on norovirus infection and inflammatory bowel disease (IBD) mostly
concluded against this association (5 of 7). The remaining papers mentioned a
large variety of possible sequelae or complications. Based on the available
literature, chronic norovirus diarrhea is the major sequela of norovirus
infection in primary immune deficient, oncologic and transplant patients.
Norovirus infection - like other gastrointestinal pathogens - can cause a range
of sequelae and complications, and should be considered in the differential
diagnosis of these manifestations.
PMID- 29804009
TI - Patient and health system factors associated with hospital readmission in older
adults without cognitive impairment.
AB - OBJECTIVE: To study the factors associated with hospital readmission. METHODS:
Data used in this study came from a population-based survey of older adults
without cognitive impairment. Cox regression was used to assess the factors
associated with readmission within a 2-year follow-up period. According to
Andersen's model of healthcare seeking behavior, study variables considered
included predisposing, enabling and need factors at the individual and health
system levels. RESULTS: Of the 433 participants with an index hospitalization,
97% were discharged with a physical and 3% with a psychiatric disorder. During
follow-up, 29% (128/433) were readmitted with a median time to readmission
reaching 83 days. The risk of readmission was associated with the following: age,
marital status, attraction index of the region of residence for psychiatric
services, the presence of an anxio-depressive and other mental disorder, as well
as a disorder of the musculoskeletal system. The presence of a physical and
psychiatric comorbidity was also associated with readmission. CONCLUSIONS: Post
discharge follow-up of vulnerable populations with a history of mental disorders
and improved availability of psychiatric services in the community are associated
with a reduced risk of readmission.
PMID- 29804010
TI - Carbon-nanotube-doped Pd-Ni bimetallic three-dimensional electrode for
electrocatalytic hydrodechlorination of 4-chlorophenol: Enhanced activity and
stability.
AB - A novel composite bimetallic electrode, palladium-nickel/multi-walled carbon
nanotubes/graphite felt (Pd-Ni/MWCNTs/GF), was synthesized for the
electrocatalytic hydrodechlorination of 4-chlorophenol (4-CP). GF with a three
dimensional structure was used as the electrode substrate, and doped with MWCNTs,
which can improve the GF conductivity and serve as a skeleton for metal loading.
Ni and Pd were deposited on the electrode surface stepwise to obtain a well
aligned, highly active and stable Pd-Ni/MWCNTs/GF electrode. The Pd-Ni/MWCNTs/GF
cathode showed a high reactivity for the electrocatalytic hydrodechlorination of
4-CP; up to 100% removal of 4-CP was achieved within 30 min, and followed pseudo
first-order kinetics with a rate constant of 0.162 min-1. Compared with other
cathodes, the Pd-Ni/MWCNTs/GF electrode showed superior performance in 4-CP
reduction. Excessive current will lower the reaction efficiency and current
efficiency because of hydrogen evolution, and acidic solution conditions are more
conducive to electrocatalytic reactions. Experiments confirmed that the Ni had a
small amount of loss under acidic conditions but remained stable under neutral
and alkaline conditions, whereas the loss of Pd for different pH values was
constantly low. In cycle tests, the bimetallic electrode exhibits a better
reactivity and stability than the single-metal Pd electrode in the long-term.
PMID- 29804011
TI - Praxis as a catalyst for nursing reform.
PMID- 29804012
TI - The role of dissolved organic carbon concentration and composition on nickel
toxicity to early life-stages of the blue mussel Mytilus edulis and purple sea
urchin Strongylocentrotus purpuratus.
AB - Nickel (Ni) emissions resulting from production and transportation raise concerns
about the impact of Ni exposure to marine ecosystems. Ni bioavailability models
are established for FW systems, but the influence of chemical parameters (e.g.
dissolved organic carbon (DOC)) on Ni toxicity within marine systems is less well
understood. To examine the effects of DOC concentration and composition on Ni
toxicity, acute toxicity tests were conducted on early life-stages of blue
mussels (Mytilus edulis) and sea urchin embryos (Strongylocentrotus purpuratus)
in full strength sea water (32 ppt). Nine different field collected samples of
water with varying concentration (up to 4.5 mg C/L) and composition of DOC were
collected from the east coast of the United States. Organic matter compositional
analysis included molecular fluorescence and absorbance spectroscopy. The
different DOC sources had different protective effects against embryo toxicity.
The control (no DOC) Ni 48 h-EC50 for Mytilus embryos was 133 ug/L (95%
confidence interval (C.I.) of 123-144 ug/L), while Strongylocentrotus embryos
displayed control 96-h EC50 values of 207 ug/L (167-247 ug/L). The most
significantly protective sample had high humic acid concentrations (as determined
from fluorescence spectroscopy), which yielded an EC50 of 195 ug/L (169-222 ug/L)
for Mytilus, and an EC50 of 394 ug/L (369-419 ug/L) for S. purpuratus. Among all
samples, protection was related to both DOC quantity and quality, with
fluorescence-resolved humic and fulvic acid concentrations showing the strongest
correlations with protection for both species. These data suggest that DOC is
protective against Ni toxicity in M. edulis and S. purpuratus, and that
accounting for a DOC quality factor will improve predictive toxicity models such
as the biotic ligand model.
PMID- 29804013
TI - Enhancer assisted-phytoremediation of mercury-contaminated soils by Oxalis
corniculata L., and rhizosphere microorganism distribution of Oxalis corniculata
L.
AB - The present study investigated remediation of mercury-contaminated soils using
Oxalis corniculata L. combined with various enhancers (sodium thiosulfate,
ammonium thiosulfate, ethylenediaminetetraacetic acid and
diethylenetriaminepentaacetic acid). The experiment was conducted using Oxalis
corniculata seedlings planted in pots containing mercury loaded soils.
Investigations included analysis of soil properties, plant growth conditions,
ability of the plants to accumulate and extract mercury, and rhizosphere
microorganism distribution. The maximal mercury content of the aerial parts and
the mercury-translocation ratio of Oxalis corniculata treated with enhancers
increased compared to Oxalis corniculata without enhancers. Compared with no
enhancers, the theoretical reduction in phytoremediation time was about 50%, 25%,
20% and 21% when Oxalis corniculata was treated with sodium thiosulfate
(Na2S2O3), ammonium thiosulfate ((NH4)2S2O3), ethylenediaminetetraacetic acid
(EDTA) and diethylenetriaminepentaacetic acid (DTPA), respectively. The results
indicated that the dominant species in rhizosphere soils varied with different
enhancers. However, the evenness of background soils, rhizosphere soils of Oxalis
corniculata, Oxalis corniculata treated with Na2S2O3, (NH4)2S2O3, EDTA and DTPA
was not largely different at 0.62, 0.61, 0.57, 0.64, 0.61 and 0.63, respectively.
These findings demonstrate that Oxalis corniculata treated with Na2S2O3 has the
potential to recover and reclaim mercury-contaminated soils in pots.
PMID- 29804014
TI - Occurrence, distribution and ecological risks of organophosphate esters and
synthetic musks in sediments from the Hun River.
AB - The Hun River is an important main tributary of the Liao River system. It is
located in northeast China, and provides water resources for agriculture and
industry. A man made reservoir (Dahuofang Reservoir, DHF) has been constructed
mid-stream in the Hun River, supplying drinking water to surrounding cities.
Pollution from organic contaminants is of great concern. In the present study, 40
sediment samples were collected and analyzed for the occurrence and distribution
of two groups of emerging organic pollutants; namely, organophosphate esters
(OPs) and synthetic musks (SMs). In all samples taken from upstream of the Hun
River (UHR), downstream of the Hun River (DHR), and from DHF, the following
concentrations were recorded: 0.141-4.39, 1.21-245, and 0.117-0.726 ug/kg
galaxolide (HHCB), and 0.098-3.82, 2.79-213, 0.430-0.956 ug/kg tonalide (AHTN),
respectively. For OPs, seven target analytes were detected in most of the
sediment samples, with chlorinated OPs Tris-(2-chloroethyl) phosphate and Tris(2
chloro-isopropyl) phosphate being the dominant components, at levels varied in
the range of LOD-0.810, ND-49.6, and 0.532-3.18 ug/kg, and LOD-0.786, ND-60.1,
and 0.352-1.32 ug/kg from UHR, DHR and DHF, respectively. The elevated levels of
these target compounds were detected in DHR, including its two main tributaries,
Xi River and Pu River, which drain through cities with industrial development and
dense populations. Our results indicate that domestic and industrial wastewater
contributed to OPs and SMs sediment pollution, posing low to medium ecological
risks to sediment dwelling organisms.
PMID- 29804015
TI - Assessment of labile Zn in reservoir riparian soils using DGT, DIFS, and
sequential extraction.
AB - The middle route of the South-to-North Water Diversion project alleviates drought
in northern China, especially reducing water shortage pressure in Beijing.
However, after submersion, the potential release risk of metals in newly
submerged soils into the water in the receiving reservoir remains unclear. Here,
we assess the labile Zn in the riparian soils of Miyun Reservoir (MYR) using the
diffusive gradients in thin films (DGT), DGT-induced fluxes in soils (DIFS)
model, and Community Bureau of Reference (BCR) sequential extraction. The results
showed that the average Zn concentrations at three sampling sites (S2, S3, and
S5) exceeded soil background value (74.8 mg/kg), indicative of Zn accumulation in
the MYR. The concentrations of DGT-labile Zn varied within 39.7-62.4 MUg/L
(average: 56.7 MUg/L), with the greatest value observed at 145 m at sampling site
S3, attributed to anthropogenic activities in recreational areas. The DGT-labile
Zn showed no correlation with classes of land, elevations, or soil properties.
Sequential extraction results demonstrated that Zn predominantly existed in the
residual fraction, but still showed a strong capability for resupply from the
solid phase (R >1). The DIFS model simulation results showed that Zn underwent
irreversible diffusion of intra-particle metals from the solid phase to the soil
solution. Therefore, the potential release risk of labile Zn in riparian soils in
MYR cannot be ignored, especially for areas experiencing human disturbance.
PMID- 29804016
TI - Assessment of the exposure to heavy metals and arsenic in captive and free-living
black kites (Milvus migrans) nesting in Portugal.
AB - Due to their high trophic level, raptor species may serve as important indicators
of environmental contamination by heavy metals. This study was conducted to
determine if the habitat of the black kite (Milvus migrans) is contaminated by
heavy metals and arsenic and to assess the degree and type of exposure that may
be present. For this purpose, this study was conducted on a group of captive
birds (n = 12) and on a group of free-living birds admitted to two wildlife
rehabilitation centers (n = 31). Blood samples were taken for analysis of arsenic
(As), mercury (Hg) and lead (Pb) concentrations by inductively coupled plasma
mass spectrometry (ICP-MS). Captive birds had the lowest blood concentrations for
all toxic elements examined, but significant differences from the concentrations
found in free-living birds were only observed for Hg and Pb (p < 0.01). Arsenic
concentrations were almost three times higher in free-living birds (4.521 +/-
5.695 ug/dl) then in captive birds (1.566 +/- 0.753 ug/dl). In all the samples of
captive birds' mercury was not detected, while in free-living birds we observed a
concentration of 7.493 +/- 8.464 ug/dl (p < 0.01). Regarding lead, we observed a
concentration almost four-fold higher in free-living birds (19.430 +/- 29.294
ug/dl) then in captive birds (4.449 +/- 1.987 ug/dl) (p < 0.01). Therefore,
available sources of Pb and Hg seem to be present in the habitat of the black
kite.
PMID- 29804017
TI - Effect of the exogenous anthocyanin extract on key metabolic pathways and
antioxidant status of Brazilian elodea (Egeria densa (Planch.) Casp.) exposed to
cadmium and manganese.
AB - Present study deals with the effect of 24 h pre-incubation with exogenous
anthocyanins (ANTH), extracted from red cabbage leaves, on key metabolic
processes (photosynthesis and respiration) and pro-/antioxidant balance in the
aquatic macrophyte Egeria densa (Planch.) Casp., Hydrocharitaceae family, treated
with Cd and Mn (in sulfate form) at a concentration of 100 MUmol. After five days
of metal treatments, Cd was accumulated and the damage caused to metabolic
processes was stronger than Mn. In Cd-treated leaves, the protein level,
chlorophyll concentration and maximal photochemical efficiency of PS II decreased
twofold, and net-photosynthesis was significantly inhibited, whereas lipid
peroxidation and H2O2 production increased. In turn, protective responses
developed, including an increase in the total soluble thiols, alternative
respiratory pathway capacity and the activity of superoxide dismutase and
peroxidases. Pre-incubation in the ANTH-enriched extract caused an increase in
foliar ANTH content, enhanced Cd and reduced Mn uptake into the tissue. A
decrease in the level of oxidative reactions, an increase in the protein and
chlorophyll concentration compared to the control values and a partial
improvement of the photosynthetic parameters confirmed the ability of ANTH to
reduce Cd-induced damage effects and to mitigate ROS-driven stress reactions.
Stimulation of catalase and ascorbate peroxidase activity, an alternative
respiration capacity and non-enzymatic antioxidant (carotenoids, ascorbate and
proline) synthesis by ANTH were also revealed. These data suggest that ANTH
enriched extract from red cabbage leaves has a protective action against metal
toxicity in Egeria plants.
PMID- 29804018
TI - A systematic methodology for the robust quantification of energy efficiency at
wastewater treatment plants featuring Data Envelopment Analysis.
AB - This article examines the potential benefits of using Data Envelopment Analysis
(DEA) for conducting energy-efficiency assessment of wastewater treatment plants
(WWTPs). WWTPs are characteristically heterogeneous (in size, technology,
climate, function ...) which limits the correct application of DEA. This paper
proposes and describes the Robust Energy Efficiency DEA (REED) in its various
stages, a systematic state-of-the-art methodology aimed at including exogenous
variables in nonparametric frontier models and especially designed for WWTP
operation. In particular, the methodology systematizes the modelling process by
presenting an integrated framework for selecting the correct variables and
appropriate models, possibly tackling the effect of exogenous factors. As a
result, the application of REED improves the quality of the efficiency estimates
and hence the significance of benchmarking. For the reader's convenience, this
article is presented as a step-by-step guideline to guide the user in the
determination of WWTPs energy efficiency from beginning to end. The application
and benefits of the developed methodology are demonstrated by a case study
related to the comparison of the energy efficiency of a set of 399 WWTPs
operating in different countries and under heterogeneous environmental
conditions.
PMID- 29804019
TI - Reducing phosphorus (P) losses from drained agricultural fields with iron coated
sand (- glauconite) filters.
AB - In north-west Europe, agricultural diffuse P losses are a major cause of
eutrophication problems in surface waters. Given that the Water Framework
Directive (WFD) demands fast water quality improvements and most of the actual P
mitigation strategies tend to work on the long run, new short-term mitigation
measures are urgently needed. We here report on the entire process of developing
small scale field filters to remove P at the end of tile drains, starting from
the screening of potential P sorbing materials (PSM): iron coated sand (ICS),
acid pre-treated natural minerals (biotite, glauconite and olivine) and bauxite.
Initial batch (ad)sorption experiments revealed following order in both, P
sorption capacity and speed: ICS > bauxite > glauconite > olivine = biotite.
Because of the presence of significant amounts of lead and/or nickel, we excluded
bauxite and olivine from further experiments. Subsequent lab scale flow through
systems were conducted with P filters containing mixtures of ICS and glauconite
(100/0, 90/10, 80/20, 70/30 and 60/40%, respectively, on weight basis). We found
a significant relationship between Ksat and the filter mixtures particle size
distribution and bulk density, and a significant effect of the filter mixture
composition on P removal efficiency and stability of Ksat. During the 10 week
field trials, the pure ICS filters were capable of processing all drainage
discharge rates (up to 6 m3 day-1) with a P removal efficiency of >=74%. The
90/10 ICS/glauconite filters could process up to 4 m3 water day-1 with a P
removal efficiency of 57%. As saturated ICS filters can easily be replaced and
recycled for other applications, this is a promising sustainable technique to
drastically cut back diffuse P losses and to tremendously improve surface water
quality in the short term.
PMID- 29804020
TI - Morphospecies-dependent disaggregation of colonies of the cyanobacterium
Microcystis under high turbulent mixing.
AB - Preventing formation of large colonies and reducing colony size of the
cyanobacterium Microcystis may lead to reductions in bloom formation. Here we
investigated the effects of artificial mixing on morphology and disaggregation
dynamics of Microcystis colonies in vivo, using a stirring device and a laser
particle analyzer. The turbulent dissipation rate (epsilon) was varied from 0.020
to 0.364 m2 s-3. We hypothesized that colonies of M. aeruginosa and M.
ichthyoblabe would be more susceptible to disaggregation from turbulent mixing
than colonies of M. wesenbergii. Our results showed that colony size of M.
aeruginosa and M. ichthyoblabe decreased with increased turbulence intensity and
duration of stirring for epsilon > 0.094 m2 s-3, while M. wesenbergii showed less
obvious changes in colony size with mixing. Spherical M. wesenbergii colonies
exposed to high turbulence intensities for 30 min gradually transitioned to
colony morphologies similar to M. ichthyoblabe and M. aeruginosa-like colonies
(irregular, elongated or lobed, with distinct holes). Our results suggest that
turbulent mixing is an important factor driving morphological changes of
Microcystis colonies, and artificial mixing may effectively reduce colony size of
Microcystis, thereby preventing bloom formation.
PMID- 29804021
TI - Electron bifurcation mechanism and homoacetogenesis explain products yields in
mixed culture anaerobic fermentations.
AB - Anaerobic fermentation of organic wastes using microbial mixed cultures is a
promising avenue to treat residues and obtain added-value products. However, the
process has some important limitations that prevented so far any industrial
application. One of the main issues is that we are not able to predict reliably
the product spectrum (i.e. the stoichiometry of the process) because the complex
microbial community behaviour is not completely understood. To address this
issue, in this work we propose a new metabolic network of glucose fermentation by
microbial mixed cultures that incorporates electron bifurcation and
homoacetogenesis. Our methodology uses NADH balances to analyse published
experimental data and evaluate the new stoichiometry proposed. Our results prove
for the first time the inclusion of electron bifurcation in the metabolic network
as a better description of the experimental results. Homoacetogenesis has been
used to explain the discrepancies between observed and theoretically predicted
yields of gaseous H2 and CO2 and it appears as the best solution among other
options studied. Overall, this work supports the consideration of electron
bifurcation as an important biochemical mechanism in microbial mixed cultures
fermentations and underlines the importance of considering homoacetogenesis when
analysing anaerobic fermentations.
PMID- 29804023
TI - A personalised exercise programme for individuals with lower limb amputation
reduces falls and improves gait biomechanics: A block randomised controlled
trial.
AB - BACKGROUND: Lower limb amputees (LLAs) are at increased risk of falling due to
the inherent asymmetry resulting from their limb loss, muscle weakness and other
neuro-musculoskeletal limitations. RESEARCH QUESTION: The aim of this study was
to evaluate the effects of a personalised exercise programme on falls prevention
and gait parameters in LLAs. METHODS: Fifteen LLAs, recruited from their local
prosthetic services centre, were block randomised, by age and level of
amputation, into two groups: exercise group (transfemoral, n = 5; transtibial, n
= 2) and control group (transfemoral, n = 5; transtibial, n = 3). The exercise
group completed a 12-week programme, focusing on strength, balance, flexibility
and walking endurance, delivered in group sessions at the University, and
combined with a personalised home exercise programme. Temporal-spatial, 3D
kinematic and kinetic gait parameters were collected at baseline and post
intervention. Falls incidence was also followed up at 12 months. RESULTS: The
exercise group experienced significantly fewer falls in the one-year period from
baseline, compared with the average annual falls rate, obtained at baseline (P =
0.020; d = 1.54). Gait speed in the exercise group increased by 0.21 m?s-1, to
0.98 m?s-1 (P < 0.001; d = 0.91), through increased intact limb cadence. In the
pre-swing phase, there were significant increases in intact limb peak vertical
force, and affected limb peak propulsive (anterior) force for the exercise group.
Power absorption and generation significantly increased at both the intact and
affected hip joints (H3) and the intact ankle (A1 and A2) for the exercise group,
resulting in significant group*time interactions. SIGNIFICANCE: This is the first
study to document the clinically meaningful benefits of an exercise intervention
for falls prevention and gait performance in LLAs. Specialised exercise
programmes for community-dwelling LLAs should be implemented as a method to
reduce falls and improve walking performance in this population.
PMID- 29804022
TI - Impact of inorganic ions and natural organic matter on arsenates removal by
ferrate(VI): Understanding a complex effect of phosphates ions.
AB - Arsenic compounds are carcinogenic to humans and are typically removed from
contaminated water using various sorbents. The ionic composition plays a
significant role in arsenate removal efficiency during the process of water
remediation. Here, we quantify the effects of natural ions (chlorides, nitrates,
carbonates, sulfates, and phosphates) and humic acid on the removal of arsenates
by ferrate(VI) at pH = 6.6. In the experiments, the initial concentration of
arsenates was 10 mg L-1 (as As) and the concentrations of ions varied in the
range from 5 to 100 mg L-1 of element in ionic form and humic acid. The achieved
results show that only phosphate ions had principle influence on the efficiency
of arsenate removal by ferrate(VI). The effect of phosphates was elucidated by
applying transmission electron microscopy, energy-dispersive X-ray spectroscopy,
and low temperature in-field 57Fe Mossbauer spectroscopy to solid samples,
prepared under different weight ratios of ferrate(VI), arsenates, and phosphates.
These results show three crucial effects of phosphates on the arsenate removal
mechanisms. At low P:As weight ratio (up to 1:1), the incorporation of arsenate
ions into the crystalline structure of gamma-Fe2O3/gamma-FeOOH nanoparticles was
found to be suppressed by the presence of phosphates. Thus, arsenates were mainly
adsorbed onto the surface of gamma-Fe2O3/gamma-FeOOH nanoparticles. Further
increase in the P:As weight ratio (more than 1:1) resulted in the competition
between arsenates and phosphates sorption. With the increased concentration of
phosphate ions, the number of arsenates on the surface of gamma-Fe2O3/gamma-FeOOH
nanoparticles was reduced. Finally, the complexation of iron(III) ions with
phosphate ions occurred, leading to a decrease in the arsenates removal
efficiency, which resulted from a lower content of precipitated gamma-Fe2O3/gamma
FeOOH nanoparticles. All these aspects need to be considered prior to application
of ferrate(VI) for arsenates removal in real natural waters.
PMID- 29804024
TI - Prognostic value of pre-operative neutrophil/lymphocyte ratio, monocyte count,
mean platelet volume, and platelet/lymphocyte ratio in endometrial cancer.
AB - OBJECTIVE: Cancer-related inflammation is associated with poor long-term outcomes
in various solid tumors. The aim of this study is to investigate the prognostic
significance of pre-operative neutrophil lymphocyte ratio (NLR), monocyte count
(MC), mean platelet volume (MPV), and platelet lymphocyte ratio (PLR) in
endometrial cancer. STUDY DESIGN: In this study, 763 patients with endometrial
cancer were evaluated, who were followed between January 1996 and February 2016.
Preoperative values of NLR, MC, MPV, and PLR were evaluated in terms of clinico
pathologic prognostic factors and overall survival (OS). RESULTS: NLR, MC, and
PLR were detected to be statistically significant with regard to advanced stage
of the disease (p = 0.001, p = 0.02, and p = 0.001, respectively), but only MC
was significant in terms of grade (p = 0.035). Higher NLR and PLR values were
found to be associated with advanced stage of the disease, deep myometrial
invasion, cervical involvement, lymphovascular space invasion (LVSI), and nodal
involvement. When the cut-off value was considered as 3, sensitivity and
specificity for NLR were found to be 68% and 69%, respectively, to predict lymph
node metastasis. NLR was found as a prognostic factor for survival (p = 0.01).
Age, the presence of comorbidity, stage, and cervical involvement were determined
to be independent prognostic factors for OS in our cohort. CONCLUSION: NLR was
detected to be a prognostic factor for survival. Moreover, NLR and PLR are a
predictive value for lymph node involvement and also for cervical invasion in
endometrial cancer.
PMID- 29804025
TI - Hysteroscopic enlargement metroplasty for T-shaped uterus: 24 years' experience
at the Strasbourg Medico-Surgical and Obstetrical Centre (CMCO).
AB - STUDY QUESTION: What is the impact of hysteroscopic enlargement metroplasty for T
shaped uterus on the live birth rate? SUMMARY ANSWER: Performing enlargement
metroplasty appears to improve the obstetrical prognosis and fertility in
patients with a T-shaped uterus. WHAT IS KNOWN ALREADY: T-shaped uterus is linked
to an excess of myometrium in the uterine walls giving rise to a subcornual
constriction ring which causes dysmorphism and hypoplasia of the uterine cavity.
It is commonly associated with infertility or a sequence of repeated
miscarriages. STUDY DESIGN: Single-centre observational cohort study in 112
patients who underwent enlargement metroplasty for T-shaped uterus between 1992
and 2016 in a Strasbourg university hospital centre. MAIN RESULTS: The mean age
of patients was 33.2; they had been attempting to conceive on average for 56
months for subfertile patients and 42.2 months for infertile patients. Prior to
surgery, patients had succeeded in becoming pregnant 161 times, i.e. a mean
gravidity of 1.4 pregnancies. For subfertile patients the mean gravidity was
2.67. Mean parity was 0.04. In the overall population, one hundred pregnancies
occurred following enlargement metroplasty. The live birth rate increased in a
statistically significant manner following enlargement metroplasty: 4 (2.5%) vs.
60 (60%), p < 0.05. In parallel, the miscarriage rate was statistically reduced:
126 (78.3%) vs. 22 (22%), pnull< .05. Intraoperative complications were 1 case of
cervical laceration (0.9%) and 1 case of false passage (0.9%). Subsequent
pregnancies remained at risk of miscarriage (22%) and premature delivery (20%)
but not extra uterine gestation. Delivery took place by Caesarean section in 61%
of cases. In the subgroup of infertile patients, the live birth rate was also
markedly increased and 49% of pregnancies which occurred were spontaneous.
LIMITATIONS: This study was descriptive and retrospective. WIDER IMPLICATIONS:
These results are consistent with those in the literature. Hysteroscopic
enlargement metroplasty is now a well-established technique with few
complications but which should nevertheless be reserved for symptomatic patients.
PMID- 29804026
TI - Non-Invasive Prenatal Testing (NIPT) in pregnancies with trisomy 21, 18 and 13
performed in a public setting - factors of importance for correct interpretation
of results.
AB - OBJECTIVES: We have established an open source platform for non-invasive prenatal
testing (NIPT) based on massively parallel whole-genome sequencing in a public
setting. The objective of this study was to investigate factors of importance for
correct interpretation of NIPT results to ensure a high sensitivity and
specificity. STUDY DESIGN: This investigation is a retrospective case-control
study performed in a public NIPT center. The study included 108 aneuploid cases
and 165 euploid controls. MPS was performed on circulating cell-free DNA in
maternal blood. The pipeline included automated library preparation and
sequencing on a HiSeq1500 (Illumina). The software programmes WISECONDOR and
SeqFF were used for data analysis of aneuploidy status and fetal fraction of cell
free DNA, respectively. Lower limit of fetal fraction for aneuploidy testing was
0.02. RESULTS: We identified four false negative aneuploidy cases of which two
were explained by a vanishing twin. The number of no-call cases due to low fetal
fraction was 8 out of 273 (2.9%). The sensitivity and specificity, when no-calls
and vanished twins were excluded, were 100% and 99.5% for T21, 91% and 99.2% for
T18, and 100% and 99.6% for T13. By multiple regression analysis we found a
significant association between fetal fraction and gestational age, maternal BMI
and ART treatment. CONCLUSION: With a non-commercial open source NIPT set-up
having the same high test-performance as reported by large private laboratories,
we show that fetal fraction, a vanishing twin, BMI, gestational age and ART
treatment are important factors in the interpretation of NIPT results.
PMID- 29804027
TI - Obstetrical anal sphincter injuries and symptoms after subsequent deliveries: A
60 patient study.
AB - INTRODUCTION: More than half of women with a history of prior obstetric anal
sphincter injuries (OASIS) will have another pregnancy. Currently, little is
known concerning post-partum perineal symptoms in cases of a subsequent vaginal
delivery. The aim of this study was to assess the frequency of perineal
functional symptoms following a vaginal delivery after OASIS while comparing them
to patients who did not have a subsequent delivery. MATERIAL AND METHOD:
Retrospective cohort study between January 2000 and December 2011. A
questionnaire was sent by post to all women who sustained an OASIS at the
Poitiers University Hospital, France. Perineal functional symptoms and quality of
life were assessed using validated self-administered questionnaires: Female
Pelvic Floor Questionnaire, Pescatori anal incontinence score, EuroQoL five
dimension score, and pain visual analogue scale. RESULTS: 159 women of 237
contacted (67%) responded to the questionnaire, on average 46 months after the
delivery complicated with OASIS. 135 (85%) of women had a 3rd degree laceration
and 24% a 4th degree laceration. 99 women (63%) did not have an ensuing delivery
since the event (OASIS - No Subsequent Delivery: SD-). 60 women (37%) had a
subsequent delivery (OASIS -Subsequent Delivery: SD + ), with 53 (88%) having a
vaginal birth. Among these women, 3 (6%) experienced a recurrent OASIS. The mean
score for perineal symptoms (FPFQ) was 6.95 in the OASIS-SD (-) group and 7.40 in
the OASIS-SD (+) group (p = 0.64). No significant difference in quality of life
(EuroQol 5D) was found between the two groups (p = 0.91). CONCLUSION: We did not
observe a deterioration of perineal functional symptomatology after vaginal
delivery in women with known prior OASIS, compared to women who did not have a
subsequent delivery. Even if the risk of occurrence of these lesions is higher in
women with history of previous OASIS compared to those without perineal injury,
it is still comparable to incidence among primiparous women.
PMID- 29804028
TI - Prevalence of elevated blood lead levels among pregnant women and sources of lead
exposure in rural Bangladesh: A case control study.
AB - Prenatal and early childhood lead exposures impair cognitive development. We
aimed to evaluate the prevalence of elevated blood lead levels (BLLs) among
pregnant women in rural Bangladesh and to identify sources of lead exposure. We
analyzed the BLLs of 430 pregnant women randomly selected from rural communities
in central Bangladesh. Fifty-seven cases were selected with the highest BLLs, >=
7 MUg/dL, and 59 controls were selected with the lowest BLLs, < 2 MUg/dL. An
exposure questionnaire was administered and soil, rice, turmeric, water,
traditional medicine, agrochemical, and can samples were analyzed for lead
contamination. Of all 430 women, 132 (31%) had BLLs > 5 MUg/dL. Most women with
elevated BLLs were spatially clustered. Cases were 2.6 times more likely than
controls to consume food from a can (95% CI 1.0-6.3, p = 0.04); 3.6 times more
likely to use Basudin, a specific brand of pesticide (95% CI 1.6-7.9, p = 0.002);
3.6 times more likely to use Rifit, a specific brand of herbicide (95% CI 1.7
7.9, p = 0.001); 2.9 times more likely to report using any herbicides (95% CI 1.2
7.3, p = 0.02); and 3.3 times more likely to grind rice (95% CI 1.3-8.4, p =
0.01). Five out of 28 food storage cans were lead-soldered. However, there was
minimal physical evidence of lead contamination from 382 agrochemical samples and
129 ground and unground rice samples. Among 17 turmeric samples, one contained
excessive lead (265 MUg/g) and chromium (49 MUg/g). Overall, we found evidence of
elevated BLLs and multiple possible sources of lead exposure in rural Bangladesh.
Further research should explicate and develop interventions to interrupt these
pathways.
PMID- 29804029
TI - The effects of varying the substituent and DNA sequence on the stability of 4
substituted DNA-naphthalimide complexes.
AB - DNA duplexes are stabilized by many interactions, one of which is stacking
interactions between the nucleic acid bases. These interactions are useful for
designing small molecules that bind to DNA. Naphthalimide intercalators have been
shown to be valuable anti-cancer agents that stack between the DNA bases and
exhibit stabilizing effects. There is a continued need to design intercalators
that will exhibit these stabilizing effects while being more selective toward DNA
binding. This work investigates 4-substituted naphthalimides with varying
functional groups and their interactions with nucleic acid duplexes. Mode of
binding was determined via wavelength scans, circular dichroism, and viscosity
measurements. Optical melting experiments were used to measure the absorbance of
the sample as a function of temperature. The Tm values derived from the DNA
duplexes were subtracted from the Tm values derived from the DNA-intercalator
complexes, resulting in DeltaTm values. The DeltaTm values demonstrated that the
substituents on the intercalator affect the stability of the DNA-intercalator
complex. From the results of this study and comparison to results from previous
work, we conclude that the substituent type and position on the core intercalator
molecule affect the stability of the complex it forms with DNA.
PMID- 29804030
TI - A case of Takotsubo syndrome during a multiple sclerosis brainstem relapse.
AB - BACKGROUND: We report a case of a woman affected by relapsing remitting Multiple
Sclerosis who developed acute left ventricular dysfunction in the context of a
severe brainstem relapse, one month after an attempt of in-vitro fertilization.
DISCUSSION: The characteristics of our case are consistent with the hypothesis of
a possible causal association between an acute medulla oblongata lesion and the
occurrence of Takotsubo cardiomyopathy. CONCLUSION: Our case adds to previous
reports in this field and calls for awareness of this exceptional extra
neurological manifestation of MS. It seems also to confirm the possible
association between MS relapses and ovarian stimulation.
PMID- 29804031
TI - The symbolic value of time.
AB - Research on symbolic consumption and status signaling has primarily examined how
consumers spend money on possessions that display their identity and status. We
review research suggesting that the way in which consumers spend their time can
also serve as a form of conspicuous consumption. In particular, we examine status
inferences based on how consumers allocate time between work and leisure, and how
consumers choose to spend their discretionary leisure time. In the past, high
status individuals displayed wealth by wasting time on unproductive leisure
activities; today, long hours of work and lack of leisure time have become a
status symbol. Productivity orientation and the obsession with busyness also
impacts consumers' choice of leisure activities and motivates consumers to seek
collectible experiences in an attempt to build their experiential CV.
PMID- 29804033
TI - Two-stage controlled release system possesses excellent initial and long-term
efficacy.
AB - In this work, a series of polyurea-based lambda-cyhalothrin-loaded microcapsules
(MCs) with three different size distributions (average diameters of 1.35 MUm, MC
S; 5.13 MUm, MC-M; and 21.48 MUm, MC-L) were prepared and characterized. The
results indicated that MCs with a smaller particle size distribution had a faster
release rate and excellent initial efficacy against pests. MC-L had a remarkably
slow incipient release rate, outstanding photostability and better later-stage
efficacy than that of the other tested MCs. The results clarified that the
diameter distribution of MCs is the key factor in determining the release
property and bioactivity of the MC formulations. Subsequently, the binary mixture
MC formulations of MC(+M), MC(S+L) and MC(M+L) were obtained by mixing MC-S, MC-M
or MC-L at 1:1 to establish a two-stage release system utilized for foliar
application situations. Greenhouse and field experiments showed that MC(S+L)
provided an optimal efficacy, and its effective duration was much longer than
that of the emulsifiable concentrate (EC) group. Therefore, the release system
established in this study was simple and workable for regulating the initial and
long-term efficacy by adjusting the particle size distribution; in addition, this
system has potential applications in other fields such as drug delivery devices.
PMID- 29804032
TI - Quantification of microplastic mass and removal rates at wastewater treatment
plants applying Focal Plane Array (FPA)-based Fourier Transform Infrared (FT-IR)
imaging.
AB - This paper presents a method for microplastic (MP) mass quantification using a
Focal Plane Array-based Fourier Transform Infrared imaging technique. It
discusses the issue that particle number is not a conserved base quantity and
hence less suited than mass to compare independent studies on MP in the
environment. It concludes that MP mass should be included when quantifying MP
pollution in the environment, supplementing the conventional approach of
reporting particle numbers. Applying mass as the unit of MP measurement, the
paper presents data showing that Danish wastewater treatment plants discharge
around 3 t/year of MP in the size range 10-500 MUm. This value corresponds to an
annual per capita emission from these plants of 0.56 g MP/(capita year). The
distribution of polymer types by mass and particle number differed because the
size of MP particles of the different material types varied.
PMID- 29804034
TI - Biopotentiality of High Efficient Aerobic Denitrifier Bacillus megaterium S379
for Intensive Aquaculture Water Quality Management.
AB - Excessive nitrite accumulation is a very tough issue for intensive aquaculture. A
high efficient aerobic denitrifier Bacillus megaterium S379 with 91.71+/-0.17% of
NO2--N (65 mg L-1) removal was successfully isolated for solving the problem.
Denitrification of S379 showed excellent environment adaptation that it kept high
nitrite removal ratio (more than 85%) when temperature ranged from 25 degrees C
to 40 degrees C and pH varied between 7.0 and 9.0, and could endure as high as
560 mg L-1 of NO2--N. Immobilization of S379 could enhance denitrification even
when NO2--N adding amount got to 340 mg L-1. Immobilized cells also showed well
pollutants removal performance in aquaculture wastewater treatment. Moreover,
S379 possessed positive hydrolase activities for starch, casein, cellulose and
fat and bore more than 60 ppt of salinity. Totally, all the results revealed
significant potentiality of immobilized S379 applied in aquaculture water quality
management.
PMID- 29804035
TI - Effects of biochar on availability and plant uptake of heavy metals - A meta
analysis.
AB - Biochar can be an effective amendment for immobilizing heavy metals in
contaminated soils but has variable effects depending on its chemical and
physical properties and those of the treated soil. To investigate the range of
biochar's effects on heavy metal accumulation in plants in responses to the
variation of soil, biochar and plant, we carried out a meta-analysis of the
literature that was published before March 2016. A total of 1298 independent
observations were collected from 74 published papers. Results showed that across
all studies, biochar addition to soils resulted in average decreases of 38, 39,
25 and 17%, respectively, in the accumulation of Cd, Pb, Cu and Zn in plant
tissues. The effect of biochar on heavy metal concentrations in plants varied
depending on soil properties, biochar type, plant species, and metal
contaminants. The largest decreases in plant heavy metal concentrations occurred
in coarse-textured soils amended with biochar. Biochar had a relatively small
effect on plant tissue Pb concentrations, but a large effect on plant Cu
concentrations when applied to alkaline soils. Plant uptake of Pb, Cu and Zn was
less in soils with higher organic carbon contents. Manure-derived biochar was the
most effective for reducing Cd and Pb concentrations in plants as compared to
biochars derived from other feedstock. Biochar having a high pH and used at high
application rates resulted in greater decreases in plant heavy metal uptake. The
meta-analysis provides useful guidelines on the range of effects that can be
anticipated for different biochar materials in different plant-soil systems.
PMID- 29804036
TI - Interannual variations in surface urban heat island intensity and associated
drivers in China.
AB - The spatial, diurnal and seasonal variations of surface urban heat islands
(SUHIs) have been investigated in many places, but we still have limited
understanding of the interannual variations of SUHIs and associated drivers. In
this study, the interannual variations in SUHI intensity (SUHII, derived from
MODIS land surface temperature (LST) data (8-day composites of twice-daily
observations), urban LST minus rural) and their relationships with climate
variability and urbanization were analyzed in 31 cities in China for the period
2001-2015. Significant increasing trends of SUHII were observed in 71.0%, 58.1%,
25.8% and 54.8% the cities in summer days (SDs), summer nights (SNs), winter days
(WDs) and winter nights (WNs), respectively. Pearson's correlation analyses were
first performed from a temporal perspective, which were different from a spatial
perspective as previous studies. The results showed that the SUHII in SDs and WDs
was negatively correlated with the background LST and mean air temperature in
most of the cities. The nighttime SUHII in most cities was negatively and
positively correlated with total precipitation and total sunshine duration,
respectively. Average wind speed has little effect on SUHII. Decreasing
vegetation and increased population were the main factors that contributed to the
increased SUHII in SDs and SNs, while albedo only influenced the SUHII in WDs. In
addition, Pearson's correlation analyses across cities showed that cities with
higher decreasing rates of vegetation exhibited higher increasing rates of the
SUHII in SDs and WDs. Cities with larger population growth rates do not
necessarily have higher increasing rates of SUHII.
PMID- 29804038
TI - An examination of the effect of open versus paywalled access publication on the
disseminative impact and citation count of publications in intensive care
medicine and anesthesia.
AB - PURPOSE: We aimed to assess the impact of open access (OA) versus paywalled
access (PA) publication on Altmetric Attention Scores (AAS) and whether AAS
correlates with future citation count access in the context of intensive care
medicine (ICM) and anesthesia. METHODS: 1854 and 2935 publications, in the year
2015, were identified in ICM and anesthesia respectively, using a Pubmed search.
The mean AAS was measured for each article. RESULTS: More ICM articles were OA,
compared to of anesthesia articles (38.9% v 35.0% p = 0.02). The mean AAS for OA
ICM publications was significantly higher than that of PA ICM publications (17.34
vs 8.45, p < 0.01), however, this was not observed when examined in a fixed
follow up time frame. AAS appear to correlate with future citation counts.
CONCLUSIONS: ICM publications that are available as OA in the medium term result
in higher AAS when compared to PA publications, this phenomenon was not observed
in anesthesia. AAS correlate with future citation counts, however, a larger study
is required to confirm this.
PMID- 29804039
TI - Hospital mortality prediction for intermediate care patients: Assessing the
generalizability of the Intermediate Care Unit Severity Score (IMCUSS).
AB - PURPOSE: The Intermediate Care Unit Severity Score (IMCUSS) is an easy to
calculate predictor of in-hospital death, and the only such tool developed for
patients in the intermediate care setting. We sought to examine its external
validity. MATERIALS AND METHODS: Using data from patients admitted to the
intermediate care unit (IMCU) of an urban academic medical center from July to
December of 2012, model discrimination and calibration for predicting in-hospital
death were assessed using the area under the receiver operating characteristic
(AUROC) and the Hosmer-Lemeshow goodness-of-fit chi-squared (HL GOF X2) test,
respectively. The standardized mortality ratio (SMR) with 95% confidence
intervals (95% CI) was also calculated. RESULTS: The cohort included data from
628 unique admissions to the IMCU. Overall hospital mortality was 8.3%. The
median IMCUSS was 10 (Interquartile Range: 0-16), with 229 (36%) patients having
a score of zero. The AUROC for the IMCUSS was 0.72 (95% CI: 0.64-0.78), the HL
GOF X2 = 30.7 (P < 0.001), and the SMR was 1.22 (95% CI: 0.91-1.60). CONCLUSIONS:
The IMCUSS exhibited acceptable discrimination, poor calibration, and
underestimated mortality. Other centers should assess the performance of the
IMCUSS before adopting its use.
PMID- 29804037
TI - Spatial-temporal variations and mineral dust fractions in particulate matter mass
concentrations in an urban area of northwestern China.
AB - PM10 and PM2.5 concentration data were collected from five air-quality monitoring
sites in Lanzhou from October 2014 to October 2015, revealing the spatial
temporal behavior of local particulate matter (PM). The Hybrid Single Particle
Lagrangian Integrated Trajectory Model (HYSPLIT) and the PM2.5-to-PM10 ratio
model were used to investigate the primary transport path, potential source areas
and contributions of the East Asian sandstorm to PM in Lanzhou. The analysis in
three functional areas of the city indicated that the monthly variation in PM2.5
displayed a unimodal U pattern (the highest value was during the heating period),
whereas that of PM10 displayed a bimodal pattern (the primary peak appeared in
the spring, and the secondary peak appeared in the winter). These two patterns
originated from different PM sources. The PM2.5 was primarily affected by human
activities, and the PM10 was influenced by both natural and anthropogenic
activities, but the relative contributions of these activities were associated
with spatial-temporal variations. The daily PM10 and PM2.5 concentration
variations displayed a bimodal pattern in the three functional areas: the peak
values appeared at 11:00-13:00 and 22:00-1:00, respectively, and the lowest
values appeared at 4:00-6:00 and 16:00-18:00, respectively. On the monthly,
seasonal and daily scales, the PM concentrations exhibited similar patterns in
the industrial, urban and rural areas, indicating that they were partly
controlled by the regional natural environment. Meanwhile, due to anthropogenic
factors, considerable PM amounts were discharged into the external environment,
leading to maximum and minimum concentrations of PM appearing in the industrial
and rural areas, respectively. The HYSPLIT model showed that dust storms from the
northwest desert and Gobi regions affected Lanzhou three times in March 2015 and
contributed 68% and 40% of the total mass of PM10 and PM2.5, respectively.
PMID- 29804040
TI - Effectiveness of backward walking training on spatial-temporal gait
characteristics: A systematic review and meta-analysis.
AB - BACKGROUND: Backward walking training (BWT) is thought to affect gait
biomechanics, but relevant evidence has been sparse and inconclusive. OBJECTIVE:
This study systematically reviewed and quantified the effectiveness of BWT on
spatial-temporal gait characteristics (STGC). METHODS: A keyword and reference
search of interventions on BWT was conducted in five bibliographic databases:
PubMed, Web of Science, SPORTDiscus, CINAHL, and Cochrane Library for articles
published until November, 2017. Two reviewers independently screened titles,
abstracts, and full texts of all articles and jointly decided the final pool. A
standardized form was used to extract data from each included article. Meta
analysis was conducted to estimate the pooled effect of BWT on STGC. RESULTS:
Eleven studies met the eligibility criteria and were included in the review. All
studies reported some positive influences of BWT on STGC relative to forward
walking training (FWT) and/or control. Compared to FWT, BWT was associated with
an increase in forward gait speed by 0.69 (95% confidence interval [CI] = 0.12,
1.25) m/s and stride length by 0.51 (95% CI = 0.22, 0.80) cm. Compared to
control, BWT was associated with an increase in forward gait speed by 1.00 (95%
CI = 0.36, 1.64) m/s. CONCLUSIONS: BWT could improve participants' STGC and be
potentially useful in neurological rehabilitation. However, current evidence
remains preliminary. The effects found could merely be due to differences in
training intensity between the FWT and BWT, and thus, may not reflect actual
differences between training in different walking directions. Future studies are
warranted to elucidate the pathways linking BWT to gait biomechanics.
PMID- 29804041
TI - Convex formulation of multiple instance learning from positive and unlabeled
bags.
AB - Multiple instance learning (MIL) is a variation of traditional supervised
learning problems where data (referred to as bags) are composed of sub-elements
(referred to as instances) and only bag labels are available. MIL has a variety
of applications such as content-based image retrieval, text categorization, and
medical diagnosis. Most of the previous work for MIL assume that training bags
are fully labeled. However, it is often difficult to obtain an enough number of
labeled bags in practical situations, while many unlabeled bags are available. A
learning framework called PU classification (positive and unlabeled
classification) can address this problem. In this paper, we propose a convex PU
classification method to solve an MIL problem. We experimentally show that the
proposed method achieves better performance with significantly lower computation
costs than an existing method for PU-MIL.
PMID- 29804042
TI - Motor unit number estimation (MUNE): Where are we now?
AB - Estimation of the number of motor units (MUNE) in specific muscles is important
to monitor outcome in progressive neurogenic disorders, with potential
application in clinical trials. However, in spite of recent developments to
identify the most convenient technique for MUNE, all current methods have
individual shortcomings. It is essential to understand the scientific concepts
that support MUNE and the many methods already proposed. In particular, the core
role of the compound muscle action potential (CMAP) size in the estimation
process is undervalued. Operator-dependent variation in CMAP amplitude or area is
the main factor underlying MUNE stability. At present, MUNIX, as standardized in
many centers, is probably the best accepted method. Future developments should be
based on full understanding of the neurophysiological concepts underlying the
MUNE calculation, in order to find a quick, well-tolerated, operator-friendly and
reliable method to apply more universally in clinical practice.
PMID- 29804043
TI - Do you define the limits of normalcy from looking at the patient or the healthy
subject? - An e-norms reply.
PMID- 29804045
TI - Factors associated with the increasing trend of contralateral prophylactic
mastectomy among patients with ductal carcinoma in situ: Analysis of
Surveillance, Epidemiology, and End Results data.
AB - PURPOSE: Our study aimed to investigate the factors influencing trends of
contralateral prophylactic mastectomy (CPM) among patients with unilateral ductal
carcinoma in situ (DCIS). PATIENTS AND METHODS: We used the Surveillance,
Epidemiology, and End Results (SEER) data to identify patients with unilateral
DCIS diagnosed from 1998 to 2013. Patients were categorized as breast-conserving
surgery (BCS), Unilateral Mastectomy and CPM group. Univariate and multivariate
logistic regressions were applied to assess the factors associated with
undergoing CPM among mastectomy patients. The trends of CPM among mastectomy
patients through year were presented by different subgroups of sociodemographic
and pathological characteristics. RESULTS: Of those, 105326 patients with DCIS
were identified, and 6370 patients underwent CPM. The proportion of CPM was 6.05%
for all surgically-treated patients and 21.09% for mastectomy patients, and it
increased more than six-fold between 1998 and 2013 (from 1.74% to 10.89% for all
surgically-treated patients and from 5.44% to 37.47% for mastectomy patients).
Younger age, white race, married status, smaller tumor size, positive ER and PR
status were significantly associated with higher CPM proportion among mastectomy
patients. The proportion of CPM was increasing through year, and the increasing
trends were obvious in the subgroups of younger, white, married, metropolitan,
with higher bachelor degree and higher median family income patients, while there
were no apparent differences in the trends between subgroups of pathological
characteristics. CONCLUSION: The trends of CPM among mastectomy patients were
increasing through years and influenced by patients' sociodemographic
characteristics, but not pathological characteristics.
PMID- 29804046
TI - Response of microbial populations regulating nutrient biogeochemical cycles to
oiling of coastal saltmarshes from the Deepwater Horizon oil spill.
AB - Microbial communities play vital roles in the biogeochemistry of nutrients in
coastal saltmarshes, ultimately controlling water quality, nutrient cycling, and
detoxification. We determined the structure of microbial populations inhabiting
coastal saltmarsh sediments from northern Barataria Bay, Louisiana, USA to gain
insight into impacts on the biogeochemical cycles affected by Macondo oil from
the 2010 Deepwater Horizon well blowout two years after the accident.
Quantitative PCR directed toward specific functional genes revealed that oiled
marshes were greatly diminished in the population sizes of diazotrophs,
denitrifiers, nitrate-reducers to ammonia, methanogens, sulfate-reducers and
anaerobic aromatic degraders, and harbored elevated numbers of alkane-degraders.
Illumina 16S rRNA gene sequencing indicated that oiling greatly changed the
structure of the microbial communities, including significant decreases in
diversity. Oil-driven changes were also demonstrated in the structure of two
functional populations, denitrifying and sulfate reducing prokaryotes, using nirS
and dsrB as biomarkers, respectively. Collectively, the results from 16S rRNA and
functional genes indicated that oiling not only markedly altered the microbial
community structures, but also the sizes and structures of populations involved
in (or regulating) a number of important nutrient biogeochemical cycles in the
saltmarshes. Alterations such as these are associated with potential
deterioration of ecological services, and further studies are necessary to assess
the trajectory of recovery of microbial-mediated ecosystem functions over time in
oiled saltmarsh sediment.
PMID- 29804044
TI - Feasibility of an EEG-based brain-computer interface in the intensive care unit.
AB - OBJECTIVE: We tested the feasibility of deploying a commercially available EEG
based brain-computer interface (BCI) in the intensive care unit (ICU) to detect
consciousness in patients with acute disorders of consciousness (DoC) or locked
in syndrome (LIS). METHODS: Ten patients (9 DoC, 1 LIS) and 10 healthy subjects
(HS) were enrolled. The BCI utilized oddball auditory evoked potentials,
vibrotactile evoked potentials (VTP) and motor imagery (MoI) to assess
consciousness. We recorded the assessment completion rate and the time required
for assessment, and we calculated the sensitivity and specificity of each
paradigm for detecting behavioral signs of consciousness. RESULTS: All 10
patients completed the assessment, 9 of whom required less than 1 h. The LIS
patient reported fatigue before the end of the session. The HS and LIS patient
showed more consistent BCI responses than DoC patients, but overall there was no
association between BCI responses and behavioral signs of consciousness.
CONCLUSIONS: The system is feasible to deploy in the ICU and may confirm
consciousness in acute LIS, but it was unreliable in acute DoC. SIGNIFICANCE: The
accuracy of the paradigms for detecting consciousness must be improved and the
duration of the protocol should be shortened before this commercially available
BCI is ready for clinical implementation in the ICU in patients with acute DoC.
PMID- 29804047
TI - Short-term effects of fine and coarse particles on deaths in Hong Kong elderly
population: An analysis of mortality displacement.
AB - BACKGROUND: While numerous studies worldwide have evaluated the short-term
associations of fine and coarse particulate matter (PM) air pollution with
mortality and morbidity, these studies may be susceptible to short-term
harvesting effect. We aimed to investigate the short-term association between
mortality and PM with aerodynamic diameter less than 2.5 MUm (PM2.5) and those
between 2.5 and 10 MUm (PMc) within a month prior to death, and assess the
mortality displacement by PM2.5 and PMc among elderly population in Hong Kong.
METHODS: We obtained air pollution data from January 2011 to December 2015 from
Environmental Protection Department, and daily cause-specific mortality data from
Census and Statistical Department of Hong Kong. We performed generalized additive
distributed lag model to examine the acute, delayed and long-lasting effects of
PM2.5 and PMc within one month on mortality. RESULTS: We observed a statistically
significant association of PM2.5 and PMc exposure over lags 0-6 days with all
natural mortality and cardio-respiratory mortality. The overall cumulative effect
of PM2.5 over 0-30 lag days was 3.44% (95% CI: 0.30-6.67%) increase in all
natural mortality and 6.90% (95% CI: 0.58-13.61%) increase of circulatory
mortality, which suggested the absence of mortality displacement by PM2.5. On the
other hand, no significant cumulative association with mortality was found for
PMc over 0-30 lag exposure window, and thus mortality displacement by PMc cannot
be ruled out. Findings remained robust in various sensitivity analyses.
CONCLUSIONS: We found adverse effect of both PM2.5 and PMc exposure within one
week prior to death. While there was no evidence of mortality displacement in the
association of PM2.5 exposure over one month prior with all natural and
circulatory mortality, mortality displacement by PMc cannot be ruled out. PM2.5
may contribute more to the longer term effect of particulate matter than PMc.
PMID- 29804048
TI - A 50-year retrospective of persistent organic pollutants in the fat and eggs of
penguins of the Southern Ocean.
AB - Persistent organic pollutants (POPs) such as dichlorodiphenyltrichloroethanes
(DDTs), hexachlorobenzene (HCB), hexachlorocyclohexanes (HCHs), and
polychlorinated biphenyls (PCBs) have been spreading to Antarctica for over half
a century. Penguins are effective indicators of pelagic concentrations of POPs.
We synthesized the literature on penguins to assess temporal trends of pelagic
contamination in Antarctica, using fat and eggs to monitor changes from 1964 to
2011. DDT/DDE ratios suggest long-range atmospheric transport. Average DDT in fat
(ww) increased from 44 ng g-1 in the 1960s, peaked at 171 ng g-1 in the mid
1980s, and then declined slowly to the present level of 101 ng g-1. Temporal
trends in HCB contamination rose into the 1990s before declining. ?HCHs in fat
was ~5 ng g-1 from 1960 to 1979, peaking at 33 ng g-1 during the period 1980-1989
before declining to ~5 ng g-1 from 1990 to present. PCBs rose substantially from
1970 to 2009 in fat, varying more than DDTs and HCB in both fat and eggs.
Antarctic penguins are good biological indicators of global DDT and HCB
emissions, but the existing data are insufficient regarding HCHs and PCBs.
PMID- 29804049
TI - Particle size distribution mathematical models and properties of suspended solids
in a typical freshwater pond.
AB - Many countries, such as China, today are facing the scarcity and pollution issues
of freshwater resources. Suspended solids, as wastewater contaminants, may
contain components such as nitrogen, phosphorus, heavy metals and pathogens that
are harmful to the environment and human health, it is essential to know the size
distribution regularity of the solids with a view to guiding the management of
freshwater resources for sustainability. Particle size distribution (PSD)
mathematical models and properties of suspended solids in a typical freshwater
pond were investigated in this study. Particle size was measured using a laser
particle size analyzer (measurement range: 0.01-3500 MUm). The power law model
and the variable-beta model were tested for their ability to fit the numeric
distribution of suspended solids; Gaussian (i.e., normal) distribution and log
normal distribution models were used to evaluate the volumetric distribution of
suspended solids. The results showed that: by number, about 80% of the particles
contributed to only 10% of total particle volume, while the remaining 20%
contributed about 90% of the total volume. For numeric distribution, the variable
beta model (R2 = 0.975 +/- 0.011) was better than the power law model (R2 = 0.899
+/- 0.033); for the volumetric distribution, the log-normal distribution model
(R2 = 0.968 +/- 0.020) clearly outperformed the Gaussian distribution model (R2 =
0.655 +/- 0.093). Overall, the variable-beta model and log-normal distribution
were shown to accurately describe the numerical and volumetric distribution of
pond water suspended solids, respectively. PSD model parameters can be related to
some compositions in the wastewater and can provide guidance for suspended solids
further treatment, be it physical, biological, chemical or synthetic methods.
PMID- 29804050
TI - The temporal variation of SO2 emissions embodied in Chinese supply chains, 2002
2012.
AB - Whilst attention is increasingly being focused on embodied pollutant emissions
along supply chains in China, relatively little attention has been paid to
dynamic changes in this process. This study utilized environmental extended input
output analysis (EEIOA) and structural path analysis (SPA) to investigate the
dynamic variation of the SO2 emissions embodied in 28 economic sectors in Chinese
supply chains during 2002-2012. The main conclusions are summarized as follows:
(1) The dominant SO2 emission sectors differed under production and consumption
perspectives. Electricity and heat production dominated SO2 emissions from the
point of view of production, while construction contributed most from the
consumption perspective. (2) The embodied SO2 emissions tended to change from the
path (staring from consumption side to production side): "Services->Services
>Power" in 2002 to the path: "Construction and Manufacturing->Metal and Nonmetal
>Power" in 2012. (3) Metal-driven emissions raised dramatically from 15% in 2002
to 22% in 2012, due to increasing demand for metal products in construction and
manufacturing activities. (4) Power generation was found to result in the
greatest volume of production-based emissions, a burden it tended to transfer to
upstream sectors in 2012. Controlling construction activities and cutting down
end-of-pipe discharges in the process of power generation represent the most
radical interventions in reducing Chinese SO2 emissions. This study shed light on
changes in SO2 emissions in the supply chain, providing a range of policy
implications from both production and consumption perspectives.
PMID- 29804051
TI - Non-linear release dynamics for a CeO2 nanomaterial embedded in a protective wood
stain, due to matrix photo-degradation.
AB - The release of CeO2-bearing residues during the weathering of an acrylic stain
enriched with CeO2 nanomaterial designed for wood protection (Nanobyk brand
additive) was studied under two different scenarios: (i) a standard 12-weeks
weathering protocol in climate chamber, that combined condensation, water
spraying and UV-visible irradiation and (ii) an alternative accelerated 2-weeks
leaching batch assay relying on the same weathering factors (water and UV), but
with a higher intensity of radiation and immersion phases. Similar Ce released
amounts were evidenced for both scenarios following two phases: one related to
the removal of loosely bound material with a relatively limited release, and the
other resulting from the degradation of the stain, where major release occurred.
A non-linear evolution of the release with the UV dose was evidenced for the
second phase. No stabilization of Ce emissions was reached at the end of the
experiments. The two weathering tests led to different estimates of long-term Ce
releases, and different degradations of the stain. Finally, the photo
degradations of the nanocomposite, the pure acrylic stains and the Nanobyk
additive were compared. The incorporation of Nanobyk into the acrylic matrix
significantly modified the response of the acrylic stain to weathering.
PMID- 29804052
TI - Immune aberrations in children with Autism Spectrum Disorder: a case-control
study from a tertiary care neuropsychiatric hospital in India.
AB - Multiple studies have identified the presence of peripheral immune aberrations in
subjects with Autism Spectrum Disorder (ASD). However, comprehensive assessment
of these peripheral immune aberrations, in the cellular and systemic
compartments, in a single group of subjects with ASD is lacking. We assessed
proportions of various subsets of immune cells in peripheral blood (T helper
cells, T regulatory cells, B cells, monocytes, Natural Killer cells, dendritic
cells) by multi-parametric flow cytometry in 50 children with ASD and compared it
with thirty healthy controls matched for age, gender, socio-economic status and
body mass index. There were no significant differences noted in the proportion of
T regulatory cells, B cells, monocytes and Natural Killer cells, between ASD
subjects and controls. On the contrary, the proportion of activated Th17 and
myeloid dendritic cells were significantly higher in children with ASD. Based on
these findings, group comparison of serum levels of Th17 cytokines (interleukin
6, interleukin-17A) was performed. Elevated serum levels of interleukin-6 and
interleukin-17A in children with ASD corroborated our immunophenotyping findings.
We did not find any significant differences among the pro-inflammatory
(interleukin-1beta), Th1 (interferon-gamma) and Th2 (interleukin-4) cytokines.
This is the first evidence with concurrent findings from immunophenotyping and
cytokine data demonstrating activation of the Th17 pathway in subjects with ASD.
This finding assumes significance in the light of recent maternal immune
activation mouse model study that has highlighted the role of Th17 pathway in the
pathophysiology of ASD. Future longitudinal studies are needed to clarify the
role of this dysregulated immune pathway in the development of ASD.
PMID- 29804053
TI - Cetaceans, models for human disease?
PMID- 29804054
TI - Do physicians address their patients' smoking behavior? Results from a nationwide
survey among physicians in Estonia.
AB - OBJECTIVES: To analyze the factors that hinder physicians addressing patients'
smoking behavior in Estonia where relatively high smoking among physicians has
been previously reported. STUDY DESIGN: Cross-sectional study. METHODS: Data from
a nationwide cross-sectional postal survey of professionally active physicians in
Estonia and multinomial logistic regression were used to explore the factors
predicting the frequency (never vs always, often vs always) of addressing
patients' smoking behavior. RESULTS: The majority of physicians had asked about
the smoking behavior of their patients either always (14.2%) or often (75.7%).
Odds of never asking (10.1% of physicians) were higher for dentists, current
smokers, and for those reporting lack of time, habit, or skills. Higher odds for
less frequent (vs always) asking were found for male physicians, medical
residents, and among those reporting lack of time and habit. CONCLUSIONS:
Addressing patients' smoking behavior is associated with physicians' demographic
characteristics, specialty, and smoking status. Also, lack of time, habits, and
skills are common barriers that need to be tackled for more efficient smoking
cessation counseling.
PMID- 29804055
TI - How to do a postgraduate research project and write a minor thesis.
AB - Many universities and colleges in low-income and middle-income countries require
a masters dissertation or thesis for as part of postgraduate training, and some
colleges offer a 1-year to 2-year diploma of child health as a clinical
qualification to enable skills in child health for generalists, or as part of the
early phase of paediatric training. This paper describes the stages of doing a
research project for such a masters or diploma, and describes in detail how to
write a minor thesis. The paper is designed to provide a practical approach for
junior researchers, and their supervisors. Colleges differ in their formal
requirements of a minor thesis (word count, line spacing, referencing style), but
this paper outlines the principles and practical issues rarely covered elsewhere.
PMID- 29804056
TI - Prevalence of tobacco use and perceptions of student health professionals about
cessation training: results from Global Health Professions Students Survey.
AB - INTRODUCTION: Health professionals play an important role in providing advice to
their patients about tobacco prevention and cessation. Health professionals who
use tobacco may be deterred from providing cessation advice and counselling to
their patients. We aimed to provide prevalence estimates of tobacco use among
student health professionals and describe their attitudes towards tobacco
cessation training. METHODS: Country-wise aggregate data from the Global Health
Professions Student Survey on 'current cigarette smoking' (smoking cigarettes on
1 or more days during the past 30 days), and 'current use of tobacco products
other than cigarettes' (chewing tobacco, snuff, bidis, cigars or pipes, 1 or more
days during the past 30 days) were analysed. For each WHO region, we estimated
mean prevalence rates of tobacco use weighted by the population of the sampling
frame and aggregate proportions for 'health professionals' role' and 'cessation
training' indicators using 'metaprop' command on Stata V.11. RESULTS: A total of
107 527 student health professionals participated in 236 surveys done in four
health profession disciplines spanning 70 countries with response rates ranging
from 40% to 100%. Overall, prevalence of smoking was highest in European
countries (20% medical and 40% dental students) and the Americas (13% pharmacy to
23% dental students). Other tobacco use was higher in eastern Mediterranean (10%
23%) and European countries (7%-13%). In most WHO regions, >=70% of the students
agreed that health professionals are role models, and have a role in advising
about smoking cessation to their patients and the public. Only <=33% of all
student health professionals in most WHO regions (except 80% dental students in
the Eastern Mediterranean region) had received formal training on smoking
cessation approaches and >=80% of all students agreed that they should receive
formal cessation training. CONCLUSIONS: Tobacco control should take place
together with medical educators to discourage tobacco use among student health
professionals and implement an integrated smoking cessation training into health
professions' curricula.
PMID- 29804058
TI - Equity and intrapartum care by skilled birth attendant globally: protocol for a
systematic review.
AB - INTRODUCTION: Equity is a cross-cutting theme within the Sustainable Development
Goals (SDGs) and central to the effort to improve maternal and child health
globally. One key strategy to prevent maternal death set out in SDG 3 is
assistance by a skilled birth attendant (SBA) at childbirth (indicator 3.1.2).
However, the increased coverage of SBAs globally has not been reflected by the
same degree of decrease in maternal mortality and has been reported to have
higher levels of inequality than other maternal health interventions. There is a
need to evaluate the extent of inequity in intrapartum care by SBAs and evaluate
themes in determinants of inequity across regions and specific country
characteristics. METHODS AND ANALYSIS: The protocol for this review follows The
Cochrane Handbook for Systematic Reviews and Preferred Reporting Items for
Systematic Reviews and Meta-Analyses with equity extension 2012 guidelines.
Studies of all languages and from all countries from 2004, the year when the
WHO/ICM/FIGO joint statement on SBAs was published, and onwards will be included.
PubMed/MEDLINE, CINAHL Complete, the Cochrane Library, POPLINE, the World Health
Organization (WHO) Global Index Medicus, and grey literature will be searched.
Our primary outcome is intrapartum care by SBA. Studies will be included if they
evaluate equity and its determinants adapted from the Progress-Plus grouping of
characteristics affecting health outcomes. Results will be stratified based on
WHO, World Bank Group income and SDG regional groupings. ETHICS AND
DISSEMINATION: This review is a secondary analysis of published literature and
does not require ethics review. Results will provide information regarding equity
in intrapartum care by SBAs globally and will inform development of indicators
for monitoring of inequity as well as global policy related to intrapartum care
and maternal mortality. Results will be disseminated via peer-reviewed
manuscript, international conferences and stakeholder websites. PROSPERO
REGISTRATION NUMBER: CRD42017069021.
PMID- 29804057
TI - Evaluation of person-level heterogeneity of treatment effects in published
multiperson N-of-1 studies: systematic review and reanalysis.
AB - OBJECTIVE: Individual patients with the same condition may respond differently to
similar treatments. Our aim is to summarise the reporting of person-level
heterogeneity of treatment effects (HTE) in multiperson N-of-1 studies and to
examine the evidence for person-level HTE through reanalysis. STUDY DESIGN:
Systematic review and reanalysis of multiperson N-of-1 studies. DATA SOURCES:
Medline, Cochrane Controlled Trials, EMBASE, Web of Science and review of
references through August 2017 for N-of-1 studies published in English. STUDY
SELECTION: N-of-1 studies of pharmacological interventions with at least two
subjects. DATA SYNTHESIS: Citation screening and data extractions were performed
in duplicate. We performed statistical reanalysis testing for person-level HTE on
all studies presenting person-level data. RESULTS: We identified 62 multiperson N
of-1 studies with at least two subjects. Statistical tests examining HTE were
described in only 13 (21%), of which only two (3%) tested person-level HTE. Only
25 studies (40%) provided person-level data sufficient to reanalyse person-level
HTE. Reanalysis using a fixed effect linear model identified statistically
significant person-level HTE in 8 of the 13 studies (62%) reporting person-level
treatment effects and in 8 of the 14 studies (57%) reporting person-level
outcomes. CONCLUSIONS: Our analysis suggests that person-level HTE is common and
often substantial. Reviewed studies had incomplete information on person-level
treatment effects and their variation. Improved assessment and reporting of
person-level treatment effects in multiperson N-of-1 studies are needed.
PMID- 29804060
TI - Danish method study on cervical screening in women offered HPV vaccination as
girls (Trial23): a study protocol.
AB - INTRODUCTION: The first birth cohorts of women offered human papillomavirus (HPV)
vaccination as girls are now entering cervical screening. However, there is no
international consensus on how to screen HPV vaccinated women. These women are
better protected against cervical cancer and could therefore be offered less
intensive screening. Primary HPV testing is more sensitive than cytology,
allowing for a longer screening interval. The aim of Trial23 is to investigate if
primary HPV testing with cytology triage of HPV positive samples is a reasonable
screening scheme for women offered HPV vaccination as girls. METHODS: Trial23 is
a method study embedded in the existing cervical screening programme in four out
of five Danish regions. Without affecting the screening programme, women born in
1994 are randomised to present screening with liquid-based cytology every third
year (present programme arm) or present screening plus an HPV test (HPV arm). The
study started 1 February 2017 and will run over three screening rounds
corresponding to 7-8 years. ANALYSES: The primary endpoint is cervical
intraepithelial neoplasia grade 3 or above. The trial is undertaken as a non
inferiority study including intention-to-treat and per-protocol analyses. The
potential effect of primary HPV screening with a 6-year interval will be
calculated from the observed data. ETHICS AND DISSEMINATION: The study protocol
has been submitted to the ethical committee and deemed a method study. All women
are screened according to routine guidelines. The study will contribute new
evidence on the future screening of HPV vaccinated birth cohorts of women. All
results will be published in open-access journal. TRIAL REGISTRATION:
NCT03049553; Pre-results.
PMID- 29804059
TI - Assessing whether early attention of very preterm infants can be improved by an
omega-3 long-chain polyunsaturated fatty acid intervention: a follow-up of a
randomised controlled trial.
AB - INTRODUCTION: Docosahexaenoic acid (DHA) accumulates in the frontal lobes
(responsible for higher-order cognitive skills) of the fetal brain during the
last trimester of pregnancy. Infants born preterm miss some of this in utero
provision of DHA, and have an increased risk of suboptimal neurodevelopment. It
is thought that supplementing infants born preterm with DHA may improve
developmental outcomes. The aim of this follow-up is to determine whether DHA
supplementation in infants born preterm can improve areas of the brain associated
with frontal lobe function, namely attention and distractibility. METHODS AND
ANALYSIS: We will assess a subset of children from the N-3 (omega-3) Fatty Acids
for Improvement in Respiratory Outcomes (N3RO) multicentre double-blind
randomised controlled trial of DHA supplementation. Infants born <29 weeks'
completed gestation were randomised to receive an enteral emulsion containing 60
mg/kg/day of DHA or a control emulsion from within the first 3 days of enteral
feeding until 36 weeks' postmenstrual age.Children will undergo multiple measures
of attention at 18 months' corrected age. The primary outcome is the average time
to be distracted when attention is focused on a toy. Secondary outcomes are other
aspects of attention, and (where possible) an assessment of cognition, language
and motor development with the Bayley Scales of Infant and Toddler Development,
Third Edition.A minimum of 72 children will be assessed to ensure 85% power to
detect an effect on the primary outcome. Families, and research personnel are
blinded to group assignment. All analyses will be conducted according to the
intention-to-treat principal. ETHICS AND DISSEMINATION: All procedures were
approved by the relevant institutional ethics committees prior to commencement of
the study. Results will be disseminated in peer-reviewed journal publications and
academic presentations. TRIAL REGISTRATION NUMBER: ACTRN12612000503820; Pre
results.
PMID- 29804061
TI - Antiplatelet therapy in the primary prevention of cardiovascular disease in
patients with chronic obstructive pulmonary disease: protocol of a randomised
controlled proof-of-concept trial (APPLE COPD-ICON 2).
AB - INTRODUCTION: The antiplatelet therapy in the primary prevention of
cardiovascular disease in patients with chronic obstructive pulmonary disease
(APPLE COPD-ICON2) trial is a prospective 2*2 factorial, double-blinded proof-of
concept randomised controlled trial targeting patients with chronic obstructive
pulmonary disease (COPD) at high risk of cardiovascular disease. The primary goal
of this trial is to investigate if treatment with antiplatelet therapy will
produce the required response in platelet function measured using the Multiplate
test in patients with COPD. METHODS AND ANALYSIS: Patients with COPD are screened
for eligibility using inclusion and exclusion criteria. Eligible patients are
randomised and allocated into one of four groups to receive aspirin plus placebo,
ticagrelor plus placebo, aspirin plus ticagrelor or placebo only. Markers of
systemic inflammation, platelet reactivity, arterial stiffness, carotid intima
media thickness (CIMT), lung function and quality of life questionnaires are
assessed. The primary outcome consists of inhibition (binary response) of aspirin
and ADP-induced platelet function at 6 months. Secondary outcomes include changes
in inflammatory markers, CIMT, non-invasive measures of vascular stiffness,
quality of life using questionnaires (EuroQol-five dimensions-five levels of
perceived problems (EQ5D-5L), St. George's COPD questionnaire) and to record
occurrence of repeat hospitalisation, angina, myocardial infarction or death from
baseline to 6 months. Safety outcomes will be rates of major and minor bleeding,
forced expiratory volume in 1 s, forced vital capacity and Medical Research
Council dyspnoea scale. ETHICS AND DISSEMINATION: The study was approved by the
North East-Tyne and Wear South Research Ethics Committee (15/NE/0155). Findings
of the study will be presented in scientific sessions and published in peer
reviewed journals. TRIAL REGISTRATION NUMBER: ISRCTN43245574; Pre-results.
PMID- 29804062
TI - Relevance of MTHFR polymorphisms with response to fluoropyrimidine-based
chemotherapy in oesophagogastric cancer: a meta-analysis.
AB - OBJECTIVE: To evaluate the association between methylenetetrahydrofolate
reductase (MTHFR) polymorphisms and the response to fluoropyrimidine-based
chemotherapy in oesophagogastric cancer. DESIGN: Meta-analysis. METHODS: We
searched PubMed, Embase and Web of Science databases from inception up to October
2017 for relevant studies. The statistical analysis was performed using STATA
V.12.0 software. The pooled ORs and 95% CIs were used to assess the strength of
the association under the allele, dominant and recessive models. We also
conducted subgroup analysis stratified by cancer type, ethnicity and study
design. Additionally, the sensitivity analysis was performed by sequential
omission of individual studies, and the publication bias was detected using both
Begg's test and Egger's test. RESULTS: A total of 2020 patients from 12 studies
were included in this meta-analysis. The results showed that there was no
significant association between MTHFR C677T (rs1801133) and A1298C (rs1801131)
polymorphisms and the clinical response to fluoropyrimidine-based chemotherapy
under all of the three genetic models (T vs C: OR 0.93, 95% CI 0.76 to 1.15; C vs
A: OR 0.88, 95% CI 0.56 to 1.40. CT+TT vs CC: OR 0.94, 95% CI 0.72 to 1.23; AC+CC
vs AA: OR 0.80, 95% CI 0.47 to 1.35. TT vs CC+CT: OR 1.02, 95% CI 0.74 to 1.39;
CC vs AA+AC: OR 1.15, 95% CI 0.50 to 2.67). When stratified by cancer type,
ethnicity or study design, the association was still not significant in all
subgroups. CONCLUSIONS: This meta-analysis suggested that MTHFR polymorphisms
could not be considered as reliable factors for predicting the response to
fluoropyrimidine-based chemotherapy in oesophagogastric cancer.
PMID- 29804063
TI - Cancer recording in patients with and without type 2 diabetes in the Clinical
Practice Research Datalink primary care data and linked hospital admission data:
a cohort study.
AB - OBJECTIVES AND SETTING: Conflicting results from studies using electronic health
records to evaluate the associations between type 2 diabetes and cancer fuel
concerns regarding potential biases. This study aimed to describe completeness of
cancer recording in UK primary care data linked to hospital admissions records.
DESIGN: Patients aged 40+ years with insulin or oral antidiabetic prescriptions
in Clinical Practice Research Datalink (CPRD) primary care without type 1
diabetes were matched by age, sex and general practitioner practice to non
diabetics. Those eligible for linkage to Hospital Episode Statistics Admitted
Patient Care (HES APC), and with follow-up during April 1997-December 2006 were
included. PRIMARY AND SECONDARY OUTCOME MEASURES: Cancer recording and date of
first record of cancer were compared. Characteristics of patients with cancer
most likely to have the diagnosis recorded only in a single data source were
assessed. Relative rates of cancer estimated from the two datasets were compared.
PARTICIPANTS: 53 585 patients with type 2 diabetes matched to 47 435 patients
without diabetes were included. RESULTS: Of all cancers (excluding non-melanoma
skin cancer) recorded in CPRD, 83% were recorded in HES APC. 94% of cases in HES
APC were recorded in CPRD. Concordance was lower when restricted to same-site
cancer records, and was negatively associated with increasing age. Relative rates
for cancer were similar in both datasets. CONCLUSIONS: Good concordance in cancer
recording was found between CPRD and HES APC among type 2 diabetics and matched
controls. Linked data may reduce misclassification and increase case
ascertainment when analysis focuses on site-specific cancers.
PMID- 29804064
TI - Risky business: a longitudinal study examining cigarette smoking initiation among
susceptible and non-susceptible e-cigarette users in Canada.
AB - OBJECTIVES: Given that many adolescent e-cigarette users are never-smokers, the
possibility that e-cigarettes may act as a gateway to future cigarette smoking
has been discussed in various studies. Longitudinal data are needed to explore
the pathway between e-cigarette and cigarette use, particularly among different
risk groups including susceptible and non-susceptible never-smokers. The
objective of this study was to examine whether baseline use of e-cigarettes among
a sample of never-smoking youth predicted cigarette smoking initiation over a 2
year period. DESIGN: Longitudinal cohort study. SETTING: 89 high schools across
Ontario and Alberta, Canada. PARTICIPANTS: A sample of grade 9-11 never-smoking
students at baseline (n=9501) who participated in the COMPASS study over 2 years.
PRIMARY AND SECONDARY OUTCOME MEASURES: Participants completed in-class
questionnaires that assessed smoking susceptibility and smoking initiation.
RESULTS: Among the baseline sample of non-susceptible never-smokers, 45.2% of
current e-cigarette users reported trying a cigarette after 2 years compared with
13.5% of non-current e-cigarette users. Among the baseline sample of susceptible
never-smokers, 62.4% of current e-cigarette users reported trying a cigarette
after 2 years compared with 36.1% of non-current e-cigarette users. Overall,
current e-cigarette users were more likely to try a cigarette 2 years later. This
association was stronger among the sample of non-susceptible never-smokers
(AOR=5.28, 95% CI 2.81 to 9.94; p<0.0001) compared with susceptible never-smokers
(AOR=2.78, 95% CI 1.84 to 4.20; p<0.0001). CONCLUSIONS: Findings from this large,
longitudinal study support public health concerns that e-cigarette use may
contribute to the development of a new population of cigarette smokers. They also
support the notion that e-cigarettes are expanding the tobacco market by
attracting low-risk youth who would otherwise be unlikely to initiate using
cigarettes. Careful consideration will be needed in developing an appropriate
regulatory framework that prevents e-cigarette use among youth.
PMID- 29804065
TI - Variation in minimum desired cardiovascular disease-free longevity benefit from
statin and antihypertensive medications: a cross-sectional study of patient and
primary care physician perspectives.
AB - OBJECTIVE: Expressing therapy benefit from a lifetime perspective, instead of
only a 10-year perspective, is both more intuitive and of growing importance in
doctor-patient communication. In cardiovascular disease (CVD) prevention,
lifetime estimates are increasingly accessible via online decision tools.
However, it is unclear what gain in life expectancy is considered meaningful by
those who would use the estimates in clinical practice. We therefore quantified
lifetime and 10-year benefit thresholds at which physicians and patients perceive
statin and antihypertensive therapy as meaningful, and compared the thresholds
with clinically attainable benefit. DESIGN: Cross-sectional study. SETTINGS: (1)
continuing medical education conference in December 2016 for primary care
physicians;(2) information session in April 2017 for patients. PARTICIPANTS: 400
primary care physicians and 523 patients in the Netherlands. OUTCOME: Months gain
of CVD-free life expectancy at which lifelong statin therapy is perceived as
meaningful, and months gain at which 10 years of statin and antihypertensive
therapy is perceived as meaningful. Physicians were framed as users for lifelong
and prescribers for 10-year therapy. RESULTS: Meaningful benefit was reported as
median (IQR). Meaningful lifetime statin benefit was 24 months (IQR 23-36) in
physicians (as users) and 42 months (IQR 12-42) in patients willing to consider
therapy. Meaningful 10-year statin benefit was 12 months (IQR 10-12) for
prescribing (physicians) and 14 months (IQR 10-14) for using (patients).
Meaningful 10-year antihypertensive benefit was 12 months (IQR 8-12) for
prescribing (physicians) and 14 months (IQR 10-14) for using (patients). Women
desired greater benefit than men. Age, CVD status and co-medication had minimal
effects on outcomes. CONCLUSION: Both physicians and patients report a large
variation in meaningful longevity benefit. Desired benefit differs between
physicians and patients and exceeds what is clinically attainable. Clinicians
should recognise these discrepancies when prescribing therapy and implement
individualised medicine and shared decision-making. Decision tools could provide
information on realistic therapy benefit.
PMID- 29804066
TI - Factors affecting the operating time for complete cyst excision and Roux-en-Y
hepaticojejunostomy in paediatric cases of congenital choledochal malformation: a
retrospective case study in Southeast China.
AB - OBJECTIVE: The aim of this study was to evaluate factors affecting the operating
time for complete cyst excision and Roux-en-Y hepaticojejunostomy in paediatric
cases of congenital choledochal malformation (CCM). DESIGN: A 3-year
retrospective study was undertaken between January 2013 and December 2015 in four
centres in China. SETTING: This involved a retrospective chart review of
paediatric patients with CCM in four large hospitals in Southeast China.
PARTICIPANTS: Sixty-five paediatric patients with CCM were included in this
study. We derived all available information on patient demographics, clinical
characteristics, preoperative complications and surgical methods from the charts
of all these patients. INTERVENTIONS: Univariate and multivariate logistic
regression analyses were used to evaluate factors significantly affecting the
operating time for complete cyst excision and Roux-en-Y hepaticojejunostomy in
paediatric cases of CCM. RESULTS: Twenty-three of the 65 case surgeries were
performed using laparoscopic technique, and 42 surgeries were performed by
conventional open surgery. The median operating time was 215 min (range 120-430
min). The morphological subtype of CCM and the presence of cholecystitis or
cholangitis were the only factors found to affect the operating time (p<0.05).
Logistic regression analysis confirmed cholangitis as an independent risk factor.
CONCLUSIONS: The morphological subtype of CMM and the presence of cholecystitis
or cholangitis are factors affecting the operating time for complete cyst
excision and Roux-en-Y hepaticojejunostomy in paediatric cases of CCM, whereas
cholangitis is an independent risk factor.
PMID- 29804067
TI - Splanchnic Nerve Block for Acute Heart Failure.
PMID- 29804068
TI - Systemic scleroderma-related interstitial pneumonia associated with borderline
pulmonary arterial hypertension.
AB - A 65-year-old woman with a 35-year history of limited cutaneous systemic
scleroderma was admitted to our hospital complaining of a 3-month history of
progressive dyspnoea on exertion. High-resolution CT images of the chest revealed
diffuse reticular opacities and traction bronchiectasis predominantly in the
bilateral lower lobes of the lung. Specimens obtained during video-assisted
thoracic surgery were consistent with fibrocellular non-specific interstitial
pneumonia and accompanied by accumulation of lymph follicles within areas of
fibrosis. Although the patient received combination therapy with prednisolone and
intravenous cyclophosphamide at a dosage of 500 mg/m2 monthly for 5 months, her
clinical condition deteriorated gradually. In addition, right heart
catheterisation revealed borderline pulmonary arterial hypertension with mean
pulmonary artery pressure of 24 mm Hg. Therefore, we initiated a combination
therapy of an antifibrotic agent, pirfenidone for 12 months, and the dual
endothelin receptor antagonist, macitentan, with prednisolone. As a result, her
clinical condition improved dramatically.
PMID- 29804069
TI - Coronary artery fistula and mid-cavitary obstructive hypertrophic cardiomyopathy:
a rare association.
AB - We report a case of a 60-year-old man with a history of angina on exertion (New
York Heart Association Class II) who was found to have mid-cavitary obstructive
hypertrophic cardiomyopathy on two-dimensional echocardiography and whose
coronary angiogram showed right coronary artery-left ventricular fistula, with no
significant coronary atherosclerosis suggestive of ischaemic heart disease. The
patient was started on beta-blocker therapy, and on follow-up his angina
improved. The patient had a benign course and did not have any progressive heart
failure or acute coronary syndrome on follow-up. This case report highlights a
rare association of mid-cavitary obstructive hypertrophic cardiomyopathy with
coronary artery fistula.
PMID- 29804070
TI - Neisseria meningitidis sepsis in a patient with acute epiglottitis and
respiratory failure.
AB - A 78-year-old female patient presented to our emergency department with a 5-day
history of throat pain, hoarseness and a progressive and dolorous submandibular
swelling. Due to non-conclusive clinical examination and the stable but visibly
affected patient, we performed a neck CT scan with intravenous contrast, which
showed the thumbprint sign typical for acute epiglottitis. Within minutes, the
patient's condition deteriorated and the patient was close to respiratory
exhaustion. As intubation was severely complicated by massive swelling of the
supraglottic soft tissue, the patient went into hypoxaemia and eventually cardiac
arrest. After initiating reanimation protocol, eventually the airway was secured
and Return of spontaneous circulation (ROSC) achieved after around 5 min. The
initially sampled blood cultures revealed Neisseria meningitidis bactera emia and
the patient was successfully treated accordingly. In patients with swelling of
the upper airway, rapid clinical deterioration is possible. Diagnostics should
not delay therapy, including administration of empiric antibiotics, steroids and
intubation.
PMID- 29804071
TI - Successful pregnancies and reduced treatment requirement while breast feeding in
a patient with congenital hypoparathyroidism due to homozygous c.68C>A null
parathyroid hormone gene mutation.
AB - A female patient with consanguineous parents presented at the age of 4 with
isolated hypoparathyroidism due to a parathyroid hormone (PTH) gene mutation. She
was managed with alfacalcidol and calcium supplements, and developed normally.
Her consanguineous parents described symptoms suggestive of hypocalcaemia but had
normal serum calcium and low normal PTH levels. A molecular diagnosis obtained in
her adulthood revealed the presence of homozygous point mutation (c.68C>A) in
exon 2 introducing a premature stop codon resulting in a non-functional precursor
protein. This mutation has been reported only once before. Our patient remained
on stable doses of alfacalcidol during pregnancy, but stopped all supplementation
while breast feeding. This case confirms that alternative mechanisms (likely
breast-derived parathyroid hormone-related protein) contribute to calcium
homeostasis during breast feeding. Heterozygotes for the c.68C>A mutation may
have latent hypoparathyroidism and maintain calcium homeostasis except during
prolonged hypocalcaemia. This would suggest incomplete dominance, or a dose
effect of the wild-type PTH allele.
PMID- 29804072
TI - Thromboatheromatous coarctation of the aorta diagnosed with intraoperative TOE
during emergent open aneurysm clipping.
AB - A woman in her 50s presenting for emergent intracranial surgery was discovered to
have a large incongruity in blood pressure between her right arm and her other
extremities. Intraoperative rescue transoesophageal echocardiography (TOE)
revealed a large thromboatheromatous burden in her descending aorta resulting in
a functional coarctation. Usually diagnosed via CT imaging, we present what we
believe to be the first published case diagnosed intraoperatively using TOE.
After the diagnosis was made, blood pressure goals were adjusted to provide
sufficient perfusion distally and her surgery was completed otherwise
uneventfully.
PMID- 29804073
TI - Bronchiolitis obliterans organising pneumonia as an initial manifestation in a
patient with systemic lupus erythematosus: a rare presentation.
AB - Bronchiolitis obliterans organising pneumonia as an initial manifestation of
systemic lupus erythematosus (SLE) is a rare and uncommon presentation. We
describe a case of SLE presenting with shortness of breath, found to have
pneumothorax, bilateral nodular infiltrates along with pleural effusions and
pericardial effusion. Work-up suggested a diagnosis of active SLE with anaemia,
thrombocytopenia, positive antinuclear antibodies (ANAs) and positive anti-double
stranded DNA. On retrospective review of patient records, from 8 years prior to
presentation, lung biopsy histology consistent with bronchiolitis obliterans
organising pneumonia with positive ANA serology was found, without any further
autoimmune work-up. In our opinion, bronchiolitis obliterans organising pneumonia
was the index presentation of SLE. Treatment with steroids and subsequent
management with immunosuppressive therapy could have prevented subsequent
hospitalisations. Prompt work-up for autoimmune diseases should be considered in
patients with positive ANA and histological evidence of bronchiolitis obliterans
organising pneumonia.
PMID- 29804074
TI - Metastatic ductal carcinoma of the breast to colonic mucosa.
AB - Breast cancer is the most common malignancy among women, while invasive ductal
carcinoma is the most common type of invasive breast cancer. Metastatic spread to
the colon and rectum in breast cancer is rare. This report describes a case of a
69-year-old woman with metastatic ductal breast cancer to the rectosigmoid,
presenting as an incidental finding on screening colonoscopy. The breast
carcinoma was first diagnosed 2 years prior. Colonic biopsies from colonoscopy
confirmed metastatic adenocarcinoma consistent with a breast primary. Ultimately
her clinical condition worsened as she developed malignant ascites, a small bowel
obstruction, and new bone metastases, and the patient succumbed to her illness.
Cases of metastatic breast cancer to the gastrointestinal tract have
predominantly been lobular breast carcinoma. Increased awareness of colonic
metastasis may lead to more accurate diagnosis and earlier systemic treatment.
PMID- 29804075
TI - Approach to rectal foreign body: an unusual presentation.
AB - Foreign bodies in the rectum are commonly confronted worldwide in the surgical
emergency. Such a situation arises either accidentally or for autoerotic
purposes. A wide variety of foreign objects have been reported in the literature
and this usual object would add to the reports for its unusual location. We
report a case of a 26-year-old young man with accidental insertion of hand shower
in the rectum and no signs of any active bleed or peritonism. Extraction was done
successfully in the operating room under general anaesthesia, with an uneventful
postprocedure period and the patient was discharged after 48 hours of
observation. Rectal foreign bodies can be of wide variety and can lead to life
threatening conditions. An orderly approach is required for diagnosis, management
and evaluation in the postprocedure period.
PMID- 29804076
TI - Uterine torsion and subsequent rupture in a gravid bicornuate uterus associated
with an elevated alpha-fetoprotein.
AB - Uterine torsion is a rare obstetric complication with a non-specific
presentation. We describe a patient with a bicornuate uterus and a pregnancy
complicated by a markedly elevated second-trimester maternal serum alpha
fetoprotein (MSAFP), intermittent pelvic pain and fetal growth restriction. At 24
weeks gestational age, she presented to labour and delivery with an acute
abdomen. A subsequent exploratory laparotomy revealed torsion and rupture of her
right uterine horn. Uterine torsion can be difficult to diagnose because it is
not associated with specific symptoms or characteristic imaging findings. In
patients with a bicornuate uterus who present with abdominal pain, an elevated
mid-trimester MSAFP may be a harbinger of placental ischaemia as a result of
uterine torsion.
PMID- 29804077
TI - Seronegative enteropathy and malnutrition: a diagnostic challenge.
AB - A 21-year-old woman presented with a 2-week history of vomiting, diarrhoea and
epigastric pain, with 9 kg weight loss over the last two months. Laboratory tests
were normal with negative coeliac serology. Duodenal biopsies revealed total
villous atrophy, crypt hypertrophy and intraepithelial lymphocytosis. A diagnosis
of seronegative coeliac disease was made, and she started a gluten-free diet.
However, she did not respond and her weight fell to 30.6 kg (body mass index 11),
becoming dependent on parenteral nutrition. Her diagnosis was reconsidered and
the histology reviewed. The histopathological features were of severe active
chronic duodenitis. By diagnosis of exclusion, with the absence of other clear
pathology, she was treated as Crohn's disease. She responded to third-line
therapy with biologics. In this case, the patient had refractory villous atrophy
and the mucosal features, in addition to response with anti-tumour necrosis
factor therapy, suggest inflammatory bowel disease, although not with complete
diagnostic certainty.
PMID- 29804079
TI - Personalised 3D-printed model of a chest-wall chondrosarcoma to enhance patient
understanding of complex cardiothoracic surgery.
PMID- 29804078
TI - Spinal tophaceous gout presenting in a young adult without pain.
AB - A 26-year-old man with a medical history of gout and morbid obesity presented
with a 7-day history of decreased sensation to light touch and temperature from
the feet to the level of the nipples. He also noted incomplete voiding.
Laboratory investigations showed an elevated serum uric acid level (10.4 mg/dL,
reference range: 3.8-8.7 mg/dL) as well as negative rapid plasma reagin and
rheumatoid factor. MRI showed inflammatory changes on multiple spinal levels.
Laminectomy was performed, with follow-up biopsy revealing multiple
multinucleated giant cells and monosodium urate (MSU) crystals. He was ultimately
diagnosed with spinal gout. Patient's symptoms did not resolve immediately after
surgery. Yet with the administration of intravenous glucocorticoids and a course
of non-steroidal anti-inflammatory drugs, he slowly regained sensation, leaving
the hospital with complete resolution of symptoms.
PMID- 29804080
TI - Foreign body urethra misdiagnosed as stricture leading to inadequate management
and prolonged treatment duration: a lesson to learn.
AB - Misdiagnosis of a urethral foreign body (FB) as urethral stricture leads to
inadequate management and prolonged treatment duration. A 55-year-old male
patient was referred with complaints of difficulty in voiding and poor urinary
stream for 2 months. He initially presented at a primary healthcare centre and
was misdiagnosed as urethral stricture and was scheduled for urethroplasty.
Surprisingly, intraoperative cystourethroscopy performed by us revealed that the
urethra had been obstructed by an FB. The FB was gently pushed into the bladder
and retrieved. The postoperative course was uneventful. The present case
represents a rare occurrence of polyembolokoilamania or insertion of a FB into
any bodily orifice for sexual gratification.
PMID- 29804081
TI - Chronic pancreatitis with Bochdalek hernia causing right-sided pleural effusion
in a previously asymptomatic adult.
AB - A 41-year-old man with a medical history of chronic back pain, seizure disorder,
alcohol and tobacco abuse presented with acute shortness of breath. Chest X-ray
showed a large right-sided pleural effusion. Pleural fluid analysis was
significant for an elevated amylase level, suggestive of pleural effusion
secondary to pancreatitis. Magnetic resonance cholangiopancreatography (MRCP)
revealed a persistent right-sided pleural effusion in connection with a right
pararenal space fluid collection via a Bochdalek hernia. An endoscopic ultrasound
found hyperechoic strands and foci, and pancreatic parenchyma consistent with
chronic pancreatitis, as well as a pseudocyst in the pancreatic head. A chest
tube was ultimately placed to continuously drain the pleural effusion. The
patient was discharged and was referred to gastroenterology for outpatient follow
up. This case illustrates a rare presentation of chronic pancreatitis with a
Bochdalek hernia as a right-sided pleural effusion in a patient who was
previously asymptomatic.
PMID- 29804082
TI - Mycobacterium avium intracellulare infection complicated by allergic
bronchopulmonary aspergillosis in a non-asthmatic patient.
AB - Allergic bronchopulmonary aspergillosis (ABPA) most often develops in patients
with asthma or cystic fibrosis. We present a 91-year-old non-asthmatic patient
with pre-existing Mycobacteriumavium complex (MAC) infection who got diagnosed
with ABPA. Treatment of the two conditions can be challenging as
immunosuppression with steroids for treatment of ABPA may exacerbate the
underlying MAC infection. Treatment with steroids and antibiotics resulted in
significant improvement in the patient's condition.
PMID- 29804083
TI - Primary gastric melanoma: a rare presentation.
PMID- 29804084
TI - Disseminated coccidioidomycosis masquerading as recurrent lymphoma.
PMID- 29804085
TI - Synchronous oesophageal and gastric sarcomatoid carcinoma: first reported case in
Australia.
AB - A 75-year-old retired teacher presents with dysphagia and weight loss for a
duration of 6 months. Her gastroscopy showed two synchronous submucosal masses. A
7 cm polypoid mass was seen at the distal oesophagus, arising from a thick stalk
and a 4 cm mass seen at the cardia. The biopsies showed high-grade sarcomatoid
cancer. Staging CT scan and Positron Emission Tomography scan did not show any
distant metastasis except a lesion in the rectum that was subsequently found to
be tubulovillous adenoma on transanal excision. The patient was managed with Ivor
Lewis oesophagectomy. The biopsies of resection specimen showed spindle
cell/sarcomatoid carcinoma with a component of poorly differentiated
neuroendocrine carcinoma in oesophageal tumour and a small component of
conventional invasive squamous cell carcinoma in tumour at cardia. The patient
recovered well after surgery. Since then, she has completed adjuvant
chemoradiotherapy. No recurrence has been noted in 10 months follow-up.
PMID- 29804086
TI - Renal ultrasound imaging in a preterm infant with a persistently elevated C
reactive protein.
PMID- 29804087
TI - Right-sided hydrothorax: a peritoneal dialysis dilemma.
AB - We present a 23-year-old female patient with a chief complaint of progressively
worsening dyspnoea of 2 days duration. Her medical history was significant for
end stage renal disease secondary to membranoproliferative glomerulonephritis. A
peritoneal dialysis (PD) catheter was placed 8 weeks prior to admission. She did
not miss any of the PD sessions prior to this admission. Vital signs were
significant for hypoxemia. Physical examination was remarkable for right-sided
basilar crackles with no other signs of fluid overload. A chest X-ray
demonstrated the presence of a large right-sided pleural effusion. Right-sided
thoracentesis was performed, with subsequent pleural fluid analysis concerning
for a pleuroperitoneal leak. CT peritoneography performed confirming the
diagnosis as contrast material leaked through the inferior vena cava (IVC)
diaphragmatic foramen into the right pleural space. Surgical intervention was
deferred in light of the close proximity of the defect to the IVC. The patient
was transitioned to haemodialysis for temporary cessation of PD.
PMID- 29804088
TI - Anomalous origin of the coronary artery from the pulmonary artery in a 33-year
old man.
PMID- 29804089
TI - Rare complication of a percutaneous long line.
PMID- 29804090
TI - Preliminary outcomes of single antiplatelet therapy for surface-modified flow
diverters in an animal model: analysis of neointimal development and thrombus
formation using OCT.
AB - OBJECTIVE: To evaluate the rate of neointimal development and thrombus formation
of surface-modified flow diverters in single antiplatelet therapy (SAPT) using
optical coherence tomography (OCT) in a porcine model. METHODS: We divided 10
experimental pigs into two groups. One group (n=6) received dual antiplatelet
therapy (DAPT) and the other group (n=4) received SAPT. Four stents (two per
carotid artery) were implanted in both groups. The stents used were the Pipeline
Flex embolization device (PED Flex), Pipeline Flex with Shield technology (PED
Shield), and the Solitaire AB stent. All animals underwent weekly angiography and
OCT. The OCT data were analyzed using the following measurements: neointimal
ratio ((stent - lumen area)/stent area), stent-coverage ratio (number of stent
struts covered by neointima/total stent struts), and the presence or absence of
thrombus formation per 1 mm cross-section. RESULTS: PED Flex and Shield in the
SAPT group had higher neointimal ratios than in the DAPT group (P<0.001,
respectively). In the DAPT group, the speed of endothelial growth on day 7 in the
PED Shield group was higher than that in the PED Flex group (P<0.001). In the
SAPT group, PED Flex demonstrated significantly more thrombus formation on day 7
than PED Shield (P<0.001). CONCLUSIONS: The PED Shield stent showed faster
endothelial growth than the other devices and comparable neointimal volume. There
was significantly less thrombus formation on PED Shield than PED Flex when using
SAPT in a porcine model.
PMID- 29804091
TI - Breast feeding in infancy and recurrent cough in adulthood: the longer the
better?
PMID- 29804092
TI - Extraordinary minds, impossible choices: mental health, special skills and
television.
AB - Over the last decade, there has been an increase in the number of televisual
protagonist and major secondary characters specifically identified within the
text as having a diagnosed mental illness. This is a significant development in
the context of characters with a mental illness on television, who were
previously usually minor and heavily stigmatised. A key trend with these new
protagonists and major characters is the attribution of special talents or powers
associated with mental health conditions. This paper analyses the discursive
construction of this trope in five recent television series: Sherlock (UK, BBC,
2010-), Homeland (USA, Showtime, 2011-), Perception (USA, TNT, 2012-2015),
Hannibal (USA, NBC, 2013-2015) and Black Box (USA, ABC, 2014). Theoretically,
this paper draws on Sami Schalk's formulation of the 'superpowered supercrip
narrative', which refers to the 'representation of a character who has abilities
or "powers" that operate in direct relationship with or contrast to their
disability'. This paper is also indebted to Davi A Johnson's 'Managing Mr. Monk'
(2008) for its discussion of mental illness as attaining 'social value' through
becoming a resource with economic and ethical value, as do the conditions of the
fictional characters explored in this article. Schalk's work on disability is
here expanded to a more specific discussion of mental illness on television,
while Johnson's work is updated to discuss whether the newer characterisations
reflect the same rhetorical positioning as Monk (USA, USA Network, 2002-2009),
one of the earliest texts celebrated for featuring a lead, sympathetic character
clearly and explicitly identified with a mental health condition. Of the five
lead characters examined here, three are figured as responsible for their
symptoms because they have chosen not to take medication or withdraw from their
medication. It is concurrently presented that if they do take medication, it
dampens their abilities to perform valuable work in the community, thus removing
their use value within the world of the series.
PMID- 29804093
TI - How The Fault in Our Stars illuminates four themes of the Adolescent End of Life
Narrative.
AB - Adolescents who face life-limiting illness have unique developmental features and
strong personal preferences around end of life (EOL) care. Understanding and
documenting those preferences can be enhanced by practising narrative medicine.
This paper aims to identify a new form of narrative, the Adolescent End of Life
Narrative, and recognise four central themes. The Adolescent EOL Narrative can be
observed in young adult fiction, The Fault in Our Stars, which elucidates the
notion that terminally ill adolescents have authentic preferences about their
life and death. Attaining narrative competence and appreciating the distinct
perspective of the dying adolescent allows medical providers and parents to
support the adolescent in achieving a good death. By thinking with the Adolescent
EOL Narrative, adults can use Voicing my CHOiCES, an EOL planning guide designed
for adolescents, to effectively capture the adolescent's preferences, and the
adolescent can make use of this type of narrative to make sense of their lived
experience.
PMID- 29804094
TI - From Northern Ireland to Afghanistan: half a century of blast injuries.
AB - INTRODUCTION: Throughout the last half century, blast injuries have been a common
occurrence to UK military personnel during combat operations. This study
investigates casualty data from three different military operations to determine
whether survivability from blast injuries has improved over time and whether the
tactics used could have influenced the injuries sustained. METHODS: Casualty data
from operations in Northern Ireland, Iraq and Afghanistan were reviewed and found
to contain a total of 2629 casualties injured by improvised explosive devices.
The injury severities were examined and the suitability of comparison between
conflicts was considered. RESULTS: The case fatality rate and mean severity score
sustained remained consistent among the operations included in this study. Using
the New Injury Severity Score, the probabilities of survival were calculated for
each separate operation. The body regions injured were identified for both
fatalities and survivors. Using this information, comparisons of injury
severities sustained at an Abbreviated Injury Scale of 3 and above (identified as
a threshold for fatal injury) were conducted between the different operations.
CONCLUSIONS: The data showed that as operations changed over time, survivability
improved and the proportions of body regions injured also changed; however, this
study also highlights how studying casualty data from different conflicts without
taking account for the contextual differences may lead to misleading conclusions.
PMID- 29804095
TI - Why is building psychological resilience relevant to UK Service personnel in
order to improve military mental health?
AB - This article will aim to give an overview of stress and resilience, briefly
exploring current definitions. It will try to identify why psychological
resilience is relevant in the military context and suggest why the UK military
could be exploring data from other countries and welfare bodies to enhance their
own stress management policies in order to improve military mental health. The
MOD (Ministry of Defence) understands their duty of care and has developed a
clear strategic intent to tackle the increasing numbers of personnel developing
mental health issues. While all three Services currently have in place
comprehensive predeployment and postdeployment strategies to signpost
individuals, there is a lack of psychological fitness training. Examining
resilience in the civilian sector has identified the relevance for individuals to
be psychologically resilient in any context. The skills and techniques of the
Special Forces are now becoming popular in the business world to increase
resilience in individuals and their organisations. The MOD could improve military
mental health through relevant strategies aimed at building resilience.
PMID- 29804096
TI - Experience of the medical audit form on Op TRENTON 4.
PMID- 29804098
TI - Long non-coding RNA-mediated regulation of signaling pathways in gastric cancer.
AB - Gastric cancer (GC) is one of the most common cancers globally. Because of the
high frequency of tumor recurrence, or metastasis, after surgical resection, the
prognosis of patients with GC is poor. Therefore, exploring the mechanisms
underlying GC is of great importance. Recently, accumulating evidence has begun
to show that dysregulated long non-coding RNAs (lncRNAs) participate in the
progression of GC via several typical signaling pathways, such as the AKT and
MAPK signaling pathways. Moreover, the interactions between lncRNAs and microRNAs
appear to represent a novel mechanism in the pathogenesis of GC. This review
provides a synopsis of the latest research relating to lncRNAs and associated
signaling pathways in GC.
PMID- 29804097
TI - Cardiomyopathy, oxidative stress and impaired contractility in a rheumatoid
arthritis mouse model.
AB - OBJECTIVES: Patients with rheumatoid arthritis (RA) display an increased risk of
heart failure independent of traditional cardiovascular risk factors. To
elucidate myocardial disease in RA, we have investigated molecular and cellular
remodelling of the heart in an established mouse model of RA. METHODS: The
collagen antibody-induced arthritis (CAIA) RA mouse model is characterised by
joint inflammation and increased inflammatory markers in the serum. We used CAIA
mice in the postinflammatory phase that resembles medically controlled RA or RA
in remission. Hearts were collected for cardiomyocyte isolation, biochemistry and
histology analysis. RESULTS: Hearts from mice subjected to CAIA displayed
hypertrophy (heart/body weight, mean+/-SD: 5.9+/-0.8vs 5.1+/-0.7 mg/g, p<0.05),
fibrosis and reduced left ventricular fractional shortening compared with
control. Cardiomyocytes from CAIA mice showed reduced cytosolic [Ca2+]i transient
amplitudes (F/F0, mean+/-SD: 3.0+/-1.2vs 3.6+/-1.5, p<0.05) that was linked to
reductions in sarcoplasmic reticulum (SR) Ca2+ store (F/F0, mean+/-SD: 3.5+/
1.3vs 4.4+/-1.3, p<0.01) measured with Ca2+ imaging. This was associated to lower
fractional shortening in the cardiomyocytes from the CAIA mice (%FS, mean+/-SD:
3.4+/-2.2 vs 4.6%+/-2.3%, p<0.05). Ca2+ handling proteins displayed oxidation
dependent posttranslational modifications that together with an increase in
superoxide dismutase expression indicate a cell environment with oxidative
stress. CONCLUSIONS: This study shows that inflammation during active RA has long
term consequences on molecular remodelling and contractile function of the heart,
which further supports that rheumatology patients should be followed for
development of heart failure.
PMID- 29804099
TI - Circular RNAs: a new class of biomarkers as a rising interest in laboratory
medicine.
AB - Circular RNAs (circRNAs) are a distinct family of RNAs derived from the non
regular process of alternative splicing. CircRNAs have recently gained interest
in transcriptome research due to their potential regulatory functions during gene
expression. CircRNAs can act as microRNA sponges and affect transcription through
their complex involvement in regular transcriptional processes. Some early
studies also suggested significant roles for circRNAs in human diseases,
especially cancer, as biomarkers and potential clinical targets. Therefore, there
is a great need for laboratory scientists to translate these findings into
clinical tools to advance testing for human diseases. To facilitate a better
understanding of the promise of circRNAs, we focus this review on selected basic
aspects of circRNA research, specifically biogenesis, function, analytical issues
regarding identification and validation and examples of expression data in
relation to human diseases. We further emphasize the unique challenges facing
laboratory medicine with regard to circRNA research, particularly in the
development of robust assays for circRNA detection in different body fluids and
the need to collaborate with clinicians in the design of clinical studies.
PMID- 29804100
TI - Analytical performance of the single well titer function of NOVA View(r): good
enough to omit ANA IIF titer analysis?
PMID- 29804101
TI - Metabolic and neuroendocrine adaptations to undernutrition in anorexia nervosa:
from a clinical to a basic research point of view.
AB - The exact mechanisms linking metabolic and neuroendocrine adaptations to
undernutrition and the pathophysiology of anorexia nervosa (AN) are not fully
understood. AN is a psychiatric disorder of complex etiology characterized by
extreme starvation while the disease is progressing into a chronic state.
Metabolic and endocrine alterations associated to this disorder are part of a
powerful response to maintain whole body energy homeostasis. But these
modifications may also contribute to associated neuropsychiatric symptoms (reward
abnormalities, anxiety, depression) and thus participate to sustain the disease.
The current review presents data with both a clinical and basic research point of
view on the role of nutritional and energy sensors with neuroendocrine actions in
the pathophysiology of the disease, as they modulate metabolic responses,
reproductive functions, stress responses as well as physical activity. While
clinical data present a full description of changes occurring in AN, animal
models that integrate either spontaneous genetic mutations or experimentally
induced food restriction with hyperactivity and/or social stress recapitulate the
main metabolic and endocrine alterations of AN and provide mechanistic
information between undernutrition state and symptoms of the disease. Further
progress on the central and peripheral mechanism involved in the pathophysiology
of eating disorders partly relies on the development and/or refinement of
existing animal models to include recently identified genetic traits and better
mimic the complex and multifactorial dimensions of the disease.
PMID- 29804102
TI - Congenital hypothyroidism.
PMID- 29804103
TI - Role of p38/MAPKs in Alzheimer's disease: implications for amyloid beta toxicity
targeted therapy.
AB - A myriad of environmental and genetic factors, as well as the physiologic process
of aging, contribute to Alzheimer's disease (AD) pathology. Neuroinflammation is
and has been a focus of interest, as a common gateway for initiation of many of
the underlying pathologies of AD. Amyloid beta (Abeta) toxicity, increasing RAGE
expression, tau hyperphosphorylation, induction of apoptosis, and deregulated
autophagy are among other mechanisms, partly entangled and being explained by
activation of mitogen-activated protein kinase (MAPK) and MAPK signaling. p38
MAPK is the most essential regulator of Abeta induced toxicity from this family.
p38 induces NF-kappaB activation, glutamate excitotoxicity, and disruption of
synaptic plasticity, which are other implications of all justifying the p38 MAPK
as a potential target to break the vicious Abeta toxicity cycle. Until recently,
many in vivo and in vitro studies have investigated the effects of p38 MAPK
inhibitors in AD. The pyridinyl imidazole compounds SB202190 and SB203580 have
shown promising anti-apoptotic results in vivo. MW108 inhibits activation of p38
and is able to postpone cognitive decline in animal models. The PD169316, with
anti-inflammatory, anti-oxidative, and anti-apoptotic features, has improved
spatial memory in vivo. Natural compounds from Camellia sinensis (green tea),
polyphenols from olive oil, pinocembrin from propolis, and the puerarine extract
isoflavones, have shown strong anti-apoptotic features, mediated by p38 MAPK
inhibition. Use of these drug targets is limited due to central nervous system
side effects or cross-reactivity with other kinases, predicting the low efficacy
of these drugs in clinical trials.
PMID- 29804105
TI - Helping Babies Breathe: When Less Is More.
PMID- 29804106
TI - 25th European Congress on Obesity, Vienna, Austria, May 23-26, 2018: Abstracts.
PMID- 29804104
TI - New Threats from an Old Foe: Methicillin-Resistant Staphylococcus aureus
Infections in Neonates.
AB - Methicillin-resistant Staphylococcus aureus (MRSA) is a ubiquitous human
inhabitant and one of the important pathogens of neonatal infections. MRSA is
associated with significant mortality and morbidity, especially in very immature
preterm neonates. Moreover, MRSA may be implicated in adverse long-term neonatal
outcomes, posing a substantial disease burden. Recent advances in molecular
microbiology have shed light on the evolution of MRSA population structure and
virulence factors, which may contribute to MRSA epidemic waves worldwide.
Equipped with remarkable genetic flexibility, MRSA has successfully developed
resistance to an extensive range of antibiotics including vancomycin, as well as
antiseptics. In the face of these new challenges from MRSA, our armamentarium of
anti-infective strategies is very limited and largely dependent on prevention
measures. Active surveillance cultures followed by decolonization may be a
promising approach to control MRSA infections, with its efficacy and safety in
the specific population of neonates yet to be addressed by large multicenter
studies.
PMID- 29804107
TI - Conserved Domains in the Transformer Protein Act Complementary to Regulate Sex
Specific Splicing of Its Own Pre-mRNA.
AB - The transformer (tra) gene, which is a female-determining master gene in the
housefly Musca domestica, acts as a memory device for sex determination via its
auto-regulatory function, i.e., through the contribution of the TRA protein to
female-specific splicing of its own pre-mRNA. The TRA protein contains 4 small
domains that are specifically conserved among TRA proteins (domains 1-4). Domain
2, also named TRA-CAM domain, is the most conserved, but its function remains
unknown. To examine whether these domains are involved in the auto-regulatory
function, we performed in vitro splicing assays using a tra minigene containing a
partial genomic sequence of the M. domestica tra (Mdtra) gene. Co-transfection of
the Mdtra minigene and an MdTRA protein expression vector into cultured insect
cells strongly induced female-specific splicing of the minigene. A series of
deletion mutation analyses demonstrated that these domains act complementarily to
induce female-specific splicing. Domain 1 and the TRA-CAM domain were necessary
for the female-specific splicing when the MdTRA protein lacked both domains 3 and
4. In this situation, mutation of the well-conserved 3 amino acids (GEG) in the
TRA-CAM domain significantly reduced the female-specific splicing activity of
MdTRA. GST-pull down analyses demonstrated that the MdTRA protein specifically
enriched on the male-specific exonic region (exon 2b), which contains the
putative TRA/TRA-2 binding sites, and that the GEG mutation disrupts this
enrichment. Since the MdTRA protein interacts with its own pre-mRNA through TRA
2, our findings suggest that the conserved amino acid residues in the TRA-CAM
domain may be crucial for the interaction between MdTRA and TRA-2, enhancing
MdTRA recruitment on its pre-mRNA to induce female-specific splicing of tra in
the housefly.
PMID- 29804108
TI - Copulatory and Postcopulatory Sexual Selection in Primates.
AB - Many aspects of primate reproductive anatomy and physiology have been influenced
by copulatory and postcopulatory sexual selection, especially so in taxa where
multiple-partner matings by females result in the sperm of rival males competing
for access to a given set of ova (sperm competition). However, the female
reproductive system also exerts profound effects upon sperm survival, storage and
transport, raising the possibility that female traits influence male reproductive
success (via cryptic female choice). Current knowledge of sperm competition and
cryptic choice in primates and other mammals is reviewed here. The relevance of
these comparative studies to our understanding of human reproduction and
evolution is discussed.
PMID- 29804109
TI - Clitoromegaly in Childhood and Adolescence: Behind One Clinical Sign, a Clinical
Sea.
AB - The clitoris is a highly complex organ whose structure has only been clarified in
recent years through the use of modern imaging techniques. Clitoromegaly is an
abnormal enlargement of this organ. It may be congenital or acquired and is
usually due to an excess of androgens in fetal life, infancy, or adolescence.
Obvious clitoromegaly in individuals with ambiguous genitalia is easily
identifiable, whereas borderline conditions can pass unnoticed. Case reports of
clitoromegaly with or without clinical or biochemical hyperandrogenism are quite
numerous. In these subjects, a comprehensive physical examination and an accurate
personal and family history are needed to investigate the enlargement. We
reviewed the literature on the conditions that may be involved in the development
of clitoromegaly in childhood and adolescence.
PMID- 29804110
TI - Primary Spinal Intradural Extraosseous Ewing Sarcoma in a Pediatric Patient: Case
Report and Review of the Literature.
AB - Ewing sarcoma (ES) is an aggressive, primary bone malignancy with occasional soft
tissue extension. Purely extra-osseous ES is rare. A primary intraspinal,
intradural ES without bone involvement is exceedingly rare. ES may be
differentiated from other primitive neuroectodermal tumors by molecular analysis.
The authors report the case of a 14-year-old female who suffered an acute
neurologic decline from a hemorrhagic, intraspinal, intradural ES. The patient
has been tumor free for 2 years after the initial emergency surgery. Our
management of the patient and a review of the literature are provided.
Considering only those cases with molecular or genetic confirmation of ES, our
patient is the fifth pediatric case reported in the English literature.
PMID- 29804111
TI - Validation of a Real-Time ISE Methodology to Quantify the Influence of Inhibitors
of Demineralization Kinetics in vitro Using a Hydroxyapatite Model System.
AB - The aim was to validate a novel protocol to measure the cariostatic efficacies of
demineralization inhibitors by repeating previous SMR (scanning microradiography)
studies investigating the dose response of Zn2+ and F- on demineralization
kinetics in vitro using real-time Ca2+ ion selective electrodes (ISEs). In this
study, Ca2+ release was used as a proxy for the extent of demineralization. Forty
eight hydroxyapatite (HAP) discs were allocated into 16 groups (n = 3) and adding
either increasing [Zn2+], or [F-], similar to those used in the previous SMR
studies. Each HAP disc was immersed in 50 mL, pH 4.0, buffered acetic acid for 1
h, and real-time ISE methodology was used to monitor the rate of increase in
[Ca2+] in the demineralization solution. Next, either zinc acetate or sodium
fluoride was added into each demineralization solution accordingly. Then after
each [Zn2+] or [F-] addition, the HAP disc was further demineralized for 1 h, and
ISE measurements were continued. The percentage reduction in the rate of calcium
loss from hydroxyapatite (PRCLHAP) at each [Zn2+] or [F-] was calculated from the
decrease in Ca2+ release rate, similar to that used in the previous SMR studies.
A log-linear relationship between mean PRCLHAP and inhibitor concentration was
found for both Zn2+ and F-, similar to that reported for each ion in the previous
SMR studies. In conclusion, real-time Ca2+ ISE systems can be used to measure the
cariostatic efficacies of demineralization inhibitors.
PMID- 29804112
TI - Comparing Cytokine Kinetics between Ranibizumab and Aflibercept in Central
Retinal Vein Occlusion with Macular Edema.
AB - PURPOSE: To investigate dynamic changes in aqueous humor levels of vascular
endothelial growth factor (VEGF), placental growth factor (PlGF), and
inflammatory factors in patients receiving intravitreal ranibizumab injection
(IRI) or intravitreal aflibercept injection (IAI) to treat central retinal vein
occlusion (CRVO) with macular edema. METHODS: In 22 CRVO patients scheduled to
receive 3 doses of ranibizumab (11 eyes) or aflibercept (11 eyes) at monthly
intervals, aqueous samples were collected at the time of intravitreal injection.
The concentrations of VEGF, PlGF, soluble intercellular adhesion molecule-1,
monocyte chemotactic protein (MCP)-1 (CCL2), platelet-derived growth factor-AA,
interleukin (IL)-6, IL-8 (CXCL8), IL-12(p70) (IL12B), and IL-13 in aqueous
samples were measured by the suspension array method. RESULTS: Visual acuity and
foveal thickness improved significantly in both the IRI group and the IAI group.
In addition, aqueous levels of VEGF and PlGF as well as MCP-1 and IL-6 decreased
significantly over time in both groups. These parameters did not significantly
differ between both groups. CONCLUSIONS: In CRVO patients, both ranibizumab and
aflibercept achieved similar improvement in clinical parameters and similar
reductions in aqueous VEGF, PlGF, MCP-1, and IL-6 levels.
PMID- 29804113
TI - Optimizing Genipin Concentration for Corneal Collagen Cross-Linking: An ex vivo
Study.
AB - PURPOSE: Studying genipin variable concentrations, treatment durations, and
delivery methods as a substance to increase corneal stiffness by inducing corneal
collagen cross-linking (CXL). MATERIALS AND METHODS: 100 bovine corneas treated
with different genipin concentrations (0.1, 0.5, and 1%) and treatment durations
(15 min, 40 min, 2 h, and 3 days) through different delivery methods compared to
10 controls treated with riboflavin/UV. Histology examination, enzymatic
digestion with collagenase and thermal differential scanning calorimetry were
performed on the different samples. RESULTS: Bovine corneas soaked in 0.5%
genipin morphologically showed 4.7% CXL in comparison to 5.6% in controls (p <
0.05). Corneas treated with topical 0.5% genipin, by a 140-uL drop applied hourly
for 2 h, showed 7% corneal CXL. Corneas treated with topical genipin 0.5% for 30
min, 1 and 2 h showed 54 +/- 6, 40 +/- 7, and 39 +/- 9% enzymatic degradation,
respectively, in comparison to controls (74%). Corneas treated with 0.5% genipin
for 1, 2, and 8 h showed higher thermal denaturation resistance (Td values of
64.9 +/- 0.3, 64.7 +/- 0.0 and 67.3 +/- 0.9), respectively, in comparison to the
control group (64.6 +/- 0.5) (p < 0.05). CONCLUSIONS: Genipin 0.5%, in a 140-uL
drop applied hourly for 2 h, showed better potential to enhance corneal stiffness
and stability through inducing CXL.
PMID- 29804114
TI - Ethylenediaminetetraacetic Acid Inhibits Vibrio Vulnificus-Induced Dendritic Cell
Apoptosis by Lowering [Ca2+]i.
AB - BACKGROUND/AIMS: Vibrio vulnificus (V. vulnificus) is a Gram-negative marine
bacterium that can cause life-threatening primary septicemia, especially in the
innate immune system. But how V. vulnificus affects and acts on dendritic cells
(DC) is not well understood. The aim of the present study is to investigate
[Ca2+]i change and the expression of the mTor-STAT3-Bcl-2 signaling pathway in V.
vulnificus B2-induced DC apoptosis, and explore the protective effect of
ethylenediaminetetraacetic acid (EDTA) against DC apoptosis in a V. vulnificus B2
and DC2.4 cell coculture infection model, using EDTA as an intervenient. METHODS:
The apoptosis rate, [Ca2+]i, and the expression of STAT3, m-Tor and Bcl-2 were
detected by cytometry, Fluo-8-AM and Western blotting respectively. RESULTS: The
results demonstrated that EDTA inhibited the increase of [Ca2+]i, upregulated the
expression of m-Tor-STAT3-Bcl-2 signaling pathway, and protected DC against V.
vulnificus B2-induced apoptosis. CONCLUSIONS: EDTA inhibits V. Vulnificus-induced
DC apoptosis by lowering [Ca2+]i via m-Tor-STAT3-Bcl-2 signaling pathway.
PMID- 29804115
TI - Association between Serum Uric Acid Level and Ventricular Tachyarrhythmia in
Heart Failure Patients with Implantable Cardioverter-Defibrillator.
AB - OBJECTIVES: The uric acid (UA) level is related to cardiac events and mortality,
but little is known about the clinical significance of serum UA with regard to
the ventricular tachyarrhythmia (VT) risk in patients with heart failure.
METHODS: The present study enrolled 56 patients with ischemic and nonischemic
cardiomyopathy (37 males, mean age 64.7 +/- 11.1 years) who received prophylactic
implantable cardioverter-defibrillator (ICD) implantation. Based on a median
serum UA value, study subjects were divided into two groups: serum UA < 6.1 mg/dL
(group L, n = 29) and >=6.1 mg/dL (group H, n = 27). Echo- and electrocardiograms
(QRS duration and QTc intervals) were examined in each group. RESULTS: During the
follow-up period (30 +/- 8 months), 22 (39%) patients had appropriate ICD
therapies for sustained VT. There was no significant difference in the electro-
and echocardiographic data between both groups. However, appropriate ICD
therapies were significantly higher in group H than in group L (p = 0.02). In
multivariate analysis, UA was an independent predictor of appropriate ICD
therapies (hazard ratio 1.826, 95% confidence interval 1.248-2.671, p = 0.002).
CONCLUSIONS: Serum UA levels might be a predictor of VT, providing new aspects
regarding the decision to adapt ICD implantation in patients with heart failure.
PMID- 29804116
TI - When Helping Babies Breathe Is Not Enough: Designing a Novel, Mid-Level Neonatal
Resuscitation Algorithm for Medecins Sans Frontieres Field Teams Working in Low
Resource Hospital Settings.
AB - BACKGROUND: Neonatal resuscitation (NR) combines a set of life-saving
interventions in order to stabilize compromised newborns at birth or when
critically ill. Medecins Sans Frontieres/Doctors Without Borders (MSF), as an
international medical-humanitarian organization working particularly in low
resource settings (LRS), assisted over 250,000 births in obstetric and newborn
care aid projects in 2016 and provides thousands of newborn resuscitations
annually. The Helping Babies Breathe (HBB) program has been used as formal
guidance for basic resuscitation since 2012. However, in some MSF projects with
the capacity to provide more advanced NR interventions but a lack of adapted
guidance, staff have felt prompted to create their own advanced algorithms, which
runs counter to the organization's aim for standardized protocols in all aspects
of its care. OBJECTIVES: The aim is to close a significant gap in neonatal care
provision in LRS by establishing consensus on a protocol that would guide MSF
field teams in their practice of more advanced NR. METHODS: An independent
committee of international experts was formed and met regularly from June 2016 to
agree on the content and design of a new NR algorithm. RESULTS: Consensus was
reached on a novel, mid-level NR algorithm in April 2017. The algorithm was
accepted for use by MSF Operational Center Paris. CONCLUSION: This paper
contributes to the literature on decision-making in the development of cognitive
aids. The authors also highlight how critical gaps in healthcare delivery in LRS
can be addressed, even when there is limited evidence to guide the process.
PMID- 29804118
TI - Adrenocortical Carcinoma in Children: A Clinicopathological Analysis of 41
Patients at the Mayo Clinic from 1950 to 2017.
AB - BACKGROUND/AIMS: Adrenocortical carcinoma (ACC) is an aggressive childhood
cancer. Limited evidence exists on a definite histopathological criterion to
differentiate ACC from adrenocortical adenoma. The aim of this study was to
investigate the clinicopathological data of children with ACC, identify
prognostic factors, and validate a histopathological criterion to differentiate
ACC from adrenocortical adenoma. METHODS: This retrospective cohort included 41
children, followed at the Mayo Clinic from 1950 to 2017 (onset of symptoms <=21
years). Outcomes of interest were: alive with no evidence of disease, alive with
evidence of disease, and dead of disease. RESULTS: Median age at onset of
symptoms was 15.7 years (n = 41; range, 0.2-21 years). Female:male ratio was 3.6:
1. Mixed symptomatology (> 1 hormone abnormality) was the most common
presentation (54%, n = 22). Sixty-six percent of patients (n = 27 out of 41)
underwent total adrenalectomy. Metastatic disease was more common in children
aged > 12 years (p = 0.002 compared to < 4 years). The most common sites of
metastases were the liver and lungs. Overall 2-year and 5-year survival rates
were 61% (95% CI 45-77) and 46% (95% CI 30-62), respectively. Metastasis at the
time of diagnosis was independently associated with poor prognosis (risk ratio
13.7%; 95% CI 3.9-87.7). Weiss criteria (29%) and modified Weiss criteria (33%)
were less accurate in younger patients (< 12 years), compared to the Wieneke
index (100%). CONCLUSION: The presence of metastases was an independent
prognostic factor. The Wieneke index was the most accurate in predicting clinical
outcomes in younger children.
PMID- 29804117
TI - Metabolite Profiling of Feces and Serum in Hemodialysis Patients and the Effect
of Medicinal Charcoal Tablets.
AB - BACKGROUND/AIMS: Recently, the colon has been recognized as an important source
of various uremic toxins in patients with end stage renal disease. Medicinal
charcoal tablets are an oral adsorbent that are widely used in patients with
chronic kidney disease in China to remove creatinine and urea from the colon. A
parallel fecal and serum metabolomics study was performed to determine
comprehensive metabolic profiles of patients receiving hemodialysis (HD). The
effects of medicinal charcoal tablets on the fecal and serum metabolomes of HD
patients were also investigated. METHODS: Ultra-performance liquid
chromatography/mass spectrometry was used to investigate the fecal and serum
metabolic profiles of 20 healthy controls and 31 HD patients before and after
taking medicinal charcoal tablets for 3 months. RESULTS: There were distinct
metabolic variations between the HD patients and healthy controls both in the
feces and serum according to multivariate data analysis. Metabolic disturbances
of alanine, aspartate and glutamate metabolism, arginine and proline metabolism
figured prominently in the serum. However, in the feces, alterations of
tryptophan metabolism, lysine degradation and beta-alanine metabolism were
pronounced, and the levels of several amino acids (leucine, phenylalanine,
lysine, histidine, methionine, tyrosine, and tryptophan) were increased
dramatically. Nineteen fecal metabolites and 21 serum metabolites were also
identified as biomarkers that contributed to the metabolic differences.
Additionally, medicinal charcoal treatment generally enabled the serum and fecal
metabolomes of the HD patients to draw close to those of the control subjects,
especially the serum metabolic profile. CONCLUSION: Parallel fecal and serum
metabolomics uncovered the systematic metabolic variations of HD patients,
especially disturbances in amino acid metabolism in the colon. Medicinal charcoal
tablets had an impact on the serum and fecal metabolomes of HD patients, but
their exact effects still need to be studied further.
PMID- 29804119
TI - Tetrahydroxystilbene Glucoside Delayed Senile Symptoms in Old Mice via Regulation
of the AMPK/SIRT1/PGC-1alpha Signaling Cascade.
AB - BACKGROUND: Tetrahydroxystilbene glucoside (TSG) is a main bioactive component of
Polygonum multiflorum, a traditional Chinese medicine known for certain anti
aging effects. Since TSG has been found to extend lifespan in the nematode
Caenorhabditis elegans, we hypothesized that TSG might produce anti-aging
benefits in mammals. OBJECTIVE: The aim was to evaluate the anti-aging potential
of TSG and to explore its relative molecular mechanism. METHODS: Mice were
maintained on standard diet, high-calorie diet (HC), or high-calorie plus TSG
diet. Survival rates and body weight changes were recorded weekly. Rotarod
analysis was performed to assess the physical fitness of mice. Bone mineral
density was assessed using micro-computed tomography. Hematoxylin and eosin
staining was used for the histological examination of heart, liver, and kidney
pathology. The mRNA and protein expression of target genes were analyzed by
quantitative real-time polymerase chain reaction and western blotting,
respectively. Mitotracker deep red staining and high-content analysis were used
to quantify cellular mitochondrial mass and function. RESULTS: In this study, we
found that TSG improved the physiology of aged mice consuming excess calories and
delayed senile symptoms. The anti-aging benefits of TSG were mediated at least in
part by the AMP-activated protein kinase (AMPK)/sirtuin 1 (SIRT1)/peroxisome
proliferator-activated receptor-gamma coactivator 1alpha (PGC-1alpha) signaling
cascade, leading to significant improvement in motor function, bone mineral
density, HC-induced organ pathology, and mitochondrial function. CONCLUSION: Our
findings show that TSG could be a potential drug candidate for the treatment of
aging- and high-calorie intake-associated disorders.
PMID- 29804120
TI - Thyroid Ultrasound: More Sensitive than Radioactive Iodine Imaging in Detecting
Recurrence of Papillary Thyroid Cancer in Two Pediatric Patients.
AB - BACKGROUND: Papillary thyroid cancer (PTC) is an uncommon pediatric disease with
an excellent prognosis. In follow-up surveillance, neck ultrasound (US), basal
and thyroid-stimulating hormone-stimulated serum thyroglobulin (Tg) levels, and
diagnostic whole-body radioactive iodine scans (DxWBS) have been traditionally
used in both adults and children for the detection of recurrence or metastases of
PTC. METHODS: Two pediatric patients with metastatic PTC were followed after
standard ablative treatment with routine neck US and serum Tg levels, as well as
periodic DxWBS. RESULTS: Neck US identified recurrent and metastatic PTC which
DxWBS failed to detect. CONCLUSION: Neck US was superior to DxWBS in the
detection of recurrent PTC in these 2 pediatric patients. These findings are
consistent with the 2015 American Thyroid Association (ATA) Guidelines that neck
US is an ideal imaging modality in pediatric patients for the surveillance of PTC
local recurrence or lymph node metastases.
PMID- 29804121
TI - Bone Mineral Density Corrected for Size in Childhood Leukaemia Survivors Treated
with Haematopoietic Stem Cell Transplantation and Total Body Irradiation.
AB - BACKGROUND: Childhood leukaemia survivors treated with haematopoietic stem cell
transplantation and total body irradiation (HSCT-TBI) have multiple risk factors
for reduced bone mineral density (BMD) and growth failure; hence, BMD assessment
must take body size into consideration. This study aimed to evaluate size
corrected BMD in leukaemia survivors treated with and without HSCT-TBI. METHODS:
Childhood leukaemia survivors treated with HSCT-TBI (n = 35), aged 17.3 (10.5
20.9) years, were compared with those treated with chemotherapy only, (n = 16)
aged 18.5 (16.1-20.9) years, and population references. Outcome measures included
anthropometric measurements and BMD by dual-energy X-ray absorptiometry. BMD was
corrected for size as bone mineral apparent density (BMAD). Statistical analysis
was performed by 1- and 2-sample t tests as well as regression analysis (5%
significance). RESULTS: HSCT-TBI survivors were lighter and shorter with reduced
spinal heights compared with chemotherapy-only subjects and population
references. Compared with population references, HSCT-TBI survivors showed lower
BMD standard deviation scores (SDS) (p = 0.008), but no difference in BMAD-SDS,
and chemotherapy-only survivors showed no differences in neither BMD-SDS nor BMAD
SDS. All HSCT-TBI participants with BMD-SDS <-2 had BMAD-SDS >-2. BMAD-SDS was
negatively associated with age (r = -0.38, p = 0.029) in HSCT-TBI survivors.
CONCLUSIONS: Size-corrected BMD are normal in HSCT-TBI survivors in young
adulthood, but may reduce overtime. BMD measurements should be corrected for size
in these patients to be clinically meaningful.
PMID- 29804122
TI - Permanent Decompensated Congenital Hypothyroidism in Newborns with Whole-Blood
Thyroid-Stimulating Hormone Concentrations between 8 and 10 mU/L: The Case for
Lowering the Threshold.
AB - BACKGROUND: Congenital hypothyroidism (CHT) has a reported incidence of
approximately 1 in 2,000-4,000 births. There is no consensus on the optimal cut
off whole-blood thyroid-stimulating hormone (TSH) concentration that should be
used for newborn screening (NBS). The NBS programme in the Republic of Ireland
has used a cut-off of 8 mU/L since 1979. The aim of this study was to determine
if raising the cut-off to 10 mU/L would have resulted in undetected cases of
permanent or decompensated CHT. METHODS: All cases of CHT with a screening whole
blood TSH concentration between 8.0 and 9.9 mU/L were identified from the
Republic of Ireland's NBS programme. Baseline demographics and imaging results
were recorded. All cases over 3 years of age were evaluated to determine if CHT
was permanent or transient. RESULTS: Of 2,361,174 infants screened in the
Republic of Ireland between July 1979 and December 2016, a total of 1,063 babies
were diagnosed with CHT and treated with levothyroxine. This included 33 (3.5%)
infants with a whole-blood TSH concentration between 8 and 9.9 mU/L. Thirteen of
these 33 infants had decompensated hypothyroidism with low plasma free thyroxine
level at diagnosis and 9 (41%) of the 21 evaluable cases have confirmed permanent
CHT. CONCLUSION: Although lowering screening TSH cut-offs can increase the cost
of NBS, as well as anxiety for families, many infants with borderline increases
in whole-blood TSH concentrations on NBS have persistent CHT and low thyroxine
concentrations in infancy. We recommend that this is considered when developing
and reviewing NBS protocols for identifying infants with CHT.
PMID- 29804123
TI - Aflibercept Treatment in Polypoidal Choroidal Vasculopathy: Results of a
Prospective Study in a Caucasian Population.
AB - INTRODUCTION: Polypoidal choroidal vasculopathy (PCV) is a choroidal pathology
characterized by frequent occurrences of subretinal hemorrhages and resistance to
monotherapies such as ranibizumab or bevacizumab intravitreal injections (IVT).
The purpose of this study is to evaluate both the anatomical and functional
efficacy of aflibercept IVT as a monotherapy in PCV in a Caucasian population.
METHODS: We conducted a prospective multicenter study in either treatment-naive
patients with PCV or PVC patients who had not been treated with anti-VEGF within
the previous 3 months or with photodynamic therapy (PDT) within the previous 6
months. All patients had been treated with 3 initial monthly loading doses of
aflibercept followed by a Q8 regimen for 28 weeks in total. All patients
underwent a complete ophthalmic examination including the measurement of best
corrected visual acuity (BCVA) before each IVT and after 28 weeks as well as an
optical coherent tomography (OCT) of the macula. At baseline and 28 weeks, the
polypoidal dilations were analyzed with indocyanine green angiography. RESULTS:
Thirty-four eyes of 34 patients were included in this study. All patients were
followed for 28 weeks and received 5 aflibercept IVT. The mean baseline BCVA was
55 letters. After 28 weeks, significant +13 letters in BCVA and a regression of
exudative signs on OCT in all patients were observed. In 62% of the cases, polyp
disappearance was observed on indocyanine green angiography. DISCUSSION: In this
study on a Caucasian population, we showed that aflibercept as a monotherapy
provided both a significant visual gain and the regression of polypoidal
dilations. Aflibercept use in monotherapy may contribute to reduce the
hemorrhagic risk and atrophy linked to PDT.
PMID- 29804124
TI - Expression of Fibroblast Growth Factor 5 (FGF5) and Its Influence on Survival of
Breast Cancer Patients.
AB - BACKGROUND The clinical outcome of patients with breast cancer (BC) remains poor.
MATERIAL AND METHODS We analyzed BC microarray studies GSE37751, GSE7390, and
GSE21653 to investigate the expression of FGF5 gene between BC patients and their
normal counterparts and the relationship between FGF5 expression and age, tumor
size, histopathological grading, estrogen receptors, clinical risk group
according to St Gallen criteria, clinical risk group according to NPI criteria,
clinical risk group according to Veridex signature, distant metastasis-free
survival (DMFS), time to distant metastasis (TDM), disease-free survival (DFS),
and overall survival (OS) of BC patients. Gene set enrichment analysis (GSEA) was
used to investigate the exact mechanisms. RESULTS FGF5 expression was
significantly upregulated in BC patients relative to that in normal controls
(P<0.0001). BC patients in the FGF5 low-expression group were correlated with
better clinical characteristics, including tumor size, histopathological grading,
estrogen receptors, clinical risk group according to St Gallen criteria, NPI
criteria and Veridex signature, DMFS, TDM, and DFS compared with those in the
FGF5 high-expression cohort. The result of GSEA indicated that FGF5 inhibits the
proliferation of BC cells via ultraviolet response and TGF-b signaling.
Quantitative PCR verified that FGF5 was overexpressed in patients with BC.
CONCLUSIONS Our results suggest that FGF5 is an independent protective factor for
BC patients.
PMID- 29804125
TI - Rho Kinase Type 1 (ROCK1) Promotes Lipopolysaccharide-induced Inflammation in
Corneal Epithelial Cells by Activating Toll-Like Receptor 4 (TLR4)-Mediated
Signaling.
AB - BACKGROUND Rho kinases (ROCKs) are the typical downstream effectors of RhoA,
which can regulate corneal epithelial wound healing. In this study, the role of
ROCK1 in lipopolysaccharide (LPS)-induced cornea inflammation was investigated.
MATERIAL AND METHODS The expression of ROCK1 in human corneal epithelial cells
(HCECs) was bilaterally modulated with ROCK1 expression vector and ROCK1
inhibitor Y-27632. The effects of ROCK1 modulation on the inflammatory response,
cell viability, cell apoptosis, and cell cycle distribution were detected by
ELISA assay, MTT assay, and flow cytometry, respectively. The pathways involved
in the effect of ROCK1 in HCECs was preliminarily explained by detecting changes
of TLR4-mediated NF-kB and ERK signaling using western blotting and
electrophoretic mobility shift assays. RESULTS Overexpression of ROCK1 promoted
LPS-induced production of IL-6, IL-8, IL-1beta, and TNF-alpha, and the apoptotic
process in HCECs. Augmented inflammation and apoptosis were associated with
stronger activation of TLR4-mediated signal transduction; the phosphorylation of
IkBa, JNK, ERK1/2, and p38, and nuclear translocation of NF-kappaB p65 induced by
LPS were further increased by overexpression of ROCK1. Inhibition of ROCK1
function by Y-27632 blocked the effect of LPS on HCECs; both LPS-induced
inflammation and apoptosis was alleviated by Y-27632, which was associated with
suppression of TLR4-mediated NF-kappaB and ERK signaling. CONCLUSIONS LPS-induced
inflammation and apoptosis in HCECs depended on the function of ROCK1, inhibition
of which would attenuate impairments on cornea cells due to LPS.
PMID- 29804127
TI - Monitoring responses to variation in food supply for a migratory waterfowl:
American Black Duck (Anas rubripes) in winter.
AB - Wintering Black Ducks (Anas rubripes) concentrate in wetlands along the Atlantic
coast where natural and anthropogenic disturbances have increased over the last
50 years, a period in which the population of Black Ducks has declined. We
studied the sensitivity of Black Ducks to perturbations in food supply that often
result from disturbances by storms, predators, and people. In the paper, we
characterize the responses of captive Black Ducks to shifts in food quality and
availability during winter and apply those measures to a comparison of wild
birds. Captive ducks that were fed intermittently (3 consecutive days/week)
compensated for fasted days to achieve similar body mass and body fat to control
birds that were fed every day on both animal- and plant-based diets. However,
birds that were fed intermittently expended 15% more energy each day than
controls when both groups were fed (536 vs. 464 kJ/kg0.75), which indicates that
variable food supply increases the costs of maintenance and thus reduces the
number of birds that can be supported on the same resource of food without
interruptions to foraging. Egg production was not affected by diet quality
provided in spring or by the frequency of feeding during the preceding winter
months. Black Ducks lost body fat through winter in captivity and in the wild.
Fat stores of birds in New Jersey were greater than those of birds in Maine (13.3
vs. 8.3% of body mass) in January, which reflected the high energy demands of
cold temperatures in Maine. Values for ?15N were greater in Maine than in New
Jersey for both red blood cells and plasma, which indicated a consistent diet of
marine invertebrates in Maine. Greater isotopic variation in red blood cells
indicated that diets were more diverse in New Jersey than in Maine for both ?15N
(9.7 +/- 1.1 vs. 11.2 +/- 0.40/00) and for ?13C (- 15.1 +/- 2.2 vs. - 13.8 +/-
1.40/00). Plasma ?13C was enriched over red blood cells in wild birds especially
those with low fat stores, which suggested birds with low energy stores were
shifting diets. Black Ducks can compensate for disturbances in feeding by
increasing intakes if they have access to high quality wetlands where they are
able to find abundant food. High energy demands at cold temperatures may
constrain fat stores and thus the tolerance of feeding disturbances especially at
the northern limits of the winter range. We hypothesize that decreasing variation
in diet may indicate an increase in vulnerability to disturbance in winter when
body fat is low. Recent efforts to assess and improve habitat quality of Black
Ducks could be enhanced by monitoring the body composition and diet of birds to
assess their vulnerability to disturbances in food supply and energy demands.
PMID- 29804126
TI - The Preseptic Period and Inflammatory Markers in the Prediction of the Course of
Sepsis.
AB - BACKGROUND The aim of this study was to find a simple and easily accessible
scoring system that could predict the development of sepsis in the preseptic
period. MATERIAL AND METHODS The study included 161 patients with a basal
sequential organ failure assessment (SOFA) value of 2 or more. The sepsis group
(n=83) comprised patients with infection reported in culture results; the control
group (n=78) comprised patients not showing evidence of infection in blood,
urine, and phlegm cultures; samples were taken on three consecutive days. RESULTS
The patients in both groups were divided into subgroups of non-survivor and
survivor patients. The preseptic and septic SOFA score, neutrophil lymphocyte
ratio (NLR), and procalcitonin (PRC) and lactate (Lac) values were determined to
be statistically significantly higher in the sepsis group than in the control
group. When the values related to sepsis were examined, a strong relationship was
determined between sepsis and SOFA score, PRC values, and Lac values in the
preseptic period and a weak relationship with NLR. In the model formed using
multiple regression analysis with defined cutoff values for the preseptic and the
septic periods, we found that in the septic period, a diagnosis of sepsis could
be made with 83.8% accuracy. The diagnostic value of the same parameters
evaluated in the preseptic period was 77.9%. CONCLUSIONS The diagnostic value of
the combination of Lac, PRC, SOFA, and NLR were found to be similar in the
preseptic period as the sepsis period; thus these combined values could safely be
used for the early diagnosis of sepsis.
PMID- 29804128
TI - Is the modified cupulolith repositioning maneuver effective for treatment of
persistent geotropic direction-changing positional nystagmus?
AB - OBJECTIVE: Clinicians sometimes see patients with relatively persistent geotropic
direction-changing positional nystagmus (DCPN) as a variant of lateral
semicircular canal-benign paroxysmal positional vertigo (LSCC-BPPV). Recently,
the concept of a "light cupula" in the lateral semicircular canal, exhibiting
persistent geotropic DCPN, has been introduced. However, the underlying
pathogenesis of light cupula is not known. We investigated the efficacy of a
modified cupulopathy repositioning maneuver (mCuRM), designed to reduce light
debris attached to the cupula in patients with persistent geotropic DCPN. STUDY
DESIGN: Retrospective cohort study. METHODS: Participants included 65 patients
with a persistent geotropic DCPN: 35 underwent treatment (mCuRM group), and 30
were followed-up but received no treatment (No CuRM group). We compared the
therapeutic and survival rate of persistent geotropic DCPN between two groups.
RESULTS: On Day 1, the persistent geotropic DCPN did not resolve in either group.
On the first and second follow-up days, persistent geotropic DCPN was observed in
28 (80%) and 21 (60%) of patients, respectively, in the mCuRM group, and in 28
(93.3%) and 24 (80%) patients, respectively, in the no mCuRM group. The
differences between groups were not statistically significant. Furthermore, no
between-group differences were found in the time from diagnosis to resolution of
nystagmus, or the time from symptom onset to resolution of nystagmus. Kaplan
Meier analysis of the time course of persistent geotropic DCPN resolution from
the day of diagnosis and day of symptom onset revealed no significant differences
between the groups. CONCLUSION: Our findings indicate that mCuRM had no
therapeutic benefit for a persistent geotropic DCPN and suggest that the
pathophysiology of persistent geotropic DCPN is less likely to be a light debris
attached to the cupula.
PMID- 29804129
TI - Frey Syndrome, First Bite Syndrome, great auricular nerve morbidity, and quality
of life following parotidectomy.
AB - PURPOSE: Parotidectomy may be burdened by numerous complications that may worsen
subjects' quality of life (QoL). These include paresis or paralysis of the facial
nerve, face profile asymmetry, keloids, salivary fistula, Frey Syndrome (FS),
First Bite Syndrome (FBS), and hypo-anaesthesia of the skin. This study is an
effort to assess the incidence of complications following parotidectomy and their
impact on subjects' QoL. METHODS: Subjects undergoing parotidectomy for benign
neoplasms from 2012 to 2015 were selected and invited to attend our outpatient
clinic. After a brief anamnesis, the medical examiners tested their tactile
perception and performed the Minor test for FS. Depending on the complications
showed, subjects were asked to complete from 1 to 4 questionnaires about their
QoL. RESULTS: 76 subjects completed the medical examination. The most frequent
complication was the hypo-anaesthesia of the skin (71%). 21 subjects (28%) were
positive to the Minor test, while 8 subjects (11%) reported FBS-compatible
symptoms. Two subjects (3%) showed a facial nerve paralysis. Free abdominal fat
graft was used in 16 subjects (21%) and it was associated with a lower incidence
of FS. Surgery of the deep lobe of the parotid was associated with FBS.
CONCLUSIONS: FBS appears to have a greater influence on subjects' QoL than FS and
hypo-anaesthesia of the skin. Moreover, this work confirms that surgery of the
deep lobe of the parotid is a risk factor for developing FBS and the free
abdominal fat graft is a reliable technique to prevent FS.
PMID- 29804130
TI - ENT audit and research in the era of trainee collaboratives.
AB - Large surgical audits and research projects are complex and costly to deliver,
but increasingly surgical trainees are delivering these projects within formal
collaboratives and research networks. Surgical trainee collaboratives are now
recognised as a valuable part of the research infrastructure, with many perceived
benefits for both the trainees and the wider surgical speciality. In this
article, we describe the activity of ENT trainee research collaboratives within
the UK, and summarise how INTEGRATE, the UK National ENT Trainee Research
Network, successfully delivered a national audit of epistaxis management. The
prospective audit collected high-quality data from 1826 individuals, representing
94% of all cases that met the inclusion criteria at the 113 participating sites
over the 30-day audit period. It is hoped that the audit has provided a template
for subsequent high-quality and cost-effective national studies, and we discuss
the future possibilities for ENT trainee research collaboratives.
PMID- 29804131
TI - De-mucosalized pharyngeal flap: a modified technique for selected cases of
velopharyngeal insufficiency.
AB - BACKGROUND AND PURPOSE: Superiorly based posterior pharyngeal flap is performed
via rotation of tissues of the posterior pharyngeal wall anteriorly and anchoring
it to the soft palate. Unfortunately, bad healing of the donor site defect might
be a considerable cause of morbidity of the surgery. With some modifications of
flap elevation we could achieve better surgical outcomes. The aim of this study
was to present the new modification of the conventional maneuver and its
surgical/functional outcomes. SUBJECTS AND METHODS: The study design is a case
series. 17 patients underwent the de-mucosalized superiorly based pharyngeal flap
for the treatment of velopharyngeal insufficiency. A wide laterally based (mucosa
only) flap was elevated off the submucosa of the posterior pharyngeal wall and
then a superiorly based posterior pharyngeal flap (bared of its covering mucosa)
was elevated and sutured to the palate. The mucosal flap was draped over the bed
and sutured. RESULTS: No significant complications as airway problems, infection
and bleeding were reported. Also, the postoperative pain was tolerable and there
were no reports of neck rigidity with early ambulation; VAS showed significant
improvement. No patients showed flap dehiscence or palatal fistula. Speech
assessment showed improvement. CONCLUSION: The modified de-mucosalized,
superiorly based pharyngeal flap technique ensured self-mucosal draping of the
bed, thus it would enhance primary healing and decrease postoperative pain with
the resultant early ambulation. We believe that the new modified technique could
correct VPI, in addition to the improvement of patients' comfort and decrease the
morbidity of the procedure.
PMID- 29804133
TI - Antidepressants during pregnancy: a French drug utilisation study in EFEMERIS
cohort.
AB - BACKGROUND: Previous studies have suggested that exposure to some antidepressants
(AD) during pregnancy could be associated with an increased risk of congenital
malformations and neurodevelopment disorders for the child. We conducted a study
to describe the use of AD during pregnancy in France. METHODS: We performed a
drug utilisation study in EFEMERIS, a French cohort of pregnant women. At the
time of the present study, 89,170 pregnant women, who were pregnant from 2005 to
2014 in Haute-Garonne were included. Prevalence and incidence of AD prescriptions
during pregnancy, characteristics of AD users, and trends in AD use over the 10
year period were studied. RESULTS: During the 10-year study period, 1620 women
registered in EFEMERIS (1.8%) received at least one prescription and dispensation
for AD during pregnancy: 1363 during the first (1.5%), 591 during the second
(0.7%), and 412 during the third (0.5%) trimester. A total of 2874 women (3.2%)
got a prescription for an AD during the 3 months before and/or during pregnancy;
2187 of them (76.1%) stopped AD before pregnancy or during the first trimester.
Selective serotonin reuptake inhibitors represented the most prescribed class
during pregnancy (1.3%). A very slight decrease in the prevalence of AD
prescriptions in pregnant women over time (1.7% in 2014 vs 2% in 2005) and some
variations within classes were observed. CONCLUSIONS: Nearly, 2% of women
received antidepressant drugs during pregnancy. This assessment encourages
following research on these drugs including the potential risk of
neurodevelopmental disorders in children after an exposure to antidepressants
during pregnancy.
PMID- 29804134
TI - The attentional blink: why does Lag-1 sparing occur when the dependent measure is
accuracy, but Lag-1 deficit when it is RT?
AB - Perception of the second of two targets (T1, T2) displayed in rapid sequence is
impaired if it comes shortly after the first (attentional blink, AB). In an
exception, known as Lag-1 sparing, T2 is virtually unimpaired if it is presented
directly after T1. Three experiments examined the seemingly inconsistent findings
that Lag-1 sparing occurs in accuracy but Lag-1 deficit occurs in RT. Experiment
1 pointed to masking of T2 as the critical factor. When T2 was not masked, the
results replicated the conventional findings. The novel finding was that Lag-1
sparing occurred in RT, provided that T2 was masked. An account was provided by a
psychological refractory period-based model in which processing was said to occur
in two broadly sequential stages: stimulus selection and response planning.
Experiments 2 and 3 tested predictions from the PRP-based model regarding Lag-1
sparing/Lag-1 deficit. In Experiment 2, we increased T2 salience, notionally
reducing the duration of the T2 selection stage, with corresponding reduction in
Lag-1 sparing. In Experiment 3, we manipulated the compatibility between the T1
stimulus and the response to notionally decrease/increase the duration of the T1
response-planning stage with corresponding increment/decrement in Lag-1 sparing.
The results of both experiments confirmed predictions from the PRP-based model.
PMID- 29804132
TI - Hepatocellular nodules in vascular liver diseases.
AB - Hepatocellular nodules have been recognized in vascular liver diseases for a long
time and mostly described and studied in the imaging literature. Some confusions
in their identification and overlap in their definitions exist, especially in
this specific clinical context. Pathology descriptions report the development of
nodular regenerative hyperplasia, large regenerative nodule, and focal nodular
hyperplasia, as adaptive responses of the liver parenchyma to the modified blood
flow. True neoplastic hepatocellular nodules such as hepatocellular adenoma and
hepatocellular carcinoma can also appear, mainly in Budd-Chiari syndrome, and
have to be correctly diagnosed. This is more difficult for the radiologist in
these diseased livers, leading more frequently to perform liver biopsies. We
describe the histology of each type of well-differentiated hepatocellular nodules
and provide some clues for their differential diagnosis. A review of the
literature gives an historical perspective of the problem and enlightens the
frequency and the subtypes of hepatocellular nodules found in the most common
vascular liver diseases.
PMID- 29804137
TI - The RamA regulon: complex regulatory interactions in relation to central
metabolism in Corynebacterium glutamicum.
AB - Corynebacterium glutamicum is an industrial workhorse used for the production of
amino acids and a variety of other chemicals and fuels. Within its regulatory
repertoire, C. glutamicum possesses RamA which was initially identified as
essential transcriptional regulator of acetate metabolism. Further studies
revealed its relevance for ethanol and propionate catabolism and also identified
RamA to function as global regulator in the metabolism of C. glutamicum. Thereby,
RamA acts as transcriptional activator or repressor of genes encoding enzymes
which are involved in carbon uptake, central carbon metabolism, and cell wall
synthesis. RamA controls the expression of target genes either directly and/or
indirectly by constituting feed-forward loop type of transcriptional motifs with
other regulators such as GlxR, SugR, RamB, and GntR1. In this review, we
summarize the current knowledge on RamA, its regulon, and its regulatory
interplay with other transcriptional regulators coordinating the metabolism of C.
glutamicum.
PMID- 29804136
TI - Bioethanol and lipid production from the enzymatic hydrolysate of wheat straw
after furfural extraction.
AB - This study investigates biofuel production from wheat straw hydrolysate, from
which furfural was extracted using a patented method developed at the Latvian
State Institute of Wood Chemistry. The solid remainder after furfural extraction,
corresponding to 67.6% of the wheat straw dry matter, contained 69.9% cellulose
of which 4% was decomposed during the furfural extraction and 26.3% lignin.
Enzymatic hydrolysis released 44% of the glucose monomers in the cellulose. The
resulting hydrolysate contained mainly glucose and very little amount of acetic
acid. Xylose was not detectable. Consequently, the undiluted hydrolysate did not
inhibit growth of yeast strains belonging to Saccharomyces cerevisiae, Lipomyces
starkeyi, and Rhodotorula babjevae. In the fermentations, average final ethanol
concentrations of 23.85 g/l were obtained, corresponding to a yield of 0.53 g
ethanol per g released glucose. L. starkeyi generated lipids with a rate of 0.08
g/h and a yield of 0.09 g per g consumed glucose. R. babjevae produced lipids
with a rate of 0.18 g/h and a yield of 0.17 per g consumed glucose. In both
yeasts, desaturation increased during cultivation. Remarkably, the R. babjevae
strain used in this study produced considerable amounts of heptadecenoic, alpha,-
and gamma-linolenic acid.
PMID- 29804135
TI - Decontaminating surfaces with atomized disinfectants generated by a novel
thickness-mode lithium niobate device.
AB - We evaluated the ability of a novel lithium niobate (LN) thickness-mode device to
atomize disinfectants and reduce microbial burden on model surface materials. A
small-scale plastic model housed the LN thickness-mode device and circular coupon
surface materials including polycarbonate, polyethylene terephthalate, stainless
steel, borosilicate glass, and natural rubber. Coupon surfaces were coated with
methicillin-resistant Staphylococcus aureus (MRSA) or multidrug-resistant (MDR)
strains of Gram-negative bacterial pathogens (Klebsiella pneumoniae, Escherichia
coli, and Acinetobacter baumannii), atomized with disinfectant solutions of
varying viscosity (including 10% bleach, 70% ethanol (EtOH), or 25% triethylene
glycol (TEG)) using the LN thickness-mode device, and assessed for surviving
bacteria. The LN thickness-mode device effectively atomized disinfectants ranging
from low viscosity 10% bleach solution or 70% EtOH to highly viscous 25% TEG.
Coupons harboring MDR bacteria and atomized with 10% bleach solution or 70% EtOH
were effectively decontaminated with ~ 100% bacterial elimination. Atomized 25%
TEG effectively eliminated 100% of K. pneumoniae (CRE) from contaminated coupon
surfaces but not MRSA. The enclosed small-scale plastic model established proof
of-principle that the LN thickness-mode device could atomize disinfectants of
varying viscosities and decontaminate coupon surface materials harboring MDR
organisms. Future studies evaluating scaled devices for patient rooms are
warranted to determine their utility in hospital environmental decontamination.
PMID- 29804138
TI - Free lactic acid production under acidic conditions by lactic acid bacteria
strains: challenges and future prospects.
AB - Lactic acid (LA) is an important platform chemical due to its significant
applications in various fields and its use as a monomer for the production of
biodegradable poly(lactic acid) (PLA). Free LA production is required to get rid
of CaSO4, a waste material produced during fermentation at neutral pH which will
lead to easy purification of LA required for the production of biodegradable PLA.
Additionally, there is no need to use corrosive acids to release free LA from the
calcium lactate produced during neutral fermentation. To date, several attempts
have been made to improve the acid tolerance of lactic acid bacteria (LAB) by
using both genome-shuffling approaches and rational design based on known
mechanisms of LA tolerance and gene deletion in yeast strains. However, the lack
of knowledge and the complexity of acid-tolerance mechanisms have made it
challenging to generate LA-tolerant strains by simply modifying few target genes.
Currently, adaptive evolution has proven an efficient strategy to improve the LA
tolerance of individual/engineered strains. The main objectives of this article
are to summarize the conventional biotechnological LA fermentation processes to
date, assess their overall economic and environmental cost, and to introduce
modern LA fermentation strategies for free LA production. In this review, we
provide a broad overview of free LA fermentation processes using robust LAB that
can ferment in acidic environments, the obstacles to these processes and their
possible solutions, and the impact on future development of free LA fermentation
processes commercially.
PMID- 29804140
TI - ESPR 2018.
PMID- 29804139
TI - Isometric strength of the hip abductors and external rotators in athletes with
and without patellar tendinopathy.
AB - PURPOSE: This study aims to compare the isometric strength of hip abductors and
external rotators in male athletes with and without patellar tendinopathy (PT),
and to examine the correlation between hip strength, pain, and functional scores.
METHODS: Sixty male athletes (30 with PT and 30 controls) were recruited from
local volleyball and basketball teams. The isometric strength of the hip
abductors and external rotators was quantified using a belt-stabilized handheld
dynamometer. This study used the visual analog scale (VAS) and the Victorian
Institute of Sport Assessment-Patella (VISA-p) questionnaire to measure the
intensity of pain and functional scores in athletes with PT, respectively.
RESULTS: The normalized isometric strength of the hip abductors and external
rotators was significantly less in the PT group compared with controls. In
subjects with PT, the normalized isometric strength was decreased by 22.0% (p =
0.000) in the hip abductors and by 20.0% in the hip external rotators (p =
0.004), compared with controls. Significant correlations were found between the
normalized isometric strength of the hip abductors and intensity of pain (r = -
0.70; p < 0.05) and VISA-p score (r = 0.63; p < 0.05) in the affected leg in
athletes with unilateral PT. CONCLUSIONS: Athletes with PT have decreased
isometric strength in their hip abductors and external rotators when compared
with controls. In subjects with unilateral PT, decreased isometric strength in
the hip abductors is associated with greater intensity of pain and lower
functional scores. Results of this study implied that hip muscle assessment and
strengthening should be included for reconditioning and rehabilitation in
athletes with PT.
PMID- 29804141
TI - Exposure to field vs. storage wheat dust: different consequences on respiratory
symptoms and immune response among grain workers.
AB - PURPOSE: The aim of this study was to understand the differential acute effects
of two distinct wheat-related dusts, such as field or stored wheat dust handling,
on workers' health and how those effects evolved at 6 month intervals. METHODS:
Exposure, work-related symptoms, changes in lung function, and blood samples of
81 workers handling wheat and 61 controls were collected during the high exposure
season and 6 months after. Specific IgG, IgE, and precipitins against 12 fungi
isolated from wheat dust were titrated by enzyme-linked immunosorbent assay,
dissociation-enhanced lanthanide fluorescence immunoassay, and electrosyneresis.
The level of fungi was determined in the workers' environment. Levels of exhaled
fraction of nitrogen monoxide (FENO) and total IgE were obtained. Exposure
response associations were investigated by mixed logistic and linear regression
models. RESULTS: The recent exposure to field wheat dust was associated with a
higher prevalence for five of six self-reported airway symptoms and with a lower
FENO than those in the control population. Exposure to stored wheat dust was only
associated with cough. No acute impact of exposure on respiratory function was
observed. Exposure to field wheat dust led to workers' sensitization against the
three field fungi Aureobasidum, Cryptococcus, and Phoma, although exposure to
storage wheat dust was associated with tolerance. The level of Ig remained stable
6 months after exposure. CONCLUSION: The clinical picture of workers exposed to
field or storage wheat dust differed. The systematic characterization of the
aerosol microbial profile may help to understand the reasons for those
differences.
PMID- 29804142
TI - Tight correlation between FoxM1 and FoxP3+ Tregs in gastric cancer and their
clinical significance.
AB - The aim of the present study was to investigate the expression of Forkhead box
transcription M1 (FoxM1) and Forkhead box transcription P3 (FoxP3) in gastric
cancer tissues in order to reveal any correlation between FoxM1, FoxP3 and
clinicopathological parameters. Their clinical significance in gastric cancer was
also investigated. Immunohistochemistry was used to detect the expression of
FoxM1 and FoxP3 in gastric cancer and para-cancer tissues. The clinical
significance of FoxM1 and FoxP3 in gastric cancer was explored, and the
association between FoxM1 and FoxP3 was further analyzed. As a result, the
overexpression of FoxM1 and FoxP3 was evident in gastric cancer (P < 0.001).
FoxM1 overexpression was showed to be correlated with late AJCC stage (P =
0.025), while positive tumoral FoxP3 expression was associated with deeper
invasion (P = 0.020), lymph node metastasis (P = 0.019) and later AJCC stage (P =
0.024). Overexpression of FoxM1 or FoxP3 was revealed to be negative prognostic
factors for survival duration (P < 0.05), whereas only FoxM1 was shown to be
independently associated with prognosisin gastric cancer after multivariate
analysis (P = 0.020). A significant and positive correlation between FoxM1 and
FoxP3 expressions was finally confirmed (P = 0.001). This significantly positive
correlation between FoxM1 and FoxP3 prompts that FoxM1 may induce immune
inhibition by recruiting FoxP3+ Tregs, leading to the progression of
carcinogenesis, invasion and metastasis.
PMID- 29804144
TI - SERPINA1 Hepatocyte-Specific Promoter Polymorphism Associate with Chronic
Obstructive Pulmonary Disease in a Study of Kashmiri Ancestry Individuals.
AB - PURPOSE: Different mutations in coding and non-coding sequences of the SERPINA1
gene have been implicated in the pathogenesis of COPD. However, - 10T/C mutation
in the hepatocyte-directed promoter region has not been associated with COPD
pathogenesis so far. Here, we report an increased frequency of - 10C genotype
that is associated with decreased levels of serum alpha1-antitrypsin (alpha1AT)
in COPD patients. METHODS: The quantification of serum alpha1AT was done by
ELISA, the phenol-chloroform method was used for DNA extraction, PCR products
were directly sequenced. The IBM SPSS Statistics v21 software was used for
statistical analyses of the data. RESULTS: The mean serum alpha1AT level was
found to be 1.203+0.239 and 3.162+0.160 g/L in COPD cases and in control,
respectively. The - 10C allele is associated with an increased risk of COPD [OR,
3.50 (95%CI, 1.86-6.58); p < 0.001]. The combined variant genotype (TT+CC) was
significantly found associated with an increased risk of COPD [OR, 3.20 (95% CI,
1.47-6.96); p = 0.003]. A significant association of the family history with COPD
(overall p value= 0.0331) suggests that genetics may play an important role in
the pathogenesis of COPD. CONCLUSION: The polymorphism associated with hepatocyte
specific promoter region (- 10T/C) is likely to be associated with the
pathogenesis of COPD. It is quite possible that the change of the base in the
hepatocyte-specific promoter of the SERPINA1 gene can modulate its strength,
thereby driving the reduced expression of alpha1AT.
PMID- 29804143
TI - Gastro-Oesophageal Reflux and Aspiration: Does Laparoscopic Fundoplication
Significantly Decrease Pulmonary Aspiration?
AB - PURPOSE: Pulmonary aspiration of gastric refluxate is one of the indications for
anti-reflux surgery. Effectiveness of surgery in preventing pulmonary aspiration
post-operatively has not been previously tested. The aim of this project is to
assess effectiveness of anti-reflux surgery on preventing pulmonary aspiration of
gastric refluxate. METHODS: Retrospective analysis of prospectively populated
database of patients with confirmed aspiration of gastric refluxate on
scintigraphy. Patients that have undergone anti-reflux surgery between 01/01/2014
and 31/12/2015 and had scintigraphy post-operatively were included. Objective
data such as resolution of aspiration, degree of proximal aero-digestive
contamination, surgical complications and oesophageal dysmotility as well as
patient quality of life data were analysed. RESULTS: Inclusion criteria were
satisfied by 39 patients (11 male and 28 female). Pulmonary aspiration was
prevented in 24 out of 39 patients (61.5%) post-operatively. Significant
reduction of isotope contamination of upper oesophagus supine and upright (p =
0.002) and pharynx supine and upright (p = 0.027) was confirmed on scintigraphy
post-operatively. Severe oesophageal dysmotility was strongly associated with
continued aspiration post-operatively OR 15.3 (95% CI 2.459-95.194; p = 0.02).
Majority (24/31, 77%) of patients were satisfied or very satisfied with surgery,
whilst 7/31 (23%) were dissatisfied. Pre-operative GIQLI scores were low (mean
89.77, SD 20.5), modest improvements at 6 months (mean 98.4, SD 21.97) and
deterioration at 12 months (mean 88.41, SD 28.07) were not significant (p =
0.07). CONCLUSION: Surgery is partially effective in reversing pulmonary
aspiration of gastric refluxate on short-term follow-up. Severe oesophageal
dysmotility is a predictor of inferior control of aspiration with surgery.
PMID- 29804145
TI - Residual Renal Function Affects Severity of Sleep Apnea in Peritoneal Dialysis: A
Pilot Study.
AB - PURPOSE: Obstructive sleep apnea is common in patients with end-stage renal
disease, and there is increasing evidence that clinical factors specific to end
stage renal disease contribute pathophysiologically to obstructive sleep apnea.
It is not known whether circumstances specific to dialysis modality, in this case
peritoneal dialysis, affect obstructive sleep apnea. Our study aimed to
investigate the prevalence of obstructive sleep apnea in the peritoneal dialysis
population and the relevance of dialysis-specific measures and kidney function in
assessing this bidirectional relationship. METHODS: Participants with end-stage
renal disease who were treated with nocturnal automated peritoneal dialysis for
at least 3 months were recruited from a hospital-based dialysis center.
Laboratory measures of dialysis adequacy, peritoneal membrane transporter status,
and residual renal function were gathered by chart review. Patients participated
in a home sleep apnea test using a level III sleep apnea monitor. RESULTS: Of
fifteen participants recruited, 33% had obstructive sleep apnea diagnosed by
apnea-hypopnea index >= 5 events per hour of sleep. Renal creatinine clearance
based upon 24-h urine collection was negatively correlated with apnea-hypopnea
index (rho = - 0.63, p = 0.012). There were no significant associations between
anthropometric measures, intra-abdominal dwell volume, or peritoneal membrane
transporter status and obstructive sleep apnea measures. CONCLUSIONS: The
prevalence of obstructive sleep apnea and sleep disturbances is high in
participants receiving peritoneal dialysis. Elevated apnea-hypopnea index is
associated with lower residual renal function, whereas dialysis-specific measures
such as intra-abdominal dwell volume and peritoneal membrane transporter status
do not correlate with severity of obstructive sleep apnea.
PMID- 29804146
TI - Cutaneous somatic and autonomic nerve TDP-43 deposition in amyotrophic lateral
sclerosis.
AB - OBJECTIVE: To evaluate the involvement of the sensory and autonomic nervous
system in amyotrophic lateral sclerosis (ALS) and to determine whether TDP
43/pTDP-43 deposits in skin nerve fibers signify a valuable biomarker for ALS.
METHODS: Eighteen patients with ALS and 18 age- and sex-matched control subjects
underwent physical examinations, in addition to donating skin biopsies from the
distal leg. The density of epidermal, Meissner's corpuscle (MC), sudomotor, and
pilomotor nerve fibers were measured. Confocal microscopy was used to determine
the cutaneous somatic and autonomic nerve fiber density and TDP-43/pTDP-43
deposition. RESULTS: Intraepidermal nerve fiber density (IENFD) was reduced in
individuals with ALS (P < 0.001). MC density (MCD) (P = 0.001), sweat gland nerve
fiber density (SGNFD) (P < 0.001), and pilomotor nerve fiber density (PNFD) (P <
0.001) were all reduced in ALS patients. The SGNFD correlated with the small
fiber neuropathy Symptoms Inventory Questionnaire (SFN-SIQ), VAS and age. The SFN
SIQ was higher in ALS with sensory symptoms than without sensory symptoms (P =
0.000). Furthermore, the SFN-SIQ was higher in ALS with autonomic symptoms than
without autonomic symptoms (P = 0.002). SFN-SIQ was higher in ALS patients that
were pTDP-43 positive than pTDP-43 negative (P = 0.04), respectively.
CONCLUSIONS: We established in the peripheral nervous system that higher SFN-SIQ
and VAS was involved in ALS, indicating the loss of SGNF. The deposition of TDP
43/pTDP-43 in ALS nerve fibers may indicate an important role in the underlying
pathogenesis of ALS. This observation might be used as a potential biomarker for
diagnosing ALS.
PMID- 29804147
TI - Correlation among olfactory function, motors' symptoms, cognitive impairment,
apathy, and fatigue in patients with Parkinson's disease.
AB - Although Parkinson's disease (PD) is usually considered as a movement disorder,
it is strongly associated with non-motor symptoms (NMS), including smell and
taste dysfunctions, cognitive impairment, apathy, fatigue, and autonomic
dysregulation. Olfactory deficit is considered the most common NMS in PD
preceding the motor symptoms for years. The aim of this study was to investigate
olfactory function, cognitive impairment, apathy, and fatigue in patients with PD
in comparison with healthy controls, and subsequently to analyse the correlations
between these NMS and motor symptoms severity in subjects with PD. One hundred
and forty-seven participants were enrolled (96 PD patients, mean age in years
67.5, SD 7.2; 51 healthy controls; mean age 65.1, SD 11.8). Olfactory function
was evaluated using the Sniffin' Sticks test (odor detection threshold,
discrimination and identification). The Montreal Cognitive Assessment (MoCA) was
used to assess cognitive impairment. Apathy was examined by the self-report
version of Starkstein Apathy Scale and fatigue was evaluated with the Parkinson's
Disease Fatigue Scale. PD patients showed severe impairment in odor detection
threshold, discrimination, and identification compared to healthy controls.
Moreover, in PD patients, apathy and fatigue scores were significantly increased,
while MoCA scores were decreased in comparison with controls. Multivariate linear
regression analyses showed that both apathy and Unified PD Rating Scale (UPDRS)
were associated with odor identification, discrimination and Threshold
Discrimination-Identification (TDI) score. In conclusion, our results reported
changes in apathy and motor disability as significant predictors in alterations
of odor identification, discrimination and TDI score. Furthermore, these data
suggest that olfactory dysfunction might progress in tight relation with motor
impairment UPDRS but also with non-motor symptoms such as apathy.
PMID- 29804148
TI - Cognitive performance in multiple sclerosis: the contribution of intellectual
enrichment and brain MRI measures.
AB - Cognitive reserve (CR) is a construct that originates from the observation of
poor correspondence between brain damage and clinical symptoms. The aim of the
study was to investigate the association between cognitive reserve (CR), brain
reserve (BR) and cognitive functions and to evaluate whether CR might
attenuate/moderate the negative impact of brain atrophy and lesion load on
cognitive functions in multiple sclerosis (MS). To achieve these aims, ninety
eight relapsing-remitting MS patients underwent the brief repeatable battery of
neuropsychological tests and Stroop test (ST). CR was assessed by vocabulary
based estimate of lifetime intellectual enrichment. All patients underwent a 3T
MRI to assess T2-lesion load and atrophy measures, including normalized gray
matter and white matter (nWMV) volumes. The BR was evaluated by maximal lifetime
brain volume expressed by intracranial volume (ICV). Hierarchical regressions
were used to investigate whether higher BR and/or CR is related to better
cognitive performances after controlling for potentially confounding factors. The
ICV was not associated with any cognitive tests. Intellectual enrichment was
positively associated with performance on tests assessing memory, attention and
information processing speed, verbal fluency and inhibitory control. Significant
relationship between nWMV and ST was moderated by intellectual enrichment. In
conclusion, the findings suggested that CR seems to mitigate cognitive
dysfunction in MS patients and can reduce the negative impact of brain atrophy on
inhibitory control, relevant for integrity of instrumental activities of daily
living.
PMID- 29804149
TI - Advances in the diagnosis and treatment of Sjogren's syndrome.
AB - Sjogren's syndrome (SS) is a systemic autoimmune disease that primarily affects
the exocrine glands, resulting in dryness of the eyes and mouth due to
lymphocytic infiltration of the salivary and lacrimal glands along with
arthritis, kidney, liver, and lung involvement, chronic fatigue, musculoskeletal
pain, vasculitis, and so on. Considerable advance has been made for the
classification and treatment of primary SS in the past few years. This article
reviews the recent classification criteria for primary SS and briefly discusses
the conventional and novel therapies of the disease.
PMID- 29804150
TI - Vascular complications in systemic sclerosis: a prospective cohort study.
AB - Two major complications in scleroderma patients that cause substantial morbidity
and mortality are ischemic digital lesions (DL) and pulmonary hypertension (PH).
The clinician's ability to predict which patients will develop these
complications is imperfect. We conducted a prospective observational cohort study
of 300 patients with scleroderma who were followed for at least a 5-year period.
At baseline, patients lacked evidence of PH and were without a current DL. At
each 6-month visit, the patient was examined for signs/symptoms of PH and/or a
DL. The primary outcomes were (1) PH defined as a mean pulmonary artery pressure
>= 25 mmHg by right heart catheterization and (2) >= 1 DL defined as new onset of
severe vascular compromise. Thirty patients (10%) developed PH (11 group 1/PAH, 4
group II, 15 group III) and 69 developed DL. The average time from enrollment
until diagnosis of PH was 3.2 +/- 2 years. In multivariable analyses, patients
who developed PH were more likely to have diffuse disease (HR 3.2, p = 0.004), a
forced vital capacity (FVC)/diffusing capacity of the lungs for carbon monoxide
(DLCO) ratio > 1.6 (HR 1.7, p = 0.008), and elevated RVSP (HR = 1.07, p = 0.007).
Patients who developed PAH were more likely to have a FVC/DLCO ratio > 1.6 (HR =
5.8, p = 0.014), and patients who developed group III PH were less likely to have
an elevated FVC (HR = 0.92, p = 0.001). Patients were more likely to develop a DL
if they had a history of prior DL (HR = 7.0, p < 0.001), or were men (HR = 2.3, p
= 0.007). In a prevalent cohort of scleroderma patients, individuals who develop
PH or DL have simple to measure clinical features that can predict these
complications years before they occur.
PMID- 29804152
TI - Probing the effect of morphology on lymphatic valve dynamic function.
AB - The lymphatic system is vital to the circulatory and immune systems, performing a
range of important functions such as transport of interstitial fluid, fatty acid,
and immune cells. Lymphatic vessels are composed of contractile walls and
lymphatic valves, allowing them to pump lymph against adverse pressure gradients
and to prevent backflow. Despite the importance of the lymphatic system, the
contribution of mechanical and geometric changes of lymphatic valves and vessels
in pathologies of lymphatic dysfunction, such as lymphedema, is not well
understood. We develop a fully coupled fluid-solid, three-dimensional
computational model to interrogate the various parameters thought to influence
valve behavior and the consequences of these changes to overall lymphatic
function. A lattice Boltzmann model is used to simulate the lymph, while a
lattice spring model is used to model the mechanics of lymphatic valves.
Lymphatic valve functions such as enabling lymph flow and preventing backflow
under varied lymphatic valve geometries and mechanical properties are
investigated to provide an understanding of the function of lymphatic vessels and
valves. The simulations indicate that lymphatic valve function is optimized when
valves are of low aspect ratio and bending stiffness, so long as these parameters
are maintained at high enough values to allow for proper valve closing. This
suggests that valve stiffening could have a profound effect on overall lymphatic
pumping performance. Furthermore, dynamic valve simulations showed that this
model captures the delayed response of lymphatic valves to dynamic flow
conditions, which is an essential feature of valve operation. Thus, our model
enhances our understanding of how lymphatic pathologies, specifically those
exhibiting abnormal valve morphologies such as has been suggested to occur in
cases of primary lymphedema, can lead to lymphatic dysfunctions.
PMID- 29804151
TI - Synthesis and evaluation of antimicrobial, antitubercular and anticancer
activities of 2-(1-benzoyl-1H-benzo[d]imidazol-2-ylthio)-N-substituted
acetamides.
AB - BACKGROUND: The study describes the synthesis, characterization, in vitro
antimicrobial and anticancer evaluation of a series of 2-(1-benzoyl-1H
benzo[d]imidazol-2-ylthio)-N-substituted acetamide derivatives. The synthesized
derivatives were also assessed for in vitro antitubercular activity against
Mycobacterium tuberculosis H37Rv. The compounds found active in in vitro study
were assessed for their in vivo antitubercular activity in mice models and for
their inhibitory action on vital mycobacterial enzymes viz, isocitrate lyase,
pantothenate synthetase and chorismate mutase. RESULTS: Compounds 8, 9 and 11
emerged out as excellent antimicrobial agents in antimicrobial assays when
compared to standard antibacterial and antifungal drugs. The results of
anticancer activity displayed that majority of the derivatives were less
cytotoxic than standard drugs (tamoxifen and 5-fluorouracil) towards MCF7 and
HCT116 cell lines. However, compound 2 (IC50 = 0.0047 uM/ml) and compound 10
(IC50 = 0.0058 uM/ml) showed highest cytotoxicity against MCF7 and HCT116 cell
lines, respectively. The results of in vivo antitubercular activity revealed that
a dose of 1.34 mg/kg was found to be safe for the synthesized compounds. The
toxic dose of the compounds was 5.67 mg/kg while lethal dose varied from 1.81 to
3.17 mg/kg body weight of the mice. Compound 18 inhibited all the three
mycobacterial enzymes to the highest level in comparison to the other synthesized
derivatives but showed lesser inhibition as compared to streptomycin sulphate.
CONCLUSIONS: A further research on most active synthesized compounds as lead
molecules may result in discovery of novel anticancer and antitubercular agents.
PMID- 29804154
TI - Somatic symptom disorder manifested as acute abdominal pain during pregnancy
preceding perinatal depression: a case report.
AB - Somatic symptom disorder (SSD) occurring as abdominal pain during pregnancy can
be very difficult to distinguish from physical diseases; prompt diagnosis and
appropriate treatment are required. SSD can develop into perinatal depression,
which may need intensive psychiatric intervention. Here, we present the first
case report of SSD preceding perinatal depression. This case shows the clinical
importance of SSD in obstetrics both as a cause of abdominal pain and as a
precursor of depression.
PMID- 29804153
TI - Greenhouse gas emissions from two hydroelectric reservoirs in Mediterranean
region.
AB - Water reservoirs are used for many purposes, such as water supply, irrigation,
flood mitigation, and hydroelectric energy generation. Although hydroelectric
energy is considered "green," many studies show that the construction of a
reservoir enhances greenhouse gas (GHG) emissions at the transformed area. These
emissions, mainly of CO2, CH4, and N2O gases, depend on the age of the reservoir,
landscape and soil composition, fauna and flora remnants of the impounded area,
climatic conditions, and basin runoffs. Consequently, GHG emissions significantly
vary between reservoirs and depending on local specificities. Several studies
have investigated GHG emissions from reservoirs around the world, focusing mainly
on reservoirs located in cold regions, temperate regions, and tropical regions.
Research is lacking for reservoirs in Mediterranean countries, like Greece, and
similar regions. This work initially assesses the net GHG emissions of a newly
created reservoir (Ilarion est. 2012) in Western Macedonia, Greece. The
methodology for net GHG emission calculation was based on the use of literature
data concerning pre-impoundment emission factors and local specificities of the
reservoir (terrain type, canopy cover), as well as on the 2-year measurement data
that were collected using a "static floating chamber." Furthermore, in this work,
the gross GHG emissions of an older, in-line reservoir (Polyfytos est. 1974) were
also calculated, based on 2-year measurement data. The results show that the
global warming potential (GWP) of the reservoirs is dictated by methane
emissions; it minimizes during winter and spring and maximizes during summer and
autumn. Hydroelectric energy production at Ilarion Reservoir results in 32 to 97
times less total CO2 equivalent emissions in comparison to fossil fuels, while at
Polyfytos Reservoir only 8 to 24 times less (based on gross emissions). It
appears that the impact of a reservoir's morphology on GHG emissions is more
significant than that of a reservoir's age.
PMID- 29804155
TI - Menarche and menstrual problems are associated with non-suicidal self-injury in
adolescent girls.
AB - Menstrual problems and non-suicidal self-injury (NSSI) are common in adolescent
girls. This study examined whether onset of menstruation and menstrual problems
were related to NSSI in Chinese female adolescents. A total of 5696 adolescent
girls participated in the baseline survey of Shandong Adolescent Behavior and
Health Cohort (SABHC) study in Shandong, China. A structured questionnaire was
used to ask about participants' lifetime and last-year NSSI, age at menarche,
menstrual cycle interval, menstrual flow length, menstrual irregularity, period
pain, body weight and height, and demographics. Impulsivity was assessed by the
Eysenck I7 impulsiveness scale. Internalizing and externalizing problems were
measured by the Youth Self-Report of Child Behavior Checklist. The mean age of
the sample was 15.0 years (SD = 1.4). The prevalence of lifetime and last year
NSSI were 28.1% and 21.4% in Chinese adolescent girls. After adjusting for
adolescent and family covariates (age, body mass index, impulsivity,
internalizing and externalizing problems, paternal education, and family economic
status), onset of menstruation was significantly associated with increased risk
of lifetime NSSI (OR = 1.62, 95%CI = 1.20-2.20) and last year NSSI (OR = 1.92,
95%CI = 1.37-2.67). Among adolescent girls who had menarche, often irregular
menstruation and period pain were significantly and independently associated with
lifetime NSSI (OR = 1.36, 95%CI = 1.03-1.79; OR = 1.29, 95%CI = 1.05-1.58) and
last year NSSI (OR = 1.46, 95%CI = 1.07-1.98; OR = 1.29, 95%CI = 1.03-1.61). Our
findings suggest that onset of menarche, irregular periods, and period pain
appear to be associated with increased risk of NSSI. These findings highlight the
importance of menstruation hygiene education and treatment of menstrual problems
to reduce the risk of NSSI among adolescent girls.
PMID- 29804157
TI - The evolution of invasive cerebral vasospasm treatment in patients with
spontaneous subarachnoid hemorrhage and delayed cerebral ischemia-continuous
selective intracarotid nimodipine therapy in awake patients without sedation.
AB - Cerebral vasospasm (CV) and delayed cerebral ischemia (DCI) are major factors
that limit good outcome in patients with spontaneous subarachnoid hemorrhage
(SAH). Continuous therapy with intra-arterial calcium channel blockers has been
introduced as a new step in the invasive treatment cascade of CV and DCI.
Sedation is routinely necessary for this procedure. We report about the
feasibility to apply this therapy in awake compliant patients without intubation
and sedation. Out of 67 patients with invasive endovascular treatment of cerebral
vasospasm due to spontaneous SAH, 5 patients underwent continuous superselective
intracarotid nimodipine therapy without intubation and sedation. Complications,
neurological improvement, and outcome at discharge were summarized. Very good
outcome was achieved in all 5 patients. The Barthel scale was 100 and the
modified Rankin scale 0-1 in all cases at discharge. We found no severe
complications and excellent neurological monitoring was possible in all cases due
to patients' alert status. Symptoms of DCI resolved within 24 h in all 5 cases.
We could demonstrate the feasibility and safety of selective intracarotid
arterial nimodipine treatment in awake, compliant patients with spontaneous SAH
and symptomatic CV and DCI. Using this method, an excellent monitoring of
neurological function as well as early detection of other complications is
possible. It might be an important step in the risk reduction of invasive CV
therapy to improve the outcome with CV and DCI after SAH in selected patients.
PMID- 29804156
TI - Development and external validation of a nomogram to predict high-grade papillary
bladder cancer before first-time transurethral resection of the bladder tumor.
AB - BACKGROUND: The aim of this study was to identify the clinical predictors related
to the risk of high-grade papillary bladder cancer before first-time
transurethral resection of a bladder tumor (TUR-Bt), and to develop and validate
a nomogram predicting the risk of high-grade papillary bladder cancer. METHODS: A
retrospective clinical study of consecutive patients who underwent first-time TUR
Bt for papillary bladder cancer was performed. Medical records were reviewed
uniformly, and the following data were collected: age, sex, episodes of urinary
symptoms, tumor size, number of tumors, location of the largest tumor (lateral
walls, base, posterior wall, dome, and anterior wall), tumor appearance
(papillary or non-papillary, pedunculated or sessile), and urinary cytology. Data
from 254 patients (Group A) were used for the development of a nomogram, while
data from 170 patients (Group B) were used for its external validation. RESULTS:
High-grade papillary bladder cancer was pathologically diagnosed in 51.6 and
74.6% of Group A and Group B patients, respectively. Based on univariable
analyses in Group A, macrohematuria, tumor size, multiple tumors, appearance, and
positive urinary cytology were selected as variables to incorporate into a
nomogram. The AUC value was 0.81 for the internal validation (Group A), and 0.78
for the external validation (Group B). This novel nomogram can predict high-grade
papillary bladder cancer accurately. CONCLUSIONS: The present nomogram can help
clinicians calculate the probability in patients with bladder cancer before TUR
Bt and decide on earlier intervention and priorities for the treatment of
patients diagnosed with bladder cancer.
PMID- 29804158
TI - Impact of echocardiographic wall motion abnormality and cardiac biomarker
elevation on outcome after subarachnoid hemorrhage: a meta-analysis.
AB - Cardiac abnormalities (echocardiographic wall motion abnormality (WMA), biomarker
elevation of cardiac troponin (cTn), B-type natriuretic peptide (BNP), or N
terminal prohormone of B-type natriuretic peptide (NT-proBNP)) frequently occur
after subarachnoid hemorrhage (SAH). The clinical significance of cardiac
abnormalities after SAH remains controversial. This meta-analysis was performed
to assess the association between cardiac abnormalities and patient outcomes,
including delayed cerebral ischemia (DCI), poor outcome, and death in SAH
patients. PubMed and Embase were searched for observational studies reporting an
association between cardiac abnormalities and outcome after SAH that were
published before 31 December 2017. We extracted data regarding patient
characteristics, cardiac abnormalities, and outcome measurements (DCI, poor
outcome, or death). Risk ratios (RRs) and 95% confidence intervals (CIs) were
calculated using a random-effects model. Twenty-six studies involving 3917
patients were included in our data analysis. WMA showed significant associations
with higher rates of DCI (RR, 2.03; 95% CI, 0.99-4.15), poor outcome (RR, 1.45;
95% CI, 1.08-1.93), and death (RR, 2.54; 95% CI, 1.59-4.05). cTn elevation was
associated with an increased risk of DCI (RR, 1.48; 95% CI, 1.23-1.79), poor
outcome (RR, 1.85; 95% CI, 1.49-2.30), and death (RR, 2.68; 95% CI, 2.19-3.27).
Elevation of BNP or NT-proBNT was significantly associated with higher rates of
DCI (RR, 1.87; 95% CI, 1.16-3.02). WMA and elevation of cTn, BNP, and NT-proBNP
in SAH patients are associated with an increased risk of DCI, poor outcome, and
death after SAH.
PMID- 29804159
TI - Is deep brain involvement in intracranial primary central nervous system lymphoma
of importance for penetration of chemotherapeutic agents?
AB - PURPOSE: The purposes of this study are to study the impact of deep brain
involvement on overall survival (OS) and progression-free survival (PFS) in
intracranial primary CNS lymphoma (PCNSL), and to explore possible mechanisms for
this impact using advanced MRI techniques. METHODS: Seventy-nine patients with
histologically verified PCNSL were identified from a prospective clinical
database of patients treated at Oslo University Hospital between 2003 and 2014.
Patients were treated per standard chemotherapeutic regimens (N = 57) or no
chemotherapy (N = 22). Anatomical MRIs were available in all patients to assess
tumor load and location based on contrast agent enhancement visible on T1
weighted images. Diffusion MRIs were available in 33 (42%) patients and perfusion
MRI in 13 (16%) patients that received active treatment. RESULTS: Across all
patients, OS and PFS were 16.4 and 9.8 months, respectively. In multivariate
analysis, MRI-based deep brain involvement (80%) was the only negative
significant factor of OS (OR = 14.2; P < 0.005). While a reduced Karnofsky
performance status was associated with deep brain involvement (P < 0.05), neither
chemotherapy regimen, neurologic status, nor patient age were independent
significant factors for OS or PFS in this setting. Compared to other tumors and
healthy tissue levels, MRI perfusion showed more pathologic hemodynamic flow
signatures in tumors with deep brain involvement. CONCLUSION: In intracranial
PCNSL, the only significant prognostic factor for OS and PFS in multivariate
analysis was age and deep brain involvement. While contingent on a small study
sample, we hypothesize this may in part be explained by regional differences in
vascular supply and delivery from a dysfunctional perfusion signature.
PMID- 29804160
TI - Melatonin Suppresses Estrogen Deficiency-Induced Osteoporosis and Promotes
Osteoblastogenesis by Inactivating the NLRP3 Inflammasome.
AB - Postmenopausal osteoporosis induced by estrogen deficiency causes inadequate new
bone formation and affects millions of women worldwide. Melatonin can improve
bone mineral density at the femoral neck in postmenopausal women with osteopenia.
This study aimed to investigate the mechanism of melatonin in estrogen deficiency
induced osteoporosis by focusing on osteoblast differentiation. 12-week-old
female C57BL/6J mice were ovariectomized (OVX) and intraperitoneally injected
with 10 or 50 mg/kg of melatonin for 8 weeks. Micro-computerized tomography
scanning demonstrated that melatonin alleviated OVX-induced bone loss in a dose
dependent manner. Serum levels of ALP and osteocalcin (OCN) were further
increased, whereas tartrate-resistant acid phosphatase level was decreased by
melatonin in OVX-treated mice. Melatonin promoted osteoblast differentiation in
primary bone marrow mesenchymal stem cells from OVX mice. It also inhibited
activation of NLRP3 inflammasome in femoral bone protein and in induced
osteoblasts stimulated by OVX. Knockdown of NLRP3 attenuated OVX-induced
repression of osteogenic differentiation. The NLRP3 inflammasome activator
monosodium urate partly abrogated the effect of melatonin on the expression of
osteoblastogenic markers, including Runx2 and OCN. Additionally, the results
showed that melatonin suppressed NLRP3 inflammasome activation by regulating
Wnt/beta-catenin signaling, which was confirmed by the Wnt/beta-catenin inhibitor
recombinant DKK1. These results indicated that melatonin ameliorates estrogen
deficiency-induced osteoporosis and impaired osteogenic differentiation potential
by suppressing activation of the NLRP3 inflammasome via mediating the Wnt/beta
catenin pathway.
PMID- 29804161
TI - Dual therapy with clopidogrel and aspirin prevents early neurological
deterioration in ischemic stroke patients carrying CYP2C19*2 reduced-function
alleles.
AB - PURPOSE: To investigate the associations between CYP2C19 genotypes and early
neurological deterioration (END), and to carry out a stratified analysis of the
effectiveness of clopidogrel alone and dual antiplatelet therapy with clopidogrel
and aspirin for prevention of END according to CYP2C19 genotypes in ischemic
stroke (IS) patients. METHODS: This was a prospective, observational, two-center
study. A total of 375 acute IS patients were enrolled. Platelet aggregation was
measured before and after the 7- to 10-day treatment. Clopidogrel resistance (CR)
was assessed by adenosine diphosphate-induced platelet aggregation. CYP2C19*2
(rs4244285) and CYP2C19*3 (rs4986893) genotypes were examined using mass
spectrometry. The primary outcome was END during the 10 days after admission.
RESULTS: Among the 375 patients, 144 patients received clopidogrel alone, 231
patients took clopidogrel plus aspirin, 153 patients (40.8%) had CR, 95 patients
(25.3%) experienced END. Patients carrying CYP2C19*2 AG/AA (CYP2C19*2 reduced
function alleles) and CR were associated with a higher risk for END, and dual
antiplatelet therapy was associated with a lower risk for END. Stratified
analyses revealed that there was no significant difference in the incidence of
END between patients not carryingCYP2C19*2 reduced-function alleles who received
clopidogrel plus aspirin and those who received clopidogrel alone. However, dual
antiplatelet therapy was more effective at reducing END and inhibiting platelet
aggregation than clopidogrel alone for carriers of at least one CYP2C19*2 reduced
function allele. CONCLUSIONS: The frequency of END was very high after acute IS
in the Chinese population. Dual therapy with clopidogrel and aspirin may be
adequate for patients carrying CYP2C19*2 reduced-function alleles. Genetic
testing may be useful to guide personalized and precise antiplatelet therapy.
CLINICAL TRIAL REGISTRATION INFORMATION: The study described here is registered
at http://www.chictr.org / (unique Identifier: ChiCTR-OCH-14004724).
PMID- 29804163
TI - Characterization of transgenic mice expressing EGFP under the control of the
monkey 20alpha-hydroxysteroid dehydrogenase promoter.
AB - To directly assess the molecular function of the monkey 20alpha-hydroxysteroid
dehydrogenase (20alpha-HSD) promoter, we generated transgenic mice (tg)
expressing enhanced green fluorescent protein (EGFP) under control of this
promoter. We demonstrated that prostaglandin F2alpha induced 20alpha-HSD promoter
activity in CHO cells in a dose-dependent manner. Furthermore, forskolin
treatment markedly reduced 20alpha-HSD promoter activity, and prolactin exhibited
weak inhibitory activity. The transgenic mouse obtained one positive founder
male. The transgene was propagated in 10 successive generations without any
notable defects to the progeny. EGFP and 20alpha-HSD in the tg mice were
colocalized in the luteal cells of the ovary during late pregnancy. Strong EGFP
and 20alpha-HSD protein signals were also detected in the adult testis.
Immunohistochemical analysis revealed high EGFP levels in the seminiferous
epithelium, whereas 20alpha-HSD was expressed in the seminiferous tubules. Our
data suggest that the ovaries in monkey and mouse exhibit similar expression
patterns of 20alpha-HSD during pregnancy. However, the expression pattern of EGFP
in tg mice testis slightly differed from that of the endogenous 20alpha-HSD.
Further investigation is required to elucidate the functional mechanisms
underlying regulation of the monkey 20alpha-HSD promoter in the tg mice.
PMID- 29804162
TI - Sex differences in spontaneous reports on adverse drug events for common
antihypertensive drugs.
AB - PURPOSE: To explore sex differences in spontaneously reported adverse drug events
(ADEs) for antihypertensives in routine care. METHODS: A cross sectional analysis
combining number of reports from the national pharmacovigilance database with
data from the Swedish Prescribed Drug Register, from 2005 to 2012 for ACE
inhibitors (ACE-I) and angiotensin receptor blockers (ARB), with or without
thiazide, diuretics (thiazides, potassium-sparing agents, sulfonamides,
aldosterone antagonists), selective betablockers, and dihydropyridine calcium
channel-blockers (DHPs). The total number of reports was adjusted to exposed
patients and dispensed DDDs among women and men. Dose exposures, co-medications,
and co-prescriptions were also analyzed. RESULTS: In women, a higher prevalence
of ADE-reports was seen in ACE-I (odds ratio, OR 1.21; 95% CI 1.09-1.35), ACE-I
combinations (OR 1.61; 1.44-1.79), ARB-combinations (OR 2.12; 1.47-3.06),
thiazides (OR 1.78; 1.33-2.39), diuretics and potassium-sparing agents (OR 1.62;
1.22-2.17), and DHPs (OR 1.40; 1.17-1.67), with a potential linkage to dose
exposure. For aldosterone antagonists, we observed a higher prevalence of ADE
reports in men (OR 0.75; 0.59-0.97) but without any sex difference in dose
exposure. CONCLUSIONS: This ecological study of reported ADEs showed a higher
prevalence of reports in women in six out of ten groups of antihypertensive
drugs, and this may potentially be linked to dose exposure. Aldosterone
antagonists was the only group with a higher prevalence of ADE-reports in men
with a similar dose exposure between women and men.
PMID- 29804165
TI - Comment on "Efficacy of photodynamic therapy as adjunct treatment of chronic
periodontitis: a systematic review and meta-analysis".
PMID- 29804166
TI - Treatment of intravitreal bevacizumab combined with focal laser photocoagulation
in the case of macular telangiectasia type 2 with retinal arterial macroaneurysm.
AB - Macular telangiectasia type 2 (Mac Tel 2) is a bilateral disease of unknown cause
with characteristic changes of the retinal vasculature. To the best of our
knowledge, we could not find any reported cases of Mac Tel 2 with retinal
arterial macroaneurysm (RAM). Our aim is to report a case of Mac Tel 2 with RAM.
PMID- 29804164
TI - The value of EBV DNA in early detection of post-transplant lymphoproliferative
disorders among solid organ and hematopoietic stem cell transplant recipients.
AB - PURPOSE: Emerging EBV DNAemia in plasma is considered an early sign of post
transplant lymphoproliferative disorder (PTLD). The aim of this study was to
quantify the extent of benefit from screening for EBV DNAemia to detect emerging
PTLD among solid organ (SOT) or hematopoietic stem cell transplant recipients
(HSCT). METHODS: We used receiver operating characteristic (ROC) curves for
assessing ability of models to predict PTLD. Among 2642 recipients transplanted
between January 2004 and December 2014, 79 (3%) developed PTLD. RESULTS: EBV
DNAemia was observed in 331/1784 recipients (18.6%, 95% CI 16.8-20.4) with
measured EBV DNA. The area under the curve (AUC) of the ROC of EBV DNAemia to
identify persons with subsequent PTLD was 72% (95% CI, 64-79%) among SOT and 59%
(51-68%) among HSCT. Including clinical predictors such as age, gender,
transplant year and type, high-risk EBV serostatus, and routine biochemistry in
addition to EBV DNAemia increased AUC to 83% (75-90%) among SOT and 84% (79-89%)
among HSCT. Among HSCT, including additional factors such as T-cell-depleting
treatment, acute graft vs. host disease and donor match increased AUC to 85% (78
91%). CONCLUSIONS: We constructed a model to better predict PTLD compared to EBV
DNA screening alone which could have clinical implications.
PMID- 29804167
TI - Serum magnesium but not calcium was associated with hemorrhagic transformation in
stroke overall and stroke subtypes: a case-control study in China.
AB - Association between serum calcium and magnesium versus hemorrhagic transformation
(HT) remains to be identified. A total of 1212 non-thrombolysis patients with
serum calcium and magnesium collected within 24 h from stroke onset were
enrolled. Backward stepwise multivariate logistic regression analysis was
conducted to investigate association between calcium and magnesium versus HT.
Calcium and magnesium were entered into logistic regression analysis in two
models, separately: model 1, as continuous variable (per 1-mmol/L increase), and
model 2, as four-categorized variable (being collapsed into quartiles). HT
occurred in 140 patients (11.6%). Serum calcium was slightly lower in patients
with HT than in patient without HT (P = 0.273). But serum magnesium was
significantly lower in patients with HT than in patients without HT (P = 0.007).
In logistic regression analysis, calcium displayed no association with HT.
Magnesium, as either continuous or four-categorized variable, was independently
and inversely associated with HT in stroke overall and stroke of large-artery
atherosclerosis (LAA). The results demonstrated that serum calcium had no
association with HT in patients without thrombolysis after acute ischemic stroke.
Serum magnesium in low level was independently associated with increasing HT in
stroke overall and particularly in stroke of LAA.
PMID- 29804168
TI - Quantification of dysarthromicronphonia in a Cypriot family with autosomal
recessive hereditary spastic paraplegia associated with a homozygous SPG11
mutation.
AB - BACKGROUND: Dysarthrophonia is often reported by hereditary spastic paraplegia
(HSP) patients with SPG11 mutations but it has been poorly investigated.
OBJECTIVE: The goal of this study was to investigate dysarthrophonia in SPG11
patients using quantitative measures. The voice/speech of two patients and a non
affected mutation carrier was recorded and analyzed using electroglottography
(EGG) and speech acoustics. RESULTS: Dysarthrophonia showed a higher standard
deviation of the average fundamental frequency, a three to eight times higher
jitter, a 80-110 Hz higher mean fundamental frequency, and a two times higher
fundamental frequency range. Diadochokinesis showed a pattern of a two to three
times increase in the mean duration of the release burst of the phonemes /p/,
/t/, /k/ as well as a 1.5 time increase in the mean vowel duration of the
syllables /pa/, /ta/, /ka/. CONCLUSION: Non-invasive physiological methods (EGG
and speech acoustics) offer essential tools for the assessment of dysarthrophonia
in SPG11 patients.
PMID- 29804169
TI - Engaging patients and caregivers in prioritizing symptoms impacting quality of
life for Duchenne and Becker muscular dystrophy.
AB - PURPOSE: Patient preference information (PPI) have an increasing role in
regulatory decision-making, especially in benefit-risk assessment. PPI can also
facilitate prioritization of symptoms to treat and inform meaningful selection of
clinical trial endpoints. We engaged patients and caregivers to prioritize
symptoms of Duchenne and Becker muscular dystrophy (DBMD) and explored preference
heterogeneity. METHODS: Best-worst scaling (object case) was used to assess
priorities across 11 symptoms of DBMD that impact quality of life and for which
there is unmet need. Respondents selected the most and least important symptoms
to treat among a subset of five. Relative importance scores were estimated for
each symptom, and preference heterogeneity was identified using mixed logit and
latent class analysis. RESULTS: Respondents included patients (n = 59) and
caregivers (n = 96) affected by DBMD. Results indicated that respondents
prioritized "weaker heart pumping" [score = 5.13; 95% CI (4.67, 5.59)] and
pulmonary symptoms: "lung infections" [3.15; (2.80, 3.50)] and "weaker ability to
cough" [2.65; (2.33, 2.97)] as the most important symptoms to treat and "poor
attention span" as the least important symptom to treat [- 5.23; (- 5.93, -
4.54)]. Statistically significant preference heterogeneity existed (p value <
0.001). At least two classes existed with different priorities. Priorities of the
majority latent class (80%) reflected the aggregate results, whereas the minority
latent class (20%) did not distinguish among pulmonary and other symptoms.
CONCLUSIONS: Estimates of the relative importance for symptoms of Duchenne
muscular dystrophy indicated that symptoms with direct links to morbidity and
mortality were prioritized above other non-skeletal muscle symptoms. Findings
suggested the existence of preference heterogeneity for symptoms, which may be
related to symptom experience.
PMID- 29804170
TI - Validation of reference and identity-defining genes in human mesenchymal stem
cells cultured under unrelated fetal bovine serum batches for basic science and
clinical application.
AB - The molecular profile of human mesenchymal stem cells (MSCs) have emerged as a
key factor in defining their identity. Nevertheless, the effect of fetal bovine
serum (FBS) batches or origin on MSC molecular signature has been neglected. In
this frame, chemical fingerprint of FBS batches from unrelated countries showed
strong correlation between chemical composition and country of origin. Thus, the
aim of this study was to evaluate in stem cells isolated from bone marrow
(BMMSCs) and umbilical cord-blood (CBMSCs) the effects of independently collected
FBS batches on both twelve commonly used reference genes (RGs) and a selected
panel of thirty-eight genes crucial for MSC definition in both research and
clinical settings. Gene expression stability was estimated comparing the outcomes
of two applets: geNorm and NormFinder. The bioinformatics analysis emphasized
that, in a panorama of general balance, few RG candidates (YWHAZ/UBC for BMMSCs,
RPLP0/EF1A for CBMSCs and EF1A/TBP for both MSCs scored together) showed superior
stability. In addition, a wider study on genes involved in
differentiation/proliferation/stemness processes, often used to define MSC
potency, showed that these genes exhibited no major transcriptional modulation
after treatment with different FBS, and allowed the identification of genes
strongly discriminating between BM- and CBMSC populations. Therefore, in
conclusion, FBS origin does not dramatically impact the general molecular profile
of MSCs, although we could identify validated candidates able to allow more
reliable comparison of data regarding MSC identity and potency and obtained by
research laboratories and clinical manufacturers using different sera.
PMID- 29804171
TI - Dental Pulp Tissue Engineering Using Mesenchymal Stem Cells: a Review with a
Protocol.
AB - Mesenchymal stem cells (MSCs) are adult stem cells that can be isolated from
human and animal sources such as rats. Recently, an in vivo protocol for pulp
tissue engineering using implantation of bone marrow MSCs into rat pulpotomized
molars was established by our research group. This coronal pulp regeneration
model showed almost complete regeneration/healing with dentin bridge formation
when the cavity was sealed with mineral trioxide aggregate (MTA) to create a
biocompatible seal of the pulp. This method is a powerful tool for elucidating
the processes of dental pulp tissue regeneration following implantation of MSCs.
In the present review, we discuss the literature in the field of dental pulp
tissue engineering using MSCs including dental pulp stem cells and stem cells
from exfoliated deciduous teeth. In addition, we present a brief step-by-step
protocol of the coronal pulp regeneration model focusing on the implantation of
rat bone marrow MSCs, biodegradable scaffolds, and hydrogels in pulpotomized rat
molars. The protocol may lay the foundation for studies aiming at defining
further histological and molecular mechanism of the rat pulp tissue engineering.
PMID- 29804172
TI - Right bundle branch block and conduction disturbances in Brugada syndrome and
arrhythmogenic right ventricular cardiomyopathy.
AB - Right bundle branch block is relatively frequent in the general population and is
generally considered benign in healthy individuals. However, it has been
associated with increased cardiovascular risk and all-cause mortality in various
cohort studies. Indeed, the presence of right bundle branch block in subjects
affected by cardiomyopathies or channelopathies is not rare and may conceal
underlying electrocardiographic changes, thus making the diagnosis of such
conditions more challenging. The purpose of this review is to analyze the
prevalence and clinical significance of right bundle branch block in subjects
affected by Brugada syndrome and arrhythmogenic right ventricular cardiomyopathy.
PMID- 29804173
TI - Subclinical atrial fibrillation frequency and associated parameters in patients
with cardiac resynchronization therapy.
AB - AIM: The presence of subclinical atrial fibrillation (SCAF) is relevant to issues
such as the risk of stroke and the necessity of anticoagulant use in patients
with cardiac resynchronization therapy (CRT). Our study aimed to investigate SCAF
frequency and associated parameters in patients with CRT. METHODS: One hundred
ninety-one patients with CRT (77 females, 114 males, mean age 65.9 +/- 9.8) were
included in the study. Atrial high-rate episodes detected by the device, atrial
electrode impedance, P-wave sense amplitude, and atrial lead threshold values
were measured during pacemaker controls. SCAF was defined as asymptomatic atrial
high-rate episodes (AHRE) longer than 6 min and shorter than 24 h. Patients were
divided into two groups as with and without SCAF. RESULTS: SCAF was detected in
44 (23.2%) of 191 patients with CRT. Age, sex, weight, aortic end-systolic
diameter, left atrium (LA) diameter, left bundle branch block morphology, CHA2DS2
VASc score, and right atrium thresholds were associated with SCAF. In
multivariate regression analysis, CHA2DS2-VASc score, LA diameter, and atrial
threshold values were found to be independent predictors of SCAF occurrence.
According to this analysis, every 1 point increase in CHA2DS2-VASc score, every 1
mm increase in LA diameter, and every 0.1 V increase in atrial threshold
increased the risk of SCAF by 32.5, 59.6, and 14.6%, respectively. In the ROC
analysis, the area under the curve (AUC) was 0.870, 0.638, and 0,652 for LA
diameter, CHA2DS2-VASc score, and atrial lead threshold, respectively (p < 0.05,
for all). The cut-off values were 34 mm, 3, and 0.6 V for LA diameter, CHA2DS2
VASc score, and atrial lead threshold, respectively. CONCLUSION: Patients with
CRT have significantly higher frequency of SCAF than the normal population.
CHA2DS2-VASc score, LA diameter, and atrial threshold values were considered to
be useful and easily applicable parameters in identifying the patients to develop
SCAF.
PMID- 29804174
TI - Inter-cycle consistency versus test compliance in endometrial receptivity
analysis test.
PMID- 29804176
TI - Pulmonary capillary hemangiomatosis diagnosed by pathology of explanted lungs: a
unique etiology serves as a key of clinical diagnosis.
AB - A 27-year-old female patient had presented progressing exertional dyspnea due to
pulmonary hypertension. Chest CT revealed diffusely spread patchy ground-glass
opacities sparing subpleural parenchymal areas suggesting the diagnosis of
pulmonary veno-occlusive disease (PVOD). Despite the diagnosis of PVOD, she was
somehow managed by a repetitive escalation of the epoprostenol dose and oxygen
supply during the 12-month waiting period until successful bilateral lung
transplantation was performed. Pathology demonstrated capillary proliferation in
alveolar septae with scarce lesions of narrowed and/or occluded postcapillary
small veins, leading to the final diagnosis of pulmonary capillary
hemangiomatosis (PCH), not PVOD. We herein present a case of PCH diagnosed after
lung transplantation with a focus on its etiology and a key to clinical
diagnosis.
PMID- 29804177
TI - Drought Stress Effects on Growth, ROS Markers, Compatible Solutes, Phenolics,
Flavonoids, and Antioxidant Activity in Amaranthus tricolor.
AB - Four selected Amaranthus tricolor cultivars were grown under four irrigation
regimes (25, 50, 80, and 100% field capacity) to evaluate the mechanisms of
growth and physiological and biochemical responses against drought stress in
randomized complete block design with three replications. Drought stress led to
decrease in total biomass, specific leaf area, relative water content (RWC),
photosynthetic pigments (chlorophyll a, chlorophyll b, chlorophyll ab), and
soluble protein and increase in MDA, H2O2, EL, proline, total carotenoid,
ascorbic acid, polyphenols, flavonoids, and antioxidant activity. However,
responses of these parameters were differential in respect to cultivars and the
degree of drought stresses. No significant difference was observed in control and
LDS for most of the traits. The cultivars VA14 and VA16 were identified as more
tolerant to drought and could be used for further evaluations in future breeding
programs and new cultivar release programs. Positively significant correlations
among MDA, H2O2, compatible solutes, and non-enzymatic antioxidant (proline, TPC,
TFC, and TAC) suggested that compatible solutes and non-enzymatic antioxidant
played vital role in detoxifying of ROS in A. tricolor cultivar. The increased
content of ascorbic acid indicated the crucial role of the ASC-GSH cycle for
scavenging ROS in A. tricolor.
PMID- 29804175
TI - Cryopreservation of ovarian tissue may be considered in young girls with
galactosemia.
AB - PURPOSE: The aim was to describe the first experience with fertility preservation
by cryopreservation of ovarian tissue (OTC) in pre-pubertal girls with
galactosemia and further to characterize ovarian follicular morphology and
expression of proteins important for ovarian function. METHODS: Retrospectively,
follicle density was estimated in ovarian cortical tissues from 6 pre-pubertal
girls below the age of 12 years diagnosed with galactosemia and from 31 girls
below the age of 18 years who had one ovary removed for fertility preservation
for other reasons prior to gonadotoxic treatment. Additionally, expression of 4
glycoproteins important for follicle development were analyzed with
immunohistochemistry in two galactosemic ovaries (aged 0.9 and 1.7 years) and
compared to normal age-matched controls. The proteins included were: anti
Mullerian hormone (AMH) pro-mature and C-terminal, growth differentiation factor
9 (GDF-9), bone morphogenetic protein 15 (BMP-15), and pregnancy-associated
plasma protein A (PAPP-A). RESULTS: Girls with galactosemia below the age of 5
years presented with morphological normal follicles and follicle densities within
the 95% confidence interval (CI) of controls. No follicles were detected in the
ovary from an 11.7-year-old girl with galactosemia. Expression of AMH, GDF-9, BMP
15, and PAPP-A appeared similar in follicles from girls with galactosemia and
controls. CONCLUSIONS: These findings suggest that young girls with galactosemia
maintain follicles in early childhood and fertility cryopreservation may be
considered an option in this patient group. The pathophysiology of galactosemia
leading to an accelerated follicle loss is unknown and it is currently unknown to
what extent transplanted ovarian tissue can sustain fertility in adult life.
PMID- 29804178
TI - The applicability of fixed and adjustable gravitational shunt valves in two
different clinical settings.
AB - BACKGROUND: Gravitational shunt valves and most recently the adjustable proSA(r)
gravitational valve have been designed to counteract overdrainage and thereby
improving clinical outcome. So far, the applicability in a broader mix of
hydrocephalus patients is unrevealed. The aim of this study was to evaluate the
utility of gravitational valves in two different clinical settings. METHODS: This
retrospective double-center cohort study was enabled by two different shunt
management policies. At Rigshospitalet, patients with a complicated shunt history
receiving a proGAV(r) and proSA(r) shunt system during surgical revision were
included, and clinical outcome in the follow-up periods before and after was
compared. At Aarhus University Hospital, a combination of a proGAV(r) and a fixed
(SA(r)) or adjustable (proSA(r)) gravitational valve was used in all shunt
procedures. Clinical outcome in a 2-year follow-up period was compared to a
cohort receiving non-gravitational valves in the period before the transition to
gravitational valves. RESULTS: Twenty-two patients were included at
Rigshospitalet. Mean follow-up time before and after proGAV(r) and proSA(r)
implantation was 2.3 and 1.5 years, respectively. In each patient, roughly two
surgical revisions (p 0.031) and two hospitalizations (p 0.009) were avoided each
year after proGAV(r) and proSA(r) implantation. At Aarhus University Hospital, 90
patients with non-gravitational valves and 98 patients with gravitational valves
were included. Changes in clinical outcome parameters and shunt survivals were
either stable or statistically insignificant. CONCLUSIONS: Gravitational valves
are safe and useful in clinical practice and represent an equivalent alternative
as a first-line shunt valve in a broad mix of patients, while proSA(r) valves
should be considered for complex shunt patients.
PMID- 29804179
TI - Metabolic engineering of lipid pathways in Saccharomyces cerevisiae and staged
bioprocess for enhanced lipid production and cellular physiology.
AB - Microbially produced lipids have attracted attention for their environmental
benefits and commercial value. We have combined lipid pathway engineering in
Saccharomyces cerevisiae yeast with bioprocess design to improve productivity and
explore barriers to enhanced lipid production. Initially, individual gene
expression was tested for impact on yeast growth and lipid production. Then, two
base strains were prepared for enhanced lipid accumulation and stabilization
steps by combining DGAT1, DeltaTgl3 with or without Atclo1, which increased lipid
content ~ 1.8-fold but reduced cell viability. Next, fatty acid (FA) biosynthesis
genes Ald6-SEACSL641P alone or with ACC1** were co-expressed in base strains,
which significantly improved lipid content (8.0% DCW, 2.6-fold than control), but
severely reduced yeast growth and cell viability. Finally, a designed two-stage
process convincingly ameliorated the negative effects, resulting in normal cell
growth, very high lipid productivity (307 mg/L, 4.6-fold above control) and
improved cell viability.
PMID- 29804180
TI - Correction to: Two-port robotic hysterectomy: a novel approach.
AB - The objective of the study was to demonstrate a novel technique for two-port
robotic hysterectomy with a particular focus on the challenging portions of the
procedure. The study is designed as a technical video, showing step-by-step a two
port robotic hysterectomy approach.
PMID- 29804182
TI - Answer to the Letter to the Editor of Biao Wang et al. concerning "Percutaneous
cement discoplasty for the treatment of advanced degenerative disk disease in
elderly patients" by Sola C, Camino Willhuber G, Kido G et al. Eur Spine J
(2018): doi:10.1007/s00586-018-5547-7.
PMID- 29804181
TI - Fast and automatic bone segmentation and registration of 3D ultrasound to CT for
the full pelvic anatomy: a comparative study.
AB - PURPOSE: Ultrasound (US) is a safer alternative to X-rays for bone imaging, and
its popularity for orthopedic surgical navigation is growing. Routine use of
intraoperative US for navigation requires fast, accurate and automatic alignment
of tracked US to preoperative computed tomography (CT) patient models. Our group
previously investigated image segmentation and registration to align untracked US
to CT of only the partial pelvic anatomy. In this paper, we extend this to study
the performance of these previously published techniques over the full pelvis in
a tracked framework, to characterize their suitability in more realistic
scenarios, along with an additional simplified segmentation method and similarity
metric for registration. METHOD: We evaluated phase symmetry segmentation, and
Gaussian mixture model (GMM) and coherent point drift (CPD) registration methods
on a pelvic phantom augmented with human soft tissue images. Additionally, we
proposed and evaluated a simplified 3D bone segmentation algorithm we call Shadow
Peak (SP), which uses acoustic shadowing and peak intensities to detect bone
surfaces. We paired this with a registration pipeline that optimizes the
normalized cross-correlation (NCC) between distance maps of the segmented US-CT
images. RESULTS: SP segmentation combined with the proposed NCC registration
successfully aligned tracked US volumes to the preoperative CT model in all
trials, in contrast to the other techniques. SP with NCC achieved a median target
registration error (TRE) of 2.44 mm (maximum 4.06 mm), when imaging all three
anterior pelvic structures, and a mean runtime of 27.3 s. SP segmentation with
CPD registration was the next most accurate combination: median TRE of 3.19 mm
(maximum 6.07 mm), though a much faster runtime of 4.2 s. CONCLUSION: We
demonstrate an accurate, automatic image processing pipeline for intraoperative
alignment of US-CT over the full pelvis and compare its performance with the
state-of-the-art methods. The proposed methods are amenable to clinical
implementation due to their high accuracy on realistic data and acceptably low
runtimes.
PMID- 29804183
TI - Correction to: Extreme Precipitation, Public Health Emergencies, and Safe
Drinking Water in the USA.
AB - Unfortunately, the original publication of this article contained mistakes. The
publisher introduced an error after proofreading where the name of the co-author
was mistakenly captured as "David P. E. Harvey". The correct name should be
"David E. Harvey".
PMID- 29804185
TI - Pro-atherogenic and pro-oxidant crosstalk between adipocytes and macrophages.
AB - PURPOSE: Obesity, which is characterized by triglyceride accumulation mainly in
adipocytes but also in arterial wall cells such as macrophages, is a major risk
factor for developing atherosclerosis. We aimed to identify the crosstalk related
to lipid metabolism and oxidation status between adipocytes and macrophages.
METHODS: We used a co-culture model system with J477A.1 cultured macrophages and
3T3L1 cultured adipocytes. For an in-vivo co-culture system, we used C57BL/6
mouse peritoneal macrophages and visceral or subcutaneous adipose tissue.
RESULTS: Adipocytes significantly increased reactive oxygen species generation,
up to twofold, and decreased cholesterol content by 22% in the co-cultured
macrophages. Macrophages significantly increased triglyceride-biosynthesis rate
by twofold and decreased triglyceride-degradation rate by 30%, resulting in
increased triglyceride accumulation in the co-cultured adipocytes by up to 72%.
In the in-vivo mouse model, visceral adipose tissue crosstalk with macrophages
resulted in a significant pro-atherogenic phenotype with respect to cellular
cholesterol metabolism. In contrast, the interaction between subcutaneous adipose
tissue and macrophages mostly affected cellular triglyceride metabolism. There
were no significant effects on mitochondrial respiration capacity in the
macrophages. Upon oxidative-stress reduction in the co-cultured cells using the
polyphenol-rich antioxidant, pomegranate juice, the expression of genes related
to cellular lipid accumulation was significantly reduced. CONCLUSIONS: We reveal,
for the first time, that paracrine interactions between adipocytes and
macrophages result in oxidative stress and lipids metabolic alterations in both
cells, toward increased atherogenicity which can be reversed by phenolic
antioxidants.
PMID- 29804186
TI - Retro-tubercular gap widening can be caused by inappropriate anterior osteotomy
and large opening gap in the medial biplanar open-wedge HTO.
AB - PURPOSE: The purpose of this study was to investigate the causes of retro
tubercular gap widening and to confirm whether this widened gap causes
instability of the osteotomy configuration during open-wedge high tibial
osteotomy (OWHTO). METHODS: Operative records and radiologic findings of patients
who underwent biplanar medial OWHTO between 2014 and 2016 were retrospectively
evaluated. To identify the osteotomy configuration including lateral hinge
fracture, postoperative simple radiographs and CT images were analyzed.
Postoperative CT scan was used to evaluate the widening of the retro-tubercular
gap, thickness, and axial angle of retro-tubercular osteotomy, as well as the
ratios of anterior and posterior osteotomy, and hinge length. The correlation of
each factor was evaluated and analyzed in accordance with the lateral hinge
fracture (LHF). RESULTS: Widening of the retro-tubercular gap showed a
significant correlation with the axial angle of retro-tubercular osteotomy,
anterior osteotomy ratio, and opening gap distance, but not with the thickness of
retro-tubercular osteotomy, posterior osteotomy ratio, and hinge length ratio.
The LHF group showed significantly larger value than the non-LHF group with
respect to the thickness of retro-tubercular osteotomy (P = 0.003), axial angle
of retro-tubercular osteotomy (P = 0.033), retro-planar gap distance (P = 0.001),
anterior osteotomy ratio (P = 0.000), and opening gap distance (P = 0.003). The
hinge length ratio was smaller in the LHF group than in the non-LHF group (P =
0.001). However, the posterior osteotomy ratio was not different between the two
groups (n.s.). CONCLUSION: Retro-tubercular gap widening can be caused by
inappropriate anterior osteotomy and large opening gap distance, which can be
related to LHF. Therefore, anterior cortical osteotomy may also be an important
factor for preventing instability of the proximal fragment in biplanar OWHTO.
LEVEL OF EVIDENCE: Case-control study, Level III.
PMID- 29804184
TI - The Utility of 5 Hypothetical Health States in Heart Failure Using Time Trade-Off
(TTO) and EQ-5D-5L in Korea.
AB - BACKGROUND AND OBJECTIVE: Utility provides a preference for specific health state
in economic evaluation, and they obtained from general population could be useful
in respect of societal resource allocation. We aimed to investigate the utilities
of health states for heart failure (HF), a major and growing public health
problem, related to hospitalization and adverse drug effects by interrogating the
general Korean population. METHODS: Five health states for patients with HF were
developed based on literature reviews: stable chronic heart failure (SCHF),
hospitalization, SCHF + cough, SCHF + hypotension, and SCHF + hyperkalemia. We
selected 100 individuals from the general population through quota sampling by
age, sex, and region, and conducted face-to-face interviews. We measured
utilities for 5 hypothetical health states of HF using both time trade-off (TTO)
and EuroQol-5 dimensions-5 levels (EQ-5D-5L). Repeated-measures analysis of
variance compared the utilities between all health states for each instrument. To
identify the factors affecting the utility, a linear mixed model (LMM) analysis
was performed. RESULTS: The mean utility value for SCHF, SCHF + cough, SCHF +
hypotension, SCHF + hyperkalemia, and hospitalization was calculated as 0.815,
0.732, 0.646, 0.548, and 0.360, respectively, by using TTO. The respective values
using EQ-5D-5L were 0.871, 0.793, 0.710, 0.589, and 0.215. The utilities for HF
significantly differed between all health states in each instrument (p < 0.001).
In LMM analysis, hospitalization had a significantly negative effect on the
utilities of both instruments. CONCLUSIONS: The utilities decreased in order of
SCHF, SCHF + cough, SCHF + hypotension, SCHF + hyperkalemia, and hospitalization.
These results can be useful for decision making in resource allocation for HF
interventions.
PMID- 29804187
TI - Chronic ingestion of deoxynivalenol at human dietary levels impairs intestinal
homeostasis and gut microbiota in mice.
AB - The mycotoxin deoxynivalenol (DON) is a frequent contaminant of cereals and their
by-products in areas with a moderate climate. Produced by Fusarium species, it is
one of the most prevalent mycotoxins in cereal crops worldwide, and the most
frequently occurring type B trichothecene in Europe. Due to its toxic properties,
high stability and prevalence, the presence of DON in the food chain could
represent a major public health risk. However, despite its well-known acute
toxicological effects, information on the adverse effects of realistic exposure
remains limited. We orally exposed mice during 9 months to DON at doses relevant
for currently estimated human intake and explored the impact on various gut
health parameters. DON exposure induced recruitment of regulatory B cells, and
activation of regulatory T cells and dendritic cells in mesenteric lymph nodes.
Several inflammatory parameters were increased in colon of DON-exposed mice,
whereas inversely inflammatory markers were decreased in ileum.
Histomorphological impairments were observed from the duodenum to the colon. Both
colon and jejunum presented a hyperproliferation of epithelial cells and an
increased expression of mature absorptive cells markers. Finally, DON exposure
reshaped gut microbial structure and drastically disturbed the abundance of
several bacterial phyla, families, and genera, leading to dysbiosis. Chronic oral
exposure to human relevant doses of DON induces several disturbances of gut
homeostasis with likely pathological implications for susceptible individuals.
PMID- 29804190
TI - The first results of the "bevolkingsonderzoek" in the Zaanstreek region in The
Netherlands.
AB - This short communication describes the results with respect to stage of
colorectal cancer in people detected via screening and patients with clinical
complaints.
PMID- 29804188
TI - Neutrophils as a Potential Source of Chitinase-3-like Protein 1 in Cystic
Fibrosis.
AB - The chitinase-3-like protein 1, also known as YKL-40, is an inflammatory marker
increased in blood of patients with cystic fibrosis (CF). Systemic levels of YKL
40 are increased in dysglycemic patients with CF. Our objective is to determine
if YKL-40 is expressed and released by CF neutrophils. We also assessed if
specific stimulus, such as glucose and lipopolysaccharide (LPS), can induce the
secretion of YKL-40. Neutrophil cells of healthy adults and patients with CF were
isolated. Immunostaining of whole blood and neutrophils was done. CF and healthy
neutrophils were cultured with either LPS or varying concentrations of glucose.
YKL-40 protein was measured using specific immunoassay ELISA. Isolated neutrophil
cells from 11 patients with CF (32.3 +/- 8.0 years) were compared to five age
matched healthy individuals (28.3 +/- 5.5 years). Although there is a significant
increase in the concentration of YKL-40 in CF neutrophils compared to healthy
neutrophils (P = 0.027), the spontaneous release of YKL-40 into the media is
similar in CF and healthy neutrophils. CF neutrophils stimulated with LPS or
glucose do not stimulate the release of YKL-40 (P = 0.995 for glucose and P =
0.624 for LPS). CF neutrophils have higher intracellular level of YKL-40 than
neutrophils from healthy volunteers, but they do not release more YKL-40 when
stimulated with exogenous stimulus. These results suggest that the increased
levels of circulating YKL-40 in CF patients might originate from another cellular
source.
PMID- 29804189
TI - Anti-inflammatory Effect of Somatostatin Analogue Octreotide on Rheumatoid
Arthritis Synoviocytes.
AB - Somatostatin and its analogues are known to have modulatory effects on immune
response and their anti-proliferative, anti-angiogenic, and analgesic properties
make them attractive candidates for a therapeutic use in immune-mediated
diseases, such as rheumatoid arthritis. Here, we demonstrate the ability of the
somatostatin analogue octreotide to inhibit interleukin-15 and to increase
interleukin-10 production by rheumatoid arthritis fibroblast-like synovial cells
maintained in a chronic inflammatory state. We also prove that the inhibitory
effect of octreotide on interleukin-15 and tumor necrosis factor-alpha production
depended on the increase in interleukin-10, since neutralizing anti-interleukin
10 antibody was able to partially reverse this inhibition. In addition, our
observations suggest an octreotide control on purinergic signaling, with an
inhibitory effect on purinergic P2X and P2Y receptors activation. This would have
great implications, considering the roles of P2 receptors in the onset of
inflammation. Data here reported extend knowledge on the biological action of
octreotide and underline its multiple effects on immune response, which could
make octreotide an attractive and valid support for the therapy of diseases where
several inflammatory mediators are involved, such as rheumatoid arthritis, and in
which the simultaneous action on different aspects can be a successful strategy.
PMID- 29804191
TI - Anti-angiogenic agents in second-line treatment for metastatic colorectal cancer:
the optimization of pharmacological costs.
AB - PURPOSE: In western Countries, colorectal cancer (CRC) is the second most common
cause of death from cancer. In particular, the introduction of active new anti
angiogenic agents for the second-line treatment of metastatic CRC (mCRC) is
associated with a relevant increase of costs, and it is therefore important to
make a balance between the costs of treatment and the added value represented by
the improvement of the clinical parameters of interest such as progression-free
survival (PFS). METHODS: The analysis was conducted to assess the effect of
second-line therapy with anti-angiogenic agents on the PFS and was restricted to
pivotal phase III randomized controlled trials (RCTs). RESULTS: The present
analysis evaluated four phase III RCTs, including 3938 patients. Dividing the
costs of therapy by the measure of efficacy represented by PFS, we found out that
the lowest cost per month of PFS gained (4581 ?) was associated with the use of
FOLFIRI plus aflibercept. CONCLUSIONS: Combining pharmacological costs of drugs
with the measure of efficacy represented by the PFS, aflibercept in combination
with FOLFIRI is a cost-effective second-line treatment for patients with mCRC.
PMID- 29804192
TI - A review of coccidiosis in South American camelids.
AB - Camelids (llamas, alpacas, vicunas, guanacos) are important for the economy of
South America and Eimeria infections are important as cause of mortality in
camelids. Of the five most prevalent species of Eimeria in South American
camelids, Eimeria macusaniensis, Eimeria lamae, Eimeria alpacae, Eimeria
punoensis, and Eimeria ivitaensis, E. macusaniensis is considered the most
pathogenic. There is considerable confusion concerning the endogenous
developmental stages of Eimeria spp. in camelids. Many papers on camelid
coccidiosis were published in local Peruvian journals, not easily accessible to
wider audience. The objective of the present paper is to summarize information on
history, validity of Eimeria species, life cycle, pathogenicity, prevalence,
epidemiology, diagnosis, and control of coccidiosis in camelids.
PMID- 29804193
TI - First record of two ectoparasitic ciliates of the genus Trichodina (Ciliophora:
Trichodinidae) parasitizing gills of an invasive freshwater fish, Micropercops
swinhonis, in Tibet.
AB - Although high diversity of parasitic ciliates has been reported in China, little
is known about the species from high altitude areas, especially in Tibet. To
investigate the species of parasitic ciliates in Tibet, a project was initiated
in the Chabalang wetland in 2013. Two Trichodina species, namely, Trichodina sp.
and T. reticulata Hirschmann & Partsch, 1955, were isolated from gills of an
invasive fish, Micropercops swinhonis for the first time. In the present study,
we provided the morphological, morphometrical, and molecular characterizations of
the two species and conducted the phylogenetic analyses of mobilids based on the
small subunit ribosomal RNA gene (SSU rDNA) sequences. Both morphological
characters and morphometric data of the T. reticulata agreed well with previous
studies. Although two partial SSU rDNA sequences were obtained in the present
study, only the sequence of T. reticulata population in the present study was
thought to be reliable. The other sequence may not belong to the other species.
Thus, we regarded the other species isolated in the present study as Trichodina
sp. to avoid the wrong or confused species identification. Morphologically,
Trichodina sp. is distinguished mainly by its large body shape with a broad
adhesive disk, robust and obliquely quadrilateral blades, and well-developed
rays. T. reticulata is mainly characterized with the 8-12 spherical or elliptical
granules in the central zone of adhesive disk. Phylogenetic analyses consistently
showed the two ectoparasites clustered with freshwater species of the genus
Trichodina within the order Mobilida. Our study extended the host range of T.
reticulata and supplemented the molecular data. Also, results reveal that
invasion of exotic fish may cause a potential threat to native fish by
introducing or dispersing parasitic ciliates.
PMID- 29804194
TI - The vector competence of Phlebotomus perniciosus for Leishmania infantum
zymodemes of Tunisia.
AB - Experimental infections of Phlebotomus (L.) perniciosus from a colony established
in Madrid (Spain) carried out with the Leishmania (L.) infantum zymodemes MON-1,
MON-24, and MON-80 isolated in Tunisia are reported here. Laboratory-reared
female sand flies were experimentally fed via membrane feeding device on a
suspension of L. infantum promastigotes in defibrinated rabbit blood (107/ml).
Engorged females were dissected at progressive time points postfeeding to observe
the intravectorial cycle of different L. infantum zymodemes. Development in the
sand fly midgut of L. infantum parasites to the infective metacyclic
promastigotes and monitoring the forward progression of parasites to finally
reach the stomodeal valve (SV) of the sand fly were assessed. All tested L.
infantum zymodemes developed properly in P. perniciosus. Experimental feeding
with suspensions of promastigotes of all zymodemes led to very heavy late-stage
infections. MON-24 and MON-80 zymodemes colonized the (SV) of P. perniciosus
earlier than zymodeme MON-1, 2 and 4 days, respectively. Metacyclic promastigotes
were observed in all experimental infections. The study shows for the first time
that colonized P. perniciosus is able to acquire, retain, and develop in its
midgut the zymodemes MON-24 and MON-80 isolated in Tunisia and highlights the
putative role of this sand fly species in the transmission of such zymodemes to
mammalian hosts in this country. The ability of experimentally infected sand fly
species to transmit by bite such zymodemes needs to be assessed.
PMID- 29804195
TI - Further reports of Anopheles algeriensis Theobald, 1903 (Diptera: Culicidae) in
Germany, with evidence of local mass development.
AB - Anopheles algeriensis, a thermophilic mosquito species widely distributed in the
Mediterranean, is supposed to be extremely rare and to occur in very low
abundances in central and northern Europe. Being one of seven native Anopheles
species, it has been reported from Germany a few times only, with all but one
report several decades ago. Only in 2013, the endemic persistence of the species
was confirmed when two larval specimens were found north of Hamburg. We here
report the trapping of An. algeriensis adults at three additional sites in
northeastern Germany, with one of them representing two thirds of all mosquitoes
collected over two monitored seasons, 2015 and 2017, and a second one with still
12.3% of all specimens caught during the mosquito season 2016. At a third site,
one single female was trapped in 2015. Despite considerable efforts, breeding
sites could not be identified at the two locations characterised by the high
abundances. Anopheles algeriensis has been shown to be vector-competent for
Plasmodium parasites and might locally play a role in malaria epidemiology when
abundance is high.
PMID- 29804196
TI - The class D scavenger receptor CD68 contributes to mouse chronic liver injury.
AB - Scavenger receptors, which are expressed on monocyte/macrophages, play a central
role in many pathogenic processes. Here, we examined the role of the class D
scavenger receptor (CD68) in bone marrow-derived monocyte/macrophages (BMMs) in
chronic liver injury. The expression pattern of multiple scavenger receptors in
two liver injury models (methionine-choline-deficient and high fat (MCDHF),
carbon tetrachloride (CCl4)) were analyzed by qRT-PCR. CD68 expression was
characterized by flow cytometric analysis, immunofluorescence, and qRT-PCR. A
selective monocyte/macrophage toxicant, gadolinium chloride (GdCl3) was applied
to analyze the function of CD68 in vitro and in vivo. Among the seven examined
scavenger receptors (CD68, CD36, CD204, MARCO, LOX1, SREC, and CD163), the mRNA
expression of CD68 first got uppermost and continuously increased throughout the
entire stage of chronic liver injury, thus attracting our attention. In the
injured liver, the percentage of recruited CD68+ BMM increased notably, aligning
along the developing fibrotic septa, while the proportion of CD68+ KC stayed the
same compared with that of control mice. In vitro CD68 was highly expressed in
primary cultured BMM, and CD68 reduction was triggered by macrophage phagocytosis
and apoptosis in the presence of GdCl3. In the damaged liver, the recruitment of
CD68+ BMM and CD68 mRNA expression were reduced by GdCl3 administration, leading
to the attenuation of liver inflammation and fibrosis. Altogether, scavenger
receptor CD68 plays a key role in mouse chronic liver injury, which has important
implications for the design of anti-fibrotic therapies.
PMID- 29804197
TI - Co-appearance of OPV and BCG vaccine-derived complications in two infants with
severe combined immunodeficiency.
AB - Infants with severe combined immunodeficiency (SCID) are at risk of developing
severe life-threatening infections if they are inadvertently given attenuated
live vaccines. Concomitant appearance of two live vaccine-associated
complications in one person is rarely reported. In this study, we present two
SCID infants, who received BCG and oral polio vaccines according to their local
immunization schedule early in life, before the diagnosis of immunodeficiency was
made. Their clinical presentation, extensive immunological workup, genetic tests,
and clinical disease course are presented. Both patients developed localized and
disseminated infections originating from the BCG vaccine (BCGitis and BCGiosis,
respectively) and in addition suffered from diarrhea and chronic fecal secretion
of vaccine-derived poliovirus. Alarmingly, in case 2, the poliovirus was a type 2
vaccine-derived poliovirus in which both neurovirulence attenuation sites
reverted to the neurovirulent genotype. These cases highlight the importance of
early recognition of SCID by neonatal screening or thorough family anamnesis, and
the need to further defer the timing of administration of attenuated live
vaccines.
PMID- 29804199
TI - Identification of a novel GREM1 duplication in a patient with multiple colon
polyps.
AB - Hereditary mixed polyposis syndrome (HMPS) is a hereditary syndrome that is
characterized by multiple colon polyps of mixed pathologic subtypes and an
increased risk for colorectal cancer. A 40 kb duplication in the 5' regulatory
region of the GREM1 gene was recently found to be the causal mutation in a subset
of Ashkenazi Jewish families with HMPS. Given this discovery, the GREM1 5'
regulatory region is now analyzed on many different multi-gene cancer panels,
however the data on duplications distinct from the 40 kb duplication remains
minimal. Herein we report a novel 24 kb tandem duplication of the 5' regulatory
region of GREM1 in a patient without Ashkenazi Jewish heritage, who had a family
history that was concerning for Lynch syndrome and satisfied Amsterdam II
criteria. This is only the third reported GREM1 duplication separate from the 40
kb Ashkenazi Jewish duplication, and is the only reported duplication to
selectively involve exon 1 of GREM1. This finding supports comprehensive testing
of the GREM1 regulatory region in families of all ethnicities with multiple colon
polyps or colon cancer, and when Lynch syndrome is suspected.
PMID- 29804198
TI - Role of T cell-derived exosomes in immunoregulation.
AB - Exosomes are small membrane vesicles of endocytic origin that are secreted by
most cells. They are composed of a lipid bilayer containing transmembrane
proteins and enclosing cytosolic proteins and RNA, mediating intercellular
communication between different cell types in the body, and thus influencing
various physiological and pathological functions of both recipient and parent
cells. For their nanolevel structures with a stable nature and various biological
functions, studies of exosomes have been the subject of increasing interest in
the past few years. It is widely known that different T cell subsets play
important roles in cellular and humoral immunity, and their exosomes were also
reported to exert similar biological functions. While several groups reported the
secretion of exosomes by various T cells, the systematic summary involved in
these exosomes are deficient. In this review, we will summarize the structure and
functions of exosomes derived from T cells in recent reports, discuss emerging
therapeutic opportunities, and consider the associated challenges.
PMID- 29804200
TI - Accelerated vascular aging and persistent cognitive impairment in older female
breast cancer survivors.
AB - Advances in breast cancer treatment have markedly increased survivorship over the
past three decades, with over 3.1 million survivors expected to live into their
70s and 80s. Without symptom relief interventions, nearly 35% of these survivors
will have life-altering and distressing cognitive symptoms. This pilot study
explored associations between serum markers of vascular aging, laterality in
cerebral oxygenation, and severity of cognitive impairment in women, 12-18 months
after chemotherapy for stage 2/3 invasive ductal breast cancer. Fifteen women (52
84 years) underwent a brief cognitive assessment (Montreal Cognitive Assessment
[MOCA]) and blood draws to assess markers of vascular aging (interleukin-6 [IL
6], tumor necrosis factor alpha [TNF-alpha], C-reactive protein [CRP], and
insulin growth factor-1 [IGF-1]). All underwent a computer-based test protocol
that is known to increase blood flow within the frontal lobes. Percent cerebral
oxyhemoglobin saturation (rcSO2) was recorded during and after testing.
Laterality in rcSO2 was defined by >= 3% difference between left and right rcSO2
(|rcSO2 meanRIGHT - meanLEFT|). Eight participants had MOCA scores between 21 and
25 points, suggestive of mild cognitive impairment. Neither CRP (r = -.24) nor IL
6 (r = .34) nor TNF-alpha (r = .002) were associated with MOCA scores. Higher IL
6 was associated with greater laterality (r = .41). MOCA scores were
significantly lower in subjects with laterality in rcSO2 than in those without
laterality (F(1,14) = 13.5, p = 003). Lower IGF-1 was significantly associated
with greater laterality (r = - .66, p = .007) and lower cognitive function (r =
.58). These findings suggest that persistent cognitive impairment is associated
with phenotypical changes consistent with accelerated vascular aging.
PMID- 29804201
TI - Calorie restriction induces reversible lymphopenia and lymphoid organ atrophy due
to cell redistribution.
AB - Calorie restriction (CR) without malnutrition increases life span and health span
in multiple model organisms. In non-human and human primates, CR causes changes
that protect against several age-related pathologies, reduces inflammation, and
preserves or improves cell-mediated immunity. However, CR has also been shown to
exhibit adverse effects on certain organs and systems, including the immune
system, and to impact genetically different organisms of the same species
differentially. Alternately, short periods of fasting followed by refeeding may
result in the proliferation of bone marrow stem cells, suggesting a potential
rejuvenation effect that could impact the hematopoietic compartment. However, the
global consequences of CR followed by refeeding on the immune system have not
been carefully investigated. Here, we show that individuals practicing long-term
CR with adequate nutrition have markedly lower circulating levels of total
leukocytes, neutrophils, lymphocytes, and monocytes. In 10-month-old mice, short
term CR lowered lymphocyte cellularity in multiple lymphoid tissues, but not in
bone marrow, which appears to be a site of influx, or a "safe haven" for B, NK,
and T cells during CR. Cellular loss and redistribution was reversed within the
first week of refeeding. Based on BrdU incorporation and Ki67 expression assays,
repopulating T cells exhibited high proliferation in the refeeding group
following CR. Finally, we demonstrated that the thymus was not essential for T
cell repopulation following refeeding. These findings are of potential relevance
to strategies to rejuvenate the immune system in mammals and warrant further
investigation.
PMID- 29804202
TI - Salvage topical therapy for upper tract urothelial carcinoma.
AB - PURPOSE: Topical therapy (TT) for upper tract urothelial carcinoma (UTUC) has
been explored as a kidney sparing approach to treat carcinoma in situ (CIS) and
as adjuvant for endoscopically treated Ta/T1 tumors. In bladder cancer, data
support use of salvage TT for repeat induction. We investigate the outcomes of
salvage TT for UTUC in patients ineligible for or refusing nephroureterectomy.
METHODS: A single-center retrospective review on patients receiving salvage TT
via percutaneous nephrostomy tube or cystoscopically placed ureteral catheters
was performed. Primary outcome was response to therapy based on International
Bladder Cancer Group criteria. RESULTS: 51 patients with 58 renal units (RUs)
received TT. Of these, 17 patients with 18 RUs received the second-line TT, with
a median follow-up of 36.5 months (IQR 24.5-67 months). 44% (8/18) received
salvage TT for refractory disease and 56% (10/18) as reinduction. 5 RUs with CIS
were unresponsive to initial TT and went on to receive salvage TT, of which 20%
(1/5) responded. 13 RUs recurred or relapsed following initial TT and received
salvage TT for papillary tumors, with 62% (8/13) responding. CONCLUSION: Our data
provide preliminary clinical rationale for the second-line TT for refractory and
recurrent, endoscopically managed papillary UTUC in patients ineligible for or
refusing nephroureterectomy. However, refractory upper tract CIS appears to have
poor response to salvage TT.
PMID- 29804203
TI - Who's afraid of the big bad wolf? Variation in the stress response among
personalities and populations in a large wild herbivore.
AB - Faced with rapid environmental changes, individuals may express different
magnitude and plasticity in their response to a given stressor. However, little
is known about the causes of variation in phenotypic plasticity of the stress
response in wild populations. In the present study, we repeatedly captured
individual roe deer (Capreolus capreolus) from two wild populations in Sweden
exposed to differing levels of predation pressure and measured plasma
concentrations of stress-induced cortisol and behavioral docility. While
controlling for the marked effects of habituation, we found clear between
population differences in the stress-induced cortisol response. Roe deer living
in the area that was recently recolonized by lynx (Lynx lynx) and wolves (Canis
lupus) expressed cortisol levels that were around 30% higher than roe deer in the
human-dominated landscape free of large carnivores. In addition, for the first
time to our knowledge, we investigated the stress-induced cortisol response in
free-ranging newborn fawns and found no evidence for hypo-responsiveness during
early life in this species. Indeed, stress-induced cortisol levels were of
similar magnitude and differed between populations to a similar extent in both
neonates and adults. Finally, at an individual level, we found that both cortisol
and docility levels were strongly repeatable, and weakly negatively inter
correlated, suggesting that individuals differed consistently in how they respond
to a stressor, and supporting the existence of a stress-management syndrome in
roe deer.
PMID- 29804204
TI - Predictors of burden and quality of sleep among family caregivers of patients
with cancer.
AB - PURPOSES: This study aimed to (1) assess the levels of burden and quality of
sleep among family caregivers (FCs) of patients with cancer and (2) examine the
predictors of burden among FCs of patients with cancer in Jordan. METHODS: A
convenience sample of 111 FCs of patients with cancer has completed the Caregiver
Burden Inventory and Pittsburgh Sleep Quality Index to assess the levels of
burden and quality of sleep. RESULTS: The mean and (standard deviation) of burden
for FCs was 37.9 (16.3) indicating high level of burden. The mean and (standard
deviation) of quality of sleep for FCs was 9.1 (4.3) reflecting poor quality of
sleep. The multiple linear regression analysis was performed to identify the
significant predictors of burden. The study model was able to explain 36% of
variance in burden. Burden was significantly predicted by poor quality of sleep
for FCs, stage of cancer for patients, side effects of treatment, presence of
chronic illnesses among FCs, and the level of education for FCs. CONCLUSIONS:
Supportive care for FCs, considering all predictors of burden, needs to be
considered in order to reduce their burden, which will consequently maintain
their caregiving role.
PMID- 29804205
TI - HCV very late relapse following an atypical viral kinetics in a HIV patient
treated for hepatitis C with direct-acting antivirals.
AB - Direct-acting antivirals (DAAs) for the treatment of HCV have dramatically
increased the rate of sustained virological response: patients not achieving
sustained virological response represent a challenge and rates of late recurrent
viremia are very low. We describe here the first case of a very late HCV relapse,
following an atypical kinetics (characterized by a spontaneous but transient HCV
clearance after an early virological relapse), in a HIV co-infected patient
treated with DAAs. Optimal adherence to the therapy was well documented and a
phylogenetic analysis ruled out a possible reinfection from a different HCV
strain. In conclusion, our case underlines the importance of a long follow-up (>
48 weeks) after DAAs therapies in HCV-HIV co-infected patients who might benefit
the most from a very rigorous virological surveillance.
PMID- 29804206
TI - The Antibacterial Mechanism of Terpinen-4-ol Against Streptococcus agalactiae.
AB - Streptococcus agalactiae, a highly contagious mastitis pathogen, caused huge
economic losses; meanwhile, repeated use of antibiotics results in the emergence
of serious antibiotic residues and drug resistance. Therefore, it is in great
need to develop ecologically sustainable antimicrobial agents. In the study, the
minimal inhibitory concentration (MIC), minimal bactericidal concentration (MBC),
and action mechanism of terpinen-4-ol against S. agalactiae was investigated to
evaluate antibacterial activity of terpinen-4-ol. Results showed the MIC and MBC
of terpinen-4-ol were 98 and 196 ug/mL, respectively. Time-kill curves displayed
that the antibacterial activity of terpinen-4-ol was in a concentration-dependent
manner. Transmission electron micrographs showed that the cell membrane and wall
of S. agalactiae were damaged, and plasmolysis and chromatins were inconspicuous.
Release of Ca2+ and Mg2+ proved that terpinen-4-ol could increase cell membrane
permeability. And the release of lactate dehydrogenase (LDH) suggested that cell
wall was destroyed. Sodium dodecyl sulfate polyacrylamide gel electrophoresis
(SDS-PAGE) and 4',6-diamidino-2-phenylindole (DAPI) staining results showed that
terpinen-4-ol could affect the synthesis of protein and DNA. These results
suggested that terpinen-4-ol might be used as candidate for treating S.
agalactiae infection.
PMID- 29804209
TI - Mutational analysis of high-grade spindle cell sarcoma of the femur in
Mazabraud's syndrome.
AB - Mazabraud's syndrome is a rare disorder characterised by the association of
fibrous dysplasia with intramuscular myxomas. We present a 36-year-old woman with
right anterior knee pain and a buttock mass. Imaging showed aggressive bone
destruction within an area of fibrous dysplasia in the right femur and a mass
with myxoid signal characteristics in the right adductor region. Biopsy of the
femur revealed both fibrous dysplasia and a high-grade spindle cell sarcoma.
Biopsy of the adductor mass confirmed a soft-tissue myxoma. Molecular genetic
analysis revealed an identical R201H substitution in the GNAS1 gene in the
sarcoma, the myxoma, and also the conventional fibrous dysplasia.
PMID- 29804208
TI - Scan-rescan reproducibility of segmental aortic wall shear stress as assessed by
phase-specific segmentation with 4D flow MRI in healthy volunteers.
AB - OBJECTIVE: The aim was to investigate scan-rescan reproducibility and observer
variability of segmental aortic 3D systolic wall shear stress (WSS) by phase
specific segmentation with 4D flow MRI in healthy volunteers. MATERIALS AND
METHODS: Ten healthy volunteers (age 26.5 +/- 2.6 years) underwent aortic 4D flow
MRI twice. Maximum 3D systolic WSS (WSSmax) and mean 3D systolic WSS (WSSmean)
for five thoracic aortic segments over five systolic cardiac phases by phase
specific segmentations were calculated. Scan-rescan analysis and observer
reproducibility analysis were performed. RESULTS: Scan-rescan data showed overall
good reproducibility for WSSmean (coefficient of variation, COV 10-15%) with
moderate-to-strong intraclass correlation coefficient (ICC 0.63-0.89). The
variability in WSSmax was high (COV 16-31%) with moderate-to-good ICC (0.55-0.79)
for different aortic segments. Intra- and interobserver reproducibility was good
to-excellent for regional aortic WSSmax (ICC >= 0.78; COV <= 17%) and strong-to
excellent for WSSmean (ICC >= 0.86; COV <= 11%). In general, ascending aortic
segments showed more WSSmax/WSSmean variability compared to aortic arch or
descending aortic segments for scan-rescan, intraobserver and interobserver
comparison. CONCLUSIONS: Scan-rescan reproducibility was good for WSSmean and
moderate for WSSmax for all thoracic aortic segments over multiple systolic
phases in healthy volunteers. Intra/interobserver reproducibility for segmental
WSS assessment was good-to-excellent. Variability of WSSmax is higher and should
be taken into account in case of individual follow-up or in comparative rest
stress studies to avoid misinterpretation.
PMID- 29804210
TI - Innominate artery dissection and stroke after rifle recoil.
AB - Cervical artery dissection is a frequent cause of stroke, more so in young
patients. Innominate artery (IA) dissection is uncommon, and most often
associated with dissection of other major arteries like the aorta. The leading
cause of IA injury is high-energy thoracic trauma, as in motor vehicle crash. IA
dissection after blunt trauma is rare. We described here the unusual case and
iconography of an isolated IA dissection after rifle recoil initially presenting
as a stroke.
PMID- 29804211
TI - National cancer registry and broad institutional cooperation: turning points in
treating childhood medulloblastoma in Iran.
PMID- 29804207
TI - Complete Genome Sequence of Cd(II)-Resistant Arthrobacter sp. PGP41, a Plant
Growth-Promoting Bacterium with Potential in Microbe-Assisted Phytoremediation.
AB - Microbe-assisted phytoremediation has great potential for practical applications.
Plant growth-promoting bacteria (PGPB) with heavy metal (HM) resistance are
important for the implementation of PGPB-assisted phytoremediation of HM
contaminated environments. Arthrobacter sp. PGP41 is a Cd(II)-resistant bacterium
isolated from the rhizosphere soils of a Cd(II) hyperaccumulator plant, Solanum
nigrum. Strain PGP41 can significantly improve plant seedling and root growth
under Cd(II) stress conditions. This bacterium exhibited the ability to produce
high levels of indole-3-acetic acid (IAA), as well as the ability to fix nitrogen
and solubilize phosphate, and it possessed 1-aminocyclopropane-1-carboxylate
(ACC) deaminase activity. Here, we present the complete genome sequence of strain
PGP41. The genome consists of a single chromosome with a G+C content of 65.38%
and no plasmids. The genome encodes 3898 genes and contains 49 tRNA and 12 rRNA
genes. Multiple genes associated with plant growth promotion were identified in
the genome. The whole genome sequence of PGP41 provides information useful for
further clarifying the molecular mechanisms behind plant growth promotion by PGPB
and facilitates its potential use as an inoculum in the bioremediation of HM
contaminated environments.
PMID- 29804212
TI - Technical report: 3D printing of the brain for use as a visual-aid tool to
communicate MR imaging features of hypoxic ischaemic injury at term with non
physicians.
AB - 3D printing has been used in several medical applications. There are no reports
however of 3D printing of the brain in children for demonstrating pathology to
non-medical professionals such as lawyers. We printed 3D models of the paediatric
brain from volumetric MRI in cases of severe and moderate hypoxic ischaemic
injury as well as a normal age matched control, as follows: MRI DICOM data was
converted to NifTI (Neuroimaging Informatics Technology Initiative) format;
segmentation of the brain into CSF, grey, and white matter was performed; the
segmented data was converted to STL format and printed on a commercially
available scanner. The characteristic volume loss and surface features of hypoxic
ischaemic injury are visible in these models, which could be of value in the
communication of the nature and severity of such an insult in a court setting as
they can be handled and viewed from up close.
PMID- 29804213
TI - Spinal cord issues in adult patients with MPS: transition of care survey.
AB - PURPOSE: This study aims to raise awareness of the need for research and
appropriate guidelines for managing spinal cord issues in adult patients with
mucopolysaccharidosis (MPS) and transition of these patients from pediatric to
adult care. METHODS: Pediatric/adult neurosurgeons, orthopedic spine surgeons,
and treating physicians with expertise in metabolic disorders and spinal cord
issues were invited to complete a survey to assess their experience with spinal
cord problems in MPS and their opinion on transitioning routes from pediatric to
adult care. RESULTS: Twenty specialists completed the survey; 16 had treated
spinal cord issues in patients with MPS. Foramen magnum and cervical stenosis
(87%), atlanto-axial instability (67%), and lumbar spine instability (33%) were
the main spinal cord issues encountered; 28% had treated adult patients for one
or more spinal cord issues. In 40% of cases, this concerned an intervention or
procedures performed during childhood. The main specialist responsible for the
care of adult patients with MPS differed considerably between institutions and
included both pediatric and adult specialists (30% pediatric neurosurgeons, 10%
pediatric spine orthopedic surgeons, 30% adult spine neurosurgeons, 20% general
adult surgeons). The preferred option (> 50%) for the transition of care was an
interdisciplinary team of pediatric and adult specialists. CONCLUSIONS: Further
work needs to be done to address problems of managing spinal cord issues in adult
patients with MPS. Currently, the responsibility for the care of patients with
MPS with spinal cord issues is inconsistent. The best strategy for transitioning
these patients from pediatric to adult care is likely an interdisciplinary
approach.
PMID- 29804214
TI - Pseudoprogression successfully treated with bevacizumab in a child with spinal
pilocytic astrocytoma.
AB - CLINICAL CASE: We report on a 7-year-old female with spinal pilocytic astrocytoma
complicated by pseudoprogression 1 month after completion of radiation therapy.
Although she was initially treated with high-dose steroids, her clinical symptoms
did not completely resolve, and magnetic resonance imaging (MRI) revealed
extension of the lesions into the medulla oblongata. Treatment with bevacizumab
was commenced, followed by rapid resolution of the clinical symptoms and
improvements in the MRI findings. CONCLUSION: This case highlights the efficacy
and tolerability of bevacizumab for the treatment of pseudoprogression in
children with spinal low-grade gliomas.
PMID- 29804215
TI - Texture analysis of small renal cell carcinomas at MDCT for predicting relevant
histologic and protein biomarkers.
AB - PURPOSE: To assess CT texture features of small renal cell carcinomas (<= 4cm)
for association with key pathologic features including protein biomarkers.
METHODS: Quantitative CT texture analysis (CTTA) of small renal cancers (<= 4cm)
was performed on non-contrast and portal venous phase abdominal MDCT scans with
an ROI drawn at the largest cross-sectional diameter of the tumor using
commercially available software. Texture parameters including mean pixel
attenuation, the standard deviation (SD) of the pixel distribution histogram,
entropy, the mean of positive pixels, the skewness (i.e., asymmetry) of the pixel
histogram, kurtosis (i.e., peakness) of the pixel histogram, and the percentage
of positive pixels were correlated with pathologic data from surgical resection,
including histology and nuclear grade, as well as microarray analysis in a subset
(n = 40) including Ki67 index, CRP, and neovascularization (CD105/CD31). RESULTS:
Portal venous phase images were available in 249 patients (105 women, 144 men;
mean age, 56.7 years) with tumors <= 4cm (mean, median, range, +/- SD; 2.66,
2.60, 0.3-4.0 +/- 0.85 cm). CT texture features of standard deviation, mean of
the positive pixels, and entropy of the pixel histogram were significantly
associated with histologic cell type (clear vs. non-clear; p < 0.001). Entropy
and mean of the positive pixels also showed an association with nuclear grade,
although not statistically significant. In the microarray analysis subset,
kurtosis of the pixel histogram was associated with CD105/CD31 (p = 0.05). SD
also showed some association with CD 105 positivity (p = 0.02) and CAIX
expression (p = 0.01). Non-contrast CT images were available in 174 patients (72
women, 102 men; mean age, 57.5 years). Although the association with histology
was not as strong as on the portal venous phase, in the subset of patients with
microarray data, SD was found to correlate with CRP (p = 0.08), kurtosis with CRP
(p = 0.004), CD105/CD31 (p = 0.002), and with Ki 67 index (p < 0.001).
CONCLUSION: CT texture features were significantly associated with important
histopathologic features in small renal cancers. These non-invasive measures can
be performed retrospectively and may provide useful information when determining
follow-up and treatment of small renal cancers.
PMID- 29804216
TI - Oxidative stress in obese children and adolescents with and without type 2
diabetes mellitus is not associated with obstructive sleep apnea.
AB - PURPOSE: Obesity, obstructive sleep apnea (OSA), and type 2 diabetes mellitus
(T2DM) are associated with chronic low-grade inflammation and oxidative stress.
In adults, increased lipid peroxidation, a marker of oxidative stress, was found
in both metabolic syndrome and OSA. Studies on oxidative stress in children with
T2DM and OSA are scarce. METHODS: Plasma oxidized low-density lipoprotein (Ox
LDL) levels were evaluated in obese children and adolescents with/without T2DM,
and the contribution of OSA to oxidative stress was investigated. RESULTS: Ten
patients with T2DM, 8 with impaired glucose tolerance (IGT), and 20 body mass
index-standard deviation score (BMI-SDS)-matched non-diabetic children (controls)
were studied. They all underwent overnight polysomnography. Fasting plasma
concentrations of Ox-LDL were measured and compared to the glycemic status and to
the presence of OSA. Fourteen patients (36%) were diagnosed with OSA and 21 (55%)
with hypertension. There were no significant group differences in plasma Ox-LDL
levels or between patients with/without OSA. Plasma Ox-LDL levels were
significantly higher among patients with hypertension compared to controls (P =
0.01), while they correlated with homeostasis model assessment (P = 0.02), BMI
SDS (P = 0.049), and systolic blood pressure (P = 0.002). CONCLUSIONS: The
findings of this pilot study suggest that increased lipid peroxidation is
associated with insulin resistance and hypertension in obese children and
adolescents, while OSA has most likely minor influence.
PMID- 29804218
TI - A pilot study of prostate cancer knowledge among African American men and their
health care advocates: implications for screening decisions.
AB - PURPOSE: Prostate cancer (PCa) is the second leading cause of cancer death in
U.S. men [American Cancer Society (ACS)], most often affecting men age 50 and
older. The study provides information about factors that influence rural AA men
in their decision to undergo screening for PCa with a specific focus on PCa
knowledge among AA men and their health care advocates. METHODS: A longitudinal
quantitative study included AA males and their health care advocates.
Participants were from three Alabama rural counties. Measures included
demographics, PCa knowledge, decisional conflict, and health literacy scales.
RESULTS: Thirty-three men with a mean age of 54.61 and 35 health care advocates
were included in the study. PROCASE Knowledge Index measure results indicate a
lack of PCa knowledge among both male primary participants and their advocates.
The knowledge of AA men in the study was somewhat low, with individuals correctly
answering approximately six questions out of ten at multiple time points
(baseline total M = 6.42, SD = 1.52). Decisional conflict responses at 12 months
(38.64) were lower than at baseline (M = 62.88) and at 6 months (M = 58.33), p <
.005. CONCLUSION: Health care advocates of the 33 male participants were usually
women, spouses, or significant others, supporting the vital role women play in
men's health specifically in rural underserved communities. Low overall PCa
knowledge, including their risk for PCa, among these participants indicates a
need for PCa and screening educational interventions and dialogue that include
males and their significant others.
PMID- 29804217
TI - Increasing preoperative body size in breast cancer patients between 2002 and
2016: implications for prognosis.
AB - Overweight and obesity are increasing worldwide, but the extent in breast cancer
patients is unknown. The two aims were to study secular trends in preoperative
body mass index (BMI), waist circumference, and breast volume and their impacts
on clinical outcome. BMI, waist circumference, and breast volume were measured
preoperatively in 24-99-year-old primary breast cancer patients (n = 640) in
Sweden 2002-2016. The measurements were analyzed alone and combined in relation
to recurrence and overall survival (OS). BMI, waist circumference, and breast
volume increased 2002-2016 (ptrends < 0.0001). Of these, a breast volume >= 850
mL was associated with the strongest recurrence-risk (adjusted hazard ratio
[adjHR] 1.67; 95% CI 1.17-2.39), especially combined with waist circumference >=
80 cm (adjHR 2.07; 95% CI 1.25-3.44), while BMI >= 25 kg/m2 or large waist
circumference conferred almost a twofold risk for death (both Log-Rank p <=
0.0001). Chemotherapy seemed to counteract the negative impact of a high BMI or
large waist circumference on OS. Large breast volume was the strongest predictor
for recurrence in all treatment groups. In conclusion, preoperative BMI, waist
circumference, and breast volume increased between 2002 and 2016. Larger body
size negatively impacted breast cancer-free interval and OS. If confirmed, body
measurements may help select patients requiring more individualized treatment.
PMID- 29804219
TI - Soil heavy metal content on the hillslope region of Rio de Janeiro, Brazil:
reference values.
AB - The increasing concern regarding the preservation of soil quality suggests the
adoption of quality reference values as a first step in environmental monitoring
actions. In this context, the objective of this study was to establish soil
quality reference values for Al, Zn, Mn, Cd, Fe, Pb, Ni, Cr, and Cu and to
correlate several soil properties with the occurrence of these elements in the
hillslope region of Rio de Janeiro State. Sixty-two samples were collected at
depths of 0-20 and 20-40 cm and analyzed using the USEPA method 3051A. After
clustering analysis, the samples were separated into three groups. Classification
functions were obtained based on the variables pH - Mg - Fe - Mn, i.e., the
variables that were best related to heavy metal content. We determined to
establish the quality reference values for the 75th percentile of the three
groups. The relief forms of the area, which facilitate the transport of soil fine
particle fractions and accumulation in lowlands, were revealed to be one of most
important factors in understanding the distribution of soil heavy metals.
PMID- 29804220
TI - Trash-Talking and Trolling.
AB - Among the extra-physical aspects of team sports, the ways in which players talk
to each other are among the more colorful but understudied dimensions of
competition. To contribute an empirical basis for examining the nature of "trash
talk," we present the results of a study of 291 varsity athletes who compete in
the top division among US universities. Based on a preliminary review of trash
talk topics among student-athletes, we asked participants to indicate the
frequency with which they have communicated or heard others talk about opposing
players' athleticism, playing ability, physical appearance, boyfriends,
girlfriends, sexual behavior, parents, and home institution during competitions.
Our three main findings are: (1) Trash-talking is most commonly about the
proximately important topic of playing ability while ultimately relevant topics
such as physical appearance also appear to be common; (2) Men appear to trash
talk significantly more than women, and consistently across topics; and (3)
contact sports such as football, hockey, lacrosse, and wrestling are associated
with trash talk significantly more than other sports. We also examined whether
the anonymity provided by face-masked helmets in "combat sports" was associated
with more trash talk than contact sports played without a helmet (e.g.,
wrestling) and found no consistent association with face masks. Our findings
highlight the ways in which competitors in physical sporting contests attempt to
use language-often in ways that focus on players' kin or reproductive interests
in pursuit of victory while establishing a baseline for future research into
trash-talking.
PMID- 29804221
TI - Introducing the Atacama Desert.
AB - This brief introduction is intended to orientate the reader with respect to the
principal environmental and historical features of the Atacama Desert, the oldest
and continuously driest non-polar temperate desert on Earth. Exploration of its
microbiology is relatively recent but both fundamental and applied research
activities have grown dramatically in recent years reflecting the substantial
interest in its microbial diversity, ecology, biogeochemistry, natural product
potential and Mars-analogue properties of this unique and invigorating
environment.
PMID- 29804222
TI - Flavobacterium ureilyticum sp. nov., a novel urea hydrolysing bacterium isolated
from stream bank soil.
AB - A novel bacterium designated S-42T was isolated from stream bank soil. Cells were
found to be aerobic, Gram staining-negative, oxidase-positive, catalase-negative,
non-motile, non-spore-forming, rod-shaped, and yellow-pigmented. The strain can
grow at 15-35 degrees C, pH 6.0-10.0, and at 0.5% (w/v) NaCl concentration. Urea
was hydrolysed. Flexirubin-type pigments were absent. Phylogenetic analysis based
on its 16S rRNA gene sequence revealed that strain S-42T formed a lineage within
the family Flavobacteriaceae of the phylum Bacteroidetes that is distinct from
various species of the genus Flavobacterium, including Flavobacterium maotaiense
T9T (97.6% sequence similarity), Flavobacterium hibernum ATCC 51468T (97.4%), and
Flavobacterium granuli Kw05T (97.1%). The 16S rRNA gene sequences identity
between strain S-42T and other members of the genus Flavobacterium were < 97.0%.
Strain S-42T contains MK-6 as sole respiratory quinone. The major polar lipids
were identified as phosphatidylethanolamine and an unidentified aminolipid. The
major cellular fatty acids were identified as iso-C15:0, summed feature 3
(C16:1omega7c and/or C16: 1omega6c), C16:0, anteiso-C15:0, iso-C17:0 3-OH, iso
C15:0 3-OH, and iso-C15:1 G. The DNA G + C content of the strain was 35.8 mol%.
The polyphasic characterization indicated that strain S-42T represents a novel
species of the genus Flavobacterium, for which the name Flavobacterium
ureilyticum sp. nov. is proposed. The type strain is S-42T (= KEMB 9005-537T =
KACC 19115T = NBRC 112683T).
PMID- 29804223
TI - Saccharothrix tharensis sp. nov., an actinobacterium isolated from the Thar
Desert, India.
AB - The taxonomic provenance of a filamentous actinobacterial strain isolated from a
desert soil was established using a polyphasic approach. The strain has
chemotaxonomic and morphological properties consistent with its classification in
the genus Saccharothrix. It forms a distinct branch in the Saccharothrix 16S rRNA
gene tree, related to the type strain of Saccharothrix saharensis (96.7%) but was
distinguished readily from it using a combination of phenotypic properties. The
genotypic and phenotypic data show that the strain represents a novel species in
the genus Saccharothrix, for which the name Saccharothrix tharensis sp. nov. is
proposed with the type strain TD-093T (= KCTC 39724T = MCC 2832T).
PMID- 29804224
TI - Incidence and patterns of meniscal tears accompanying the anterior cruciate
ligament injury: possible local and generalized risk factors.
AB - AIM OF THE WORK: Injury to the anterior cruciate ligament (ACL) is frequently
accompanied by tears of the menisci. Some of these tears occur at the time of
injury, but others develop over time in the ACL-deficient knee. The aim of this
study was to evaluate the effects of the patient characteristics, time from
injury (TFI), and posterior tibial slope (PTS) on meniscal tear patterns. Our
hypothesis was that meniscal tears would occur more frequently in ACL-deficient
knees with increasing age, weight, TFI, PTS, and in male patients. METHODS: Of
the ACL-injured patients, 362 were analyzed, and details of meniscal lesions were
collected. The medial and lateral tibial slopes (MTS, LTS) were measured via
computed tomography. Patient demographics, TFI, MTS, and LTS were correlated with
the diagnosed meniscal tears. RESULTS: Of the patients, 113 had a medial meniscus
(MM) tear, 54 patients had a lateral meniscus (LM) tear, 34 patients had tears of
both menisci, and 161 patients had no meniscal tear. The most common tear
location was the posterior horn (PH) of the MM, followed by tear involving the
whole MM. Patient age, BMI, and TFI were significantly associated with the
incidence of MM tear. Female patients had a higher incidence of injury than males
in all tear sites except in the body and PH. Male patients had more vertical and
peripheral tears. The median MTS and LTS for patients with MM tears were 7.0
degrees and 8.7 degrees , respectively, while those of patients with LM tears
were 6.9 degrees and 8.1 degrees . Steeper LTS was significantly associated with
tears of LM and of both menisci. CONCLUSION: Older age, male sex, increased BMI,
and prolonged TFI were significant factors for the development of MM tears. An
increase in the tibial slope, especially of the lateral plateau, seems to
increase the risk of tear of the LM and of both menisci. LEVEL OF EVIDENCE: Level
III.
PMID- 29804225
TI - Irreparable rotator cuff tears: long-term follow-up, five to ten years, of
arthroscopic debridement and tenotomy of the long head of the biceps.
AB - PURPOSE: Rotator cuff tears (RCT) are a common source of pain and disability of
the shoulder and are frequently combined with pathology of the long head of the
biceps tendon (LHBT). The aim of this study was to evaluate the long-term results
(5-10 years) of arthroscopic debridement of the rotator cuff with or without
tenotomy of the LHBT in patients with irreparable rotator cuff tears. METHODS:
Patient files between January 2005 and December 2010 were retrospectively
reviewed. Suitable patients were contacted and invited to the outpatient clinic
for assessment of their shoulder function by the constant score and were asked to
fill out questionnaires, comprising the Oxford Shoulder Score (OSS), the SF-12,
change in pain and function and satisfaction rate. RESULTS: The outcome measures
of 39 patients with a mean age of 75.6 (SD 6.6) years were evaluated at a mean
follow-up time of 6.5 (SD 1.5) years. All patients had filled out the
questionnaires and 23 patients (59%) visited the outpatient clinic. The median
corrected constant score for age and gender was 90 (P25-P75: 73-94). Twenty-six
patients (67%) were satisfied with the result. No significant differences in
outcome measures were found between patients treated solely with debridement and
patients treated with debridement combined with tenotomy of the LHBT.
CONCLUSIONS: Both arthroscopic debridement and debridement combined with a
bicepstenotomy yields high satisfactory shoulder function in elderly patients at
long term.
PMID- 29804226
TI - Patient Perspectives on Strengths and Challenges of Therapist-Assisted Internet
Delivered Cognitive Behaviour Therapy: Using the Patient Voice to Improve Care.
AB - Therapist-assisted internet-delivered cognitive behaviour therapy (T-ICBT)
involves patients reading online treatment materials, completing relevant
exercises, and receiving therapist support. This study aimed to understand the
preferences and recommendations of 225 patients enrolled in a T-ICBT course for
depression and anxiety via an online therapy unit in collaboration with community
mental health clinics dispersed across one Canadian province. An open-ended
survey asked participants their opinions of the course and responses were
analyzed using a content analysis approach. Patient comments addressed many
strengths of the course (64%), with some opportunities for improvement (36%).
Most-appreciated features included ability to download content for future use,
reading other patients' experiences, and content of lessons. Patients made
suggestions for improving the breadth of patient stories, timeline of the course,
and matching availability of the therapist to patient need. Patient feedback
regarding preferences provides valuable information for improving the patient
centered nature of T-ICBT.
PMID- 29804228
TI - Impaired Wnt Signaling in the Prefrontal Cortex of Alzheimer's Disease.
AB - Wnt pathway is involved in synaptic plasticity and neuronal survival, and
alterations in Wnt signaling have previously been reported both in aging and
neurodegenerative diseases, including Alzheimer's disease (AD). This study sought
to evaluate Wnt signaling pathway interplay integrity across prefrontal lobe
structures in AD patients compared to normal aging. Using the open-access
BrainCloudTM database, 84 gene expression profiles and clustering effect were
analyzed in the dorsomedial prefrontal cortex (PFC) across a time span of 21-78
years of age. Next, expression levels of the selected genes were investigated in
post-mortem brain tissue from 30 AD patients and 30 age-matched controls in three
interdependent brain areas of the PFC. Results were assessed in relation to Braak
stage and cognitive impairment of the patients. We found a general age-related
factor in Wnt pathway genes with a group of genes being closely interrelated in
their expression across the time span investigated in healthy individuals. This
interrelation was altered in the AD brains studied, as several genes presented
aberrant transcription, even though not always being altered at protein levels.
Noteworthy, beta(beta)-catenin and glycogen synthase kinase 3-beta (GSK3beta)
showed a dynamic switch in protein levels and activity, especially in the
orbitofrontal cortex and the medial frontal gyrus. A significant decrease in beta
catenin protein levels were inversely associated with increased GSK3beta tyrosine
activating phosphorylation, in addition to downstream effects associated with
disease progression and cognitive decline. This study is the first that
comprehensively evaluates Wnt signaling pathway in the prefrontal cortical lobe
structures of AD brains, in relation to age-related coordinated Wnt signaling
changes. Our findings further support that increased kinase activity of GSK3beta
is associated with AD pathology in the PFC.
PMID- 29804229
TI - Wnt Signaling Pathways Are Dysregulated in Rat Female Cerebellum Following Early
Methyl Donor Deficiency.
AB - Gestational methyl donor (especially B9 and B12 vitamins) deficiency is involved
in birth defects and brain development retardation. The underlying molecular
mechanisms that are dysregulated still remain poorly understood, in particular in
the cerebellum. As evidenced from previous data, females are more affected than
males. In this study, we therefore took advantage of a validated rat nutritional
model and performed a microarray analysis on female progeny cerebellum, in order
to identify which genes and molecular pathways were disrupted in response to
methyl donor deficiency. We found that cerebellum development is altered in
female pups, with a decrease of the granular cell layer thickness at postnatal
day 21. Furthermore, we investigated the involvement of the Wnt signaling
pathway, a major molecular pathway involved in neuronal development and later on
in synaptic assembly and neurotransmission processes. We found that Wnt canonical
pathway was disrupted following early methyl donor deficiency and that neuronal
targets were selectively enriched in the downregulated genes. These results could
explain the structural brain defects previously observed and highlighted new
genes and a new molecular pathway affected by nutritional methyl donor
deprivation.
PMID- 29804230
TI - Infantile Amnesia Is Related to Developmental Immaturity of the Maintenance
Mechanisms for Long-Term Potentiation.
AB - Infantile amnesia (IA) refers to the inability of adults to recall episodic
memories from infancy or early childhood. While several hypotheses have been
proposed to explain the occurrence of IA, the neurobiological and molecular bases
for this accelerated forgetting phenomenon remain elusive. Using hippocampus
dependent object-location memory and contextual fear conditioning tasks, we
confirmed that infant mice trained at postnatal day 20 (P20) displayed deficits
in long-term memory retention compared to adult (P60) mice. The percentage of CA1
pyramidal neurons expressing phosphorylated cAMP-responsive element-binding
protein after fear conditioning was significantly lower in P20 than P60 mice. P20
mice exhibited attenuated basal excitatory synaptic transmission and early-phase
long-term potentiation (E-LTP) at Schaffer collateral-CA1 synapses compared to
P60 mice, but conversely, P20 mice have a greater susceptibility to induce time
dependent reversal of LTP by low-frequency afferent stimulation than P60 mice.
The protein levels of GluN2B subunit of N-methyl-D-aspartate receptors (NMDARs),
protein kinase Mzeta (PKMzeta), and protein phosphatase 2B (PP2B) in hippocampal
CA1 region were significantly higher in P20 than P60 mice. We also found that the
levels of calcium/calmodulin-dependent protein kinase II alpha
autophosphorylation at Thr286, GluA1 phosphorylation at Ser831, and PKMzeta
protein biosynthesis occurred during the ensuing maintenance of E-LTP were
significantly lower in P20 than P60 mice. Pharmacological blockade of GluN2B
containing NMDARs or PP2B effectively restored deficits of E-LTP and long-term
memory retention observed in P20 mice. Altogether, these findings suggest that
developmental immaturity of the maintenance mechanisms for E-LTP is linked to the
occurrence of IA.
PMID- 29804227
TI - Benefits and Risks of Statin Therapy in the HIV-Infected Population.
AB - PURPOSE OF REVIEW: HIV-infected patients face an increased risk for
cardiovascular disease (CVD), estimated at 1.5- to 2-fold as compared to HIV
uninfected persons. This review provides a recent (within preceding 5 years)
summary of the role of statin therapy and associated role in CVD risk reduction
among HIV-infected patients on anti-retroviral therapy. RECENT FINDINGS: Statins
remain the preferred agents for reducing risk for CVD among HIV-infected
populations based on guidance extrapolated from general population (HIV
uninfected) cholesterol treatment guidelines across different settings globally.
However, HIV-infected patients are consistently under prescribed statin therapy
when compared to their HIV-uninfected counterparts. The most commonly studied
statins in clinical care and small randomized and cohort studies have been
rosuvastatin and atorvastatin. Both agents are preferred for their potent lipid
lowering effects and their favorable or neutral pleotropic effects on chronic
inflammation, renal function, and hepatic steatosis among others. However,
growing experience with the newer glucuronidated pitavastatin suggests that this
agent has virtually no adverse drug interactions with ART or effects on glucose
metabolism-all marked additional benefits when compared with rosuvastatin and
atorvastatin while maintaining comparable anti-lipid effects. Pitavastatin is
therefore the statin of choice for the ongoing largest trial (6500 participants)
to test the benefits of statin therapy among HIV-infected adults. Statins are
underutilized in the prevention of CVD in HIV-infected populations based on
criteria in established cholesterol guidelines. There is a potential role for
statin therapy for HIV-infected patients who do not meet guideline criteria which
will be further delineated through ongoing clinical trials.
PMID- 29804231
TI - The Role of the Periaqueductal Gray Matter in Lower Urinary Tract Function.
AB - The periaqueductal gray matter (PAG), as one of the mostly preserved evolutionary
components of the brain, is an axial structure modulating various important
functions of the organism, including autonomic, behavioral, pain, and micturition
control. It has a critical role in urinary bladder physiology, with respect to
storage and voiding of urine. The PAG has a columnar composition and has
extensive connections with its cranially and caudally located components of the
central nervous system (CNS). The PAG serves as the control tower of the detrusor
and sphincter contractions. It serves as a bridge between the evolutionary higher
decision-making brain centers and the lower centers responsible for reflexive
micturition. Glutamatergic cells are the main operational neurons in the vlPAG,
responsible for the reception and relay of the signals emerging from the bladder,
to related brain centers. Functional imaging studies made it possible to clarify
the activity of the PAG in voiding and filling phases of micturition, and its
connections with various brain centers in living humans. The PAG may be affected
in a wide spectrum of disorders, including multiple sclerosis (MS), migraine,
stroke, Wernicke's encephalopathy, and idiopathic normal pressure hydrocephalus,
all of which may have voiding dysfunction or incontinence, in certain stages of
the disease. This emphasizes the importance of this structure for the basic
understanding of voiding and storage disorders and makes it a potential candidate
for diagnostic and therapeutic interventions.
PMID- 29804232
TI - Early Downregulation of p75NTR by Genetic and Pharmacological Approaches Delays
the Onset of Motor Deficits and Striatal Dysfunction in Huntington's Disease
Mice.
AB - Deficits in striatal brain-derived neurotrophic factor (BDNF) delivery and/or
BDNF/tropomyosin receptor kinase B (TrkB) signaling may contribute to
neurotrophic support reduction and selective early degeneration of striatal
medium spiny neurons in Huntington's disease (HD). Furthermore, we and others
have demonstrated that TrkB/p75NTR imbalance in vitro increases the vulnerability
of striatal neurons to excitotoxic insults and induces corticostriatal synaptic
alterations. We have now expanded these studies by analyzing the consequences of
BDNF/TrkB/p75NTR imbalance in the onset of motor behavior and striatal
neuropathology in HD mice. Our findings demonstrate for the first time that the
onset of motor coordination abnormalities, in a full-length knock-in HD mouse
model (KI), correlates with the reduction of BDNF and TrkB levels, along with an
increase in p75NTR expression. Genetic normalization of p75NTR expression in KI
mutant mice delayed the onset of motor deficits and striatal neuropathology, as
shown by restored levels of striatal-enriched proteins and dendritic spine
density and reduced huntingtin aggregation. We found that the BDNF/TrkB/p75NTR
imbalance led to abnormal BDNF signaling, manifested as a diminished activation
of TrkB-phospholipase C-gamma pathway but upregulation of c-Jun kinase pathway.
Moreover, we confirmed the contribution of the proper balance of BDNF/TrkB/p75NTR
on HD pathology by a pharmacological approach using fingolimod. We observed that
chronic infusion of fingolimod normalizes p75NTR levels, which is likely to
improve motor coordination and striatal neuropathology in HD transgenic mice. We
conclude that downregulation of p75NTR expression can delay disease progression
suggesting that therapeutic approaches aimed to restore the balance between BDNF,
TrkB, and p75NTR could be promising to prevent motor deficits in HD.
PMID- 29804233
TI - Pre- and Post-Clerkship Knowledge, Perceptions, and Acceptability of
Electroconvulsive Therapy (ECT) in 3rd Year Medical Students.
AB - To examine the impact of the third year psychiatry clerkship on medical students'
knowledge and opinion of ECT at University of Missouri-Columbia School of
Medicine. Despite overwhelming evidence of ECT's efficacy and safety for
refractory affective illnesses, (among other conditions), it remains a
misunderstood and underutilized intervention. Several studies indicate that ECT
stigma and misinformation, unfortunately, does not spare the medical community.
Medical students are an optimal group to study, as they are forming their
perspectives on different specialties. Few studies have measured the effect of
education programs (e.g., clerkships, lectures, observation of ECT) on medical
students' perspectives on ECT.
PMID- 29804234
TI - Long-term changes in migration timing of Song Thrush Turdus philomelos at the
southern Baltic coast in response to temperatures on route and at breeding
grounds.
AB - Climate warming causes the advancement of spring arrival of many migrant birds
breeding in Europe, but the effects on their autumn migration are less known. We
aimed to determine any changes in the timing of Song Thrush captured during
spring and autumn migrations at the Polish Baltic coast from 1975 to 2014, and if
these were related to long-term changes of temperature at their breeding grounds
and migration routes. The timing of spring migration at Hel ringing station in
1975-2014 did not show long-term advance, but they had responded to environmental
conditions on the year-to-year basis. The warmer the temperatures were in April
on their migration route, the earlier were the dates of the median and the end of
spring migration at Hel. The beginning of autumn migration at the Mierzeja
Wislana ringing station advanced by 5 days between 1975 and 2014. The warmer the
April on route, and the July at the Song Thrushes' breeding grounds, the earlier
young birds began autumn migration across the Baltic coast. We suggest this was a
combined effect of adults' migration and breeding early during warm springs and
young birds getting ready faster for autumn migration during warm summers. The
average time span of 90% of the autumn migration was extended by 5 days, probably
because of early migration of young birds from first broods and late of those
from second broods enabled by warm springs and summers. The response of Song
Thrushes' migration timing to temperatures on route and at the breeding grounds
indicated high plasticity in the species and suggested it might adapt well to
climate changes.
PMID- 29804236
TI - Aortic Calcification in a Patient with a Gain-of-Function STAT1 Mutation.
PMID- 29804235
TI - Impact of weather factors on influenza hospitalization across different age
groups in subtropical Hong Kong.
AB - Accumulating evidence demonstrates the significant influence of weather factors,
especially temperature and humidity, on influenza seasonality. However, it is
still unclear whether temperature variation within the same day, that is diurnal
temperature range (DTR), is related to influenza seasonality. In addition, the
different effects of weather factors on influenza seasonality across age groups
have not been well documented in previous studies. Our study aims to explore the
effects of DTR and humidity on influenza seasonality, and the differences in the
association between weather factors and influenza seasonality among different age
groups in Hong Kong, China. Generalized additive models were conducted to
flexibly assess the impact of DTR, absolute humidity (vapor pressure, VP), and
relative humidity on influenza seasonality in Hong Kong, China, from January 2012
to December 2016. Stratified analyses were performed to determine if the effects
of weather factors differ across age groups (< 5, 5-9, 10-64, and > 64 years).
The results suggested that DTR, absolute humidity, and relative humidity were
significantly related to influenza seasonality in dry period (when VP is less
than 20 mb), while no significant association was found in humid period (when VP
is greater than 20 mb). The percentage changes of hospitalization rates due to
influenza associated with per unit increase of weather factors in the very young
children (age 0-4) and the elderly (age 65+) were higher than that in the adults
(age 10-64). Diurnal temperature range is significantly associated with influenza
seasonality in dry period, and the effects of weather factors differ across age
groups in Hong Kong, China.
PMID- 29804237
TI - Novel LRBA Mutation and Possible Germinal Mosaicism in a Slavic Family.
PMID- 29804238
TI - Are Non-HIV Malignancies Increased in the HIV-Infected Population?
AB - PURPOSE OF REVIEW: The introduction of antiretroviral therapy (ART) has
revolutionized HIV infection management, resulting in improved outcomes and
survival for people living with HIV (PLWH). However, as PLWH are living longer
and aging, non-AIDS-defining cancers (NADCs) represent a significant source of
morbidity and mortality in the HIV-infected population. Here, we review the
epidemiology of NADCs in PLWH. RECENT FINDINGS: Cancer mortality among PLWH is
much higher than that among the general population. Up to 10% of deaths among
PLWH have been attributed to NADCs. Furthermore, PLWH have an increased risk for
specific NADCs, including lung cancer, hepatocellular carcinoma, head and neck
cancers, anal cancer, and Hodgkin lymphoma. In the past decade, the incidence
rates of AIDS-defining cancers (ADCs) have been decreasing while the incidence
rates of NADCs have been increasing. In particular, the incidence of specific
NADCs are changing at different rates. For example through 2010, the incidence
rates for anal, liver, and prostate cancers among PLWH had increased, while
incidence rates for lung cancer had decreased and incidence rates for colorectal
cancer remained relatively stable over time. However, as early ART becomes more
prevalent and the percentage of PLWH over 50 increases, these trends may evolve
further. Incidence of NADCs should be expected to increase further as the PLWH
population continues to age. Screening and prevention for these cancers among the
HIV-infected population should be emphasized.
PMID- 29804239
TI - Protective Effects of Donepezil Against Alcohol-Induced Toxicity in Cell Culture:
Role of Caspase-3.
AB - Ethanol (EtOH) is one of the most frequently abused drugs with heavy health,
economic, and societal burdens. Although moderate to low EtOH may have some
neuroprotective effects, heavy EtOH consumption associated with high blood
alcohol level (BAL) can be quite detrimental. The brain is particularly
vulnerable to the damaging effects of high BAL, leading to neuronal loss,
cognitive, and behavioral deficits. Although the exact causes of these detriments
are not fully elucidated, it is believed that damage to the cholinergic system is
at least partially responsible for the cognitive impairment. Thus, high BAL may
result in selective apoptotic damage to the cholinergic neurons. Donepezil (DON),
a centrally acting, reversible and non-competitive acetylcholinesterase (AChE)
inhibitor, approved for use in Alzheimer's disease (AD), may also attenuate EtOH
induced cognitive impairment. Cognitive effects of DON might be due to an anti
apoptotic activity as some AChE inhibitors have been shown to have this property.
The aim of this study was to determine whether DON might protect against EtOH
induced toxicity and whether such protection might be apoptotically mediated. We
exposed the human neuroblastoma-derived, SH-SY5Y cells to a relatively high
concentration of EtOH (500 mM) for 24 h and evaluated the effects of two
concentrations of DON (0.1 and 1.0 MUM) on alcohol-induced toxicity and caspase
3, an apoptotic marker. We found a dose-dependent protection of DON against EtOH
induced toxicity as well as dose-dependent attenuation of EtOH-induced increases
in caspase-3 levels. Thus, DON may inhibit apoptosis as well as alcohol-induced
toxicity.
PMID- 29804240
TI - Combined Strategy of Radioactive 125I Seeds and Salinomycin for Enhanced Glioma
Chemo-radiotherapy: Evidences for ROS-Mediated Apoptosis and Signaling Crosstalk.
AB - Radioactive 125I seeds-based radiotherapy has achieved great success in treatment
of human cancers. However, radioresistance and severe side effects badly limited
its clinic application. Recently, chemoradiotherapy as a superior strategy has
been rapidly developed and widely used in clinic. However, the underlying
mechanism remains elusive. Herein, in the present study, a combined
chemoradiation model of 125I seeds and salinomycin (SAL) in vitro and in vivo was
designed, and the enhanced anticancer efficiency and mechanism were also
evaluated in human glioma. The results showed that combined treatment of 125I
seeds and SAL induced enhanced growth inhibition against human glioma cells
through induction of cell apoptosis. Further investigation revealed that combined
treatment of 125I seeds and SAL triggered enhanced DNA damage through inducing
reactive oxide species (ROS) generation. Additionally, enhanced dysfunction of
MAPKs and AKT pathways both contributed to combined treatment-induced growth
inhibition against human glioma cells. Importantly, the U251 human glioma
xenograft growth was effectively inhibited by combined treatment of 125I seeds
and SAL by induction of cell apoptosis with involvement of inhibiting cell
proliferation and angiogenesis. Taken together, our results indicated that
combined treatment of 125I seeds and SAL achieved enhanced growth inhibition and
apoptosis in human glioma in vitro and in vivo through triggering ROS-mediated
DNA damage and regulation of MAPKs and AKT pathways, which validated that the
combined strategy of using 125I seeds and SAL could be a highly efficient way to
achieve enhanced glioma chemo-radiotherapy.
PMID- 29804241
TI - Adiponectin is related to markers of endothelial dysfunction and neoangiogenesis
in diabetic patients.
AB - PURPOSE: Adiponectin an adipokine, produced by mature adipocyte, has an important
effect on several aspects of endothelial function, including leukocyte adhesion
(mediated by adhesion molecules like intercellular adhesion molecule 1 (ICAM1)
endothelial cell selective adhesion molecule ESAM). Recently, it has been linked
to vascular endothelial growth factor (VEGF)-modulated angiogenesis. ESAM might
also be involved in modulating VEGF-dependent actions. We studied relationship of
adiponectin to ESAM, ICAM1, and VEGF in type 2 diabetic patients (T2DP) with or
without microvascular complications. METHODS: Incident T2DP referred for
nephrologic evaluation were included (patients with no nephropathy or stage 1-4
nephropathy). T2DP with stage 5 chronic kidney disease (CKD) were selected from a
dialysis center. Clinical, standard laboratory assessment and adiponectin, ESAM,
ICAM1, and VEGF (ELISA) were recorded. RESULTS: Eighty-seven patients were
included, 15 had no CKD, 30 with stage 1 or 2 CKD, 20 with stage 3 or 4 CKD and
22 patients on dialysis. ESAM was higher in patients with CKD than in those
without CKD (p = 0.02), adiponectin, ICAM1, and VEGF were similar. Adiponectin
correlated in univariate analysis to ESAM (r = 0.32, p = 0.002), ICAM1 (r = 0.23,
p = 0.038), and CRP (r = 0.31, p = 0.012), and inversely to serum albumin (r = -
0.57, < 0.0001). In predialysis patients, adiponectin also correlated to
albuminuria (r = 0.54, p < 0.0001) and glomerular filtration rate (r = - 0.46, p
= 0.0001). In multivariate regression ESAM (p = 0.04), VEGF (p = 0.03), and
albumin (p < 0.0001) are significant predictors of adiponectin. None of these
cytokines were different when comparing patients with and without retinopathy.
CONCLUSION: Adiponectin is directly linked to adhesion molecules and VEGF in
T2DP.
PMID- 29804242
TI - Elevated levels of the small GTPase Cdc42 induces senescence in male rat
mesenchymal stem cells.
AB - Mesenchymal stem cells (MSCs) represent a promising cell source for cellular
therapy and tissue engineering and are currently being tested in a number of
clinical trials for various diseases. However, like other somatic cells, MSCs
age, and this senescence is accompanied by a progressive decline in stem cell
function. Several lines of evidence suggest a role for the Rho family GTPase
Cdc42 activity in cellular senescence processes. In the present study, we have
examined aging-associated Cdc42 activity in rat adipose-derived mesenchymal stem
cells (ADMSCs) and the consequences of pharmacological inhibition of Cdc42 in
ADMSCs from aged rats. We demonstrate that ADMSCs show a decreased rate of cell
growth and a decreased ability to differentiate into chrodrogenic, osteogenic and
adipogenic cell lineages as a function of rat age. This is accompanied with an
increased staining for SA-beta-Gal activity and increased levels of Cdc42 bound
to GTP. Treatment of ADMSCs from 24-month old rats with three Cdc42 inhibitors
significantly increased proliferation rates, decreased SA-beta-Gal staining, and
reduced Cdc42-GTP. The Cdc42 inhibitor CASIN increased adipogenic and osteogenic
differentiation potential in ADMSCs from 24-month old rats, and decreased the
levels of radical oxygen species (ROS), p16INK4a levels, F-actin, and the
activity of the ERK1/2 and JNK signaling pathways that were all elevated in these
cells. These data suggest that ADMSCs show increased rates of senescence as rats
age that appear to be due to elevated Cdc42 activity. Thus, Cdc42 plays important
roles in MSC senescence and differentiation potential, and pharmacological
reduction of Cdc42 activity can, at least partially, rejuvenate aged MSCs.
PMID- 29804244
TI - Defending eugenics : From cryptic choice to conscious selection.
AB - For most of human history children have been a byproduct of sex rather than a
conscious choice by parents to create people with traits that they care about. As
our understanding of genetics advances along with our ability to control
reproduction and manipulate genes, prospective parents have stronger moral
reasons to consider how their choices are likely to affect their children, and
how their children are likely to affect other people. With the advent of cheap
and effective contraception, and the emergence of new technologies for in vitro
fertilization, embryo selection, and genetic engineering, it is becoming
increasingly difficult to justify rolling the genetic dice by having children
without thinking about the traits they will have. It is time to face up to the
awesome responsibilities that accompany our reproductive choices.
PMID- 29804246
TI - Discerning the pre-monsoon urban atmosphere aerosol characteristic and its
potential source type remotely sensed by AERONET over the Bengal Gangetic plain.
AB - In the present study, we evaluated the pre-monsoon urban atmosphere (UA) aerosol
characteristics remotely sensed by Aerosol Robotic Network (AERONET) over the
Bengal Gangetic plain (BGP) at Kolkata (KOL) and their implication in potential
source types and spatiotemporal features. About 70% of the AERONET-sensed aerosol
optical depth at 0.50 MU m, AOD0.5 (Angstrom exponent, alpha at 0.44-0.87 MU m)
during the pre-monsoon period (February to June) was greater than 0.50 (<= 1);
the pre-monsoon mean of AOD0.5 (alpha) was 0.73 (0.83) which was found being
slightly higher (lower) than nearby AERONET stations (Dhaka/Bhola) located over
the eastern Ganges basin. The volume geometric mean radius for the fine mode (FM)
(coarse mode, CM) UA aerosol from AERONET retrievals was estimated to be 0.14
0.17 (2.24-2.75) MU m. The spectral distribution of the monthly mean of UA
aerosol single-scattering albedo (SSA) exhibited an increasing trend with an
increase in wavelength throughout all wavelengths during April, unlike the rest
of the pre-monsoon months. Investigation of aerosol types indicated the pre
dominance of dust during April and a mixture of urban/open burning with mixed
desert dust during the rest of the pre-monsoon months. Potential aerosol source
fields were identified over the Indo-Gangetic Plain (IGP), east coast,
northwestern India, and oceanic regions; these were estimated at elevated layers
of atmosphere during April and May but that at surface layers during February and
June. Comparison of aerosol characteristics over the BGP (at Kolkata, KOL) with
that at six other coincident AERONET sites over India revealed mean AOD at KOL
being 11 to 91% higher than the rest of the AERONET stations, with the relative
increase at KOL being the highest during March; this was attributed to persistent
high values of both FM and CM AOD unlike the rest of the stations. The monthly
mean of SSA was the lowest at KOL among AERONET stations, during February and
March. Comparison of the AOD from the AERONET aerosol retrievals over the BGP UA
with the coincident Moderate Resolution Imaging Spectroradiometer (MODIS) latest
retrievals (C005 and C006) indicated a moderate correlation between the two
retrievals; discrepancy in MODIS-retrieved relative distribution of FM and CM AOD
was inferred compared to AERONET in the UA.
PMID- 29804245
TI - The protective effects of selenium supplementation on ambient PM2.5-induced
cardiovascular injury in rats.
AB - Substantial epidemiological and experimental studies have shown that ambient fine
particulate matter (PM2.5) exposure can lead to myocardial damage in human and
animal through the mechanism of inflammation and oxidative stress. The purpose of
the current study was to investigate whether selenium yeast (SeY) supplementation
could prevent cardiovascular injury caused by PM2.5 in rats. Fifty-six Sprague
Dawley rats were randomly divided into seven groups: saline control group;
solvent control group, low-, middle-, and high-dose Se pretreatment groups, PM2.5
exposure group, and high-dose Se control group. The rats were pretreated with
different concentration of dietary SeY for 28 days, then were exposed to PM2.5 by
intratracheal instillation every other day, a total of three times. The levels of
inflammatory markers (tumor necrosis factor-alpha (TNF-alpha), interleukin-1beta
(IL-1beta), soluble intercellular adhesion molecule-1 (sICAM-1), and oxidative
responses-related indicators total antioxidant capacity (T-AOC), total superoxide
dismutase (T-SOD), glutathione peroxidase (GSH-Px), and malondialdehyde (MDA)
were measured in blood and myocardium of the left ventricle. The results showed
that although PM2.5 caused a decrease of T-AOC, T-AOD, and GSH-Px and increase of
MDA and sICM-1, pretreatment with SeY induced a dose-dependent increase in these
anti-oxidative indicators and a decrease in oxidative indicators. In addition,
the levels of TNF-alpha and IL-1beta in Se pretreatment groups were significantly
lower than that in PM2.5 exposure group. The results indicated that Se
supplementation could effectively prevent cardiovascular inflammation and
oxidative stress induced by PM2.5. The results also indicated that the
nutritional supplementation might be an effective way to protecting people's
health from air pollution.
PMID- 29804243
TI - Computational insights of K1444N substitution in GAP-related domain of NF1 gene
associated with neurofibromatosis type 1 disease: a molecular modeling and
dynamics approach.
AB - The NF1 gene encodes for neurofibromin protein, which is ubiquitously expressed,
but most highly in the central nervous system. Non-synonymous SNPs (nsSNPs) in
the NF1 gene were found to be associated with Neurofibromatosis Type 1 disease,
which is characterized by the growth of tumors along nerves in the skin, brain,
and other parts of the body. In this study, we used several in silico predictions
tools to analyze 16 nsSNPs in the RAS-GAP domain of neurofibromin, the K1444N
(K1423N) mutation was predicted as the most pathogenic. The comparative molecular
dynamic simulation (MDS; 50 ns) between the wild type and the K1444N (K1423N)
mutant suggested a significant change in the electrostatic potential. In
addition, the RMSD, RMSF, Rg, hydrogen bonds, and PCA analysis confirmed the loss
of flexibility and increase in compactness of the mutant protein. Further, SASA
analysis revealed exchange between hydrophobic and hydrophilic residues from the
core of the RAS-GAP domain to the surface of the mutant domain, consistent with
the secondary structure analysis that showed significant alteration in the mutant
protein conformation. Our data concludes that the K1444N (K1423N) mutant lead to
increasing the rigidity and compactness of the protein. This study provides
evidence of the benefits of the computational tools in predicting the
pathogenicity of genetic mutations and suggests the application of MDS and
different in silico prediction tools for variant assessment and classification in
genetic clinics.
PMID- 29804248
TI - Disrupting mating of Lobesia botrana using sex pheromone aerosol devices.
AB - Pheromone-mediated mating disruption (MD) is widely used as a control tool to
manage the European grapevine moth (EGVM), Lobesia botrana. Most of the MD
formulations are "passive" reservoir dispensers, which need to be used at a
rather large number of units per hectare. A promising alternative is represented
by automatic aerosol devices, releasing pheromone puffs at programmed time
intervals. Herein, we investigated the effectiveness of MD aerosol product
Isonet(r) L MisterX841 in reducing EGVM infestation on grape in comparison to the
reference MD product Isonet(r) L and the grower's standard. Experiments were
carried out over 2 years in two different study sites of Aragon region (Spain).
EGVM male catches were monitored using traps baited with the female sex
pheromone. The effectiveness of MD formulations against the three generations of
EGVM was assessed by determining the percentage of infested bunches and the
number of nests per bunch. As expected, a much greater amount of male catches in
the grower's standard over Isonet(r) L MisterX841 and Isonet (r) L was observed.
No significant differences about EGVM male catches were found in vineyards where
Isonet(r) L MisterX841 and Isonet(r) L were used. EGVM-infested bunches, as well
as number of nests per bunch, were higher in the grower's standard, if compared
to vineyards where we tested Isonet(r) L MisterX841 and Isonet(r) L. However, the
employ of the latter led to a lower EGVM bunch infestation, if compared to
Isonet(r) L MisterX841. Overall, the MD approach proposed here is effective
against EGVM. These aerosol devices require a lower number of units per hectare
if compared to hand-applied dispensers, saving labor costs and contributing to
reduce plastic disposal in agricultural settings.
PMID- 29804247
TI - Ecotoxicological and biochemical mixture effects of an herbicide and a metal at
the marine primary producer diatom Thalassiosira weissflogii and the primary
consumer copepod Acartia tonsa.
AB - Mixture effects of chemicals and their potential synergistic interactions are of
great concern to the public and regulatory authorities worldwide. Intensive
agricultural activities are leading to discharges of chemical mixtures to nearby
estuarine and marine waters with possible adverse effects on the aquatic
communities and for the trophic food web interlinking these communities. Further
information about the impacts of these stressors on aquatic organisms is needed.
This study addresses ecotoxicological and biochemical effects of single and
mixtures of the metal copper and the herbicide Primextra(r) Gold TZ on the marine
diatom Thalassiosira weissflogii and on the estuarine calanoid copepod Acartia
tonsa by determining growth rate and survival, respectively, and changes on fatty
acid(FA) profiles in both species. Mixture effects on diatom species revealed
that copper and Primextra(r) acted most likely additively with respect to the
concentration addition (CA) and independent action (IA) models with model
deviation ratios (MDR), 0.752 and 1.063, respectively. For the copepod species,
copper and Primextra(r) were most likely non-interactive with respect to the CA
model (MDR = 1.521) but acted most likely synergistically with respect to the IA
model (MDR = 2.026). A significant decline in the absolute FA concentration was
observed for copepod species after mixture exposure including a considerable
decrease of essential FAs that cannot be synthesized de novo by these grazers. We
concluded that the mixture effects are more hazardous for primary consumer than
for primary producer species in terms of both abundance and biomass quality,
suggesting a potential for harmful effects for higher trophic levels and thus a
decrease in energy flow through the ecosystem.
PMID- 29804249
TI - Long non-coding RNA CASC15 promotes tongue squamous carcinoma progression through
targeting miR-33a-5p.
AB - Long non-coding RNAs (lncRNAs) have gained a lot of attention because they
participate in several human disorders, including tumors. This study determined
the role of LncRNA CASC15 (cancer susceptibility candidate 15) in the development
of tongue squamous cell carcinoma (TSCC). Here, we identified that CASC15
expression was upregulated in TSCC samples and cell lines. We showed that
overexpression of CASC15 promoted cell proliferation, cycle, and migration in
TSCC. In addition, we revealed that miR-33a-5p expression was downregulated in
TSCC tissues and cell lines. Moreover, we showed that the expression of CASC15
was negatively related with miR-33a-5p expression in TSCC tissues. Ectopic
expression of miR-33a-5p suppressed cell proliferation, cycle, and migration in
TSCC. Elevated expression of CASC15 suppressed miR-33a-5p expression and promoted
ZEB1 expression in SCC4 cell. Ectopic expression of CASC15 promoted TSCC cell
proliferation, cycle, and migration through targeting miR-33a-5p. These results
suggested that lncRNA CASC15 and miR-33a-5p might be exploited as new markers of
TSCC and were potential treatment targets for TSCC patients.
PMID- 29804250
TI - Zooplankton sensitivity and phytoplankton regrowth for ballast water treatment
with advanced oxidation processes.
AB - The ballasting and de-ballasting of ships are two necessary operations with
ballast water that provide stability for safe navigation. Empty ships must
ballast tanks with water, which contains living organisms and subsequently
carries them away from their original distribution. De-ballasting represents an
input of still viable zooplankton, phytoplankton, and microorganisms in the
destination port, leading to the introduction of alien species, and consequently,
the introduction of organisms will alter the local biodiversity. Ballast water
treatment is necessary to comply with the International Maritime Organization
(IMO) for the maximum viable organisms permitted. It is known that UVC eliminates
microorganisms, but there are few studies on the other taxonomical groups, such
as phytoplankton and zooplankton. The advance oxidation processes (AOPs) with UV
C can be a good alternative to manage the problem of ballast water, primarily for
microorganisms. However, for larger organisms, there is more resistance, and, a
stage with filtration (by physical filtration or hydrocyclone) is usually
required. The filter can fail, or certain zooplankton organisms can escape across
the filter and go to the AOPs or UVC reactor. According to the taxonomic group,
there can be a different sensitivity to the treatment, and one could survive and
generate a risk. The AOPs tested were natural solar radiation (RAD), UV/H2O2,
UV/TiO2, UV/TiO2/H2O2, and UV/TiO2/H2O2/RAD. Natural sea water was pumped and
treated with the AOPs. The vital zooplankton organisms counted were polychaetes,
cladocerans, ostracods, nauplii and calanoid, cyclopoid, and harpacticoid
copepods. For the phytoplankton, the abundance was estimated, and the photosystem
II efficiency was determined. To evaluate the phytoplankton regrowth after the
treatments, the treated water was stored and populations counted for 20 days. The
most effective treatment for the zooplankton groups was UVC/H2O2. Regarding the
sensitivity, the cyclopoid copepods were the most resistant. The nauplii and
polychaetes were more likely to be killed by the AOPs, greatly decreasing the
risk from nauplii due to their abundance and ease of passing through the filters
with their smaller size. Phytoplankton regrowth was observed in all treatments,
and it even reached abundance values higher than in the intake water. For
instance, additional dark conditions and retreatment on days 3 or 5 are suggested
for any treatment.
PMID- 29804251
TI - The possible effects of anthropogenic acoustic pollution on marine mammals'
reproduction: an emerging threat to animal extinction.
AB - For about 119 species of cetaceans and other aquatic animals, sound is the key
source of learning about the environment, navigation, communication, foraging,
and avoiding predators. However, in the recent era, the introduction of large
quantities of anthropogenic noise into the ocean has significantly altered the
ocean's acoustic environment. The anthropogenic noises travel very long
distances, blanketing enormous areas. This can affect cetaceans, either by direct
killing or compromising hearing, navigation, communication, predation, as well as
normal behaviors. It has been suggested that acoustic pollution could possibly
negatively affect cetacean reproduction, which is harmful for endangered and
threatened species. However, it is still unknown how acoustic pollution can
suppress cetacean reproduction. This is the first comprehensive review article,
which focuses on the possible consequences affecting the reproduction of marine
mammals resulting from acoustic pollution.
PMID- 29804252
TI - Green separation and characterization of fatty acids from solid wastes of leather
industry in supercritical fluid CO2.
AB - Considerable tannery waste is generated by leather industry around the world.
Recovery of the value-added products as natural fats from the solid wastes gained
interest of many researchers. In this study, supercritical fluid separation
method was applied for the fatty acid isolation from leather industry solid
wastes. Pre-fleshing wastes of the double-face lambskins were used as natural fat
source. Only supercritical CO2 was used as process media without any solvent
additive in high-pressure view cell equipment. The effect of different conditions
was investigated for the best separation influence. The parameters of pressure
(100 to 200 bar), temperature (40 to 80 degrees C), and time (1 to 3 h) were
considered. Extraction yields and fat yields of the parameters were statistically
evaluated after the processes. Maximum 78.57 wt% fat yield was obtained from
leather industry fleshings in supercritical fluid CO2 at 200 bar, 80 degrees C,
and 2 h. Morever, conventional Soxhlet and supercritical CO2 extracted fatty
acids were characterized by using gas chromatography (GC) coupled with mass
spectrometry (MS) and flame ionization detector (FID). Fourier transform infrared
spectroscopy (FTIR) and differential scanning calorimetry (DSC) characterizations
were also done. The results showed that supercritical fluid CO2 extraction was
highly effective for the fat separation as green solvent and leather industry
tannery wastes could be used for the value-added products.
PMID- 29804253
TI - Supercritical carbon dioxide extract of Cinnamomum cassia bark: toxicity and
repellency against two stored-product beetle species.
AB - The extract from Cinnamomum cassia Presl bark was obtained with supercritical CO2
fluid extraction (SFE). Chemical components of the SFE extract were characterized
by GC-MS spectrometry. The repellency and contact toxicity of the SFE extract
were evaluated against the adults of Tribolium castaneum and Lasioderma
serricorne along with those of its two main compounds. The results of GC-MS
analysis indicated that 33 volatile constituents were identified from the
extract. The main components included trans-cinnamaldehyde (32.1%), 3,3
dimethylhexane (10.6%) and 2,4-di-tert-butylphenol (7.9%). Testing results showed
that the SFE extract had potent contact toxicity against T. castaneum and L.
serricorne with LD50 values of 3.96 and 23.89 MUg/adult, respectively. LD50
values of trans-cinnamaldehyde against T. castaneum and L. serricorne were 5.78
and 3.24 MUg/adult, respectively. Additionally, percentage repellency values of
the SFE extract and trans-cinnamaldehyde against T. castaneum and L. serricorne
were rather high (PR = 100% and PR > 90%, respectively) at 78.63 and 15.73 nL/cm2
at 2 h post-exposure. 2,4-Di-tert-butylphenol showed some repellency against both
beetle species. Considering its insecticidal and repellent effects, the SFE
extract from C. cassia bark might be used in integrated pest management programs
for T. castaneum and L. serricorne.
PMID- 29804254
TI - Characterization of potassium hydroxide modified anthracite particles and
enhanced removal of 17alpha-ethinylestradiol and bisphenol A.
AB - Anthracite is a natural inorganic-organic hybrid environmentally friendly
material, which often is used as a filter medium in water treatment. In this
study, we processed anthracite particles using potassium hydroxide (KOH) with
different concentrations. The anthracites, before and after treatments, were
characterized by Brunauer-Emmett-Teller analysis, scanning electron microscopy,
Fourier transform infrared spectrometer, X-ray diffraction, X-ray photoelectron
spectroscopy, and Boehm titration. The specific surface area and the amount of
total alkalinity of anthracite were 23.73 m2 g-1 and 0.38 mmol g-1 (increased by
101 and 217%, respectively) for 4 M KOH treatments, but decreased to 10.09 m2 g-1
and 0.12 mmol g-1 for 10 M KOH treatments. We selected 4 M KOH-modified
anthracite particles to remove 17alpha-ethinylestradiol (EE2) and bisphenol A
(BPA) from water with unmodified anthracite used in control experiments. The
pseudo-second-order model fitted well for the whole adsorption process, and
intraparticle diffusion was not the unique rate-controlling step. The equilibrium
adsorption data fitted well with the Langmuir-Freundlich model, and the
adsorption capacities of EE2 and BPA on anthracite particles after 4 M KOH
treatments were 0.7914 and 0.4327 mg g-1 (increased by 138 and 97%,
respectively), because the active sites markedly increased. The ligand exchange,
hydrogen bonds, and pi-pi electron donor-acceptor interactions were the main
adsorption mechanisms. The 4 M KOH-modified anthracite could be promising in
large-scale applications, both as filter medium and adsorbent for organic
contaminants.
PMID- 29804255
TI - Preparation and characterization of CS/beta-CD/Nano-ZnO composite porous membrane
optimized by Box-Behnken for the adsorption of Congo red.
AB - In this paper, an effective chitosan/beta-cyclodextrin/nanometer zinc oxide
(CS/beta-CD/Nano-ZnO) composite porous membrane was synthesized by sol-gel and
polymer-assisted inverting method. Preparation conditions of CS/beta-CD/Nano-ZnO
were investigated by single-factor and Box-Behnken response surface methodology
optimizing triethoxyvinylsilane (JH-V151), beta-cyclodextrin (beta-CD), and
nanometer zinc oxide (Nano-ZnO), and applied to study the adsorption
characteristics of Cong red (CR) from aqueous solution using batch experiments.
The optimum preparation conditions were determined that the volume fraction of JH
V151 alcohol solution was 11%, the ratio of beta-CD to CS was 5.35, and the ratio
of Nano-ZnO's mass to solution's volume was 0.36%. Different characterization
methods including field-emission scanning electron microscopy, X-ray diffraction,
Fourier transform infrared spectroscopy, UV-visible spectroscopy, and Universal
Materials Tester were used to prove the appearance, crystallinity, functional
groups, swelling degree, transmittance, and tensile property of CS/beta-CD/Nano
ZnO. The optimized batch experimental parameters were 50 mg L-1, 4 h, 7.0, 0.5 g
L-1, and 55.0 degrees C as initial concentration, contact time, pH, adsorbent
dose, and temperature, respectively. The maximum adsorption capacity on CR
reached 96.33 mg g-1, which is 4.34 times with respect to CS. The batch
experimental data were best described by a pseudo-second-order kinetics model and
Langmuir isotherm model (R2 = 0.9965, theoretical saturated adsorption capacity
147.28 mg g-1). The values ?G were - 2.09, - 4.73, and - 7.37 kJ mol-1 at 298,
308, and 318 K temperatures, respectively. The ?H value was 76.68 kJ mol-1,
indicating the endothermic and spontaneous adsorption in nature. The ?S value was
0.26 kJ mol-1 K-1, a signal of entropy increase during adsorption. The adsorption
capacity decreased only by 5.8% after six recycling runs, which indicated the
reusability of CS/beta-CD/Nano-ZnO. Therefore, the CS/beta-CD/Nano-ZnO composite
porous membrane is a promising membrane material for the efficient removal of CR
from any water at large and economic scales at moderate concentration.
PMID- 29804256
TI - Removal of heavy metals from aluminum anodic oxidation wastewaters by membrane
filtration.
AB - Aluminum manufacturing has been reported as one of the largest industries and
wastewater produced from the aluminum industry may cause significant
environmental problems due to variable pH, high heavy metal concentration,
conductivity, and organic load. The management of this wastewater with a high
pollution load is of great importance for practitioners in the aluminum sector.
There are hardly any studies available on membrane treatment of wastewater
originated from anodic oxidation. The aim of this study is to evaluate the best
treatment and reuse alternative for aluminum industry wastewater using membrane
filtration. Additionally, the performance of chemical precipitation, which is the
existing treatment used in the aluminum facility, was also compared with membrane
filtration. Wastewater originated from anodic oxidation coating process of an
aluminum profile manufacturing facility in Kayseri (Turkey) was used in the
experiments. The characterization of raw wastewater was in very low pH (e.g., 3)
with high aluminum concentration and conductivity values. Membrane experiments
were carried out with ultrafiltration (PTUF), nanofiltration (NF270), and reverse
osmosis (SW30) membranes with MWCO 5000, 200-400, and 100 Da, respectively. For
the chemical precipitation experiments, FeCl3 and FeSO4 chemicals presented lower
removal performances for aluminum and chromium, which were below 35% at ambient
wastewater pH ~ 3. The membrane filtration experimental results show that, both
NF and RO membranes tested could effectively remove aluminum, total chromium and
nickel (>90%) from the aluminum production wastewater. The RO (SW30) membrane
showed a slightly higher performance at 20 bar operating pressure in terms of
conductivity removal values (90%) than the NF 270 membrane (87%). Although
similar removal performances were observed for heavy metals and conductivity by
NF270 and SW30, significantly higher fluxes were obtained in NF270 membrane
filtration at any pressure that there were more than three times the flux values
in SW30 membrane filtration. Due to the lower heavy metal (<65%) and conductivity
(<30%) removal performances of UF membrane, it could be evaluated as pretreatment
followed by NF filtration to protect and extend NF membrane life. The water
treated by both NF and RO could be recycled back into the process to be reused
with economic and environmental benefits.
PMID- 29804257
TI - Assessing patient readiness for personalized genomic medicine.
AB - The Human Genome Project and the continuing advances in DNA sequencing technology
have ushered in a new era in genomic medicine. Successful translation of genomic
medicine into clinical care will require that providers of this information are
aware of the level of understanding, attitudes, perceived risks, benefits, and
concerns of their patients. We used a mixed methods approach to conduct in-depth
interviews with participants in the NCI-funded Breast Cancer Family Registry
(BCFR). Our goal was to gain a better understanding of attitudes towards
different types and amounts of genomic information, current interest in pursuing
genomic testing, and perceived risks and benefits. We interviewed 32 women from
the six BCFR sites in the USA, Canada, and Australia. In this sample of women
with a personal or family history of breast cancer, we found high acknowledgement
of the potential of genetics/genomics to improve their own health and that of
their family members through lifestyle changes or alterations in their medical
management. Respondents were more familiar with cancer genetics than the genetics
of other diseases. Concerns about the testing itself included a potential sense
of loss of control over health, feelings of guilt on passing on a mutation to a
child, loss of privacy and confidentiality, questions about the test accuracy,
and the potential uncertainty of the significance of test results. These data
provide important insights into attitudes about the introduction of increasingly
complex genetic testing, to inform interventions to prepare individuals for the
introduction of this new technology into their clinical care.
PMID- 29804260
TI - Dopaminergic Neuron-Specific Autophagy-Deficient Mice.
AB - None of the current genetic Parkinson's disease (PD) models in mouse
recapitulates all features of PD. Additionally, only a few of these models
develop mild dopamine (DA) neurodegeneration. And the most parsimonious
explanation for the lack of DA neurodegeneration in genetic PD models is a
compensatory mechanism that results from adaptive changes during development,
making it hard to observe the degenerative phenotype over the life span of mice.
Here, we characterize DA neuron-specific autophagy-deficient mice and provide in
vivo evidence for Lewy body formation. Atg7-deficient mice demonstrate typical
Lewy pathology, including endogenous synuclein and neuronal loss, which resembles
PD. Furthermore DA levels are affected by dopaminergic neuronal loss. The age
related motor dysfunction and pathology in DA neurons suggest that impairment of
autophagy is a potential mechanism underlying the pathology of PD.
PMID- 29804258
TI - Maternal eating behavior is a major synchronizer of fetal and postnatal
peripheral clocks in mice.
AB - Most living organisms show circadian rhythms in physiology and behavior. These
oscillations are generated by endogenous circadian clocks, present in virtually
all cells where they control key biological processes. To study peripheral clocks
in vivo, we developed an original model, the Rev-Luc mouse to follow
noninvasively and longitudinally Rev-Luc oscillations in peripheral clocks using
in vivo bioluminescence imaging. We found in vitro and in vivo a robust diurnal
rhythm of Rev-Luc, mainly in liver, intestine, kidney and adipose tissues. We
further confirmed in vivo that Rev-Luc peripheral tissues are food-entrainable
oscillators, not affected by age or sex. These data strongly support the
relevance of the Rev-Luc model for circadian studies, especially to investigate
in vivo the establishment and the entrainment of the rhythm throughout
ontogenesis. We then showed that Rev-Luc expression develops dynamically and
gradually, both in amplitude and in phase, during fetal and postnatal
development. We also demonstrate for the first time that the immature peripheral
circadian system of offspring in utero is mainly entrained by maternal cues from
feeding regimen. The prenatal entrainment will also differentially determine the
Rev-Luc expression in pups before weaning underlining the importance of the
maternal chrononutrition on the circadian system entrainment of the offspring.
PMID- 29804261
TI - Qualitatively Monitoring Binding and Expression of the Transcription Factors Sp1
and NFI as a Useful Tool to Evaluate the Quality of Primary Cultured Epithelial
Stem Cells in Tissue Reconstruction.
AB - Electrophoretic mobility shift assays and Western blots are simple, efficient,
and rapid methods to study DNA-protein interactions and protein expression,
respectively. Primary cultures and subcultures of epithelial cells are widely
used for the production of tissue-engineered substitutes and are gaining
popularity as a model for gene expression studies. The preservation of stem cells
through the culture process is essential to produce high quality substitutes.
However, the increase in the number of cell passages is associated with a
decrease in their ability to proliferate until senescence is reached. This
process is likely to be mediated by the altered expression of nuclear-located
transcription factors such as Sp1 and NFI, whose expression has been documented
to be required for cell adhesion, migration, and differentiation. In some of our
recent studies, we observed a correlation between reconstructed tissues
exhibiting poor histological and structural characteristics and a low expression
of Sp1 in their constituting epithelial cells. Therefore, monitoring both the
expression and DNA binding of these transcription factors in human skin and
corneal epithelial cells is a useful tool for characterizing the quality of
primary cultured epithelial cells.
PMID- 29804259
TI - Novel alginate biphasic scaffold for osteochondral regeneration: an in vivo
evaluation in rabbit and sheep models.
AB - Current therapeutic strategies for osteochondral restoration showed a limited
regenerative potential. In fact, to promote the growth of articular cartilage and
subchondral bone is a real challenge, due to the different functional and
anatomical properties. To this purpose, alginate is a promising biomaterial for a
scaffold-based approach, claiming optimal biocompatibility and good chondrogenic
potential. A previously developed mineralized alginate scaffold was investigated
in terms of the ability to support osteochondral regeneration both in a large and
medium size animal model. The results were evaluated macroscopically and by
microtomography, histology, histomorphometry, and immunohistochemical analysis.
No evidence of adverse or inflammatory reactions was observed in both models, but
limited subchondral bone formation was present, together with a slow scaffold
resorption time.The implantation of this biphasic alginate scaffold provided
partial osteochondral regeneration in the animal model. Further studies are
needed to evaluate possible improvement in terms of osteochondral tissue
regeneration for this biomaterial.
PMID- 29804263
TI - Reabsorption in the proximal tubuli-ultrastructural evidence for a novel aspect
of renal VEGF trafficking.
AB - Many clinical and experimental studies have revealed VEGF as an important factor
in the pathophysiology of renal damage during diabetes mellitus (DM). Anti-VEGF
therapy is in clinical use for treatment of DM and other diabetes-related (and
unrelated) diseases. Nevertheless, little is known about the metabolism of VEGF
in the kidneys. In order to determine the ultrastructural localization of VEGF in
the kidney, we study the distribution of VEGF in the kidney of rats by using
immunogold immunohistochemistry. Our light-microscopic data showed remarkable re
distribution of VEGF in proximal tubular cells (PTCs) during prolonged
hyperglycemia, a DM type 2 model (DM2), which was confirmed by transmission
electron microscopy (TEM) findings. TEM findings revealed an initial presence of
VEGF in the vesicular transport apparatus of PTCs in healthy rats and its gradual
translocation to the apical membrane of PTCs after renal damage caused by high
sucrose treatment. The presented data add to our understanding of kidney VEGF
trafficking, providing novel insight into the renal metabolism and
pharmacodynamics of the cytokine. This could have a high impact on the use of
VEGF and anti-VEGF therapy in different renal diseases.
PMID- 29804264
TI - A novel cause of rebreathing carbon dioxide related to removed CLIC-seal on the
Drager Apollo(c) anesthesia machine.
AB - We present a case report involving two sequential, surgically uneventful,
laparoscopic cholecystectomies using the same anesthesia machine (Drager
Apollo(c)) for which the level of inspired carbon dioxide was noted to be
elevated following various diagnostic interventions including replacing the
sodalime, increasing fresh gas flows, and a full inspection of equipment for
malfunction. Eventually it was discovered that a rubber ring seal connecting the
Dragersorb CLIC system(c) to the sodalime canister was inadvertently removed
during the initial canister exchange resulting in an apparent bypassing of the
absorbent and thus an inability of the exhaled gas to contact the sodalime. To
our knowledge this is the first such description of this potential cause of
elevated inspired carbon dioxide and should warrant consideration when other
conventional interventions have failed.
PMID- 29804266
TI - Autoimmune myelitis in a CLL patient undergoing treatment with ibrutinib.
PMID- 29804262
TI - Identification of transposons near predicted lncRNA and mRNA pools of Prunus mume
using an integrative transposable element database constructed from Rosaceae
plant genomes.
AB - This study focused on the construction of a database of transposable elements
(TEs) from Rosaceae plants, the third most economically important plant family in
temperate regions, and its transcriptomics applications. The evolutionary effects
of TEs on gene regulation have been explored, and TE insertions can be the
molecular bases of changes in gene structure and function. However, a specific
Rosaceae plant TE database (RPTEdb) is lacking. The genomes of several Rosaceae
plants have been sequenced, providing the opportunity to mine TE data at a whole
genome level. Therefore, we constructed the RPTEdb, a collective and
comprehensive database of 19,596 annotated TEs in the genomes of Rosaceae plants
using previously described identification and annotation methods and published
genome sequences. The user-friendly web-based database provides access to
research tools through hyperlinks, including Browse, TE tree, tools, JBrowse, and
search sections, and through the inputting of sequences on the main webpage.
Next, we performed one advanced application in which TEs near predicted long non
coding RNA (lncRNA) and mRNA domains within white and red petal-tissue
transcriptomes of Prunus mume 'Fuban Tiaozhi' were identified, revealing 16 TEs
that overlapped or were near 16 differentially expressed lncRNA domains, and 54
TEs that overlapped or were near 54 differentially expressed mRNA domains, and
the TEs' possible functions were also discussed. We believe that the RPTEdb will
contribute to the understanding of TE roles in the structural, functional and
evolutionary dynamics of Rosaceae plant genomes.
PMID- 29804265
TI - Lessons from a systematic literature review of the effectiveness of recombinant
factor VIIa in acquired haemophilia.
AB - To conduct a systematic review of the literature reporting efficacy and safety of
recombinant factor VIIa (rFVIIa) for the treatment of bleeding in acquired
haemophilia and, if data permitted, undertake a meta-analysis of the current
evidence. MEDLINE(r), Embase(r), and the Cochrane Central Register of Controlled
Trials (CENTRAL) databases were searched for all studies on rFVIIa treatment in
acquired haemophilia. Heterogeneity of included studies was measured using the
inconsistency index (I2). Of the 2353 publications screened, 290 potentially
relevant references were identified: 12 studies published in 32 publications met
inclusion criteria. In total, 1244 patients and 1714 bleeds were included (671
patients received rFVIIa treatment for 1063 bleeds). In seven of 12 studies, the
initial dose of Recombinant FVIIa was 90 +/- 10 MUg/kg. Recombinant FVIIa was
used as first-line therapy in the majority of cases. Median number of doses
administered ranged from 10 to 28. Between 68 and 74% of bleeds were spontaneous,
whereas 4-50% were traumatic. Thirty-nine to 90% of bleeds were severe.
Haemostatic effectiveness was > 90% in 5/6 studies for both patient and bleed
level. Recombinant FVIIa had a favourable safety profile with low risk of general
adverse events and thromboembolic-associated events. The heterogeneity of the
studies and data precluded a meta-analysis. Recombinant FVIIa demonstrated
effectiveness for the treatment of bleeds and had a good safety profile. It is
apparent from these data that there is a need for more standardised measures of
clinical effectiveness in acquired haemophilia to enable comparison and pooling
of results in the future.
PMID- 29804267
TI - Management of Dabigatran-associated bleeding in two elderly patients with acute
renal failure.
PMID- 29804269
TI - The pedagogical value of testing: how far does it extend?
AB - Information is generally more memorable after it is studied and tested than when
it is only studied. One must be cautious to use this phenomenon strategically,
however, due to uncertainty about whether testing improves memorability for only
tested material, facilitates learning of related non-tested content, or inhibits
memory of non-tested material. 52 second-year Pharmacy students were asked to
study therapeutic aspects of gastroesophageal reflux disease and peptic ulcer
disease. One group was given 30 min to study. Another was given 20 min to study
and 10 min to complete a 10-item test. Two weeks later a 40-item test was
delivered to both groups that contained (a) the 10 learning phase questions, (b)
10 new questions drawn from the studied material, (c) 10 new questions about
therapeutics in different disease states, and (d) 10 new questions drawn from
more general pharmaceutical knowledge (e.g., basic physiology and drug
characteristics). Moderate to large retrieval-enhanced learning effects were
observed for both questions about material that was tested (22.9% difference in
scores, p < 0.05, d = 0.60) and questions about material that was studied without
being tested (18.9% difference, p < 0.05, d = 0.75). Such effects were not
observed for questions that were not part of the study material: therapeutic
questions that addressed different disease states (1.8% difference, p > 0.7, d =
0.08) or generic pharmaceutical questions (7.4% difference, p > 0.2, d = 0.32).
Being tested made it more likely that students would report reviewing the
material after the initial learning session, but such reports were not associated
with better test performance. The benefit of mentally retrieving information from
studied material appears to facilitate the retrieval of information that was
studied without being tested. Such generalization of the benefit of testing can
increase the flexibility of test-based pedagogic interventions.
PMID- 29804268
TI - Ruxolitinib for the treatment of inadequately controlled polycythemia vera
without splenomegaly: 80-week follow-up from the RESPONSE-2 trial.
AB - RESPONSE-2 is a phase 3 study comparing the efficacy and safety of ruxolitinib
with the best available therapy (BAT) in hydroxyurea-resistant/hydroxyurea
intolerant polycythemia vera (PV) patients without palpable splenomegaly. This
analysis evaluated the durability of the efficacy and safety of ruxolitinib after
patients completed the visit at week 80 or discontinued the study. Endpoints
included proportion of patients achieving hematocrit control (< 45%), proportion
of patients achieving complete hematologic remission (CHR) at week 28, and the
durability of hematocrit control and CHR. At the time of analysis, 93% (69/74) of
patients randomized to ruxolitinib were receiving ruxolitinib; while in the BAT
arm, 77% (58/75) of patients crossed over to ruxolitinib after week 28. No
patient remained on BAT by week 80. Among patients who achieved a hematocrit
response at week 28, the probability of maintaining response up to week 80 was
78% in the ruxolitinib arm. At week 80, durable CHR was achieved in 18 patients
(24%) in the ruxolitinib arm versus 2 patients (3%) in the BAT arm. The safety
profile of ruxolitinib was consistent with previous reports. These data support
that ruxolitinib treatment should be considered also as a standard of care for
hydroxyurea-resistant/hydroxyurea-intolerant PV patients without palpable
splenomegaly.
PMID- 29804270
TI - Is elevated urotensin II level a predictor for increased cardiovascular risk in
subjects with acromegaly?
AB - PURPOSE: Acromegaly is a rare disorder existed in the result of overproduction of
growth hormone (GH). The disorder is associated with increased cardiovascular
risk factors and metabolic abnormalities. Urotensin II (UII), a secreted
vasoactive peptide hormone, belonging somatostatin superfamily, plays an
essential role in atherosclerosis and glucose metabolism. The aim of this study
was to ascertain whether circulating UII levels are altered in subjects with
acromegaly, and to describe the relationship between UII and hormonal or
cardiometabolic parameters. METHODS: This cross-sectional study included 41
subjects with active acromegaly, 28 subjects with controlled acromegaly, and 37
age- and BMI-matched controls without acromegaly. Hormonal and metabolic features
of the subjects as well as carotid intima media thickness (cIMT) and epicardial
fat thickness (EFT) were defined. Circulation of UII levels was determined via
ELISA. RESULTS: Both active and controlled acromegalic subjects showed a
significant elevation of circulating levels of UII with respect to controls.
There was no remarkable difference in circulating levels of UII between active
and controlled acromegalic groups. Both cIMT and EFT were remarkably increased in
acromegaly subjects comparing to controls. UII positively correlated with cIMT,
EFT, BMI, and HOMA-IR. There was no correlation between UII and GH, insulin-like
growth factor-1. According to the results obtained from regression models, UII
levels independently predicted cIMT and EFT. CONCLUSION: Elevated UII levels are
associated with severity of cardiovascular risk factors including cIMT and EFT in
acromegalic subjects.
PMID- 29804271
TI - A case of drug-induced Graves' Orbitopathy after combination therapy with
Tremelimumab and Durvalumab.
PMID- 29804272
TI - Current Evidence for the Management of Inflammatory Bowel Diseases Using Fecal
Microbiota Transplantation.
AB - PURPOSE OF REVIEW: Fecal microbiota transplantation (FMT) has been investigated
as a potential treatment for inflammatory bowel disease (IBD). This review
examines current evidence around the efficacy and safety of FMT for patients with
IBD. RECENT FINDINGS: Randomized controlled trials (RCTs) and meta-analyses have
suggested that FMT may facilitate clinical and endoscopic remission in patients
with active ulcerative colitis (UC). Although the evidence for FMT in Crohn's
disease (CD) is more limited, positive outcomes have been observed in small
cohort studies. Most adverse events (AEs) were mild and included transient
gastrointestinal symptoms. Serious adverse events (SAEs) did not differ
significantly between the FMT and control groups, and a marginal increased rate
of IBD flares following FMT was observed. Microbiota analysis following FMT
showed increased intestinal bacterial diversity and a shift towards the donor
microbial profile in recipients' stools. FMT for patients with IBD is promising
as RCTs have shown the benefit of FMT for UC, although the efficacy of FMT for CD
is less clear. Further large and well-designed trials are necessary to resolve
critical issues such as the donor selection, the ideal route of administration,
duration, frequency of FMT, and the long-term sustained efficacy and safety.
PMID- 29804273
TI - Health Disparities, Risk Behaviors and Healthcare Utilization Among Transgender
Women in Los Angeles County: A Comparison from 1998-1999 to 2015-2016.
AB - Data from two studies of transgender women in Los Angeles County that used the
same methodology and survey assessment (Study 1: 1998-1999, N = 244; Study 2:
2015-2016, N = 271), compared structural determinants of health, HIV/STI
prevalence, HIV risk behaviors, substance use, gender confirmation procedures,
and perceived discrimination and harassment/abuse across a 17-year time period.
Findings demonstrated that participants in the latter study reported
significantly higher access to healthcare insurance and prescription hormones.
However, participants in the latter study also reported lower levels of income;
and, elevated prevalence of homelessness, HIV and lifetime STIs, receptive
condomless anal intercourse with casual partner(s), and reported physical
harassment/abuse. Given the timeframe of these results, these findings elucidate
specific areas of transgender women's health and risk profiles that improved or
worsened across 17 years. While healthcare access has improved, transgender women
continue to face significant barriers to good health, indicating the need for
increased attention to this population.
PMID- 29804274
TI - Are zoonotic Staphylococcus pseudintermedius strains a growing threat for humans?
AB - Staphylococcus pseudintermedius is a species often isolated from animals, as a
common element of their microbiota or an agent of infection, and from people
associated with an animal habitat, including owners of home pets-dogs and cats.
As with many other species, adaptation of these bacteria to the human body can
occur, and they become important human pathogens. 59 S. pseudintermedius strains
were investigated in this study to determine the factors contributing to human
body colonization: inhibition growth of human skin residents isolated from human
skin (Staphylococcus epidermidis, Corynebacterium spp., Cutibacterium acnes
(formerly Propionibacterium acnes)), biofilm formation, and the presence of ten
genes encoding infection-promoting features (including ebpS, spsE, lukS, lukF,
pvl, lip, hlgA, hlgB). The ability of human skin to be colonized and the presence
of genes that promote the development of skin infections showed the significant
potential of the studied strains in their adaptation to the host. However, while
a comparison of the characteristics of animal strains and those isolated from
human infections does not allow us to claim that we are the witnesses of the
speciation of a new human pathogen, it does indicate their gradual adaptation to
the human organism.
PMID- 29804275
TI - The effect of the medico-legal evaluation on asylum seekers in the Metropolitan
City of Milan, Italy: a pilot study.
AB - In the present-day situation, the clinical forensic documentation of an asylum
seeker's narrative and his or her examination, together with the physical and
psychological findings, may have very important effects on the outcome of the
request for political asylum. Since 2012, the Municipality of Milan, the
University Institute of Legal Medicine, and other institutions have assembled a
team with the task of examining vulnerable asylum seekers and preparing a medical
report for the Territorial Commission for International Protection (Prefecture,
Ministry of Interiors), who will assess the application. We compared medico-legal
reports and outcomes of 57 cases which were evaluated by the Commission after
having undergone a medico-legal evaluation through the Istanbul Protocol criteria
and examined, in particular, which medico-legal variables seem associated to the
outcome. The results show that forensic assessment seems to have a significant
and interesting correlation with the final assessment given by the Commission.
For example, the higher the level of consistency, according to the Istanbul
Protocol, the more frequently protection is granted. These data show how
important clinical forensic medicine can be in such scenarios and how the
presence of clinical forensic experts should be encouraged in such evaluations,
as has been recently enshrined in Italy in the guidelines of a Ministerial Decree
of April 3rd, 2017 for the assistance and the rehabilitation as well as the
treatment of psychiatric disorders in refugees and asylum seekers who have
undergone torture, rape, and other severe forms of psychological, physical, or
sexual violence.
PMID- 29804276
TI - Applicability of T1-weighted MRI in the assessment of forensic age based on the
epiphyseal closure of the humeral head.
AB - This work investigates the value of magnetic resonance imaging analysis of
proximal epiphyseal fusion in research examining the growth and development of
the humerus and its potential utility in establishing forensic age estimation. In
this study, 428 proximal humeral epiphyses (patient age, 12-30 years) were
evaluated with T1-weighted turbo spin echo (T1 TSE) sequences in coronal oblique
orientation on shoulder MRI images. A scoring system was created following a
combination of the Schmeling and Kellinghaus methods. Spearman's rank correlation
analysis revealed a significant positive relationship between age and
ossification stage of the proximal humeral epiphysis (all subjects: rho = 0.664,
p < 0.001; males: 0.631, p < 0.001; females: rho = 0.651, p < 0.001). The intra-
and inter-observer reliability assessed using Cohen's kappa statistic was kappa =
0.898 and kappa = 0.828, respectively. The earliest age of epiphysis closure was
17 years for females and 18 years for males. MRI of the proximal humeral
epiphysis can be considered advantageous for forensic age estimation of living
individuals in a variety of situations, ranging from monitoring public health to
estimating the age of illegal immigrants/asylum seekers, minors engaged in
criminal activities, and illegal participants in competitive sports, without the
danger of radiation exposure.
PMID- 29804277
TI - In vivo response of xanthosine on mammary gene expression of lactating Beetal
goat.
AB - Xanthosine is hypothesized to increase stem cell number by promoting symmetrical
cell division. Stem cells, in particular mammary stem/progenitor cells are
important for gland growth and tissue repair. Molecular mechanism of xanthosine
effects on mammary tissue is very limited therefore, a detailed study is
warranted. The objective of this study was to evaluate transcriptomic changes in
mammary gland infused/not infused with xanthosine of lactating goat. Seven
primiparous Beetal goats on day 5 after kidding, were selected for the study. One
gland of each goat was infused with xanthosine (TRT gland) twice daily for 3 days
while the other gland did not receive any xanthosine and served as control (CON
gland). Biopsy of mammary tissues was taken from TRT and CON glands, 2 days after
the last day of treatment that is on day 10 after kidding. Illumina RNA
sequencing (RNA-seq) was performed for global gene expression analysis of
contralateral glands. Of 382 differentially expressed genes (DEGs), 372 genes
were annotated to the goat genome. Gene ontology analyses revealed majority of
the DEGs to be associated with metabolic pathways (glycan and lipid metabolism),
biosynthesis of antibiotics and peroxisome proliferator-activated receptor
signalling pathways. These molecular pathways are either directly or indirectly
involved with lipid metabolism in mammary tissue and host adaptive immune
response. Expression of stem cell marker namely aldehyde dehydrogenase enzymes
(ALDH1A1, ALDH3B1) were upregulated in the treatment gland. Real-time
quantitative PCR (RT-qPCR) analyses of selected DEGs showed their expression
profiles to be in agreement with results of RNA-seq. To our knowledge, this is
the first study that describes effects of xanthosine on transcriptomic changes of
mammary tissue. This information can be used further to dissect the molecular
mechanisms underlying effects of xanthosine to improve production potential and
udder health.
PMID- 29804279
TI - Pro-apoptotic peptides-based cancer therapies: challenges and strategies to
enhance therapeutic efficacy.
AB - Cancer is a leading cause of death worldwide. Despite many advances in the field
of cancer therapy, an effective cure is yet to be found. As a more potent
alternative for the conventional small molecule anti-cancer drugs, pro-apoptotic
peptides have emerged as a new class of anticancer agents. By interaction with
certain members in the apoptotic pathways, they could effectively kill tumor
cells. However, there remain bottleneck challenges for clinical application of
these pro-apoptotic peptides in cancer therapy. In this review, we will overview
the developed pro-apoptotic peptides and outline the widely adopted molecular
based and nanoparticle-based strategies to enhance their anti-tumor effects.
PMID- 29804278
TI - Anthraquinone and naphthopyrone glycosides from Cassia obtusifolia seeds mediate
hepatoprotection via Nrf2-mediated HO-1 activation and MAPK modulation.
AB - Cassia obtusifolia L. seed is one of the most popular traditional Chinese
medicine for mutagenicity, genotoxicity, hepatotoxicity, and acute inflammatory
diseases. We evaluated the hepatoprotective activity of anthraquinone and
naphthopyrone glycosides isolated from the butanol fraction of C. obtusifolia
seeds and explored their effects on cell signaling pathways. Continuous
chromatographic separation led to the isolation of 1-desmethylaurantio-obtusin 2
O-beta-D-glucopyranoside (1), rubrofusarin 6-O-beta-D-apiofuranosyl-(1 -> 6)-O
beta-D-glucopyranoside (2) and rubrofusarin 6-O-beta-gentiobioside (3). All
glycosides were non-toxic at concentrations up to 80 uM. The increased
intracellular reactive oxygen species (ROS) and decreased glutathione levels
observed after tert-butylhydroperoxide (t-BHP) intoxication were ameliorated by
all three glycosides, with compound 3 being the most active. Pretreatment with
the three glycosides increased nuclear factor erythroid-2-related factor 2 (Nrf2)
mediated heme oxidase-1 (HO-1) expression. All the glycosides enhanced the
phosphorylation of c-Jun N-terminal kinase (JNK), and extracellular signal
regulated kinase (ERK), and the dephosphorylation of p38. The protective effects
of the anthraquinone and naphthopyrone glycosides against t-BHP-induced oxidative
damage in human liver-derived HepG2 cells were due to the prevention of ROS
generation and up-regulated activity of HO-1 via Nrf2 activation and modulation
of the JNK/ERK/MAPK signaling pathway. The data indicate the potential of these
compounds as hepatoprotective agents in pharmaceuticals and/or nutraceuticals.
PMID- 29804280
TI - Clinical significance of discrepant mid-wall late gadolinium enhancement in
patients with nonischemic dilated cardiomyopathy.
AB - A drawback of visual assessment for late gadolinium enhancement (LGE) on cardiac
magnetic resonance (CMR) is the subjectivity and reproducibility of the results.
The aim of this study was to investigate the relationship between left
ventricular (LV) reverse remodeling in response to optimal pharmacotherapies and
the definite or discrepant mid-wall LGE with visual assessment in patients with
dilated cardiomyopathy (DCM). A total of 65 patients who had been hospitalized
with newly diagnosed DCM and had undergone CMR, were enrolled. When the visual
assessment of the presence or absence of mid-wall LGE was confirmed by the two
observers, patients were classified into either the positive- (n = 20) or
negative-LGE (n = 29) groups. If there was discordance between the diagnoses of
the two observers, patients were classified into the discrepant-LGE (n = 16)
group. LV reverse remodeling was defined as an increase in LV ejection fraction
by at least 10% concomitant with a decrease in the LV end-diastolic dimension by
at least 10%. Among the three groups, the frequency of early LV reverse
remodeling within a 1-year follow-up was significantly different (p = 0.0068).
The frequency of LV reverse remodeling within a 1-year follow-up was 59, 31, and
15%, and over 2 years was 83, 62, and 40%, in patients with negative-, discrepant
LGE, and positive-LGE, respectively. The survival rate for composite end-points
of cardiovascular mortality, sustained ventricular tachycardia, appropriate
cardioverter-defibrillator discharge, or rehospitalization for decompensated
heart failure was lower in positive-LGEs than in negative-LGEs (p =0.0011),
whereas, there were no significant differences between both negative- and
discrepant-LGEs, and discrepant- and positive-LGEs. This study showed that the
discordance for LGE visual assessment occupied an intermediate position between
positive and negative for LGE in LV reverse remodeling in patients with DCM.
PMID- 29804282
TI - Revisional But Not Conversional Gastric Bypass Surgery Increases the Risk of
Leaks: Review of 176 Redo out of 932 Consecutive Cases.
AB - INTRODUCTION: Nowadays, surgeons are dealing more and more with patients
experiencing failure of a previous bariatric procedure after the worldwide
exponential increase of bariatric surgery. Only a few and contradictory studies
investigated both outcomes and complications risk factors in this subset
population of bariatric patients. We aimed to study a homogeneous population of
patients undergoing redo bariatric surgery (REDO-BS) resulting in bypass anatomy
to evaluate early postoperative outcomes and identify risk factors of
postoperative complications and mortality. MATERIAL AND METHODS: In this study,
we compared the outcomes of patients undergoing REDO-BS from another former
bariatric procedure into Roux-en-Y gastric bypass (RYGP) to those undergoing
primary RYGP. Data were extracted from a prospectively maintained database.
RESULTS: One hundred thirty-eight conversional bariatric surgery (CBS) cases
resulting in RYGP anatomy and 38 RBS cases of pouch resizing (PR) were compared
with 756 primary RYGP. There were no statistical significant difference in
outcomes between the primary and CBS groups but patients undergoing PR had a
significant higher risk of developing a leak than others. CBS complication risk
factors were age (OR = 1.05; p = 0.04), operative time, and T2DM (OR = 4.11; p =
0.03). CONCLUSIONS: CBS is safe and leads to similar early postoperative outcomes
as primary RYGP whereas the indication for revisional surgery such as PR should
be carefully evaluated as it is associated with an increased risk of leak.
PMID- 29804284
TI - Surgical Pericardiectomy for Constrictive Pericarditis: A Single Tertiary Center
Experience.
AB - BACKGROUND: Although surgery is the sole therapeutic option for patients with
constrictive pericarditis (CP), reports on high postoperative mortality rates
have led to hesitant surgery referral. The aim of this study was to report the
short- and long-term outcomes of surgical pericardiectomy (SP) from a large
tertiary center. METHODS: Between January 2005 and January 2017, 55 consecutive
patients underwent SP after comprehensive echocardiography, computed tomography,
and hemodynamic studies. Detailed clinical, imaging, surgical techniques and
follow-up outcomes were recorded. RESULTS: The most common etiology was
idiopathic (n = 27, 49%) and 33 patients (60%) were in functional class 3/4.
Sixteen patients (29%) underwent concomitant interventions during SP, and
cardiopulmonary bypass (CPB) was used in these, as well as in four additional
cases. Complete resection, independent of CPB, was achieved in 96%. One patient
died during the index hospitalization, and four (7%) needed re-explorations due
to bleeding. While 12 patients (22%) died during a mean follow-up of 52 +/- 39
months, only 1 death was due to right heart failure. Functional class
significantly improved (with a p-value < 0.001), diuretics were discontinued in
all, and significant reductions of right atrial pressures were recorded. None of
these outcomes differed as a result of concomitant interventions at the time of
SP. CONCLUSION: Short- and long-term outcomes of SP, performed either alone or
concomitantly with other procedures, indicate high safety and favorable clinical
and hemodynamic efficacy for the treatment of CP.
PMID- 29804281
TI - Interferon-gamma and CXCL10 responses related to complaints in patients with Q
fever fatigue syndrome.
AB - Approximately 20% of patients with acute Q fever develop Q fever fatigue syndrome
(QFS), a debilitating fatigue syndrome. This study further investigates the role
of C. burnetii-specific IFNgamma, but also IL-2, CXCL9, CXCL10, and CXLC11
production in QFS patients. C. burnetii-specific IFNy, IL-2, CXCL9, CXCL10, and
CXCL11 production were tested in ex vivo stimulated whole blood of QFS patients
who recovered from their complaints (n = 8), QFS patients with persisting
complaints (n = 27), and asymptomatic Q fever seropositive controls (n = 10).
With the exclusion of one outlier, stimulation with C. burnetii revealed
significantly higher IFNy and CXCL10 production in QFS patients with persisting
complaints (medians 288.0 and 176.0 pg/mL, respectively) than in QFS patients who
recovered from their complaints (medians 93.0 and 85.5 pg/mL, respectively) (p =
0.041 and 0.045, respectively). No significant differences between groups were
found for C. burnetii-specific IL-2, CXCL9, and CXCL11 production. These findings
point towards a difference in cell-mediated immunity in QFS patients with
persisting complaints compared to those who recovered from their complaints. Such
a difference may aid to eventually diagnose QFS more objectively and might serve
as an indicator of its underlying etiology.
PMID- 29804283
TI - Different roughage:concentrate ratios with and without liquid residue of cassava
for lambs.
AB - This aim of this study was to evaluate the intake and apparent digestibility of
nutrients, ingestive behavior, blood parameters, and performance of lambs fed two
roughage:concentrate (R:C) ratios, with or without liquid residue of cassava
(LRC). Forty lambs (19.5 +/- 1.45 kg body weight) were distributed in a
completely randomized 2 * 2 factorial design. The intake of dry matter (1311
g/day) and total digestible nutrients (755 g/day), and the intake (172 g/day) and
digestibility (552 g/kg) of crude protein were higher for lambs fed R:C of 40:60.
The R:C ratio of 80:20 promoted a higher intake (585 g/day) and digestibility
(461 g/kg) of neutral detergent fiber. There was an intake of LRC of 1.37 L/day
for lambs fed R:C of 80:20 and 0.42 L/day for lambs fed R:C of 40:60. The lambs
fed R:C of 80:20 spent more time in feeding (326 m/day) and rumination (530
m/day). The metabolic profile and performance of lambs were not affected by LRC
inclusion. The body weight gain (220 g/day) was higher in lambs fed R:C of 40:60.
A roughage:concentrate ratio of 40:60 is recommended for lambs because it
resulted in the best performance, regardless of supplementation with liquid
residue of cassava.
PMID- 29804285
TI - Analysis of Minimally Invasive Left Thoracotomy HVAD Implantation - A Single
Center Experience.
AB - BACKGROUND: Minimally invasive left ventricular assist device (LVAD)
implantation may reduce peri-/postoperative complications and risks associated
with resternotomies. In this study, we describe our first results using a
minimally invasive LVAD implantation technique (lateral thoracotomy [LT] group).
These results were compared with LVAD implantations done via full median
sternotomy (STX group). METHODS: HVAD (HeartWare, Framingham, Massachusetts,
United States) implantations in 70 patients (LT group n = 22, 52 +/- 15 years
old; STX group n = 48, 59 +/- 11 years old) were retrospectively analyzed.
Minimally invasive access via left thoracotomy was feasible in 22 patients. Peri-
and postoperative analyses of survival and adverse events were performed.
RESULTS: No survival differences were observed between the LT and STX group (p =
0.43). LT patients without temporary right ventricular assist device (tRVAD)
showed a significantly better survival rate compared to LT patients with
concomitant tRVAD implantation (p = 0.02), which could not be demonstrated in the
STX group (p = 0.11). Two LT and four STX patients were successfully bridged to
heart transplantation and three STX patients were successfully weaned with
subsequent LVAD explantations. LVAD-related infections (n = 4 LT group vs n = 20
STX group, p = 0.04) were less likely in the LT group. No wound dehiscence
occurred in the LT group, whereas five were observed in the STX group (p = 0.17).
The amount of perioperative blood transfusions (within the first 7 postoperative
days) did not differ in both study groups (p = 0.48). CONCLUSION: The minimally
invasive approach is a viable alternative with the possibility to reduce
complications and should be particularly considered for bridge-to-transplant
patients.
PMID- 29804287
TI - The predictive value of left ventricular myocardium mechanics evaluation in
asymptomatic patients with aortic regurgitation and preserved left ventricular
ejection fraction. A long-term speckle-tracking echocardiographic study.
AB - BACKGROUND: The management of asymptomatic patients with aortic regurgitation
(AR) and preserved left ventricular (LV) ejection fraction (LVEF) remains
challenging. The purpose of the study was to assess the early changes of LV
mechanics by 2D speckle-tracking echocardiography (2D-STE) in order to predict a
decrease in LVEF. METHODS: Sixty-seven patients (age, 47 +/- 15 years) with
asymptomatic moderate (n = 27) and severe AR (n = 40) with preserved LVEF were
prospectively followed for about 5 years. Sixty healthy age-matched controls were
included in the study. Standard echocardiography and 2D-STE were performed at the
baseline and follow-up. The primary endpoint was a deterioration of the LVEF
(<=50%). RESULTS: At baseline, global LV longitudinal peak systolic strain (GLS)
and strain rate (GLSRs) were decreased in patients with severe AR compared to
controls (-18.9 +/- 2.4 vs 20.0 +/- 2.1%; -1.05 +/- 0.19 vs -1.18 +/- 0.15 1/s, P
< .05, respectively). In the moderate AR group, GLS was not different from that
of the control group, but GLSRs was significantly lower than in controls. The
primary endpoint was reached in 12 patients with severe AR, while this was not
observed in patients with moderate AR. In multivariate analysis, GLS was an
independent predictor of LVEF. According to ROC curve analysis, probability of
primary endpoint occurrence was significantly greater in patients with GLS values
>=-18.5% (AUC: 0.89, P < .01). CONCLUSIONS: The reduction of LV longitudinal
deformation is a sign of early subclinical LV dysfunction. GLS is a prognostic
predictor of LV dysfunction and may be potentially useful for optimal timing of
surgery for patients with significant AR.
PMID- 29804288
TI - CDKN2A/B Loss Is Associated with Anaplastic Transformation in a Case of NTRK2
Fusion-positive Pilocytic Astrocytoma.
PMID- 29804286
TI - Synaptic activity induces input-specific rearrangements in a targeted synaptic
protein interaction network.
AB - Cells utilize dynamic, network-level rearrangements in highly interconnected
protein interaction networks to transmit and integrate information from distinct
signaling inputs. Despite the importance of protein interaction network dynamics,
the organizational logic underlying information flow through these networks is
not well understood. Previously, we developed the quantitative multiplex co
immunoprecipitation platform, which allows for the simultaneous and quantitative
measurement of the amount of co-association between large numbers of proteins in
shared complexes. Here, we adapt quantitative multiplex co-immunoprecipitation to
define the activity-dependent dynamics of an 18-member protein interaction
network in order to better understand the underlying principles governing
glutamatergic signal transduction. We first establish that immunoprecipitation
detected by flow cytometry can detect activity-dependent changes in two known
protein-protein interactions (Homer1-mGluR5 and PSD-95-SynGAP). We next
demonstrate that neuronal stimulation elicits a coordinated change in our
targeted protein interaction network, characterized by the initial dissociation
of Homer1 and SynGAP-containing complexes followed by increased associations
among glutamate receptors and PSD-95. Finally, we show that stimulation of
distinct glutamate receptor types results in different modular sets of protein
interaction network rearrangements, and that cells activate both modules in order
to integrate complex inputs. This analysis demonstrates that cells respond to
distinct types of glutamatergic input by modulating different combinations of
protein co-associations among a targeted network of proteins. Our data support a
model of synaptic plasticity in which synaptic stimulation elicits dissociation
of pre-existing multiprotein complexes, opening binding slots in scaffold
proteins and allowing for the recruitment of additional glutamatergic receptors.
Open Science: This manuscript was awarded with the Open Materials Badge. For more
information see: https://cos.io/our-services/open-science-badges/.
PMID- 29804289
TI - Pro-inflammatory activation of microglia in the brain of patients with sepsis.
AB - AIMS: Experimental data suggest that systemic immune activation may create a pro
inflammatory environment with microglia activation in the central nervous system
in the absence of overt inflammation, which in turn may be deleterious in
conditions of neurodegenerative disease. The extent to which this is relevant for
the human brain is unknown. The central aim of this study is to provide an in
depth characterization of the microglia and macrophage response to systemic
inflammation. METHODS: We used recently described markers to characterize the
origin and functional states of microglia/macrophages in white and grey matter in
patients who died under septic conditions and compared it to those patients
without systemic inflammation. RESULTS: We found pro-inflammatory microglia
activation in septic patients in the white matter, with very little activation in
the grey matter. Using a specific marker for resident microglia (TMEM119), we
found that parenchyma microglia were activated and that there was additional
recruitment of perivascular macrophages. Pro-inflammatory microglia activation
occurred in the presence of homeostatic microglia cells. In contrast to
inflammatory or ischaemic diseases of the brain, the anti-inflammatory microglia
markers CD163 or CD206 were not expressed in acute sepsis. Furthermore, we found
pronounced upregulation of inducible nitric oxide synthase not only in microglia,
but also in astrocytes and endothelial cells. CONCLUSION: Our results demonstrate
the pronounced effects of systemic inflammation on the human brain and have
important implications for the selection of control populations for studies on
microglia activation in human brain disease.
PMID- 29804290
TI - Tacrolimus Elimination in Four Patients With a CYP3A5*3/*3 CYP3A4*22/*22 Genotype
Combination.
AB - Cytochrome P450 3A5 (CYP3A5) and cytochrome P450 3A4 (CYP3A4) are the predominate
enzymes responsible for tacrolimus metabolism. The presence of CYP3A4 and CYP3A5
genetic variants significantly affects tacrolimus clearance and dose
requirements. CYP3A5*3 is a loss-of-function variant resulting in no CYP3A5
enzyme production. CYP3A4*22 is a variant that reduces production of functional
CYP3A4 protein. Caucasians commonly carry these variant alleles but are very
rarely homozygous for both CYP3A5*3 and CYP3A4*22. This report describes four
kidney transplant recipients who carry a rare genotype combination (CYP3A5*3/*3
and CYP3A4*22/*22). These patients were identified from a larger cohort of
Caucasian kidney transplant recipients (n=1366). To understand the significance
of this genotype combination on tacrolimus troughs and doses, we compared these
patients to recipients without this combination. Patients homozygous for both
variants are at risk for profound reductions in metabolism of CYP3A substrates. A
342% and a 90.6% increase in the median dose-normalized trough was observed, when
the CYP3A5*3/*3 and CYP3A4*22/*22 genotype combination was compared to the
CYP3A5*1/*1 and CYP3A4*1/*1 genotype combination and the CYP3A5*3/*3 and
CYP3A4*1/*1 genotype combination, respectively. These four individuals only
required on average 2.5 mg/day of tacrolimus. Knowledge of these genotypes would
be useful in selecting appropriate tacrolimus doses to avoid overexposure.
PMID- 29804291
TI - Synthesis of stabilized hydroxyapatite nanosuspensions for enamel caries
remineralization.
AB - BACKGROUND: The aim of this study was to develop and evaluate a method for
synthesizing a stable suspension of hydroxyapatite nanoparticles and to test its
efficacy for remineralizing carious enamel lesions. METHODS: Hydroxyapatite (HA)
particles were synthesized using wet chemistry. Synthesized particles were
introduced into a high-pressure homogenizer (5-10 homogenization passes at 15 000
psi) in the presence of different stabilizers. Size and distribution of the
resultant particles were determined using dynamic light scattering (DLS). The
morphology and composition of the nanoparticles were determined using scanning
electron microscopy (SEM) and energy-dispersive X-ray spectroscopy (EDS).
Subsequently, artificial lesions were treated with HA nanosuspension plus
artificial saliva or a fluoride-containing artificial saliva only. Visual
analysis and quantification of the lesion mineral density before and after
remineralization were performed using microcomputed tomography. RESULTS: DLS and
SEM results confirmed the formation of nonagglomerated HA nanoparticles (20-40
nm) following high-pressure homogenization treatment. Quantitative evaluation of
the lesions showed that remineralization of the lesion with hydroxyapatite
nanosuspension led to a significantly higher level of mineral gain compared to
the control group (P < 0.05). CONCLUSION: High-pressure homogenization is an
effective method for facile preparation of a stable suspension of hydroxyapatite
nanoparticles. Treatment of artificial lesions with nonagglomerated spherical HA
nanoparticles improves the remineralization of enamel lesion.
PMID- 29804293
TI - Vitamin D and tuberculosis: where next?
AB - Tuberculosis (TB) has troubled mankind for millennia, but current treatment
strategies are long and complicated and the disease remains a major global health
problem. The risk of Mycobacterium tuberculosis (Mtb) infection or progression of
active TB disease is elevated in individuals with vitamin D deficiency. High-dose
vitamin D was used to treat TB in the preantibiotic era, and in vitro
experimental data show that vitamin D supports innate immune responses that
restrict growth of Mtb. Several randomized controlled trials have tested whether
adjunctive vitamin D supplementation enhances the clinical and microbiological
response to standard antimicrobial chemotherapy for pulmonary TB. The effects
have been modest at best, and attention is turning to the question of whether
vitamin D supplementation might have a role in preventing acquisition or
reactivation of latent Mtb infection. In this article, we describe the effects of
vitamin D on host immune responses to Mtb in vitro and in vivo and review the
results of clinical trials in the field. We also reflect on the findings of
clinical trials of vitamin D supplementation for the prevention of acute
respiratory tract infections, and discuss how these findings might influence the
design of future trials to evaluate the role of vitamin D in the prevention and
treatment of TB.
PMID- 29804295
TI - Addressing diversity in tuberculosis using multidimensional approaches.
AB - Tuberculosis is a complex disease, which can affect many organs other than the
lungs. Initial infection may be cleared without inducing immunological memory, or
progress directly to primary disease. Alternatively, the infection may be
controlled as latent TB infection, that may progress to active tuberculosis at a
later stage. There is now a greater understanding that these infection states are
part of a continuum, and studies using PET/CT imaging have shown that individual
lung granulomas may respond to infection independently, in an un-synchronized
manner. In addition, the Mycobacterium tuberculosis organisms themselves can
exist in different states: as nonculturable forms, as 'persisters', as rapidly
growing bacteria and a biofilm-forming cording phenotype. The 'omics' approaches
of transcriptomics, metabolomics and proteomics can help reveal the mechanisms
underlying these different infection states in the host, and identify
biosignatures with diagnostic potential, that can predict the development of
disease, in 'progressors' as early as 12-18 months before it can be detected
clinically, or that can monitor the success of anti-TB therapy. Further insights
can be obtained from studies of BCG vaccination and new TB vaccines. For example,
epigenetic changes associated with trained immunity and a stronger immune
responses following BCG vaccination can be identified. These omics approaches may
be particularly valuable when linked to studies of mycobacterial growth
inhibition, as a direct read-out of the ability to control mycobacterial growth.
The second generation of omics studies is identifying much smaller signatures
based on as few as 3 or 4 genes. Thus, narrowing down omics-derived biosignatures
to a manageable set of markers now opens the way to field-friendly point of care
assays.
PMID- 29804296
TI - Response to letter: Observational studies investigating hip fracture risk: a
fundamental methodological issue?
PMID- 29804297
TI - A single episode of binge alcohol drinking causes sleep disturbance, disrupts
sleep homeostasis, and down-regulates equilibrative nucleoside transporter 1.
AB - Binge alcohol drinking, a risky pattern of alcohol consumption, has severe
consequences toward health and well-being of an individual, his family, and
society. Although, binge drinking has detrimental effects on sleep, underlying
mechanisms are unknown. We used adult male C57BL/6J mice and exposed them to a
single, 4-h session of binge alcohol self-administration, in stress-free
environment, to examine neuronal mechanisms affecting sleep. We first verified
binge pattern of alcohol consumption. When allowed to self-administer alcohol in
a non-stressful environment, mice consumed alcohol in a binge pattern. Next,
effect of binge drinking on sleep-wakefulness was monitored. While sleep
wakefulness remained unchanged during drinking session, significant increase in
non-rapid eye movement (NREM) sleep was observed during 4 h of active period post
binge, followed by increased wakefulness, reduced sleep during subsequent sleep
(light) period; although the timing of sleep onset (at lights-on) remained
unaffected. Next, electrophysiological and biochemical indicators of sleep
homeostasis were examined using sleep deprivation-recovery sleep paradigm. Mice
exposed to binge drinking did not show an increase in cortical theta power and
basal forebrain adenosine levels during sleep deprivation; NREM sleep and NREM
delta power did not increase during recovery sleep suggesting that mice exposed
to binge alcohol do not develop sleep pressure. Our final experiment examined
expression of genes regulating sleep homeostasis following binge drinking. While
binge drinking did not affect adenosine kinase and A1 receptor, expression of
equilibrative nucleoside transporter 1 (ENT1) was significantly reduced. These
results suggest that binge alcohol consumption-induced down-regulation of ENT1
expression may disrupt sleep homeostasis and cause sleep disturbances. Open Data:
Materials are available on https://cos.io/our-services/open-science-badges/
https://osf.io/93n6m/.
PMID- 29804294
TI - Ten-day high-dose proton pump inhibitor triple therapy versus sequential therapy
for Helicobacter pylori eradication.
AB - BACKGROUND AND AIM: Eradication rates of Helicobacter pylori following standard
triple therapy are declining worldwide, but high-dose proton pump inhibitor-based
triple therapy (HD-PPI-TT) and sequential therapy (ST) have demonstrated higher
cure rates. We aimed to compare the efficacy and tolerability of HD-PPI-TT and ST
in H. pylori-associated functional dyspepsia (FD). METHODS: One hundred and
twenty H. pylori-associated functional dyspepsia patients were randomized to
receive 10-day HD-PPI-TT (60 mg lansoprazole/500 mg clarithromycin/1 g
amoxicillin, each administered twice daily for 10 days) or 10-day ST (30 mg
lansoprazole/1 g amoxicillin, each administered twice daily for 5 days followed
by 30 mg lansoprazole/500 mg clarithromycin/400 mg metronidazole, each
administered twice daily for 5 days). H. pylori status was determined in post
treatment week 4 by 14 C-urea breath test. Eradication and antibiotic resistance
rates, dyspeptic symptoms, drug compliance, and adverse effects were compared.
RESULTS: Intention-to-treat eradication rates were similar in the ST and HD-PPI
TT groups (85% vs. 80%; P = 0.47). However, the eradication rate was
significantly higher following ST compared with HD-PPI-TT in per protocol
analysis (94.4% vs. 81.4%; P = 0.035). ST achieved higher cure rates than HD-PPI
TT in clarithromycin-resistant H. pylori strains (100% vs. 33.3%; P = 0.02).
Treatment compliance was similar in the HD-PPI-TT and ST groups, although nausea
and dizziness were more common in the ST group. CONCLUSIONS: Sequential therapy
achieved better H. pylori eradication than HD-PPI-TT in patients with FD.
However, the eradication rate for ST fell from 94.4% in per protocol to 85% in
intention-to-treat analysis. Adverse effects might result in poorer compliance
and compromise actual ST efficacy (ClinicalTrials.gov: NCT01888237).
PMID- 29804292
TI - Friends and foes of tuberculosis: modulation of protective immunity.
AB - Protective immunity in tuberculosis (TB) is subject of debate in the TB research
community, as this is key to fully understand TB pathogenesis and to develop new
promising tools for TB diagnosis and prognosis as well as a more efficient TB
vaccine. IFN-gamma producing CD4+ T cells are key in TB control, but may not be
sufficient to provide protection. Additional subsets have been identified that
contribute to protection such as multifunctional and cytolytic T-cell subsets,
including classical and nonclassical T cells as well as novel innate immune cell
subsets resulting from trained immunity. However, to define protective immune
responses against TB, the complexity of balancing TB immunity also has to be
considered. In this review, insights into effector cell immunity and how this is
modulated by regulatory cells, associated comorbidities and the host microbiome,
is discussed. We systematically map how different suppressive immune cell subsets
may affect effector cell responses at the local site of infection. We also
dissect how common comorbidities such as HIV, helminths and diabetes may bias
protective TB immunity towards pathogenic and regulatory responses. Finally, also
the composition and diversity of the microbiome in the lung and gut could affect
host TB immunity. Understanding these various aspects of the immunological
balance in the human host is fundamental to prevent TB infection and disease.
PMID- 29804298
TI - Cardiac diseases among liver transplant candidates.
AB - Improvements in early survival after liver transplant (LT) have allowed for the
selection of LT candidates with multiple comorbidities. Cardiovascular disease is
a major contributor to post-LT complications. We performed a literature search to
identify the causes of cardiac disease in the LT population and to describe
techniques for diagnosis and perioperative management. As no definite guidelines
for preoperative assessment (except for pulmonary heart disease) are currently
available, we recommend an algorithm for preoperative cardiac work-up.
PMID- 29804299
TI - Unexplained absence resulting in deaths of nursing home residents in Australia-A
13-year retrospective study.
AB - OBJECTIVES: To examine deaths of Australian nursing home (NH) residents following
an unexplained absence. METHODS: Population based cross-sectional study was
conducted using coronial data from the National Coronial Information System.
Participants are residents of accredited NHs if death followed an unexplained
absence and was reported to the Coroner between July 1, 2000 and June 30, 2013.
Individual, organisational, environmental, and unexplained absence event factors
were extracted from coronial records. Data were analysed using descriptive
statistics. RESULTS: Of 21 672 NH deaths, 24 (0.1%) followed an unexplained
absence. This comprised 17 unintentional external (injury-related) causes and 7
natural cause deaths. Drowning was the most frequent external cause of death
(59%, n = 10). Deaths occurred more frequently in males (83.3%, n = 20), and in
the age group 85-94 years (37.5%, n = 9). The majority of NH residents, for whom
data were available (n = 15), had a diagnosis of dementia (86.7%, n = 13). Most
residents were found in waterways (41.7%, n = 10). Median distance travelled was
0.5 km (IQR: 0.25-2.4 km), with almost 70% of residents found within 1.0 km of
their NH. Most residents left the NH by foot (88.2%, n = 15). Half of the
residents were found within 6 hours of time last seen (median: 6 hours, 40
minutes; IQR: 6.0-11.45 hours). CONCLUSION: Unexplained absences in elderly NH
residents are a relatively common event. This study provides valuable information
for aged care providers, governments, and search and rescue teams, and should
contribute to debates about balancing issues of safety with independence.
PMID- 29804300
TI - Clinical implications of high-sensitivity cardiac troponins.
AB - Cardiac troponin assays have become more sensitive over the years leading to the
clinical introduction of high-sensitivity cardiac troponin assays in 2010. Their
use has revolutionized the assessment of patients with chest pain in the
emergency department by allowing earlier rule-in and rule-out of myocardial
infarction leading to shorter stays in the emergency department and reduced
admissions for chest pain. The incidence of myocardial infarction has increased
slightly, and patients with myocardial infarction diagnosed with high-sensitivity
cardiac troponins have been found to have a reduced risk of reinfarction, though
without an impact on survival. High-sensitivity cardiac troponins are powerful
predictors of long-term mortality and cardiovascular disease in the general
population as well as in patients with chest pain with or without cardiovascular
disease. The increase in risk for death and cardiovascular disease associated
with high-sensitivity cardiac troponins is graded and starts already at
detectable levels, well below the upper normal level. The aim of this review was
to describe the clinical use and consequences of the introduction of high
sensitivity cardiac troponins. In addition, the importance of persistently
elevated troponin levels for prognosis and what investigations may be appropriate
to perform in patients with stable troponin elevations are discussed.
PMID- 29804301
TI - Subventricular zone lipidomic architecture loss in Huntington's disease.
AB - The human subventricular zone (SVZ) has a defined cytological and neurochemical
architecture, with four constituent laminae that act in concert to support its
neurogenic activity. Lipidomic specialisation has previously been demonstrated in
the neurologically normal human SVZ, with enrichment of functionally important
lipid classes in each lamina. The SVZ is also responsive to neurodegenerative
disorders, where thickening of the niche and enhanced proliferation of resident
cells were observed in Huntington's disease (HD) brains. In this study, we
hypothesised lipidomic changes in the HD SVZ. Using matrix-assisted laser
desorption/ionisation (MALDI) imaging mass spectrometry, this analysis shows
differences in the lipidomic architecture in the post-mortem Vonsattel grade III
cases. Relative to matched, neurologically normal specimens (N = 4), the
lipidomic signature of the HD SVZ (N = 4) was characterized by loss of sulfatides
and triglycerides in the myelin layer, with an ectopic and focal accumulation of
sphingomyelins and ceramide-1-phosphate observed in this lamina. A striking loss
of lipidomic patterning was also observed in the ependymal layer, where the local
abundance of phosphatidylinositols was significantly reduced in HD. This
comprehensive spatially resolved lipidomic analysis of the human HD SVZ
identifies alterations in lipid architecture that may shed light on the
mechanisms of SVZ responses to neurodegeneration in HD. Open Science: This
manuscript was awarded with the Open Materials Badge. For more information see:
https://cos.io/our-services/open-science-badges/.
PMID- 29804302
TI - Mitochondria, lysosomes, and dysfunction: their meaning in neurodegeneration.
AB - In the last decades, lysosomes and mitochondria were considered distinct and
physically separated organelles involved in different cellular functions. While
lysosomes were thought to exclusively be the rubbish dump of the cell involved in
the degradation of proteins and other cell compartments, mitochondria were
considered solely involved in the oxidation of energy substrate to get ATP,
together with other minor duties. Nowadays, our view of these organelles is
profoundly changed since studies demonstrated that mitochondria and lysosome are
mutually functional, maintaining proper cell homeostasis. Furthermore, the onset
of neurodegenerative diseases (i.e., Parkinson's disease, Alzheimer's disease,
lysosomal storage disorders, and amyotrophic lateral sclerosis) is tightly linked
to mutations in mitochondrial and lysosomal regulators. In this context,
mitochondrial dysfunction leads to lysosomal impairment and buildup of autophagy
by-products, whereas lysosomal imperfections trigger functional and morphological
mitochondrial defects. Here, we provide an updated overview covering recent
findings about mitochondria and lysosomal interaction in physiology and
pathophysiology, focusing the attention on the molecular mechanism that control
their interdependence.
PMID- 29804303
TI - Computerised working memory-based cognitive remediation therapy does not affect
Reading the Mind in The Eyes test performance or neural activity during a Facial
Emotion Recognition test in psychosis.
AB - Working memory-based cognitive remediation therapy (CT) for psychosis has
recently been associated with broad improvements in performance on untrained
tasks measuring working memory, episodic memory and IQ, and changes in associated
brain regions. However, it is unclear whether these improvements transfer to the
domain of social cognition and neural activity related to performance on social
cognitive tasks. We examined performance on the Reading the Mind in the Eyes test
(Eyes test) in a large sample of participants with psychosis who underwent
working memory-based CT (N = 43) compared to a control group of participants with
psychosis (N = 35). In a subset of this sample, we used functional magnetic
resonance imaging (fMRI) to examine changes in neural activity during a facial
emotion recognition task in participants who underwent CT (N = 15) compared to a
control group (N = 15). No significant effects of CT were observed on Eyes test
performance or on neural activity during facial emotion recognition, either at p
< 0.05 family-wise error or at a p < 0.001 uncorrected threshold, within a priori
social cognitive regions of interest. This study suggests that working memory
based CT does not significantly impact an aspect of social cognition which was
measured behaviourally and neurally. It provides further evidence that deficits
in the ability to decode mental state from facial expressions are dissociable
from working memory deficits, and suggests that future CT programmes should
target social cognition in addition to working memory for the purposes of further
enhancing social function.
PMID- 29804304
TI - Development, organization and plasticity of auditory circuits: Lessons from a
cherished colleague.
AB - Ray Guillery was a neuroscientist known primarily for his ground-breaking studies
on the development of the visual pathways and subsequently on the nature of
thalamocortical processing loops. The legacy of his work, however, extends well
beyond the visual system. Thanks to Ray Guillery's pioneering anatomical studies,
the ferret has become a widely used animal model for investigating the
development and plasticity of sensory processing. This includes our own work on
the auditory system, where experiments in ferrets have revealed the role of
sensory experience during development in shaping the neural circuits responsible
for sound localization, as well as the capacity of the mature brain to adapt to
changes in inputs resulting from hearing loss. Our research has also built on Ray
Guillery's ideas about the possible functions of the massive descending
projections that link sensory areas of the cerebral cortex to the thalamus and
other subcortical targets, by demonstrating a role for corticothalamic feedback
in the perception of complex sounds and for corticollicular projection neurons in
learning to accommodate altered auditory spatial cues. Finally, his insights into
the organization and functions of transthalamic corticocortical connections have
inspired a raft of research, including by our own laboratory, which has attempted
to identify how information flows through the thalamus.
PMID- 29804305
TI - Gender disparities in liver transplant candidates with nonalcoholic
steatohepatitis.
AB - BACKGROUND: Nonalcoholic steatohepatitis (NASH) is the fastest growing indication
for liver transplantation (LT). Data from the UNOS database were used to compare
rates of listing and LT between men and women with NASH. METHODS: The study
population consisted of 76 149 patients listed for LT between 2005 and 2012, 5
492 (7.2%) of who were listed for NASH. Patient characteristics and outcomes were
compared by gender. RESULTS: Nonalcoholic steatohepatitis was a more frequent
indication for transplant listing in women than men throughout the study period.
Women had lower serum creatinine levels at listing (1.18 +/- 0.76 mg/dL vs 1.28
+/- 0.79 mg/dL, P < .001) and were less likely to be listed with exception points
(17.7% vs 24.9%, P < .001). Transplantation was less common among women than men
with NASH (52.4% vs 64.3%), and women were more likely to experience death on the
waiting list (17.1% vs 11.4%) In multivariable analysis adjusting for covariates,
the rate of LT remained lower for women with NASH (aHR 0.81 95% CI: 0.75-0.88).
CONCLUSIONS: Women with NASH cirrhosis had a higher risk of death on the LT
waiting list and were less likely to receive LT compared to men.
PMID- 29804306
TI - Lung transplantation in the Lung Allocation Score era: Medium-term analysis from
a single center.
AB - In 2005, the Lung Allocation Score (LAS) was implemented as the allocation system
for lungs in the US. We sought to compare 5-year lung transplant outcomes before
and after the institution of the LAS. Between 2000 and 2011, 501 adult patients
were identified, with 132 from January 2000 to April 2005 (Pre-LAS era) and 369
from May 2005 to December 2011 (Post-LAS era). Kruskal-Wallis or chi-squared test
was used to determine significance between groups. Survival was censored at 5
years. Overall, the post-LAS era was associated with more restrictive lung
disease, higher LAS scores, shorter wait-list times, more preoperative
immunosuppression, and more single lung transplantation. In addition, post-LAS
patients had higher O2 requirements with greater preoperative pulmonary
impairment. Postoperatively, 30-day mortality improved in post-LAS era (1.6% vs
5.3%, P = .048). During the pre- and post-LAS eras, 5-year survival was 52.3% and
55.3%, respectively (P = .414). The adjusted risk of mortality was not different
in the post-LAS era (P = .139). Freedom from chronic lung allograft dysfunction
was significantly higher in the post-LAS era (P = .002). In this single-center
report, implementation of the LAS score has led to allocation to sicker patients
without decrement in short- or medium-term outcomes. Freedom from CLAD at 5 years
is improving after LAS implementation.
PMID- 29804307
TI - Efficacy and Safety of Probiotics and Synbiotics in Liver Transplantation.
AB - This article summarizes available literature regarding the utilization of
probiotic and synbiotics in liver transplant (LTX) recipients, reviewing efficacy
in both decreasing infectious complications and immunomodulation, as well as
exploring safety concerns. Data suggest that the use of probiotics containing
Lactobacillus spp, either alone or in combination with prebiotics (referred to as
synbiotics), may be effective in reducing infectious complications after LTX, a
major contributor to graft loss, hospital length of stay, and mortality.
Literature evaluating the use of probiotics to induce tolerance, reduce
rejection, and prevent damage associated with ischemia-reperfusion injury is
limited to animal models but compelling, as it suggests the use of probiotics may
augment deleterious immune-mediated processes in this population. While the
benefits of probiotics should be weighed against potential risks, these concerns
are largely theoretical in the LTX recipient, with the majority of evidence
extrapolated from case reports in other immunosuppressed populations. Based on
available literature, it may be prudent to avoid products containing
Saccharomyces sp, as these were not used in the efficacy studies, and the
majority of the adverse event reporting stems from the use of products containing
this organism. Further evaluation of the safety and efficacy of probiotic therapy
is warranted. Studies specifically designed to elucidate the optimal product and
initiation scenario and delineate safety in this population are needed to allow
expanded use of this inexpensive, relatively nontoxic, and potentially beneficial
therapeutic option after LTX.
PMID- 29804308
TI - Reduced Abeta secretion by human neurons under conditions of strongly increased
BACE activity.
AB - The initial step in the amyloidogenic cascade of amyloid precursor protein (APP)
processing is catalyzed by beta-site APP-cleaving enzyme (BACE), and this
protease has increased activities in affected areas of Alzheimer's disease
brains. We hypothesized that altered APP processing, because of augmented BACE
activity, would affect the actions of direct and indirect BACE inhibitors. We
therefore compared post-mitotic human neurons (LUHMES) with their BACE
overexpressing counterparts (BLUHMES). Although beta-cleavage of APP was strongly
increased in BLUHMES, they produced less full-length and truncated amyloid beta
(Abeta) than LUHMES. Moreover, low concentrations of BACE inhibitors decreased
cellular BACE activity as expected, but increased Abeta1-40 levels. Several other
approaches to modulate BACE activity led to a similar, apparently paradoxical,
behavior. For instance, reduction in intracellular acidification by bepridil
increased Abeta production in parallel with decreased BACE activity. In contrast
to BLUHMES, the respective control cells (LUHMES or BLUHMES with catalytically
inactive BACE) showed conventional pharmacological responses. Other non-canonical
neurochemical responses (so-called 'rebound effects') are well-documented for the
Abeta pathway, especially for gamma-secretase: a partial block of its activity
leads to an increased Abeta secretion by some cell types. We therefore compared
LUHMES and BLUHMES regarding rebound effects of gamma-secretase inhibitors and
found an Abeta rise in LUHMES but not in BLUHMES. Thus, different cellular
factors are responsible for the gamma-secretase- versus BACE-related Abeta
rebound. We conclude that increased BACE activity, possibly accompanied by an
altered cellular localization pattern, can dramatically influence Abeta
generation in human neurons and affect pharmacological responses to secretase
inhibitors. OPEN PRACTICES: Open Science: This manuscript was awarded with the
Open Materials Badge. For more information see: https://cos.io/our-services/open
science-badges/.
PMID- 29804309
TI - Regional disparities in obesity/emaciation and income in schoolchildren in Osaka
City.
AB - BACKGROUND: We assessed the association between socioeconomic status at
residential area-level in the 24 wards of Osaka City, differentiated by indices
of mean income-related deprivation, and inequalities in childhood obesity and
emaciation. METHODS: Data from representative samples of 26 474 schoolchildren
(first and fifth grades of elementary school, and third grade of junior high
school [i.e. ninth grade of elementary school]) in Osaka City taken from a
somatometric check in spring 2016 were analyzed. The cross-sectional association
between socioeconomic factors, that is, the census-based annual income of each
ward, and the prevalence of childhood overweight/obesity and emaciation, was
examined. RESULTS: The prevalence of overweight/obesity in boys and girls in the
first and fifth grades of elementary school and the third grade of junior high
school was 3.98% and 4.53%, 10.18% and 8.69%, and 7.02% and 5.55%, respectively.
The prevalence of emaciation in boys and girls in the first and fifth grades of
elementary school, and the third grade of junior high school was 0.14% and 0.10%,
0.46% and 1.06% and 3.95% and 3.05%, respectively. Mean physical value, expressed
as % degree of overweight, had a negative correlation with mean annual income of
each ward in girls in the first and fifth grades of elementary school, girls in
the third grade of junior high school and boys in the first grade of elementary
school. CONCLUSIONS: Overweight/obesity at school age is greatly affected by
poverty. Efforts should be made to prevent emaciation not only in girls, but also
in boys, in junior high school.
PMID- 29804310
TI - Temporal response of soil organic carbon after grassland-related land-use change.
AB - The net flux of CO2 exchanged with the atmosphere following grassland-related
land-use change (LUC) depends on the subsequent temporal dynamics of soil organic
carbon (SOC). Yet, the magnitude and timing of these dynamics are still unclear.
We compiled a global data set of 836 paired-sites to quantify temporal SOC
changes after grassland-related LUC. In order to discriminate between SOC losses
from the initial ecosystem and gains from the secondary one, the post-LUC time
series of SOC data was combined with satellite-based net primary production
observations as a proxy of carbon input to the soil. Globally, land conversion
from either cropland or forest into grassland leads to SOC accumulation; the
reverse shows net SOC loss. The SOC response curves vary between different
regions. Conversion of cropland to managed grassland results in more SOC
accumulation than natural grassland recovery from abandoned cropland. We did not
consider the biophysical variables (e.g., climate conditions and soil properties)
when fitting the SOC turnover rate into the observation data but analyzed the
relationships between the fitted turnover rate and these variables. The SOC
turnover rate is significantly correlated with temperature and precipitation (p <
0.05), but not with the clay fraction of soils (p > 0.05). Comparing our results
with predictions from bookkeeping models, we found that bookkeeping models
overestimate by 56% of the long-term (100 years horizon) cumulative SOC emissions
for grassland-related LUC types in tropical and temperate regions since 2000. We
also tested the spatial representativeness of our data set and calculated SOC
response curves using the representative subset of sites in each region. Our
study provides new insight into the impact grassland-related LUC on the global
carbon budget and sheds light on the potential of grassland conservation for
climate mitigation.
PMID- 29804312
TI - Photosynthetic capacity and leaf nitrogen decline along a controlled climate
gradient in provenances of two widely distributed Eucalyptus species.
AB - Climate is an important factor limiting tree distributions and adaptation to
different thermal environments may influence how tree populations respond to
climate warming. Given the current rate of warming, it has been hypothesized that
tree populations in warmer, more thermally stable climates may have limited
capacity to respond physiologically to warming compared to populations from
cooler, more seasonal climates. We determined in a controlled environment how
several provenances of widely distributed Eucalyptus tereticornis and E. grandis
adjusted their photosynthetic capacity to +3.5 degrees C warming along their
native distribution range (~16-38 degrees S) and whether climate of seed origin
of the provenances influenced their response to different growth temperatures. We
also tested how temperature optima (Topt ) of photosynthesis and Jmax responded
to higher growth temperatures. Our results showed increased photosynthesis rates
at a standardized temperature with warming in temperate provenances, while rates
in tropical provenances were reduced by about 40% compared to their temperate
counterparts. Temperature optima of photosynthesis increased as provenances were
exposed to warmer growth temperatures. Both species had ~30% reduced
photosynthetic capacity in tropical and subtropical provenances related to
reduced leaf nitrogen and leaf Rubisco content compared to temperate provenances.
Tropical provenances operated closer to their thermal optimum and came within 3%
of the Topt of Jmax during the daily temperature maxima. Hence, further warming
may negatively affect C uptake and tree growth in warmer climates, whereas
eucalypts in cooler climates may benefit from moderate warming.
PMID- 29804311
TI - Bladder cancer in nonagenarians: a multicentre study of 123 patients.
AB - OBJECTIVE: To investigate the oncological outcome of nonagenarians with bladder
cancer, as a substantial rise in bladder cancer in the old-old age group in the
upcoming decades is expected, due to demographic changes and the peak incidence
around the age of 85 years. The paucity of data of nonagenarians prompted us to
investigate the outcomes of such patients. PATIENTS AND METHODS: A retrospective,
multicentre study was designed to assess patient demographics, tumour patterns,
treatment strategies and outcome in patients aged >=90 years treated at
participating centres. Patients entered either as de novo or as recurrent cancer.
The study period ranged from 01.01.2006 to 31.12.2016. RESULTS: A total of 123
patients with a mean (range) age of 91 (90-99) years were recruited. The American
Society of Anesthesiologists Physical Status Classification (ASA-score)
distribution was as follows: II, 38%; III, 50%; IV, 12%; and the male to female
ratio 2.4:1. The median (range) follow-up was 8 (1-132) months. In all, 60% of
patients had a de novo cancer diagnosis. Histological findings revealed: pTa 39%
(n = 48), pT1 28.5% (n = 35), and >=pT2 33% (n = 40). Overall, 67.5% patients had
no recurrence, 25.2% one and 7.5% two or more. pTa tumours (n = 48) recurred in
20 patients (42%), pT1 tumours (n = 35) in 12 (34%), and >=pT2 tumours (n = 40)
in six (15%). The median overall survival (OS) was 30.0 months for patients with
pTa tumours, 14.0 months for pT1 tumours, and 6.0 months for >=pT2 tumours. The
overall mortality rate of patients with pTa tumours was 40%, with pT1 tumours at
60%, and >=pT2 tumours 75%. The ASA-score also had a strong influence on median
OS after stratification by ASA-score (II, 30 months; III, 12 months; IV, 4
months). CONCLUSIONS: In nonagenarians with bladder tumours, pTa/pT1/>=pT2 stages
are almost evenly distributed and two-thirds of patients had no recurrence after
transurethral resection of the bladder. The mean OS was 1.3 years, and 6 months
for >=pT2 tumours. Further case-series of patients in this specific age-group are
required to identify the best management of this increasing proportion of
patients with bladder tumours.
PMID- 29804313
TI - Motivational and implicit processes contribute to incidental physical activity.
AB - OBJECTIVES: Physical activity can prevent health risks and even a slight increase
in physical activity benefits health. This study investigated potential
contributing factors to incidental physical activity. DESIGN: A two-part
correlational study examined whether motivational properties (autonomous and
controlled motivation) in interaction with implicit processes (implicit
attitudes, attentional, and approach-avoid biases) contribute to incidental
physical activity. METHODS: Participants (N = 103) recorded a 7-day step count to
measure incidental physical activity. Implicit attitudes, attentional, and
approach-avoid biases were measured using the SC-IAT, dot probe, and manikin
tasks, respectively. Autonomous and controlled motivation were measured using the
Perceived Locus of Causality Questionnaire. RESULTS: Implicit attitudes and
autonomous and controlled motivation were independently associated with
incidental physical activity. Both autonomous and controlled motivation (when
controlling for the other motivation-type) in interaction with approach bias
contributed to incidental physical activity levels; motivation was positively
associated with step count in participants with high avoid bias scores.
Motivation did not interact with attentional bias to contribute to levels of
incidental physical activity when controlling for motivation-type. CONCLUSIONS:
Findings showed that elements from self-determination theory and dual process
models relate to incidental physical activity behaviour. Specifically, autonomous
motivation and certain implicit processes contributed to incidental physical
activity engagement. This study provides an important first step towards
understanding the psychological mechanisms that contribute to incidental physical
activity. Statement of contribution What is already known on this subject?
Motivation and implicit processes individually contribute to incidental physical
activity behaviour. Autonomous motivation, rather than controlled motivation,
primarily contributes to physical activity behaviour. What does this study add?
Motivational and implicit processes together contribute to predicting levels of
incidental physical activity. Both autonomous and controlled motivation
contribute to levels of incidental physical activity. Certain implicit processes
also play a role in incidental physical activity engagement.
PMID- 29804315
TI - The diagnostic accuracy of multiparametric magnetic resonance imaging before
biopsy in the detection of prostate cancer.
AB - OBJECTIVES: To determine the extent to which clinically significant prostate
cancer (csPCa) can be detected in a routine National Health Service setting in
men with no previous biopsy, when multiparametric magnetic resonance imaging
(mpMRI) is introduced into the diagnostic pathway. PATIENTS AND METHODS: In all,
1 090 mpMRIs were performed between July 2013 and April 2016 in biopsy-naive men
with an abnormal prostate-specific antigen level and/or digital rectal
examination. Data were collected from patient records at the Royal Devon and
Exeter NHS Foundation Trust. mpMRI Prostate Imaging Reporting and Data System (PI
RADS) scores were compared to transperineal or transrectal ultrasonography (TRUS)
guided biopsy findings as the reference standard. csPCa was defined as Gleason
score of >=3+4. The diagnostic accuracy of mpMRI was also assessed. RESULTS: The
mpMRI was interpretable in 1 023 men and 792 underwent biopsy, of which 106 were
transperineal. The median number of cores taken in transperineal and TRUS-guided
biopsy were 10 and 6, respectively. The detection rate of csPCa was 37%; csPCa
rose from 15% of PI-RADS 1 and 2 to 86% of PI-RADS 5. The sensitivity, negative
predictive value, specificity, and positive predictive value were 82%, 85%, 59%
and 54%, respectively. The study is limited by its retrospective nature and lack
of reporting of follow-up for 'missed cancers'. Men with low mpMRI PI-RADS were
also less likely to undergo biopsy. Whilst this selection bias may overestimate
the detection rate of csPCa, this reflects the shared decisions patients and
clinicians make in day-to-day practice outside of research centres. CONCLUSION:
In a routine clinical setting, the higher the mpMRI PI-RADS, the greater the
detection rate of csPCa in biopsy-naive men. A normal mpMRI does not exclude
csPCa; however, mpMRI may have utility in informing shared-decision making on
whether to proceed to biopsy and subsequent treatment.
PMID- 29804316
TI - Vulnerability of the global terrestrial ecosystems to climate change.
AB - Climate change has far-reaching impacts on ecosystems. Recent attempts to
quantify such impacts focus on measuring exposure to climate change but largely
ignore ecosystem resistance and resilience, which may also affect the
vulnerability outcomes. In this study, the relative vulnerability of global
terrestrial ecosystems to short-term climate variability was assessed by
simultaneously integrating exposure, sensitivity, and resilience at a high
spatial resolution (0.05 degrees ). The results show that vulnerable areas are
currently distributed primarily in plains. Responses to climate change vary among
ecosystems and deserts and xeric shrublands are the most vulnerable biomes.
Global vulnerability patterns are determined largely by exposure, while ecosystem
sensitivity and resilience may exacerbate or alleviate external climate pressures
at local scales; there is a highly significant negative correlation between
exposure and sensitivity. Globally, 61.31% of the terrestrial vegetated area is
capable of mitigating climate change impacts and those areas are concentrated in
polar regions, boreal forests, tropical rainforests, and intact forests. Under
current sensitivity and resilience conditions, vulnerable areas are projected to
develop in high Northern Hemisphere latitudes in the future. The results suggest
that integrating all three aspects of vulnerability (exposure, sensitivity, and
resilience) may offer more comprehensive and spatially explicit adaptation
strategies to reduce the impacts of climate change on terrestrial ecosystems.
PMID- 29804314
TI - What are the 'active ingredients' of interventions targeting the public's
engagement with antimicrobial resistance and how might they work?
AB - OBJECTIVES: Changing public awareness of antimicrobial resistance (AMR)
represents a global public health priority. A systematic review of interventions
that targeted public AMR awareness and associated behaviour was previously
conducted. Here, we focus on identifying the active content of these
interventions and explore potential mechanisms of action. METHODS: The project
took a novel approach to intervention mapping utilizing the following steps: (1)
an exploration of explicit and tacit theory and theoretical constructs within the
interventions using the Theoretical Domains Framework (TDFv2), (2) retrospective
coding of behaviour change techniques (BCTs) using the BCT Taxonomy v1, and (3)
an investigation of coherent links between the TDF domains and BCTs across the
interventions. RESULTS: Of 20 studies included, only four reported an explicit
theoretical basis to their intervention. However, TDF analysis revealed that nine
of the 14 TDF domains were utilized, most commonly 'Knowledge' and 'Environmental
context and resources'. The BCT analysis showed that all interventions contained
at least one BCT, and 14 of 93 (15%) BCTs were coded, most commonly 'Information
about health consequences', 'Credible source', and 'Instruction on how to perform
the behaviour'. CONCLUSIONS: We identified nine relevant TDF domains and 14 BCTs
used in these interventions. Only 15% of BCTs have been applied in AMR
interventions thus providing a clear opportunity for the development of novel
interventions in this context. This methodological approach provides a useful way
of retrospectively mapping theoretical constructs and BCTs when reviewing studies
that provide limited information on theory and intervention content. Statement of
contribution What is already known on this subject? Evidence of the effectiveness
of interventions that target the public to engage them with AMR is mixed; the
public continue to show poor knowledge and misperceptions of AMR. Little is known
about the common, active ingredients of AMR interventions targeting the public
and information on explicit theoretical content is sparse. Information on the
components of AMR public health interventions is urgently needed to enable the
design of effective interventions to engage the public with AMR stewardship
behaviour. What does this study add? The analysis shows very few studies reported
any explicit theoretical basis to the interventions they described. Many
interventions share common components, including core mechanisms of action and
behaviour change techniques. The analysis suggests components of future
interventions to engage the public with AMR.
PMID- 29804318
TI - The complex pericentriolar material 1 protein allows differentiation between
myonuclei and nuclei of satellite cells of the skeletal muscle.
PMID- 29804317
TI - Shwachman-Diamond syndrome: Nationwide survey and systematic review in Japan.
AB - BACKGROUND: Shwachman-Diamond syndrome (SDS) is a rare multisystem disorder
associated with exocrine pancreatic insufficiency. The present study reports the
results of a nationwide survey and a systematic review on SDS to develop
consensus guidelines for intractable diarrhea including SDS. METHODS:
Questionnaires were sent to 616 departments of pediatrics or of pediatric surgery
in Japan in a nationwide survey. A second questionnaire was sent to doctors who
had treated SDS patients and included questions on clinical information.
Additionally, a systematic review was performed using digital literature
databases to assess the influence of medical (i.e. non-surgical) treatment on SDS
prognosis. RESULTS: Answers were received from 529 institutions (85.9%), which
included information on 24 patients with SDS (median age, 10.4 years; male, n =
15) treated from January 2005 to December 2014. Although 75% of patients received
pancreatic enzyme replacement therapy, there was no significant association
between treatment and prognosis. Systematic review identified one clinical
practice guideline, two case series, eight case reports and 26 reviews. Patient
information from those studies was insufficient for meta-analysis. CONCLUSIONS:
The rarity of SDS makes it difficult to establish evidence-based treatment for
SDS. According to the limited information from patients and published reports,
medical treatment for malabsorption due to SDS should be performed to improve fat
absorption and stool condition, but it is not clear whether this treatment
improves the prognosis of malabsorption.
PMID- 29804319
TI - MicroRNA-219 decreases hippocampal long-term potentiation inhibition and
hippocampal neuronal cell apoptosis in type 2 diabetes mellitus mice by
suppressing the NMDAR signaling pathway.
AB - OBJECTIVE: Type 2 diabetes mellitus (T2DM) is a complex polygenic disease that
causes hyperglycemia and accounts for 90%-95% of all diabetes mellitus cases.
Hence, this study aimed to examine the effects of microRNA-219 (miR-219) on
inhibition of long-term potentiation (LTP) and apoptosis of hippocampal neuronal
cells in T2DM mice through the N-methyl-d-aspartate receptor (NMDAR) signaling
pathway regulation. METHODS: The T2DM mouse models were established, after which
LTP in vivo was recorded by means of electrical biology, and the fasting blood
glucose of mice was measured. Next, the density of pyramidal neurons in each
group was calculated. Additionally, the expression levels of miR-219, the NMDAR
signaling pathway [NMDAR1 (NR) 1, NR2A, and NR2B), downstream target proteins
[calmodulin-dependent protein kinase-II (CaMK-II) and cAMP response element
binding protein (CREB)], and apoptosis-related factors [Bcl2-associated X protein
(Bax), c-caspase-9 and c-caspase-3] in the hippocampal tissues were determined.
Finally, immunohistochemistry was applied to detect and measure the positive
expression of Bax, caspase-9, and caspase-3 proteins. RESULTS: The results showed
that upregulation of miR-219 increases LTP and density of pyramidal neurons in
the hippocampal tissues of mice, while it decreases blood glucose of db/db mice.
In addition, miR-219 upregulation also leads to decreased mRNA levels of NR1,
NR2A, NR2B, CaMK-II, and CREB and protein levels of NR1, NR2A, NR2B, CaMK-II,
CREB, p-CREB, Bax, c-caspase-9, and c-caspase-3. Furthermore, upregulation of miR
219 inhibits positive expression of Bax, caspase-9, and caspase-3 proteins,
leading to the suppression of hippocampal neuronal cell apoptosis. CONCLUSION:
The findings from this study indicated that the upregulation of miR-219 decreases
LTP inhibition and hippocampal neuronal cell apoptosis in T2DM mice by
downregulating the NMDAR signaling pathway, therefore suggesting that MiR-219
might be a future therapeutic strategy for T2DM.
PMID- 29804320
TI - An epigenetic role for ascorbic acid in neurodegenerative diseases.
PMID- 29804321
TI - Oral diatrizoate acid for meconium-related ileus in extremely preterm infants.
AB - BACKGROUND: Intestinal disorders are common in very low-birthweight infants. The
purpose of this study was to evaluate the impact of prophylactic oral
Gastrografin(r) (diatrizoate acid) on meconium-related ileus (MRI) in extremely
preterm infants. METHODS: This was a retrospective case-control study of infants
born extremely preterm at <28 weeks of gestation and treated with diatrizoate
acid (prophylactic group) or not (control group) in the periods 2007-2014 and
2000-2009, respectively. In the 2007-2014 period, 120 infants received
prophylactic diatrizoate acid solution. From the 165 infants in the control
group, we selected 120 infants matched for gestational age. Cases of death before
72 h of life or congenital abnormalities were excluded. Intestinal disorders,
time until full enteral feeding, duration of hospital stay, mortality rate, and
neurodevelopmental outcome were compared. RESULTS: MRI occurred in six infants in
the control group and in none of the infants in the prophylactic group (P =
0.039). Median time until full enteral feeding was 25 versus 22 days (P < 0.01),
hospital stay was 142 versus 126 days (P < 0.01), and mortality rate for infants
aged 24-27 weeks was 8.2% versus 0% (P = 0.021), respectively. CONCLUSIONS:
Prophylactic oral diatrizoate acid reduced MRI in extremely preterm infants
without side-effects and decreased the mortality rate of infants born at 24-27
weeks, and is thus beneficial in extremely preterm infants.
PMID- 29804322
TI - Sudden infant death syndrome: Knowledge and practise in parents of preterm
infants.
AB - BACKGROUND: Preterm infants are at higher risk of sudden infant death syndrome
(SIDS) compared with term born infants and the risk is inversely proportional to
the gestational age and birthweight. Parents of these infants should have
adequate knowledge and practise the recommended SIDS risk reduction measures.
METHODS: A survey was conducted between December 2016 and August 2017 at
Universiti Kebangsaan Malaysia Medical Centre, Kuala Lumpur. Parents of preterm
infants <=36 weeks' gestation were invited to answer a self-administered
questionnaire to assess their knowledge and practise regarding SIDS risk
reduction. RESULTS: Forty-nine (61.33%) of the 80 parents had heard of SIDS prior
to the interview, with social media being the commonest source of information
(67.3%). Only 35 (43.7%) correctly answered at least five of nine questions on
knowledge of SIDS risk reduction ("good knowledge"). When compared with the group
of parents who answered less than five questions correctly ("poor knowledge"),
there was no significant difference in the demographic and infant characteristics
between the groups. The majority (68.8%) of parents practised bed sharing with
their infants, and this was significantly more common in the group of parents
with poor knowledge (P = 0.01). Household smoking was also significantly more
common in the group of parents with poor knowledge (P = 0.048). CONCLUSION:
Knowledge on SIDS risk reduction measures was generally poor among parents of
preterm infants in this study. Cigarette smoking, bed sharing and non-supine
sleep positions, which are associated with increased risk of SIDS, were common
practise among the present subjects.
PMID- 29804323
TI - Effect of human head morphological variability on the mechanical response of
blast overpressure loading.
AB - A methodology is introduced to investigate the effect of intersubject head
morphological variability on the mechanical response of the brain when subjected
to blast overpressure loading. Nonrigid image registration techniques are
leveraged to warp a manually segmented template model to an arbitrary number of
subjects following a procedure to coarsely segment the subjects in batch. Finite
element meshes are autogenerated, and blast analysis is conducted. The template
model is initially constructed to enable the full automated implementation and
application of the proposed methodology. The application of the proposed approach
for an anterior-oriented blast has been demonstrated, and the results reveal that
the pressure response in the brain does exhibit some dependence on head
morphological variability. While the magnitude of the peak pressure response can
vary by more than 30%, its location within the brain is unaffected by head
morphological variability. A linear least squares analysis was conducted to
demonstrate that the peak magnitude of pressure is uncorrelated with head volume
while it is correlated with aspect ratio relating to the amount of exposed
surface area to the blast. These features of the pressure response are likely due
to the peak pressure occurring during the early stages of stress wave
transmission and reflection. As a result, the pressure response due to blast
overpressure loading is predominantly loading dependent while morphological
variability has a secondary effect.
PMID- 29804325
TI - Patent foramen ovale closure for patients with cryptogenic stroke: A systematic
review and comprehensive meta-analysis of 5 randomized controlled trials and 14
observational studies.
AB - BACKGROUND: Previous review from randomized controlled trials (RCT) showed that
patients with cryptogenic stroke may benefit from patent foramen ovale (PFO)
closure. However, the findings from the systematic review were not clear when
observational studies were also included. METHODS: We searched MEDLINE, Embase,
and Cochrane databases. The primary endpoints were recurrent stroke or transient
ischemic attack (TIA). The secondary outcomes were all-cause death, atrial
fibrillation (AF), and hemorrhagic events. RESULTS: Five randomized trials and
fourteen observational studies (6301 participants) were eligible. PFO closure was
superior to medical therapy for stroke prevention risk ratios ([RR], 0.38; 95%
CI, 0.24-0.60), but showed increased risk of AF (RR, 4.96; 95% CI, 2.31-10.7).
There was no significant difference in TIA recurrence, death, and hemorrhagic
events. Subgroup analyses showed that patients with factors such as substantial
residual shunt, the presence of atrial septal aneurysm (ASA), male, and age <45
years had a lower risk of recurrent stroke when PFOs were closed. CONCLUSIONS: In
patients with cryptogenic stroke, PFO closure does appeared to be superior to
medical therapy in stroke prevention, with an increased incidence of AF. Male,
age <45 years, substantial residual shunt, and the history of ASA are the factors
that will predict the benefit when PFO is closed.
PMID- 29804327
TI - Risk factors for competing non-cancer mortality after definitive treatment for
advanced-stage head and neck cancer.
AB - OBJECTIVE: Patients with head and neck cancer (HNC) can die of index tumor
progression and second tumor or non-cancer causes. Here, we investigated the risk
factors for competing non-cancer mortality (NCM) in a prospective cohort of
patients with advanced-stage HNC. MATERIALS AND METHODS: A prospective
observational study was conducted with 604 patients who underwent definitive
treatment for advanced-stage HNC between 2010 and 2015. Main outcomes were NCM
and cancer mortality (CM) defined as death from non-cancer causes and HNC or
second cancers, respectively. Cumulative incidence and cause-specific hazard
functions were used to analyze the risk factors of NCM and CM. RESULTS: Age,
smoking, Charlson comorbidity index (CCI), performance status, body mass index,
rural residence, education and hemoglobin level at diagnosis, and chemotherapy
were significantly associated with NCM (all p < 0.05). Multivariate analyses
showed that age, CCI, and hemoglobin were independent factors of NCM. Age (>=65
years), CCI (>=2), and hemoglobin (<11 g/dl) were related to 4.5-, 3.2-, and 2.7
fold increased adjusted risk of NCM, respectively. CONCLUSIONS: Old age,
comorbidity, and hemoglobin at diagnosis were independent predictors of NCM. The
risk factors could be used to predict non-cancer death after definitive treatment
for advanced-stage HNC.
PMID- 29804326
TI - Therapeutic effects of dietary intervention on neuroinflammation and brain
metabolism in a rat model of photothrombotic stroke.
AB - INTRODUCTION: A possible target for stroke management is modulation of
neuroinflammation. Evidence suggests that food components may exert anti
inflammatory properties and thus may reduce stroke-induced brain damage. AIM: To
investigate the efficacy of a diet, containing anti-inflammatory ingredients, as
treatment for focal ischemic brain damage induced by photothrombotic stroke in
the somatosensory cortex of rats. RESULTS: Brain lesions were surrounded by
strong astrogliosis on both day 7 and day 21 after stroke and were accompanied by
a trend toward globally decreased glucose metabolism on day 7. The
investigational diet applied 2 weeks before the ischemia did not affect astrocyte
activation on day 7, but reduced it at day 21. The investigational diet applied
immediately after the ischemia, increased astrocyte activation on day 7 and
completely reversed this effect on day 21. Moreover, postischemic intervention
increased glucose metabolism in somatosensory cortex ipsilateral to the lesion on
day 7. CONCLUSION: This study reveals potentially beneficial effects of a diet
containing elevated amounts of anti-inflammatory nutrients on the recovery from
ischemic brain damage. Therefore, dietary intervention can be considered as an
adjuvant therapy for recovery from this brain pathology.
PMID- 29804324
TI - Array comparative genomic hybridization identifies high level of PI3K/Akt/mTOR
pathway alterations in anal cancer recurrences.
AB - Genomic alterations of anal squamous cell carcinoma (ASCC) remain poorly
understood due to the rarity of this tumor. Array comparative genomic
hybridization and targeted gene sequencing were performed in 49 cases of ASCC.
The most frequently altered regions (with a frequency greater than 25%) were 10
deleted regions (2q35, 2q36.3, 3p21.2, 4p16.3, 4p31.21, 7q36.1, 8p23.3, 10q23.2,
11q22.3, and 13q14.11) and 8 gained regions (1p36.33, 1q21.1, 3q26.32, 5p15.33,
8q24.3, 9q34.3, 16p13.3, and 19p13.3). The most frequent minimal regions of
deletion (55%) encompassed the 11q22.3 region containing ATM, while the most
frequent minimal regions of gain (57%) encompassed the 3q26.32 region containing
PIK3CA. Recurrent homozygous deletions were observed for 5 loci (ie, TGFR2 in 4
cases), and recurrent focal amplifications were observed for 8 loci (ie, DDR2 and
CCND1 in 3 cases, respectively). Several of the focal amplified genes are targets
for specific therapies. Integrated analysis showed that the PI3K/Akt/mTOR
signaling pathway was the pathway most extensively affected, particularly in
recurrences compared to treatment-naive tumors (64% vs 30%; P = .017). In
patients with ASCC recurrences, poor overall survival (OS) was significantly
correlated with a large number of altered regions (P = .024). These findings
provide insight into the somatic genomic alterations in ASCC and highlight the
key role of the druggable PI3K/Akt/mTOR signaling pathway.
PMID- 29804328
TI - Zinc deficiency and its predictive capacity for anemia: Unique model in school
children.
AB - BACKGROUND: Zinc deficiency is thought to be common in children, but its
predictive capacity for anemia is unclear. Thus, this study identified zinc
deficiency in school children, and investigated the association between zinc
status and hemoglobin, together with other estimates of anemia. METHODS: For this
case-control study, 349 of 483 children between 6.5 and 14.8 years old were
included from primary schools in Bolu, Turkey. We measured weight, length, body
mass index, and complete blood count with serum zinc, ferritin, vitamin B12 and
folate. We investigated the differences between the groups and the effects of
independent predictors such as age, gender, ferritin, zinc, vitamin B12 and
folate on hemoglobin, on hierarchical multiple regression analysis. RESULTS:
Thirty-eight (10.9%) of 349 children had low serum zinc concentration, and 21
(6.0%) were anemic. There were 12 anemic children in the zinc-deficient group and
nine in the zinc-sufficient control group (31.5% vs 2.9%) with similar ferritin
levels. On regression analysis, zinc had the strongest association with
hemoglobin. On receiver operating characteristic analysis, the cut-off for serum
zinc for prediction of anemia was 71.5 MUg/dL. CONCLUSIONS: The strongest
association of zinc with hemoglobin suggests that low zinc contributed the most
to the observed anemia in children.
PMID- 29804329
TI - [A PUBLIC HOSPITAL IN THE ERA OF 'INNOVATION-DRIVEN MEDICINE' - HUMAN EXCELLENCE
AND EVOLVING TECHNOLOGY].
AB - INTRODUCTION: Assaf Harofeh Medical Center is the fourth largest governmental
hospital in Israel, with 900 beds, approximately 165,000 annual ER visits, and
23,000 operations. The Medical Center encourages human excellence and medical
innovation, together with "patient centered" perspectives, providing optimal
holistic service, alongside caring for the staff. The management concept of
"participatory leadership" leads to multi-sectorial integration, conducting
combined physician-nurse quality projects in all departments. As part of leading
the field of quality and accreditation and the desire to share knowledge and
experience, the School for Quality and Accreditation was established to train
medical teams from the hospital and other medical centers. This issue presents
articles that illuminate some of the work on our flourishing campus. The hospital
serves a diverse population both demographically, and socio-economically. We feel
responsibility for this population beyond the provision of medical care. The many
centers of excellence in prominent clinical fields and the platform for providing
continuous education for the medical staff to carry out basic and clinical
research, are at the forefront for the future. Following demographic expansion of
the population around the hospital, the task of providing optimal and equitable
medical services is challenging. Over the next decade, the hospital is expected
to be united with psychiatric and geriatric hospitals to create an integrated
medical center.
PMID- 29804331
TI - [THE IMPACT OF ACCREDITATION - ACHIEVEMENTS, BENEFITS AND BARRIERS: COMPARING THE
VIEWPOINTS OF THE PROCESS LEADERS AND THE HOSPITAL STAFF].
AB - AIMS: Evaluating the impact of the accreditation process on the basis of
achievements, benefits and barriers from the viewpoint of leaders of the hospital
accreditation in comparison to the hospital staff members. BACKGROUND: The
implementation of standards for accreditation aim to improve the safety and
quality of treatment. Partaking in this process has raised dilemmas regarding the
actual benefits of accreditation in relation to the efforts invested in its
achievement. Examining the standpoints of leaders of the process can reflect on
the influence of this mechanism both on hospital activity and on hospital staff.
METHODS: A survey was conducted among two groups: The first group, the JCI
accreditation leaders group, included 35 participants (the steering committee, 15
chapter heads and the hospital management); and 71 participants from the extended
headquarters (senior physicians, nurses and administration staff). The second
group included 564 hospital personnel from the medical, nursing, alternative
medicine, administrators and housekeeping staff. The questionnaire included 46
statements in five fields: the effectiveness and benefit from the process,
weaknesses, barriers, leadership and administration of the accreditation.
RESULTS: All the respondents to the survey perceived the process as a leverage
for implementing significant changes in all levels of the organization. There
were high levels of agreement on the benefit of the process regarding the
effective and affective contribution - high morale, feelings of accomplishment
and team pride, improvement in communication, cooperation and social cohesion.
The weaknesses of the process, including financial costs, bureaucracy, paper
overflow and work overload, were awarded relatively low scores. The advantages of
the process were ranked high in both groups; the accreditation leaders group
attributed the process benefits to the organization as a whole, ranking it
significantly higher, as well as for the individual. The hospital staff rated as
significantly higher: the contribution of the process on the department level and
the opportunity to promote accomplishments that were not reached in the past.
CONCLUSIONS: The survey raised organizational discussion which minimized the
objections to the process of change. Focusing on chosen aspects bridged between
managers and on-site staff to find effective solutions. DISCUSSION: In order to
promote successful inter-organizational processes the hospital requires both
leadership and a well-formulated strategic program. The secondary gains from the
broad process encompassing the whole organization, such as in the case of
accreditation, are expressed in the form of social cohesion, cooperation, group
pride and high staff morale.
PMID- 29804330
TI - [REFERRAL LETTERS TO THE PEDIATRIC EMERGENCY UNIT - COMMUNITY PHYSICIANS'
INTENTIONS VERSUS EMERGENCY DEPARTMENT PHYSICIANS' AND PARENTS' COMPREHENSION].
AB - BACKGROUND: Referral notes are the main communication method between primary
physicians and hospital physicians. Therefore, the written referral, has great
importance in explaining the patient's condition or complaint, and the additional
steps or actions that may be required for their complete evaluation and
diagnosis. In this research we evaluated the main reasons for child referral to
the hospital and, whether both the hospital physician and the child's parents
understood those reasons correctly. METHODS: All the children referred to the ED
during four weeks in July 2013 were included. For all cases with referral notes
three questionnaires were presented: One to the hospital physician, one to the
child's parents and a third, (via phone conversation), to the referring
physician. RESULTS: At least two questionnaires were completed for each of the
261 cases. When primary physicians' original goals were compared with the
hospital physicians' interpretation, only 33.7% of cases were fully matched, in
24.8% of cases there was a partial match and in 41.6% there was no match at all.
When primary physicians' original goals were compared with the parents'
understanding only 35.5% showed that they were fully matched, 30.3% showed
partial match and 34.2% show no match at all. When evaluating primary physicians'
intention with hospital physicians' interpretation, we found that during on-call
hours the probability for a match was lower. Matching was higher for more
experienced primary physicians. CONCLUSIONS: This research reinforces the
impression of many hospital physicians of misunderstanding referral goals. Over
65% of referrals were partially or totally misunderstood. These findings are of
importance when evaluating a sick child, since the community doctor usually has a
greater acquaintance with the patient's condition gathered over the years or
during an acute illness.
PMID- 29804332
TI - [A COMPARATIVE STUDY OF THE PATHOLOGICAL CHARACTERISTICS OF RIGHT SIDED VERSUS
LEFT SIDED COLON CANCER].
AB - AIMS: This study aimed to investigate the pathologic differences between right
and left sided colonic cancer. BACKGROUND: The incidence of right sided colon
cancer during the past several decades is increasing as compared to left sided
colon cancer. There are cumulative publications describing epidemiological,
pathological and genetic differences between right and left sided colon cancer. A
few studies have also shown a lower survival rate in patients with right sided
colon cancer as compared to patients with left sided colon cancer. METHODS: A
retrospective study based on the accumulated data on right and left sided colonic
cancer. RESULTS: Data on 823 patients was collected; 426 patients (52.8%) had
colon cancer located on the right side and in 397 patients (48.2%) it was located
on the left side. There were no statistically significant differences between
right and left colon cancer regarding sex, lymph node metastases and
lymphovascular invasion. However, there was a significantly higher proportion of
poorly differentiated adenocarcinomas (19%vs.8.7%; p<.001) and a trend to higher
T stage (T3-4:87.7%vs.82.8%; p<.049) in right sided tumors as compared to left
sided tumors. The incidence of mucinous tumors and mucinous components was also
significantly higher in right sided tumors (7.3%vs.2%; p<.001, 13.1%vs.7%;
p<.001). CONCLUSIONS: We found histopathological differences between right and
left sided colon cancer. Tumors on the right colon were found to be more
aggressive, as expressed by poorer differentiation, higher T stage and mucinous
tumors. The reasons are unclear, either the existence of two distinct molecular
pathways or simply a delay in the diagnosis of right sided colon cancer. Future
studies are needed to better understand the true nature of these differences.
PMID- 29804333
TI - [A MODEL TO SOLVE BARRIERS IN TREATING COMPLEX MEDICAL PATIENTS - 'DIABETIC FOOT'
AS A CASE STUDY].
AB - AIMS: To identify barriers for diabetic foot detection within the medical team,
to plan and conduct interventions to solve these barriers and to follow-up their
outcomes, resulting in creating a model to cope with barriers in the treatment of
complicated patients. BACKGROUND: Diabetes mellitus is a rising epidemic
worldwide, with a significant medical and economic impact (about 20% of the
annual health expenditure). A major complication of diabetes is 'diabetic foot',
including neuropathy and peripheral vascular disease, resulting in leg ulcers and
amputation. Morbidity and mortality in amputated patients are significantly
higher, while in 85% of the patients, a preventable leg ulcer could be detected
prior to amputation. Screening and patient education may reduce leg ulcers and
amputation by 45%. METHODS: Identify barriers and solutions, ranking their
relative weight and correlation matrix, by a focus group. RESULTS: Identifying
the relative weight of the barriers, revealed that professional barriers were
dominant, followed by policy, administrative and educational barriers.
CONCLUSIONS: Identifying barriers and their solutions in the measuring process of
quality medical indices, may improve the scope and quality of the measurement, as
well as affect health outcomes. A model for detecting barriers increased the
involvement of staff to improve processes and promote interdisciplinary
communication. It is recommended to intensify the engagement in resolving
barriers as part of the quality improvement processes. DISCUSSION: Identifying
barriers is a crucial step for their removal. Adjusting optimized solutions,
setting a clear policy, guidance and the cooperation of a multidisciplinary team,
defining "diabetic foot assessment tool" as a required "quality index", and
conducting periodic controls of the implementation of this standard, enhance the
translation of policy to feasible activity measures.
PMID- 29804334
TI - [PREVENTION OF PRETERM BIRTH IN TWINS WITH SHORT MID-TRIMESTER CERVICAL LENGTH
LESS THAN 25MM -COMBINED TREATMENT WITH ARABIN'S CERCLAGE PESSARY AND
INTRAVAGINAL MICRONIZED PROGESTERONE COMPARED WITH CONSERVATIVE TREATMENT].
AB - BACKGROUND: Twin pregnancies with short mid-trimester cervical length have a high
rate of preterm births. OBJECTIVES: To compare combined treatment of Arabin
cerclage pessary, and intravaginal micronized progesterone to conservative
treatment for the prevention of preterm births in twins pregnancies with short
cervical length in second trimester of pregnancy. METHODS: A retrospective study
that compared twin pregnancies with short <=25mm cervix in second trimester 16-28
gestational weeks treated with combined treatment of Arabin cervical pessary and
intravaginal micronized progesterone 200mg TID to a control group with
conservative treatment for the prevention of preterm. RESULTS: The treatment
group included 32 patients and the control group 26 patients. Average week at
admission was 23 +/- 2.2 vs 25 +/-3.1 weeks, average cervical length at admission
14.1 +/- 2.2 mm vs 13 +/-2.1 mm respectively. Average week of delivery 34.4 +/
3.9 vs. 33.4 +/-4.1, p=0.6 and incidence of delivery <=28weeks was 9.4% vs. 34%
p=0.04. CONCLUSIONS: The treatment group had a lower incidence of preterm birth
before 28 weeks. Further prospective studies are needed to assess preterm birth
prevention treatments efficacy and the use of Arabin cervical pessary in twins.
PMID- 29804335
TI - [THE EPIDEMIOLOGY OF CLOSTRIDIUM DIFFICILE INFECTIONS AND ASPECTS PERTAINING TO
TREATMENT WITH VANCOMYCIN AT ASSAF HAROFEH MEDICAL CENTER].
AB - BACKGROUND: The epidemiology of Clostridium difficile infections (CDI) have
evolved dramatically in the past decade. Vancomycin is the treatment of choice
for moderate to severe CDI. However, controlled comparative data pertaining to
mild CDI is lacking. Furthermore, the potential impact of vancomycin treatment on
subsequent vancomycin-resistant Enterococcus (VRE) isolation remains unknown.
METHODS: A retrospective cohort analysis was executed at the Assaf Harofeh
Medical Center, from 2013 to 2015. Adult patients (>18 years) with a first
episode of acute CDI, determined per pre-established criteria, were enrolled. The
efficacy of vancomycin vs. metronidazole among patients with mild CDI, and the
independent association of oral vancomycin treatment during the acute CDI and
later (up to 18 months) VRE isolation, was analyzed by logistic regression.
RESULTS: A total of 260 patients with CDI were enrolled. The majority were
elderly (75%), and 56% had moderate to severe disease. Among 75 patients with
mild disease, no differences were observed in terms of clinical outcomes between
vancomycin or metronidazole treatment. Metronidazole remained non-inferior even
after incorporating a prediction score to control for confounders associated with
being a "vancomycin case". In multivariable analysis, oral vancomycin treatment
during the acute CDI was the strongest independent predictor for later isolation
of VRE (aOR=74, p=0.004). CONCLUSIONS: Our study suggests that metronidazole
should remain the recommended treatment of choice for mild CDI, due to clinical
non-inferiority and an apparent association between vancomycin therapy and
subsequent VRE isolation on an individual patient level analysis.
PMID- 29804336
TI - ['FLEXIBLE WALLS' IN HOSPITALS - ASSESSING THE 'VALUE' OF SOCIAL IMPACT ON
ARCHITECTURE].
AB - BACKGROUND: : The development of hospital architecture is influenced by social
trends, with mutual influence. Architecture enables 'organic-design' that leads
to development, growth and adaptation of the structure to changing functions. A
literature review reveals different perceptions of the flexibility of adapting
hospital structure to changing needs, focusing on external forces pressures
(expensive technologies, budgetary constraints limiting innovation implementation
and regulatory barriers), as well as patients' demands. The degree of
contribution of structural changes to the measured or perceived benefit to the
patient and staff, has not yet been fully assessed. Expressions of this benefit
are infection-control and increasing operational efficiency by energy saving and
sustainability. OBJECTIVES: To examine workers' perceptions towards value-based
architecture in relation to the patient or staff in a hospital setting. METHODS:
A survey was conducted among health care workers who underwent management
training, using a structured questionnaire. RESULTS: Sixty responders ranked
hospital leadership and relevant professionals (engineers and architects) as key
players in the decision to change architecture in a hospital; economists, doctors
and nurses were ranked as less important, while patients and families were ranked
the lowest. Among the factors that contribute to the 'value' of the decision were
the agility to adapt to emergency, and to changing morbidity trends in an
efficient way. Factors ranked as being of medium importance were the contribution
to hospital profitability and, to a lesser extent, the contribution to branding
and improved service. CONCLUSIONS: 'Flexible walls' (shifting rooms between
departments according to clinical need) can provide a response to morbidity
changes. DISCUSSION: Hospital workers can play a role in the process of value
based architecture, thereby improving decisions concerning hospital construction
and increasing their commitment to additional quality processes.
PMID- 29804337
TI - [PRE-ECLAMPSIA: A NEW TEST FOR AN OLD DISEASE].
AB - INTRODUCTION: In the western world, pre-eclampsia, diagnosed in 3-5% of pregnant
women, is a major cause of maternal and fetal morbidity and mortality. Once pre
eclampsia is diagnosed, the only effective treatment is delivery. There are known
historical risk factors for the development of pre-eclampsia, however only 30% of
the women who will develop pre-eclampsia are identified based on their presence.
Recently, new first trimester algorithms for the prediction of pre-eclampsia were
developed, based on the observation that pregnant women who develop pre-eclampsia
have imbalanced placental angiogenic factors and that failure of the
trophoblastic migration may change the flow in the uterine arteries. These
algorithms include maternal history and demographics, biochemical and clinical
markers (mean arterial pressure, uterine artery flow PLGF, PAPP-A, PP-13). The
combination of early diagnosis of a high risk group together with promising
evidence that simple preventive measures, such as low-dose aspirin and calcium
supplements may prevent pre-eclampsia or change its appearance leads to the idea
that we are on the verge of a new era regarding detection and prevention of pre
eclampsia.
PMID- 29804338
TI - [NEW TREATMENTS IN DIABETES MELLITUS - AN UPDATE].
AB - INTRODUCTION: Diabetes is one of the most common chronic diseases. Most patients
with type 2 diabetes are obese, and the global epidemic of obesity largely
explains the dramatic increase in the incidence and prevalence of type 2 diabetes
over the past 20 years. In the last decade intensive research has brought about
an enormous change in the clinical approach to diabetes treatment. In this review
we briefly discuss the main changes in diabetes treatment, such as new
medications, bariatric surgeries and technology innovations. We hope that this
short review would urge the reader to expand his knowledge on the subject.
PMID- 29804339
TI - [HYPERBARIC OXYGEN THERAPY- BASICS AND NEW APPLICATIONS].
AB - INTRODUCTION: Hyperbaric oxygen therapy (HBOT) serves as primary or adjunctive
therapy for a diverse range of medical conditions. The indication for HBOT can be
related to either pressure (decompression sickness or air emboli) or tissue
hypoxia. It is now realized, that the combined action of hyperoxia and hyperbaric
pressure, leads to significant improvement in tissue oxygenation while targeting
both oxygen and pressure sensitive genes, resulting in improved mitochondrial
metabolism with anti-apoptotic and anti-inflammatory effects. Clinical studies
published in recent year's present convincing evidence that HBOT can be the
coveted neurotherapeutic method for brain repair. Here we discuss the multi
faceted role of HBOT in wound care in general and in neurotherapeutics in detail.
The recent evidence for HBOT efficacy in brain repair and the new understanding
of brain energy management and response to damage opens new therapeutically
fields that will be further investigated in the upcoming years.
PMID- 29804340
TI - [Asaph - the man, his work and his times].
PMID- 29804341
TI - [DENTAL CARE DURING PREGNANCY].
AB - INTRODUCTION: Pregnancy is a period, in which, and due to hormonal changes, the
prevalence of periodontal diseases rises. The causing organisms for these
diseases may migrate to the uterus and cause premature delivery. The diet during
pregnancy may also have a deleterious effect on the oral and dental health of the
parturient woman, as well as to the teeth of her child. Some unique dietary, as
well as pathological conditions, which may appear in pregnant women are known.
These are referred to as pica and epulis. Physicians involved in taking care of
pregnant women, such as dentists, obstetricians and family physicians, are
normally reluctant to refer, or to perform dental care on a pregnant patient. The
present review of the current literature suggests that there is no basis for this
avoidance. This is because dental care has been found safe for both mother and
fetus during the whole period of pregnancy. Furthermore, dental care is even
recommended, if not mandatory, because it has been shown that such treatment may
prevent early delivery and possible future damage to the newborn's teeth.
Considering the lack of knowledge among those who are responsible for the welfare
of both the mother and the fetus during pregnancy, we suggest a publication of an
official recommendations paper for dental care during pregnancy, which will be
issued by the relevant professional medical societies in Israel.
PMID- 29804342
TI - [How to spell correctly the word 'excellence'?]
PMID- 29804343
TI - [The impact of a Comprehensive Intervention that is Based on Positive Feedback,
to Improve the Compliance of Staff to Hand Hygiene Recommendations].
PMID- 29804344
TI - [Expert consensus of uterine artery embolization in the management of uterine
fibroids and adenomysis].
PMID- 29804345
TI - [Analysis of the prognosis of isolated ventriculomegaly and outcome of imaging
follow-up].
AB - Objective: To evaluate the clinical outcome of fetus diagnosed as mild and
moderate isolated ventriculomegaly (IVM) and its correlation with imaging follow
up. Methods: Totally, 161 cases of single pregnancy whose fetus was diagnosed as
mild or moderate IVM by ultrasound were administrated. Data of prenatal
ultrasound examination, pregnancy outcomes, and the postnatal MRI results were
collected. New borns' growth and development, language expression, movement
coordination, auditory and visual function were followed up to evaluate the
neurodevelopment. Results: (1) Before birth: 80.1% (129/161) of IVM disappeared
before the delivery, 16.1% (26/161) remained stable, and 3.7% (6/161) continued
to deteriorate. (2) Postnatal MRI: 8 cases (9.6%, 8/83) were diagnosed IVM, of
which 3 cases were found additional abnormalities (1 case was the corpus callosum
dysplasia and 2 cases were leukodystrophy) . The additional abnormal detection
rate was 3/8. (3) Postnatal assessments: There were 7 cases (8.9%, 7/79) neunatal
behavioral neurological assessment (NBNA) , 6 cases (7.6%, 6/79) Bayley scales of
infant development (BSID) -psychomotor developmental index (PDI) and 3 cases
(3.8%, 3/79) BSID-mental development index (MDI) whose scores were low. There was
no significant difference of the NBNA and BSID scores between mild and moderate
IVM (NBNA: chi(2)=2.042, P=0.210; BSID-PDI: chi(2)=-1.359, P=0.174; BSID-MDI:
chi(2)=-1.205, P=0.228) . Follow-up of 9 cases (11.4%, 9/79) with low BSID score,
6 of them were found to be stable in the medial ventricle of the uterus, and the
size of the lateral ventricle was normal after birth by ultrasound and MRI.
Conclusions: The majority of IVM fetuses have good prognosis, but there is also a
risk of neurodevelopmental dysplasia. The postnatal follow-up should be paid
attention to, and MRI should be performed as the postnatal imaging evaluation.
PMID- 29804346
TI - [Clinical analysis of the specific reference intervals of thyroid index for
normal pregnant women].
AB - Objective: To explore the reference intervals of thyrotropin (TSH) and free
thyroxine (FT(4)) in normal pregnant women. Methods: Prospective and longitudinal
sequential collection of the cases were performed. A total of 155 singleton
pregnant women who had regular prenatal examination and delivery in West China
Second Hospital of Sichuan University from January 2015 to December 2015 were
included as the research group. Blood samples were sequentially collected from
the pregnant women at the first (9-13(+6) weeks) , the second (24-27(+6) weeks) ,
the third (32-36 weeks) trimesters, respectively. Another 155 non-pregnant women
were selected as the control group simultaneously, in an effort to establish a
range of reference intervals of thyroid index in each period of pregnancy.
Meanwhile, neonatal plantar blood were also collected on special filter paper and
TSH levels were measured. Results: (1) TSH reference intervals [percentile 2.5
percentile 97.5 (P(2.5)-P(97.5)) ] were 0.08-3.29 mU/L, 0.59-4.22 mU/L and 0.81
4.33 mU/L in three trimesters respectively. FT(4) reference intervals were 11.88
20.06 pmol/L, 9.89-15.80 pmol/L and 9.22-15.77 pmol/L in three trimesters
respectively. (2) The median serum TSH in the first trimester (1.35 mU/L) was
lower than that in the second trimester (2.15 mU/L) , the third trimester (2.19
mU/L) , and the control group (2.19 mU/L) . The differences were all
statistically significant (P<0.05) . There was no significant difference in
median serum TSH between the second trimester and the third trimester, the second
trimester and the control group, and the third trimester versus the control
group. (3) The median serum FT(4) in the first trimester (15.16 pmol/L) was
higher than that in the second trimester (12.39 pmol/L) and the third trimester
(12.26 pmol/L) . The differences were both statistically significant (P<0.05) .
The median FT(4) in the second trimester and the third trimester was lower than
that in the control group (15.64 pmol/L) , and the differences were both
statistically significant (P<0.05) . (4) In the first trimester, the median serum
total triiodothyronine (TT(3)) level (2.32 nmol/L) was higher than that in the
control group (1.56 nmol/L) , total thyroxine (TT(4)) level (154.60 nmol/L) was
also higher than that in the control group (98.25 nmol/L) , and free
triiodothyronine (FT(3)) level (4.70 pmol/L) was lower than that in the control
group (4.84 pmol/L) , the differences were all statistically significant (P<0.05)
. (5) The TSH levels of all neonatus were normal [ (2.1+/-1.3) mU/L].
Conclusions: The thyroid hormone levels between pregnant women and non-pregnant
women are significantly different. Moreover, the reference intervals are vary
with pregnancy period. It is important to establish the specific reference
intervals of thyroid hormones in the first, second and third trimester of
pregnancy specific to local region or unit.
PMID- 29804347
TI - [Gynecological acute abdomen in patients age under 18: an analysis of 237 cases].
AB - Objective: To investigate the constituent ratio, clinical manifestation,
diagnosis and treatment of gynecologic acute abdomen in patients age under 18.
Methods: A retrospective study was conducted on 237 patients under 18 years old
who had been admitted in Rizhao People's Hospital from June 2013 to November
2016. The patients were divided into two groups: groupI (under ten years old, 49
cases) and group II (ten to eighteen years old, 188 cases) . The first visit
departments, constituent ratio, clinical manifestations and treatment methods of
the two groups were summarized and analyzed. Results: The proportion of first
visit department was gynecology department in the group Iwas lower than that in
group II [18.4% (9/49) versus 69.1% (130/188) , P<0.01]. The proportion of
diseases in the two groups was different; the proportion of ovarian tumors
(59.2%, 29/49) , genital malformations (10.2%, 5/49) and ovarian torsions (10.2%,
5/49) in group I were significantly higher than those in group II, while the
pregnancy related diseases in group II (37.2%, 70/188) was significantly higher
than that in group I (P<0.01) . Conclusions: Clinicians should pay enough
attention to the acute abdomen caused by gynecological factors in childhood and
adolescence. The differential diagnosis of acute abdomen should pay attention to.
In the choice of treatment methods, we should give full consideration to the
special age, and try to maintain their normal growth and physiological function.
PMID- 29804348
TI - [Role of BoBs technology in early missed abortion chorionic villi].
AB - Objective: To investigate the value of bacterial artificial chromosome-on-beads
(BoBs) technology in the genetic analysis of early missed abortion chorionic
villi. Methods: Early missed abortion chorionic villi were detected with both
conventional karyotyping method and BoBs technology in Peking Union Medical
Hospital from July 2014 to March 2015. Compared the results of BoBs with
conventional karyotyping analysis to evaluate the sensitivity, specificity and
accuracy of this new method. Results: (1) A total of 161 samples were tested
successfully in the technology of BoBs, 131 samples were tested successfully in
the method of conventional karyotyping. (2) All of the cases obtained from BoBs
results in (2.7+/-0.6) days and obtained from conventional karyotyping results in
(22.5+/-1.9) days. There was significant statistical difference between the two
groups (t=123.315, P<0.01) . (3) Out of 161 cases tested in BoBs, 85 (52.8%,
85/161) cases had the abnormal chromosomes, including 79 cases chromosome number
abnormality, 4 cases were chromosome segment deletion, 2 cases mosaic. Out of 131
cases tested successfully in conventional karyotyping, 79 (60.3%, 79/131) cases
had the abnormal chromosomes including 62 cases chromosome number abnormality, 17
cases other chromosome number abnormality, and the rate of chromosome abnormality
between two methods was no significant differences (P=0.198) . (4) Conventional
karyotyping results were served as the gold standard, the accuracy of BoBs for
abnormal chromosomes was 82.4% (108/131) , analysed the normal chromosomes (52
cases) and chromosome number abnormality (62 cases) tested in conventional
karyotyping, the accuracy of BoBs for chromosome number abnormality was 94.7%
(108/114) . Conclusion: BoBs is a rapid reliable and easily operated method to
test early missed abortion chorionic villi chromosomal abnormalities.
PMID- 29804350
TI - [Practic based understanding and thinking of 2017 ASCCP colposcopy standard].
PMID- 29804351
TI - [Brief analysis of gynecologic surgery and stick figure: talking from the picture
of Leonardo Da Vinci's womb anatomy].
PMID- 29804349
TI - [Disease burden for gynecological disease in China, 2016].
AB - Objective: To assess the disease burden for gynecological disease in China in
2016. Methods: Data were extracted from the global burden of disease study 2016
(GBD 2016) . The burden of gynecological disease among age groups and provinces
groups was assessed by prevalence rate, mortality rate, years lived with
disability (YLD) , years of life lost due to premature mortality (YLL) and
disability-adjusted life years (DALY) . An average world population age-structure
for the period 2010-2035 was adopted to calculate age-standardized rates.
Results: In 2016, the prevalence rate of gynecological disease in women aged 15
years and above in China was 24.94%, of which was 36.71% to women of childbearing
age. The number of DALY from gynecological disease was 2 727 637.82 life years in
2016, with the DALY rate was 411.12/100 000 and standardized DALY rate was
341.80/100 000. The first three gynecological diseases with highest DALY and DALY
rate among Chinese women aged >=15 years were premenstrual syndrome (815 004.64
life years, 122.84/100 000) , uterine fibroids (281 976.67 life years, 42.5/100
000) and endometriosis (154 792.89 life years, 23.33/100 000) . The DALY caused
by gynecological disease in Guangdong (220 871.19 life years) , Shandong (190
968.72 life years) , Henan (171 273.92 life years) , Jiangsu (168 404.27 life
years) and Sichuan (144 358.5 life years) were higher than other provinces. The
standardized DALY rate attributable to gynecological disease were highest in
Xinjiang Uygur Autonomous Region (404.00/100 000) , Shanghai (394.90/100 000) ,
Heilongjiang (382.00/100 000) , Beijing (365.70/100 000) and Jiangsu (357.50/100
000). Conclusions: Gynecological disease is a great threat to women's
reproductive health. Effective measures should be taken to address the issue,
especially to women of childbearing age.
PMID- 29804352
TI - [Stay true to the mission].
PMID- 29804353
TI - [The medical laboratory issues about recommendation on uniform cutoff values of
"Normal" ALT in the ACG guidelines].
AB - In the recent clinical guidelines dealing with laboratory tests for liver disease
evaluation, the American College of Gastroenterology (ACG) recommends ALT upper
reference limits of 33 U/L for males and 25 U/L for females, and individuals with
results above these "normal" cutoffs should be further investigated. Considering
the differences between laboratory assays measuring ALT in our country, the
uniform ACG "normal" range may not be suitable for Chinese population. On the
other hand, reference upper/lower limits should not be equated with clinical
decision thresholds. Simply acting in accordance with the reference range from
ACG guidelines for ALT may lead to overdiagnosis and unnecessary follow-up
examinations.
PMID- 29804354
TI - [Development and features of infection in patients with acute-on-chronic liver
failure and its influence on disease progression and prognosis].
AB - Acute-on-chronic liver failure (ACLF) is a clinical syndrome characterized by
acute decompensation of chronic liver disease associating with multiple organ
failures and high short- term mortality. Patients with ACLF are highly
susceptible to infection due to the pathophysiology features including immune
function disorder (overlap of excessive inflammatory reaction and immune
dysfunction), gut bacterial overgrowth/dysbiosis and translocation of gut
microbiota/products. Appropriate empirical antibiotics plays a pivotal role in
the management of ACLF with infection.
PMID- 29804355
TI - [Diagnosis and treatment of bacterial infection in patients with end-stage liver
disease].
AB - Patients with end-stage liver disease have an increased risk of developing
bacterial infections, resulting in an increase in the number of hospitalizations
and medical expenses, a decline in the quality of life of patients, and an
increased fatality rate. Bacterial infections in patients with end-stage liver
disease is mainly due to the falling off the body's immune response causing
respiratory infections, spontaneous bacterial peritonitis, urinary tract
infections and gastrointestinal infections. The diagnosis of bacterial infection
is more challenging because the occurrence of infection shows no typical symptoms
and signs. The examination of some biological markers has important clinical
significance for early diagnosis. The clinical prognosis is entirely marked on
the patient conditions, the effective control of infection, appropriate broad
spectrum antibiotics, and empiric therapy. Antibiotics are the choice, but also
need to be alert against drug-induced liver damage.
PMID- 29804356
TI - [End-stage liver disease and invasive fungal infection].
AB - Patients with end-stage liver disease complicated by invasive fungal infection
have poor tolerance, difficulties in pharmacotherapy, and high mortality.
Invasive fungal infection in patients with end-stage liver disease should be
taken seriously in clinical practice. Pathogen test should be performed as early
as possible, and standard antifungal treatment should be started at the right
time to improve prognosis.
PMID- 29804357
TI - [Antiviral effect of hepatitis B virus S gene-specific anti-gene locked nucleic
acid in transgenic mice].
AB - Objective: To investigate the antiviral effect of hepatitis B virus (HBV) S gene
specific anti-gene locked nucleic acid (LNA) in transgenic mice. Methods: A total
of 30 HBV transgenic mice were randomly divided into blank control group (5%
glucose + liposome), unrelated sequence control group, lamivudine control group,
antisense LNA control group, and anti-gene LNA group, with 6 mice in each group.
The mice in the lamivudine group were given lamivudine by gavage, and LNA was
injected via the caudal vein. Quantitative real-time PCR was used to measure
serum HBV DNA, ELISA was used to measure serum HBsAg, RT-PCR was used to measure
HBV S mRNA level in the liver, and immunohistochemistry was used to measure the
level of HBsAg in hepatocytes. Results: At 3, 5, and 7 days after treatment,
there were significant changes in the inhibition rates of HBV DNA (37.18%,
50.27%, and 61.46%, respectively) and HBsAg (30.17%, 44.00%, and 57.76%,
respectively) achieved by anti-gene LNA (P < 0.01), and there were significant
differences between the anti-gene LNA group and the other four control groups (P
< 0.05). In the anti-gene LNA group, the relative mRNA expression of HBV S gene
was 0.33 and the percentage of HBsAg-positive hepatocytes was 31%, which were
significantly different from these two indices in the control groups (P < 0.05).
There were no abnormal changes in liver/renal biochemical parameters and HE
staining results. Conclusion: Anti-gene LNA targeting at HBV S gene has a strong
antiviral effect in transgenic mice, which provides theoretical and experimental
bases for gene therapy for HBV.
PMID- 29804358
TI - [Clinical features of community-acquired bloodstream infection due to Gram
negative bacilli in patients with liver cirrhosis].
AB - Objective: o investigate the features of pathogenic bacteria for community
acquired bloodstream infection due to Gram-negative bacilli in patients with
liver cirrhosis and optimal therapeutic strategy. Methods: A retrospective
analysis was performed for the clinical data of patients with liver cirrhosis who
were admitted to 302 Hospital of PLA due to community-acquired bloodstream
infection from January 2010 to December 2015, and a statistical analysis was
performed for their clinical features, pathogenic bacteria, and results of drug
sensitivity test. The Pearson chi-square test was used for comparison of rates,
and the Wilcoxon rank sum test was used for comparison of ranked data. Results: A
total of 240 patients (including 178 male patients) with liver cirrhosis caused
by various reasons were enrolled, with a mean age of 51.7 +/- 11.1 years, an
overall clinical remission rate of 80.42%, and an ineffective/mortality rate of
19.58%. The patients who used sensitive antibiotics within 12 hours after the
onset of community-acquired bloodstream infection achieved a significantly higher
improvement rate than those who used such drugs at more than 12 hours after onset
(88.2% vs 58.1%, P < 0.001). The improvement rate achieved by the application of
sensitive antibiotics at more than 12 hours after onset decreased with the
increase in the Child-Pugh grade (P < 0.05). A total of 245 strains of Gram
negative bacilli were isolated, among which the six most common ones were 135
strains of Escherichia coli (55.1%), 62 strains of Klebsiella pneumoniae (25.3%),
16 strains of Aeromonas (6.5%), 4 strains of non-typhoidal Salmonella (1.6%), 3
strains of Enterobacter cloacae (1.2%), and 2 strains of Acinetobacter baumannii
(0.8%). These Gram-negative bacilli had the highest sensitivity to meropenem
(98.5%), followed by imipenem (97.9%), amikacin (97.5%), piperacillin/tazobactam
(94.7%), cefmetazole (93.7%), and cefoperazone/sulbactam (93%). Different
bacteria had different sensitivities to antibiotics. Conclusion: Once community
acquired bloodstream infection occurs in patients with liver cirrhosis, highly
sensitive antibiotics should be used as early as possible.
Cefoperazone/sulbactam, piperacillin/tazobactam, imipenem, and meropenem can be
used as first-line empirical antibiotics, and drug combination should be
considered when necessary.
PMID- 29804359
TI - [Effect of adipose tissue-derived mesenchymal stem cell transplantation in
treatment of liver fibrosis and possible mechanism].
AB - Objective: To investigate the effect of adipose tissue-derived mesenchymal stem
cell (ADSC) transplantation in the treatment of liver fibrosis rats and possible
mechanism. Methods: Subcutaneous adipose tissue in the inguinal region of rats
was collected to isolate ADSCs. The rats with liver fibrosis induced by
intraperitoneally injected carbon tetrachloride were divided into cell
transplantation group and phosphate buffer saline (PBS) injection group, and the
rats which were fed normally were enrolled as negative control group. The rats in
the cell transplantation group were given tail vein injection of ADSCs, and those
in the PBS injection group were given injection of 0.5 ml PBS. At 7 days after
transplantation, blood samples were collected from the inferior vena cava to
evaluate liver function; liver tissue was collected to measure the protein
expression of hepatocyte growth factor (HGF) and alpha-smooth muscle actin (alpha
SMA); Masson trichrome staining was used to evaluate intrahepatic collagen
deposition. Hepatic stellate cells (HSCs) were collected from the rats with liver
fibrosis, and indirect co-culture of HSCs and ADSCs was performed in vitro to
analyze the influence of ADSCs on the proliferation and apoptosis of HSCs. The
independent samples t-test was used for comparison between groups, and an
analysis of variance was used for comparison of means between multiple samples.
Results: ADSCs were found in liver tissue in the transplantation group, and
compared with the PBS injection group, the transplantation group had significant
alleviation in hepatocyte necrosis, vacuolization, and area of fibrosis and
significant reductions in the serum levels of aminotransferases, while there was
no significant difference in the level of albumin between the two groups.
Compared with the PBS injection group, the transplantation group had significant
upregulation in the protein expression of HGF and significant downregulation in
the protein expression of alpha-SMA (both P < 0.05). In vitro co-culture for 72
hours showed that ADSCs inhibited the proliferation of HSCs, and there was a
significant difference between the co-culture group and the control group with
HSCs cultured alone. Caspase-3 immunostaining showed that after co-culture for 72
hours, there was a significant difference in the apoptosis rate of HSCs between
the co-culture group and the control group with HSCs cultured alone (23.42% +/-
3.02% vs 14.82% +/- 3.93%). Conclusion: ADSC transplantation can upregulate the
expression of HGF in the liver, promote the apoptosis of HSCs, and thus alleviate
liver fibrosis.
PMID- 29804360
TI - [Effect of aerobic exercise and resistance exercise in improving non-alcoholic
fatty liver disease: a randomized controlled trial].
AB - Objective: To investigate the effect of dietary control combined with different
exercise modes on plasma vaspin, irisin, and metabolic parameters in patients
with non-alcoholic fatty liver disease (NAFLD) through a randomized open parallel
controlled study. Methods: The patients aged 30-65 years who visited Tianjin
Third Central Hospital from January 2013 to December 2014 and were diagnosed with
NAFLD by liver ultrasound and fat content determination were screening, and 474
patients were enrolled in this randomized controlled trial and divided into
aerobic exercise group, resistance exercise group, and control group. All
patients received dietary intervention. The three groups were compared in terms
of biochemical parameters, fat content, NFS score, energy metabolic parameters,
body composition index, and levels of vaspin and irisin at baseline and after 6
months of intervention. SPSS 19.0 was used for statistical analysis. The t-test,
the Mann-Whitney U test, the chi-square test, and an analysis of variance were
used for comparison between groups. The multiple imputation method was used for
missing data, and the results were included in the intention-to-treat analysis.
Results: There were no significant differences in age, sex, anthropometrical
parameters, and biochemical parameters between the three groups at baseline.
Compared with dietary control alone, aerobic exercise and resistance exercise
helped to achieve significant reductions in waist circumference, diastolic
pressure, percentage of body fat, volatile fatty acid, fasting blood glucose,
homeostasis model assessment of insulin resistance, triglyceride, low-density
lipoprotein cholesterol, free fatty acid, uric acid, alanine aminotransferase,
and liver fat content after 6 months of intervention (P < 0.05). The aerobic
exercise group had a significant increase in non-protein respiratory quotient and
significant reductions in body mass index and aspartate aminotransferase after
intervention, as well as a significant increase in resting energy expenditure and
significant reductions in abdominal fat ratio and total cholesterol after 6
months of resistance exercise (P < 0.05). The aerobic exercise group and the
resistance exercise group had a significant reduction in vaspin and a significant
increase in irisin after intervention (P < 0.05), and the resistance exercise
group had significantly greater changes in these two adipokines than the aerobic
exercise group (P < 0.05). Conclusion: Exercise therapy is an effective method
for the treatment of metabolism-associated diseases, and a combination of
resistance and aerobic exercises is more reasonable and effective in clinical
practice. As a relatively safe exercise mode, resistance exercise can also
effectively improve the metabolic state of NAFLD patients.
PMID- 29804361
TI - [Role of short-term starvation in alleviating hepatic ischemia-reperfusion injury
in mice and possible mechanism of action].
AB - Objective: To investigate the role of short-term starvation (STS) in alleviating
hepatic ischemia-reperfusion injury in mice and possible mechanism of action.
Methods: Wild-type male C57BL/6 mice aged 8 weeks were randomly divided into 75%
hepatic ischemia-reperfusion injury group (IR group), STS+75% hepatic ischemia
reperfusion injury group (STS group), and sirtinol+STS+75% hepatic ischemia
reperfusion injury group (SIR group), using a random number table, and sham
operation groups (IR-Sham group, STS-Sham group, and SIR-Sham group) were also
established. The serum levels of alanine aminotransferase (ALT) and aspartate
aminotransferase (AST) were measured, and the histomorphological changes of the
liver were observed, as well as the expression of Sirt1, LC3B, and P62 proteins
in liver tissue and the results of LC3B fluorescence staining. An analysis of
variance was used for comparison of data between multiple groups, and the t-test
was used for comparison of data between two groups. Results: Compared with the IR
group, the STS group had significant reductions in the serum levels of ALT (3
152.7 +/- 735.6 U/L vs 8 414.2 +/- 1 052.2 U/L, P < 0.01) and AST (3 577.0 +/-
714.0 U/L vs 10 845.8 +/- 1 145.7 U/L, P < 0.01) and significant alleviation of
liver pathological injury (Suzuki score: 1.50+/-0.55 vs 3.50+/-0.55, P < 0.01).
Compared with the STS group, the SIR group had significant increases in the serum
levels of ALT (7 002.7 +/- 1 485.2 U/L vs 3 152.7 +/- 735.6 U/L, P < 0.01) and
AST (8 980.7 +/- 1 739.1 U/L vs 3 577.0 +/- 714.0 U/L, P < 0.01) and significant
exacerbation of liver pathological injury (Suzuki score: 3.33 +/- 0.52 vs 1.50 +/
0.55, P < 0.01). Compared with the IR group and the IR-Sham group, the STS group
and the STS-Sham group had significant increases in the mRNA and protein
expression of Sirt1 and the protein expression of LC3B and a significant
reduction in the protein expression of P62, as well as a significant increase in
the percentage of LC3B-positive cells in liver tissue (22.83% +/- 5.19% / 22.17%
+/- 4.83% vs 10.16% +/- 3.06% / 10.83% +/- 1.94%, both P < 0.01). Compared with
the STS group and the STS-Sham group, the SIR group and the SIR-Sham group had
significant reductions in the expression of Sirt1 and LC3B proteins and a
significant increase in the expression of P62 protein, as well as a significant
reduction in the percentage of LC3B-positive cells in liver tissue (11.83% +/-
9.24% / 14.67% +/- 4.68% vs 22.83% +/- 5.19% / 22.17% +/- 4.83%, both P < 0.01).
Conclusion: STS can effectively alleviate hepatic ischemia-reperfusion injury,
and its protective effect may be associated with increasing the expression of
Sirt1, inducing and promoting hepatocyte autophagy, and reducing hepatocyte
death.
PMID- 29804362
TI - [Effect of dopamine on intracerebral glutamate uptake ability in rats with
minimal hepatic encephalopathy and the pathogenesis of minimal hepatic
encephalopathy].
AB - Objective: To investigate the effect of dopamine (DA) on the glutamate (Glu)
uptake ability of neural cells, as well as its effect on cognitive impairment in
rats with minimal hepatic encephalopathy (MHE) via related pathways. Methods: A
total of 45 Sprague-Dawley rats were randomly divided into control group, MHE
model group, and DA intervention model group, with 15 rats in each group. The
rats in the MHE model group were given intraperitoneal injection of thioacetamide
(TAA), those in DA intervention model group were given intraventricular injection
of DA, and those in the control group were given intraperitoneal injection of
physiological saline, with a frequency of twice a week for 8 weeks. Cerebral
microdialysis was used to measure the change in the content of Glu in the brain
in MHE rats and rats with DA intervention; RT-PCR and Western blotting were used
to measure the relative mRNA and protein expression of trace amine-associated
receptor 1 (TAAR1) and excitatory amino acid transporter 2 (EAAT2); the changes
in the expression of EAAT2 and extracellular Glu level were measured after
intracerebroventricular injection of TAAR1 siRNA and TAAR1 plasmid in MHE rats
and rats with DA intervention. One- way analyses of variance for comparison among
different groups were performed, categorical data between groups were compared
using nonparametric tests. Results: Compared with the control group, the MHE
model group had significant increases in the content of DA in liver tissue,
plasma, and brain tissue (4.90 +/- 0.13 ng/g vs 1.20 +/- 0.13 ng/g, P < 0.05;
16.32 +/- 1.01 pmol/ml vs 5.50 +/- 0.82 pmol/ml, P < 0.05; 732.45 +/- 78.85 ng/g
vs 387.00 +/- 23.36 ng/g, P < 0.05). There was a significant increase in the
extracellular Glu level within 40-120 minutes after intracerebral injection of DA
in the DA intervention model group. Compared with the control group, the MHE
model group and the DA intervention model group had a significant increase in the
relative protein expression of TAAR1 (3.72 +/- 0.50/4.18 +/- 0.43 vs 0.96 +/-
0.40, both P < 0.05) and a significant reduction in the expression of EAAT2 (0.46
+/- 0.16/0.51 +/- 0.20 vs 0.92 +/- 0.11, P = 0.013 and 0.036). Compared with the
model group treated with empty vector, the MHE model group and the DA
intervention model group had a significant increase in the relative protein
expression of EAAT2 after TAAR1 siRNA intervention (0.86+/-0.142 vs 0.56 +/-
0.060, P = 0.028; 0.99 +/- 0.056 vs 0.43 +/- 0.098, P = 0.0010) and a significant
reduction in the extracellular Glu level in the brain at 60-120 minutes after
injection, while after TAAR1 plasmid intervention, the MHE model group and the DA
intervention model group had a significant reduction in the relative protein
expression of EAAT2 (0.20 +/- 0.040 vs 0.48 +/- 0.08, P = 0.006; 0.24 +/- 0.05 vs
0.54 +/- 0.07, P = 0.004) and a significant increase in the extracellular Glu
level in brain at 60-100 minutes after injection. Conclusion: DA interacts with
TAAR1 in brain tissue to induce extracellular accumulation of Glu, thus leading
to the disorder of the TAAR1-EAAT2 signaling pathway in brain tissue and
ultimately injuring the cognitive function of MHE rats.
PMID- 29804363
TI - [Role of bone marrow mesenchymal stem cells with CTLA4Ig and CD40LIg gene
modification in rejection reaction after liver transplantation].
AB - Objective: To investigate the role of bone marrow mesenchymal stem cells (BMSCs)
with CTLA4Ig and CD40LIg gene modification in rejection reaction after liver
transplantation in rats and possible mechanisms. Methods: The modified Kamada's
two-cuff technique was used to establish a Lewis-BN rat model of orthotopic liver
transplantation, and a total of 75 rats were randomly divided into groups A, B,
C, D, and E, with 15 rats in each group. The rats in group A (control group) were
given infusion of isotonic saline via the portal vein during liver
transplantation, those in group B (BMSC group) were given infusion of BMSCs via
the portal vein during liver transplantation, those in group C (BMSCs with
CTLA4Ig gene modification) were given infusion of BMSCs carrying the CTLA4Ig gene
via the portal vein during liver transplantation, those in group D (BMSCs with
CD40LIg gene modification) were given infusion of BMSCs carrying the CD40LIg gene
via the portal vein during liver transplantation, and those in group E (BMSCs
with CTLA4Ig and CD40LIg gene modification) were given infusion of BMSCs carrying
CTLA4Ig and CD40LIg gene modification via the portal vein during liver
transplantation. Postoperative survival and change in liver function were
observed. HE staining was used to observe the pathomorphological changes of the
graft liver, and ELISA was used to measure the levels of interleukin-2 (IL-2),
interleukin-4 (IL-4), interleukin-10 (IL-10), and interferon-gamma (IFN-gamma) in
peripheral blood. A one-way analysis of variance was used for comparison of means
of multiple samples, and the Kaplan-Meier survival curve analysis was used for
comparison of survival rates between multiple groups. Results: Group E had a
significantly longer survival time after surgery than groups A, B, C, and D (P <
0.05), groups C and D had a significantly longer survival time than groups A and
B (P < 0.05), and there was no significant difference between groups C and D (P >
0.05). On day 10 after surgery, group A had significantly higher levels of
alanine aminotransferase and total bilirubin than the other four groups (P <
0.05). HE staining showed severe rejection reaction in group A, moderate
rejection reaction in group B, and mild rejection reaction in groups C and D;
pathological examination showed no marked rejection reaction in group E. Group A
had significant increases in the levels of IL-2 and IFN-gamma and significant
reductions in the levels of IL-4 and IL-10 after surgery compared with the other
four groups (all P < 0.05). Conclusion: Infusion of BMSCs with modification of
both CTLA4Ig and CD40LIg genes can significantly inhibit acute rejection reaction
after liver transplantation in rats and effectively prolong the survival time of
the graft liver, with a better effect than infusion of BMSCs alone or BMSCs with
modification of CTLA4Ig or CD40LIg gene.
PMID- 29804364
TI - [Change in neutrophil-lymphocyte ratio during antiviral therapy for HBeAg
positive chronic hepatitis B patients and its predictive value].
PMID- 29804365
TI - [Value of quantitation of hepatitis B virus covalently closed circular DNA and
HBsAg in children with chronic hepatitis B in predicting the efficacy of
antiviral therapy].
PMID- 29804366
TI - [Expression of serum colony stimulating factor 1 in patients with acute-on
chronic liver failure and its influence on prognosis].
PMID- 29804367
TI - [Research advances in hepatocyte-like cells from human induced pluripotent stem
cells and their application].
AB - Induced pluripotent stem cells (iPSCs) have the potential of proliferation and
differentiation into a variety of somatic cells, including hepatocyte-like cells
(HLCs). HLCs from human iPSCs (hiPSC-HLCs) have similar features and functions as
primary hepatocytes and are used as an efficient in vitro model of hepatocytes,
which brings hope to studies on liver diseases and drug hepatotoxicity
evaluation. This article reviews the research advances in hiPSC-HLCs and their
application in the fields of disease model, drug hepatotoxicity evaluation, and
cell transplantation and discusses the future perspectives of the application of
hiPSC-HLCs.
PMID- 29804368
TI - [Clinical research advances in chronic hepatitis B complicated by fatty liver
disease].
AB - Chronic hepatitis B and fatty liver disease are two most common chronic liver
diseases in China, and with the increasing prevalence of obesity, chronic
hepatitis B complicated by fatty liver disease is more and more common in
clinical practice. The influence of chronic hepatitis B virus infection on fatty
liver disease and lipid metabolism has gradually become a hot topic in clinical
research, as well as the influence of fatty liver disease and metabolic factors
on the course and treatment of chronic hepatitis B.
PMID- 29804369
TI - [Research advances in the mammalian target of rapamycin signaling pathway and its
inhibitors in treatment of hepatocellular carcinoma].
AB - Mammalian target of rapamycin (mTOR) is a serine/threonine protein kinase in the
downstream of the phosphatidylinositol 3-kinases (PI3K) family. This kinase plays
an important role in the development and progression of hepatocellular carcinoma
(HCC). Preclinical data demonstrate that 40%-50% of HCC patients have
dysregulated expression of the effectors of the mTOR signaling pathway, and the
activation of the mTOR pathway is associated with poorly differentiated tumors,
early tumor recurrence, and poor survival/prognosis. This article reviews the
research advances in the potential role of the mTOR signaling pathway and its
inhibitors in the treatment of HCC.
PMID- 29804370
TI - [Review and prospect of clinical study on liver transplantation of hepatocellular
carcinoma].
AB - The focus of clinical research on liver transplantation of hepatocellular
carcinoma over past decade is as follows: (1) the appropriate indications, so
that the limited liver resources can be used more fairly and reasonably. A number
of new indications standards have been proposed and validated. Our country
scholar puts forward the standard norms, which could benefit more liver cancer
patients from liver transplant. (2) To explore the appropriate immunosuppressive
regimen in the control of rejection while preventing and reducing tumor
recurrence rate after transplantation. At present, there is not enough clinical
trial data to conclude, but for patients with high recurrence risk, it is
recommended to minimize the dosage of calmodulin inhibitors and convert them to
mTOR inhibitors after liver transplantation. In recent years, the rapid
development of cancer precision medicine and immunotherapy technology has
provided new opportunities for the study of liver transplantation in liver
cancer.
PMID- 29804371
TI - [Selection criteria for liver transplantation recipients of hepatocellular
carcinoma].
AB - Liver transplantation is by far the most thorough and effective treatment for
liver cancer. However, there is still much controversy about how to select
receptors in an effective and equitable manner under the shortage of liver donor.
The "Milan Standard" is the most widely used screening standard for liver
transplantation recipients in the world. In recent years, many patients with
Trans Milan criteria (such as Hangzhou standard) can achieve transplant survival
similar to that of Milan patients after transplantation. This article describes
the research progress of liver transplantation recipients' selection criteria in
hepatocellular carcinoma.
PMID- 29804373
TI - [The mechanism and current strategies for preventing the recurrence of
hepatocellular carcinoma after liver transplantation].
AB - The recurrence rate of hepatocellular carcinoma (HCC) after liver transplantation
is still high, seriously affecting the long-term survival rate. The current
research results show that the mechanism of postoperative recurrence of liver
cancer is mainly related to residual micro-lesions, hepatitis, regeneration and
immunosuppression. Milan criteria for liver transplantation, tumor vascular
invasion, degree of differentiation, surgical procedures, and the use of
calcineurin immunosuppressive agents are risk factors for recurrence of HCC after
liver transplantation, and biomarkers such as genes and miRNAs that respond to
biological characteristics of the tumor have been gradually used in HCC
recurrence risk stratification and predicting prognosis. The use of mTOR
inhibitors, preoperative interventional treatment before liver transplantation
and non -tumor ablation technique are the main effective methods to prevent the
recurrence of HCC. Hepatectomy is still the most effective treatment for patients
with recurrent HCC after transplantation, and intervention with sorafenib in
combination with mTOR inhibitors can benefit the survival of most patients.
PMID- 29804372
TI - [Insight and judgment on recurrence of hepatocellular carcinoma after liver
transplantation].
AB - Hepatocellular carcinoma (HCC) is the most important cause of adult liver
transplantation in China. HCC recurrence after liver transplantation is a common
clinical problem. It is imperative to explore its metastasis and recurrence
mechanism and to develop effective prevention and treatment strategies. This
article describes the basic prevention and treatment strategies for recurrent HCC
after liver transplantation. During the pre-transplant period, the clinical and
pathological information of HCC, such as tumor staging, general morphology,
pathological features, tumor markers and tumor molecular biological
characteristics, should be collected and analyzed carefully in order to determine
the risk of recurrent HCC; Design and implement a comprehensive program of
prevention and treatment. Currently, sorafenib and capecitabine are common
candidate drugs for prevention and control of recurrence of HCC after liver
transplantation. Substitution of m-TOR inhibitors for CNI-like drugs can be used
as an immunosuppressive drug to prevent and control recurrence of HCC. HCC
recurrence after liver transplantation will significantly reduce the cure rate,
but active treatment often can effectively control the progression of the disease
and improve the prognosis. However, available effective measures to prevent the
progress of HCC can also be used to treat HCC recurrence after liver
transplantation. Surgical treatment is preferred for recurrent lesions that can
be resected, and local treatment is available for recurrent lesions that cannot
be resected. Drug treatment can inhibit tumor growth to a certain extent, but it
is difficult to achieve a satisfying prognosis by single drug, commonly used as
adjuvant therapy.
PMID- 29804374
TI - [Analysis of risk factors of tumor recurrence after liver transplantation for HBV
related hepatocellular carcinoma patients].
AB - Objective: To explore the characteristics of tumor recurrence after liver
transplantation in patients with hepatocellular carcinoma (HCC) associated with
hepatitis B and to analyze the risk factors that influence the recurrence and
prognosis. Methods: The clinicopathological and survival data of 162 patients
with hepatitis B -associated liver cancer who underwent liver transplantation in
Peking University People's Hospital from January 2002 to December 2016 were
retrospectively analyzed. The postoperative survival rate (OS) and tumor free
survival rate (DFS) was statistically analyzed by using the log-rank test.
Univariate analysis was performed for various clinicopathological indicators, and
the Cox proportional risk regression model was used for multivariate analysis.
Results: Univariate analysis showed that the age of the recipients (P(OS) =
0.047, P(DFS) = 0.045), the maximum tumor size (P < 0.001, P(DFS) < 0.001),
preoperative AFP levels (P(OS) < 0.001, P(DFS) < 0.001), preoperative HBV-DNA
levels (P(OS) = 0.035, P(DFS) = 0.029), vein tumor thrombosis (P(OS) < 0.001,
P(DFS) < 0.001), and tumor differentiation degree (P(OS) <0.001, P(DFS) < 0.001)
were associated with overall prognosis and tumor recurrence. Multivariate
analysis revealed that preoperative AFP levels (P(OS) = 0.014, P(DFS) = 0.013),
the maximum tumor size (P(OS) < 0.001, P(DFS) = 0.001), vein tumor thrombosis
(P(OS) = 0.012, P(DFS) < 0.004), and tumor differentiation degree (P(OS) = 0.004,
P(DFS) = 0.009) were independent risk factors affecting overall prognosis and
tumor recurrence. Conclusion: The major prognostic factors linked to tumor
biological characteristics after liver transplantation in HBV-related HCC
patients are preoperative AFP levels, the largest tumor size, and vein tumor
thrombosis and tumor differentiation degree.
PMID- 29804375
TI - [Efficacy of sequential therapy with telbivudine in treatment of HBeAg-positive
chronic hepatitis B patients with partial response to pegylated interferon-alpha
therapy].
AB - Objective: To investigate the efficacy of sequential therapy with telbivudine in
the treatment of HBeAg-positive chronic hepatitis B (CHB) patients with partial
response after a standard course of interferon therapy. Methods: A retrospective
cohort study was performed for 58 HBeAg-positive CHB patients with partial
response at the end of interferon therapy (48-60 weeks) from January 2009 to
December 2013. According to whether telbivudine was used sequentially or
withdrawn at the end of the course of treatment, the patients were divided into
telbivudine sequential therapy group and withdrawal group, and the two groups
were compared with in terms of biochemical, virological, and serological response
rates. The chi-square test, the t-test, and the non-parametric test were used
based on data type. Results: A total of 58 patients were enrolled in this study,
with 31 in the telbivudine sequential therapy group and 27 in the withdrawal
group. At 12 and 24 weeks after interferon therapy ended, the telbivudine
sequential therapy group had a significantly higher HBeAg clearance rate than the
withdrawal group (22.6%/29.0% vs 0%/3.7%, P < 0.05). At week 48 of follow-up, the
telbivudine sequential therapy group had a significantly higher combined response
rate than the withdrawal group (22.6% vs 0%, P = 0.015). Among the 31 patients in
the telbivudine sequential therapy group, 11 had an increase in creatine kinase
during the administration of telbivudine. No patient in either group experienced
serious adverse reactions during follow-up, such as muscular soreness, myositis,
peripheral neuropathy, renal dysfunction, and liver function decompensation.
Conclusion: In HBeAg-positive CHB patients with partial response to interferon
therapy, sequential therapy with telbivudine can increase serological HBeAg
clearance rate and combined response rate at week 48, and it is safe in HBeAg
positive CHB patients achieving partial response at the end of interferon
therapy.
PMID- 29804376
TI - [Monitoring by high-sensitivity HBV DNA assay during treatment in chronic
hepatitis B e antigen negative patients].
AB - Objective: To explore the efficacy of tenofovir disoproxil and adefovir dipivoxil
treatment in patients with hepatitis B virus e antigen (HBeAg) negative was
analyzed through the comparison of highly sensitive HBV viral load monitoring
with HBV genotyping and drug resistance mutations. Methods: The clinical data of
newly diagnosed chronic hepatitis B patients from January 2015 to June 2017 in
outpatients and inpatients were randomly divided into tenofovir and adefovir
group. Quantitative detection of HBV DNA levels before therapy and at 12, 24, 48,
96, and 120 weeks after therapy were determined for HBV genotypes and drug
resistant mutations in HBeAg-negative patients. Student's t-test was used to
compare the measurement data between groups. The data of comparison between
groups were tested by chi (2). Results: A total of 106 cases of HBeAg-negative
patients were collected. Tenofovir disoproxil had a higher rate of HBV DNA
suppression (54%) than adefovir dipivoxil treatment (42%), but the difference was
not statistically significant (P = 0.19). After 120 weeks of treatment, a total
of 46 patients (93.9%) were enrolled in the tenofovir disoproxil group with HBV
DNA quantitation < 2 000 IU / ml. Adefovir dipivoxil group of patients with HBV
DNA < 2 000 IU / ml a total of 40 cases, accounting for 75.5%. The difference
between the two groups was statistically significant (P < 0.05). For 49 cases of
HBeAg-negative patients, HBV B, C, B and C were mixed before tenofovir dipivoxil
treatment, and C1653T, A1762T and G1764A mutation sites were detected in patients
with D genotype. Patients C, B, C, B, and C were examined for C1673T, G1896,
G1858, G1899A. After treatment, the detection rate of the above mutation sites
decreased, but C1653T, C1673T and G1899A were not detected. New mutation sites
such as G1915A / C, L180M, M204V, V207I / L, T184A and V173L were detected, Low
resistance rate (25%). Conclusion: Tenofovir disoproxil can be recommended as a
treatment for HBeAg-negative patients. For HBeAg-negative patients, the choice of
high-sensitivity detection of HBV DNA levels, better monitoring of anti-HBV
efficacy.
PMID- 29804377
TI - [Efficacy and safety of Entecavir monotherapy switched from Lamivudine combined
Adefovir Dipivoxil for chronic hepatitis B virus-related compensated liver
cirrhosis].
AB - Objective: To observe the efficacy and safety of de novo combination of
Lamivudine(LAM) and Adefovir Dipivoxil (ADV) therapy counter to Entecavir (ETV)
monotherapy in patients with chronic hepatitis B (CHB)- related compensated liver
cirrhosis. Methods: Patients with chronic hepatitis B-related compensated
cirrhosis who were initially treated with LAM and ADV for more than 1 year were
randomly assigned to two groups, one half replaced with ETV monotherapy, and the
other half continued LAM and ADV co-therapy. Liver biochemistry, renal
biochemistry, estimated glomerular filtration rate, alpha-fetoprotein, HBV
serology markers and serum HBV DNA were measured every 3 months. Urine beta2
microglobulin was measured every 6 months And retinol binding protein, followed
up for 3 years. The mean values of the two groups were compared with t-test, and
the rate of comparison was analyzed by x2 test. Results: A total of 580 cases
were collected, 290 cases were replaced with ETV monotherapy, the other 290
patients continued to LAM and ADV combination therapy. In the ETV group, the
rates of HBV DNA negative conversion at 1 year, 2 years and 3 years were 77.6%,
84.5% and 94.5% respectively, while the HBV DNA negative conversion rates at 1, 2
and 3 years in the LAM and ADV combination groups were 69.3%, 73.4% and 80.3%
respectively. Among them, the negative rates of HBV DNA in the second year and
the third year were P < 0.05, the difference was statistically significant. The 3
year cumulative gene-resistant rate in the ETV group was 1.4%, while the combined
treatment was as high as 8.6%, and the difference was statistically significant
in the two groups. The estimated value of serum creatinine and glomerular
filtration rate in ETV group was followed by 3 years, and the baseline level was
maintained, in the same group, the serum creatinine was higher than baseline, and
the estimated value of glomerular filtration rate decreased. The results showed
that there were 6.2%, 12.1%, 22.1% and 0, 0.3%, 1%, respectively, in 1, 2 and 3
years for the group of consecutive treatment and the replacement of ETV Group.
The estimated glomerular filtration rate decreased by more than 30% compared with
the baseline. The difference was statistically significant; the proportion of
serum creatinine in the 1 year, 2 years and 3 years of the combined treatment
group was 1.7%, 4.5% and 6.6%, compared with the baseline rise of > 50 MUmol/l,
and the ETV group was replaced in the 1 year, The values of 2 and 3 years were
0,0,0.7%, of which the 2nd and 3rd years were statistically significant; the
proportion of microalbuminuria and retinol-binding protein in patients with
combined treatment group was also significantly higher than that of Beta2-m ETV
Group. Conclusion: The initial combination of LAM and ADV therapy is inferior in
terms of ETV monotherapy. Single therapy with ETV increase the rate of viral
response, reduce the incidence of drug resistance, and also reduce the incidence
of renal impairment in patients with chronic hepatitis B -related compensated
liver cirrhosis.
PMID- 29804378
TI - [Effect of valsartan on the expression of leptin, leptin receptor and collagen in
rats with hepatic fibrosis].
AB - Objective: To investigate the effects of angiotensin II type 1 receptor
antagonist valsartan on leptin, leptin receptor and collagen in rats with hepatic
fibrosis. Methods: Thirty-six male wistar rats were randomly divided into control
group, model group and drug-treated group, with 12 rats in each group. Liver
fibrosis models were made by subcutaneous injection of carbon tetrachloride on
the dorsal of the rats, simultaneously gastric gavage with Valsartan and were
killed at the end of 8th week. The degree of liver fibrosis was observed by HE
and Masson staining. The serum leptin (LP) and TGFbeta1 were determined by ELISA.
Liver LP mRNA and leptin receptor mRNA (OB-R mRNA) were detected by RT-PCR. Liver
LP, OB-R and collagen I were detected by Western blot. The data of multiple
groups were analyzed by one-way analysis variance (ANOVA), and linear correlation
was performed between serum LP and TGF beta1. Results: After the intervention of
valsartan, HE and Masson staining showed that the degree of liver fibrosis was
significantly reduced. The levels of serum LP and TGFbeta1 in the control group
were (18.92 +/- 7.10) ng/ml and (9.13 +/- 1.58) pg/ml respectively, which were
significantly lower than those in the model group (46.92 +/- 28.54) ng/ml and
(16.39 +/- 3.56) pg/ml, And (29.27 +/- 7.27) ng/ml and (12.24 +/- 2.94) pg/ml in
the drug-treated group, respectively. The F values were 7.864 and 20.057
respectively. The P values were < 0.05. The differences were statistically
significant. The relative expression levels of LP and OB-R mRNA in the control
group were 0.35 +/- 0.18 and 0.62 +/- 0.18, respectively, which were
significantly lower than those in the model group (1.79 +/- 1.79 and 1.52 +/-
1.44, and drug-treated group 0.48 +/- 0.34 and 0.75 +/- 0.26, respectively), F
values = 6.914,3.894, P values were < 0.05, the differences were statistically
significant. The relative expression levels of LP, OB-R and collaten I in liver
were 0.71 +/- 0.13, 0.81 +/- 0.11 and 0.76 +/- 0.13 in the model group, 0.97 +/-
0.06, 1.04 +/- 0.06, and 1.05 +/- 0.04 respectively in the drug-treated group and
0.74 +/- 0.05, 0.93 +/- 0.05 and 0.91 +/- 0.05. The F values were 15.425, 13.757
and 19.130 respectively in three groups (P < 0.001), the difference was
statistically significant. Conclusion: Valsartan, an angiotensin II type 1
receptor antagonist, can reduce the expression of leptin and leptin receptor,
reduce the production of TGFbeta1 and collaten I, and play an anti-hepatic
fibrosis effect.
PMID- 29804379
TI - [Elevated Fas expression is related to increased apoptosis of circulating CD8(+)T
cell in patients with hepatocellular carcinoma].
AB - Objective: To investigate the mechanism of apoptosis of CD8(+)T lymphocyte in
peripheral blood of patients with hepatocellular carcinoma (HCC). Methods: The
proportion and apoptosis of peripheral blood CD8(+)T lymphocytes in 30 healthy
controls, 30 patients with cirrhosis and 60 HCC patients were detected by Flow
cytometry, and the expression of Fas on the surface of CD8(+)T lymphocytes was
reported. The differences between groups were compared using independent sample t
test, and data of variance were tested with Mann-Whitney U non-parametric test, P
< 0.05 was considered statistically significant. Results: The proportion of
CD8(+)T lymphocytes in peripheral blood of patients with HCC was 26.4% +/- 9.2%,
higher than that of 24.5% +/- 7.1% in cirrhosis (t = 0.783, P = 0.489), and and
healthy control 19.7% +/- 4.7% (t = 2.920, P = 0.004). The proportion of
apoptotic CD8(+)T lymphocytes in peripheral blood of HCC patients was 25.3% +/-
6.5%, of the total CD8(+)T lymphocytes, which was significantly higher than that
of healthy controls 12.1%+/-6.5% (t = 7.555, P < 0.001) and cirrhotic 13.6% +/-
5.8% (t = 5.213, P < 0.001), the differences were statistically significant. The
proportion of Fas(+)CD8(+)T lymphocytes in the HCC group was 62.2% +/- 18.5%,
higher than that in the healthy control group 42.6%+/-16.5% (t = 4.127, P <
0.001) and 46.1% +/- 14.5% (t = 2.561, P < 0.01)of the cirrhosis group, the
differences were statistically significant. Fas expression was positively
correlated with the apoptosis of CD8(+)T lymphocytes (r (2) = 0.113, P < 0.05).
Conclusion: The proportion of CD8(+)T lymphocytes in peripheral blood of patients
with HCC is higher than that of healthy controls, but the proportion of CD8(+)T
lymphocyte apoptosis based on Fas/FasL pathway increased, which may be an
important mechanism for tumor cell immune escape.
PMID- 29804380
TI - [Discussion and evaluation of diagnostic criteria for hepatitis B virus-related
acute-on-chronic pre-liver failure].
AB - Objective: To investigate the concept of hepatitis B virus (HBV)-related acute-on
chronic pre-liver failure (pre-ACLF), and to develop and evaluate the diagnostic
criteria for this disease. Methods: A retrospective analysis was performed for
the clinical data of 754 patients with severe acute exacerbation (SAE) of HBV
related chronic liver disease, and their clinical features were identified. A
multivariate logistic regression analysis was used to determine the risk factors
for acute-on-chronic liver failure (ACLF). The inclusion rate of patients with
SAE-HBV-related chronic liver disease and the detection rate of ACLF patients
were analyzed to evaluate the value of four different versions of diagnostic
criteria for pre-liver failure. The t-test, an analysis of variance, the Mann
Whitney U test, and the chi-square test were used for statistical analysis based
on data type. Results: The incidence rate of ACLF in the patients with SAE-HBV
related chronic liver disease was 9.9% and the time to progression to ACLF was
12.0 +/- 6.7 days. The multivariate logistic regression analysis showed that HBV
reactivation (odds ratio [OR] = 5.118), direct bilirubin ratio (D/T) (OR =
1.041), age (OR = 1.033), total bilirubin (TBil) (OR = 1.005), prothrombin
activity (PTA) (OR = 0.880), and serum sodium (Na) (OR = 0.918) were independent
risk factors for ACLF. Group B (51.3 MUmol/L < TBil < 171.1 MUmol/L and 40%<=PTA
< 60%, 4.2%) had a significantly lower incidence rate of ACLF than group A (51.3
MUmol/L < TBil < 171.1 MUmol/L and PTA < 40%, 13.7%) and group C (TBil > 171.1
MUmol/L and 40% < PTA < 60%, 20.3%) (P < 0.001). Group C had a significantly
shorter time to progression to ACLF than group A (10.5 +/- 6.1 days vs 15.6 +/-
7.4 days, P = 0.008). A total of 45 patients met the diagnostic criteria
developed by Chongqing and the incidence rate of ACLF was 2.2%; 154 patients met
the diagnostic criteria developed by Zhejiang and the incidence rate of ACLF was
7.1%; 188 patients met the diagnostic criteria in the Chinese guidelines and the
incidence rate of ACLF was 6.4%; 117 patients met the diagnostic criteria for SAE
CHB and the incidence rate of ACLF was 9.4%. Conclusion: At present, these four
versions of diagnostic criteria for pre-liver failure are not fully applicable to
the clinical practice in China. The diagnostic criteria for HBV-related pre-ACLF
should include important assessment indices which affect its progression to ACLF.
PMID- 29804381
TI - [Current epidemiological status of causes of disease among patients with liver
disease hospitalized in Department of Infectious Diseases in a large general
hospital within the past 20 years].
AB - Objective: To investigate the causes of disease among patients with liver disease
hospitalized in Department of Infectious Diseases in our hospital and the changes
in such causes within the past 20 years. Methods: A retrospective analysis was
performed for the clinical data of 7570 patients who were admitted to our
hospital from January 1995 to December 2015. The chi-square test was used for the
statistical analysis of constituent ratio. Results: Of all 7570 patients with
liver disease, 4930 (65.13%) had viral hepatitis, 332 (4.39%) had immune disease,
215 (2.84%) had drug-induced liver injury, 192 (2.54%) had fatty liver disease,
88 (1.16%) had schistosome-induced liver disease, 160 (2.11%) had inherited
metabolic diseases, and 20 (0.13%) had vascular disease; 689 (9.1%) still had no
clear cause of disease at discharge. The proportion of patients with viral
hepatitis was 77.61% in the first 10 years and 59.19% in the last 10 years (P <
0.01). As for liver disease caused by hepatotropic virus, there were significant
increases in the proportion of patients with hepatitis C or hepatitis E from the
first to the last 10 years (hepatitis C: 2.24% vs 15.56%, P < 0.01; hepatitis E:
18.61% vs 23.07%, P < 0.05), while there were significant reductions in the
proportion of patients with hepatitis B (68.14% vs 60.01%, P < 0.05) or hepatitis
A (10.7% vs 1.36%, P < 0.05). The proportion of patients with immune diseases was
0.82% in the first 10 years and 6.08% in the last 10 years (P < 0.01). There were
also certain changes in the proportion of patients with liver disease caused by
other reasons. Conclusion: There is a large proportion of patients with viral
hepatitis among patients with liver disease hospitalized in Department of
Infectious Diseases in a large general hospital, especially hepatitis B and E
caused by hepatotropic virus. There is a certain change in the epidemiology of
liver disease within the past 20 years, with a reduction in the proportion of
patients with viral hepatitis and an increase in the proportion of patients with
non-infectious liver diseases. There is a large proportion of patients with
unknown causes of liver disease.
PMID- 29804383
TI - [Splenosis misdiagnosed as liver tumor: a case report].
PMID- 29804382
TI - [Effect of Gleditsia sinesis extract on miRNA21 and PTEN gene in liver cancer
rat].
PMID- 29804384
TI - [Daclatasvir-sofosbuvir combination therapy for chronic hepatitis C virus
infection: progress in clinical studies].
AB - All-oral, pan-genotypic combination of direct-acting antiviral agents is
currently clinically prescribed trends in the management of chronic hepatitis C
virus infection. The combination of daclatasvir and sofosbuvir has proven strong
antiviral activity across all common genotypes in clinical trials and real world
studies. Furthermore, it can be safely used in patients with advanced liver
disease, HCV/HIV co-infection, or HCV recurrence after liver transplantation,
more patients will gain clinical benefits. We aim to summarize the clinical
progress about this combination.
PMID- 29804385
TI - [Relationship between bile acid metabolism and chronic non - cholestatic liver
disease].
AB - Bile acid is a general term for a large class of cholic acid in bile and exerts
its unique physiological functions by binding the relevant receptors and bile
acid transporters. Bile acids not only promote the absorption of nutrients in the
human body, but also as an important signaling molecule in the regulation of
inflammatory processes and liver regeneration. Several studies have found that
bile acid metabolism is involved in the occurrence and development of chronic non
cholestatic liver diseases. In this article, the latest research results are
mentioned, and the relationship between nuclear receptors, membrane receptors,
and bile acid transporters and chronic non-cholestatic liver disease that play a
key role in the bile acid metabolism were emphatically reviewed.
PMID- 29804386
TI - [Standard treatment of autoimmune hepatitis: experience from rheumatoid
arthritis].
AB - The treatment of autoimmune hepatitis is similar to that of rheumatic immune
disease, which requires the use of hormones and immunosuppressive agents to
induce and maintain remission therapy. As one of the most common diseases of
rheumatology, rheumatoid arthritis has a definite treatment strategy and
gradually becomes a new concept of rheumatoid disease. However, the current
treatment of autoimmune hepatitis is still lack of standard compliance treatment
strategies, and for the disease activity and immunosuppressive treatment of the
efficacy of no uniform standard evaluation criteria, there is no clear evidence
of the need to increase the hormone dose or the timing of treatment for patients
with substandard treatment, so we consider the standard treatment of autoimmune
hepatitis from the experience of rheumatoid arthritis of rheumatism, in order to
provide reference for perfecting the standardized treatment of autoimmune
hepatitis.
PMID- 29804387
TI - [Chronic hepatitis C: achievement, challenge and strategy to eliminate as a
public health threat].
AB - The use of direct-acting antiviral agents (DAAs) in the treatment of chronic
hepatitis C and its approval in China applying the goal proposed by the World
Health Organization is an important step towards eliminating viral hepatitis as
public health threat by 2030. However, we also need to create a model that is
suitable and short duration therapy for the Chinese patients. On the other hand,
it is also essential to study the reverse hepatic fibrosis and the emerging
problems of hepatitis C-related liver cancer.
PMID- 29804388
TI - [Selection of direct-acting antiviral agents for chronic hepatitis C infection].
AB - The elimination of hepatitis C virus(HCV) infection is still a long way to go.
Direct-acting antiviral agents (DAAs) can make HCV completely cured by targeting
various stages of its replication life cycle and meanwhile standardize and
simplify the treatment. However, those relative factors may affect efficacy and
safety should be considered by specialties in choosing the suitable regimens.
Therefore, this article reviews various aspects of DAAs including development
history, factors correlated with efficacy and safety, therapeutic regimens
domestic listed and approved.
PMID- 29804389
TI - [Effectiveness and safety of direct-acting antiviral agents for treatment of
patients with chronic hepatitis C virus infection].
AB - Hepatitis C is one of the major causes of liver disease worldwide. Chronic
hepatitis C can cause liver fibrosis and cirrhosis leading to end-stage liver
disease. Antiviral therapy can clear the virus, stop the progress of the disease,
thereby reducing the incidence of advanced liver disease. This article aims to
discuss the effects and safety of antiviral treatments, including direct- acting
antiviral agents, on patients with chronic hepatitis C with fibrosis and
compensated and decompensated liver cirrhosis.
PMID- 29804390
TI - [Impression of direct-acting antiviral agents in the treatment of recurrent
hepatocellular carcinoma related to chronic hepatitis C].
AB - The treatment of chronic hepatitis C has gradually entered into the era of direct
acting antiviral agents (DAAs) from the era of pegylated interferon-alpha
combined with ribavirin, which has a high curative effect, good tolerability, and
complete patient-friendly oral form of administration. Sustained virologic
response (SVR) acquired by pegylated interferon-alpha plus ribavirin therapy has
been widely recognized by scholars in reducing the recurrence of primary liver
cancer and liver cancer, especially in cirrhotic patients. The clinical
application time of DAAs is short and its report is inconsistent with the
increase or decrease of primary liver cancer and liver cancer recurrence.
Simultaneously, the effect of SVR in people with hepatocellular carcinoma on DAAs
seems to be different from those in patients without hepatocellular carcinoma.
This article reviews some of the existing reports in order to increase the
understanding of DAAs and liver cancer and serve the long-term benefit of
clinical treatment.
PMID- 29804392
TI - [Guidelines of prevention and treatment for alcoholic liver disease: a 2018
update].
PMID- 29804391
TI - [Histological evaluation of liver in chronic hepatitis C after direct-acting
antiviral agents treatment].
AB - The histological assessment of liver biopsy in chronic hepatitis C patients after
direct-acting antiviral agents(DAAs) treatment plays a key role in evaluation of
response to therapy. Liver necro-inflammation gradually subsides in patients who
achieved sustained virologic response after DAAs treatment, thus the histological
assessment focus on evaluating remission of liver fibrosis and other lesions.
However, the evaluation of liver inflammation and fibrosis are usually
inconsistent when the histological scoring systems are recommended by the
clinical practice guidelines and applied in chronic hepatitis C patients who
achieved viral clearance after DAAs therapy. Therefore, an immunohistochemical
staining of alpha-smooth muscle actin is recommended to evaluate the activity of
liver fibrosis. The gold standard for determining the complete clearance of virus
after DAAs treatment is detection of HCV RNA in liver tissues. RNAscope
technology and RT-PCR technique and other technologies are the powerful means of
detecting HCV RNA in liver tissues. The implementation of non-invasive diagnostic
techniques in clinic for the screening of liver fibrosis after DAAs therapy is
problematic.
PMID- 29804393
TI - [Guidelines of prevention and treatment for nonalcoholic fatty liver disease: a
2018 update].
PMID- 29804394
TI - [Consensus on clinical management of hepatitis B virus- infected women of
childbearing age].
AB - The mother-to-child transmission(MTCT) of hepatitis B virus (HBV) is the dominant
cause of chronic HBV infection. In order to achieve the goal of "zero" MTCT
before pregnancy, during pregnancy, and after pregnancy; standardized management
for hepatitis HBV infection in women of childbearing age should be regulated. The
content of this consensus includes: screening and treatment of HBV in pregnant
women and women of childbearing age, treatment of hepatitis B during pregnancy,
preventive measures and evaluation of combined immunization of hepatitis B
immunoglobulin and hepatitis B vaccine in newborns, anti-viral therapy for all
pregnant women with a high HBV DNA level and post-partum period related
management. In addition, 16 recommendations were formed for clinicians to
standardize the clinical management of HBV infection in women of child-bearing
age.
PMID- 29804395
TI - [Algorithmic analysis of potential drug-drug interactions using direct-acting
antiviral agents and concomitant medications in chronic hepatitis C].
AB - Direct acting antiviral agents (DAAs) metabolism and pharmacokinetics of
concomitant medications data were extracted and analyzed from the database of
Chinese Health Insurance between 2013 and 2015. A potential drug-drug
interactions (DDI) were calculated by integration of extracted data and confirmed
by using Liverpool website (https: //www.hep-druginteractions.org/). A new
algorithm is suggested for management of DDI between DAAs and concomitant
medications.
PMID- 29804396
TI - [Comorbidities and concomitant medication use in adult patients with chronic
hepatitis C: a descriptive epidemiological analysis].
AB - Objective: To analyze the comorbidity and concomitant medications use in adult
patients with chronic hepatitis C. Methods: A descriptive epidemiological methods
was carried out in adult patients with chronic hepatitis C and data from 2013 to
2015 were accessed through the China Medical Insurance database. Results: Among a
chronic HCV cohort of 2 958 cases, the top five comorbidities were diabetes,
hypertension, ischemic heart disease, gastroduodenitis, and co-infection with HBV
and HCV. The three most common concomitant medications prescribed for mentioned
comorbidities were acarbose, metformin and repaglinide (Diabetes), nifedipine,
amlodipine and metoprolol (Hypertension), aspirin, nifedipine and amlodipine
(Ischemic heart disease), omeprazole, pantoprazole and levolfoxacin
(Gastroduodenitis), ribavirin, pegylated interferon alpha-2a and alpha-2b ( Co-
infected with hepatitis B and C virus). Conclusion: The five most frequent
comorbidities in adult patients with chronic hepatitis C are diabetes,
hypertension, ischemic heart disease, gastroduodenitis, and co-infection with HBV
and HCV. A concomitant medication use in those patients with comorbidities causes
potential drug-drug interactions.
PMID- 29804397
TI - [Potential application of sofosbuvir-based treatment for chronic hepatitis C in
China].
AB - Chronic hepatitis C (CHC) has a prevalence rate of 0.43% in China and
approximately 10 million chronically infected people are in urgent need of
treatment. Since the beginning of 2013, pan-genotypic sofosbuvir (SOF) with its
potent antiviral activity, minimal drug resistance, less drug-drug interactions
and good safety has created a new era in HCV treatment. Its combination with
ribavirin, in single tablet regimen with either ledipasvir or velpatasvir has
been widely used in about 1.6 million patients worldwide. Furthermore, SOF-based
therapy is proven to be effective and safe in serious or terminal illness such as
decompensated cirrhosis, liver or kidney transplantation, HIV or HBV co
infections, bleeding disorders, intravenous drug users, adolescents, and elderly.
Therefore, SOF-based regimens would fill the unmet medical needs of our patients
with HCV.
PMID- 29804398
TI - [Research progress in radiotherapy for hepatocellular carcinoma].
AB - Hepatocellular carcinoma (HCC) is one of the most common malignant tumors in
China with high morbidity and mortality, and its mortality rate is only lower
than that of gastric cancer. It poses a serious threat to patients' life, which
needs to be given special concerns by clinicians and patients for active
implementation of effective treatment methods. Generally, major operation is the
mainstay of management. Radiotherapy has gained its popularity when diagnosed
have no indications for surgical therapy. An effective radiotherapy plans promote
the remission of hepatocellular carcinoma to improve patients condition,
prognosis, and survival rate. Therefore, we conducted this study to know the
research progress of radiotherapy for hepatocellular carcinoma.
PMID- 29804399
TI - [Updates and review of the National Comprechensive Cancer Network clinical
guidelines in oncology for breast cancer Version 3, 2017].
PMID- 29804400
TI - [Health equity and justice issues in development of precision medicine].
PMID- 29804401
TI - [Analysis of significant microRNA associated with chronic thromboembolic
pulmonary hypertension].
AB - Objective: To find key microRNA (miR) associated with chronic thromboembolic
pulmonary hypertension (CTEPH). Methods: Affymetrix miR microarray data and
GSE56914 data downloaded from GEO database (http: //www.ncbi.nlm.nih.gov/geo/)
were obtained and integrated. The microarray data were obtained from peripheral
blood samples of CTEPH patients and the matched control. Differentially expressed
miRs were screened. Target genes of these miRs were searched. Then, functional
enrichment analyses for these miRs were performed. After that, disease network
including miRs, target genes and pathways was constructed. Results: Five
important miRs including hsa-miR-885-5p, hsa-miR-501-5p, hsa-miR-615-3p, hsa-miR
610, and hsa-miR-346 were identified. Furthermore, hsa-miR-885-5p and hsa-miR-501
5p were significantly enriched in cell cycle pathway. Hsa-miR-615-3p was involved
in cytokine-cytokine receptor interaction, axon guidance, focal adhesion and cell
cycle pathway. Hsa-miR-610 was significantly enriched in focal adhesion pathway,
and hsa-miR-346 was involved in cytokine-cytokine receptor interaction, axon
guidance, and focal adhesion pathway. Conclusions: Hsa-miR-885-5p, hsa-miR-501
5p, hsa-miR-615-3p, hsa-miR-610 and hsa-miR-346 are important miRs for the
development of CTEPH.
PMID- 29804402
TI - [Clinical characteristics and outcomes of patients with lung cancer,
gastrointestinal cancer and urologic cancer with venous thromboembolism].
AB - Objective: To compare the clinical characteristics and outcomes of patients with
lung cancer, gastrointestinal (GI) cancer and urologic cancer with venous
thromboembolism (VTE). Methods: From January 2003 to January 2013, 192 lung
cancer, GI cancer and urologic cancer patients with VTE were retrospectively
evaluated for the clinical characteristics and outcomes. Results: Among 192
patients, 82 cases of lung cancer, 78 cases of GI cancer, 32 cases of urologic
cancer were involved. The Eastern Cooperative oncology Group Performance Status
score of GI cancer group was significantly higher than those of the lung cancer
and urologic cancer groups[(2.4+/-1.1) vs (2.0+/-1.4), (1.8+/-1.0), both P<0.05].
The proportion of smoking patients in lung cancer group was significantly higher
than that in GI cancer and urologic cancer groups (79.3% vs 30.8%, 53.1%, both
P<0.05), while the proportion of operation was significantly lower than that in
the latter two groups (35.4% vs 53.8%, 68.8%, both P<0.05). Pathological types of
cancer were mostly adenocarcinoma, and the proportion of adenocarcinoma in lung
cancer and GI cancer groups was significantly higher than that in urologic cancer
group (76.9%, 73.8% vs 37.9%, both P<0.001). The proportion of moderately and/or
poorly differentiated histodifferentiation in the first two groups was
significantly higher than that of urologic cancer group (90.0%, 95.7% vs 40.0%,
both P<0.001). The proportion of patients with TNM stage III-IV in lung cancer
group was significantly higher than that of the urological cancer group (87.0% vs
64.3%, P<0.05). The incidence of VTE in lung cancer group was significantly
higher than those of GI cancer and urologic cancer groups within 6 months after
tumor diagnosis, chemotherapy and operation (79.3% vs 60.3%, 46.9%; 76.5% vs
48.6%, 36.4%; 92.3% vs 57.9%, 59.1%; all P<0.05). The case fatality rate within
one year in lung cancer and GI cancer groups was significantly higher than that
in urologic cancer group (51.2%, 52.6% vs 18.8%, both P<0.01). The median
survival time of the lung cancer and GI cancer groups was significantly shorter
than that of the urological cancer group (P=0.001, 0.010, respectively).
Conclusions: Adenocarcinoma, advanced cancer, and poor histodifferentiation are
risk factors of VTE in cancer patients. Most events of VTE occur within 6 months
after a diagnosis of cancer. The prognosis of lung cancer and GI cancer
complicated with VTE is worse than that of urologic cancer with VTE.
PMID- 29804403
TI - [Association of alpha-actinin-3 gene polymorphism and muscle strength of
postmenopausal women].
AB - Objective: To explore the association between alpha-actinin-3 (ACTN3)
polymorphism and muscle strength in postmenopausal women. Methods: Five hundred
and ninety-eight postmenopausal women with an average of (62.9+/-7.0) years old
in Dongcheng District of Beijing were included. The ACTN3 polymorphism including
rs540874, rs618838 and rs2229456 were genotyped by Sequenom Mass Array to explore
their associations with muscle strength. One hundred and sixty-three of them were
trained with regular Tai chi movement while 271 were administered with elemental
calcium 600 mg/d combined with Vitamin D 800 U/d or calcitriol 0.25 MUg/d for 2
years. Association between changes of muscle strength and ACTN3 polymorphism were
analyzed. Results: The rs540874 genotypes were found to be significantly
associated with chair stand test[GG (9.02+/-3.85) s vs GA (9.27+/-4.14) s vs AA
(9.68+/-5.00) s, P=0.015]. Right grip strength in women with G allele were likely
to be higher compared with A allele, but it was not statistically significant
(P=0.056). Multiple linear regression showed that the chair stand test of AA
genotype was statistically longer than that of GG and GA genotype (beta=2.639,
95% CI: 1.632-4.646, P=0.010). The associations between rs618838, rs2229456
genotypes and muscle strength of both lower and upper limbs were not significant
(all P>0.05). In addition, muscle strength of lower limbs of patients with
rs540874 genotyped with G allele, rs618838 genotyped with C allele and rs2229456
genotyped with A allele increased significantly after enhanced exercise and
vitamin D supplementation (all P<0.05). Conclusions: The rs540874 polymorphism of
ACTN3 gene was associated with the muscle function of lower limb in
postmenopausal women. The improvement of muscle strength after intervention were
possibly correlated with rs540874, rs618838 and rs2229456 polymorphisms.
PMID- 29804404
TI - [Homozygous 5T alleles, clinical presentation and genetic analysis within a
family with congenital bilateral absence of the vas deferens].
AB - Objective: To study the cystic fibrosis transmembrane regulator(CFTR) genotypes
and genetic characteristics of a Chinese family with Congenital bilateral absence
of vas deferens(CBAVD). Methods: Two 33/29-years-old brothers presented with
CBAVD-caused obstructive azoospermia were diagnosed on the basis of scrotal
palpation, analysis of semen and ultrasound tests. We extracted their genomic DNA
as well as their healthy parents' from the peripheral blood leukocytes. To
identify CFTR mutations, each of the 27 exons of the CFTR gene and their flanking
splice sites sequences were amplified by polymerase chain reaction(PCR) and
subsequently studied with Sanger sequencing. Mutations/variations were identified
and compared with the control sequence searched in the NCBI database. Results:
Homozygous 5T mutation at the splicing site ahead of exon 10 of the CFTR gene was
identified in both brothers in association with 13TG and 12TG alleles(13TG
5T/12TG-5T), one of those was inherited from the mother(13TG-5T/11TG-7T), the
other was from the father(12TG-5T/12TG-7T). All of the results above had been
excluded the presence of other mutations. Genetic study of this family supports
that homozygous 5T mutation is associated with CBAVD. Individuals with homozygous
5T alleles are 20 times more possible to transmit this deleterious variant to the
next generation than general population. Conclusions: This family we analysed
agrees with the previous conclusion that 5T allele is a deleterious and heritable
mutation which could cause CBAVD. Considering better genetic counseling, CFTR
gene detection and Preimplantation genetic diagnosis(PGD) are suggested for CBAVD
couples who seek for reproductive assistance.
PMID- 29804405
TI - [Clinical manifestations analysis of patients diagnosed with primary pulmonary
mucosa-associated lymphoid tissue lymphoma].
AB - Objective: To analyze the clinical features of pulmonary mucosa-associated
lymphoid tissue (MALT) lymphoma. Methods: A total of 14 cases diagnosed with
primary pulmonary MALT lymphoma were collected from May 2007 to May 2017 in
Zhongshan Hospital, Fudan University. The clinical features, pathological
characteristics, diagnosis, treatment and prognosis were retrospectively
analyzed. Results: All 14 cases were pathologically diagnosed with primary
pulmonary MALT lymphoma. The biopsy tissues were obtained through the Video
assisted Thoracoscopic Surgery (VATS) (4 cases), percutaneous puncture (2 cases),
and bronchoscopy (8 cases). Cell types of these tumors were centrocyte-like cells
(10 cases), lymphocytoid cells (2 cases), and monocytoid B cells (2 cases). The B
cell clonality was detected by IgH cloning test in 4 cases and 3 of them were
demonstrated with monoclonal strips. MALT1 breakup gene was positive in 3 out of
6 examined cases using fluorescence in situ hybridization (FISH). As for the
treatment, 8 patients underwent chemotherapy, 5 patients underwent surgical
resection and 1 patient abandoned treatment. Twelve patients were followed up to
9 years. The tumor recurrence occurred in 2 patients and resulted their death.
Conclusions: The clinical manifestations of primary pulmonary MALT lymphoma are
lack of specificity. The pathology, immunohistochemistry, IgH cloning test and
MALT1 breakup gene tested by FISH are the criteria for diagnosis.
PMID- 29804406
TI - [Impacts of different methods in laryngeal mask airway positioning on the airway
management of elderly patients with general anesthesia].
AB - Objective: By observing the clinical effect of ultrasound, fiberoptic
bronchoscopy and traditional standard in positioning the general anesthesia of
laryngeal mask ventilation in elderly patients, the superiority of laryngeal mask
positioning with visualization technique of ultrasound and fiberoptic
bronchoscope on airway management in elderly patients with general anesthesia was
analyzed. Methods: One hundred and twenty cases of elderly patients with general
anesthesia of laryngeal mask ventilation from the People's Hospital of Yuyao city
from October 2016 to October 2017 were selected and randomly divided into 3
groups(n=40)according to American Society of Anesthesiologists (ASA) grading
criteria I-III. Group A: traditional standard positioning laryngeal mask group.
Group B: fiberoptic bronchoscope positioning laryngeal mask group. Group C:
ultrasound positioning laryngeal mask group. The general information of sex ratio
of male and female, mass, and height, and operation type, operation duration,
anaesthesia duration, and modified Mallampati grade were observed and compared
among the three groups. The number of successful laryngeal mask ventilation after
laryngeal mask placement in 3 groups was observed, the laryngeal mask placement
time (T(0)) and the normal ventilation time after adjustment (T(1)) in each group
were recorded, and the first success rate of laryngeal mask placement, the
success rate after adjusting the positioning, and the success rate of re
placement were calculated. Moreover, the mean peak airway pressure at 5 min after
operation, the minimum intrathecal injection gas for minimum ventilation
(V(min)), the minimum laryngeal mask intravesical pressure (ICP(min)), and the
lowest air pressure for oral and pharyngeal leakage (OLP(min)) were recorded. The
airway seal pressure (OLP(60)) and the volume of gas injection (V(60)) when the
intravesical pressure was 60 cmH(2)O (1 cmH(2)O=0.098 kPa) were used to record
the incidence of postoperative laryngeal mask bleeding, cough, nausea and
vomiting, and the incidence of pharyngalgia, odynophagia, hoarseness and other
related complications after 24 hours of the operation. Results: There was no
significant difference in general information, airway evaluation and anesthesia
operation among the three groups (all P>0.05). The incidence of intraoperative
laryngeal mask bleeding in group B and C was 7.9% and 2.6% respectively, the
incidence of odynophagia at 24 hours after operation was 5.3% and 0 respectively,
and the incidence of pharyngalgia and hoarseness was 18.4% and 7.9% respectively,
less than that in group A (24.2%, 12.1% and 36.3%). The difference was
statistically significant (chi(2)=8.900, 6.880, 9.000, P<0.05). The success rate
of adjustment and positioning after the placement of laryngeal mask was 84.2% and
94.7% respectively in group B and C, higher than that in group A of 72.7%, and
the difference was statistically significant (chi(2)=6.500, P<0.05). The lowest
laryngeal mask intralaryngeal pressure for ventilation in group B and C was
(35.39+/-4.67) cmH(2)O and (32.61+/-3.22) cmH(2)O, lower than that in group A of
(39.30 +/- 5.93) cmH(2)O, the intralaryngeal pressure was 60 cmH(2)O, and the
airway seal pressure was (25.82+/-4.48) cmH(2)O and (28.34+/-6.99) cmH(2)O,
higher than that in group A of (22.45+/-4.98) cmH(2)O, which was significantly
different (F=18.200, 9.720, P<0.05). Conclusions: In elderly patients with
general anesthesia, it is feasible to manage the airway by ultrasound or
fiberoptic bronchoscopy with laryngeal mask. Ultrasound positioning laryngeal
mask improves the accuracy of the intraoperative ventilation, and reduces the
incidence of postoperative airway related complications.
PMID- 29804407
TI - [Therapeutic effect of ginkgo biloba extract on postoperative delirium in aged
patients].
AB - Objective: To observe whether Ginkgo biloba extract (EGb761) can improve
postoperative delirium in elderly patients. Methods: Eighty elderly patients
undergoing tumor surgery at Zhejiang Cancer Hospital and complicated with
postoperative delirium(POD) between June 2013 and July 2016 were randomly divided
into treatment group (group A) and control group (group B) according to the
random number table method. Patients in group A received ginkgo biloba extract
(EGb761) drops oral treatment (3 times/d, 80 mg each time) in addition to oxygen
inhalation and appeasement treatment. Patients in group B underwent routine
oxygen inhalation and appeasement treatment. POD assessment was performed twice
between the hours of 8: 00 am and 8: 00 pm daily after the diagnosis of POD.
Observed indicators include sex ratio, age, body mass index (BMI), educated
level, type of surgery, anesthesia method, duration of surgery, intraoperative
mean arterial blood pressure, intraoperative blood loss, type of POD, visual
analogue scale (VAS) scores when diagnosis of POD, the onset time of POD, initial
RASS scores, duration of POD. Results: A total of 80 patients with POD were
enrolled, 23 patients were excluded for did not cooperate with the tests of POD
or refused to participate in the study. Finally, 57 elderly patients completed
the study, 29 patients in the medication group (A group) and 28 patients in the
control group (B group). There was no significant difference in sex ratio, age,
BMI, education level, operation type, anesthesia method, operation duration,
intraoperative mean arterial pressure, intraoperative blood loss, POD type and
VAS score (all P>0.05). There was no significant difference between the two
groups in POD onset time and initial RASS score (all P>0.05). The duration of POD
in group A and group B was 16 (16)h and 48 (35) h respectively, the difference
was statistically significant (U=161.500, P<0.001). Conclusion: Ginkgo biloba
extract (EGb761) can shorten the course of POD in elderly patients.
PMID- 29804409
TI - [Clinical characteristics of abdominal solitary fibrous tumor: an analysis of 18
cases].
AB - Objective: To summarize the clinical manifestations, pathological features,
diagnosis, and treatment of abdominal solitary fibrous tumor (SFT). Methods: The
data of clinical manifestations, pathological features, diagnosis, and treatment
of 18 patients with abdominal SFT admitted to Department of General Surgery,
Peking Union Medical College Hospital between January 2000 and December 2016 were
retrospectively reviewed. Results: Abdominal mass was first presented in 14
patients. The blood routine, liver, kidney, and coagulation function were normal
in all the patients. Tumor markers were abnormal in 4 cases. Most SFT showed
hypoechoic mass with regular shape under ultrasound. CT findings differed among
the cases. All the 18 patients underwent surgical treatment, and the diagnosis
was proved by the postoperative histopathology. SFT differed in general
morphology. The positive rate of Ki-67, smooth muscle actin (SMA), CD34, and S
100 was high under immunohistochemistry. Conclusions: Early diagnosis of
abdominal SFT is difficult, leading to a low preoperative diagnosis rate.
Complete removal of the tumor is the only reliable method of radical treatment.
PMID- 29804408
TI - [Epidemiological investigation and analysis of the effect of using diapers on
daytime urinary incontinence on children].
AB - Objective: To investigate the relationship between the incidence of daytime
urinary incontinence(DUI)and the usage of diapers. Methods: The stratified
sampling method was used to investigate the relationship between the incidence of
DUI and the usage of diapers on children from 3 to 10 years old from 30
kindergarten and primary schools in Henan Province, China. The survey was carried
out in the way of anonymous questionnaire. Results: A total of 8 560 qualified
questionnaires(96.2%) were collected and used for statistical analysis. The
overall incidence of DUI was 3.52%. With the age increasing, the incidence of DUI
was decreased(chi(2)(trend)=75.690, P<0.001) from 6.06% for 3 years old children
to 1.82% for 10 years old children. With the time of usage diapers increased, the
rate of urination training was significantly reduced(chi(2)(trend)=84.260,
P<0.001), and the incidence of DUI was significantly
increased(chi(2)(trend)=18.824, P<0.001). With the increase of using diapers, the
incidence of DUI increased (chi(2)(trend)=9.234, P<0.01). With the delay of the
starting time of urination training, the incidence of DUI was increasing(
chi(2)(trend)=9.775, P<0.01). Logistic regression analysis showed that the
increase of time of using diapers(OR=1.017, 95% CI: 1.006-1.027, P<0.01)and the
increase of frequency of using diapers(OR=1.290, 95% CI: 1.002-1.025,
P<0.05)contribute to DUI significantly. Urination training starts from 0 to 6
months was a protective factor against DUI(OR=0.529, 95% CI: 0.345-0.811,
P<0.01). Conclusions: The usage of diapers is the risk factor of DUI. It's better
to start urination training earlier to help reduce the incidence of DUI in
children.
PMID- 29804410
TI - [Primary renal lymphoma: a clinical analysis of 5 cases].
AB - Objective: To improve the clinical diagnosis and treatment of primary renal
lymphoma. Methods: Clinical data of 5 cases ( 2 male cases and 3 female cases)
with primary renal lymphoma was reviewed. The age of patients was 55 to 70 years
old, with a mean age of 62 years. No history of glomerulonephritis or nephropathy
was recorded. All the cases were unilateral, including 3 cases in the left side
and 2 cases in right. The major clinical manifestations were as follows: 2 cases
of left lumbar pain, 1 case of gross hematuria, 1 case of hematuria under
microscope, and 1 case receiving adjuvant chemotherapy after 12 years. The
physical examination was as follows: the superficial lymph nodes of 5 cases were
not enlarged; the liver, spleen and abdominal mass were not palpable; 2 cases had
left upper quadrant tenderness ( with no rebound pain) and left kidney percussion
pain. B-ultrasound, CT or MRI examination were performed before the operation,
and renal malignant neoplasm was considered. No abnormality was found in bone
marrow aspiration after operation. Four cases underwent radical nephrectomy and 1
case accepted partial nephrectomy. Four cases were administered with six cycles
of R-CHOP (cyclophosphamide, pirarubicin, vincristine and prednisone) associated
with rituximab, and 1 case was given six cycles of CHOP. Results: Five cases were
diagnosed as non-Hodgkin's lymphoma, with 4 cases of diffuse large B-cell
lymphoma of the kidney and 1 case of marginal zone B-cell non-Hodgkin lymphoma of
mucosa-associated lymphoid tissue. The maximum diameter of the tumor was 3.5 to
10 cm, with the median diameter of 6.5 cm. The tumor was grey and white on the
cutting surface, and the texture was fine. Under the microscope, the tumor cells
were diffusely infiltrating, oval or polygonal, slightly larger than normal
lymphocytes, with large irregular hyperchromatic nuclei. Four cases were followed
up for 6 months to 2 years, with an average of 16 months. Chest X - ray and
abdominal B-ultrasound were performed every 3 months, and abdominal CT or MRI
scan was performed every 6 months. One case lost follow-up after 3 months. Except
the case, the rest three are all alive. Conclusions: Primary renal lymphoma is
rare. Most of the cases reported showed rapid systemic progression and a poor
prognosis. There were no distinct characteristics in B-ultrasound and CT
examination. Pathological examination is the key to its diagnosis. The surgical
treatment combined with systemic chemotherapy can prolong survival of patients.
PMID- 29804411
TI - [The expression of Akt/mTOR in VSMC calcification induced by high phosphate and
its regulation of Cbfalpha1].
AB - Objective: To observe the expression of protein kinase B (Akt) / mammalian target
of rapamycin (mTOR) induced by high phosphorus in rat vascular smooth muscle
cells (VSMC) calcification model, and its modulation on the expression of core
binding factor alpha 1 (Cbfalpha1). Methods: Rat VSMC cells were cultured in
vitro, and then divided into two groups: normal phosphorus group (Pi 1.3 mmol/L)
and high phosphorus group (Pi 2.6 mmol/L). At day 7, calcium deposition was
detected by Alizarin stain. The mRNA levels of Cbfalpha1 and osteopontin (OPN)
were determined by real-time PCR. The protein expressions of p-Akt (ser473), p
mTOR (S2448), Cbfalpha1 and OPN were quantified by Western blot. Then, VSMC
cultured with high phosphorus were treated with Akt inhibitor (Wortmannin) and
mTOR inhibitor (Rapamycin) with different concentrations. After 24 h, the mRNA
levels of Cbfalpha1 and OPN were determined and after 48 h, the protein
expressions of p-Akt, p-mTOR, Cbfalpha1 and OPN were quantified. Also, at day 7,
calcium deposition was also visualized by Alizarin stain. Results: After 7 days,
compared with normal phosphorus group, calcium deposition was more obvious in
high phosphorus group. The mRNA expressions of Cbfalpha1 and OPN increased
significantly and the protein expressions of p-Akt, p-mTOR, Cbfalpha1 and OPN up
regulated significantly in high phosphorus group (all P<0.05). After treated with
Wortmannin or Rapamycin for 24 h, compared with high phosphorus group, the mRNA
expressions of Cbfalpha1 and OPN decreased significantly in high phosphorus +
Wortmannin (30, 50 and 100 nmol/L) groups (all P<0.05) and high phosphorus +
Rapamycin (1, 10 and 100 ng/ml) groups (all P<0.05). After treated with
Wortmannin or Rapamycin for 48 h, compared with high phosphorus group, the
protein expressions of p-Akt, Cbfalpha1 and OPN down-regulated significantly in
high phosphorus + Wortmannin (30, 50 and 100 nmol/L) groups (all P<0.05). It
showed a dose-dependent down-regulation of p-mTOR, Cbfalpha1 and OPN in high
phosphorus + Rapamycin (1, 10, 100 ng/ml) groups (all P<0.05). After 7 days,
compared with high phosphorus group, calcium deposition decresased significantly
in high phosphorus + Wortmannin and high phosphorus + Rapamycin groups.
Conclusions: High phosphorus can induce VSMC calcification. Akt and mTOR are
involved in VSMC calcification induced by high phosphorus through the activation
of Cbfalpha1.
PMID- 29804412
TI - [Quantitative analysis of emphysema and air trapping at inspiratory and
expiratory phase multi-slice spiral CT scan in smokers: correlation with
pulmonary function test].
AB - Objective: To quantify emphysema and air trapping at inspiratory and expiratory
phase multi-slice spiral CT(MSCT) scanning in smokers without respiratory
symptoms, and analyze the correlation between the CT quantifiable parameters and
lung function parameters. Methods: A total of 72 smokers, who underwent medical
examinations from September 2013 to September 2016 in Changzheng Hospital were
enrolled in this research and were divided into two groups: 24 smokers with COPD
and 48 smokers without COPD.Besides, thirty-nine non-smokers with normal
pulmonary function were enrolled as the controls.All subjects underwent double
phase MSCT scanning and pulmonary function tests.CT quantifiable parameters of
emphysema included the low attenuation area below a threshold of -950 Hounsfield
Units (HU)(LAA%(-950)), the lowest 15th percentile of the histogram of end
inspiratory attenuation values (P(15-IN)), the lowest 15th percentile of the
histogram of end-expiratory attenuation values (P(15-EX)), relative volume
change(RVC) and the expiratory to inspiratory ratio of mean lung density
(E/I(MLD)). Pulmonary function parameters included forced expiratory volume in 1
second expressed as percent predicted (FEV(1)%), forced expiratory volume in one
second to forced vital capacity ratio (FEV(1)/FVC), residual volume to total lung
capacity ratio (RV/TLC) and carbon monoxide diffusion capacity corrected for
alveolar volume (DLCO/VA). The differences of CT quantifiable parameters and
pulmonary function parameters among the three groups were analyzed by using one
way analysis of variance or Kruskal-Wallis H test.The correlation between CT
quantifiable parameters and pulmonary function parameters was analyzed by using
Spearman's correlation analysis. Results: The differences of LAA%(-950)(the
values for the controls, the group of smokers with out COPD and the group of
smokers with COPD were 0.5%+/-0.7%, 0.7%+/-1.2% and 2.0%+/-2.4% respectively),
P(15-IN)(the values of the three groups were (-892+/-33), (-905+/-15) and (-907+/
22) HU respectively), FEV(1)%(the values of the three groups were 88.4%+/-8.8%,
84.2%+/-7.5% and 82.1%+/-8.0% respectively), FEV(1)/FVC(the values of the three
groups were 78.0%+/-3.8%, 76.6%+/-4.3% and 67.3%+/-5.5% respectively), DLCO/VA
(the values of the three groups were (1.36+/-0.25), (1.30+/-0.22) and (1.21+/
0.22) mmol.min(-1).kPa(-1).L(-1) respectively) and RV/TLC (the values of the
three groups were 49.5%+/-6.6%, 45.9%+/-6.0% and 53.0%+/-6.4% respectively) among
the three groups were statistically significant (all P<0.05). In the control
group, LAA%(-950) negatively correlated with FEV(1)/FVC and DLCO/VA(r=-0.32,
P=0.04; r=-0.69, P=0.00) and neither did P(15-IN) with FEV(1)%(r=-0.14, P=0.02).
Inversely, P(15-IN) positively correlated with DLCO/VA (r=0.55, P=0.00). In the
group of smokers without COPD, LAA%(-950) negatively correlated with FEV(1)/FVC
and DLCO/VA(r=-0.31, P=0.04; r=-0.42, P=0.00), and P(15-IN) positively correlated
with FEV(1)/FVC and DLCO/VA (r=0.33, P=0.02; r=0.30, P=0.04). In the group of
smokers with COPD, LAA%(-950) negatively correlated with DLCO/VA (r=-0.62,
P=0.00), but positively correlated with RV/TLC (r=0.59, P=0.00). And P(15-IN)
positively correlated with DLCO/VA(r=0.53, P=0.01). Conclusions: Smokers
emphysema and air trapping can be effectively evaluated by double phase MSCT.
Moreover, two of the CT quantifiable parameters, LAA%(-950) and P(15-IN), are
highly sensitive to changes in pulmonary function.
PMID- 29804413
TI - [Effects of Scoliosis Research Society-Schwab grade IV osteotomy for post
traumatic thoracolumbar kyphosis].
AB - Objective: To evaluate the effectiveness of Scoliosis Research Society (SRS)
Schwab grade IV osteotomy in the treatment of post-traumatic thoracolumbar
kyphosis (PTK). Methods: From October 2012 to January 2015, a total of 31
patients [12 males, 19 females, mean age (43+/-10) years] with symptomatic PTK
undergoing SRS-Schwab grade IV osteotomy in Nanjing Drum Tower Hospital were
retrospectively reviewed.Radiographic changes were evaluated with preoperative,
postoperative and follow-up X-ray.The measurements included thoracic kyphosis
(TK), lumbar lordosis (LL), thoracolumbar kyphosis (TLK), focal kyphosis (FK),
pelvic incidence (PI), pelvic tilt (PT), sacral slope (SS) and sagittal vertical
axis (SVA). Clinical outcome was assessed using the visual analogue scale (VAS),
the Oswestry disability index (ODI) and Frankel neurological grade before surgery
and during follow-up.The preoperative, postoperative and follow-up data were
compared with paired t test. Results: All patients were followed-up for 28+/-5
months (24-38 months). The TK and LL improved significantly postoperatively from
15.6 degrees +/-7.8 degrees and 67.3 degrees +/-12.2 degrees to 28.1 degrees +/
6.2 degrees and 48.1 degrees +/-9.3 degrees (t=-6.985, 6.968, both P<0.05), and
maintained at 27.3 degrees +/-6.9 degrees and 49.4 degrees +/-7.9 degrees at
last follow-up, respectively.The TLK and FK improved significantly
postoperatively from 29.4 degrees +/-12.2 degrees and 36.4 degrees +/-9.5
degrees to 7.7 degrees +/-5.3 degrees and 5.6 degrees +/-4.2 degrees (t=9.083,
16.510, both P<0.05), with a correction loss of 2.4 degrees +/-2.0 degrees and
2.3 degrees +/-2.2 degrees at final follow-up, respectively.No significant
difference was observed in the PI, PT, SS and SVA among preoperative, post
operative and the last follow-up data.In addition, the VAS and ODI improved
significantly at the final follow-up.Four cases with Frankel D experienced
complete neurological recovery at the final follow-up.Radiographic evaluation
showed solid bony fusion.No instrument-related complication was observed during
the follow up. Conclusions: The SRS-Schwab grade IV osteotomy brings satisfactory
sagittal alignment and good clinical outcomes in patients with PTK.
PMID- 29804414
TI - [A new method of measuring leg length discrepancy on radiograph in patients
undergoing total hip arthroplasty].
AB - Objective: To investigate the reliability of the distance between the tip of the
greater trochanter and inter-teardrop line (GT-IT) in evaluating the leg length
discrepancy (LLD) in patients underwent total hip arthroplasty (THA). Methods:
Patients who underwent THA in Xi'an Honghui Hospital from August 2015 to February
2016 were enrolled in this study.The patients were measured for bilateral hips
anterior-posterior (AP) radiograph preoperatively and postoperatively.Four
distances measured, included: GT-IT, the tip of lesser trochanter and bi-ischial
line (LT-BI); LT-IT and the anterior superior iliac spine and the medial
malleolus (ASIS-MM). Magnification factor was considered when calculating
absolute values.Intraclass correlation coefficient (ICC) was used to detect the
reliability of the measurement data.Single factor analysis and paired t test were
performed to compare data among the methods. Results: The ICC values of the four
groups were greater than 0.80, which showed excellent agreement in the
measurements.Single factor analysis of variance showed there were no
statistically significant differences in the LLDs of the four groups
preoperatively and postoperatively (F=0.914, 0.886, both P>0.05). There was no
significant differences in preoperative and postoperative LLD between group GT-IT
and group ASIS-MM, LT-BI or LT-IT(t=-1.544-1.114, all P>0.05). The LLDs were
comparable between group LT-BI, ASIS-MM and LT-IT both preoperatively and
postoperatively (t=1.577, 0.976, 1.344, -0.087, all P>0.05). And the LLD in group
LT-IT and ASIS-MM were equivalent preoperatively and postoperatively (t=0.130,
1.063, both P>0.05). Bland-Altman plot illustrated high level of agreements
between the four methods. Conclusion: Great reliability can be obtained with the
GT-IT in evaluating the LLD in patients undergoing THA.
PMID- 29804415
TI - [Analysis of a three-dimensional finite element model of atlas and axis complex
fracture].
AB - Objective: To explored the clinical application of the three-dimensional finite
element model of atlantoaxial complex fracture. Methods: A three-dimensional
finite element model of cervical spine (FEM/intact) was established by software
of Abaqus6.12.On the basis of this model, a three-dimensional finite element
model of four types of atlantoaxial complex fracture was established: C(1)
fracture (Jefferson)+ C(2) fracture (type IIfracture), Jefferson+ C(2)
fracture(type IIIfracture), Jefferson+ C(2) fracture(Hangman), Jefferson+ stable
C(2) fracture (FEM/fracture). The range of motion under flexion, extension,
lateral bending and axial rotation were measured and compared with the model of
cervical spine. Results: The three-dimensional finite element model of four types
of atlantoaxial complex fracture had the same similarity and profile.The range of
motion (ROM) of different segments had different changes.Compared with those in
the normal model, the ROM of C(0/1) and C(1/2) in C(1) combined II odontoid
fracture model in flexion/extension, lateral bending and rotation increased by
57.45%, 29.34%, 48.09% and 95.49%, 88.52%, 36.71%, respectively.The ROM of C(0/1)
and C(1/2) in C(1) combined IIIodontoid fracture model in flexion/extension,
lateral bending and rotation increased by 47.01%, 27.30%, 45.31% and 90.38%,
27.30%, 30.0%.The ROM of C(0/1) and C(1/2) in C(1) combined Hangman fracture
model in flexion/extension, lateral bending and rotation increased by 32.68%,
79.34%, 77.62% and 60.53%, 81.20%, 21.48%, respectively.The ROM of C(0/1) and
C(1/2) in C(1) combined axis fracture model in flexion/extension, lateral bending
and rotation increased by 15.00%, 29.30%, 8.47% and 37.87%, 75.57%, 8.30%,
respectively. Conclusions: The three-dimensional finite element model can be used
to simulate the biomechanics of atlantoaxial complex fracture.The ROM of
atlantoaxial complex fracture is larger than nomal model, which indicates that
surgical treatment should be performed.
PMID- 29804416
TI - [Effect and significance of mild hypothermia on cerebral blood flow velocity and
cerebral extraction rate of oxygen in patients with severe subarachnoid
hemorrhage].
AB - Objective: Through studying the variations of cerebral blood flow velocity and
cerebral extraction rate of oxygen to investigate the effect and mechanism of
mild hypothermia therapy on early brain injury (EBI) and cerebral vasospasm (CVS)
induced by sever subarachnoid hemorrhage (SAH). Methods: A total of 62 adult
patients admitted in the Department of Neurosurgery of Tianjin TEDA Hospital from
January 2014 to December 2016 with severe SAH without contraindications of
hypothermia therapy were randomly divided into mild hypothermia (MH) group of 30
cases and routine treatment (RT) group of 32 cases.The general data were no
significant difference.The routine treatment group got bloody cerebrospinal fluid
drainage, spasmolysis, 3H treatment, etc.Besides conventional treatment, MH group
got mild hypothermia therapy started on the day of admission within 2-8 hours,
lower rectal temperature and maintained at (35+/-1) C, maintain 5-7 d. The mean
velocity of middle cerebral artery blood flow (VmMCA) and Lindergaard index of
two groups were detected by transcranial Doppler to indirectly evaluate the
degree and evolution of CVS.Blood gas analysis was performed to obtain the blood
oxygen content of the artery and jugular vein (CaO(2)/CjvO(2)) in the two groups
at the same time, and the cerebral extraction rate of oxygen (CERO(2)) = (CaO(2)
CjvO(2))/CaO(2) was calculated. Results: Within 5 times of admission d1, d2, d3,
d7 and d14, mean results of VmMCA of RT group were significantly higher than
those of the MH group at d2, d3, d7 and d14 on statistics.The changes of CERO(2)
between MH group and RT group during the observation period were compared at the
same time: there was no significant difference between d1 and d14 (P>0.05); at
d2, d3 and d7 showed marked differences, and that of the MH group was
significantly lower than that of the RT group' (P<0.01). The correlation analysis
showed that it had a weak correlation between CERO(2) and VmMCA (P>0.05) in the
MH group, and CERO(2) was significantly positively correlated with VmMCA in the
RT group (P<0.01). Conclusion: MH therapy has a positive significance to reduce
the incidence, degree and the duration of CVS.The relationship between CVS and
the degree of hypoxia in brain was broken by the MH therapy to reduce the adverse
effects of EBI through reducing metabolism, thereby alleviating hypoxia damage in
brain tissue.Setting the appropriate target temperature and the course of
treatment and then the gentle rewarming process can reduce the incidence of
complications of hypothermia therapy.
PMID- 29804417
TI - [Study on weight gain in different stages of pregnancy and pregnancy outcomes].
AB - Objective: By investigating the relationship of pregestational body mass
index(BMI), trimester-specific gestational weight gain (rate) during the first,
second, third and total trimesters of pregnancy with adverse pregnant outcomes,
to evaluate the effects of different pregestational BMI, trimester-specific
gestational weight gain on pregnant outcomes, and to provide evidences for
gestational weight control. Methods: From April 2015 to January 2016, 964
pregnant women in Zhejiang Taizhou First People's Hospital and Taizhou Huangyan
Maternal & Child Care Service Center were enrolled in random for prospective
study and were divided into groups according to the Institute of Medicine 2009
guidelines[IOM2009]. (1)They were divided into four groups according to
pregestational BMI: low body mass, normal body mass, over body mass and obese
group.(2)They were divided into three groups according to trimester-specific
gestational weight gain (rate): normal gestational weight gain, insufficient
gestational weight gain and excessive gestational weight gain.(3)The gestational
weight gain and pregnant outcomes were recorded by using self-made information
table, including the incidence rates of gestational diabetes mellitus (GDM),
neonatal birth weight (BW), hypertensive disorders complicating pregnancy (HDCP),
cesarean section, pliers delivery, shoulder dystocia, fetal macrosomia, small for
gestational age (SGA), premature rupture of membranes, neonatal asphyxia, and
neonatal hypoglycemia. Results: (1)In this study, 964 pregnant women were
enrolled, no significant differences were found in terms of age, culture level,
pregnancy times and delivery times of the different pregestational BMI groups
(P>0.05). (2)The incidences of GDM, HDCP, premature rupture of membranes,
cesarean section, pliers delivery, shoulder dystocia, fetal macrosomia, SGA,
neonatal asphyxia and neonatal hypoglycemia were as dependent variables and
trimester-specific gestational weight gain (rate) was as independent
variable.Multivariate Logistic regression analysis showed that the pregnancy
obesity was associated with increased risks of GDM and HDCP, the OR values were
6.63 and 2.60 (P<0.05). The excessive gestational weight gain (rate) of the total
trimester of pregnancy was associated with increased risks of GDM, fetal
macrosomia and cesarean section, the OR values were 2.05, 1.36 and 1.60,
(P<0.05). There was no statistical significance in other groups (P>0.05).
(3)Compared to the normal groups, the pregnancy obesity and excessive gestational
weight gain of the first, second, third and total trimesters of pregnancy were
all associated with an increased risk of GDM, the OR values were 7.36, 1.61,
1.81, 2.20 and 2.4 (P<0.05), respectively.The incidences of HDCP, cesarean
section and neonatal hypoglycemia in pregnant women with GDM were higher than
those in normal pregnant women (P<0.05). Conclusion: There is a significant
correlation among pregestational BMI, gestational weight gain (rate) during the
first, second, third and total trimesters of pregnancy with adverse pregnant
outcomes, and it suggested that we could reduce the incidence of adverse pregnant
outcomes by pre-pregnancy BMI and gestational weight control, and the focus
should be placed on pre-pregnancy BMI control.
PMID- 29804418
TI - [Diagnostic value of contrast-enhanced ultrasound in breast lesions of BI-RADS
4].
AB - Objective: To investigate the value of contrast-enhanced ultrasound (CEUS) in the
differential diagnosis of BI-RADS 4 breast masses. Methods: A total of 72
patients were collected from the First Hospital of Shanxi Medical University from
January 2016 to December 2017.79 breast masses were confirmed by biopsy or
surgical pathology.All the masses were classified by BI-RADS according to
conventional ultrasound and CEUS was performed in parallel.Based on the results
of the CEUS predictive model, the benign and malignant features of the breast BI
RADS type 4 tumors were re-determined: (1) conventional ultrasound BI-RADS
classification+ CEUS predictive model: both of them were malignant when
malignant; (2) re-adjusting BI-RADS classification by CEUS predictive model: if
the malignant CEUS predictive model, upgrade a class, if the benign CEUS
predictive model, downgrade a class.The diagnostic efficiency of the two methods
in breast masses of BI-RADS 4 was compared. Results: (1) There were 36 malignant
masses and 43 benign masses in 79 breast masses.Diagnostic sensitivity,
specificity, accuracy, positive predictive value and negative predictive value of
the conventional ultrasound BI-RADS classification, CEUS predictive model,
conventional ultrasound BI-RADS classification+ CEUS predictive model and the
adjusted BI-RADS classification were 86.1%, 65.1%, 74.7%, 67.4%, 84.8%; 88.9%,
76.7%, 82.3%, 76.2%, 89.2%; 80.6%, 86.0%, 83.5%, 82.9%, 84.1%; 97.2%, 76.7%,
86.1%, 77.8%, 97.1%, respectively.(2) The area under the receiver operating
characteristic (ROC) curve of conventional ultrasound BI-RADS classification,
CEUS predictive model, conventional ultrasound BI-RADS classification+ CEUS
predictive model and the adjusted BI-RADS classification was 0.756, 0.828, 0.833,
0.870, respectively.Before and after the adjustment of BI-RADS classification,
the difference was statistically significant (Z=2.322, P<0.05). Conclusion: The
diagnostic efficiency that CEUS predictive model adjusted classification of BI
RADS 4 breast masses is better, the method can reduce unnecessary biopsy.
PMID- 29804419
TI - [Logistic regression analysis of risk factors for primary graft dysfunction after
lung transplantation].
AB - Objective: To explore the risk factors of primary graft dysfunction (PGD) after
lung transplantation and provide a new therapeutic strategy for PGD. Methods: A
retrospective analysis of lung transplant patients from January 2014 to July 2017
in Henan Provincial People's Hospital.According to the PGD classification
standard established by the International Society for Heart and Lung
Transplantation, the association of potential risk factors with PGD was analyzed
by using multivariable Logistic regression. Results: Fourteen of 30 patients
(46.7%) developed grade 3 PGD.There was no significantly statistical difference
in gender, etiology, duration of anesthesia, amount of blood transfusion, amount
of blood transfusion and donor gender(all P>0.05). Body mass index (BMI), donor
pulmonary cold ischemia time, duration of operation, extracorporeal membrane lung
oxygenator (ECMO), systolic pulmonary arterial pressure and donor smoking history
were all higher than those of non-PGD patients (all P<0.05). Independent risk
factors for PGD were donor pulmonary cold ischemia time (OR 1.032, 95%CI 1.000
1.065, P=0.048); systolic pulmonary arterial pressure (OR 1.258, 95%CI 0.969
1.632, P=0.007); donor smoking (OR 8.879, 95%CI 1.096-71.913, P=0.041).
Conclusion: Donor pulmonary ischemic time, systolic pulmonary arterial pressure
and donor smoking history are PGD risk factors, which provide new ideas for PGD
treatment.
PMID- 29804420
TI - [Clinical analysis of young and middle-aged stroke patients with patent foramen
ovale].
AB - Objective: To investigate the pathogenesis of young and middle-aged stroke
patients with patent foramen ovale (PFO) by analyzing clinical characteristics.
Methods: Data of 298 consecutive young and middle-aged patients diagnosed as
stroke were retrospectively collected from Department of Neurology, Beijing
Anzhen Hospital from January 2010 to January 2017.Patients were divided into
cryptogenic stroke (CS) group and not-CS group.Risk factors, characteristics of
PFO and infarct of imaging were analyzed between two groups. Results: PFO was
confirmed in 88 patients among 298 young and middle-aged stroke patients.The
prevalence of PFO was 29.6%. Forty-six patients were assigned into CS group and
42 patients were assigned into not-CS group.The ratio of atrial fibrillation and
internal carotid artery stenosis in CS group was lower than that in not-CS group
(0 vs 12, 4 vs 22, P<0.05). The concentration of homocysteine was lower in CS
group than that in not-CS group [(10.4+/-1.1) mmol/L vs(14.6+/-2.4) mmol/L,
P<0.05]. The difference of migraine and scores of scale of Risk of Paradoxical
Embolism (RoPE) in CS group were significantly higher than those in not-CS group
[18 vs 4, (6.8+/-2.5)vs (5.5+/-1.4), P<0.05]. The prevalence of big PFO, constant
right-to-left shunt and atrial septal aneurysm (ASA) in CS group was higher than
that in not-CS group (26 vs 6, 36 vs 18, 5 vs 0, P<0.05). Post-circulation
location, single cortex infarction and multiple infarctions in multiple vascular
areas are indicative of PFO (25 vs 18, 15 vs 7, 20 vs 8, P<0.05). Conclusion:
Migraine, high scores of RoPE, big PFO, constant RLS and ASA are indicative of
important role of PFO in cryptogenic stroke in young and middle-aged patients.The
imaging characteristics of CS with PFO should be emphasized.
PMID- 29804421
TI - [Inhibitory effect of DAPT on Notch signaling pathway in curcumin mediated
photodynamic therapy for cervical cancer xenografts in nude mice].
AB - Objective: Curcumin was used as photosensitizers in photodynamic therapy on
cervical cancer xenografts in nude mice.Analysis the expression changes of Notch
and downstream gene as NF-kappaB and VEGF before and after DAPT inhibition of
Notch signaling pathway in vivo experiments.Our aim was to investigate the
possible mechanism of Notch signaling pathway in the treatment of cervical cancer
with PDT. Methods: A cervical cancer model of nude mice was established by
subcutaneous inoculation of human cervical cancer Me180 cells 200 MUl.After the
success of the model, the experimental animals were divided into 4 groups (model
group, curcumin PDT group, simple DAPT group, curcumin-PDT+ DAPT group), each
group was 12.Tumor volume changes were analyzed and HE staining was observed in
each group.MRNA and protein expression of Notch1 and its downstream NF-kappaB,
VEGF were detected by RT-PCR, immunohistochemistry and Western blot before and
after inhibition of Notch signaling pathway by DAPT. Results: Except the control
group, the tumor volume of the other three groups remained unchanged or slightly
reduced after 1-7 days of treatment.The difference was significant (P<0.05). HE
staining showed the most obvious necrosis of curcumin-PDT group with DAPT.Both
DAPT and curcumin-PDT could reduce the expression level of Notch1 in mRNA.The
inhibition rates were 42.17% and 40.54%, respectively.And the inhibitory effect
of curcumin-PDT with DAPT on Notch-1 was the strongest (79.22%) (P<0.01), and two
of them had synergistic effect after combination with curcumin-PDT.But the
expression of Notch-2 has no obvious inhibitory effect (P>0.05). Both DAPT and
curcumin-PDT can inhibit the protein expression of Notch1, NF-kappaB and VEGF,
and two of them have synergistic effect after combined use. Conclusions: DAPT can
effectively block the Notch signaling pathway and inhibit the proliferation of
cervical cancer cell line Me180.The application of DAPT to inhibit Notch
signaling pathway after photodynamic therapy can achieve synergistic effect,
which is mainly related to the down-regulation of the expression of Notch1 and NF
kappaB.Notch signaling pathway may be one of the targets of curcumin-PDT
photodynamic therapy.
PMID- 29804422
TI - [Wound repair and functional reconstruction of high-voltage electrical burns].
AB - In the repair of burn wound, high-voltage electrical burn wound is still the most
complicated and the most difficult one to deal with. According to the clinical
experience of author and the literature at home and abroad, this article
systematically discusses the early treatment of high-voltage electrical burn
wounds, including limbs escharotomy, fasciotomy, and early debridement, and the
repair of high-voltage electrical burn wounds in various parts, especially in
some special parts, focusing on the repair of the life-threatening parts and site
of large vascular injury. At the same time, this article discusses the
feasibility and necessity of functional reconstruction. We should make full use
of modern repair technology and innovation, interdisciplinary cooperation, so as
to reduce disability rate, amputation rate, and mortality of patients with high
voltage electrical burns as far as possible.
PMID- 29804423
TI - [New exploration on clinical treatment of injuries caused by uncommon agents or
in extraordinary regions].
AB - Burns caused by uncommon agents means those caused by other agents except heating
power, with special characteristics existing in traumatogenesis, pathophysiology,
and clinical manifestation. With the development of social economy, various new
techniques and new equipments are springing up. In the practical use, improper
operations would become traumatogenic agents and cause various special types of
trauma. In addition, some special injuries emerged with the changes in people's
lifestyle. For battle injury, some new war wounds, which are different from fire
arm injuries in the past, appeared with the emergence of acoustic wave, light
wave, electrical and magnetic weapons. Extraordinary regions are those located on
body surface with anatomic and physiological particularity. Injuries caused by
uncommon traumatogenic agent or in extraordinary region are different from those
ordinary burns and trauma, and their clinical treatments have special
characteristics. Clinical treatments were studied aiming at these special
characteristics, and some achievements in treatment of high-voltage electrical
burn, hydrofluoric acid burn, wounds on special regions, and new types of burns
and trauma have been made. However, a doctor's duty is not only to cure the
diseases and save the patients' lives, but also to prevent the diseases. The
suitable treatment and precautionary measures for the new types of burns and
trauma that differ from ordinary burns and trauma in the past remain to be
explored.
PMID- 29804424
TI - [Strategy for wound repair of skin and soft tissue defect and systematic
rehabilitation treatment for functional reconstruction of patients with severe
burn or trauma on knees].
AB - Objective: Strategy for wound repair of skin and soft tissue defect and
systematic rehabilitation treatment for functional reconstruction of patients
with severe burn or trauma on knees. Methods: From January 2015 to October 2016,
26 patients with skin and soft tissue defect on knees after severe burn or trauma
were hospitalized in our unit. Among these patients, 14 patients had patellar
ligament defect, and 16 patients had knee joint capsule defect. Wound debridement
was operated on 1 to 3 days after admission. After debridement, the area of skin
and soft tissue defect ranged from 10 cm*7 cm to 42 cm*18 cm. Vacuum sealing
drainage (VSD) treatment was performed after debridement, and flap
transplantation operation was performed after VSD treatment for 5 to 7 days.
Defects of nine patients were treated with local rotation flaps. Seven patients
with skin and soft tissue defects on knees and knee joint capsule defects of 5
cm*3 cm to 9 cm*7 cm were treated with free anterolateral femoral flaps combined
with fascia lata. Ten patients with skin and soft tissue defects on knees and
patellar ligament defects of 6 cm*3 cm to 12 cm*4 cm were treated with free
anterolateral femoral flaps combined with iliotibial tract. The area of flaps
ranged from 11 cm*9 cm to 22 cm*15 cm. After flap transplantation operation,
functional reconstruction of knee joint was carried out according to early,
continuous, and sequential systematic rehabilitation treatment strategy. The pain
degree and function of knee joint of patients were scored by the International
Knee Documentation Committee (IKDC) Knee Evaluation Form before operation and 12
months after operation. The knee joint flexion and extension degrees of patients
were measured by joint protractor in 2 weeks and 12 months after operation. The
color Doppler ultrasound was used to evaluate integrity of knee joint capsule and
continuity of patellar ligament of patients in 6 and 12 months after operation.
Results: All flaps of 26 patients survived well, and wounds healed completely
after the operation. Distal parts of flaps of 2 patients treated with free
anterolateral femoral flaps had local necrosis after the operation, and their
wounds healed after debridement and transplantation of autologous intermediate
split-thickness skin graft of thigh. The IKDC Knee Evaluation Form score of
patients was (79+/-8) points in 12 months after operation, which was
significantly higher than (64+/-7) points before operation (t=7.20, P<0.05). The
flexion degree of knee joint of patients was (117+/-10) degrees in 12 months
after operation, which was significantly larger than (35+/-8) degrees in 2 weeks
after operation (t=32.65, P<0.05). The extension degree of knee joint of patients
was (12+/-9) degrees in 12 months after operation, which was significantly
smaller than (61+/-9) degrees in 2 weeks after operation (t=19.63, P<0.05). In 6
and 12 months after operation, 9 patients treated with local rotation flaps had
good integrity of knee joint capsule and continuity of patellar ligament; 7
patients treated with free anterolateral femoral flaps and fascia lata had good
integrity of knee joint capsule; 10 patients treated with free anterolateral
femoral flaps and iliotibial tract had good continuity of patellar ligament.
During follow-up of 12 months, all flaps survived well; knees of all patients had
good appearance; knee joints functioned normally. Conclusions: Good appearance
and function of knees can be achieved by repairing wound of skin and soft tissue
defect on knees after severe burn or trauma with local rotation flaps or free
anterolateral femoral flaps with fascia lata or iliotibial tract plus systematic
rehabilitation treatment of knee joint in early stage after flap transplantation
operation.
PMID- 29804425
TI - [Analysis on clinical characteristics of 316 patients with hydrofluoric acid
burns].
AB - Objective: To investigate the clinical characteristics of patients with
hydrofluoric acid (HF) burns. Methods: Clinical data of 316 patients with HF
burns admitted to Zhejiang Quhua Hospital from January 2004 to December 2016 were
retrospectively analyzed. Patients were divided into non and mild poisoning group
(NMP, n=157), moderate poisoning group (MP, n=120), and severe and fatal
poisoning group (SFP, n=39) based on the severity of poisoning. Occurrences of
hypocalcemia, hypomagnesemia, hypokalemia, and hyperkalemia of patients within 24
hours after admission were recorded. Values of emergency urinary fluoride of
patients on admission were recorded. Values of urinary fluoride of patients
admitted to hospital in 4 hours post injury in groups MP and SFP at post injury
hour 4, 12, and 24 and on post injury day 2, 3, 4, 5, 6, and 7 were also
recorded. Electrocardiographic abnormalities of patients within 24 hours after
admission were recorded. Data were processed with chi-square test, Kruskal-Wallis
H test, and Mann-Whitney U test. Results: (1) Hypocalcemia, hypomagnesemia, and
hypokalemia occurred in some patients in each of the three groups, but no patient
had hyperkalemia. Taking serum calcium namely total serum calcium as reference,
the incidence rate of hypocalcemia of patients in group NMP was close to that in
group MP (chi(2)=0.05, P>0.05). The incidence rate of hypocalcemia of patients in
group SFP was significantly higher than that in group NMP or group MP
(chi(2)=10.53, 7.92, P<0.01). The incidence rates of hypokalemia in the three
groups were close (chi(2)=0.63, P>0.05). Taking serum ionized calcium as
reference, the incidence ratio of hypocalcemia of patients in group NMP was close
to that in group MP (chi(2)=0.01, P>0.05), while there were statistically
significant differences in incidence ratio of hypocalcemia of patients between
group SFP and each of group NMP and group MP (chi(2)=4.66, 4.47, P<0.05). Taking
serum calcium as reference, the incidence rate of hypocalcemia of patients was
7.3% (23/316). Taking serum ionized calcium as reference, the incidence rate of
hypocalcemia of patients was 60.0% (42/70), which was significantly higher than
that of taking serum calcium as reference (chi(2)=113.74, P<0.01). The incidence
rates of hypomagnesemia of patients in groups MP and NMP were close (chi(2)=0.02,
P>0.05). The incidence rate of hypomagnesemia of patients in group SFP was
significantly higher than that in group NMP or group MP (chi(2)=14.69, 9.94,
P<0.01). (2) The urinary fluoride levels were tested in 288 patients, with the
value of emergency urinary fluoride of patients on admission 0.2-590.0 mg/L. The
values of urinary fluoride of 202 patients were above the normal value. The
values of emergency urinary fluoride of patients in groups NMP, MP, and SFP were
2.15 (1.11, 4.30), 5.89 (1.72, 14.25), and 36.0 (13.2, 103.2) mg/L, respectively.
The values of emergency urinary fluoride of patients in groups MP and SFP were
significantly higher than the value in group NMP (chi(2)=23.28, 66.03, P<0.01).
The value of emergency urinary fluoride of patients in group SFP was
significantly higher than that in group MP (chi(2)=39.23, P<0.01). The value of
urinary fluoride of 33 patients admitted to hospital within 4 hours post injury
in groups MP and SFP reached the top at 4 hours post injury and then gradually
declined, which returned to normal on about 5 days post injury. The values of
urinary fluoride of patients in group SFP at 4, 12, and 24 hours post injury and
on 2, 3, 4, 5, 6, and 7 days post injury were significantly higher than those in
group MP (Z=-4.28, -4.15, -3.81, -4.21, -2.48, -2.06, -2.31, -2.68, -3.03, P<0.05
or P<0.01). (3) Twenty-seven patients had electrocardiographic abnormality. There
were 12 patients with T wave changes (the most common), 8 patients with ST-T
changes, 6 patients with ventricular arrhythmias, 6 patients with conduction
block, and 1 patient with broadened QRS waveform. There was no patient with
prolonged Q-T interval. The ratios of patients with the above
electrocardiographic abnormalities in group SFP were higher than those in group
NMP and group MP. Conclusions: Clinical manifestations of patients with HF burn
are hypocalcemia, hypomagnesemia, hypokalemia, and electrocardiographic
abnormality. In addition to routine serum electrolyte and electrocardiogram
monitoring, the levels of serum ionized calcium and urinary fluoride can be
helpful to evaluate the severity of illness of the patients.
PMID- 29804426
TI - [Analysis on effects of modified dosage of calcium gluconate on patients with
hydrofluoric acid burns not in hands or feet].
AB - Objective: To retrospectively explore the effects of modified dosage of calcium
gluconate (CG) on the patients with hydrofluoric acid burns not in hands or feet.
Methods: One hundred and sixty patients with hydrofluoric acid burns not in hands
or feet were hospitalized in our burn ward from January 2004 to December 2017.
Based on the dosage of CG at different admission time, 76 patients hospitalized
from January 2004 to December 2012 were included in traditional group, and 84
patients hospitalized from January 2013 to December 2017 were included in
modified group. For patients in the two groups, subcutaneous injection of CG
solution at one time was immediately conducted on admission in topical treatment.
In traditional group, the injection was CG solution with mass concentration of
100 g/L. For wounds of superficial partial-thickness and above degree, CG
solution was prescribed at the dosage of 50 mg/cm(2). Wounds of superficial
thickness or mass fraction of hydrofluoric acid less than 20.0% did not receive
injection. In modified group, the mass concentration of CG solution for injection
was diluted with normal saline to 25 g/L. For wounds of deep partial-thickness
and above degree, CG solution was prescribed at the dosage of (50*mass fraction
of hydrofluoric acid) mg/cm(2). For wounds of superficial partial-thickness, CG
solution was prescribed at the dosage of (25*mass fraction of hydrofluoric acid)
mg/cm(2). For wounds of superficial-thickness, CG solution was prescribed at the
dosage of 2.5 mg/cm(2). For systemic treatment, the injection velocity of CG
solution via venous access was adjusted according to the level of serum calcium
namely total serum calcium of patients in traditional group. In modified group,
serum ionized calcium was additionally detected through automatic blood gas
analyzer by the bed to regulate the injection velocity of CG via venous access.
The incidence rate of hypercalcemia and mortality of patients after treatment in
the two groups, and the situation about treatment of survivors in the two groups
were analyzed. Data were processed with chi-square test, Fisher's exact
probability test, t test, and Mann-Whitney U test. Results: (1) After treatment,
9 patients (11.8%) had hypercalcemia, while the other 67 patients (88.2%) did not
have hypercalcemia in traditional group. Two patients (2.4%) had hypercalcemia,
while the other 82 patients (97.6%) did not have hypercalcemia in modified group.
The incidence rate of hypercalcemia of patients in traditional group was
significantly higher than that in modified group (chi(2)=5.579, P=0.02). (2)
There were two deaths (2.6%) and 74 survivors (97.4%) in traditional group, while
there were two deaths (2.4%) and 82 survivors (97.6%) in modified group. The
mortalities of patients in the two groups were close (P>0.05). (3) The ratios of
eschar excision and skin grafting and hyperplastic scar formation, wound healing
time, and ratio of esophageal scar stenosis of survivors in the two groups were
close (chi(2)=0.002, 0.054, Z=0.66, P>0.05). Conclusions: Hydrofluoric acid is
highly dangerous. The early management of patients with hydrofluoric acid burns
emphasizing specialized dosage of CG for treatment can be helpful to reduce
incidence of complications and improve the safety of treatment.
PMID- 29804427
TI - [Effects of anteriolateral thigh perforator flap and fascia lata transplantation
in combination with computed tomography angiography on repair of electrical burn
wounds of head with skull exposure and necrosis].
AB - Objective: To explore the effects of anteriolateral thigh perforator flap and
fascia lata transplantation in combination with computed tomography angiography
(CTA) on repair of electrical burn wounds of head with skull exposure and
necrosis. Methods: Seven patients with head electrical burns accompanied by skull
exposure and necrosis were admitted to our burn center from March 2016 to
December 2017. Head CTA was performed before the operation. The diameters of the
facial artery and vein or the superficial temporal artery and vein were measured,
and their locations were marked on the body surface. Preoperative CTA for flap
donor sites in lower extremities were also performed to track the descending
branch of the lateral circumflex femoral artery with the similar diameter as the
recipient vessels on the head, and their locations were marked on the body
surface. Routine wound debridement and skull drilling were performed
successively. The size of the wounds after debridement ranged from 12 cm*8 cm to
20 cm*12 cm, and the areas of skull exposure ranged from 8 cm*6 cm to 15 cm*10
cm. Anteriolateral thigh perforator flaps with areas from 13 cm*9 cm to 21 cm*13
cm containing 5-10 cm long vascular pedicles were designed and dissected
accordingly. The fascia lata under the flap with area from 5 cm*2 cm to 10 cm*3
cm was dissected according to the length of vascular pedicle. The fascia lata was
transplanted to cover the exposed skull, and the anteriolateral thigh perforator
flap was transplanted afterwards. The descending branch of the lateral circumflex
femoral artery and its accompanying vein of the flap were anastomosed with
superficial temporal artery and vein or facial artery and vein before the suture
of flap. The flap donor sites were covered by intermediate split-thickness skin
graft collected from contralateral thigh or abdomen. Results: The descending
branch of the lateral circumflex femoral artery and its accompanying vein were
anastomosed with superficial temporal artery and vein in six patients, while
those with facial artery and vein in one patient. All the flaps survived after
the operation, and no vascular crisis was observed. Wound healing was
satisfactory. One patient was lost to follow up. Six patients were followed up
for 6 to 10 months. The patients were bald in the head operation area with
acceptable appearance. No psychiatric symptom such as headache or epileptic
seizure was reported. The flap donor sites were normal in appearance. The muscle
strength of the lower extremities all reached grade V. The sensation and movement
of the lower extremities were normal. Conclusions: Anterolateral thigh perforator
flap with fascia lata transplantation can effectively repair electrical burn
wounds of head with skull exposure and necrosis. The fascia lata can be used to
protect the vascular pedicle of flaps, which is beneficial to the survival of the
flap. Preoperative head and lower extremities CTA can provide reference for
intraoperative vascular exploration in donor site and recipient area, so as to
shorten operation time.
PMID- 29804428
TI - [Establishment and application of three-dimensional model of deep inferior
epigastric artery perforator flap based on computed tomography angiography].
AB - Objective: To explore the establishment and application of three-dimensional
model of deep inferior epigastric artery perforator flap based on computed
tomography angiography (CTA). Methods: Six patients with breast absence after
modified radical mastectomy because of breast cancer, 5 patients with congenital
absence of vagina, and 6 patients with Paget's disease of penis and scrotum were
hospitalized in our unit from January 2012 to April 2017. The size of wounds
after excision of the lesion or that of flaps needed for reconstruction ranged
from 17 cm*5 cm to 25 cm*9 cm. Abdominal CTA was performed before the surgery,
and data of CTA were sent to CT workstation to make three-dimensional model of
deep inferior epigastric artery perforator flap according to shape and size of
wound. The number, course, and location of deep inferior epigastric artery, vein,
and their perforators, and the superficial inferior epigastric vein were observed
in the above-mentioned three-dimensional model. The rectangular plane coordinate
system with the umbilicus as the origin was established to locate and observe
course and type of the largest deep inferior epigastric artery perforator in left
and right side. Deep inferior epigastric artery perforator flaps were designed
and deep inferior epigastric artery perforators etc. were marked according to
three-dimensional models of the flaps before the surgery. The condition observed
in three-dimensional model of the flap was compared with the clinical condition
in the surgery of free transverse bilateral deep inferior epigastric artery
perforator flap transplantation for breast reconstruction and longitudinal
pedicled thinned unilateral deep inferior epigastric artery perforator flap
transplantation for vagina reconstruction and wound repair of Paget's disease of
penis or scrotum. The size of flap ranged from 17 cm*6 cm to 25 cm*10 cm.
Results: Seventeen three-dimensional models of deep inferior epigastric artery
perforator flaps were established, including 6 bilateral models and 11 unilateral
models. Seventy-two reliable deep inferior epigastric artery perforators were
observed in the three-dimensional model with 3.2+/-0.7 in the right and 3.1+/-0.8
in the left. The locations of the largest deep inferior epigastric artery
perforators in the right and left were [(-3.2+/-1.4) cm, (-1.0+/-0.7) cm] and
[(4.0+/-1.2) cm, (-1.2+/-1.1) cm] respectively. Fourteen largest deep inferior
epigastric artery perforators coursed directly and nine coursed tortuously in the
rectus muscle. Twenty-three superficial inferior epigastric veins were detected
in the three-dimensional models of the flaps. The number, location, and course of
deep inferior epigastric artery and vein and superficial inferior epigastric vein
observed in the three-dimensional model of deep inferior epigastric artery
perforator flap were in accordance with the condition observed in the surgery.
Seventy reliable deep inferior epigastric artery perforators were detected in the
surgery, and the other 2 perforators were unclear due to bleeding. Course of
these perforators were in accordance with the condition observed in the three
dimensional model. Deep inferior epigastric artery perforator flaps of all
patients survived well with no complication except that 1 patient suffered from
delayed healing of wound in perineum. During follow-up of 1 to 12 months, all
flaps survived with good shape and texture. Conclusions: The three-dimensional
model of deep inferior epigastric artery perforator flap based on CTA can be
established easily and can provide information of number, location, and course of
deep inferior epigastric artery, vein, and their perforators, and superficial
inferior epigastric vein to guide preoperative design and intraoperative
dissection of the flap effectively.
PMID- 29804429
TI - [Effects of decline of pH value on cardiomyocyte viability of rats and the
mechanism].
AB - Objective: To explore the effects of decline of pH value on cardiomyocyte
viability of rats, and to analyze the possible mechanism. Methods: Hearts of five
newborn Sprague-Dawley rats were isolated, and then primary cardiomyocytes were
cultured and used in the following experiments. (1) The primary cardiomyocytes
were divided into pH 7.4+ 6 h, pH 7.0+ 6 h, pH 6.5+ 6 h, pH 6.0+ 6 h, pH 6.5+ 1
h, and pH 6.5+ 3 h groups according to the random number table, with 4 wells in
each group. After being routinely cultured for 48 h (similarly hereinafter),
cells in pH 7.4+ 6 h, pH 7.0+ 6 h, pH 6.5+ 6 h, and pH 6.0+ 6 h groups were
cultured with pH 7.4, pH 7.0, pH 6.5, and pH 6.0 DMEM-F12 medium (similarly
hereinafter), respectively, and then they were cultured for 6 h. Cells in pH 6.5+
1 h and pH 6.5+ 3 h groups were cultured with pH 6.5 medium, and then they were
cultured for 1 h and 3 h, respectively. Viability of cells was detected by methyl
thiazolyl-tetrazolium (MTT) method. (2) The primary cardiomyocytes were divided
into pH 7.4, pH 6.5, and pH 6.5+ taxol groups according to the random number
table, with 2 wells in each group. Cells in pH 7.4 group were cultured with pH
7.4 medium, while cells in pH 6.5 and pH 6.5+ taxol groups were cultured with pH
6.5 medium. Cells in pH 6.5+ taxol group were added with taxol of a final
molarity of 0.2 MUmol/L in addition, and then they were cultured for 6 h.
Morphology and density of microtubule of cells was detected by immunofluorescence
assay. (3) The primary cardiomyocytes were grouped and treated as in experiment
(2), with 2 wells in each group. The expressions of polymerized microtubulin and
free microtubulin were determined with Western blotting. (4) The primary
cardiomyocytes were grouped and treated as in experiment (2), with 4 wells in
each group. Viability of cells after treated with taxol was detected by MTT
method. Data were processed with one-way analysis of variance and LSD-t test.
Results: (1) The viability of cells in pH 7.4+ 6 h, pH 7.0+ 6 h, pH 6.5+ 6 h, pH
6.0+ 6 h, pH 6.5+ 1 h, and pH 6.5+ 3 h groups were 1.00+/-0.08, 0.90+/-0.08,
0.85+/-0.06, 0.83+/-0.04, 0.91+/-0.10, and 0.89+/-0.10, respectively. Compared
with that in pH 7.4+ 6 h group, viability of cells in pH 7.0+ 6 h, pH 6.5+ 6 h,
pH 6.0+ 6 h, pH 6.5+ 1 h, and pH 6.5+ 3 h groups were all decreased in different
degrees (t=2.476, 4.002, 4.996, 2.168, 2.400, P<0.05). (2) Microtubules of cells
in pH 7.4 group were radially distributed around the nucleus with clear tubular
structure. Compared with that in pH 7.4 group, the skeleton of microtubules of
cells in pH 6.5 group was obviously damaged, with broken structure of microtubule
and reduced density. Compared with that in pH 6.5 group, the damage degree of
microtubules of cells in pH 6.5+ taxol group was obviously alleviated, and the
structure of microtubules basically returned to normal. (3) Compared with that in
pH 7.4 group, the expression of free microtubulin of cells in pH 6.5 group was
significantly increased (t=3.030, P<0.05), while the expression of polymerized
microtubulin of cells was significantly decreased (t=8.604, P<0.05). Compared
with that in pH 6.5 group, the expression of free microtubulin of cells in pH
6.5+ taxol group was significantly decreased (t=4.559, P<0.05), while the
expression of polymerized microtubulin of cells was significantly increased
(t=5.472, P<0.05). (4) Viability of cells in pH 7.4, pH 6.5, and pH 6.5+ taxol
groups were 1.00+/-0.10, 0.83+/-0.04, and 0.93+/-0.10, respectively. Compared
with that in pH 7.4 group, the viability of cells in pH 6.5 group was obviously
declined (t=4.412, P<0.05). Compared with that in pH 6.5 group, the viability of
cells in pH 6.5+ taxol group was obviously increased (t=2.461, P<0.05).
Conclusions: The decline of pH value reduces the viability of cardiomyocytes of
rats through destroying the skeleton of microtubule. Stabilizing microtubule
skeleton can significantly reduce acidic treatment-induced damage and ameliorate
cardiomyocyte viability.
PMID- 29804430
TI - [Advances in the research of antibacterial composite dressings based on bacterial
cellulose].
AB - Bacterial cellulose (BC) is a promising material for wound dressing due to its
predominant water binding capacity, mechanical property, biodegradability, and
histocompatibility. Whereas BC itself exhibits no antimicrobial activity. To gain
antimicrobial activity, several kinds of antibacterial agents have been
introduced into BC. However, all of the antibacterial composite dressings are
still in the stage of experimental research. In this paper, the types,
antibacterial mechanism, and shortcomings of antibacterial composite dressings
based on BC are summarized, in order to make prospects of the research trends of
antibacterial composite dressings based on BC in future.
PMID- 29804431
TI - [Hemodynamic features and advances in research of goal-directed analgesia for
patients with burn shock].
AB - The hemodynamic state of patients with burn shock is extremely unstable. Goal
directed analgesia based on hemodynamic characteristics of different treatment
phases is a key point in the treatment of burn shock. This can play active roles
in reducing the stress response, decreasing the oxygen consumption, and
protecting the organ function. This article reviews the hemodynamic
characteristics of burn shock and its need for analgesia in different treatment
phases, hoping to provide reference for appropriate analgesia.
PMID- 29804432
TI - [A new era of anti-inflammatory therapy for atherosclerosis].
PMID- 29804433
TI - [Periprocedural high-dose statin strategy shown no benefits on clinical outcomes
in patients with coronary artery disease undergoing percutaneous coronary
interventions].
PMID- 29804434
TI - [The anti-inflammatory therapy of coronary atherosclerosis:rainbow after the
storm].
PMID- 29804435
TI - [Chinese expert consensus on clinical application of intravascular ultrasound in
coronary artery disease(2018)].
PMID- 29804436
TI - [Impact of direct bilirubin on the long-term outcome of patients with acute
coronary syndrome post percutaneous coronary intervention].
AB - Objective: To investigate the impact of direct bilirubin on long-term prognosis
of acute coronary syndrome (ACS) patients post percutaneous coronary
intervention(PCI). Methods: As a prospective and observational cohort study, a
total of 6 431 consecutive ACS patients underwent PCI from January to December
2013 in Fuwai hospital were included. Patients were divided into 3 groups
according to tertiles values of direct bilirubin as follows: low direct bilirubin
group(<2.2 MUmol/L, n=2 219), moderate direct bilirubin group(2.2-3.0 MUmol/L,
n=2 016), and high direct bilirubin group(>3 MUmol/L, n=2 196). The clinical
characteristics were compared among the 3 groups, and the impact of direct
bilirubin on clinical adverse events (main adverse cardiovascular and
cerebrovascular events included cardiogenic death, myocardial infarction,
revascularization, stroke, and stent thrombosis) were analyzed at 2 years after
PCI. Results: (1) Percent of male patients was 66.5%(1 475/2 219), 78.0%(1 572/2
016), and 86.2%(1 892/2 196), body mass index was(25.7+/-3.1), (26.0+/-3.3),and
(26.0+/-3.2) kg/m(2), the ratio of the history of old myocardial infarction was
11.9%(264/2 219), 13.0%(263/2 016),and 14.9%(328/2 196), the ratio of the current
smoker was 56.3%(1 249/2 219), 59.1%(1 192/2 016),and 60.0%(1 317/2 196) in low,
moderate and high direct bilirubin groups respectively, and the differences were
statistically significant (P<0.01 or 0.05). (2) Two years after PCI, the all
cause mortality was 0.8%(17/2 219), 1.8%(36/2 016), and 1.5%(33/2 196)
(P=0.011),the cardiogenic mortality was 0.5%(12/2 219), 1.3%(26/2 016), and
0.6%(13/2 196) (P=0.010),the ratio of myocardial infarction was 2.2%(49/2 219),
2.4%(49/2 016), and 1.4%(31/2 196)(P=0.044),the ratio of revascularization was
8.8%(195/2 219), 8.3%(168/2 016),and 8.9%(196/2 196)(P=0.783),the ratio of stroke
was 1.4%(30/2 219),1.1%(22/2 016), and 1.9%(42/2 196)(P=0.076),the ratio of stent
thrombosis was 0.9%(19/2 219), 1.2%(24/2 016),and 0.7%(15/2 196)(P=0.210) in low,
moderate and high direct bilirubin groups, respectively. (3) Multivariable Cox
regression analysis showed that, patients in moderate direct bilirubin group
faced increased the risk of all-cause mortality compared with patients in the low
direct bilirubin group (HR=2.23, 95%CI 1.23-4.05, P= 0.009), and the risk of all
cause mortality was similar between high direct bilirubin group and low direct
bilirubin group (HR=1.84, 95%CI 0.99-3.38, P= 0.051). There were no statistically
significant difference in the risks of main adverse cardiovascular and
cerebrovascular events,cardiogenic death, myocardial infarction,
revascularization, stroke, and stent thrombosis in moderate and high direct
bilirubin groups compared with low direct bilirubin group (all P>0.05).
Conclusion: Moderate direct bilirubin level is associated with increased risk of
all-cause death at 2 years after PCI compared with low level of direct bilirubin
group.
PMID- 29804437
TI - [Relationship between coronary tortuosity and coronary microvascular disease].
AB - Objective: To explore the relationship between coronary tortuosity and coronary
microvascular disease (CMVD). Methods: Patients with typical angina symptoms and
without serious coronary artery stenosis by coronary angiography were enrolled
from June 2014 to December 2016, and CMVD was diagnosed by single photon emission
tomography (SPECT). According to the SPECT results, patients were divided to the
CMVD group and non-CMVD group. The baseline clinical characteristics, results of
coronary angiography were compared between the two groups. The logistic analysis
was used to analyze the relationship between coronary tortuosity and CMVD.
Result: A total of 117 cases were enrolled, with 69 cases in the CMVD group and
48 cases in the non-CMVD group. No differences were found in gender distribution,
age, hypertension, lipid abnormality, hyperuricemia and uses of statins between
the two groups (all P>0.05). Incidence of diabetes (78.26%(54/69) vs. 35.42%
(17/48) , P<0.05), hs-CRP ((4.29+/-2.15)mmol/L vs. (2.63+/-1.20)mmol/L, P<0.001),
LDL-C ((2.98+/-0.96)mmol/L vs. (2.52+/-0.83)mmol/L, P=0.008) and homocysteine
((13.7+/-5.61)mmol/L vs. (11.5+/-4.38)mmol/L, P=0.025) levels were higher in the
CMVD group than in the non-CMVD group. The data derived from echocardiographic
examination were similar between the two groups. The Corrected TIMI frame counts
were higher in the CMVD group than in non-CMVD group (LAD: 31.56+/-4.92 vs.
27.31+/-3.75, LCX: 29.47+/-4.18 vs. 26.62+/-3.19, RCA: 29.09+/-5.05 vs. 26.24+/
3.28, all P<0.001). The incidences of coronary atherosclerosis (76.81% (53/69)
vs. 27.08% (13/48) , P<0.001) and coronary tortuosity ( (60.87% (42/69) vs.
33.33% (16/48) , P=0.035) were also higher in the CMVD group than in non-CMVD
group. Logistic analysis found that coronary tortuosity (OR=6.111, 95%CI 2.707
13.794, P<0.001), diabetes (OR=6.565, 95%CI 2.883-14.948, P<0.001) and coronary
atherosclerosis (OR=8.918, 95%CI 3.822-20.808, P<0.001) were independent risk
factors of CMVD. Conclusion: Coronary tortuosity, diabetes and coronary
atherosclerosis are related to CMVD in this patient cohort.
PMID- 29804438
TI - [Association of epicardial adipose tissue with cardiovascular risk factors and
coronary artery calcification in the community residents].
AB - Objective: This cross-sectional study aimed to address the relationship between
the volume of epicardial adipose tissue (EAT) with cardiovascular risk factors
and coronary artery calcification(CAC) in the community residents. Methods:
Individuals were recruited from the Jidong Community (Tangshan City, Northern
China) which mainly comprised employees of the Jidong Co. Ltd. and their family
members. From July 2013 to August 2014, 2 647 participants aged >=40 years were
included in this study. The volume of EAT and coronary artery calcification score
(CAC score) were determined by a 64-slice CT. Carotid intima-media thickness
(CIMT) was measured by a trained sonographer using a high-resolution B-mode
topographic ultrasound system. Venous blood samples were analyzed by automated
analyzers in the central laboratory. A validated questionnaire specifically
designed for this study was used to collect demographic data from all
participants by trained doctors. Characteristics of study cohort were compared
according to quartiles of EAT volume (n=660, 663, 662, 662, repectively).
Results: (1) The mean age of participants was (55.31+/-7.76) years and 49.94%
(n=1 322) were men. The median EAT volume (interquartile) was 129.42 (95.66,
176.51)cm(3). (2) Age, BMI, waist circumference and hip circumference, systolic
blood pressure, LDL-C, triglycerides, and fasting blood glucose were
significantly higher, while HDL-C level was significantly lower in participants
with higher EAT volume than participants with lower EAT volume (all P<0.05).
Carotid intima-media thicken (CIMT) and higher CAC score were also significantly
higher in participants with higher volume of EAT. Furthermore, percentage of
diabetes mellitus, hypertension, hyperlipidemia increased in proportion with
increasing EAT volume (P<0.05). (3) In the linear regression, significant
positive relations were found for age (beta=0.019 3, 95%CI 0.017-0.021, P<0.001),
waist circumference (beta=0.012 7, 95%CI 0.009-0.016, P<0.001), BMI (beta=0.022
4, 95%CI 0.013-0.032, P<0.001), LDL-C (beta=0.048 4, 95%CI 0.021-0.076, P<0.001),
and HDL-C (beta=-0.098 1, 95%CI-0.164--0.032, P<0.001) was inversely related to
the EAT volume. (4) Logistic regression analysis indicated that EAT volume was an
independent risk factor for CAC score>0 (OR=1.233, 95%CI 1.205-1.262, P<0.001) .
Conclusions: Our findings indicate that EAT volume is strongly correlated to
cardiovascular risk factors and coronary calcification and is an independent risk
factor of increased coronary calcification in community residents.
PMID- 29804439
TI - [CD137-CD137L signaling influences the autophagy via JNK pathway in mouse
vascular smooth muscle cells].
AB - Objective: To investigate whether CD137-CD137L signaling can affect the autophagy
of mouse vascular smooth muscle cells(VSMCs) through JNK signal pathway. Methods:
Primary culture of C57BL/6J mouse thoracic aorta VSMCs was performed by tissue
block adherence method. VSMCs between the third to fifth passages were isolated
and cultured. VSMCs were divided into 4 groups: control group, CD137 agonist
group, JNK inhibition group, and DMSO group. VSMCs in CD137 agonist group were
treated with recombinant protein of CD137L (10 MUg/ml), VSMCs in JNK inhibition
group were treated with JNK inhibitor SP600125 (10 MUmol/L) for 30 minutes
followed by recombinant protein of CD137L (10 MUg/ml) and DMSO group was treated
with the same amount of DMSO in JNK inhibition group for 30 minutes, then added
recombinant protein of CD137L (10 MUg/ml). Western blot was used to detect the
protein expression of p-JNK, LCII and p62 in each group. Fluorescence microscopy
was used to track the changes of autophagy in cells which was infected with
adenovirus expressing tandem mRFP-GFP-LC3. Transmission electron microscope (TEM)
was used to observe intracellular autophagosomes and autolysosomes. Results: (1)
Compared with the control group, stimulating CD137-CD137L axis by recombinant
protein of CD137L significantly upregulated the expression of p-JNK, LCII and p62
(1.15+/-0.19 vs. 0.72+/-0.21, P<0.05;1.03+/-0.13 vs. 0.59+/-0.15, P<0.05, and
1.10+/-0.19 vs. 0.76+/-0.15, P<0.05). These effects could be reduced by JNK
inhibitor (0.61+/-0.21 vs. 1.15+/-0.19, P<0.05;0.74+/-0.11 vs. 1.03+/-0.13,
P<0.05, and 0.21+/-0.12 vs. 1.10+/-0.19, P<0.05). The expression of these
proteins in DMSO group remained unchanged compared with CD137 agonist group
(P>0.05). (2) Changes of autophagy in cells of various group: the number of total
fluorescent spots and yellow fluorescent spots in CD137 agonist group was
significantly increased compared to control group (total fluorescent
spots:(93.00+/-14.11)/cell vs. (52.33+/-9.61)/cell, P<0.05, and (64.33+/
6.81)/cell vs. (25.67+/-3.51)/cell, P<0.05), moreover, the number of yellow
fluorescent spots was higher than the red fluorescent spots fluorescent spots in
CD137 agonist group. Compared with CD137 agonist group, pretreatment with JNK
inhibitor significantly reduced the number of total fluorescent spots and yellow
fluorescent spots ((53.00+/-3.17)/cell vs. (93.00+/-14.11)/cell, P<0.05,and
(15.33+/-4.51)/cell vs. (64.33+/-6.81)/cell, P<0.05). The red fluorescent spots
were higher than the yellow fluorescent spots in JNK inhibition group. The number
of total fluorescent spots and yellow fluorescent spots in CD137 agonist group
was not affected by pretreatment with DMSO (P>0.05). (3) The number of
intracellular autophagosomes and autolysosomes was significantly higher in CD137
agonist group than in control group((17.67+/-6.03)/cell vs. (5.67+/-2.52)/cell,
P<0.05), and the number of autophagosomes was higher than that of autolysosomes
in CD137 agonist group((14.00+/-4.00)/cell vs. (3.67+/-2.08)/cell, P<0.05). The
number of intracellular autophagosomes and autolysosomes was significantly lower
in JNK inhibition group compared to CD137 agonist group((5.67+/-4.04)/cell vs.
(17.67+/-6.03)/cell, P<0.05) and the number of autophagosomes was lower than that
of autolysosomes in JNK inhibition group((1.33+/-1.53)/cell vs. (4.33+/
2.52)/cell, P<0.05). The number of intracellular autophagosomes and autolysosomes
was similar between DMSO group and CD137 agonist group (P>0.05). Conclusion:
CD137-CD137L signal may influence autophagy of mouse VSMCs via JNK pathway.
PMID- 29804440
TI - [Downregulated PI3K-Akt-eNOS expression is related to increased atrial
fibrillation inducibility in diabetic rats].
AB - Objective: To explore the impact of PI3K-Akt-eNOS signaling on atrial
fibrillation inducibility in diabetic rats. Method: Eight-week-old male diabetic
rats were randomized assigned into GK group, IGF group and L-NAME group (n=8
each) which respectively received normal saline (NS), insulin like growth factor
(IGF-1) or L-NAME+IGF-1 through tail vein daily for 4 weeks. Eight 8-week-old
male homologous Wister-Kyoto(WKY) rats treated with intravenous NS served as
control group (WKY group). Blood glucose was measured once per week. The left
atrial diameter (LAD) was measured by echocardiography, the atrial electrical
parameters, including the P-wave duration, the atrial effective refractory period
(AERP) and its dispersion (AERP-d), the incidence and the duration of atrial
fibrillation induced by atrial burst pacing, were evaluated by electro
physiological instrument at 4 weeks post various treatments. Rats were then
sacrificed, left atrial (LA) cell morphology was determined on HE stained
sections, LA interstitial collagen was determined on Masson stained sections. The
protein expression of phosphatidylinositol 3-kinase (PI3K) and phosphate
endothelial nitric oxide synthase (p-eNOS) were detected by Western blot.
Results: (1) At the beginning of the study, the random blood glucose (GLU) level
was significantly higher and LAD was large in GK, IGF and L-NAME groups than in
WKY group;after 4 weeks, GLU level and LAD dimension of IGF group were lower than
GK and L-NAME groups (P<0.01 or 0.05). (2) One rat in L-NAME group died during
operation. Four weeks later, the incidence of atrial fibrillation in GK group,
IGF group, L-NAME group and WKY group was 7/8, 2/8, 6/7 and 3/8. The median
duration of atrial fibrillation in GK group, IGF group, L-NAME group and WKY
group was 11.9(9.3, 13.1), 0(0, 1.8), 11.5(4.4, 12.0), and 0(0, 3.0) s. Compare
with WKY group, the P-wave duration and PR interval were significantly longer,
AERP-d, incidence, and duration of atrial fibrillation were significantly higher
in GK group (P<0.01), these changed were significantly reversed in IGF group
compared to GK and L-NAME groups (all P<0.01). Heart rate and AERP were similar
among the 4 groups on (P>0.05). (3) Four weeks later, the CSA and CVF of LA were
significantly larger in GK group than in WKY group (P<0.01), which were
significantly reversed in IGF group (P<0.01 vs. GK group), and the beneficial
effects of IGF disappeared by co-treatment with L-NAME (P<0.01 vs. IGF group).
(4) Four weeks later, compare with WKY group, the protein expression of PI3K
(P<0.01) and p-eNOS (P<0.05) of LA were significantly downregulated in GK group,
which could be significantly upregulated by IGF (P<0.01 and 0.05 vs. GK group),
these effects diminished by co-treatment with L-NAME (P<0.01 or 0.05 vs. IGF
group). Conclusion: Increased atrial fibrillation susceptibility in diabetic rat
is linked with structural and electrical remodeling in LA, possibly mediated
through downregulated PI3K-Akt-eNOS signaling.
PMID- 29804442
TI - [Effects of zinc ions on biological functions of human umbilical vein endothelial
cells].
AB - Objective: To evaluate the effect of zinc ions on human umbilical vein
endothelial cells biological functions. Methods: The primary human umbilical vein
endothelial cells were cultured with the ECM medium, and cells were divided into
8 groups: the control group(routine culture,n=3), 20 MUmol/L zinc group(20
MUmol/L zinc chloride solution was added into the cell medium, n=3), 40 MUmol/L
zinc group(40 MUmol/L zinc chloride solution was added into the cell medium,
n=3),80 MUmol/L zinc group(80 MUmol/L zinc chloride solution was added into the
cell medium, n=3), 100 MUmol/L zinc group(100 MUmol/L zinc chloride solution was
added into the cell medium, n=3), 200 MUmol/L zinc group(200 MUmol/L zinc
chloride solution was added into the cell medium, n=3),300 MUmol/L zinc group(300
MUmol/L zinc chloride solution was added into the cell medium, n=3), 500 MUmol/L
zinc group(500 MUmol/L zinc chloride solution was added into the cell medium,
n=3). The cell proliferation curve was derived from real time cell analysis
(RTCA). The viability value was obtained via CCK-8 reagent, and the migration
distance was tested by scratch-wound assay while the adhesion function was
detected by RTCA. Results: (1)After 18 hours, RTCA showed that the proliferation
cell indexes were 4.5+/-0.6, 3.7+/-0.4, 3.6+/-0.3, 2.5+/-0.4, and 2.5+/-0.4 in
the 20, 40, 80, 100, and 200 MUmol/L zinc groups, as compared with 3.5+/-0.3 in
the control group (all P<0.05). Proliferation cell indexes were 0 in both of the
300 MUmol/L and 500 MUmol/L zinc groups. (2)After 96 hours, the viability were
1.21+/-0.05, 1.10+/-0.03, 0.99+/-0.05, 0.62+/-0.02, 0.45+/-0.04, 0.11+/-0.01, and
0.12+/-0.06, respectively in the 20, 40, 80, 100, 200, 300, and 500 MUmol/L zinc
groups, as compared with 0.75+/-0.05 in the control group (all P<0.05). (3)After
12 hours, the migration distances were (0.56+/-0.11),(0.96+/-0.07),(0.49+/-0.02),
and (0.29+/-0.01)mm in the 20, 40, 80, and 100 MUmol/L zinc groups, as compared
with (0.24+/-0.04)mm in the control group (all P<0.05). (4)After 18 hours, the
adhesion cell index were 0.40+/-0.05, 0.31+/-0.01, 0.38+/-0.05, and 0.40+/-0.03
in the 20, 40, 80, and 100 MUmol/L zinc groups, as compared with 0.24+/-0.04 in
the control group (all P>0.05). Conclusions: Zinc ions at lower concentration
(<=80 MUmol/L) can promote proliferation, viability and migration of human
umbilical vein endothelial cells, but the adhesion function was not significantly
affected by zinc ions. Zinc ions at higher concentration (>=200 MUmol/L) can
inhibit the cellular function of the human umbilical vein endothelial cells.
PMID- 29804443
TI - [Electrocardiography interpretation and electrophysiologic characteristics of a
patient with preexcitation via fasciculoventricular pathways].
PMID- 29804441
TI - [Hesperetin inhibits PM(2.5)-induced apoptosis in H9c2 cells by attenuating
oxidative stress and mitochondrial damage].
AB - Objective: To investigate the effects of hesperetin on fine particulate matter
(PM(2.5)) induced apoptosis in H9c2 cells and related mechanisms. Methods: H9c2
cells were divided into 4 groups: control group (cells were cultured without
intervention), PM(2.5) group (cells were treated with 800 ug/ml PM(2.5)),
hesperetin group (H group, cells were treated by 40 umol/L hesperetin for 1 h at
37 C), and hesperetin+PM(2.5) group (H+PM(2.5) group, cells were pretreated with
hesperetin before PM(2.5) treatment). Cells were cultured for corresponding
interval. Apoptotic cells were detected by Annexin V-FITC/PI apoptosis detection
kit and Hoechst staining. The intracellular reactive oxygen species (ROS) levels
were measured by DCFH-DA Fluorescence Probe and mitochondrial membrane potential
(MMP) was detected with JC-1 staining, respectively in these groups. Apoptotic
related protein and phosphorylated MAPK expression levels were determined by
Western blot. Results: (1) Flow cytometry results showed that the apoptosis rate
of PM(2.5) group ((48.94+/-3.20)%) was significantly higher than that of control
group ((8.13+/-1.40)%, P<0.01), which was significantly reduced in H+PM(2.5)
group ((34.80+/-2.21)%) (P=0.003 2 vs. PM(2.5) group, P<0.01 vs. control group).
The number of Hoechst 33258 positive apoptotic cells was distinctly less in
H+PM(2.5) group than in PM(2.5) group. (2) The ROS levels was significantly
higher in PM(2.5) group ((49.69+/-5.05)%) than in control group (10.57+/-1.33)%,
P<0.01), which was significantly reduced in H+PM(2.5) group ((35.08+/-3.90)%)
(P=0.000 2 vs. PM(2.5) group, P<0.01 vs. control group). (3) Green fluorescence
indicating the JC-1 monomer form, which represented MMP loss of H9c2 cells, was
significantly higher in PM(2.5) group ((20.28+/-4.69)%) than in control group
((10.50+/-2.72)%, P<0.01), which was significantly decreased in H+PM(2.5) group
((13.41+/-2.89)%) (P<0.01 vs. PM(2.5) group, P=0.029 4 vs. control group). (4)
The expression levels of Bcl-2 protein of H9c2 cells was lower in PM(2.5) group
((76.94+/-4.52)%) than in control group (100%, P=0.000 9), which was
significantly upregulated in H+PM(2.5) group ((92.95+/-6.82)%) (P=0.027 5 vs.
PM(2.5) group, P=0.15 vs. control group). The expression levels of cleaved
caspase-3 protein of H9c2 cells was significantly higher in PM(2).5 group
((243.98+/-17.94)%) than in control group (100%, P=0.000 2), which was
significantly downregulated in H+PM(2.5) group ((200.45+/-4.31)%) (P=0.015 vs.
PM(2.5) group, P<0.01 vs. control group). (5) The expression levels of
phosphorylated p38 MAPK protein of H9c2 cells was higher in PM(2.5) group
((118.90+/-4.78)%) than in control group(100%, P=0.002 7), which could be
significantly downregulated in H+PM(2.5) group ((103.30+/-1.27)%) (P=0.01 vs.
PM(2.5) group, P=0.05 vs. control group). The expression levels of phosphorylated
ERK protein of H9c2 cells was higher in PM(2.5) group ((163.50+/-4.98)%) than in
control group (100%, P<0.01), which was significantly downregulated in H+PM(2.5)
group ((139.10+/-2.72)%) (P=0.001 6 vs. PM(2.5) group, P<0.01 vs. control group).
Conclusions: Hesperetin protects H9c2 cells from PM(2.5) stimulation through
reducing oxidative stress and protecting mitochondrial function, regulating the
expression of apoptotic associated proteins as well as MAPK signal pathway, thus
inhibiting H9c2 cells apoptosis.
PMID- 29804445
TI - [Clinical implication of proatherogenic postprandial hypertriglyceridemia].
PMID- 29804444
TI - [Spinal subdural hematoma following thrombolytic therapy for acute myocardial
infarction: a case report].
PMID- 29804446
TI - [Relationship between venous thromboembolism and cardiovascular disease risk
factors].
PMID- 29804447
TI - [Research progress of the treatment of congenital long QT syndrome type 3].
PMID- 29804449
TI - Asymmetric Aza-Wacker-Type Cyclization of N-Ts Hydrazine-Tethered
Tetrasubstituted Olefins: Synthesis of Pyrazolines Bearing One Quaternary or Two
Vicinal Stereocenters.
AB - We have developed an asymmetric aza-Wacker-type cyclization of N-Ts hydrazine
tethered tetrasubstituted olefins, affording optically active pyrazolines bearing
chiral tetrasubstituted carbon stereocenters. This reaction is tolerant to a
broad range of substrates under mild reaction conditions, giving the desired
chiral products with high enantioselectivities. Generation of two vicinal
stereocenters on the C?C double bonds was also achieved with high selectivities,
a process which has been rarely studied for Wacker-type reactions. A mechanistic
study revealed that this aza-Wacker-type cyclization undergoes a syn
aminopalladation process. It was also found that for substrates bearing two
linear alkyl substituents on the outer carbon atom of the olefin, both of which
are larger than a methyl group, the alkyl substituent that is cis to the
intranucleophilic group participates more readily in beta-hydride elimination.
When one of the two alkyl substituents on the outer carbon atom of the olefin is
a methyl group, beta-hydride elimination proceeds selectively at the methylene
side, thus both diastereomers can be prepared via switching the configuration of
the olefin. Furthermore, the product can be converted to a pharmaceutical
compound in high yields over three steps.
PMID- 29804450
TI - Proline and Water Stabilization of a Universal Two-Step Folding Mechanism for
beta-Turn Formation in Solution.
AB - The atomic scale process by which proteins fold into their functional forms in
aqueous solutions is still not well understood. While there is clearly an
interplay between the sequence of the protein and the surrounding water solvent
that leads to highly specific and reproducible folding in nature, there is still
an ongoing debate concerning how water molecules aid in driving the folding
process. By using a combination of techniques that provide information at the
atomic level-neutron and X-ray diffraction and computer simulations-the mechanism
of folding in a series of peptides that only vary with respect to the central
side-chain residue has been determined. Specifically, beta-turn formation for the
KGXGK peptide (where X = P, G, S or L) occurs via a two-step water-driven
attraction between specific sites on the peptide backbone. This proposed
mechanism suggests that the site-specific hydration of the backbone facilitates
the initial stages of protein folding and that this hydration interaction in
combination with the presence of proline in the i + 1 position helps to stabilize
the folded and intermediate folding state of the peptide in solution, leading to
a greater propensity for PG containing sequences to occur in beta-turns in
proteins.
PMID- 29804448
TI - Maternal N-Carbamylglutamate Supply during Early Pregnancy Enhanced Pregnancy
Outcomes in Sows through Modulations of Targeted Genes and Metabolism Pathways.
AB - Reducing pregnancy loss is important for improving reproductive efficiency for
both human and mammalian animals. Our previous study demonstrates that maternal N
carbamylglutamate (NCG) supply during early pregnancy enhances embryonic survival
in gilts. However, whether maternal NCG supply improves the pregnancy outcomes is
still not known. Here we found maternal NCG supply during early pregnancy in sows
significantly increased the numbers of total piglets born alive per litter ( P <
0.05) and significantly changed the levels of metabolites in amniotic fluid and
serum involved in metabolism of energy, lipid, and glutathione and immunological
regulation. The expression of endometrial progesterone receptor membrane
component 1 (PGRMC1) was significantly increased by NCG supplementation ( P <
0.05) as well as the expression of PGRMC1, endothelial nitric oxide synthesases
(eNOS), and lamin A/C in fetuses and placentae ( P < 0.05). Among the NCG
associated amino acids, arginine and glutamine, markedly increased PGRMC1 and
eNOS expression in porcine trophectoderm cells ( P < 0.05), whereas glutamate
could stimulate the expression of vimentin and lamin A/C in porcine trophectoderm
(pTr) cells ( P < 0.05) and proline stimulated lamin A/C expression ( P < 0.05).
Collectively, these data reveal the mechanisms of NCG in reducing early embryo
loss. These findings have important implications that NCG has great potential to
improve pregnancy outcomes in human and mammalian animals.
PMID- 29804451
TI - Proteomics Study Reveals That Docosahexaenoic and Arachidonic Acids Exert
Different In Vitro Anticancer Activities in Colorectal Cancer Cells.
AB - Two polyunsaturated fatty acids, docosahexaenoic acid (DHA) and arachidonic acid
(ARA), as well as derivatives, such as eicosanoids, regulate different
activities, affecting transcription factors and, therefore, DNA transcription,
being a critical step for the functioning of fatty-acid-derived signaling. This
work has attempted to determine the in vitro anticancer activities of these
molecules linked to the gene transcription regulation of HT-29 colorectal cancer
cells. We applied the 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium
bromide test along with lactate dehydrogenase and caspase-3 assays; proteome
changes were assessed by "sequential windowed acquisition of all theoretical mass
spectra" quantitative proteomics, followed by pathway analysis, to determine the
affected molecular mechanisms. In all assays, DHA inhibited cell proliferation of
HT-29 cells to a higher extent than ARA and acted primarily by downregulating
proteasome particles, while ARA presented a dramatic effect on all six DNA
replication helicase particles. The results indicated that both DHA and ARA are
potential chemopreventive agent candidates.
PMID- 29804452
TI - Graphene Oxide-Mediated Protection from Photodamage.
AB - This Letter presents the unique properties of graphene oxide (GO) as a multitask
material protecting from UVB-induced photodamage. Three mechanisms of GO action
on fibroblast in vitro cultures are verified here: physical - a barrier blocking
UV radiation; chemical - antioxidative activity; and biological - activation of
cellular antioxidative defense. The changes in GO physicochemical properties
appearing due to UVB exposure underpin the observed UV protection phenomena. The
results reveal the simultaneous occurrence of two opposed processes, i.e., under
small doses of UVB, the tested material undergoes oxidation and sp2 network
rebuilding. In the vicinity of the GO surface, the locally triggered high
temperature is responsible for a reduction process, while strong oxidative agents
such as OH radicals cause parallel GO oxidation. This phenomenon is enabled
thanks to the exceptional properties of carbonaceous materials. As a consequence,
GO turns out to be a multitask UV protector increasing fibroblast survival.
PMID- 29804453
TI - Production Temperature Effects on the Structure of Hydrochar-Derived Dissolved
Organic Matter and Associated Toxicity.
AB - Hydrochar is a carbonaceous material derived from hydrothermal liquefaction, and
it carries good potential as a new material for environmental applications.
However, little is known about the dissolved organic matter (DOM) associated with
hydrochar and the consequences of its release. The relationship between the
production temperature and the characteristics of DOM released from hydrochar as
well as the associated biotoxicity was investigated using a suite of advanced
molecular and spectroscopic tools. With the increase in production temperature,
the resulted hydrochar-based DOM contained a higher content of phenols and
organic acids but less sugars and furans. Meanwhile, the molecular structure of
DOM shifted to lower molecular weight with higher organic contents containing <6
O atoms per compound, aromatics, and N-containing substances. While low
temperature hydrochar-derived DOM showed minimal biotoxicity, increase in
production temperature to 330 degrees C led to a great rise in toxicity. This
might be attributed to the increased contents of phenols, organic acids, and
organics containing <6 O atoms and 1 N atom per compound. These results suggest
that hydrochar-derived DOM have more negative impacts on the environment than the
organics associated with biochar production. Such understanding highlights the
importance of controlling the hydrochar production process.
PMID- 29804454
TI - Magnetic, Structural, and Chemical Properties of Cobalt Nanoparticles Synthesized
in Ionic Liquids.
AB - Cobalt nanoparticles (CoNPs) exhibit quite unique magnetic, catalytic, and
optical properties. In this work, imidazolium-based ionic liquids (ILs) are
successfully used to elaborate magnetically responsive suspensions of quite
monodisperse CoNPs with diameters below 5 nm. The as-synthesized CoNPs adopt the
noncompact and metastable structure of epsilon-Co that progressively evolves at
room temperature toward the stable hexagonal close-packed allotrope of Co.
Accordingly, magnetization curves are consistent with zero-valent Co. As expected
in this size range, the CoNPs are superparamagnetic at room temperature. Their
blocking temperature is found to depend on the size of the IL cation. The CoNPs
produced in an IL with a large cation exhibit a very high anisotropy, attributed
to an enhanced dipolar coupling of the NPs, even though a larger interparticle
distance is observed in this IL. Finally, the presence of surface hydrides on the
CoNPs is assessed and paves the way toward the synthesis for Co-based bimetallic
NPs.
PMID- 29804455
TI - Amide I SFG Spectral Line Width Probes the Lipid-Peptide and Peptide-Peptide
Interactions at Cell Membrane In Situ and in Real Time.
AB - The balance of lipid-peptide and peptide-peptide interactions at cell membrane is
essential to a large variety of cellular processes. In this study, we have
experimentally demonstrated for the first time that sum frequency generation
vibrational spectroscopy can be used to probe the peptide-peptide and lipid
peptide interactions in cell membrane in situ and in real time by determination
of the line width of amide I band of protein backbone. Using a "benchmark" model
of alpha-helical WALP23, it is found that the dominated lipid-peptide interaction
causes a narrow line width of the amide I band, whereas the peptide-peptide
interaction can markedly broaden the line width. When WALP23 molecules insert
into the lipid bilayer, a quite narrow line width of the amide I band is observed
because of the lipid-peptide interaction. In contrast, when the peptide lies down
on the bilayer surface, the line width of amide I band becomes very broad owing
to the peptide-peptide interaction. In terms of the real-time change in the line
width, the transition from peptide-peptide interaction to lipid-peptide
interaction is monitored during the insertion of WALP23 into 1,2-dipalmitoyl- sn
glycero-3-phospho-(1'- rac-glycerol) (DPPG) lipid bilayer. The dephasing time of
a pure alpha-helical WALP23 in 1-palmitoyl-2-oleoyl- sn-glycero-3-phospho-(1'-
rac-glycerol) and DPPG bilayer is determined to be 2.2 and 0.64 ps, respectively.
The peptide-peptide interaction can largely accelerate the dephasing time.
PMID- 29804456
TI - Cu2+-Directed Liposome Membrane Fusion, Positive-Stain Electron Microscopy, and
Oxidation.
AB - Natural lipid headgroups contain a few types of metal ligands, such as phosphate,
amine, and serine, which interact with metal ions differently. Herein, we studied
the binding between Cu2+ and liposomes with four types of headgroups:
phosphocholine (PC), phosphoglycerol (PG), phosphoserine (PS), and
cholinephosphate (CP). Using fluorescently headgroup-labeled liposomes, Cu2+
strongly quenched the CP and PS liposomes, whereas quenching of PC and PG was
weaker. Dynamic light scattering indicated that all of the four liposomes
aggregated at high Cu2+ concentrations, and ethylenediaminetetraacetic acid
(EDTA) only restored the original size of the PC liposome, implying fusion of the
other three types of liposomes. The leakage tests revealed that the integrity of
PC liposomes was not affected by Cu2+, but the other three liposomes leaked.
Under TEM, all of the liposomes show a positive-stain feature in the presence of
Cu2+ and Cu2+-stained individual liposomes with a short incubation time (<1 min).
The oxidative catalytic property of Cu2+ was also tested, and a tight binding by
the PS liposome inhibited the activity of Cu2+. Finally, a model of interaction
for each liposome was proposed, and each one has a different metal-binding and
interaction mechanism.
PMID- 29804457
TI - Understanding Combustion of H2/O2 Gases inside Nanobubbles Generated by Water
Electrolysis Using Reactive Molecular Dynamic Simulations.
AB - This work explored the mechanism of spontaneous combustion of hydrogen-oxygen
mixtures inside nanobubbles (which were generated by water electrolysis) using
reactive molecular dynamic simulations based on the first-principles derived
reactive force field ReaxFF. The effects of surface-assisted dissociation of H2
and O2 gases that produced H and O radicals were examined. Additionally, the
ignition outcome and species evolution as a function of the initial system
pressure (or bubble size) were studied. A significant amount of hydrogen peroxide
(H2O2), 6-140 times water (H2O), was observed in the combustion products. This
was attributed to the low-temperature (~300 K) and high-pressure (2-80 atm)
conditions at which the chemical reactions were taking place. In addition, the
rate of consumption of H2 and O2 molecules was found to increase with an increase
in added H and O radical concentrations and initial system pressure. The rate at
which heat was being lost from the combustion chamber (nanobubbles) was also
compared to the rate at which heat was being released from the chemical
reactions. Only a slight rise in the reaction temperature was observed (~68 K),
signifying that, at such small scales, heat losses dominate. The resulting
chemistry was quite different from macroscopic combustion, which usually takes
place at a much higher temperatures of above 1000 K.
PMID- 29804458
TI - Constructing Highly Porous Thermoelectric Monoliths with High-Performance and
Improved Portability from Solution-Synthesized Shape-Controlled Nanocrystals.
AB - Thermoelectricity offers a viable and reliable solution to convert waste heat
into electricity. To enhance the performance and portability of thermoelectric
materials, the crystal grain and pore structure should be simultaneously
manipulated to achieve high electrical conductivity (sigma), low thermal
conductivity (kappa), high figure of merit (zT), and low relative density.
However, they cannot be synchronously realized using nanocrystals with
uncontrolled domain size and shape as building blocks. Here, we employ solution
synthesized PbS nanocrystals with large grain size, controllable shape and
tunable spatial packing to realize the aforementioned structural tuning. The as
sintered highly porous and well crystalline monolith exhibits high sigma, low
kappa, high zT (1.06 at 838 K) and low relative density (82%). The phonon
transport is studied by density functional theory highlighting the crucial role
of phonon-pore scattering in reducing kappa to enhance zT. Our strategy may
benefit thermoelectrics and shed light on other technical fields such as
catalysis, gas sensing, photovoltaics, and so forth.
PMID- 29804459
TI - Hierarchical Polymer Structures Using Templates and the Modified Breath Figure
Method.
AB - Hierarchical structures are commonly observed in nature and possess unique
properties. The fabrication of hierarchical structures with well-controlled sizes
in different length scales, however, is still a great challenge. To further
understand the morphologies and properties of the hierarchical structures, here
we present a novel strategy to prepare hierarchical polymer structures by
combining the modified breath figure method and the template method. Poly(methyl
methacrylate) (PMMA) honeycomb films with regular micropores are first prepared
using the modified breath figure method by dipping PMMA films into mixtures of
chloroform and methanol. The polymer chains on the honeycomb films are then
annealed and wetted into the nanopores of anodic aluminum oxide templates via
capillary forces, resulting in the formation of hierarchical polymer structures.
The morphologies of the polymer structures, which can be controlled by the
molecular weights of the polymers and the concentrations of the polymer
solutions, are characterized by scanning electron microscopy. The surface
wettabilities of the polymer structures are also examined by water contact angle
measurements, and the hierarchical structures are observed to be more hydrophobic
than the flat films and honeycomb films. This work not only provides a feasible
approach to fabricate hierarchical polymer structures with controlled sizes but
also gives a better understanding of the relationship between surface
morphologies and properties.
PMID- 29804460
TI - Direct Conversion of Methane to Methanol on Ni-Ceria Surfaces: Metal-Support
Interactions and Water-Enabled Catalytic Conversion by Site Blocking.
AB - The transformation of methane into methanol or higher alcohols at moderate
temperature and pressure conditions is of great environmental interest and
remains a challenge despite many efforts. Extended surfaces of metallic nickel
are inactive for a direct CH4 -> CH3OH conversion. This experimental and
computational study provides clear evidence that low Ni loadings on a CeO2(111)
support can perform a direct catalytic cycle for the generation of methanol at
low temperature using oxygen and water as reactants, with a higher selectivity
than ever reported for ceria-based catalysts. On the basis of ambient pressure X
ray photoemission spectroscopy and density functional theory calculations, we
demonstrate that water plays a crucial role in blocking catalyst sites where
methyl species could fully decompose, an essential factor for diminishing the
production of CO and CO2, and in generating sites on which methoxy species and
ultimately methanol can form. In addition to water-site blocking, one needs the
effects of metal-support interactions to bind and activate methane and water.
These findings should be considered when designing metal/oxide catalysts for
converting methane to value-added chemicals and fuels.
PMID- 29804461
TI - Participatory capacity building for improving quality of childcare centers in
Thailand.
AB - INTRODUCTION: Childcare centers (CCCs) with good quality standards can be
effective in reducing the risk of diseases being easily spread from person to
person. The aim of the present study's program, adapted from a method used by the
United Nations Development Program, was to increase the capacity of local
administrators(s) and heads of CCCs to improve quality standards. METHODS: This
study was quasi-experimental, with a one group pretest-post-test design. In this
study the authors describe the effects and impacts of the program in Chonburi
Province in eastern Thailand. Six LAs and 48 CCC heads were trained regarding (1)
knowledge of the Thai Department of Health quality standards, (2) implementation
and assurance and (3) program evaluation. The program consisted of three
sequential participatory workshops. Effects at the center level were increased
overall knowledge of quality standards of CCCs (QCCC), and developed skills of
improvement plans. The impact at the center level was CCCs achieving the QCCC. At
the child level it was the reduction in the period prevalence of three diseases
and two symptoms. RESULTS: The significant (p<0.05) effects and impacts at the
center level were increased overall knowledge of QCCC in LAs and CCC heads and
increased overall managerial skills of CCC heads. At the child level, the period
prevalence of chickenpox and diarrhea symptom were reduced. CONCLUSION:
Participatory capacity building is an appropriate way to enhance the managerial
skills of LAs and heads of CCCs for improving quality of CCCs to meet the local
authority and the Ministry of Public Health quality standards.
PMID- 29804463
TI - 'Happy that someone cared'-Non-native-speaking immigrant mothers' experiences of
participating in screening for postpartum depression in the Swedish child health
services.
AB - Immigrant mothers who have immigrated during the last ten years and do not speak
the language of the new country are found to be at particular risk of being
affected by postpartum depression (PPD). Still they choose to participate to a
lesser extent in screening for PPD and are not screened out as frequently as can
be expected. In this study, non-native-speaking immigrant mothers' experiences of
participating in screening for PPD in the Swedish Child Health Services were
elucidated. Thirteen qualitative interviews were performed with the help of an
interpreter and analysed using latent content analysis. The possibility to
participate in screening was appreciated by the mothers even though the concept
of PPD in general was unclear. Cultural beliefs about mental ill health, negative
expectations connected to their perceived value as a woman, shame at not being
grateful enough for their new life and negative experience of the interaction
during the screening challenged them in speaking about their mood. To facilitate
the screening procedure for this vulnerable group of mothers, it is important to
be aware of possible challenges when speaking about their mood and to strive for
a trusting clinical interview with the assistance of a female interpreter on
site.
PMID- 29804464
TI - Diffuse subretinal fibrosis syndrome: A rare entity.
AB - BACKGROUND/ PURPOSE:: Diffuse subretinal fibrosis and uveitis syndrome is an
inflammatory disease rarely reported. The purpose of this article is to describe
a rare case of diffuse subretinal fibrosis syndrome in an 8-year-old child.
METHODS AND RESULTS:: The patient initially presented with a loss of vision in
his right eye, with a visual acuity of 20/200. The visual acuity of the left eye
was normal. Clinical examination showed bilateral anterior and posterior
inflammation while the fundus revealed on the right eye an inter maculopapillar
fibrosed lesion with a discrete retinal hemorrhage and a similar but smaller
lesion on the left eye. The optical coherence tomography showed hyper-reflective
material compatible with pre-retinal and subretinal pigment epithelial fibrosis
and associated subretinal fluid. The angiography facilitated the diagnosis of
neovascularization that was associated with the fibrotic lesion. The rest of the
clinical pediatric examination remained negative and a diagnosis of diffuse
subretinal fibrosis syndrome complicated with neovascularization was made.
Treatment with systemic corticosteroids at the dose of 1 mg/kg/day for 1 month
with a progressive decrease of 5 mg per month allowed for both anatomical and
functional improvement. The visual acuity of the right eye improved from 20/200
to 20/63 at 1 month, 20/32 at 2 months, and 20/20 at 4 months. CONCLUSION:: To
our knowledge, this is the first reported case of bilateral diffuse subretinal
fibrosis complicated by neovascularization. General corticosteroid therapy proved
to be effective in this case and appears to be a viable option in first-line
treatment.
PMID- 29804465
TI - Leaders' limitations and approaches to creating conditions for interaction and
communication in parental groups: A qualitative study.
AB - The aim of this study was to describe and understand parental group (PG) leaders'
experiences of creating conditions for interaction and communication. The data
consisted of 10 interviews with 14 leaders. The transcribed interviews were
analysed using thematic analysis. The results showed that the leaders' ambition
was to create a parent-centred learning environment by establishing conditions
for interaction and communication between the parents in the PGs. However, the
leaders' experience was that their professional competencies were insufficient
and that they lacked pedagogical tools to create constructive group discussions.
Nevertheless, they found other ways to facilitate interactive processes. Based on
their experience in the PG, the leaders constructed informal socio-emotional
roles for themselves (e.g. caring role and personal role) and let their more
formal task roles (e.g. professional role, group leader and consulting role)
recede into the background, so as to remove the imbalance of power between the
leaders and the parents. They believed this would make the parents feel more
confident and make it easier for them to start communicating and interacting.
This personal approach places them in a vulnerable position in the PG, in which
it is easy for them to feel offended by parents' criticism, questioning or
silence.
PMID- 29804466
TI - The Associations among Metamorphopsia, Orientation Discrimination Threshold, and
Retinal Layer Thickness in Patients with Idiopathic Epiretinal Membrane.
AB - PURPOSE: To explore the association among the metamorphopsia identified by Amsler
grid test, orientation discrimination threshold (ODT), and retinal layer
thickness in patients with idiopathic epiretinal membrane (ERM). METHODS: A total
of 48 ERM patients were divided into a fovea-spared (FS) group (n = 12) and a
fovea-involved (FI) group (n = 36). A total of 23 visually normal people served
as controls. Metamorphopsia was first assessed with an Amsler grid. The ODT was
quantified with groups of briefly displayed short line segments. Inner and outer
retinal layer thickness (IRLT and ORLT) was measured with spectral domain optical
coherence tomography. RESULTS: A total of 12 patients with ERM (1 in FS and 11 in
FI) reported abnormalities in the Amsler grid test. The ODT values were
significantly elevated in patients in both FS (7.48 +/- 1.94 degrees , p < 0.001)
and FI groups (10.14 +/- 2.28 degrees , p < 0.001) when compared to normal (4.22
+/- 0.80). Receiver operating characteristic (ROC) analyses of ODT to distinguish
Amsler positive and negative patients yielded an area under the curve (AUC) of
0.829. The IRLT was significantly thicker (386.6 +/- 95.1 um vs. 127.5 +/- 17.6
in normal) and ORLT were significantly thinner (88.11 +/- 8.24 vs. 94.39 +/- 5.66
in normal) in the FI group. ROC analyses to distinguish Amsler positive and
negative patients yield an AUC of 0.917 using IRLT and 0.719 using ORLT. ODT
correlated tightly with the thicker IRLT in both the FS and FI groups, and with
the thinner ORLT in the FI group. CONCLUSIONS: In patients with ERM, ODT reflects
functional changes that are not detected by the Amsler grid test and correlates
with changes in inner retina layer thickness well.
PMID- 29804467
TI - Erratum.
PMID- 29804469
TI - The effect of zinc and vitamin E cosupplementation on metabolic status and its
related gene expression in patients with gestational diabetes.
AB - OBJECTIVE: The aim of this study was to determine the effects of zinc and vitamin
E cosupplementation on metabolic status and gene expression related to insulin
and lipid metabolism in women with gestational diabetes mellitus (GDM). METHODS:
Fifty-four women, in the age range of 18-40 years, diagnosed with GDM were
recruited for this randomized, double-blinded, placebo-controlled trial. Subjects
were randomly allocated into two intervention groups to either taking 233 mg/day
Zinc Gluconate plus 400-IU/day vitamin E supplements or placebo (n = 27 each
group) for 6 weeks. Gene expression related to insulin and lipid metabolism was
evaluated in peripheral blood mononuclear cells (PBMCs) of women with GDM using
RT-PCR method. RESULTS: Participants who received zinc plus vitamin E supplements
had significantly lower serum insulin levels (beta = -3.81; 95% CI, -5.90, -1.72;
p = .001), homeostasis model of assessment-insulin resistance (beta = -0.96; 95%
CI, -1.54, -0.38; p = .002), serum total-cholesterol (beta = -8.56; 95% CI,
16.69, -0.43; p = .03) and low density lipoprotein-cholesterol (LDL)-cholesterol
(beta = -8.72; 95% CI, -15.27, -2.16; p = .01), and higher quantitative insulin
sensitivity check index (beta = 0.01; 95% CI, 0.005, 0.02; p = .007) compared
with the placebo. Moreover, zinc and vitamin E cosupplementation upregulated gene
expression of peroxisome proliferator-activated receptor gamma (PPAR-gamma; p =
.03) and low-density lipoprotein receptor (LDLR; p = .04) compared with the
placebo. Though, zinc and vitamin E combination did not affect other metabolic
parameters. CONCLUSIONS: Overall, zinc and vitamin E cosupplementation for 6
weeks in women with GDM significantly improved insulin metabolism, lipid profile,
and the gene expression levels of PPAR-gamma and LDLR.
PMID- 29804468
TI - Nucleolar Localization of HIV-1 Rev Is Required, Yet Insufficient for Production
of Infectious Viral Particles.
AB - Combination antiretroviral therapy fails in complete suppression of HIV-1 due to
drug resistance and persistent latency. Novel therapeutic intervention requires
knowledge of intracellular pathways responsible for viral replication,
specifically those untargeted by antiretroviral drugs. An understudied phenomenon
is the nucleolar localization of Rev phosphoprotein, which completes
nucleocytoplasmic transport of unspliced/partially spliced HIV mRNA through
multimerization with intronic cis-acting targets-the Rev-response element (RRE).
Rev contains a nucleolar localization signal (NoLS) comprising the COOH terminus
of the arginine-rich motif for accumulation within nucleoli-speculated as the
interaction ground for Rev with cellular proteins mediating mRNA-independent
nuclear export and splicing. Functionality of Rev nucleolar access during HIV-1
production and infection was investigated in the context of deletion and single
point mutations within Rev-NoLS. Mutations induced upon Rev-NoLS are hypothesized
to inactivate the HIV-1 infectious cycle. HIV-1HXB2 replication ceased with Rev
mutations lacking nucleolar access due to loss or replacement of multiple
arginine residues. Rev mutations missing single arginine residues remained
strictly nucleolar in pattern and participated in proviral production, however,
with reduced efficiency. Viral RNA packaging also decreased in efficiency after
expression of nucleolar-localizing mutations. These results were observed during
propagation of variant HIV-1NL4-3 containing nucleolar-localizing mutations
within the viral backbone (M4, M5, and M6). Lentiviral particles produced with
Rev single-point mutations were transducible at extremely low frequency.
Similarly, HIV-1NL4-3 Rev-NoLS variants lost infectivity, unlike virulent WT
(wild type) HIV-1NL4-3. HIV-1NL4-3 variants were capable of CD4+ host entry and
reverse transcription as WT HIV-1NL4-3, but lacked ability to complete a full
infectious cycle. We currently reveal that viral integration is deregulated in
the presence of Rev-NoLS mutations.
PMID- 29804471
TI - Evaluating a telehealth intervention for urinalysis monitoring in children with
neurogenic bladder.
AB - Telehealth as a community-monitoring project within children's urology care is an
innovative development. There is limited evidence of the inclusion of staff and
parents in the early-stage development and later adoption of telehealth
initiatives within routine urological nursing care or families' management of
their child's bladder. The aim was to explore the experiences of key stakeholders
(parents, clinicians, and technical experts) of the proof of concept telehealth
intervention in terms of remote community-based urinalysis monitoring by parents
of their child's urine. A concurrent mixed-methods research design used soft
systems methodology tools to inform data collection and analysis following
interviews, observation, and e-surveys with stakeholders. Findings showed that
the parents adopted aspects of the telehealth intervention (urinalysis) but were
less engaged with the voiding diary and weighing. The parents gained confidence
in decision-making and identified that the intervention reduced delays in their
child receiving appropriate treatment, decreased the time burden, and improved
engagement with general practitioners. Managing the additional workload was a
challenge for the clinical team. Parental empowerment and self-efficacy were
clear outcomes from the intervention. Parents exercised their confidence and
control and were selective about which aspects of the intervention they perceived
as having credibility and which they valued.
PMID- 29804470
TI - Cognitive-behavioral longitudinal assessment in ALS: the Italian Edinburgh
Cognitive and Behavioral ALS screen (ECAS).
AB - OBJECTIVE: The study presents data on the longitudinal administration of the
Italian Edinburgh Cognitive and Behavioral ALS Screen (ECAS). We investigated
cognitive-behavioral performance in a group of ALS patients over time and the
feasibility of repeating the ECAS longitudinally compared with standard
neuropsychological tests. Finally, correlations between clinical/genetic and
cognitive/behavioral data were considered. METHODS: One hundred and sixty-eight
ALS patients were tested at baseline (T0). Among these, 48 patients performed the
ECAS after 6 months (T1), 18 patients performed it at T2 (12 months), and five
patients were assessed after 24 months (T3). Participants were also administered
two cognitive test (FAB; MoCA) and psychological questionnaires (BDI; STAI/Y).
The FBI was carried out with caregivers. RESULTS: No cognitive deterioration was
found across follow-ups. In contrast, although scores did not change between T0
and T1, scores improved significantly for ECAS Total/ALS Non-specific and Memory
domains when the ECAS was repeated on three occasions (T0, T1, T2).
Apathy/Inertia was the most common behavioral symptom, but no worsening of
behavioral scores was detected over time. After 12-24 months, patients were still
able to perform the ECAS in total, in contrast to FAB and MoCA, which were only
partially administrable. CONCLUSIONS: The significant improvement of some ECAS
scores over time supports the presence of possible practice effects, particularly
in the memory domain, highlighting the need to accommodate for these in
longitudinal assessments, through healthy controls groups or alternate versions.
This work represents the first Italian ECAS follow-up study and confirms ECAS
feasibility in patients with increasing physical disability.
PMID- 29804472
TI - A new instrument to simplify encircling buckle placement.
AB - PURPOSE: The purpose of this study is to describe a new surgical instrument that
simplifies 360 degrees silicone band placement, alone or in combination with
pars plana vitrectomy, for the treatment of rhegmatogenous retinal detachment.
METHODS: The instrument has a straight shank and a curved component in the form
of a partial helix, whose purpose is to encircle the perimeter of the eye globe
below the rectus muscles. The distal tip of the helix is inserted through a small
conjunctival incision in the superior temporal quadrant, and the shank is rotated
360 degrees on its axis until the tip emerges from the insertion point. One end
of the silicone band is sutured to the tip, and the instrument is rotated in the
opposite direction until both ends of the band are positioned in the same scleral
quadrant. The band is sutured to the sclera and the conjunctival incision is
closed. Pars plana vitrectomy can then be performed using transconjunctival
microincision techniques through the intact conjunctiva. RESULTS: Our experience
using the instrument in more than 100 procedures has shown that the new technique
considerably reduces surgical trauma: wide opening of the conjunctiva is
obviated, there is little manipulation of the extraocular musculature, and only a
few sutures are needed. The duration of the procedure is considerably shorter
because of the smaller number of surgical maneuvers. CONCLUSION: We describe a
new scleral technique facilitated by this surgical instrument, whose objective is
safe and effective placement of an encircling silicone band with minimum trauma
and a reduction in operating time.
PMID- 29804473
TI - Diagnostic performance of CT, MRI, and their combined use for the assessment of
the direct cranial or intracranial extension of malignant head and neck tumors.
AB - Background Preoperative radiological evaluation of the cranial or intracranial
extension of malignant head and neck tumors is critical in the planning of
curative surgery. Purpose To assess the diagnostic accuracy of computed
tomography (CT) combined with magnetic resonance imaging (MRI), compared to CT or
MRI alone in diagnosing the direct cranial or intracranial extension of malignant
head and neck tumors, using histopathologic results as the reference standard.
Material and Methods CT and MRI images in 41 patients with malignant head and
neck tumors abutting the skull were retrospectively reviewed. The images were
evaluated for the presence or absence of skull invasion (erosion/destruction of
the skull), dural invasion (nodular dural enhancement), and brain invasion
(enhancing brain lesion with or without brain swelling/edema). The results of the
CT alone, MRI alone, and CT combined with MRI were compared with the
histopathologic findings. Results Of the 41 patients studied, ten had no
invasion, eight had skull invasion, 17 had dural invasion, and six had brain
invasion by tumor. The sensitivity/specificity/accuracy of CT alone, MRI alone,
and CT combined with MRI for diagnosing intracranial extension were
78.0%/100%/94.5%, 85.4%/80.5%/93.9%, and 95.1%/100%/98.8%, respectively. The
sensitivity of CT combined with MRI was significantly higher than those of CT
alone ( P = 0.0156) and MRI alone ( P = 0.0313). Conclusion CT combined with MRI
is a more sensitive tool for the diagnosis of the direct cranial or intracranial
extension of malignant head and neck tumors than CT alone and MRI alone.
PMID- 29804474
TI - The utility of the combined use of 123I-FP-CIT SPECT and neuromelanin MRI in
differentiating Parkinson's disease from other parkinsonian syndromes.
AB - Background Neuromelanin magnetic resonance imaging (NmMRI) and 123I-FP-CIT
dopamine transporter single photon emission computed tomography (DAT-SPECT)
provide specific information that distinguishes Parkinson's disease (PD) from non
degenerative parkinsonian syndrome (NDPS). Purpose To determine whether a
multiparametric scoring system (MSS) could improve accuracy compared to each
parameter of DAT-SPECT and NmMRI in differentiating PD from NDPS. Material and
Methods A total of 49 patients, including 14 with NDPS, 30 with PD, and five with
atypical parkinsonian disorder (APD) underwent both NmMRI and DAT-SPECT and were
evaluated. The average (Ave) and the asymmetry index (AI) were calculated in the
substantia nigra compacta area (SNc-area), SNc midbrain-tegmentum contrast ratio
(SNc-CR), and specific binding ratio (SBR). Cut-off values were determined, using
receiver operating characteristic (ROC) analysis, for the differentiation of PD
from NDPS on the statistically significant parameters. All cases were scored as
either 1 (PD) or 0 (NDPS) for each parameter according to its threshold. These
individual scores were totaled for each case, yielding a combined score for each
case to obtain a cut-off value for the MSS. Results The Ave-SNc-area, Ave-SNc-CR,
and Ave-SBR in PD were significantly lower than those in NDPS. The AI-SNc-area
and AI-SBR in PD were significantly higher than those in NDPS. Of the five
parameters, the highest accuracy was 93% for the Ave-SNc-area. For the MSS, a cut
off value of 3 was the accuracy of 96%. Besides, no significant difference was
observed between PD and APD on all parameters. Conclusion An MSS has comparable
or better accuracy compared to each parameter of DAT-SPECT and NmMRI in
distinguishing PD from NDPS.
PMID- 29804475
TI - Histogram analysis of dynamic contrast-enhanced magnetic resonance imaging for
differentiating malignant from benign orbital lymphproliferative disorders.
AB - Background Dynamic contrast-enhanced magnetic resonance imaging (DCE-MRI) has
been used for assessing orbital lymphoproliferative disorders (OLPDs). However,
only the mean values of quantitative parameters were obtained in previous studies
and tumor heterogeneity was ignored. Purpose To assess the value of DCE-MRI
derived histogram parameters in differentiating malignant from benign OLPDs.
Material and Methods Forty-eight OLPDs patients (25 malignant and 23 benign) who
had undergone DCE-MRI for pre-treatment evaluation were retrospectively included.
Histogram parameters of Ktrans, kep, and ve were calculated and compared between
two groups using the independent sample's t-test. Receiver operating
characteristic (ROC) curve analyses were used to determine the diagnostic value
of each significant parameter. Multivariate stepwise logistic regression analysis
was used to identify the independent predictors of malignant OLPDs. Results Tenth
kep, mean kep, median kep, and 90th kep were significantly higher in the
malignant OLPD group than in the benign OLPD group. Tenth ve was significantly
lower in the malignant OLPD group than in the benign OLPD group. Ninetieth kep
was the only independent predictor of malignant OLPDs ( P = 0.019), with an area
under ROC curve of 0.828, a sensitivity of 92.00%, and a specificity of 78.26% at
a cut-off value of 1.057 min-1. Conclusion Histogram analysis of DCE-MRI derived
parameters may help to differentiate malignant from benign OLPDs. The 90th kep
hold the potential as an independent predictor for malignant OLPDs.
PMID- 29804476
TI - Esophageal achalasia: current diagnosis and treatment.
AB - INTRODUCTION: Esophageal achalasia is a primary esophageal motility disorder of
unknown origin, characterized by lack of peristalsis and by incomplete or absent
relaxation of the lower esophageal sphincter in response to swallowing. The goal
of treatment is to eliminate the functional obstruction at the level of the
gastroesophageal junction. Areas covered: This comprehensive review will evaluate
the current literature, illustrating the diagnostic evaluation and providing an
evidence-based treatment algorithm for this disease. Expert commentary: Today, we
have three very effective therapeutic modalities to treat patients with achalasia
- pneumatic dilatation, peroral endoscopic myotomy, and laparoscopic Heller
myotomy with fundoplication. Treatment should be tailored to the individual
patient, in centers where a multidisciplinary approach is available. Esophageal
resection should be considered as a last resort for patients who have failed
prior therapeutic attempts.
PMID- 29804477
TI - Fetus-in-fetu: two case reports.
AB - The objective of our study is to describe the sonographic findings of an
extremely rare pathology. We therefore present two case reports of prenatal
diagnosis of fetus-in-fetu (FIF) with a review of the literature. FIF is a benign
disorder, unlike the teratoma with which often enter into the differential
diagnosis, localized in most cases in the retroperitoneal space. Prenatal
diagnosis is based mainly on ultrasound and radiological characteristics. The
treatment of choice is surgical excision. The importance of prenatal diagnosis of
fetus-in-fetu and the effect on subsequent management are described.
PMID- 29804478
TI - Probiotics for inflammatory bowel diseases: a promising adjuvant treatment.
AB - Inflammatory bowel diseases (IBD) encompass ulcerative colitis (UC), Crohn's
disease (CD) and indeterminate colitis (IC), characterising chronic inflammation
in the gastrointestinal tract, associated with changes in the immune system and
in the intestinal microbiota. Thus, probiotics may offer an alternative or
adjuvant approach to conventional therapy. The present review aims to summarise
the mechanisms of action of probiotics in IBD and their therapeutic effects. Most
of the studies suggest that probiotics are effective in the treatment of UC,
especially when several strains are concomitantly administered. Species of
Lactobacillus and Bifidobacterium genres are the most commonly used, and some
studies even indicate that it is possible to replace medical therapy with
probiotic supplementation. Regarding CD, the results of clinical trials are
controversial and do not support the use of probiotics in this disease. In
conclusion, probiotic supplementation is a promising adjuvant treatment in UC,
but not in CD.
PMID- 29804479
TI - A wearable fabric-based speech-generating device: system design and case
demonstration.
AB - PURPOSE: Existing speech generating devices (SGD) often require caregiver
intervention for setup and positioning, and thus limit opportunities for
spontaneous social interaction. The advent of conductive fabrics presents an
opportunity to render SGDs wearable, thus persistently available. Our goal was to
design and test a wearable SGD incorporating resistive textile-based switches for
a nonverbal pediatric participant with vision impairment. MATERIALS AND METHODS:
Quad-key fabric keypads were designed using two conductive fabrics in combination
with felt and mesh insulators. The keypad with the most repeatable low force
activations and the least cross-talk among keys was chosen for implementation in
a wrist-worn, four-message textile SGD. The fabric-based SGD was used by a
nonverbal pediatric participant for two one-week analysis periods, alternating
with the user's current device for usage reference. Data were derived from usage
logs, parent questionnaires and an end-of-study participant interview. RESULTS:
The best performing keypad consisted of two layers of woven conductive fabrics
and one layer of insulating felt with 10 mm apertures. Communicative interactions
were higher with the fabric-based SGD, particularly at school. Unprompted
initiation of communication was observed only with the fabric-based SGD. The
persistent availability of the textile solution, along with esthetic appeal
likely contributed to its utilization. While the participant preferred the fabric
based SGD, the parent opted for the iPod alternative, citing enhanced message
intelligibility. CONCLUSIONS: Fabric-based SGDs are a new alternative to
conventional SGD designs using rigid electronics. As such, tactile
differentiability of keys, device wearability and esthetic personalization may be
promising advantages for pediatric users. Implications for rehabilitation Fabric
based switches may be a promising alternative to conventional electro-mechanical
switches for the control of speech-generating devices, offering functional (e.g.,
comfort and tactile differentiability), expressive (e.g., non-stigmatizing
textile integration) and esthetic (e.g., colors and textures) appeal. The
material combination of two layers of woven conductive material and one
insulating layer of felt with 10 mm diameter apertures seems to provide a fabric
based keypad suitable for pediatric use, requiring low-force activation and
minimal cross-talk among buttons. Fabric-based devices offer advantages of
tactile differentiability and thus may be particularly suited to individuals with
vision impairments. Wearable textile SGDs can be persistently available and may
thus increase opportunities for communication.
PMID- 29804480
TI - Efficacy of levetiracetam in premature infants: our experience and review of the
literature.
AB - OBJECTIVE: To evaluate levetiracetam (LEV) efficacy in preterm infants admitted
in NICU. STUDY DESIGN: Clinical characteristics of 26 preterm infants treated
with LEV were evaluated retrospectively. The results were compared with those of
44 preterm infants from the literature who were given LEV. RESULT: The mean
gestational week of the infants receiving LEV was found as 26.7 +/- 3.3 weeks,
mean birth weight as 938 +/- 561 g and mean dose of LEV as 17 +/- 9.23 mg/kg.
Overall seizure control rate with LEV was found as 65%, while seizure control was
achieved by 11.5% when it was used as the first drug, 35% as the second drug and
15.3% as the third drug. The incidence of sepsis and intraventricular hemorrhage
in seizure etiology was 73% in infants who received LEV. There was no side effect
observed during LEV treatment. CONCLUSIONS: Seizure control was better achieved
with LEV given as the 2nd antiepileptic in premature infants. Further studies
with randomization of LEV and other antiepileptics in seizure control are needed.
PMID- 29804481
TI - Clinical reappraisal of the influence of drug-transporter polymorphisms in
epilepsy.
AB - INTRODUCTION: Although novel antiepileptic drugs (AEDs) have been recently
released, the issue of drug resistance in epileptic patients remains unsolved and
largely unpredictable. Areas covered: We aim to assess the clinical impact of
genetic variations that may influence the efficacy of medical treatment in
epilepsy patients. Indeed, many genes, including genes encoding drug transporters
(ABCB1), drug targets (SCN1A), drug-metabolizing enzymes (CYP2C9, CYP2C19), and
human leucocyte antigen (HLA) proteins, may regulate the mechanisms of drug
resistance in epilepsy. This review specifically focuses on the ABC genes, which
encode multidrug resistance-associated proteins (MRPs) and may reduce the blood
brain barrier penetration of anticonvulsant AEDs. Expert opinion: Drug resistance
remains a crucial problem in epilepsy patients. Pharmacogenomic studies may
improve our understanding of drug responses and drug resistance by exploring the
impact of gene variants and predicting drug responses and tolerability.
PMID- 29804483
TI - Serum procalcitonin levels in incisional surgical site infections requiring a
secondary suture after cesarean sections.
AB - PURPOSE: Surgical site infections (SSIs) after cesarean section cause maternal
morbidity and economic and emotional burdens on society. Our aim is to measure
procalcitonin (PCT) levels in patients who developed incisional SSIs after
cesarean section while also comparing PCT concentrations between patients who
underwent a secondary suture and who did not require a secondary suture. METHODS:
Ninety-four patients who developed incisional SSI after cesarean section were
enrolled in our study. At the time of admission, serum PCT, C-reactive protein
(CRP), and white blood cell (WBC) counts were measured. The study population was
grouped into two, based on the need of a secondary suture and the patients
baseline blood tests were compared. RESULTS: The mean serum CRP level was not
significant among the groups; however, the median serum PCT level was
significantly higher in patients who required a secondary suture (0.21 vs. 0.05
ng/ml, p <= .0001). Serum PCT levels were positively correlated with the length
of hospital stay (r = 0.72, p = .0001). Area under the curve (AUC) for PCT in
predicting the need of a secondary suture was 0.85 (95% CI: 0.772-0.922) and the
cutoff point was 0.142 ng/ml with a sensitivity of 75% and specificity of 97.8%
(p = .0001). CONCLUSION: Serum PCT is a promising marker for both diagnosing and
predicting the severity of SSIs after cesarean sections.
PMID- 29804482
TI - Changes in circulating levels of carboxymethyllysine, soluble receptor for
advanced glycation end products (sRAGE), and inflammation markers in women during
normal pregnancy.
AB - OBJECTIVE: To determine the circulating levels of insulin, Nepsilon
carboxymethyllysine (CML), soluble receptor for advanced glycation end products
(sRAGE), and markers of inflammation and oxidative stress (OS) in maternal and
umbilical cord blood in a cohort of healthy women with normal pregnancy. METHODS:
We conducted an observational longitudinal study in a group of women (n = 31; age
range 18-39 years) with healthy pregnancy starting at 30 weeks of gestation and
finishing at the time of delivery. We collected weight and height in the
participants and their neonates and calculated body mass index (BMI). Blood from
each patient was collected at 30th week of pregnancy and at delivery when a
sample of cord blood was also obtained. Glucose, lipid profile, CML, sRAGE,
malondialdehyde (MDA), tumor necrosis factor-alpha (TNF-alpha), highly
sensitivity C-reactive protein (hsPCR), and insulin were determined. The study
was approved by the University of Guanajuato Institutional Ethics Committee.
RESULTS: All pregnancies reached term (mean gestational time 38.9 +/- 0.83 weeks)
and there were no maternal complications. Mean age was 27.6 years. Lipid profile
values were higher in the group compared with our values in nonpregnant women.
During pregnancy, levels of insulin increased (p < .0006), CML (p < .0001) and
sRAGE (p < .01) decreased, levels of MDA did not change, while those of TNF-alpha
and hsPCR tended to increase. In the neonates, we found lower levels of CML (p <
.003), hsPCR (p < .004), and insulin (p < .004) and higher levels of sRAGE (p <
.013) and TNF-alpha (p < .022) compared to their mothers at delivery. In the
total group, we found association of CML of the mother at baseline with the CML
(p < .0006) and MDA (p < .002) in neonates, while maternal sRAGE at the end of
pregnancy was associated with CML (p < .004) of their neonates. CONCLUSIONS: Our
study confirms that normal pregnancy is accompanied by insulin resistance (IR)
and significant increase in lipid profile, and demonstrates that circulating
levels of CML and sRAGE decreased significantly at the end of pregnancy. The lack
of association between the course of insulin levels and those of CML probably
results from the predominant role of placental factors in the pathogenesis of IR
in pregnancy. sRAGE levels in the neonates are markedly increased compared to
their mothers suggesting a placental origin of this compound which may have a
protective effect on the fetus since sRAGE restricts Advanced glycation end
product (AGE) effects and may exert anti-inflammatory effects.
PMID- 29804484
TI - Can it really predict prior to delivery? A new ultrasonographic method for
prediction of short and long umbilical cords in full-term pregnancy.
AB - OBJECTIVE: This study aimed to ultrasonographically determine the presence of
short and long umbilical cords in full-term, uncomplicated pregnancies before
delivery. METHODS: A total of 681 pregnant women aged between 18 and 35 years who
had a single pregnancy and an intact amniotic membrane, and who were past the
37th week of gestation, were included. Umbilical cord lengths were
ultrasonographically evaluated using a new method, and were compared with
postnatal umbilical cord length. RESULTS: The mean index values for short,
normal, and long umbilical cords were 2.96, 5.36, and 6.98, respectively. The cut
off index value for a short umbilical cord was 3.75 and the sensitivity and
specificity were calculated as 67 and 97%, respectively, for a value <=3, and as
92 and 80%, respectively, for a value <=4. The cut-off index value was 6.25 for a
long umbilical cord and the sensitivity and specificity were calculated as 75 and
78%, respectively, for a value >=6, and as 85 and 64%, respectively, for a value
>=6.5. CONCLUSIONS: Calculation of the umbilical cord length index is a new
ultrasonographic method that can be easily used to predict short and long
umbilical cords during routine amniotic fluid evaluation in full-term
pregnancies.
PMID- 29804485
TI - Do sunscreen prevent recurrent Herpes labialis in summer?
AB - BACKGROUND: Research results on the efficacy of sunblock sticks in avoiding or
reducing Herpes labialis (HL) recurrences are contradictory and has shown mixed
results, with some protection reported under experimental conditions that could
not be replicated under natural conditions. OBJECTIVE: The purpose of the present
work was to carry out an in vivo test on the effectiveness of a protective lip
product in preventing recurrent HL in natural conditions during summer. METHODS:
An exploratory randomized crossover study was performed on 20 adult volunteers
who served as their own controls during the analysis. The study was conducted
between May and July 2017 around the summer solstice. During the two-month
period, volunteers had to perform normal daily activities and also needed to go
to the beach in Sardinia; thirty subjects applying SPF 30 protection and 30
without protection. RESULTS: In individuals with stick protection, the number of
HL attacks was significantly reduced. Based on association between different
parameters, which emerged from the medical history and the post-test
questionnaire, it was found that male individuals over 44 y of age and with
Fitzpatrick skin type 2 were the most exposed to recurrence. CONCLUSION: HL
recurrence rate can be reduced by using sunblock stick in summer.
PMID- 29804486
TI - Subcuticular interrupted versus continuous skin suturing in elective cesarean
section in obese women: a randomized controlled trial.
AB - OBJECTIVE: To compare the interrupted subcuticular skin closure with continuous
one in obese women undergoing cesarean delivery. MATERIALS AND METHODS: A
randomized controlled study conducted on 169 obese women with term uncomplicated
singleton pregnancy who underwent elective cesarean delivery. They were
randomized to either skin closure through continuous subcuticular sutures using
vicryl 3/0 or interrupted subcuticular suturing using vicryl 3/0. The primary
outcome parameter was occurrence of wound infection. Secondary outcomes included
other skin complications, postoperative pain, operative duration and hospital
stay Results: There was a statistically higher number of cases with wound
hematoma (20 vs. 10, p = .04), infection (30 vs. 15, p = .008) and those who
needed reclosure of wound (8 vs. 0, p = .004) in the continuous when compared to
women in the interrupted subcuticular group, respectively. Healing with secondary
intension was significantly higher in women in the continuous subcuticular group
(52 vs. 26, respectively, p < .001). The number of cases with wound seroma and
keloid formation was not statistically different between the two groups (25 vs.
19, p = .272 and 12 vs. 5, p = .069 in the continuous vs. interrupted groups,
respectively). The duration of CS was longer in those who underwent interrupted
closure when compared to continuous ones. However, that was statistically
insignificant (40.95 + 6.376 vs. 37.05 + 6.455, p = 0.14). CONCLUSIONS: Most
surgical wound complications were reduced if skin closure with continuous
subcuticular sutures is replaced with interrupted one.
PMID- 29804487
TI - Combined Doppler ultrasound and platelet indices for prediction of preeclampsia
in high-risk pregnancies.
AB - OBJECTIVES: To evaluate Doppler ultrasound and platelet indices for the
prediction of preeclampsia (PE). DESIGN: Prospective observational study.
METHODS: The study included 270 normal pregnancy primigravida <20 years at 20-24
week gestation. Doppler ultrasound was done to detect uterine artery diastolic
notch and to measure the pulsation index (PI) and the resistance index (RI). The
platelet count (PC), mean platelet volume (MPV), platelet distribution width
(PDW) and platelet large cell ratio (Plcr) was measured by automated blood
picture. OUTCOME: Validity of combined tests in prediction of PE. RESULTS:
Patients who developed PE had significant higher percentage of diastolic notch,
higher mean PI, RI, and significant increase of MPV and PDW than normotensive
women (p < .001). Patients with abnormal Doppler and abnormal platelet indices
had significant higher incidence of severe PE (p < .001). CONCLUSION: Abnormal
platelet indices combined with abnormal Doppler is a predictor of severity rather
than the rate of development of PE.
PMID- 29804488
TI - Dexamethasone in HELLP syndrome: experience in Bolivia.
AB - OBJECTIVE: To demonstrate the utility of dexamethasone, used according to the
criteria of the attending physician, in patients with HELLP syndrome. METHODS:
This cross-sectional study was conducted in patients with HELLP syndrome and was
based on the daily, real-life management of HELLP syndrome. Patients who received
dexamethasone had it administered immediately after giving birth at a dosage of 8
mg every 8 hours for 72 hours, for a total of 72 mg. The analysis was conducted
between patients who received corticosteroids and those who did not, with
complete or partial HELLP. RESULTS: There were 97 women who suffered
complications from HELLP syndrome, there were 43 (44.3%) received dexamethasone.
The groups were comparable except for the initial platelet count because this was
the criterion used to divide the groups. In addition, the group without
corticosteroids comprised more patients with partial HELLP. The platelet count
shows that on the third day was similar in both groups, following a difference of
more than 40,000 at the beginning of the study. The average platelet increase was
27,448 in the group without corticosteroids and 88,408 in the corticosteroid
group; p = .001. CONCLUSIONS: This study demonstrates that the administration of
postpartum dexamethasone at a dosage of 8 mg every 8 hours for 72 hours in HELLP
syndrome is associated with a significant increase in platelet count.
PMID- 29804489
TI - Acute effects of multi-walled carbon nanotubes on primary bronchial epithelial
cells from COPD patients.
AB - The risks of occupational exposure during handling of multi-walled carbon
nanotubes (MWCNTs) have received limited attention to date, in particular for
potentially susceptible individuals with highly prevalent chronic obstructive
pulmonary disease (COPD). In this in vitro study, we simulated acute inhalation
of MWCNTs employing an air-liquid interface cell exposure (ALICE) system: primary
human bronchial epithelial cells from COPD patients and healthy donors
(controls), cultured at the air-liquid interface (ALI) were exposed to MWCNTs. To
study acute health effects on the respiratory epithelium, two different
concentrations (0.16; 0.34 ug/cm2) of MWCNTs were aerosolized onto cell cultures
followed by analysis after 24 h. Following MWCNT exposure, epithelial integrity
and differentiation remained intact. Electron microscopy analyses identified
MWCNTs both extra- and intracellular within vesicles of mucus producing cells. In
both COPD and healthy control cultures, MWCNTs neither caused increased release
of lactate dehydrogenase (LDH), nor alterations in inflammatory responses, as
measured by RNA expression and protein secretion of the cytokines IL-6, IL-8,
CXCL10, IL-1beta and TGF-beta and oxidative stress markers HMOX-1 and SOD-2. No
short-term alteration of epithelial cell function, as determined by ciliary
beating frequency (CBF), occurred in any of the conditions tested. In conclusion,
the present study provided a reliable and realistic in vitro acute-exposure model
of the respiratory tract, responsive to positive controls such as Dorentruper
Quartz (DQ12) and asbestos. Acute exposure to MWCNTs did not affect epithelial
integrity, nor induce increased cell death, apoptosis or inflammatory changes.
PMID- 29804490
TI - Aromatase inhibition by 2-methyl indole hydrazone derivatives evaluated via
molecular docking and in vitro activity studies.
AB - A causal association is reported between prolonged exposures to elevated levels
of estrogen and breast cancer. Therefore inhibiting aromatase (CYP19A), which
catalyses the conversion of androgens to estrogens, is an important approach in
prevention and treatment of estrogen receptor positive (ER+) breast cancer.
Melatonin, a natural indolic hormone, is reported to prevent free radical induced
carcinogenesis and block local estrogen synthesis in breast tissue via aromatase
inhibition. However several features of melatonin limit its therapeutic use. In
the present study aromatase inhibiting potential of 2-methyl indole hydrazones
are investigated, and compared with melatonin, by two in vitro models; a cell
free assay using a fluorescence substrate and a cell-based assay where cell
proliferation was determined in ER + human breast cancer cells (MCF-7 BUS) in the
absence of estrogen and the presence of testosterone. Aromatase inhibitory effect
is also explored by molecular modelling studies. In biological activity assays
monochloro substituted indole hydrazones were found to have stronger aromatase
inhibitory activity among all tested derivatives and were more active than
melatonin. This finding is further confirmed by molecular modelling. These
results may be useful in the design and synthesis of novel melatonin analogues
with higher inhibitory potency against aromatase.
PMID- 29804491
TI - Ultra Performance Liquid Chromatography/Quadrupole Time-of-Flight Mass
Spectrometry-Based Metabonomics Reveal Protective Effect of Terminalia chebula
Extract on Ischemic Stroke Rats.
AB - Terminalia chebula (TC), a kind of Combretaceae, is a widely used herb in India
and East Asia to treat cerebrovascular diseases. However, the potential mechanism
of the neuroprotective effects of TC at the metabonomics level is still not
clear. The present study focused on the effects of TC on metabonomics in a stroke
model. Rats were divided randomly into sham, model, and TC groups. Rats in the TC
group were intragastrically administered with TC for 7 days after a middle
cerebral artery occlusion (MCAO) operation. The sham and the model groups
received vehicle for the same length of time. Subsequently, the neuroprotective
effects of TC were examined by evaluation of neurological defects, assessment of
infarct volume, and identification of biochemical indicators for antioxidant and
anti-inflammatory activities. Further, metabonomics technology was employed to
evaluate the endogenous metabolites profiling systematically. Consist with the
results of biochemical and histopathological assays, pattern recognition analysis
showed a clear separation of the model group and the sham group, indicating the
recovery impact of TC on the MCAO rats. Moreover, 12 potential biomarkers were
identified in the MCAO model group, involving energy (lactic acid, succinic acid,
and fumarate), amino acids (leucine, alanine, and phenylalanine), and
glycerophospholipid (PC [16:0/20:4], PC [20:4/20:4], LysoPC [18:0], and LysoPC
[16:0]) metabolism, as well as other types of metabolism (arachidonic acid and
palmitoylcarnitine). Notably, it was found that metabolite levels of TC group
were partially reversed to normal. In conclusion, TC could ameliorate MCAO in
rats by affecting energy metabolism (glycolysis and the TCA cycle), amino acid
metabolism, glycerophospholipid metabolism, and other types of metabolism.
PMID- 29804492
TI - Impact of tocilizumab on N-terminal pro-brain natriuretic peptide levels in
patients with active rheumatoid arthritis without cardiac symptoms.
AB - OBJECTIVE: To prospectively investigate the effect of tocilizumab (TCZ) on the
levels of N-terminal pro-brain natriuretic peptide (NT-proBNP), as a predictor of
congestive heart failure (CHF) in patients with active rheumatoid arthritis (RA).
METHOD: Seventy patients with RA (median age 59 years, 86% female) free of
cardiovascular disease were treated with TCZ and followed for 24 weeks. The NT
proBNP levels were measured at baseline and week 24. Thirty healthy controls were
included for comparison of normal NT-proBNP levels with those of RA patients.
RESULTS: The NT-proBNP level was significantly higher in patients with RA than in
controls (median 42.5 pg/mL vs 109.0 pg/mL, p < 0.001). NT-proBNP levels
decreased by 63% over the 24 weeks of TCZ treatment. Multiple linear regression
analysis indicated that the percentage change in the NT-proBNP level was
significantly associated with that of the Simplified Disease Activity Index (beta
= 0.356, p = 0.014), even after adjusting for the levels of rheumatoid factor,
duration of RA, age, and anti-cyclic citrullinated peptide antibody. CONCLUSION:
TCZ decreased the NT-proBNP level in patients with RA without preceding
cardiovascular disease and CHF. TCZ may have a cardioprotective effect in those
with active RA.
PMID- 29804493
TI - Quantitation of cell-associated carbon nanotubes: selective binding and
accumulation of carboxylated carbon nanotubes by macrophages.
AB - To understand the influence of carboxylation on the interaction of carbon
nanotubes with cells, the amount of pristine multi-walled carbon nanotubes (P
MWNTs) or carboxylated multi-walled carbon nanotubes (C-MWNTs) coated with
Pluronic(r) F-108 that were accumulated by macrophages was measured by
quantifying CNTs extracted from cells. Mouse RAW 264.7 macrophages and
differentiated human THP-1 (dTHP-1) macrophages accumulated 80-100 times more C
MWNTs than P-MWNTs during a 24-h exposure at 37 degrees C. The accumulation of C
MWNTs by RAW 264.7 cells was not lethal; however, phagocytosis was impaired as
subsequent uptake of polystyrene beads was reduced after a 20-h exposure to C
MWNTs. The selective accumulation of C-MWNTs suggested that there might be
receptors on macrophages that bind C-MWNTs. The binding of C-MWNTs to macrophages
was measured as a function of concentration at 4 degrees C in the absence of
serum to minimize the potential interference by serum proteins or temperature
dependent uptake processes. The result was that the cells bound 8.7 times more C
MWNTs than P-MWNTs, consistent with the selective accumulation of C-MWNTs at 37
degrees C. In addition, serum strongly antagonized the binding of C-MWTS to
macrophages, suggesting that serum contained inhibitors of binding. Moreover,
inhibitors of class A scavenger receptor (SR-As) reduced the binding of C-MWNTs
by about 50%, suggesting that SR-As contribute to the binding and endocytosis of
C-MWNTs in macrophages but that other receptors may also be involved. Altogether,
the evidence supports the hypothesis that macrophages contain binding sites
selective for C-MWNTs that facilitate the high accumulation of C-MWNTs compared
to P-MWNTs.
PMID- 29804495
TI - Orange Is Less Than Green: An Examination of Bidirectionality in Grapheme-Color
Synesthesia.
AB - Grapheme-color synesthetes experience a sense of color when viewing graphemes
(e.g., digits and letters). Traditionally, these synesthetic perceptions are
considered to be unidirectional, where viewing a grapheme elicits a nonveridical
sensation of color, but viewing a color does not induce a reciprocal sense of a
grapheme. A growing body of research has emerged that suggests the potential for
bidirectional percepts, wherein color facilitates additional grapheme perception.
We present here a novel paradigm in which we presented two sets of pure color
patches, based on synesthete's reported colors, side-by-side and asked
participants to indicate the color patch with the greater affiliated magnitude.
Results indicated that the odds of answering correctly on trials were
significantly greater for synesthetes (80.2% accuracy) than nonsynesthetes (52.1%
accuracy). These results are aligned with other reports that support the notion
of inducing a sense of magnitude from color in synesthetes. These findings
challenge the traditional model of synesthesia as a unidirectional phenomenon and
have implications of the neuronal communications that underlie perception in
general.
PMID- 29804494
TI - When Is Accreting/Deleting Texture Seen as In Front? Interpretation of Depth From
Texture Motion.
AB - Standard accounts of accretion/deletion of texture treat it as a definite cue to
depth ordering: The accreting/deleting surface is interpreted as being behind the
adjoining surface. Froyen, Feldman, and Singh showed that accretion/deletion can
also, under certain circumstances, be perceived as a 3D column rotating in front,
with the accretion/deletion explained by self-occlusion. These displays differ
from traditional accretion/deletion displays in a number of factors, including
the presence of figure/ground cues, accretion/deletion on both sides of
boundaries, and in the number of distinct regions. In a series of experiments, we
systematically manipulated each of these factors in order to determine what
factors are actually instrumental in creating the rotating column
(accretion/deletion in front) interpretation. In Experiment 1, the width of each
region was kept fixed while manipulating the number of regions, and in Experiment
2, the width of the overall display was kept fixed. Observers indicated which set
of regions they perceived to be in front. In both experiments, accreting/deleting
regions were most likely to be seen in front when geometric figural cues favored
a figural interpretation and when textural motion was introduced in all regions
(rather than on just one side of each boundary). The number of regions had a
relatively small effect (although this effect was larger in Experiment 2). These
findings indicate that the geometry of the occluding contour is a critical factor
in the interpretation of accretion/deleting, and future models of 3D
interpretation involving accretion/deletion must include contour geometry as a
key component.
PMID- 29804496
TI - Informativeness of Self-Reports of ADHD Symptoms in Monitoring Response to
Stimulant Treatment in Clinically Referred Adults With ADHD.
AB - OBJECTIVE: To investigate the informativeness of self-reports of ADHD symptoms in
adults with ADHD in the clinical setting. METHOD: Subjects were clinically
referred adults aged 19 years to 67 years of age of both sexes ( N = 54). All
subjects were on stable doses of stimulant and were considered responders to
treatment. ADHD symptoms were assessed using the ADHD Investigator Symptom Rating
Scale (AISRS) and the ADHD Self-Report Scale (ASRS). Spearman's rank correlations
were used to assess the correlations between clinician-assessed ADHD and
patients' self-reports. RESULTS: Spearman's rank correlation analysis found
evidence of a strong, positive association between total scores on the AISRS and
the ASRS ( rs = .65, df = 52, p< .001). CONCLUSION: Results have important
implications for the management and monitoring of treatment response in the
clinical setting through patients' self-report.
PMID- 29804497
TI - Agreement Between Dual-Energy X-Ray Absorptiometry and Quantitative Ultrasound to
Evaluate Bone Health in Adolescents: The PRO-BONE Study.
AB - PURPOSE: The present study aims to investigate the association between dual
energy X-ray absorptiometry (DXA) and quantitative ultrasound (QUS) parameters
and the intermethods agreement in active males. METHODS: In this cross-sectional
study, bone health (by DXA and calcaneal QUS), physical activity (by
accelerometers), and anthropometrics measurements were assessed in 117 active
adolescents (12-14 y old). Bivariate correlation coefficients were calculated to
assess the relationships between DXA standard regions of interest and QUS
parameters. Intraclass correlation coefficients and Bland-Altman plots were used
to assess the level of agreement between bone mineral content regions derived
from DXA and stiffness index. The measurements were z score transformed for
comparison. RESULTS: Most QUS parameters were positive and significantly
correlated with DXA outcomes (stiffness index: r = .43-.52; broadband ultrasound
attenuation: r = .50-.58; speed of sound: r = .25-.27) with the hip showing the
highest correlations. Moreover, the present study found fair to good intraclass
correlation coefficients of agreement (.60-.68) between DXA and QUS to assess
bone health. The Bland-Altman analysis showed a limited percentage of outliers
(3.2%-8.6%). CONCLUSION: QUS device could represent an acceptable alternative
method to assess bone health in active adolescent males.
PMID- 29804498
TI - Examining Parental Expectations and Fault Attributions for Child Sexual Abuse.
AB - Previous research has demonstrated that nonoffending mothers are held at fault
when their child is sexually abused and this fault is directly linked to a
decrease in help-seeking behavior. It is unclear, however, whether similar fault
is applied to nonoffending fathers, as little such research on fathers exists.
What does exist is marked by methodological limitations. Using an experimental
vignette design, 154 participants were randomly assigned to read one of two
hypothetical abuse scenarios (i.e., nonoffending mother or nonoffending father)
depicting the sexual abuse of a female child by an adult male perpetrator.
Participants rated levels of fault attributions assigned to either parent and
then provided open-ended responses outlining their reasons for their attribution
ratings. Quantitatively, both mothers and fathers were assigned similar amounts
of fault for the sexual abuse. Thematic analysis of participants' justifications
for their assigned fault attributions revealed both mothers and fathers are
subject to similar expectations that either increase or decrease assigned fault,
with the exception of one theme, Disbursement, in which participants distributed
fault away from fathers toward others (e.g., the nonoffending mother). By
understanding parent blaming and the reasons behind parent blaming attributions,
future research can work to improve these attitudes.
PMID- 29804499
TI - NADPH oxidase and redox status in amygdala, hippocampus and cortex of male Wistar
rats in an animal model of post-traumatic stress disorder.
AB - Post-traumatic stress disorder (PTSD) is a highly prevalent and impairing
disorder. Oxidative stress is implicated in its pathogenesis. Nicotinamide
adenine dinucleotide phosphate (NADPH) oxidase is an important source of free
radicals. The aim of the study was to assess oxidative stress parameters,
activities of respiratory chain enzymes, and the expression of NADPH oxidase
subunits (gp91phox, p22phox, and p67phox) in the single prolonged stress (SPS)
animal model of PTSD. Twenty-four (12 controls; 12 subjected to SPS), 9-week-old,
male Wistar rats were used. SPS included physical restraint, forced swimming, and
ether exposure. The rats were euthanized seven days later. Cortex, hippocampus,
amygdala, and thalamus were dissected. Malondialdehyde (MDA), reduced glutathione
(GSH), superoxide dismutase (SOD), glutathione peroxidase (GPx), catalase (CAT),
Complex I, and cytochrome C oxidase were measured using spectrophotometric
methods, while the expression of NADPH oxidase subunits was determined by Western
blot. Increased MDA and decreased GSH concentrations were found in the amygdala
and hippocampus of the SPS rats. SOD activity was decreased in amygdala and GPx
was decreased in hippocampus. Increased expression of the NADPH oxidase subunits
was seen in amygdala, while mitochondrial respiratory chain enzyme expression was
unchanged both in amygdala and hippocampus. In the cortex concentrations of MDA
and GSH were unchanged despite increased Complex I and decreased GPx, while in
the thalamus no change of any parameter was noticed. We conclude that oxidative
stress is present in hippocampus and amygdala seven days after the SPS procedure.
NADPH oxidase seems to be a main source of free radicals in the amygdala.
PMID- 29804500
TI - Comparison of the effects of endovenous laser ablation at 1470 nm versus 1940 nm
and different energy densities.
AB - Objectives To evaluate histological and immunohistochemical changes in the great
saphenous vein after endovenous laser ablation at two different wavelengths (1470
vs. 1940 nm) and linear endovenous energy density values (50 vs. 100 J/cm).
Method Segments were obtained from the conventional eversion removal of great
saphenous vein and divided into a control group and four groups for ex vivo
irradiation (control group; A: 1470 nm, 50 J/cm; B: 1470 nm, 100 J/cm; C: 1940
nm, 50 J/cm; D: 1940 nm, 100 J/cm). Fifty venous segments ( n = 10/group) were
analyzed. Changes were classified into low-temperature changes, moderate
temperature changes, high-temperature changes, and very high-temperature changes.
Results In the intima, low-temperature changes + moderate-temperature changes
were significantly more prevalent in group A (65.4%) than in D ( p = 0.001). In
the media, low-temperature changes + moderate-temperature changes were achieved
mostly in groups A and C (77.4% and 75.0%, respectively). In adventitia
fragments, 100% of changes in group A were low-temperature changes + moderate
temperature changes. Conclusions The 1940-nm laser wavelength with linear
endovenous energy density of 100 J/cm was excessively destructive to the intima
and media causing a high rate of high-grade thermal damage. These findings
corroborate the possibility of using lower linear endovenous energy densities
with 1940-nm devices to achieve effective occlusion with less high grade thermal
damage to the intima and media, as well as to prevent damages to the adventitia
and perivenous tissues, including venous perforation and its attendant clinical
consequences.
PMID- 29804501
TI - Dehumanizing Gender: The Debiasing Effects of Gendering Human-Abstracted
Entities.
AB - The propensity to "gender"-or conceptually divide entities by masculinity versus
femininity-is pervasive. Such gendering is argued to hinder gender equality, as
it reifies the bifurcation of men and women into two unequal categories, leading
many to advocate for a "de-gendering movement." However, gendering is so
prevalent that individuals can also gender entities far removed from human sex
categories of male and female (i.e., weather, numbers, sounds) due to the
conceptual similarities they share with our notions of masculinity and femininity
(e.g., tough, tender). While intuition might predict that extending gender to
these (human-abstracted) entities only further reinforces stereotypes, the
current work presents a novel model and evidence demonstrating the opposing
effect. Five studies demonstrate that gendering human-abstracted entities
highlights how divorced psychological notions of gender are from biological sex,
thereby decreasing gender stereotyping and penalties toward stereotype violators,
through reducing essentialist views of gender. Rather than "de-gendering" humans,
we demonstrate the potential benefits of "dehumanizing gender."
PMID- 29804503
TI - Effect of second trimester and third trimester weight gain on immediate outcomes
in neonates born to mothers with gestational diabetes: a retrospective
observational study from India.
AB - AIM: To evaluate the effect of second trimester and third trimester rate of
weight gain on immediate outcomes in neonates born to mothers with Gestational
Diabetes Mellitus (GDM). METHOD AND MATERIAL: This retrospective observational
study enrolled 593 eligible mothers. The records of all pregnant women booked
before 24 weeks and screened for diabetes were eligible if they were diagnosed
with Gestational Diabetes Mellitus (GDM) anytime during pregnancy. All the
necessary maternal and neonatal details were collected from hospital database.
The rate of weight gain was calculated at 18-24 weeks, 28-30 weeks, and that
before delivery. The enrolled women were categorized into: poor weight gain,
normal weight gain, and increased weight. RESULTS AND DISCUSSION: The mean birth
weight, length, and head circumference of neonates were significantly lower in
women who had poor rate of weight gain in comparison with normal weight gain
group. The mean prepregnancy BMI was significantly high in women with increased
rate of weight gain when compared to normal weight gain women in second and third
trimester. Regression analysis done to evaluate the independent effect of weight
gain on C section and neonatal complications, showed that the independent
predictors for cesarean section were previous cesarean section or 12.5 (95% CI
6.7-23) and conception by assisted reproductive technologies or 1.75 (95% CI 1.01
4.3), and the neonatal complications were influenced by birth weight or 1.5 (95%
CI 1.1-2.2) and weight gain during second trimester or 1.26 (95% CI 1-1.6).
CONCLUSION: In women with GDM, reduced weight gain during pregnancy is associated
with small for gestational age neonates. Caesarean section is predicted by
previous C-section, and mode of conception whereas neonatal complications were
predicted by birth weight and maternal weight gain during second trimester.
PMID- 29804504
TI - Structural brain differences in emotional processing and regulation areas between
male batterers and other criminals: A preliminary study.
AB - Poor emotion processing is thought to influence violent behaviors among male
batterers in abusive relationships. Nevertheless, little is known about the
neural mechanisms of emotion processing in this population. With the objective of
better understanding brain structure and its relation to emotion processing in
male batterers, the present study compares the cortical grey matter thickness of
male batterers to that of other criminals in brain areas related to emotion.
Differences among these brain areas were also compared to an emotional perception
task. An MRI study and an emotional perception assessment was conducted with 21
male batterers and 20 men convicted of crimes other than Intimate Partner
Violence (IPV). Results demonstrated that batterers' had significantly thinner
cortices in prefrontal (orbitofrontal), midline (anterior and posterior
cingulate) and limbic (insula, parahipocampal) brain regions. The thickness of
the dorsal posterior cingulate cortex in the batterer group correlated with
scores on the emotional perception task. These findings shed light on a
neuroscientific approach to analyzing violent behavior perpetrated by male
batterers, leading to a better understanding of the underlying mechanisms
involved in IPV.
PMID- 29804502
TI - Less than 7 hours of sleep per night is associated with transitioning to systemic
lupus erythematosus.
AB - Background The role of sleep in the etiology of systemic lupus erythematosus
(SLE) has not been well studied. We examined whether sleep duration was
associated with subsequent transitioning to SLE in individuals at risk for SLE.
Methods Four hundred and thirty-six relatives of SLE patients who did not have
SLE themselves at baseline were evaluated again an average of 6.3 (+/- 3.9) years
later. Fifty-six individuals transitioned to SLE (>= 4 cumulative American
College of Rheumatology (ACR) criteria). Sleep duration, medication use and
medical history were assessed by questionnaire; ACR criteria were confirmed by
medical record review. Vitamin D was measured by ELISA. Generalized estimating
equations, accounting for correlation within families, assessed associations
between baseline sleep and the outcome of transitioning to SLE. Results Reporting
sleeping less than 7 hours per night at baseline was more common in those who
subsequently transitioned than those who did not transition to SLE (55% versus
32%, p = 0.0005; OR: 2.8, 95% CI 1.6-4.9). Those who transitioned to SLE were
more likely to sleep less than 7 hours per night than those who did not
transition to SLE adjusting for age, sex and race (OR: 2.8, 95% CI 1.6-5.1). This
association remained after individual adjustment for conditions and early
symptoms that could affect sleep, including prednisone use, vitamin D deficiency
and number of ACR criteria (OR: 2.0, 95% CI 1.1-4.2). Conclusion Lack of sleep
may be associated with transitioning to SLE, independent of early clinical
manifestations of SLE that may influence sleep duration. Further evaluation of
sleeping patterns and biomarkers in at-risk individuals is warranted.
PMID- 29804505
TI - Use of lubricant gel to shorten the second stage of labor during vaginal
delivery.
AB - BACKGROUND: Vaginal application of lubricant during labor has been studied to
shorten the length of the second stage of labor. OBJECTIVE: To evaluate whether
vaginal application of lubricant shortens the second stage of labor. DATA
SOURCES: Electronic databases were searched from their inception until February
2018. No restrictions for language or geographic location were applied. STUDY
ELIGIBILITY CRITERIA: Randomized controlled trials (RCTs) comparing the use of
lubricant of the vaginal canal (i.e. intervention group) with a control group
(i.e. no lubricant) in pregnant women with singleton gestation and cephalic
presentation undergoing spontaneous vaginal delivery at term. Trials on other
interventions that might impact second stage of labor (pushing methods, perineal
massage, Ritgen's maneuver, etc.) were not included. STUDY APPRAISAL AND
SYNTHESIS METHODS: All analyses were done using an intention-to-treat approach.
The primary outcome was the length of the second stage of labor. Pooled analysis
was performed using the random-effects model of DerSimonian and Laird to produce
summary treatment effects in terms of mean difference (MD) with 95% confidence
interval (CI). TABULATION, INTEGRATION, AND RESULTS: Three RCTs including 512
women evaluating the effect of lubricant application during labor were included
in the meta-analysis. All trials included pregnant women with singleton
gestations in cephalic presentation at term undergoing spontaneous vaginal
delivery. One trial included only nulliparous women, while the other two included
both nulliparous and multiparous women. Lubricant application started in the
first stage before the active phase of labor, and was done intermittently by the
midwife or the physician. A sterile gel was applied into the vaginal canal
manually or with an applicator. All trials used water-soluble gel. The quantity
of gel used was about 2-5 ml for each vaginal examination. There were no
statistically significant differences, comparing women who received lubricant gel
during labor with those who did not, in the lengths of second stage of labor (MD
7.11 min, 95% CI -15.60 to 1.38), of the first stage of labor, or of the active
phase of the first stage of labor. No between-group differences were noticed in
the risk of perineal lacerations, mode of delivery, and in the neonatal outcomes.
CONCLUSION: Vaginal application of lubricant during labor does not reduce the
length of the second stage of labor in pregnant women with singleton gestations
undergoing an attempt at spontaneous vaginal delivery at term.
PMID- 29804506
TI - The accessory Simon effect within and across visual dimensions.
AB - The Dimension-Action model maintains that response selection in the visual system
is modular, such that response selection based on a target's feature occurs
within modules. This study suggests that response selection processes based on a
target's spatial location occur within modules as well, where spatial locations
are coded along with the feature information. From this perspective, the typical
Simon effect, in which interference occurs between a target's feature and its
spatial location, occurs within modules. This study explored whether the unique
characteristic of the spatial Simon, namely, its reduction with increased
reaction time is typical of spatial intra-dimension but not of spatial cross
dimension Simon effects, using the accessory Simon task. Experiment 1
demonstrated that intra-dimension Simon effects were reduced with increased
reaction time, a reduction that was modulated by the task relevance of the
distractor. In contrast, cross-dimension accessory Simon effects were positive
and increased with reaction time. Experiment 2 demonstrated that intra-dimension
Simon effects were not reduced when space was conveyed symbolically by arrows.
Overall, the study suggests that interference in the accessory Simon task is
influenced not only by the nature of the irrelevant spatial information but also
by the modular locus of the targets and distractors.
PMID- 29804507
TI - Identification of pyridinoline, a collagen crosslink, as a novel intrinsic ligand
for the receptor for advanced glycation end-products (RAGE).
AB - : Advanced glycation end-products (AGEs) elicit inflammatory responses via the
receptor for AGEs (RAGE) and participate in the pathogenesis of diabetic
complications. An earlier study showed that 3-hydroxypyridinium (3-HP), a common
moiety of toxic AGEs such as glyceraldehyde-derived pyridinium (GLAP) and GA
pyridine, is essential for the interaction with RAGE. However, the physiological
significance of 3-HP recognition by RAGE remains unclear. We hypothesized that
pyridinoline (Pyr), a collagen crosslink containing the 3-HP moiety, could have
agonist activity with RAGE. To test this hypothesis, we purified Pyr from bovine
achilles tendons and examined its cytotoxicity to rat neuronal PC12 cells. Pyr
elicited toxicity to PC12 cells in a concentration-dependent manner, and this
effect was attenuated in the presence of either the anti-RAGE antibody or the
soluble form of RAGE. Moreover, surface plasmon resonance-based analysis showed
specific binding of Pyr to RAGE. These data indicate that Pyr is an intrinsic
ligand for RAGE. ABBREVIATIONS: AGEs: advanced glycation end-products; RAGE:
receptor for advanced glycation end-products; DAMPs: damage-associated molecular
patterns; PRR: pattern recognition receptor; TLR: toll-like receptor; GLAP:
glyceraldehyde-derived pyridinium; 3-HP: 3-hydroxypyridinium; Pyr: pyridinoline;
HFBA: heptafluorobutyric acid; GST: glutathione S-transferase; SPR: surface
plasmon resonance; ECM: extracellular matrix; EMT: epithelial to mesenchymal
transition.
PMID- 29804508
TI - Beyond positive or negative: variability in daily parent-adolescent interaction
quality is associated with adolescent emotion dysregulation.
AB - Previous work on the contribution of family environments to adolescent emotion
dysregulation has tended to focus on broad parenting characteristics (such as
warmth); however, it is possible that day-to-day variability in parenting may
also relate to emotion dysregulation. The current study sought to test whether
inconsistency in the quality of daily parent-youth interactions related to
multiple indices of emotion dysregulation in adolescents. Two-hundred-twenty-two
adolescents (ages 13-16; 53% female) participated with one parent. Adolescents
completed 14-days of diary reporting on the quality of interactions with their
parent (negative/neutral/positive) and their emotion dysregulation experiences
for each day. Analyses reveal that, beyond the effects of average interaction
quality, adolescents with greater variability in the quality of their
interactions with their parent reported greater average emotion dysregulation
across the days of diary recording and demonstrated greater variability in their
ratings of daily emotion dysregulation. Findings were not accounted for by
parental warmth or hostility, parent-reported trait-level emotion regulation, or
day-level associations between study variables. In these ways, greater
variability - and not merely greater negativity - during interactions between
parents and adolescents was related to adolescent emotion dysregulation,
suggesting that consistency in parent-adolescent relationships may be an
important dimension of psychosocial risk to consider within families.
PMID- 29804509
TI - The effectiveness of telemedicine on body mass index: A systematic review and
meta-analysis.
AB - Object The purpose of this study was to evaluate the clinical effectiveness of
telemedicine on changes in body mass index for overweight and obese people as
well as for diabetes and hypertension patients. Methods A systematic review of
articles published before 31 August 2014, was conducted using searches of
Medline, Cochrane Library, EMBASE, and CINAHL Plus. The inclusion criteria were
randomised controlled trials that compared telemedicine interventions with usual
care or standard treatment in adults and reported a change in body mass index. A
meta-analysis was conducted for eligible studies, and the primary outcome was a
change in body mass index. Subgroup analysis was performed for the type of
telemedicine, main purpose of intervention, and length of intervention. Results
Twenty-five randomised controlled trials comprising 6253 people were included in
the qualitative and quantitative analyses. The length of intervention ranged from
nine weeks to two years. The meta-analysis revealed significant differences in
body mass index changes (pooled difference in means = -0.49, 95% confidence
interval -0.63 to -0.34, p < 0.001) between the telemedicine and control groups.
The subgroup analyses found that either Internet-based or telephone-based
intervention was associated with greater changes in body mass index than in
controls. Telemedicine intervention was effective in improving body mass index
whether it was used for diabetes control, hypertension control, weight loss, or
increasing physical activity and was also effective for people with and without
diabetes or hypertension. However, only interventions with a duration >= 6 months
significantly decreased body mass index compared to controls. Conclusion Both
patients with chronic disease and overweight/obese people could benefit from
telemedicine interventions. We suggest that an effective telemedicine approach
should be longer than six months and emphasise the importance of post
interventional follow-ups.
PMID- 29804510
TI - Two-Faced Morality: Distrust Promotes Divergent Moral Standards for the Self
Versus Others.
AB - People do not trust hypocrites, because they preach water, but drink wine. The
current research shows that, ironically, when we distrust, we become moral
hypocrites ourselves. We argue that experiencing distrust alerts us to the
possibility that others may intent to exploit us, and that such looming
exploitation differentially affects moral standards for the self versus others.
Four studies ( N = 1,225) examined this possibility and its underlying
motivational dynamic. Study 1 established a relationship between dispositional
distrust and flexible, self-serving moral cognition. In Studies 2 and 3,
participants experiencing distrust (vs. trust) endorsed more lenient moral
standards for themselves than for others. Study 4 explored the role of the
motivation to avoid exploitation in these effects. Specifically, participants'
dispositional victim sensitivity moderated the effect of distrust on hypocrisy.
Together, these findings suggest that individuals who distrust and fear to be
exploited show self-serving, and hence untrustworthy, moral cognition themselves.
PMID- 29804511
TI - Enhancing the stability of trehalose synthase via SpyTag/SpyCatcher cyclization
to improve its performance in industrial biocatalysts.
AB - SpyTag and SpyCatcher can spontaneously and rapidly conjugate to form an
irreversible and stable covalent bond. The trehalose synthase (TreS) from
Thermomonospora curvata was successfully cyclized after the fusion of a SpyTag to
its C-terminus and SpyCatcher to the N-terminus. Cyclized TreS retained more than
85% of its activity at temperatures ranging from 40 to 50 degrees C and more than
95% at a pH range of 8 to 10, while the wild type kept only 60 and 80% of its
activity under the same conditions. These results demonstrated that cyclized TreS
had better resistance to high temperature and alkali than the wild type.
Furthermore, structural analysis revealed that cyclized TreS had better
conformational stability and was able to fold correctly at a higher temperature
than the wild type. Our findings indicate that the use of SpyTag and SpyCatcher
to cyclize enzymes is a promising strategy to increase their stability.
PMID- 29804513
TI - Isoxanthohumol stimulates ubiquitin-proteasome-dependent degradation of precursor
forms of sterol regulatory element-binding proteins.
AB - : Sterol regulatory element-binding proteins (SREBPs) are transcription factors
that regulate a wide variety of genes involved in fatty acid and cholesterol
synthesis. In the present study, we identified that isoxanthohumol (IXN)
suppressed SREBP activity. Low concentrations of IXN (10 and 30 MUM) reduced the
amount of mature forms of SREBPs, while high concentration of IXN (100 MUM)
reduced both precursor and mature forms of SREBPs in Huh-7 cells. The IXN
mediated decrease in the precursor forms of SREBPs in Huh-7 cells was completely
abolished by culturing cells under sterol-supplemented conditions and was partly
abolished by treatment with a proteasome inhibitor, MG132, but not a lysosome
inhibitor, NH4Cl. Moreover, IXN accelerated the ubiquitination of the precursor
forms of SREBP-1a. These results suggest that IXN suppresses SREBP activity, at
least in part, via ubiquitin-proteasome-dependent degradation of the precursor
forms of SREBPs. ABBREVIATIONS: ACC1: acetyl-CoA carboxylase 1; DMEM: Dulbecco's
modified Eagle's medium; ER: endoplasmic reticulum; GAPDH: glyceraldehyde-3
phosphate dehydrogenase; 25-HC: 25-hydroxycholesterol; HMGCR: HMG-CoA reductase;
HMGCS: HMG-CoA synthase; Insig: insulin-induced gene; IXN: isoxanthohumol; LPDS:
lipoprotein-deficient serum; SCAP: SREBP cleavage-activating protein; SCD1:
stearoyl-CoA desaturase; SREBPs: sterol regulatory element-binding proteins; XN:
xanthohumol.
PMID- 29804512
TI - Suppression of respiratory growth defect of mutant deficient in mitochondrial
phospholipase A1 by overexpression of genes involved in coenzyme Q synthesis in
Saccharomyces cerevisiae.
AB - DDL1 encodes a mitochondrial phospholipase A1 involved in acyl chain remodeling
of mitochondrial phospholipids and degradation of cardiolipin in Saccharomyces
cerevisiae. The deletion of DDL1 leads to respiratory growth defects. To
elucidate the physiological role of DDL1, we screened for genes that, when
overexpressed, suppress the respiratory growth defect of the DDL1 deletion
mutant. Introduction of COQ8, COQ9, or COQ5, which are involved in coenzyme Q
(CoQ) synthesis, using a multicopy vector suppressed the respiratory growth
defect of the DDL1 deletion mutant. In contrast, introduction of COQ8 using a
multicopy vector did not accelerate the growth of the deletion mutants of TAZ1 or
CLD1, which encode an acyltransferase or phospholipase A2, respectively, involved
in the remodeling of cardiolipin. These results suggest genetic interactions
between the mitochondrial phospholipase A1 gene and the genes involved in CoQ
synthesis.
PMID- 29804516
TI - Allergy to acrylate in composite in an orthodontic patient: a case report.
AB - Acrylate allergies have been reported in dental professionals as a result of
repeat exposure to various acrylate monomers and in dental patients with
removable prostheses or longstanding composite restorations. To date, there is
only one report of an allergic reaction in a young patient from small volumes of
resin-based orthodontic adhesive. This case report demonstrates a recent case of
gingival inflammation and lip oedema attributed to an acrylate allergy arising
from the composite adhesive used with fixed appliances. The impact on orthodontic
treatment is reported and the wider implications for dentistry are discussed.
PMID- 29804515
TI - Participation of liver stem cells in cholangiocarcinogenesis after aflatoxin B1
exposure of glutathione S-transferase A3 knockout mice.
AB - Aflatoxin B1, arguably the most potent human carcinogen, induces liver cancer in
humans, rats, trout, ducks, and so on, but adult mice are totally resistant. This
resistance is because of a detoxifying enzyme, mouse glutathione S-transferase
A3, which binds to and inactivates aflatoxin B1 epoxide, preventing the epoxide
from binding to DNA and causing mutations. Glutathione S-transferase A3 or its
analog has not been detected in any of the sensitive species, including humans.
The generation of a glutathione S-transferase A3 knockout (represented as KO or
/-) mice has allowed us to study the induction of liver cancer in mice by
aflatoxin B1. In contrast to the induction of hepatocellular carcinomas in other
species, aflatoxin B1 induces cholangiocarcinomas in GSTA3-/- mice. In other
species and in knockout mice, the induction of liver cancer is preceded by
extensive proliferation of small oval cells, providing additional evidence that
oval cells are bipolar stem cells and may give rise to either hepatocellular
carcinoma or cholangiocarcinoma depending on the nature of the hepatocarcinogen
and the species of animal. The recent development of mouse oval cell lines in our
laboratory from aflatoxin B1-treated GSTA3-/- mice should provide a new venue for
study of the properties and potential of putative mouse liver stem cells.
PMID- 29804514
TI - Viruses, IRESs, and a universal translation initiation mechanism.
AB - Internal ribosome entry sites (IRESs) are cis-acting RNA elements capable of
recruiting ribosomes and initiating translation on an internal portion of an
mRNA. This is divergent from canonical eukaryotic translation initiation, where
the 5' cap is recognized by initiation factors (IFs) that recruit the ribosome to
initiate translation of the encoded peptide. All known IRESs are capable of
initiating translation in a cap-independent manner, and are therefore not
constrained by the absence or presence of a 5' m7G cap. In addition to being cap
independent, IRES-mediated translation often uses only a subset of IFs allowing
them to function independently of canonical initiation. The ability to function
independently of the canonical translation initiation pathway allows IRESs to
mediate gene expression when cap-dependent translation has been inhibited. Recent
reports of viral IRESs capable of initiating translation across taxonomic domains
(Eukarya and Bacteria) have sparked interest in designing gene expression systems
compatible with multiple organisms. The ability to drive translation independent
of cellular context using a common mechanism would have a wide range of
applications ranging from agriculture biotechnology to the development of
antiviral drugs. Here we discuss IRES-mediated translation and critically compare
the available mechanistic and structural information. A particular focus will be
on IRES-meditated translation across domains of life (viral and cellular IRESs) ,
IRES bioengineering and the possibility of an evolutionary conserved translation
initiation mechanism.
PMID- 29804517
TI - Alternate healthy eating index and risk of depression: A meta-analysis and
systemematic review.
AB - OBJECTIVE: The alternate healthy eating index has been associated with
depression. However, results reported in the literature are inconsistent. The
present meta-analysis determined the association between the AHEI or AHEI-2010
and depression in adults without chronic disease. METHODS: Nine electronic
databases and the reference lists of identified studies were systematically
searched for studies published up to December 2016. Articles examining the
association between depression and the AHEI or AHEI-2010 in adults were included.
RESULTS: We identified eight observational studies with 10 effect sizes involving
a total of 38,360 participants. When both the AHEI and AHEI-2010 were considered,
the dietary index score was associated with a significant reduction in depression
risk (odds ratio OR=0.70, 95% confidence interval CI=0.57-0.87). However, the
subgroup analysis indicated that the AHEI-2010 (OR=0.69, 95% CI=0.56-0.89), but
not the AHEI (OR=0.60, 95% CI=0.30-1.17), was significantly associated with
reduced odds of depression. The heterogeneity among the included studies was
significantly high (Q=48.9, P<0.01, I2=81.60%). DISCUSSION: Our findings suggest
that the AHEI-2010 is associated with a reduction in depression risk. However,
well-designed randomized controlled trials must be conducted to confirm the
causal relationship between the AHEI-2010 and depression.
PMID- 29804518
TI - Deep Learning Role in Early Diagnosis of Prostate Cancer.
AB - The objective of this work is to develop a computer-aided diagnostic system for
early diagnosis of prostate cancer. The presented system integrates both clinical
biomarkers (prostate-specific antigen) and extracted features from diffusion
weighted magnetic resonance imaging collected at multiple b values. The presented
system performs 3 major processing steps. First, prostate delineation using a
hybrid approach that combines a level-set model with nonnegative matrix
factorization. Second, estimation and normalization of diffusion parameters,
which are the apparent diffusion coefficients of the delineated prostate volumes
at different b values followed by refinement of those apparent diffusion
coefficients using a generalized Gaussian Markov random field model. Then,
construction of the cumulative distribution functions of the processed apparent
diffusion coefficients at multiple b values. In parallel, a K-nearest neighbor
classifier is employed to transform the prostate-specific antigen results into
diagnostic probabilities. Finally, those prostate-specific antigen-based
probabilities are integrated with the initial diagnostic probabilities obtained
using stacked nonnegativity constraint sparse autoencoders that employ apparent
diffusion coefficient-cumulative distribution functions for better diagnostic
accuracy. Experiments conducted on 18 diffusion-weighted magnetic resonance
imaging data sets achieved 94.4% diagnosis accuracy (sensitivity = 88.9% and
specificity = 100%), which indicate the promising results of the presented
computer-aided diagnostic system.
PMID- 29804519
TI - Identification and characterization of a novel bacterial beta-glucosidase that is
highly specific for the beta-1,2-glucosidic linkage of sesaminol triglucoside.
AB - A gene (PSTG2) coding for a novel beta-glucosidase belonging to glycoside
hydrolase family 3 was identified in the vicinity of the previously identified
beta-glucosidase gene [sesaminol triglucoside (STG)-hydrolyzing beta-glucosidase,
PSTG1] in the genome of Paenibacillus sp. strain KB0549. Compared with PSTG1,
recombinant PSTG2 more specifically acted on the beta-1,2-glucosidic linkage of
the STG molecule to transiently accumulate a larger amount of 6-O-(beta-D
glucopyranosyl)-beta-D-glucopyranosylsesaminol.
PMID- 29804521
TI - Gas chromatography-mass spectrometry-based monomer composition analysis of medium
chain-length polyhydroxyalkanoates biosynthesized by Pseudomonas spp.
AB - Medium-chain-length (mcl)-polyhydroxyalkanoates (PHAs), elastomeric polyesters
synthesized by Genus Pseudomonas bacteria, generally have many different monomer
components. In this study, PHAs biosynthesized by four type strains of
Pseudomonas (P. putida, P. citronellolis, P. oleovorans, and P.
pseudoalcaligenes) and a typical PHA producer (P. putida KT2440) were
characterized in terms of the monomer structure and composition by gas
chromatography-mass spectrometry (GC-MS) analysis. With a thiomethyl pretreatment
of PHA methanolysis derivatives, two unsaturated monomers, 3-hydroxy-5
dodecenoate (3H5DD) and 3-hydroxy-5-tetradecenoate (3H5TD), were identified in
mcl-PHAs produced by P. putida and P. citronellolis. The quantitative analysis of
PHA monomers was performed by employing GC-MS with methanolysis derivatives, and
the results coincided with those obtained by performing nuclear magnetic
resonance spectroscopy. Only poly(3-hydroxybutyrate) was detected from the P.
oleovorans and P. pseudoalcaligenes type strains. These analytical results would
be useful as a reference standard for phenotyping of new PHA-producing bacteria.
PMID- 29804522
TI - Thrombolysis for Venous Thromboembolism During Pregnancy: A Literature Review.
AB - BACKGROUND: Pregnancy is a hypercoagulable state, conferring an increased risk of
venous thromboembolism (VTE). However, treatment algorithms for deep venous
thrombosis and pulmonary embolism are based on studies of nonpregnant patients.
METHODS: A literature review of cases in which thrombolysis was used for the
treatment of VTE during pregnancy was conducted using the PubMed (National
Institutes of Health) database. RESULTS: A PubMed database search of English
language articles for reports of thrombolysis for the treatment of VTE in
pregnancy identified 215 cases, including 183 cases of systemic thrombolysis, 19
cases of catheter-directed thrombolysis, and 13 cases of mechanical thrombectomy.
For systemic thrombolysis, the maternal complication rate was 4.40% and the fetal
complication rate was 1.65%. For catheter-directed thrombolysis, the maternal
complication rate was 14.75% and the fetal complication rate was 5.2%. In cases
of mechanical thrombectomy, there were no reported maternal or fetal
complications. CONCLUSIONS: Although conservative strategies are preferred in
pregnancy, thrombolysis is an adjunct for limb or life-threatening VTE. Review of
past reports suggests low rates of maternal and fetal complications following
systemic thrombolysis and mechanical thrombectomy but higher rates of
complications after catheter-directed thrombolysis in the treatment of VTE during
pregnancy.
PMID- 29804525
TI - A Review on the Expression and Metabolic Features of Orphan Human Cytochrome P450
2S1 (CYP2S1).
AB - BACKGROUND: Cytochrome P450 2S1 (CYP2S1) is one of the 'orphan' CYPs, which is
expressed primarily among extra-hepatic tissues and it is inducible by dioxin.
Although the contribution of extra-hepatic CYPs in drug metabolism is considered
less significant, they play more important roles in leading to in situ toxicity
in organs with higher expression. METHOD: A non-systemic search was performed to
review articles relevant to CYP2S1 in literature. This review will update the
findings related to the expression and regulation of CYP2S1 gene and protein,
substrate profiles and metabolism mechanisms, genetic polymorphisms, and their
association with diseases. RESULTS: The expression of CYP2S1 was mainly in the
epithelium of portal of entry organs such as respiratory and gastrointestinal
tract. Aryl Hydrocarbon Receptor (AHR) is believed to be partly involved in the
induction of CYP2S1. CYP2S1 was found to activate and deactivate pro-drugs which
resulted in toxicity and detoxification of carcinogens. The current knowledge of
the endogenous functions of CYP2S1 is largely related to cell proliferation and
lipid metabolisms. Several polymorphic alleles of CYP2S1 have been reported and
documented to date. CONCLUSION: Molecular-based investigations should be
performed to better understand the regulation mechanism of CYP2S1 in various
cells and tissues. It is pivotal to establish optimum expression and incubation
systems in vitro to elucidate the substrate specificity of CYP2S1 and
characterise the genetic consequences of variant CYP2S1 in vitro.
PMID- 29804526
TI - Editorial: Growing Researches Responding to the Booming of Cardiovascular and
Metabolic Diseases in China and Canada.
PMID- 29804524
TI - Promoting physical activity in people with intellectual and multiple disabilities
through a basic technology-aided program.
AB - This study assessed a technology-aided program (monitoring responding, and
ensuring preferred stimulation and encouragements) for promoting physical
activity with 11 participants with severe/profound intellectual and multiple
disabilities. Each participant was provided with an exercise device (e.g. a
static bicycle and a stepper) and exposed to the program according to an ABAB
design, in which A and B represented baseline and intervention phases,
respectively. Data recording concerned (a) the participants' responses with the
exercise device (e.g. pedaling) during baseline and intervention phases and (b)
their heart rates during the last intervention phase. The results showed that all
participants had significant increases in responding with the exercise devices
during the intervention phases. Heart-rate values during the intervention
sessions indicated that the participants' responding during those sessions mostly
amounted to moderate-intensity physical activity, with potential benefits for
their overall physical condition. Implications of the findings and questions for
future research in the area were discussed.
PMID- 29804527
TI - Editorial: The Concepts of Pharmacophore/Toxicophores: A
Philosophical/Mathematical- cum-Historical Perspective.
PMID- 29804528
TI - Genetic Variants of CYP2R1 Are Key Regulators of Serum Vitamin D Levels and
Incidence of Myocardial Infarction in Middle-Aged Egyptians.
AB - BACKGROUND: Myocardial Infarction (MI) is one of the leading causes of morbidity
and mortality in Egypt and worldwide. Vitamin D deficiency has long been linked
to incidence of cardiovascular diseases. Several factors were reported to
contribute to serum vitamin D level including exposure to sunlight. However,
genetic variations in the vitamin D metabolic pathways have also been considered
as strong determinants of vitamin D levels. CYP2R1 is the major 25-hydroxylase
enzyme that is responsible for the 1st activation step of vitamin D. OBJECTIVE:
to investigate the contribution of polymorphisms in CYP2R1 gene to vitamin D
deficiency and incidence of MI in Egyptians. METHODS: The study included 323
subjects; 185 MI patients and 138 healthy controls. Serum 25OHD3, 25OHD2 and
total 25OHD levels were measured using LC-MS/MS. SNPs rs2060793 and rs1993116
were determined by polymerase chain reaction - restriction fragment length
polymorphism (PCRRFLP) which is considered one of the most commonly used
techniques in genotyping. SNP rs10766197 was detected using TaqMan allele
discrimination assay. RESULTS: Serum 25OHD3, 25OHD2 and total 25OHD levels were
found to be significantly lower in MI patients than controls. The three studied
SNPs were associated with significantly different total 25OHD levels and their
genotype distributions differed significantly between MI patients and controls
where the high risk genotypes were AG/AA for rs2060793, AG/GG for rs1993116 and
AG/AA for rs10766197. Additionally, the concurrent presence of high risk
genotypes of the three studied SNPs rendered those individuals at extremely
higher risk for MI than each individual SNP (OR 14.1, 95% CI (3.1-64.7), p-value
= < 0.0001). CONCLUSIONS: Genetic variants of CYP2R1 are key determinants of
serum 25OHD levels and are highly associated with MI risk.
PMID- 29804529
TI - Therapeutic Potential of Small Activating RNAs (saRNAs) in Human Cancers.
AB - BACKGROUND: RNA is increasingly recognized as a powerful molecule that can be
used to control gene expression. Sophisticated, well-engineered RNA-based
regulators are being developed as oligotherapeutics. METHODS: In particular,
small activating RNAs (saRNAs) are promising therapeutic options for targeting
human diseases. Numerous saRNAs targeting multiple cancers have been developed in
preclinical models. One saRNA targeting C/EBPalpha is currently undergoing
clinical trials in liver cancer. RESULTS AND CONCLUSION: In this review, we
describe the current working model of the intracellular mechanism of saRNA,
discuss the recent progress of saRNA therapeutics in preclinical and clinical
trials, and current advances in targeted delivery using aptamers in detail.
PMID- 29804530
TI - Potential Use of Pentoxifylline in Cancer Therapy.
AB - BACKGROUND: Pentoxifylline (PTX) is a drug commonly used in the treatment of
intermittent claudication. However, numerous research groups report that PTX also
may potentially be used in the anticancer therapy following one of the main
trends in the nowadays medicine - combined anticancer therapy. SCOPE OF REVIEW:
The review concentrates on the reports revealing the potential use of PTX in
cancer treatment. Major Conclusion: PTX is described to possess several
properties which may be exploited in cancer treatment. The drug reportedly not
only has anticancer activity itself, but also increases cancer cells
susceptibility to radiation therapy and, additionally, reduces long-term side
effects of this therapy. Furthermore, numerous research groups report that PTX
may increase the anticancer potential of commonly used anticancer drugs such as
cisplatin or doxorubicin as well as reduce side effects of these drugs.
SIGNIFICANCE: PTX should be considered as a potential drug in the combined
anticancer therapy.
PMID- 29804531
TI - Intestinal Crosstalk between Microbiota and Serotonin and its Impact on Gut
Motility.
AB - BACKGROUND: The gastrointestinal tract harbours a diverse bacterial community
that contributes to health and disease. A number of studies have demonstrated
that the gut microbiota plays a critical role in the metabolism of serotonin.
METHODS: Microbial-derived metabolites, such as bile acids and short-chain fatty
acids, are reported to affect the production of serotonin which, in turn,
directly or indirectly regulates gut motility. Enterochromaffin cells are
important specialized endocrine cells found in the intestine, which is the major
location of serotonin biosynthesis. The relationship between microbiota and gut
motility are studied depended on microbial-derived metabolites and serotonin.
RESULTS AND CONCLUSION: Both bile acids and short-chain fatty acids can modulate
serotonin metabolism in hosts by affecting key intermediates of the serotonin
pathway. Thus, gut motility may be regulated through microbial modifications of
host serotonin biosynthesis, which continues to be evaluated as a target for
functional gastrointestinal disorders.
PMID- 29804532
TI - Onjisaponin B (OB) is Neuroprotective During Cognitive Loss Through Immune
mediated and SIRT1 Pathways.
AB - BACKGROUND: The purpose of the present study was to investigate the effects of
Onjisaponin B (OB) in lipopolysaccharide (LPS)-induced cognitive deficits.
METHODS: The rats were divided into four groups: sham group, LPS group (the model
group), LPS + OB (1 mg/kg) group and LPS + OB (2 mg/kg) group. OB was treated
three days before surgery and thereafter continuously for 7 days. Three days
later, rats were intracerebroventricularly injected with LPS. The levels of
inflammatory cytokines and the capability of free radical scavenging in serum and
hippocampus were determined after the LPS challenge. PC12 cells were divided into
control group, LPS group (the model group), LPS + OB (10 uM) group, LPS + OB (20
uM) group, LPS + OB (40 uM) group, LPS + OB (2 mg/kg) + nicotinamide group. The
cell viability was measured by MTT assay. The protein expressions of Sirt1, p
AMPK, AMPK, Nrf-2, HO-1, Bcl-2, Bax, caspase-9, caspase-3, p-IkappaBalpha,
IkappaBalpha, p-NF-kappaBp65 and NF-kappaBp65 were detected by western blot
analysis. RESULTS: As a result, OB administration effectively relived the
cognitive impairment, reduced the contents of IL-1beta, IL-6, TNF-alpha, MDA and
restored SOD activities of SOD in serum and hippocampus of LPS-induced rats.
Furthermore, OB treatment improved cell viability, ameliorated the alterations of
IL-1beta, IL-6, TNF-alpha, MDA and SOD in the supernatant of LPS-induced PC12
cells. Of note, the expressions of Sirt1, Nrf-2, HO-1, Bcl-2 and p-AMPK were
downregulated, while Bax, caspase-9, caspase-3 and the phosphorylations of
IkappaBalpha and NF-kappaBp65 in the LPS-stimulated hippocampus and PC12 cells
were increased attributed to the LPS stimulation. Nevertheless, the conditions
were significantly attenuated by OB treatment. In the LPS-induced PC12 cell,
nicotinamide, a SIRT1 inhibitor, abrogated the beneficial effects of OB, as
indicated by the antioxidant, anti-inflammatory and anti-apoptosis signaling.
CONCLUSION: Based on the above evidence, our results demonstrated that OB was a
potential therapeutic candidate for LPS-induced cognitive deficits.
PMID- 29804533
TI - Bradykinin B2 receptors play a neuroprotective role in Hypoxia/reoxygenation
injury related to pyroptosis pathway.
AB - BACKGROUND: Kinins are pro-inflammatory peptides that mediate numerous vascular
and pain responses in tissue injury. Kinins exert their biological functions via
two G-protein-coupled receptors: Bradykinin 1 Receptor (B1R) and Bradykinin 2
Receptor (B2R). We previously demonstrated the up-regulation of B2R after
Hypoxia/Reoxygenation (H/R) injury in primary cultured cortical neurons. However,
the role of B2R in inflammasome-induced pyroptosis remains unknown. METHODS: We
induced H/R neuronal injury in primary cultured cortical neurons harvested from
embryonic day 17 brains. Next, we examined the neuroprotective function of B2R in
H/R-induced neuronal apoptosis or necrosis using an annexin V FITC/Propidium
Iodide (PI) double-staining technique. The pyroptosis signaling cascade,
including caspase-1, IL-1beta and IL-18 levels and Cleaved Gasdermin D (GSDMD)
expression was examined by real-time quantitative reverse transcription
polymerase chain reaction (RT-qPCR) and western blotting to explore the
underlying molecular mechanism. RESULTS: H/R injury significantly increased B2R
protein expression (P<0.05) as well as the percentage of early apoptotic and
necrotic or late apoptotic neurons as verified by the annexin V FITC/PI flow
cytometric analysis. Bradykinin (BK), a specific B2R agonist, caused a
significant decrease in apoptotic neuronal death after H/R injury, while HOE140,
a specific B2R antagonist, markedly reduced the neuroprotective effect of B2R.
Following H/R injury, BK downregulated the caspase-1, IL-1beta and IL-18 levels
(P<0.01). In contrast, pretreatment with HOE140 significantly increased caspase
1, IL-1beta, and IL-18 levels (P<0.01). Further analysis revealed that GSDMD, a
key executioner of pyroptosis, is a target for B2R-mediated inhibition of
neuronal pyroptosis. Cleaved GSDMD expression was significantly inhibited by BK
pretreatment and significantly enhanced by HOE140 pretreatment (P<0.01).
CONCLUSION: These results indicate that activation of B2R plays an important role
in pyroptosis mediated by H/R injury.
PMID- 29804534
TI - Lopinavir/Ritonavir Treatment Induces Oxidative Stress and Caspaseindependent
Apoptosis in Human Glioblastoma U-87 MG Cell Line.
AB - BACKGROUND: Lopinavir and Ritonavir (LPV/r) treatment is widely used to prevent
HIV mother-to-child transmission. Nevertheless, studies related to the impact of
these compounds on patients, in particular in the foetus and newborns, are
strictly required due to the controversial findings reported in the literature
concerning possible neurologic side effects following the administration of these
drugs. OBJECTIVES: In our study, we evaluated the impact of LPV/r treatment on
the human glioblastoma U- 87 MG cell line. METHODS: In order to evaluate the
influence of Lopinavir and Ritonavir in terms of oxidative stress (ROS
production), mitochondrial morphology and apoptotic cell death, the latter either
in the presence or in the absence of caspase-3 and -9 inhibitors, we treated U-87
MG with increasing doses (0.1-1-10-25-50 uM) of Lopinavir and Ritonavir for 24h,
either in single formulation or in combination. ROS production was measured by
flow cytometry using H2DCFDA dye, mitochondrial morphology was evaluated using
MitoRed dye and apoptotic cell death was monitored by flow cytometry using
Annexin V-FITC and Propidium Iodide. RESULTS: We observed that co-treatment with
Lopinavir and Ritonavir (25 and 50 uM) promoted a significant increase in ROS
production, caused mitochondrial network damage and induced apoptosis in a
caspase-independent manner. CONCLUSION: Based on our findings, concordant with
others reported in the literature, we hypothesize that LPV/r treatment could not
be entirely free from side effects, being aware of the need of validation in in
vivo models, necessary to confirm our results.
PMID- 29804535
TI - Competency of prefilled insulin pen usage among diabetes patients in Saudi
Arabia: a cross-sectional study.
AB - BACKGROUND: Correct insulin injection practice is essential for better diabetic
control. The aim of this study is to investigate the level of competency of
prefilled insulin pen usage (injection technique and storage) among diabetes
patients in Saudi Arabia. METHODS: A cross-sectional survey between March 2017 to
July 2017 of randomly selected patients with diabetes attending Diabetes
Treatment Center, Prince Sultan Military Medical City, Saudi Arabia, who's
actively using prefilled insulin pen, patients were interviewed to complete pre
structured questionnaire to assess competency of using the insulin pen. The
contents of the questionnaire addressed the 6 competency components according to
manufacturer recommendation (priming, count time, storage, using new needles,
store with no needles, and discard date), background, clinical and metabolic
data. Results of the questionnaire were analyzed to identify common pitfalls and
were also contrasted with background clinical and metabolic data. RESULTS: Of 165
patients with diabetes (aged 14-70yrs) interviewed for the study, and all
questioners completed properly. Only 14 (8.5%) patients following all of the six
competency components. Count time 52 (31.6%) was the least followed competency
component of the six, whereas discard date and storage of insulin pen were the
most followed with 165 (100%) and 159 (96.4%) consecutively. Education was the
only significant variable related to the following of more than 3 of the
competency component, and logistic regression showed the college or higher
educated patients were three times more likely to follow more than 3 of the
competency component. CONCLUSION: The study concluded that majority of patients
ignoring or unaware of the major components of correct use of prefilled insulin
in Saudi Arabia.
PMID- 29804536
TI - Design, Synthesis and Biological Evaluation of New 1,3-diphenyl-3-
(phenylamino)propan-1-ones as Selective Cyclooxygenase (COX-2) Inhibitors.
AB - BACKGROUND: Prostaglandins are a family of eicosanoids biosynthesized from
arachidonic acid through cyclooxygenase (COX) pathway. Two isoforms of COX are
well established: COX-1, COX-2. Evidence supports the notion that cyclooxygenase
2, plays a crucial role in some pathological conditions such as inflammation and
cancer. OBJECTIVE: A new group of 1,3-diphenyl-3-(phenylamino)propan-1-ones was
designed and synthesized to investigate for their COX-2 inhibitory activity and
inhibition of platelet aggregation. METHOD: Docking study was performed using
AutoDock vina software. In vitro COX-1 and COX- 2 isozyme inhibition studies were
accomplished to obtain structure activity relationship data. The in vitro
antiplatelet aggregation activity was determined by turbidimetric procedure.
RESULTS: In vitro COX inhibition assay showed that except compound 8c, all
derivatives were selective COX-2 inhibitors with IC50 values in the potent 0.20
0.35 uM range with high COX-2 selectivity indexes (SI). Molecular modeling and
docking studies indicated that synthesized compounds had a binding similar to
that of the known inhibitor SC-558 and the SO2Me group was inserted into the COX
2 secondary pocket (Val523, Phe518, Ile517, Arg513 and His90) and C=O of the
central alpha, beta-unsaturated-carbonyl moiety was oriented toward the entrance
to the COX-2 binding site (Tyr355 and Arg120). CONCLUSION: The 1,3-diphenyl-3
(phenylamino)propan-1-ones are novel COX-2 inhibitors with good COX-2 inhibitory
and low affinity for COX-1 isoenzyme. Also our results demonstrated that majority
of these compounds inhibited AA-induced platelet aggregation.
PMID- 29804537
TI - The Evaluation of Metal Co-ordinating Bis-Thiosemicarbazones as Potential Anti
malarial Agents.
AB - Bis-thiosemicarbazones containing a central pyridyl core display potent
antimalarial activity in vitro. The absence of the pyridyl core results in
inactive compounds. It is likely that metal ion sequestration and subsequent
reactive oxygen generation plays a role in the antimalarial activity of the
series, the redox activity of the iron complexes of bis-thiosemicarbazones
paralleling antimalarial activity. The activity of this series compares
favourably to that of previously reported iron sequestering compounds.
PMID- 29804538
TI - The application of machine learning techniques in the clinical drug therapy.
AB - The development of a novel drug is an extremely complicated process that includes
the target identification, design and manufacture, and proper therapy of the
novel drug, as well as drug dose selection, drug efficacy evaluation, and adverse
drug reaction control. Due to the limited resources, high costs, long duration,
and low hit-to-lead ratio in the development of pharmacogenetics and computer
technology, machine learning techniques have assisted novel drug development and
have gradually received more attention by researchers. According to current
research, machine learning techniques are widely applied in the process of the
discovery of new drugs and novel drug targets, the decision surrounding proper
therapy and drug dose, and the prediction of drug efficacy and adverse drug
reactions. In this article, we discussed the history, workflow, and advantages
and disadvantages of machine learning techniques in the processes mentioned
above. Although the advantages of machine learning techniques are fairly obvious,
the application of machine learning techniques is currently limited. With further
research, the application of machine techniques in drug development could be much
more widespread and could potentially be one of the major methods used in drug
development.
PMID- 29804539
TI - Free Fatty Acids: Circulating Contributors of Metabolic Syndrome.
AB - Metabolic syndrome induces an increased cardiovascular morbidity and mortality.
Most importantly, the prevalence of metabolic syndrome in adult population is
expanding. Both clinical and preclinical studies indicate that increased Free
Fatty Acids (FFAs) are involved in the pathogenesis of insulin resistance and
subsequent development of metabolic syndrome. The relevance of FFAs in protecting
and restoring tissue function is quite vast. The search to correlate the
functional deterioration of the tissues within the cardiovascular system and
increased plasma concentrations of FFAs has been reported. The importance of
reduction in the consumption of dietary fatty acids along with the identification
of dysregulated genes responsible for persistent increased FFAs uptake and
mitochondrial beta-oxidation has been increasingly recognized. This review
discusses the current empirical understanding of the different types of fatty
acids and their metabolism and functions both in physiological and
pathophysiological conditions. We also discuss in detail about the molecular and
pathophysiological basis of increased FFAs, which augments Cardiovascular Disease
(CVD).
PMID- 29804541
TI - Radioprotective Effect of Cerium Oxide Nanoparticles Against Genotoxicity Induced
by Ionizing Radiation on Human Lymphocytes.
AB - BACKGROUND: Ionizing radiation induces DNA damage on normal cell results in
apoptosis and cell deaths. OBJECTIVES: The radioprotective effects of cerium
oxide nanoparticles (CNPs) on genotoxicity, apoptosis and necrosis induced by
Ionizing Radiation (IR) in human healthy lymphocytes as highly radiosensitive
cells were investigated. MATERIALS AND METHODS: Lymphocytes were prepared from
three volunteers and then treated with CNPs at different concentrations and
exposed to IR at dose 1.5 Gy. The radioprotective effects of CNPs were assessed
by micronucleus (MN) assay and flow cytometry. Interleukin-1 was quantified in
treated samples. RESULTS: It was found that CNPs reduced the percentage of MN
induced by IR in lymphocytes up to 73%. CNPs treatment significantly reduced IR
induced apoptotic and necrotic incidences in human lymphocytes. CNPs
significantly reduced IL-1beta produced in cell environment exposed to IR. The
present study demonstrated that CNPs may be an effective radioprotector against
DNA damage and apoptosis induced by IR mainly through mitigation of pro
inflammatory process in lymphocytes. CONCLUSION: This result provides a new
potential indication of CNPs for protection of normal cells during radiation
therapy in the treatment of cancer or unwanted radiation exposure.
PMID- 29804540
TI - PET Evaluation of Late Cerebral Effect in Advanced Radiation Therapy Techniques
for Cranial Base Tumors.
AB - BACKGROUND AND OBJECTIVE: Even though the benefits of radiation therapy are well
established, it is important to recognize the broad spectrum of radiation-induced
changes, particularly in the central nervous system. The possible damage to the
brain parenchyma may have clinical consequences and in particular cognitive
impairment might be one of the major complications of radiotherapy. To date, no
studies have investigated the effects of focal radiation therapy on brain
structure and function together with the assessment of their clinical outcomes at
a long follow-up. METHODS: In this prospective study, we evaluated in six
patients the possible brain late effects after radiation therapy, using a
standardized neuropsychological battery, MRI and 18F-FDG PET using SPM and semi
quantitative methods, in patients affected by cranial base tumors who underwent
gamma knife or tomotherapy. RESULTS: Neuropsychological examinations showed no
cognitive impairment after the treatment. In all patients, both MRI assessment
and 18F-FDG-PET did not reveal any local or distant anatomical and metabolic late
effects. CONCLUSION: The present study support the safety of advanced radiation
therapy techniques. 18F-FDGPET, using SPM and semi-quantitative methods, might be
a valuable tool to evaluate the cerebral radiotoxicity in patients treated for
brain neoplasms.
PMID- 29804542
TI - DTwP-HB-Hib: antibody persistence after a primary series, immune response and
safety after a booster dose in children 18-24 months old.
AB - BACKGROUND: The new combination of DTwP-HB-Hib vaccines has been developed in
Indonesia following World Health Organization (WHO) recommendation and integrated
into national immunization program. The aims of the study were to measure 1)
antibody persistence 12-18 months after a primary series, 2) immune response and
safety after a booster dose of DTwP-HB-Hib. METHODS: This was a multi-center,
open-labeled, prospective, interventional study. Subjects who had received
complete primary dose of DTwP-HB-Hib vaccine from the previous phase III trial
were recruited in this trial. Subjects were given one dose of DTwP-HB-Hib
(Pentabio(r)) booster at age 18-24 months old. Diphtheria, tetanus, pertussis,
hepatitis B, Hemophilus influenza type B antibodies were measured before and
after booster to determine antibody persistence and immune response. Vaccine
adverse events were assessed immediately and monitored until 28 days after the
booster recorded with parent's diary cards. RESULTS: There were 396 subjects who
completed the study. Increased proportion of seroprotected subjects from pre
booster to post-booster were noted in all vaccine antigens: 74.5 to 99.7% for
diphtheria; 100 to 100% for tetanus; 40.4 to 95.5% for pertussis; 90.2 to 99.5%
for hepatitis B; and 97.7 to 100% for Hib. Common systemic adverse events (AEs)
were irritability (23.7-25%) and fever (39.9-45.2%). Local AEs such as redness,
swelling, and induration were significantly less common in the thigh group (7.7,
11.3, and 7.1%) than in the deltoid group (28.9, 30.7, and 25%) (P < 0.001). Most
AEs were mild and resolved spontaneously within three-day follow-up period.
CONCLUSIONS: Booster of DTwP-HB-Hib vaccine at age 18-24 months is required to
achieve and maintain optimal protective antibody. The vaccine is safe and
immunogenic to be used for booster vaccination. TRIAL REGISTRATION: NCT02095314
(retrospectively registered, March 24, 2014).
PMID- 29804543
TI - Giant intrascrotal embryonal rhabdomyosarcoma in an adult: a case report and
review of the literature.
AB - BACKGROUND: Intrascrotal embryonal rhabdomyosarcoma in adults is a rare tumor
with high aggression and a poor prognosis. We report our patient's case and
review the relevant literature to improve the understanding of this rare disease.
CASE PRESENTATION: A 21-year-old Han Chinese man presented to our hospital with a
right intrascrotal mass of 1 year's duration. His physical examination revealed
an enlarged right scrotum containing a huge tender mass measuring about 10 * 7
cm. Ordinary and contrast-enhanced ultrasonography showed a solid mass in the
right scrotum, which was suspected to be a malignant tumor. An abdominopelvic
computed tomographic scan revealed metastases in the retroperitoneal lymph nodes.
The patient was diagnosed with malignant testicular tumor and underwent a right
radical orchiectomy by an inguinal approach. Postoperative pathological
examination suggested an intrascrotal embryonal rhabdomyosarcoma. CONCLUSIONS:
Intrascrotal embryonal rhabdomyosarcoma is a rare but highly aggressive tumor.
Clinical and imaging manifestations of this tumor are nonspecific, so the
definitive diagnosis depends on postoperative pathology and immunohistochemistry.
Early suspicion, radical orchiectomy, accurate pathologic diagnosis, and adjuvant
chemotherapy and/or radiotherapy are the keys to optimal prognosis.
PMID- 29804546
TI - Different Types of Heater-Cooler Units and Their Risk of Transmission of
Mycobacterium chimaera During Open-Heart Surgery: Clues From Device Design.
AB - OBJECTIVEWorldwide, Mycobacterium chimaera infections have been linked to
contaminated aerosols from heater-cooler units (HCUs) during open-heart surgery.
These infections have mainly been associated with the 3T HCU (LivaNova, formerly
Sorin). The reasons for this and the risk of transmission from other HCUs have
not been systematically assessed.DESIGNProspective observational
study.SETTINGUniversity Hospital Basel, Switzerland.METHODSContinuous
microbiological surveillance of 3 types of HCUs in use (3T from LivaNova/Sorin
and HCU30 and HCU40 from Maquet) was initiated in June 2014, coupled with an
epidemiologic workup. Monthly water and air samples were taken. Construction
design was analyzed, and exhausted airflow was measured.RESULTS Mycobacterium
chimaera grew in 8 of 12 water samples (66%) and 22 of 24 air samples (91%) of
initial 3T HCUs in use, and in 2 of 83 water samples (2%) and 0 of 41 (0%) air
samples of new replacement 3T HCUs. Moreover, 7 of 12 water samples (58%) and 0
of 4 (0%) air samples from the HCU30 were positive, and 0 of 64 (0%) water
samples and 0 of 50 (0%) air samples from the HCU40 were positive. We identified
4 relevant differences in HCU design compared to the 3T: air flow direction,
location of cooling ventilators, continuous cooling of the water tank at 4
degrees C, and an electronic alarm in the HCU40 reminding the user of the next
disinfection cycle.CONCLUSIONSAll infected patients were associated with a 3T
HCU. The individual HCU design may explain the different risk of disseminating M.
chimaera into the air of the operating room. These observations can help the
construction of improved devices to ensure patient safety during cardiac
surgery.Infect Control Hosp Epidemiol 2018;834-840.
PMID- 29804544
TI - Dynamic changes in microglial and macrophage characteristics during degeneration
and regeneration of the zebrafish retina.
AB - BACKGROUND: In contrast to mammals, zebrafish have the capacity to regenerate
retinal neurons following a variety of injuries. Two types of glial cells, Muller
glia (MG) and microglia, are known to exist in the zebrafish retina. Recent work
has shown that MG give rise to regenerated retinal neurons, but the role of
resident microglia, and the innate immune system more generally, during retinal
regeneration is not well defined. Specifically, characteristics of the immune
system and microglia following substantial neuron death and a successful
regenerative response have not been documented. METHODS: The neurotoxin ouabain
was used to induce a substantial retinal lesion of the inner retina in zebrafish.
This lesion results in a regenerative response that largely restores retinal
architecture, neuronal morphologies, and connectivities, as well as recovery of
visual function. We analyzed cryosections from damaged eyes following
immunofluorescence and H&E staining to characterize the initial immune response
to the lesion. Whole retinas were analyzed by confocal microscopy to characterize
microglia morphology and distribution. Statistical analysis was performed using a
two-tailed Student's t test comparing damaged to control samples. RESULTS: We
find evidence of early leukocyte infiltration to the retina in response to
ouabain injection followed by a period of immune cell proliferation that likely
includes both resident microglia and substantial numbers of proliferating, extra
retinally derived macrophages, leading to rapid accumulation upon retinal damage.
Following immune cell proliferation, Muller glia re-enter the cell cycle. In
retinas that have regenerated the layers lost to the initial injury
(histologically regenerated), microglia retain morphological features of
activation, suggesting ongoing functions that are likely essential to restoration
of retinal function. CONCLUSIONS: Collectively, these results indicate that
microglia and the immune system are dynamic during a successful regenerative
response in the retina. This study provides an important framework to probe
inflammation in the initiation of, and functional roles of microglia during
retinal regeneration.
PMID- 29804547
TI - Recurrent Activation of Neural Circuits during Attention to Global and Local
Visual Information.
AB - The attentional selection of different hierarchical level within compound (Navon)
figures has been studied with event related potentials (ERPs), by controlling the
ERPs obtained during attention to the global or the local echelon. These studies,
using the canonical Navon figures, have produced contradictory results, with
doubts regarding the scalp distribution of the effects. Moreover, the evidence
about the temporal evolution of the processing of these two levels is not clear.
Here, we unveiled global and local letters at distinct times, which enabled
separation of their ERP responses. We combine this approach with the temporal
generalization methodology, a novel multivariate technique which facilitates
exploring the temporal structure of these ERPs. Opposite lateralization patterns
were obtained for the selection negativities generated when attending global and
local distracters (D statistics, p < .005), with maxima in right and left
occipito-temporal scalp regions, respectively (eta2 = .111, p < .01; eta2 = .042,
p < .04). However, both discrimination negativities elicited when comparing
targets and distractors at the global or the local level were lateralized to the
left hemisphere (eta2 = .25, p < .03 and eta2 = .142, p < .05 respectively).
Recurrent activation patterns were found for both global and local stimuli, with
scalp topographies corresponding to early preparatory stages reemerging during
the attentional selection process, thus indicating recursive attentional
activation. This implies that selective attention to global and local
hierarchical levels recycles similar neural correlates at different time points.
These neural correlates appear to be mediated by visual extra-striate areas.
PMID- 29804545
TI - Patterns of adiposity, vascular phenotypes and cognitive function in the 1946
British Birth Cohort.
AB - BACKGROUND: The relationship between long-term exposure to whole body or central
obesity and cognitive function, as well as its potential determinants, remain
controversial. In this study, we assessed (1) the potential impact of 30 years
exposure to different patterns of whole body and central adiposity on cognitive
function at 60-64 years, (2) whether trajectories of central adiposity can
provide additional information on later cognitive function compared to
trajectories of whole body adiposity, and (3) the influence of vascular
phenotypes on these associations. METHODS: The study included 1249 participants
from the prospective cohort MRC National Survey of Health and Development. Body
mass index (BMI), waist circumference (WC), and vascular (carotid intima-media
thickness, carotid-femoral pulse wave velocity) and cognitive function (memory,
processing speed, reaction time) data, at 60-64 years, were used to assess the
associations between different patterns of adult WC or BMI (from 36 years of age)
and late midlife cognitive performance, as well as the proportion of this
association explained by cardiovascular phenotypes. RESULTS: Longer exposure to
elevated WC was related to lower memory performance (p < 0.001 for both) and
longer choice reaction time (p = 0.003). A faster gain of WC between 36 and 43
years of age was associated with the largest change in reaction time and memory
test (P < 0.05 for all). Similar associations were observed when patterns of WC
were substituted with patterns of BMI, but when WC and BMI were included in the
same model, only patterns of WC remained significantly associated with cognitive
function. Participants who dropped one BMI category and maintained a lower BMI
had similar memory performance to those of normal weight during the whole follow
up. Conversely, those who dropped and subsequently regained one BMI category had
a memory function similar to those with 30 years exposure to elevated BMI.
Adjustment for vascular phenotypes, levels of cardiovascular risk factors,
physical activity, education, childhood cognition and socioeconomic position did
not affect these associations. CONCLUSIONS: Longer exposure to elevated WC or BMI
and faster WC or BMI gains between 36 and 43 years are related to lower cognitive
function at 60-64 years. Patterns of WC in adulthood could provide additional
information in predicting late midlife cognitive function than patterns of BMI.
The acquisition of an adverse cardiovascular phenotype associated with adiposity
is unlikely to account for these relationships.
PMID- 29804548
TI - Prevalence, incidence and persistence of ADHD drug use in Japan.
PMID- 29804549
TI - Adolescent affective symptoms and mortality.
AB - BACKGROUND: Little is known about the relationship between adolescent affective
problems (anxiety and depression) and mortality.AimsTo examine whether adolescent
affective symptoms are associated with premature mortality, and to assess whether
this relationship is independent of other developmental factors. METHOD: Data (n
= 3884) was from Britain's oldest birth cohort study - the National Survey of
Health and Development. Adolescent affective symptoms were rated by teachers at
ages 13 and 15 years: scores were summed and classified into three categories:
mild or no, moderate and severe symptoms (1st-50th, 51st-90th and 91st-100th
percentiles, respectively). Mortality data were obtained from national registry
data up to age 68 years. Potential confounders were parental social class,
childhood cognition and illness, and adolescent externalising behaviour. RESULTS:
Over the 53-year follow-up period, 12.2% (n = 472) of study members died. Severe
adolescent affective symptoms were associated with an increased rate of mortality
compared with those with mild or no symptoms (gender adjusted hazard ratio 1.76,
95% CI 1.33-2.33). This association was only partially attenuated after
adjustment for potential confounders (fully adjusted hazard ratio 1.61, 95% CI
1.20-2.15). There was suggestive evidence of an association across multiple
causes of death. Moderate symptoms were not associated with mortality.
CONCLUSIONS: Severe adolescent affective symptoms are associated with an
increased rate of premature mortality over a 53-year follow-up period,
independent of potential confounders. These findings underscore the importance of
early mental health interventions.Declaration of interestNone.
PMID- 29804550
TI - What does the latest meta-analysis really tell us about antidepressants?
AB - A recent meta-analysis of antidepressant trials is the largest conducted to date.
Although it claims to prove antidepressant effectiveness beyond dispute, the main
outcome is response rates, which are derived from continuous data in a process
that can inflate differences between groups. The standardised mean difference of
0.3 is in line with other meta-analyses that show small differences between
antidepressants and placebo that are unlikely to be clinically significant. Other
factors likely to exaggerate the effects are discussed, and evidence on
associations between antidepressant effects and severity and outcomes of long
term treatment is considered. Clinicians need to have open discussions with
patients about the limitations of antidepressant research, the lack of evidence
that antidepressants correct a chemical imbalance or other brain abnormality, and
the range of adverse effects and mental and physical alterations they can
produce.
PMID- 29804551
TI - Increasing levels of rapeseed expeller meal in diets for pigs: effects on protein
and energy metabolism.
AB - The heavy reliance on imported soybean meal (SBM) as a protein source makes it
necessary for the European pig industry to search for alternatives and to develop
pigs that perform efficiently when fed such ingredients. Digestion and metabolism
are major physiological processes contributing to variation in feed efficiency.
Therefore, an experiment was conducted to assess the effects of replacing SBM
with increasing levels of rapeseed meal (RSM) in diets for young pigs on apparent
total tract digestibility (ATTD) of energy and nutrients, nitrogen (N) balance,
energy metabolism and carbohydrate, protein and fat oxidation. Four diets were
fed to 32 pigs (22.7+/-4.1 kg initial BW) for three weeks. The diets consisted of
a control cereal grain-SBM basal diet and three test diets where SBM and wheat
were partially replaced with 10%, 20%, and 30% of expeller RSM. Increasing level
of RSM in the diets linearly reduced ATTD of organic matter, CP, total
carbohydrates, dietary fiber and energy. Utilization of digested nitrogen (DN)
for N retention and total N excretion were not affected by RSM inclusion,
however, RSM inclusion induced a shift in N excretion from urine to feces.
Despite a linear increase in liver to metabolic BW ratio, heat production and
utilization of metabolizable energy (ME) for retention were not affected by
increasing RSM inclusion. In conclusion, replacing SBM with up to 30% of expeller
RSM in nutritionally balanced diets for young pigs reduced the ATTD of most
nutrients and energy, but did not affect N and energy retention in the body or
efficiency of utilization of DN or ME for retention.
PMID- 29804552
TI - Modified Reporting of Positive Urine Cultures to Reduce Inappropriate Treatment
of Asymptomatic Bacteriuria Among Nonpregnant, Noncatheterized Inpatients: A
Randomized Controlled Trial.
AB - DESIGNWe conducted a randomized, parallel, unblinded, superiority trial of a
laboratory reporting intervention designed to reduce antibiotic treatment of
asymptomatic bacteriuria (ASB).METHODSResults of positive urine cultures from 110
consecutive inpatients at 2 urban acute-care hospitals were randomized to
standard report (control) or modified report (intervention). The standard report
included bacterial count, bacterial identification, and antibiotic susceptibility
information including drug dosage and cost. The modified report stated: "This
POSITIVE urine culture may represent asymptomatic bacteriuria or urinary tract
infection. If urinary tract infection is suspected clinically, please call the
microbiology laboratory ... for identification and susceptibility results." We
used the following exclusion criteria: age <18 years, pregnancy, presence of an
indwelling urinary catheter, samples from patients already on antibiotics,
neutropenia, or admission to an intensive care unit. The primary efficacy outcome
was the proportion of appropriate antibiotic therapy prescribed.RESULTSAccording
to our intention-to-treat (ITT) analysis, the proportion of appropriate treatment
(urinary tract infection treated plus ASB not treated) was higher in the modified
arm than in the standard arm: 44 of 55 (80.0%) versus 29 of 55 (52.7%),
respectively (absolute difference, -27.3%; RR, 0.42; P = .002; number needed to
report for benefit, 3.7).CONCLUSIONSModified reporting resulted in a significant
reduction in inappropriate antibiotic treatment without an increase in adverse
events. Safety should be further assessed in a large effectiveness trial before
implementationTRIAL REGISTRATION. clinicaltrials.gov#NCT02797613Infect Control
Hosp Epidemiol 2018;814-819.
PMID- 29804553
TI - Perimenstrual exacerbation of symptoms in borderline personality disorder:
evidence from multilevel models and the Carolina Premenstrual Assessment Scoring
System.
AB - BACKGROUND: Individuals with a borderline personality disorder (BPD) suffer from
a constellation of rapidly shifting emotional, interpersonal, and behavioral
symptoms. The menstrual cycle may contribute to symptom instability among females
with this disorder. METHODS: Fifteen healthy, unmedicated females with BPD and
without dysmenorrhea reported daily symptoms across 35 days. Urine luteinizing
hormone and salivary progesterone (P4) were used to confirm ovulation and cycle
phase. Cyclical worsening of symptoms was evaluated using (1) phase contrasts in
multilevel models and (2) the Carolina Premenstrual Assessment Scoring System (C
PASS), a protocol for evaluating clinically significant cycle effects on
symptoms. RESULTS: Most symptoms demonstrated midluteal worsening, a
perimenstrual peak, and resolution of symptoms in the follicular or ovulatory
phase. Post-hoc correlations with person-centered progesterone revealed negative
correlations with most symptoms. Depressive symptoms showed an unexpected delayed
pattern in which baseline levels of symptoms were observed in the ovulatory and
midluteal phases, and exacerbations were observed during both the perimenstrual
and follicular phases. The majority of participants met C-PASS criteria for
clinically significant (?30%) symptom exacerbation. All participants met the
emotional instability criterion of BPD, and no participant met DSM-5 criteria for
premenstrual dysphoric disorder (PMDD). CONCLUSIONS: Females with BPD may be at
elevated risk for perimenstrual worsening of emotional symptoms. Longitudinal
studies with fine-grained hormonal measurement as well as hormonal experiments
are needed to determine the pathophysiology of perimenstrual exacerbation in BPD.
PMID- 29804554
TI - Do laying hens eat and forage in excreta from other hens?
AB - Worldwide, farm animals are kept on litter or foraging substrate that becomes
increasingly soiled throughout the production cycle. For animals like laying
hens, this means that it is likely they would scratch, forage and consume
portions of excreta found in the litter or foraging substrate. However, no study
has investigated the relative preference of laying hens for foraging and
consumption of feed mixed with different percentages of excreta. A total of 48
White Leghorn laying hens of two strains, a commercial strain (Lohmann LSL-Lite
(LSL), n=24) and UCD-003 strain (susceptible to liver damage, n=24), were
individually housed and given access to feed mixed with increasing percentages of
hen excreta (0%, 33%, 66% and 100% excreta diets) and corn as a luxury food
reward (four corn kernels per diet daily). The amount of substrate and number of
corn kernels consumed from each diet was recorded for a period of 3 weeks. Both
LSL and UCD-003 hens preferred to consume and forage in diets with 0% excreta,
followed by 33% and finally diets containing 66% and 100% excreta. Despite the
presence of excreta-free diets, birds consumed on average 61.3 g per day of the
diets containing excreta. Neither physical health, measured by plasma enzyme
activity levels, nor cognitive differences, assessed by recalling a visual
discrimination task, was associated with relative feeding or foraging preference.
In conclusion, this study demonstrated a clear preference for feeding and
foraging on substrate without excreta in laying hens. However, considering the
amount of excreta diets consumed, further studies are needed to understand the
causes and consequences of excreta consumption on physiological and psychological
functioning, and how this information can be used to allow adjustments in the
management of foraging substrates in farmed birds.
PMID- 29804555
TI - Social support for healthy eating: development and validation of a questionnaire
for the French-Canadian population.
AB - OBJECTIVE: The present study aimed to develop and validate a questionnaire
assessing social support for healthy eating in a French-Canadian population.
DESIGN: A twenty-one-item questionnaire was developed. For each item,
participants were asked to rate the frequency, in the past month, with which the
actions described had been done by family and friends in two different
environments: (i) at home and (ii) outside of home. The content was evaluated by
an expert panel. A validation study sample was recruited and completed the
questionnaire twice. Exploratory factor analysis was performed on items to assess
the number of subscales. Internal consistency reliability was assessed using
Cronbach's alpha. Test-retest reliability was evaluated with intraclass
correlations between scores of the two completions. SETTING: Online survey.
SUBJECTS: Men and women from the Quebec City area (n 150). RESULTS: The content
validity assessment led to a few changes, resulting in a twenty-two-item
questionnaire. Exploratory factor analysis revealed a two-factor structure for
both environments, resulting in four subscales: supportive actions at home; non
supportive actions at home; supportive actions outside of home; and non
supportive actions outside of home. Two items were removed from the questionnaire
due to low loadings. The four subscales were found to be reliable (Cronbach's
alpha=0.82-0.94; test-retest intraclass correlation=0.51-0.70). CONCLUSIONS: The
Social Support for Healthy Eating Questionnaire was developed for a French
Canadian population and demonstrated good psychometric properties. This
questionnaire will be useful to explore the role of social support and its
interactions with other factors in predicting eating behaviours.
PMID- 29804556
TI - Up-regulation of HOXB cluster genes are epigenetically regulated in tamoxifen
resistant MCF7 breast cancer cells.
AB - Tamoxifen (TAM) is commonly used to treat estrogen receptor (ER)-positive breast
cancer. Despite the remarkable benefits, resistance to TAM presents a serious
therapeutic challenge. Since several HOX transcription factors have been proposed
as strong candidates in the development of resistance to TAM therapy in breast
cancer, we generated an in vitro model of acquired TAM resistance using ER
positive MCF7 breast cancer cells (MCF7-TAMR), and analyzed the expression
pattern and epigenetic states of HOX genes. HOXB cluster genes were uniquely up
regulated in MCF7-TAMR cells. Survival analysis of in slico data showed the
correlation of high expression of HOXB genes with poor response to TAM in ER
positive breast cancer patients treated with TAM. Gain- and loss-of-function
experiments showed that the overexpression of multi HOXB genes in MCF7 renders
cancer cells more resistant to TAM, whereas the knockdown restores TAM
sensitivity. Furthermore, activation of HOXB genes in MCF7-TAMR was associated
with histone modifications, particularly the gain of H3K9ac. These findings imply
that the activation of HOXB genes mediate the development of TAM resistance, and
represent a target for development of new strategies to prevent or reverse TAM
resistance. [BMB Reports 2018; 51(9): 450-455].
PMID- 29804557
TI - 29-kDa FN-f inhibited autophagy through modulating localization of HMGB1 in human
articular chondrocytes.
AB - Fibronectin fragments found in the synovial fluid of patients with osteoarthritis
(OA) induce the catabolic responses in cartilage. Nuclear high-mobility group
protein Box 1 (HMGB1), a damage-associated molecular pattern, is responsible for
the regulation of signaling pathways related to cell death and survival in
response to various stimuli. In this study, we investigated whether changes
induced by 29-kDa aminoterminal fibronectin fragment (29-kDa FN-f) in HMGB1
expression influences the pathogenesis of OA via an HMGB1- modulated autophagy
signaling pathway. Human articular chondrocytes were enzymatically isolated from
articular cartilage. The level of mRNA was measured by quantitative real-time
PCR. The expression of proteins was examined by western blot analysis,
immnunofluorescence assay, and enzyme-linked immunosorbent assay. Interaction of
proteins was evaluated by immunoprecipitation. The HMGB1 level was significantly
lower in human OA cartilage than in normal cartilage. Although 29-kDa FN-f
significantly reduced the HMGB1 expression at the mRNA and protein levels 6 h
after treatment, the cytoplasmic level of HMGB1 was increased in chondrocytes
treated with 29-kDa FN-f, which significantly inhibited the interaction of HMGB1
with Beclin-1, increased the interaction of Bcl-2 with Beclin-1, and decreased
the levels of Beclin-1 and phosphorylated Bcl-2. In addition, the level of
microtubule-associated protein 1 light chain 3-II, an autophagy marker, was down
regulated in chondrocytes treated with 29-kDa FN-f, whereas the effect was
antagonized by mTOR knockdown. Furthermore, prolonged treatment with 29-kDa FN-f
significantly increased the release of HMGB1 into the culture medium. These
results demonstrated that 29-kDa FN-f inhibits chondrocyte autophagy by
modulating the HMGB1 signaling pathway. [BMB Reports 2018; 51(10): 509-514].
PMID- 29804559
TI - 'It's not therapy, it's gardening': community gardens as sites of comprehensive
primary healthcare.
AB - Using a participatory research framework, researchers at the Centre for Rural
Health, University of Tasmania, explored the potential of Community Gardens to
function as comprehensive primary healthcare (CPHC) environments. Community
gardeners, coordinators, volunteers and Neighbourhood House coordinators
discussed their understandings of the health benefits of community gardens, how
they contribute to broad CPHC aims and the barriers and enablers to greater CPHC
contributions in the future. This research identifies therapeutic features of
Community Gardens and explores the correlations between these and CPHC. It is
concluded that there are strong synergies between the aims and activities of
Community Gardens and CPHC. To augment the therapeutic capacity of these sites
requires adequate resourcing and skill development, suitable design, funding and
policy support, along with innovative partnerships with health professionals.
PMID- 29804558
TI - Anti-inflammatory effects of a novel compound, MPQP, through the inhibition of
IRAK1 signaling pathways in LPS-stimulated RAW 264.7 macrophages.
AB - Small-molecule inhibitors are widely used to treat a variety of inflammatory
diseases. In this study, we found a novel antiinflammatory compound, 1-[(2R,4S)-2
methyl-4-(phenylamino)-1,2,3,4-tetrahydroquinolin-1-yl]prop-2-en-1-one (MPQP). It
showed strong anti-inflammatory effects in lipopolysaccharide (LPS)-stimulated
RAW 264.7 macrophages. These effects were exerted through the inhibition of the
production of NO and pro-inflammatory cytokines, such as interleukin (IL)-6, IL
1beta, and tumor necrosis factor-alpha (TNF-alpha). Furthermore, MPQP decreased
the expression levels of inducible NO synthase (iNOS) and cyclooxygenase 2 (COX
2). Additionally, it mediated the inhibition of the phosphorylation of p38, c-Jun
N-terminal kinase (JNK), the inhibitor of kappaBalpha (IkappaBalpha), and their
upstream kinases, IkappaB kinase (IKK) alpha/beta, mitogen-activated protein
kinase kinase (MKK) 3/6, and MKK4. Furthermore, the expression of IL-1 receptor
associated kinase 1 (IRAK1) that regulates NF-kappaB, p38, and the JNK signaling
pathways, was also increased by MPQP. These results indicate that MPQP regulates
the IRAK1-mediated inflammatory signaling pathways by targeting IRAK1 or its
upstream factors. [BMB Reports 2018; 51(6): 308-313].
PMID- 29804560
TI - Stakeholder perspectives about general practice pharmacists in the Australian
Capital Territory: a qualitative pilot study.
AB - Previous studies have found that integrating non-dispensing pharmacists in
general practice may improve patient safety, improve patient outcomes, deliver
health system efficiencies and generate savings. However, the employment of
pharmacists in general practice is not common in Australia. A naturalistic study
was conducted in the Australian Capital Territory with three general practices,
each employing a part-time pharmacist for 12 months. This study reports on
stakeholder perspectives of the benefits, barriers and enablers for integrating
pharmacists into general practice. Patients, practice staff and community
pharmacists that had interacted with a practice pharmacist were asked to complete
a self-administered questionnaire. Patient questionnaire respondents (n=44)
reported that a practice pharmacist was beneficial and wanted to see this
continue. Practice pharmacists were also perceived beneficial by primary
healthcare employees surveyed (n=42). Opinions were further explored by
individual semi-structured interviews (n=20). The qualitative data explored five
themes: perception of the practice pharmacist, collaboration with doctors,
pharmacist roles, sustainability and community pharmacy aspects. Patients
welcomed improved understanding about their medication, whereas general practice
staff appreciated pharmaceutical advice about patients with chronic conditions.
Participants discussed options to fund practice pharmacists longer term, which
was identified as the main barrier to widespread roll out.
PMID- 29804561
TI - Community-identified recommendations to enhance cancer survivorship for
Aboriginal and Torres Strait Islander people.
AB - Indigenous Australians diagnosed with cancer experience higher mortality and
lower survival rates compared to non-Indigenous Australians. Reasons are
multifaceted and complex. Knowledge about Indigenous cancer survivors'
perspectives of positive cancer survivorship is a gap in research evidence. The
study explored cancer survivorship perspectives of Indigenous cancer survivors,
their support people and healthcare workers with a view to developing
recommendations for cancer survivorship. Indigenous Australians who completed
cancer treatment in the previous 6 months to 5 years, their support people and
primary healthcare workers were recruited from primary healthcare centres and a
large tertiary Queensland hospital. Semi-structured interviews and focus groups
were conducted with written and informed consent obtained prior. Participants
emphasised key action areas and recommendations to enhance cancer survivorship,
namely: establishing a community cancer advocate and peer support program,
availability and use of a cancer-specific Indigenous primary healthcare worker
and hospital-based Indigenous patient navigator, as well as adoption of question
prompt lists and cancer survivorship care plans. Existing research suggests
significant benefits from implementing the key recommendations identified in this
study. Greater support and commitment across health sectors and funding bodies is
needed to promote institutional change and health system development.
PMID- 29804562
TI - ?
PMID- 29804563
TI - [Necrotising enterocolitis].
AB - Necrotising enterocolitis (NEC) is a serious complication of prematurity and
strikes prematurely in all weight groups. The incidence has been almost unchanged
during the latest decades suggesting a limited success with current diagnostic
and preventative strategies. Researchers have been looking for novel diagnostic
tools to lower the incidence of NEC. Researchers have suggested splitting up NEC
in smaller entities to target the preventive strategies, yet no revolutionary
tool has been presented. This review is a short overview of the latest knowledge
concerning diagnostic strategies.
PMID- 29804564
TI - [Novel hormones related to the calcium and phosphate homeostasis in kidney
disease].
AB - Calcium and phosphate levels are regulated by a complex interplay between
parathyroid hormone (PTH), calcitriol, fibroblast growth factor 23 (FGF23) and
its co-receptor alphaKlotho. Kidney failure causes severe disturbances in the
mineral and bone homeostasis resulting in phosphate retention, hypocalcaemia and
high plasma levels of FGF23 and PTH, and the patients develop fragile bones and
vascular calcifications. Today's treatments aim to lower the levels of phosphate
and PTH. Future studies need to clarify, if lowering the FGF23 level or
supplementation with alphaKlotho will improve survival for patients with chronic
kidney disease.
PMID- 29804566
TI - [Perioperative fluid therapy influences coagulation, blood loss and post
operative complications].
AB - Literature on fluid therapy for surgery is updated in regard to coagulation,
blood loss and post-operative complications. Haemorrhage depends on the surgical
intervention but also on the chosen fluid therapy with artificial colloids
affecting coagulation competence and in turn the blood loss. Furthermore, a
stable central blood volume as indicated by plasma pro-atrial natriuretic peptide
requires a calculated fluid surplus by approximately 2.5 l. Randomized controlled
trials recommend haemorrhage to be treated by administration of a crystalloid;
however, if the calculated excess of fluid approaches 2 l, albumin may be added.
PMID- 29804565
TI - [Overweight and gastro-oesophageal reflux disease].
AB - The increase in the prevalence of obesity is paralleled by an increase in gastro
oesophageal reflux disease (GERD), and several mechanisms link GERD and obesity,
so weight loss is a cornerstone in the treatment of GERD. Sustained weight loss
often requires surgery, and fundoplication is the first surgical choice among
normal weight patients with reflux; however, reflux complications increase with
increasing BMI. Therefore, patients with obesity and GERD should be treated with
gastric bypass surgery. The aim of this article is to discuss the relationship
between overweight and GERD and outline treatment options of this disease.
PMID- 29804567
TI - [Cancer immunotherapy].
AB - Cancer immunotherapy takes advantage of the immune system to treat cancer. The
checkpoint inhibitors have advanced the field of cancer treatment, but adoptive
cell therapy and cancer vaccines also show promising results. The checkpoint
inhibitors ipilimumab and later nivolumab/pembrolizumab have been standard
therapy to patients with metastatic melanoma for several years. Today, the field
is expanding, and other types of solid tumours have had checkpoint inhibitors
applied to them, and more will follow. A portion of the patients develop immune
related adverse events, which should be handled by specialists.
PMID- 29804568
TI - [Background, diagnosis and treatment of platypnoea-orthodeoxia].
AB - Platypnoea-orthodeoxia (PO) is a condition with dyspnoea and arterial
deoxygenation during orthostasis, which is relieved in the supine position. This
is a review of the background of PO, which is always an orthostasis-related right
to-left shunt of deoxygenated blood either across a persistent foramen
ovale/atrial septal defect or an anatomical or functional pulmonary shunt, and
diagnosis and management is discussed. PO is considered to be rare but will
presumably be diagnosed increasingly frequently with increased use of pulse
oximetry and functional imaging including echocardiography with bubble-contrast.
PMID- 29804570
TI - Promoting oral health care because of its possible effect on systemic disease is
premature and may be misleading.
PMID- 29804569
TI - Factors to Consider Regarding the Need for Inferior Vena Cava Filters.
AB - There continues to be controversy on the use of inferior vena cava (IVC) filters
in patients with deep venous thrombosis, pulmonary embolism, and venous
thromboembolism. In this state of the art review, the benefits and risks of IVC
filters are discussed. Based on the current state of knowledge regarding the
efficacy and risks of this therapy, guidelines are suggested regarding the
patients who need and who do not need this expensive, risky, but potentially life
saving therapy.
PMID- 29804571
TI - Help wanted: dental expertise to guide oral health integration into health care.
PMID- 29804572
TI - Dental Care Reform Workforce Needs.
PMID- 29804574
TI - Emulating Health Care?
PMID- 29804573
TI - Avoiding Dental Care Disruption.
PMID- 29804575
TI - Medical-Dental Integration.
PMID- 29804576
TI - Dental Care as a Priority.
PMID- 29804577
TI - Leading Dental Care Reform.
PMID- 29804578
TI - Reimbursement Reform.
PMID- 29804579
TI - Author's response.
PMID- 29804580
TI - A Visionary Plan.
PMID- 29804582
TI - The ethical dilemma of treating or not treating patients with intellectual and
developmental disabilities.
PMID- 29804581
TI - Correction.
PMID- 29804583
TI - What is dental erosion?
PMID- 29804585
TI - Low dietary energy intake is associated with sarcopenia in cancer survivors: An
analysis based on the Korean National Health and Nutrition Examination Survey
2008-2011.
AB - As cancer survivors increase, management of their long-term health consequences
becomes important. Sarcopenia could negatively affect on their clinical outcome
and quality of life. We hypothesized that sarcopenia would be more prevalent in
cancer survivors and that are associated with dietary intake. This study was
conducted to compare nutritional intake and body composition, considering
sarcopenia, between cancer survivors and healthy individuals using Korean
National Health and Nutrition Examination Surveys conducted from 2008 to 2011.
The participants were 259 adult cancer survivors and 1,295 healthy counterparts
who underwent body composition tests and had no chronic diseases. Sarcopenia was
defined as a condition with a skeletal muscle mass below the cut-off value (men <
6.58 kg/m2 and women < 4.59 kg/m2) adjusted for height. The prevalence of
sarcopenia was higher in non-obese male cancer survivors (32.6% vs 16.0%,
P=0.034) compared with healthy individuals. On the contrary, sarcopenia was more
common in obese female survivors (35.1% vs 15.0%, P=0.005) than their healthy
counterparts. Multivariable logistic analyses revealed that age increase by 1
year (aOR=1.025; 95% CI: 1.001-1.049), male gender (aOR=3.688; 95% CI: 6.061
90.910), and a lower BMI (aOR=33.201; 95% CI: 13.639-80.823) were significantly
associated with the increased risk of sarcopenia. Increased energy intake by 100
kcal/day (aOR=0.930; 95% CI: 0.869-0.995) had a protective effect against
sarcopenia. Our results suggest that male cancer survivors are high risk group of
sarcopenia, especially when they are non-obese. More dietary energy intake may be
needed to prevent sarcopenia.
PMID- 29804584
TI - A role for nutritional intervention in addressing the aging neuromuscular
junction.
AB - The purpose of this review is to discuss the structural and physiological changes
that underlie age-related neuromuscular dysfunction and to summarize current
evidence on the potential role of nutritional interventions on neuromuscular
dysfunction-associated pathways. Age-related neuromuscular deficits are known to
coincide with distinct changes in the central and peripheral nervous system, in
the neuromuscular system, and systemically. Although many features contribute to
the age-related decline in neuromuscular function, a comprehensive understanding
of their integration and temporal relationship is needed. Nonetheless, many
nutrients and ingredients show promise in modulating neuromuscular output by
counteracting the age-related changes that coincide with neuromuscular
dysfunction. In particular, dietary supplements, such as vitamin D, omega-3 fatty
acids, beta-hydroxy-beta-methylbutyrate, creatine, and dietary phospholipids,
demonstrate potential in ameliorating age-related neuromuscular dysfunction.
However, current evidence seldom directly assesses neuromuscular outcomes and is
not always in the context of aging. Additional clinical research studies are
needed to confirm the benefits of dietary supplements on neuromuscular function,
as well as to define the appropriate population, dosage, and duration for
intervention.
PMID- 29804586
TI - Vitamin D-restricted high-fat diet down-regulates expression of intestinal
alkaline phosphatase isozymes in ovariectomized rats.
AB - Intestinal alkaline phosphatase (IAP) is expressed at a high concentration in the
brush border membrane of intestinal epithelial cells. Intestinal alkaline
phosphatase controls bacterial endotoxin-induced inflammation by
dephosphorylating lipopolysaccharide and is a gut mucosal defense factor.
Previously, we reported that IAP activity in the duodenum was significantly
decreased in male rats receiving a high-fat diet with vitamin D restriction.
Here, we tested the hypothesis that IAP is also regulated by a vitamin D
restricted high-fat diet in an animal model of menopause. Twenty-four female rats
were ovariectomized (OVX), and another 6 female rats were sham operated. The OVX
rats were divided into 4 groups and fed experimental diets: a basic control diet,
a basic control diet with vitamin D restriction, a high-fat diet, and a high-fat
diet with vitamin D restriction. After 28days of the experimental diets, the
vitamin D-restricted high-fat diet decreased alkaline phosphatase activity in the
duodenum of the OVX groups. The vitamin D-restricted high-fat diet down-regulated
mRNA expressions of IAP isozymes in the duodenum of the OVX groups. These
findings support the hypothesis that the expression of IAP is suppressed by a
vitamin D-restricted high-fat diet in OVX rats. An adequate vitamin D intake and
prevention of low vitamin D levels may be important for IAP expression in gut
homeostasis.
PMID- 29804587
TI - Alterations of the Biological Clock May Contribute to the Emergence of Mental
Disorders During Adolescence.
PMID- 29804588
TI - The Control of Reward Seeking.
PMID- 29804589
TI - Searching for Replicable Dopamine-Related Findings in Gambling Disorder.
PMID- 29804590
TI - Bridging Molecular Genetics and Epidemiology to Better Understand Sex Differences
in Attention-Deficit/Hyperactivity Disorder.
PMID- 29804591
TI - Hiding in Plain Sight.
PMID- 29804593
TI - 3D mesoscopic fluorescence tomography for photoimmunotherapy monitoring in vivo.
PMID- 29804592
TI - The Development of Dual-Degree Programs in Oral and Maxillofacial Surgery:
Celebrating the 75th Anniversary of the Journal of Oral and Maxillofacial Surgery
and the 100th Anniversary of Our Specialty.
AB - The concept and history of dual-degree residency education and training are
reviewed.
PMID- 29804594
TI - Obstetric Anaesthesia 2018: Belfast.
PMID- 29804595
TI - Return to Driving After Arthroscopic and Related Surgery: Before Patients Start,
How Do We Know They Can Stop?
AB - When can patients safely drive after surgery? It is neither scientific nor
prudent to rely on patients' judgment. A goal is to develop objective data to
support evidence-based decision making and lower the rate of postoperative
complications, including motor vehicle accidents. In addition, it is obvious and
evidence-based that patients should not drive while wearing an arm sling, and
while the evidence is mixed, we advise that patients should not return to driving
while taking opioid pain medications.
PMID- 29804596
TI - Bilateral Hip Arthroscopy: Direct Comparison of Primary Acetabular Labral Repair
and Primary Acetabular Labral Reconstruction.
PMID- 29804597
TI - Author Reply to "Bilateral Hip Arthroscopy: Direct Comparison of Primary
Acetabular Labral Repair and Primary Acetabular Labral Reconstruction".
PMID- 29804598
TI - Authors' Response to the Editorial Commentary "Is Arthroscopic Bone Grafting
Nearly Equivalent to Open Bone Grafting for Glenoid Bone Defects in Recurrent
Anterior Shoulder Instability?" by Matthew T. Provencher.
PMID- 29804599
TI - Regarding "Does Increased Body Mass Index Influence Outcomes After Rotator Cuff
Repair?"
PMID- 29804600
TI - Editorial Commentary: How Can I Tenodese the Biceps Tendon of the Shoulder? Let
Me Count the Ways.
AB - The debate regarding the management of long head of the biceps tendon pathology
continues to evolve. Previous literature has compared tenotomy and tenodesis,
tenodesis above or below the pectoralis major tendon, tenodesis to bony or soft
tissue sites, and a host of fixation methods. Recent research compares
biomechanical fixation between an all-suture anchor and interference screw for
arthroscopic tenodesis. Although the methods have similar biomechanical
properties, time-zero cadaveric data has inherent limitations, and importantly,
there is a substantial cost differential between these and other implants that
surgeons should also consider when choosing a tenodesis method.
PMID- 29804601
TI - Editorial Commentary: Superior Capsule Reconstruction With Dermal Allograft:
Achieving the Goal of Joint Preservation.
AB - Active patients with massive irreparable rotator cuff tears and no glenohumeral
arthritis have long posed a dilemma for shoulder surgeons. Such high-demand
patients do not do well with partial cuff repair, as their results tend to
deteriorate over time. They are also not good candidates for reverse total
shoulder arthroplasty due to high rates of complications and high revision rates.
The early good results of superior capsular reconstruction for these challenging
patients are very encouraging, and they highlight the potential for superior
capsular reconstruction to be a reliable joint-preserving option with low
morbidity.
PMID- 29804602
TI - Editorial Commentary: The Subscapularis Is King, Ignore It at Your Peril.
AB - As a variety of new techniques evolve for the young patient with an irreparable
rotator cuff tear involving the supraspinatus and infraspinatus, we must not
forget the lessons from Christian Gerber who pioneered the latissimus dorsi
transfer as an effective treatment for adult irreparable rotator cuff tears. An
intact subscapularis is vital to achieving a good result no matter if one
considers a lat transfer, a lower trapezius transfer, a partial posterior rotator
cuff tear, or a superior capsular release. Careful evaluation of this tendon and
its function remains an important preoperative consideration.
PMID- 29804603
TI - Editorial Commentary: Thank You, Thank You, Thank You... for Demonstrating
Histologic Evidence of Shoulder Bicipital Tunnel Disease in the Absence of
Magnetic Resonance Imaging Findings.
AB - Bicipital tunnel disease is often unvisualized during standard diagnostic
arthroscopy. Histolopathologic evidence of disease may be present even in the
absence of magnetic resonance imaging findings. Surgical decision making is
multifactorial.
PMID- 29804604
TI - Editorial Commentary: The "Mumford" & Sons: For Distal Clavicle Excisions, What
Are Our Young Surgeons Doing, and How Well Are They Doing It?
AB - The distal clavicle excision (DCE, also known as the "Mumford" procedure), either
open or arthroscopic, is a time-honored procedure with generally excellent and
predictable results. Surgeons have a choice to make regarding which technique to
perform, and most surgeons choose the arthroscopic approach. This choice is
justified, as complication rates are lower for arthroscopic DCE than for open
DCE. A well-performed arthroscopic DCE should have a very low complication rate
and high success rate.
PMID- 29804605
TI - Editorial Commentary: The Rise of Hip Arthroscopy: Temporary Trend or Here to
Stay?
AB - Hip arthroscopy has demonstrated a drastic rise in utilization in the past decade
for the treatment of femoroacetabular impingement, labral tears, and early
arthritic changes. During that time, there has also been a rise in the use of
administrative claims databases that have allowed for the analysis of trends in
surgical procedures. Multiple large administrative database analyses have been
used to characterize the changing trends in hip arthroscopy, and the demand for
these procedures has grown significantly at our own institution as well as
nationally. Despite this rapid rise, not all patients may benefit from these
procedures, and proper patient selection is key for optimal outcomes.
PMID- 29804606
TI - Editorial Commentary: Caveat Flexor-To Release or Not to Release the Iliopsoas,
That Is the Question.
AB - In nonarthritic patients with femoroacetabular impingement syndrome, borderline
dysplasia, and symptomatic iliopsoas snapping, arthroscopic iliopsoas fractional
lengthening carries a significant risk of postarthroscopic instability. The
iliopsoas is a dynamic stabilizer of the anterior hip. Thus, although
statistically significant and clinically important improvements in hip function
have the potential to be achieved with iliopsoas fractional lengthening, surgeons
must be supremely confident in their ability to perform a secure capsular
plication, labral preservation (not debridement), comprehensive cam correction,
avoidance of intra-abdominal fluid extravasation, release of all iliopsoas tendon
bands (if bifid or trifid), and ensure that femoral version is normal or low,
neck-shaft angle is not excessively valgus, the dysplasia magnitude is no more
than mild, and that there is no excessive soft tissue hypermobility. If these
goals can be met, then excellent outcomes can be achieved. If not, then an
iliopsoas fractional lengthening should not be performed.
PMID- 29804607
TI - Editorial Commentary: Revision Hip Arthroscopy Provides Clinically Meaningful
Improvements.
AB - Revision hip arthroscopy, like primary hip arthroscopy, is being performed more
frequently. Questions remain regarding the clinical value of this surgical
intervention, especially considering previous studies that demonstrate lower
baseline patient-reported outcomes scores before and after surgery. Evaluation of
the clinical utility and value of revision hip arthroscopy, and indeed all
surgical interventions, need be performed using validated patient-reported
outcomes in light of these clinically significant thresholds and changes, beyond
just statistical differences.
PMID- 29804608
TI - Editorial Commentary: Meniscal Allograft Transplantation: Still Effective With
Poor Cartilage, But Much Better With Good Cartilage-Better Done Earlier.
AB - Meniscal allograft transplantation (MAT) is an established treatment for a
symptomatic, meniscus-deficient knee. It is well known that MAT is effective in
patients with good cartilage even in the early era of this procedure. The role of
MAT in arthritic knees is getting more and more clear with increasing evidence
over the last decade. Now we are able to expand our surgical indication to
arthritic patients and provide them with individualized explanation for outcome
and survival.
PMID- 29804609
TI - Editorial Commentary: Is the Capsulodesis the Solution to Reduce Graft Extrusion
in Meniscal Allograft Transplantation?
AB - The causes of graft extrusion after lateral meniscus allograft transplantation
seem to be a multifactorial problem. A recent study shows that the lateral
capsulodesis technique could result in better results relative to the degree of
meniscal extrusion compared with the bone-bridge fixation technique. Long-term
comparative clinical studies are needed to confirm the efficacy of this
procedure.
PMID- 29804610
TI - Editorial Commentary: Is the Anterolateral Ligament Always Injured in Knee
Dislocations? Does It Matter?
AB - Anterolateral ligament injuries with knee dislocations appear to be very common.
In particular, those that occur with fibular (lateral) collateral ligament
injuries are very frequent. Although the majority of injuries in this magnetic
resonance imaging study appear to be at the proximal attachment of the
anterolateral ligament, caution is advised because there is still no clear
agreement as to the anatomic attachment site of the anterolateral ligament on the
femur. Distal-based anterolateral ligament injuries, either bony or soft tissue
Segond variants, have a large consensus in the peer-reviewed literature as to
their anatomic attachment sites and injury pattern identification.
PMID- 29804611
TI - Editorial Commentary: "Defer No Time, Delays Have Dangerous Ends" (Henry VI,
Shakespeare): Delayed Anterior Cruciate Ligament Reconstruction Has Consequences.
AB - There continues to be controversy over the timing of anterior cruciate ligament
(ACL) surgery. Early or delayed intervention after ACL injury is a topic that has
not been settled. The issue is whether ACL tears should have surgery performed in
an expedient manner. Or is delay an option with no repercussions to the health of
the knee? My associates in nonsurgical specialties wave the New England Journal
of Medicine to support their view that surgery is not needed. I routinely espouse
the literature confirming that delay of surgery may cause future damage. It is
now established that a failure to intervene in a timely manner does cause
additional damage. I stand vindicated and can affirm to my colleagues that I have
found the answer. There is no longer any doubt or equivocation. Delay in
reconstructing an unstable knee does cause damage.
PMID- 29804612
TI - Editorial Commentary: Which Came First, the Patella or the Trochlea?
Morphological Relationships in Patients With Patellar Instability.
AB - Trochlear dysplasia and patella alta are known risk factors for patellar
instability, yet the relation between the two has not been well understood.
Morphologic abnormalities such as trochlear dysplasia and patella alta are known
to alter patellofemoral kinematics, whereas altered contact pressures, in turn,
have been associated with the development of trochlear dysplasia. As our current
treatments aim to correct these deformities through increasingly complex
procedures such as trochleoplasty and tuberosity distalization, we should
consider this relation and the potential for changing the course of developing
such morphologic abnormalities earlier in life. Further studies on the
developmental cause of this disorder may help guide future treatments in the
management of patellar instability.
PMID- 29804613
TI - Editorial Commentary: Meniscus Transplantation With or Without Bone Blocks: If
You Don't Have to Break It, Don't.
AB - The C-shaped meniscus cartilages normally insert into bone around the tibial
spines of the knee. As the knee joint rotates and flexes, circumferential hoop
stresses are generated within the tissue, resisted by the collagen fibers that
define both the insertion points and the ultrastructure of the meniscus tissue
itself. For a transplanted meniscus to work normally, the biomechanical interface
of the collagen fibers to the bone must be recreated.
PMID- 29804614
TI - Editorial Commentary: The Great Endoscopically Assisted Bunion Surgery That Will
Never Become Popular-I Will Not Try This at Home.
AB - Bunion surgery almost invariably involves osteotomies and open incisions.
Recently, some surgeons have been performing minimally invasive osteotomies with
less morbidity, more rapid healing, and good results. These surgeries are heavily
technique dependent, and other surgeons cannot always reproduce these results.
Endoscopically assisted bunion surgery yielded excellent results at 10 years in
this study but will likely never be used commonly because of the extremely
demanding surgical technique and requisite learning curve.
PMID- 29804615
TI - Editorial Commentary: Hype, Hope and Everything in Between. What Produces the
Real Effect for Blood-derived Products Including Platelet-Rich Plasma?
AB - Biological approaches have a promising future in the orthopaedic field because of
their potential benefits that include their minimal invasiveness, potential for
accelerated healing, and promise for rapid recovery. However, as the initial hype
for these therapies starts to fade, it should be replaced by solid basic and
clinical science research to tailor each compound to a determined
patient/pathology. Blood contains several products that can be both beneficial
and detrimental for every specific tissue, and therefore a one-fits-all approach
should be avoided. Although beneficial effects have been consistently reported
for certain pathologies such as lateral elbow tendinopathy, as an adjunct for
rotator cuff repairs and the symptomatic treatment of osteoarthritis, other
conditions' outcomes with biologic treatment remain nebulous such as for Achilles
tendinopathy. To determine the real effect of these therapies, it is important to
maintain strict inclusion criteria in an attempt to isolate the effect of one
biologic product that already has many inherent intrinsic variables per se.
PMID- 29804616
TI - Editorial Commentary: Similar Outcomes Among Surgical Techniques for Unstable
Acromioclavicular Injuries: Is There More to the Story?
AB - There are many ways to perform stabilization of unstable acromioclavicular
injuries, and there are generally good results with all surgical techniques.
Unfortunately, the literature is weak on comparing surgical results with
nonsurgical management, so we still have not defined which patients need surgery
and which patients do not.
PMID- 29804617
TI - Editorial Commentary: You Cannot Choose the Hip....It Chooses You!! Big Database
Versus Private Database Complication Reporting.
AB - With the continued increase in hip preservation procedures, a proper
understanding of indications, technical execution, and reporting on outcomes and
complications cannot be overstated. Severe complications continue to occur, and
we all should take a moment to think on our own practices and ways to mitigate
our complications.
PMID- 29804618
TI - Coagulopathy secondary to vitamin K deficiency caused by severe diarrhea.
PMID- 29804619
TI - Reply to the Letter to the Editor regarding "The 5-year cost-effectiveness of
anterior cervical discectomy and fusion or cervical disc replacement: a Markov
analysis".
PMID- 29804620
TI - Misinterpreted Markov analysis.
PMID- 29804621
TI - The Epidemiology and Medical Morbidity of Long-Distance Backpackers on the John
Muir Trail in the Sierra Nevada.
AB - INTRODUCTION: The baseline characteristics and medical morbidity of hikers on the
354 km (220 mi) John Muir Trail (JMT) have not been previously reported. METHODS:
Using online and on-site recruitment, hikers completing the JMT in 2014 were
directed to an online 83-question survey. Pearson correlations, regression
models, and descriptive statistics were applied to data, reported as mean+/-SD
(range). Statistical significance was set at P<0.05. RESULTS: Of 771 respondents,
57% were men aged 43+/-14 (13-76) y; they hiked 15.2+/-7.6 (5-34) days and
traveled 272+/-129 (45-1207) km (169+/-80 [28-750] mi). Backpackers lost 3.5+/
2.6 (+3.6 to -18.2) kg (7.7+/-5.8 [+8 to -40] lbs). Over half (57%) of
respondents reported illness or injury, with blisters (57%), sleep problems
(57%), and pack strap pain (46%) most prevalent. Altitude illness affected 37%.
Thirty hikers left the trail; of these, 4 required emergency medical services
evacuations (3 by helicopter). Increasing age, base pack weight, and body mass
index (BMI) were all associated with a decrease in the distance hiked per day.
Higher base pack weight was associated with illness or injury, whereas older age
was slightly protective. Increasing BMI was associated with a slight increase in
medical illness or injury and a strong association with evacuation from the
trail. CONCLUSIONS: JMT hikers experienced medical issues seen on other national
trails. Weight loss was prevalent. Most hikers had medical complaints, with few
seeking medical attention. Heavy packs and higher BMIs were associated with
undesirable outcomes, while older hikers fared better.
PMID- 29804623
TI - ERRATUM in Wilderness Environ Med. 29/1.
PMID- 29804622
TI - Wireless and Low-Weight Technologies: Advanced Medical Assistance During a Cave
Rescue: A Case Report.
AB - Trauma care in cave rescue is a unique situation that requires an advanced and
organized approach with medical and technical assistance because of the extreme
environmental conditions and logistical factors. In caving accidents, the most
common injuries involve lower limbs. We describe an advanced medical rescue
performed by the Italian Corpo Nazionale del Soccorso Alpino e Speleologico, in
which extended focused assessment with sonography for trauma and an ultrasound
guided adductor canal block were performed on a patient with a knee distortion
directly in the cave. The rescue team inside the cave shared data on patient
monitoring and the ultrasound scanning in real time with rescuers at the
entrance, using a video conference powered by the new Ermes system. The use of
handheld, battery-powered, low-weight, multiparametric monitors, ultrasound
machines, and digital data transmission systems could ensure complete medical
assistance in harsh environmental conditions such as those found in a cave.
PMID- 29804624
TI - Functional adrenal cortex preservation: A good reason for posterior
retroperitoneal endoscopic approach.
AB - INTRODUCTION: Cortical-sparing adrenalectomy is a suitable treatment for
hereditary and sporadic bilateral pheochromocytoma, in cases of low risk of
malignancy, to reduce the possibility of adrenal insufficiency assuming the
chance of local recurrence. The aim of the study is to analyze the functional
results of partial adrenalectomy by retroperitoneal endoscopic approach in single
adrenal patients or patients requiring bilateral adrenalectomy. METHODS:
Prospective study between January 2015 and February 2016 including
pheochromocytoma patients diagnosed with low risk of malignant mutations. All
patients agreed to be included in the study. Experienced endocrine surgeons who
have been trained in minimally invasive endocrine surgery performed the procedure
using the same surgical technique. Demographic variables and clinical
characteristics were collected, subsequently carrying out the descriptive
analysis of the data. RESULTS: A total of 6 patients were registered, four
associated with MEN type 2 syndrome and two in the context of VHL syndrome.
Retroperitoneoscopic resection was performed without laparoscopic or open
conversion and no postoperative complications; the average hospital stay was 2.5
days. Preservation of the functional cortex without corticosteroids was achieved
in 5 (83%) of out 6 cases with a follow-up of 26.2 +/- 6 months. Today, these 5
patients have a preserved adrenal function without hormone replacement.
CONCLUSIONS: Cortical-sparing adrenalectomy by the retroperitoneal endoscopic
approach, in expert hands, is safe and feasible for the treatment of hereditary
and sporadic pheochromocytoma in a context of low malignancy, making it possible
to avoid the need for corticoid replacement in most cases.
PMID- 29804626
TI - Corrigendum to "Hexabromocyclododecanes (HBCDs) in fish: Evidence of recent HBCD
input into the coastal environment" [Marine Pollution Bulletin 126 (2018) 357
362].
PMID- 29804627
TI - Value of texture analysis based on enhanced MRI for predicting an early
therapeutic response to transcatheter arterial chemoembolisation combined with
high-intensity focused ultrasound treatment in hepatocellular carcinoma.
AB - AIM: To evaluate the potential value of texture analysis (TA) based on contrast
enhanced magnetic resonance imaging (MRI) for predicting an early response of
patients with hepatocellular carcinoma (HCC) who were treated with transcatheter
arterial chemoembolisation (TACE) combined with high-intensity focused ultrasound
(HIFU). MATERIALS AND METHODS: Patients with HCC (n=89) who underwent contrast
enhanced MRI at 1.5 T 1 week before and 1 week, 1 month, and 3 months after
TACE/HIFU were included in this retrospective study. Early responses were
evaluated by two radiologists according to the Response Evaluation Criteria in
Cancer of the Liver (RECICL). An independent Student's t-test and the Mann
Whitney U-test were used to compare the TA parameters between the complete
response (CR) group and the non-complete response (NCR) group. Logistic
regression and receiver operating characteristic (ROC) curve analyses were
performed to assess the predictive value of the NCR lesions. RESULTS: Among the
89 patients, 58 showed CR and 31 showed NCR. Before TACE/HIFU, the CR group
showed higher uniformity and energy but lower entropy than the NCR group
(p<0.05). After TACE/HIFU, the CR group showed higher uniformity and energy but
lower entropy and skewness than the NCR group (p<0.05). The logistic regression
and ROC curve analyses showed that the entropy before TACE/HIFU and the skewness
and entropy 1 week after TACE/HIFU were predictors of an early response.
CONCLUSION: TA parameters based on contrast-enhanced MRI images 1 week before and
after TACE/HIFU may act as imaging biomarkers to predict an early response of
patients with HCC.
PMID- 29804628
TI - Semi-automatic volume measurement for orbital fat and total extraocular muscles
based on Cube FSE-flex sequence in patients with thyroid-associated
ophthalmopathy.
AB - AIM: To investigate the accuracy of two semi-automatic segmentation measurements
based on magnetic resonance imaging (MRI) three-dimensional (3D) Cube fast spin
echo (FSE)-flex sequence in phantoms, and to evaluate the feasibility of
determining the volumetric alterations of orbital fat (OF) and total extraocular
muscles (TEM) in patients with thyroid-associated ophthalmopathy (TAO) by semi
automatic segmentation. MATERIALS AND METHODS: Forty-four fatty (n=22) and lean
(n=22) phantoms were scanned by using Cube FSE-flex sequence with a 3 T MRI
system. Their volumes were measured by manual segmentation (MS) and two semi
automatic segmentation algorithms (regional growing [RG], multi-dimensional
threshold [MDT]). Pearson correlation and Bland-Altman analysis were used to
evaluate the measuring accuracy of MS, RG, and MDT in phantoms as compared with
the true volume. Then, OF and TEM volumes of 15 TAO patients and 15 normal
controls were measured using MDT. Paired-sample t-tests were used to compare the
volumes and volume ratios of different orbital tissues between TAO patients and
controls. RESULTS: Each segmentation (MS RG, MDT) has a significant correlation
(p<0.01) with true volume. There was a minimal bias for MS, and a stronger
agreement between MDT and the true volume than RG and the true volume both in
fatty and lean phantoms. The reproducibility of Cube FSE-flex determined MDT was
adequate. The volumetric ratios of OF/globe (p<0.01), TEM/globe (p<0.01), whole
orbit/globe (p<0.01) and bone orbit/globe (p<0.01) were significantly greater in
TAO patients than those in healthy controls. CONCLUSION: MRI Cube FSE-flex
determined MDT is a relatively accurate semi-automatic segmentation that can be
used to evaluate OF and TEM volumes in clinic.
PMID- 29804629
TI - Gout of the axial joint-A patient level systemic review.
AB - OBJECTIVE: Gout is the osteoarticular expression of hyperuricemia, resulting from
excessive production and/or insufficient elimination of uric acid. Emerging case
reports described the deposition of mono sodium urate in the spine as a rare
manifestation of gout, we aimed in revealing the full picture of reported axial
joint gout (AJG). METHODS: We performed a systemic patient level review focused
on characteristics of reported cases of axial joint involvement in gout. RESULTS:
A total of 127 studies (142 cases) were identified as axial joint gout. Most of
the cases were reported by neurosurgeons and orthopedic surgeons (19.7% and
17.6%, respectively),low back and neck pain and weakness of limbs were presented
in 113 cases, most of the cases (77.5%) were diagnosed via operation or
aspiration. Although CT and MRI was the most popular imaging method, 8 cases
underwent DECT avoided surgery had marked improvement. CONCLUSIONS: The incidence
of AJG was underestimated and the IAJG exist independent of peripheral arthritis.
AJG should be suspected when back pain and neurological involvement occurred in
the risky populations. DECT would be a promising technique to initiate the
earlier intervention complimentary to invasive procedures or operations.
PMID- 29804630
TI - How studying the immune system leads us to new medicines.
PMID- 29804631
TI - When should the errors in the UK's breast screening programme have been spotted?
PMID- 29804632
TI - Corrigendum to "Saccharide binding by intelectins" [Int. J. Biol. Macromol.
108(2018) 1010-1016].
PMID- 29804633
TI - Universal coverage reforms in the USA: From Obamacare through Trump.
AB - Since the election of Donald Trump as President, momentum towards universal
health care coverage in the United States has stalled, although efforts to repeal
the Affordable Care Act (ACA) in its entirety failed. The ACA resulted in almost
a halving of the percentage of the population under age 65 who are uninsured. In
lieu of total repeal, the Republican-led Congress repealed the individual mandate
to purchase health insurance, beginning in 2019. Moreover, the Trump
administration is using its administrative authority to undo many of the
requirements in the health insurance exchanges. Partly as a result, premium
increases for the most popular plans will rise an average of 34% in 2018 and are
likely to rise further after the mandate repeal goes into effect. Moreover, the
administration is proposing other changes that, in providing states with more
flexibility, may lead to the sale of cheaper and less comprehensive policies. In
this volatile environment it is difficult to anticipate what will occur next. In
the short-term there is proposed compromise legislation, where Republicans agree
to provide funding for the cost-sharing subsidies if the Democrats agree to
increase state flexibility in some areas and provide relief to small employers.
Much will depend on the 2018 and 2020 elections. In the meantime, the prospects
are that the number of uninsured will grow.
PMID- 29804634
TI - Management of oral and maxillofacial infections in a regional unit: a seven day
service?
AB - The provision of a seven-day National Health Service (NHS) has been proposed as a
means to halt the weekend delay in treatment that has been described in some
studies. We tested the emergency services in the Oral and Maxillofacial Surgery
Department at Northampton General Hospital to find out whether they provided a
seven-day service. Data were collected prospectively and retrospectively for all
patients admitted to the Oral and Maxillofacial Department at Northampton General
Hospital with infections of the head and neck during a period of 29months
(January 2014-May 2016). Duration of hospital stay and waiting time for operation
were compared for weekday and weekend admissions to find out if there were
changes in either outcomes or waiting times. The severity of infection between
the two periods was also assessed using the serum C reactive protein (CRP)
concentration as a marker. A total of 293 patients were admitted with head and
neck infections, and the mean (range) duration of stay for those admitted on
weekdays was 3 (1-14) days and for patients admitted at a weekend was 3 (1-17)
days (p=0.14). However, the waiting times for operation were significantly longer
during the week (mean (range) 0.6 (0-8) days) than at the weekend (0.5 (0-3)
days, p=0.04). We know of no other published studies about provision of a seven
day service in oral and maxillofacial surgery. Our results show that we are
already working to that standard, and this raises the question of whether any
changes are required to current practice in the NHS, with their associated costs
and upheaval.
PMID- 29804635
TI - Providing regular care for grandchildren in Thailand: An analysis of the impact
on grandparents' health.
AB - One of the many roles of grandparents is the role as caretaker for their
grandchildren. Studies looking into the situation of older adults providing care
for their grandchildren have found that care responsibilities can have beneficial
effects but can also pose challenges to those providing it, depending on
individual and societal circumstances. The objective of our study is to shed
light on the health effects of providing care for grandchildren younger than 10
years of age on grandparents. Whether this experience has positive or negative
effects on the caretaker's health depends on a range of factors that we explore
here in the context of Thailand. The study is based on the quantitative analysis
of the 2011 round of the National Survey of Older Persons in Thailand. In order
to control for endogeneity between health status and the provision of care, we
apply several instrumental variable (IV) approaches in addition to regular
regressions. In terms of health status, we make use of four health-related
variables: self-reported health status, functional limitations, happiness level
and information about negative feelings. The observed positive impact of
grandparenting on three health outcomes that we find with non-endogeneity
controlled OLS analyses is likely due to reverse causality or self-selection into
becoming a grandparent who provides care. The unbiased results imply that
regularly taking care of young grandchildren does not provide any physical health
benefits; to the contrary, it seems to have a negative impact on self-rated
health, functional limitations and psychological well-being, supporting the role
strain theory.
PMID- 29804636
TI - Supervised machine learning in critical care: The path forward.
PMID- 29804637
TI - Expression, activation and clinical relevance of CHK1 and CHK2 in metastatic high
grade serous carcinoma.
AB - OBJECTIVE: To analyze the expression and clinical role of CHK1 and CHK2 in
metastatic high-grade serous carcinoma (HGSC). METHODS: HGSC effusions (n = 335;
280 peritoneal, 55 pleural) were analyzed for protein expression of total CHK1
and its phosphorylated forms p-ser317 and p-ser296, as well as total CHK2 and its
phosphorylated form p-thr68 using immunohistochemistry. Expression was analyzed
for association with clinicopathologic parameters, including chemotherapy
response, and survival. RESULTS: Carcinoma cells stained positive, predominantly
at the nuclei, in the majority of cases (range 83-100% for the five antibodies),
while expression in reactive mesothelial cells and tumor-associated macrophages
was more variable. Total CHK1 (p = 0.037), p-CHK1ser317 (p = 0.001), p-CHK1ser296
(p = 0.002) and p-CHK2thr68 (p < 0.001) expression was significantly higher in
post-chemotherapy disease recurrence compared to pre-chemotherapy effusions
obtained at diagnosis. CHK1, p-CHK1ser296, p-CHK2thr68 and p-CHK1ser317 nuclear
expression was positively related to expression of the checkpoint regulator WEE1,
previously studied in this cohort (p = 0.003, p = 0.013, p = 0.001 and p = 0.01,
respectively). Higher total CHK1 (p = 0.007), p-CHK1ser317 (p = 0.004), CHK2 (p =
0.01) and p-CHK2thr68 (p = 0.048) expression was significantly related to shorter
overall survival in univariate analysis, and CHK1ser317 was an independent
prognostic marker in multivariate analysis (p = 0.025). Higher p-CHK1ser317 (p =
0.03) and CHK2 (p = 0.034) expression was additionally associated with poor
progression-free survival. CONCLUSIONS: CHK1 and CHK2 and their activated forms
are frequently expressed in HGSC effusions, with higher expression following
exposure to chemotherapy, and their expression is related to survival.
PMID- 29804639
TI - Simple trachelectomy with pelvic lymphadenectomy as a viable treatment option in
pregnant patients with stage IB1 (>=2 cm) cervical cancer: Bridging the gap to
fetal viability.
AB - OBJECTIVE: Cervical cancer is the most common gynecologic cancer in pregnancy.
This study aims to evaluate simple trachelectomy and pelvic lymphadenectomy in
patients with stage IB1 (>=2 cm) cervical cancer wishing to maintain their
pregnancy. METHODS: We included patients with stage IB1 (>=2 cm) cervical cancer
who underwent simple trachelectomy and minimally invasive pelvic lymphadenectomy
during pregnancy from January 2004 to August 2016. Data analysis included
demographics, perioperative, obstetrics, and oncologic outcomes. RESULTS: A total
of 5 patients were included. Median age was 30 years (range; 26-38). Median
gestational age (GA) at diagnosis was 12 weeks (range; 7-18) and at treatment
intervention 16.5 weeks (range; 12-19). Histologic subtypes included:
adenocarcinoma (3 patients) and squamous cell carcinoma (2 patients). Median
tumor size by clinical exam was 27 mm (range; 20-40), grade 2 (range; 2-3) and
depth of invasion 10 mm (range; 1.5-12). All patients underwent laparoscopic (1)
or robotic (4) pelvic lymphadenectomy followed by vaginal simple trachelectomy.
Median operative time was 193 min (range; 155-259), estimated blood loss 100 ml
(range; 50-550) and length of stay 2 days (range; 1-3). There were no
intraoperative or postoperative complications (<30 days). Median number of lymph
nodes removed was 14 (range; 5-15). One patient had bilateral microscopic
positive nodes. The median gestational age at delivery was 39 weeks (range; 28
40.6). After median follow-up of 75 months (range; 18-168), all patients are
alive without disease. CONCLUSION: Simple trachelectomy with pelvic lymph node
dissection may be a safe option in pregnant patients with stage IB1 (>2 cm)
cervical cancer wishing to maintain their pregnancy.
PMID- 29804641
TI - Anthropometry-based Equations to Estimate Body Composition: A Suitable
Alternative in Renal Transplant Recipients and Patients With Nondialysis
Dependent Kidney Disease?
AB - OBJECTIVE: Chronic kidney disease (CKD) patients and renal transplant recipients
(RTRs) are characterized by aberrant body composition such as muscle wasting and
obesity. It is still unknown which is the most accurate method to estimate body
composition in CKD. We investigated the validity of the Hume equation and
bioelectrical impedance analysis (BIA) as an estimate of body composition against
dual-energy X-ray absorptiometry (DXA) in a cohort of nondialysis dependent (NDD)
CKD and RTRs. DESIGN AND METHODS: This was a cross-sectional study with agreement
analysis of different assessments of body composition conducted in 61 patients
(35 RTRs and 26 NDD-CKD) in a secondary care hospital setting in the UK. Body
composition (lean mass [LM], fat mass [FM], and body fat% [BF%]) was assessed
using multifrequency BIA and DXA, and estimated using the Hume formula. Method
agreement was assessed by intraclass correlation coefficient (ICC), regression,
and plotted by Bland and Altman analysis. RESULTS: Both BIA and the Hume formula
were able to accurately estimate body composition against DXA. In both groups,
the BIA overestimated LM (1.7-2.1 kg, ICC .980-.984) and underestimated FM (1.3
2.1 kg, ICC .967-.972) and BF% (3.1-3.8%, ICC .927-.954). The Hume formula also
overestimated LM (3.5-3.6 kg, ICC .950-.960) and underestimated BF% (1.9-2.1%,
ICC .808-.859). Hume-derived FM was almost identical to DXA in both groups (-0.3
to 0.1 kg, ICC .947-.960). CONCLUSION: Our results demonstrate, in RTR and NDD
CKD patients, that the Hume formula, whose estimation of body composition is
based only upon height, body mass, age, and sex, may reliably predict the same
parameters obtained by DXA. In addition, BIA also provided similar estimates
versus DXA. Thus, the Hume formula and BIA could provide simple and inexpensive
means to estimate body composition in renal disease.
PMID- 29804640
TI - Exploring Canadian Women's Multiple Abortion Experiences: Implications for
Reducing Stigma and Improving Patient-Centered Care.
AB - BACKGROUND: Roughly one-third of all abortions in Canada are subsequent
abortions. However, few published reports showcase women's voices or explore
women's experiences on this topic. Our study aimed to understand better the ways
that women who have had multiple abortions talk about and view those experiences.
METHODS: Between 2012 and 2016, we conducted in-depth interviews with 41 Canadian
women who had a total of 87 abortions in the 5 years preceding the interviews. We
audio-recorded and transcribed all English- and French-language interviews and
analyzed our data for content and themes using a multiphased iterative approach
and inductive and deductive techniques. RESULTS: Women described their abortion
experiences as unique life events, even in cases when the overarching
circumstances surrounding the pregnancies were similar. Participants recalled
multiple factors that influenced their decisions to terminate, including their
relationship status; level of support from family and friends; financial
situation; health status; previous reproductive health, pregnancy, and abortion
experiences; and desire to parent. In general, a previous abortion demystified
the abortion process but did not play a significant role in decision making.
Women described intensified feelings of shame and both internalized and
externalized stigma surrounding their decision to have more than one abortion.
However, the overwhelming majority were confident in their decisions.
CONCLUSIONS: The often-used phase "repeat abortion" fails to capture women's
experiences and the complex decision making surrounding each pregnancy. Efforts
to reframe the narrative of multiple abortions, including among health care
providers, could help reduce the amplified stigma associated with having more
than one lifetime abortion.
PMID- 29804638
TI - A phase II study of frontline paclitaxel/carboplatin/bevacizumab,
paclitaxel/carboplatin/temsirolimus, or ixabepilone/carboplatin/bevacizumab in
advanced/recurrent endometrial cancer.
AB - OBJECTIVE: Paclitaxel and carboplatin (PC) is a standard initial therapy for
advanced endometrial cancer. We evaluated the efficacy and tolerability of
incorporating three novel agents into initial therapy. METHODS: In this
randomized phase II trial, patients with chemotherapy-naive stage III/IVA (with
measurable disease) and stage IVB or recurrent (with or without measurable
disease) endometrial cancer were randomly assigned to treatment with PC plus
bevacizumab (Arm 1), PC plus temsirolimus (Arm 2) or ixabepilone and carboplatin
(IC) plus bevacizumab (Arm 3). The primary endpoint was progression-free survival
(PFS). Comparable patients on the PC Arm of trial GOG209 were used as historical
controls. Secondary endpoints were response rate, overall survival (OS), and
safety. RESULTS: Overall, 349 patients were randomized. PFS duration was not
significantly increased in any experimental arm compared with historical controls
(p > 0.039). Treatment HRs (92% CI) for Arms 1, 2, and 3 relative to controls
were 0.81 (0.63-1.02), 1.22 (0.96-1.55) and 0.87 (0.68-1.11), respectively.
Response rates were similar across arms (60%, 55% and 53%, respectively).
Relative to controls, OS duration (with censoring at 36 months), was
significantly increased in Arm 1 (p < 0.039) but not in Arms 2 and 3; the HRs
(92% CIs) were 0.71 (0.55-0.91), 0.99 (0.78-1.26), and 0.97 (0.77-1.23),
respectively. No new safety signals were identified. Common mutations and rates
of mismatch repair protein loss are described by histotype. Potential predictive
biomarkers for temsirolimus and bevacizumab were identified. CONCLUSION: PFS was
not significantly increased in any experimental arm compared to historical
controls. NRG Oncology/Gynecologic Oncology Group Study GOG-86P.
PMID- 29804643
TI - Fluid therapy remains an important cornerstone in the prevention of progressive
chugging in extracorporeal membrane oxygenation.
PMID- 29804642
TI - Psychosocial assessment and intervention - are we doing enough?
PMID- 29804645
TI - Atrial myxoma related to ischemic cerebrovascular event.
PMID- 29804644
TI - [Chronic pathology, frailty, and functionality in older adults from Guadalajara.
Mexico].
PMID- 29804646
TI - Disrupted Interactions Between Arousal and Cortical Awareness Networks in MCS and
VS/UWS Patients: Evidence from Resting-state Functional Imaging Connectivity.
AB - Clinical patients in a vegetative state or unresponsive wakefulness syndrome
(VS/UWS) demonstrate distinct arousal-awareness dissociation; the
neuropathological mechanisms underlying such dissociation remain poorly
understood. Here, we systematically examined how functional connectivity from the
brainstem areas regulating arousal to the cortical networks supporting internal
and external awareness is disrupted in minimally conscious state (MCS) and VS/UWS
patients. Resting-state functional imaging was conducted in 23 MCS patients, 31
VS/UWS patients, and 20 age-matched healthy individuals. A hierarchical cluster
analysis was conducted using all voxel-based signals in the brainstem to identify
the specific areas for arousal. We found that the pontine tegmentum area (PTA)
and caudal midbrain area persistently formed a distinct cluster that exclusively
showed extensive connections with the cortical networks supporting internal and
external awareness in healthy individuals, confirming their role in arousal. We
show that functional connectivity from the PTA and caudal midbrain area to the
cortical-awareness-supporting networks were significantly reduced in MCS and
VS/UWS patients; importantly, as the clinical symptoms of consciousness disorders
deepen from MCS to VS/UWS, functional connectivity strength became significantly
reduced, changing from presenting no significant connections in MCS to widespread
negative connections in VS/UWS. Additionally, we observed increased connectivity
from the PTA and caudal midbrain area to limbic structures, the brainstem areas,
and the cerebellum in MCS and VS/UWS patients, consistent with prior studies.
These findings offer important insights into the neural network mechanisms
underlying the long-observed arousal-awareness dissociation in VS/UWS patients
and provide additional neuroimaging-based biomarkers for the clinical diagnosis
of MCS and VS/UWS patients.
PMID- 29804647
TI - Functional Neuroimaging in Disorders of Consciousness: Raising Awareness for
Those with Decreased Awareness.
PMID- 29804648
TI - Response the letter to the editor for the masterclass: Do hip muscle weakness and
dynamic knee valgus matter for the clinical evaluation and decision-making
process in patients with patellofemoral pain?
PMID- 29804649
TI - Letter to editor in chief: Do hip muscle weakness and dynamic knee valgus matter
for the clinical evaluation and decision making process in patients with
patellofemoral pain?
PMID- 29804650
TI - CDK4/6 Inhibitors in Combination With Hormone Therapy for HR+/HER2- Advanced
Breast Cancer: A Systematic Review and Meta-analysis of Randomized Controlled
Trials.
AB - BACKGROUND: This meta-analysis of randomized controlled trials aimed to
comprehensively assess the efficacy and toxicity of cyclin-dependent kinase (CDK)
4/6 inhibitors in advanced breast cancer (ABC) with hormone-receptor positive
(HR+) and human epidermal growth factor receptor 2 negative (HER2-) disease.
METHODS: We performed a systematical search using Cochrane Library, PubMed,
Embase, and Web of Science up to March 2018. Only phase 2 and 3 randomized
clinical trials assessing the efficacy and toxicity of the combination regimen of
CDK4/6 inhibitors plus hormone therapy compared with hormone therapy alone were
eligible for this meta-analysis. The pooled analyses of relative risk (RR) and
hazard ratio were carried out by Stata software. RESULTS: A total of 7 randomized
controlled trials including 3854 patients with HR+/HER2- ABC were included in
this meta-analysis. The pooled hazard ratio for progression-free survival was
0.54 (95% confidence interval, 0.49-0.59; P < .001), and the pooled RR for the
objective response rate in all intent-to-treat patients was 1.51 (95% confidence
interval, 1.26-1.81; P < .001). The pooled RRs for all grade adverse events (AEs)
and grade 3/4 AEs were 1.07 (95% confidence interval, 1.03-1.11; P < .001) and
2.81 (95% confidence interval, 2.54-3.11; P < .001), respectively. However, to
investigate the influence of CDK4/6 inhibitors on overall survival, sufficient
follow-up is still needed. CONCLUSION: CDK4/6 inhibitors plus hormone therapy can
significantly prolong the progression-free survival of patients with HR+/HER2-
ABC and improve the objective response rate compared to conventional hormone
therapy alone. The combined regimen results in a higher risk of AEs, especially
grade 3/4 AEs.
PMID- 29804651
TI - Presence of S100A8/Gr1-Positive Myeloid-Derived Suppressor Cells in Primary
Tumors and Visceral Organs Invaded by Breast Carcinoma Cells.
AB - BACKGROUND: Increased S100A8/A9 expression in Gr1-positive cells has been shown
in myeloid-derived suppressor cells and may play a role in the formation of a
metastatic milieu. We aimed to determine S100A8/A9 expression alone and with
coexpression of Gr1 (a myeloid marker) in primary tumor and visceral tissues
invaded by metastatic breast carcinoma. MATERIALS AND METHODS: Female BALB/c mice
were injected with 4TLM, 4THM, and 67NR orthotopically. Confluent cells (75%-80%)
were used. Primary tumor, lung, liver, and spleen tissue samples were removed 26
days after injection. Peripheral blood smears and metastasis assay were
performed, as was immunohistochemistry and staining. RESULTS: S100A8/A9
immunoreactivity alone or coexpressed with Gr1 was found in primary tumors formed
by 4TLM and 4THM cells, which was markedly higher than in primary tumors formed
by nonmetastatic 67NR cells. Similarly, liver and lung tissues obtained from mice
injected with 4TLM or 4THM cells were invaded by S100A8/A9-positive and Gr1
positive cells. Double-positive cells were markedly fewer in liver and lung
tissues of animals injected with 67NR cells. S100A8/A9-positive cells were mostly
localized in red pulp of spleens. We observed an increased number of neutrophils
in the peripheral blood of mice injected with metastatic breast carcinoma cells.
CONCLUSION: Tumor-derived factors may increase S100A8/A9-positive cells locally
and systemically, and S100A8/A9-positive cells may provide an appropriate milieu
for the formation of metastasis.
PMID- 29804652
TI - The relationship between talent identification testing parameters and performance
in elite junior swimmers.
AB - OBJECTIVES: In elite age-group swimming it is unclear to what degree common
assessments of anthropometric, jump performance and front-crawl critical speed
(CS) correlate with competition performance. DESIGN: Cross-sectional field study.
METHODS: Forty eight elite national-level junior swimmers (22 males, age 16.5+/
1.2 y, 26 females, age 15.5+/-1.1 y; mean+/-SD) completed anthropometry tests,
loaded and unloaded countermovement jumps and a series of front-crawl time-trials
to determine CS and supra-CS distance capacity (D'). Years from peak height
velocity (PHV) predicted from anthropometric data was used as a maturity
indicator. Race performances within 3 months of testing were standardised to
compare across distances and strokes. Multiple linear regression models were
formulated using these data. RESULTS: Loaded jump height, mass, D', PHV and
humerus breadth best predicted 100m performance in males (R2Adj=0.88, p<0.001),
while loaded jump height, chest depth and sitting height predicted female 100m
performances (R2Adj=0.74, p=0.002). Loaded and unloaded jump height, mass, CS and
PHV (R2Adj=0.73, p=0.003) and CS and chest depth (R2Adj=0.33, p=0.03) predicted
200m performance in males and females respectively. CONCLUSIONS: Common
assessments of power and aerobic capacity in elite junior swimmers explain more
variance in competition performance for male than female swimmers, as well as for
100m rather than 200m events. These findings highlight the need to empirically
assess testing regimens and suggest new tests in this population may be required.
PMID- 29804653
TI - Entropy measures detect increased movement variability in resistance training
when elite rugby players use the ball.
AB - OBJECTIVES: This study described the variability in acceleration during a
resistance training task, performed in horizontal inertial flywheels without
(NOBALL) or with the constraint of catching and throwing a rugby ball (BALL).
DESIGN AND METHODS: Twelve elite rugby players (mean+/-SD: age 25.6+/-3.0years,
height 1.82+/-0.07m, weight 94.0+/-9.9kg) performed a resistance training task in
both conditions (NOBALL AND BALL). Players had five minutes of a standardized
warm-up, followed by two series of six repetitions of both conditions: at the
first three repetitions the intensity was progressively increased while the last
three were performed at maximal voluntary effort. Thereafter, the participants
performed two series of eight repetitions from each condition for two days and in
a random order, with a minimum of 10min between series. The structure of
variability was analysed using non-linear measures of entropy. RESULTS: Mean
changes (%; +/-90% CL) of 4.64; +/-3.1g for mean acceleration and 39.48; +/
36.63a.u. for sample entropy indicated likely and very likely increase when in
BALL condition. Multiscale entropy also showed higher unpredictability of
acceleration under the BALL condition, especially at higher time scales.
CONCLUSIONS: The application of match specific constraints in resistance training
for rugby players elicit different amount of variability of body acceleration
across multiple physiological time scales. Understanding the non-linear process
inherent to the manipulation of resistance training variables with constraints
and its motor adaptations may help coaches and trainers to enhance the
effectiveness of physical training and, ultimately, better understand and
maximize sports performance.
PMID- 29804654
TI - Poor Compliance With Carrying Nitroglycerin and Aspirin in Patients With Coronary
Artery Disease.
PMID- 29804655
TI - [Renal artery stenosis long time after renal denervation for resistant
hypertension].
AB - The onset of renal artery stenosis following a renal denervation is rare and
occurs in the first few months after renal denervation. We report the onset of
renal artery stenosis a long time after the renal denervation for resistant
hypertension. This is a 74 year-old patient who stopped smoking in 1980 and who
was treated for dyslipidemia with a revascularized coronary artery disease in
2011, a well-stabilized peripheral arterial disease since 2001, a stable
asymptomatic carotid atheroma and a good kidney function. His hypertension known
since 1995 became resistant. After the control of renal arteries by angio-CT
scan, he had a renal denervation in October 2012. His blood pressure decreased 3
months later confirmed by self-blood pressure monitoring (SBPM) and ambulatory
blood pressure monitoring (ABPM) with a CT scan with a non-significant renal
artery stenosis in January 2014. He remained normotensive under treatment until
July 2015 but his hypertension became uncontrolled at the end of 2015 then
resistant and severe confirmed by SBPM in April 2017, despite a 5-drug
antihypertensive treatment associated to atorvastatin and clopidogrel confirmed
by SBPM in April 2017. A left post-ostial renal artery stenosis with decrease in
size of left kidney and cortex as compared to 2011 was detected at CT and treated
by angioplasty. It was associated with a rapid decrease in blood pressure but
unfortunately a new increase related to a restenosis occurred at the end of 2017,
which justified a new angioplasty. Discussion about the etiology and the
management of this renal post-denervation late stenosis.
PMID- 29804656
TI - Oxybenzone and Solar Filters in General: The Good and the Bad.
PMID- 29804657
TI - Non-typeable Streptococcus pneumoniae infection in a medical center in Taiwan
after wide use of pneumococcal conjugate vaccine.
AB - BACKGROUND: Streptococcus pneumoniae is one of the most common pathogens to cause
mucosal and invasive infection in humans. Most of the infection could be
prevented through immunization by vaccines containing capsular polysaccharides
but some infection may be caused by unencapsulated strains. METHODS: Clinical
isolates of S.pneumoniae from January 2012 to December 2015 at Chang Gung
Memorial Hospital, Taiwan. Serotyping by PCR method was performed. Clinical and
laboratory information of patients infected by non-typeable pneumococci (NTP)
were collected and analyzed. RESULTS: During the study period, 39 NTP isolates
were identified. Most (21 of 39, 53.9%) were collected from purulent upper
respiratory tract secretion. Others were from corneal abscess, sputum, and one
from blood of a newborn. We recorded a 3.6-fold increase in the rate of isolation
from 1.4% in 2012 to 5.0% in 2015 (p = 0.063). Co-infection was found in 24
cases; the major co-infecting pathogens included non-typeable Hemophilus
influenzae, Moraxella catarrhalis, and Staphylococcus aureus. Most (39 of 40,
97.5%) of the isolates were susceptible to both penicillin and ceftriaxone. The
dominant sequence type ST1106 and an emerging sequence type ST7502 were
recognized. CONCLUSIONS: A gradual increase of NTP infection was found in
northern Taiwan in the pneumococcal conjugate vaccine era. Non-typeable
pneumococci can cause respiratory and ophthalmological mucosal infection.
Invasive infection can occur in newborns or young infants. Most of the isolates
remained susceptible to penicillin and ceftriaxone.
PMID- 29804658
TI - Vitamin D Prescribing Practices in Primary Care Pediatrics: Underpinnings From
the Health Belief Model and Use of Web-Based Delphi Technique for Instrument
Validity.
AB - Vitamin D deficiency and insufficiency is a pandemic problem in children and
adolescents in the United States. The problem may be aggravated by the
inconsistent implementation of current clinical practice guidelines for vitamin D
management by pediatric primary care providers. This study examines the
relationship between primary care providers' prescribing vitamin D to children
ages 1 through 18 years and their practice actions and knowledge. A descriptive
correlation design was used. Participants were recruited from a purposive sample
of pediatricians and pediatric nurse practitioners through an online invitation
to participate in a survey. Reliability and validity was established for the
survey developed by the principal investigator using a web-based Delphi
technique. Results from this study indicate that although most providers are
aware that vitamin D insufficiency and deficiency are problems, fewer than half
currently recommend 600- to 1,000-IU supplementation to their patients ages 1
through 18 years.
PMID- 29804659
TI - Personalized dynamic risk assessment in nephrology is a next step in prognostic
research.
AB - In nephrology, repeated measures are frequently available (glomerular filtration
rate or proteinuria) and linked to adverse outcomes. However, several features of
these longitudinal data should be considered before making such inferences. These
considerations are discussed, and we describe how joint modeling of repeatedly
measured and time-to-event data may help to assess disease dynamics and to derive
personalized prognosis. Joint modeling combines linear mixed-effects models and
Cox regression model to relate patient-specific trajectory to their prognosis. We
describe several aspects of the relationship between time-varying markers and the
endpoint of interest that are assessed with real examples to illustrate the
aforementioned aspects of the longitudinal data provided. Thus, joint models are
valuable statistical tools for study purposes but also may help health care
providers in making well-informed dynamic medical decisions.
PMID- 29804660
TI - Secondary IgA nephropathy.
AB - IgA nephropathy is the most common primary glomerulonephritis worldwide. Its
frequent coexistence with inflammatory, infectious, or malignant processes raises
the possibility of a pathologic rather than coincidental association. Major
strides have been made to elucidate the underlying pathophysiologic events that
culminate in the development of primary IgA nephropathy. Whether secondary forms
of the disease share common pathways triggered by underlying disorders or
different mechanisms leading to similar pathologic findings remains to be
determined. In this article we describe the most frequent etiologies for
secondary IgA nephropathy and review the available literature for the
pathophysiology.
PMID- 29804661
TI - Proximal aortic surgery in the elderly population: Is advanced age a
contraindication for surgery?
AB - OBJECTIVE: The study objective was to describe the clinical outcomes of elderly
patients undergoing ascending aortic surgery. METHODS: Patients aged 70 years or
older who underwent ascending aortic surgery between January 2002 and December
2013 were examined. Of 415 included patients, 285 were elderly patients (age 70
79 years) and 130 were very elderly (age >=80 years). Logistic regression and Cox
proportional hazards models were used to evaluate operative mortality and long
term survival, respectively. RESULTS: Surgical indications included aortic
aneurysm (63.1%), calcified aorta with need for other cardiac procedure (26.4%),
and type A dissection (10.5%). Compared with elderly patients, the very elderly
patients had a higher burden of comorbidities and operative mortality (13% vs 7%,
P < .04). The very elderly patients were also more likely to be discharged to a
rehabilitation facility than home (P < .001). However, risk-adjusted operative
mortality and 30-day readmissions rates were similar (P > .05). Kaplan-Meier
estimates of survival at 1 and 5 years were 85.6% and 72.6% for elderly patients
versus 79.2% and 57.1% for the very elderly patients. Age was a strong risk
variable for late mortality in the unadjusted and adjusted analyses. CONCLUSIONS:
After adjusting for these comorbidities, the cause of aortic disease, and the
type of procedure, age was not an independent predictor of operative mortality,
but was strongly associated with reduced late survival. Thus, advanced age alone
should not be an absolute contraindication for ascending aortic surgery.
PMID- 29804662
TI - Tricuspid valvular dynamics and 3-dimensional geometry in awake and anesthetized
sheep.
AB - OBJECTIVES: Clinical and experimental tricuspid valve physiology data are derived
predominantly from anesthetized subjects, but normal tricuspid valve geometry and
dynamics may be altered by general anesthesia and mechanical ventilation. We set
out to investigate 3-dimensional geometry and dynamics of the tricuspid valve
complex in awake and anesthetized sheep. METHODS: While on cardiopulmonary bypass
and with the heart beating, 6 adult sheep (50 +/- 8 kg) underwent implantation of
6 sonomicrometry crystals around the tricuspid annulus. One crystal was implanted
on the anterior, posterior, and septal papillary muscle tips, 4 on the right
ventricular free wall and 1 on its apex. Pressure transducers were placed in both
ventricles. Sonomicrometry and pressure transducer cables were externalized to
subcutaneous buttons. After 7 days of recovery, hemodynamic and sonomicrometry
data were recorded with animals awake and anesthetized. RESULTS: Hemodynamic
parameters did not differ between groups. Tricuspid annular area contraction
decreased with anesthesia (16.4% +/- 4.2% vs 11.2% +/- 3.2%, P = .047) as did
tricuspid annular perimeter contraction (8.1% +/- 2.2% vs 5.4% +/- 1.7%, P =
.050), predominantly due to reduced contraction of the septal annulus (10.5% +/-
2.9% vs 7.5% +/- 3.5%, P = .019). Tricuspid annular height did not differ between
groups. Minimal distance from anterior, posterior, and septal papillary muscle
tips to the annular plane did not change with anesthesia. Regional right
ventricle free wall contraction was depressed under anesthesia in anterior (16.3%
+/- 3.1% vs 12.3% +/- 2.2%, P = .027) and lateral (14.9% +/- 1.3% vs 11.5% +/-
2.8%, P = .016) segments, whereas the posterior remained unchanged. CONCLUSIONS:
General anesthesia did not alter tricuspid annular or subvalvular 3-dimensional
geometry but reduced right ventricular contraction and tricuspid annular
dynamics.
PMID- 29804663
TI - Dehiscence of patch augmentation of a left-sided atrioventricular valve related
to strenuous isometric exercise: Case report and failure analysis.
PMID- 29804664
TI - Assays for the spindle assembly checkpoint in cell culture.
AB - The spindle assembly checkpoint (SAC) is crucial to maintain genomic stability
since it prevents premature separation of sister chromatids in mitosis and
ensures the fidelity of chromosome segregation. The SAC arrests cells in mitosis
and is not satisfied until all kinetochores are stably attached to the mitotic
spindle. Improperly attached kinetochores activate the SAC and catalyze the
formation of the mitotic checkpoint complex (MCC), containing Mad2, Cdc20, BubR1,
and Bub3 proteins. The MCC binds and thereby inhibits the APC/C E3 ubiquitin
ligase until the last kinetochore has attached to microtubules. Once the SAC is
satisfied, the APC/C promptly activates and targets cyclin B1 and securin for
degradation, thus allowing sister chromatids to separate and the cell to exit
mitosis. Our understanding of SAC signaling has increased thanks to the
development of new genetic, biochemical, molecular, and structural biology
techniques. Here, we describe how live-cell imaging microscopy in combination
with gene-targeting strategies and biochemical assays can be exploited to
investigate the intrinsic properties of the SAC in mammalian cultured cells.
PMID- 29804665
TI - Applying the auxin-inducible degradation system for rapid protein depletion in
mammalian cells.
AB - The ability to deplete a protein of interest is critical for dissecting cellular
processes. Traditional methods of protein depletion are often slow acting, which
can be problematic when characterizing a cellular process that occurs within a
short period of time, such as mitosis. Furthermore, these methods are usually not
reversible. Recent advances to achieve protein depletion function by inducibly
trafficking proteins of interest to an endogenous E3 ubiquitin ligase complex to
promote ubiquitination and subsequent degradation by the proteasome. One of these
systems, the auxin-inducible degron (AID) system, has been shown to permit rapid
and inducible degradation of AID-tagged target proteins in mammalian cells. The
AID system can control the abundance of a diverse set of cellular proteins,
including those contained within protein complexes, and is active in all phases
of the cell cycle. Here we discuss considerations for the successful
implementation of the AID system and describe a protocol using CRISPR/Cas9 to
achieve biallelic insertion of an AID in human cells. This method can also be
adapted to insert other tags, such as fluorescent proteins, at defined genomic
locations.
PMID- 29804666
TI - Small molecule tools in mitosis research.
AB - Mitosis belongs to the most appealing cellular processes. Yet, the highly dynamic
and complex nature of mitosis represents a major challenge when it comes to the
functional dissection of mitotic proteins. Due to their fast and often reversible
mode of action, small molecules have proven themselves as invaluable tools to
dissect mitotic processes. In this chapter, we provide a broad overview of
available compounds affecting mitosis. We discuss the different application
fields of small molecules and important aspects that have to be considered when
using them. Finally, we provide two detailed protocols for the application of
small molecules to study mitosis in tissue culture cells.
PMID- 29804667
TI - Quantitative methods to measure aneuploidy and chromosomal instability.
AB - Cell viability requires accurate chromosome segregation during meiosis and
mitosis so that the daughter cells produced have the correct chromosome
complement. In contrast, chromosome segregation errors lead to aneuploidy, a
state of abnormal chromosome numbers. Furthermore, a persistently high rate of
chromosome segregation errors causes the related phenomenon of whole chromosomal
instability (w-CIN). Aneuploidy and w-CIN are common characteristics of several
human conditions and diseases including birth defects and cancers. Thus, methods
to measure aneuploidy and w-CIN have important research applications in many
areas of cell biology. In this chapter, we describe methods to measure chromosome
missegregation rates and aneuploid cell survival with a focus on cells grown in
culture; however, we also highlight methods that are amenable to primary tissue
samples. Together, these methods provide a comprehensive approach to determining
the frequency of aneuploidy and w-CIN in cells.
PMID- 29804668
TI - Optogenetic control of mitosis with photocaged chemical dimerizers.
AB - Mitosis is a highly dynamic process that depends on coordination of many protein
protein interactions with temporal and spatial precision. A challenge for
understanding this complex system is to manipulate it on biologically relevant
temporal and spatial scales, with molecular specificity. We describe an
optogenetic platform, based on photosensitive chemical inducers of dimerization,
which provides control over dimerization of genetically tagged proteins with
light. As examples, we drive chromosome transport and activate and silence the
spindle assembly checkpoint by recruiting proteins to and releasing them from
kinetochores with light.
PMID- 29804669
TI - Measuring mitotic forces.
AB - Productive chromosome movements require that a large multiprotein complex called
the kinetochore assemble on sister centromeres. The kinetochore fulfills two
critical functions as (1) the physical linkage between chromosomes and spindle
microtubules and (2) a mechanomolecular sensor that relays a spindle assembly
checkpoint signal delaying anaphase onset until chromosomes are attached to
spindle microtubules and bioriented. Given its central roles in such a vital
process, the kinetochore is one of the most important force-transducing
structures in cells; yet it has been technically challenging to measure
kinetochore forces. Barriers to measuring cellular forces have begun to be broken
by the development of fluorescence-based tension sensors. In this chapter, two
methods will be described for measuring kinetochore forces in living cells and
strategies for applying these sensors to other force-transducing processes and
molecules will be discussed.
PMID- 29804670
TI - Employing the one-cell C. elegans embryo to study cell division processes.
AB - The one-cell Caenorhabditis elegans embryo offers many advantages for mechanistic
analysis of cell division processes. Conservation of key genes and pathways
involved in cell division makes findings in C. elegans broadly relevant. A key
technical advantage of this system is the ability to penetrantly deplete
essential gene products by RNA interference (RNAi) and replace them with wild
type or mutant versions expressed at endogenous levels from single copy RNAi
resistant transgene insertions. This ability to precisely perturb essential genes
is complemented by the inherently highly reproducible nature of the zygotic
division that facilitates development of quantitative imaging assays. Here, we
detail approaches to generate targeted single copy transgene insertions that are
RNAi-resistant, to engineer variants of individual genes employing transgene
insertions as well as at the endogenous locus, and to in situ tag genes with
fluorophores/purification tags. We also describe imaging assays and common image
analysis tools employed to quantitatively monitor phenotypic effects of specific
perturbations on meiotic and mitotic chromosome segregation, centrosome
assembly/function, and cortical dynamics/cytokinesis.
PMID- 29804671
TI - A cell-free system of Drosophila egg explants supporting native mitotic cycles.
AB - Mitosis, in a broader sense, is an intracellular mechanical process that is
fueled by chemical reactions and regulated by a complex protein interaction
network. Research aimed at understanding mitosis in all these aspects is often
limited to pharmaceutical treatment or genetic manipulation of single cells or
entire tissues. These experimental models entail physical boundaries imposed by
the cell membrane, making it extremely challenging to apply mechanical
perturbations, or to introduce larger molecules such as peptides, proteins, or
genetic transcripts in an acute and specific manner. Here, we present a cell-free
experimental assay that is exploiting the properties of a large, multinucleated
embryo cell. Drosophila, like almost all insects, initially develops as a
syncytial embryo, the task of which is to replicate and distribute the genetic
material quickly and regularly. We describe an experimental procedure that allows
the isolation of nucleocytoplasm from single embryos that retains the
developmental processes, most importantly the native mitotic progression of
nuclei.
PMID- 29804673
TI - Analysis of chromosomes from mouse oocytes and mammalian cultured cells by light
microscopy.
AB - As carriers of the genetic material, chromosomes are of prime interest in the
life sciences. Although all aspects of chromosome biology should ideally be
studied in living cells, the isolation of chromosomes can greatly facilitate
their analysis. This can be achieved by lysing mitotic or meiotic cells under
conditions where their content, including their chromosomes, is spread out on the
surface of microscopy glass slides. Here we describe three such chromosome
spreading techniques, which have been instrumental in analyzing chromosomes from
either mouse oocytes or mammalian cultured cells in mitosis. For both chromosomes
from oocytes and mitotic cells, we describe immunofluorescence protocols that
enable the visualization of proteins with specific antibodies. For mitotic
chromosomes, we also provide a classic protocol for Giemsa staining. This
protocol cannot be used to localize proteins but is useful to determine
structural features of chromosomes, such as sister chromatid cohesion and
chromosome condensation. The question of how chromosome nondisjunction during the
meiotic division causes aneuploidy is of great interest in oocyte chromosome
research. Because we have found that ploidy in mouse oocytes can be determined
more reliably in fixed cells than in spread chromosomes, we also describe a
protocol for the in situ fixation and immunofluorescence analysis of chromosomes
in mouse oocytes.
PMID- 29804672
TI - Living Xenopus oocytes, eggs, and embryos as models for cell division.
AB - Xenopus laevis has long been a popular model for studies of development and,
based on the use of cell-free extracts derived from its eggs, as a model for
reconstitution of cell cycle regulation and other basic cellular processes.
However, work over the last several years has shown that intact Xenopus eggs and
embryos are also powerful models for visualization and characterization of cell
cycle-regulated cytoskeletal dynamics. These findings were something of a
surprise, given that the relatively low opacity of Xenopus eggs and embryos was
assumed to make them poor subjects for live-cell imaging. In fact, however, the
high tolerance for light exposure, the development of new imaging approaches, new
probes for cytoskeletal components and cytoskeletal regulators, and the ease of
microinjection make the Xenopus oocytes, eggs, and embryos one of the most useful
live-cell imaging models among the vertebrates. In this review, we describe the
basics of using X. laevis as a model organism for studying cell division and
outline experimental approaches for imaging cytoskeletal components in vivo in X.
laevis embryos and eggs.
PMID- 29804675
TI - Isolation of mitotic chromosomes from vertebrate cells and characterization of
their proteome by mass spectrometry.
AB - Chromosomes consist of enormously long DNA molecules plus the proteins that
package and regulate the transcription and replication of this DNA. In order to
understand both the composition of the bulk chromatin that packages the DNA and
the specialized structures that direct its segregation (e.g., centromeres and
kinetochores), one requirement is to have a list of the component proteins of
mitotic chromosomes. Identification and quantitation of these proteins and their
modifications require the ability to isolate chromosomes and analyze their
proteome by mass spectrometry. Here, we describe a step-by-step protocol to
isolate mitotic chromosomes from vertebrate cells. The chromosome proteins may be
labeled in vivo with heavy stable isotope for quantitative proteomics. We then go
through the proteomics workflow from preparation of samples to their analysis in
the mass spectrometer. Finally, we describe some of the software used in
processing of output data for statistical and bioinformatic analysis.
PMID- 29804674
TI - In vitro reconstitution of lateral to end-on conversion of kinetochore
microtubule attachments.
AB - During mitosis, kinetochores often bind to the walls of spindle microtubules, but
these lateral interactions are then converted into a different binding mode in
which microtubule plus-ends are embedded at kinetochores, forming dynamic "end
on" attachments. This remarkable configuration allows continuous addition or loss
of tubulin subunits from the kinetochore-bound microtubule ends, concomitant with
movement of the chromosomes. Here, we describe novel experimental assays for
investigating this phenomenon using a well-defined in vitro reconstitution system
visualized by fluorescence microscopy. Our assays take advantage of the
kinetochore kinesin CENP-E, which assists in microtubule end conversion in
vertebrate cells. In the experimental setup, CENP-E is conjugated to coverslip
immobilized microbeads coated with selected kinetochore components, creating
conditions suitable for microtubule gliding and formation of either static or
dynamic end-on microtubule attachment. This system makes it possible to analyze,
in a systematic and rigorous manner, the molecular friction generated by the
microtubule wall-binding proteins during lateral transport, as well as the
ability of these proteins to establish and maintain association with microtubule
plus-end, providing unique insights into the specific activities of various
kinetochore components.
PMID- 29804676
TI - Dissecting the role of the tubulin code in mitosis.
AB - Mitosis is an essential process that takes place in all eukaryotes and involves
the equal division of genetic material from a parental cell into two identical
daughter cells. During mitosis, chromosome movement and segregation are
orchestrated by a specialized structure known as the mitotic spindle, composed of
a bipolar array of microtubules. The fundamental structure of microtubules
comprises of alpha/beta-tubulin heterodimers that associate head-to-tail and
laterally to form hollow filaments. In vivo, microtubules are modified by
abundant and evolutionarily conserved tubulin posttranslational modifications
(PTMs), giving these filaments the potential for a wide chemical diversity. In
recent years, the concept of a "tubulin code" has emerged as an extralayer of
regulation governing microtubule function. A range of tubulin isoforms, each with
a diverse set of PTMs, provides a readable code for microtubule motors and other
microtubule-associated proteins. This chapter focuses on the complexity of
tubulin PTMs with an emphasis on detyrosination and summarizes the methods
currently used in our laboratory to experimentally manipulate these modifications
and study their impact in mitosis.
PMID- 29804678
TI - Characterization of DNA helicases and nucleases from meiotic extracts of S.
cerevisiae.
AB - The formation of stable interactions between chromosomes of maternal and paternal
origin-homologs-is required for their segregation during meiosis. To achieve
this, cells take advantage of the recombination machinery, which promotes
formation of reciprocal interhomolog exchanges, called crossovers, from the
repair of self-inflicted DNA breaks. Important genetic studies led to the
identification of key enzymes that control meiotic recombination. However,
characterization of their biochemical properties when purified from meiotic
cultures has been difficult to achieve. Here, we describe a simple approach to
purify and characterize DNA repair enzymes from meiotic yeast cells. First, we
provide a protocol to generate large-scale synchronous cultures. Second, we
describe a general method to prepare meiotic extracts from which protein
complexes can be immunoaffinity-purified. Finally, we detail how the purified
material can be used for: (i) mass spectrometry-based analysis of interaction
partners and posttranslational modifications, and (ii) monitoring enzymatic
activities using synthetic DNA substrates.
PMID- 29804679
TI - Single-nucleus Hi-C of mammalian oocytes and zygotes.
AB - The 3D folding of the genome is linked to essential nuclear processes including
gene expression, DNA repair, and replication. Chromatin conformation capture
assays such as Hi-C are providing unprecedented insights into higher-order
chromatin structure. Bulk Hi-C of millions of cells enables detection of average
chromatin features at high resolution but is challenging to apply to rare cell
types. This chapter describes our recently developed single-nucleus Hi-C (snHi-C)
approach for detection of chromatin contacts in single nuclei of murine oocytes
and one-cell embryos (zygotes). The step-by-step protocol includes isolation of
these cells, extraction of nuclei, fixation, restriction digestion, ligation, and
whole genome amplification. Contacts obtained by snHi-C allow detection of
chromatin features including loops, topologically associating domains, and
compartments when averaged over the genome. The combination of snHi-C with other
single-cell techniques in these and other rare cell types will likely provide a
comprehensive picture of how chromatin architecture shapes cell identity.
PMID- 29804680
TI - Induction of fetal primary oocytes and the meiotic prophase from mouse
pluripotent stem cells.
AB - Meiosis is a key mechanism that ensures sexual reproduction and creates genetic
diversity. Here we describe a method that induces fetal oocytes and the prophase
of the first meiotic division from mouse pluripotent stem cells (PSCs) under
defined conditions. PSCs are induced into epiblast-like cells (EpiLCs), which are
in turn induced into primordial germ cell-like cells (PGCLCs). PGCLCs are
expanded robustly in the presence of forskolin and rolipram, which elevate
intracellular cyclic AMP levels. The expanded PGCLCs comprehensively erase their
DNA methylome in a manner that recapitulates genome-wide DNA demethylation in
germ cells in vivo, and are in turn induced efficiently into the oogenic pathway
and the prophase of the first meiotic division up to the pachytene stage in
response to bone morphogenetic protein and retinoic acid. This in vitro strategy
provides a powerful foundation for exploring the mechanisms of initiation and
progression of mammalian oogenesis and meiosis.
PMID- 29804681
TI - Electrical-assisted microinjection for analysis of fertilization and cell
division in mammalian oocytes and early embryos.
AB - Microinjection is an essential approach in the study of mammalian oocytes and
early embryos, and is useful for the introduction of many molecules and reagents.
Whereas microinjection into germinal vesicle stage oocytes is relatively simple
using various microinjection setups, metaphase-II mouse eggs are notoriously
fragile, and nondamaging microinjection can be difficult to achieve. Here we
describe a microinjection method that is based on electrophysiology, which vastly
reduces microinjection damage, especially in metaphase-II eggs. When optimized,
this approach allows for over 90% oocyte survival, increasing confidence in
experimental results.
PMID- 29804677
TI - Purification of kinetochores from the budding yeast Saccharomyces cerevisiae.
AB - Chromosome segregation relies on forces generated by spindle microtubules that
are translated into chromosome movement through interactions with kinetochores,
highly conserved macromolecular machines that assemble on a specialized
centromeric chromatin structure. Kinetochores not only have to stably attach to
growing and shrinking microtubules, but they also need to recruit spindle
assembly checkpoint proteins to halt cell cycle progression when there are
attachment defects. Even the simplest kinetochore in budding yeast contains more
than 50 unique components that are present in multiple copies, totaling more than
250 proteins in a single kinetochore. The complex nature of kinetochores makes it
challenging to elucidate the contributions of individual components to its
various functions. In addition, it is difficult to manipulate forces in vivo to
understand how they regulate kinetochore-microtubule attachments and the
checkpoint. To address these issues, we developed a technique to purify
kinetochores from budding yeast that can be used to analyze kinetochore functions
and composition as well as to reconstitute kinetochore-microtubule attachments in
vitro.
PMID- 29804683
TI - Cytoplasmic removal, enucleation, and cell fusion of mouse oocytes.
AB - Meiotic divisions in females occur in fully grown oocytes that have a large
cytoplasmic volume. The intracellular processes that are needed to accomplish
meiotic divisions, such as spindle formation, chromosome segregation, and polar
body extrusion, are controlled by the concerted actions of nuclear and
cytoplasmic factors, which exhibit dynamic quantitative and spatiotemporal
changes during meiotic maturation. Thus, distinguishing between meiotic controls
that are mediated by cytoplasmic factors and those mediated by nuclear factors
helps in the understanding of the mechanisms underlying meiotic divisions. Here,
we describe a method to artificially modify the number of nuclei and the volume
of the cytoplasm of mouse oocytes through cytoplasmic removal, enucleation, and
cell fusion. The oocytes generated by this method are viable and undergo
reproducible meiotic divisions exhibiting the effects of altered amounts of
cytoplasmic and nuclear factors, which can be analyzed by various assays, such as
live imaging microscopy.
PMID- 29804682
TI - Single cell genomics to study DNA and chromosome changes in human gametes and
embryos.
AB - Genomic and chromosomal changes occur with a high rate in the germline and
preimplantation embryos. To study such changes directly in the germline of
mammals requires access to material as well as single cell genomics. Recent
improvements in embryology and single-cell DNA amplification make it possible to
study the genomic changes directly in human oocytes, sperm, and preimplantation
embryos. This is particularly important for the study of chromosome segregation
directly in human oocytes and preimplantation embryos. Here, we present a
practical approach how to obtain high quality DNA sequences and genotypes from
single cells, using manual handling of the material that makes it possible to
detect genomic changes in meiosis and mitosis spanning the entire range from
single nucleotide changes to whole chromosome aneuploidies.
PMID- 29804684
TI - Employing CRISPR/Cas9 genome engineering to dissect the molecular requirements
for mitosis.
AB - The faithful execution of cell division requires the coordinated action of
hundreds of gene products. Precisely perturbing these gene products in cells is
central to understanding their functions during normal cell division, and the
contributions of their disruption to disease. Here, we describe experimental
approaches for using CRISPR/Cas9 for gene disruption and modification, with a
focus on human cell culture. We describe strategies for inducible gene disruption
to generate acute knockouts of essential cell division genes, which can be
modified for the chronic elimination of nonessential genes. We also describe
strategies for modifying the genome to generate protein fusions to report on and
modify protein behavior. These tools facilitate investigation of protein
function, dissection of protein assembly networks, and analyses of disease
associated mutations.
PMID- 29804685
TI - Preface.
PMID- 29804686
TI - Transesophageal Echocardiographic Assessment of the Sutureless Perceval Aortic
Valve.
PMID- 29804687
TI - Diaphragmatic Dysfunction FollowingCardiac Surgery: Is There a Role forPulmonary
Ultrasound?
PMID- 29804688
TI - Challenges to aging in place for African American older adults living with
dementia and their families.
AB - A culturally informed community health assessment was conducted to explore the
community context of care for older adults in African American urban
neighborhoods. The purpose of this study is to explore the challenges to aging in
place for African American older adults living with dementia and their family
members. Data collection and analysis were conducted as concurrent iterative
procedures between photographs, media clippings, semi-structured interviews (N =
24), observation notes, and journaling. Content analysis revealed shame, improper
housing, financial constraints, resource inadequacy, transportation concerns, and
knowledge deficit as common challenges faced by the older adults and their
families to receiving health services to support aging in place within urban
neighborhoods. Data from this report are to inform clinicians, community
partners, families, and service organizations, to promote uptake of future
research and programing to improve outcomes for African American older adults
living with dementia and their family members.
PMID- 29804689
TI - Using telehealth to optimize healthy independent living for older adults: A
feasibility study.
AB - The purpose of this study was to test feasibility of the Telehealth Community
Health Assistance Team (T-CHAT), a nurse-led intervention delivered through a
telepresence robot designed to promote healthy independent living among older
adults. Using a quasi-experimental design, 21 older adults were divided into a T
CHAT group (n = 11) or a waitlist control group (n = 10). The T-CHAT group
received 3 weekly health coaching sessions from a nurse practitioner student
through the telepresence robot. Data trends were analyzed using two-way repeated
measures analysis of covariance (ANCOVA) with baseline values as co-variates;
effect sizes using partial eta squared (eta2). Medium to large improvements in
unhealthy days, depressive symptoms, sleep, quality of life, and confidence/self
efficacy were found favoring the T-CHAT group. Recruitment and retention
strategies were successful, with lessons learned for future studies. Further
research is warranted to refine and test efficacy of the T-CHAT program to
promote healthy independent living among older adults.
PMID- 29804690
TI - Growth of wormlike micelles in nonionic surfactant solutions: Quantitative theory
vs. experiment.
AB - Despite the considerable advances of molecular-thermodynamic theory of micelle
growth, agreement between theory and experiment has been achieved only in
isolated cases. A general theory that can provide self-consistent quantitative
description of the growth of wormlike micelles in mixed surfactant solutions,
including the experimentally observed high peaks in viscosity and aggregation
number, is still missing. As a step toward the creation of such theory, here we
consider the simplest system - nonionic wormlike surfactant micelles from
polyoxyethylene alkyl ethers, CiEj. Our goal is to construct a molecular
thermodynamic model that is in agreement with the available experimental data.
For this goal, we systematized data for the micelle mean mass aggregation number,
from which the micelle growth parameter was determined at various temperatures.
None of the available models can give a quantitative description of these data.
We constructed a new model, which is based on theoretical expressions for the
interfacial-tension, headgroup-steric and chain-conformation components of
micelle free energy, along with appropriate expressions for the parameters of the
model, including their temperature and curvature dependencies. Special attention
was paid to the surfactant chain-conformation free energy, for which a new more
general formula was derived. As a result, relatively simple theoretical
expressions are obtained. All parameters that enter these expressions are known,
which facilitates the theoretical modeling of micelle growth for various nonionic
surfactants in excellent agreement with the experiment. The constructed model can
serve as a basis that can be further upgraded to obtain quantitative description
of micelle growth in more complicated systems, including binary and ternary
mixtures of nonionic, ionic and zwitterionic surfactants, which determines the
viscosity and stability of various formulations in personal-care and house-hold
detergency.
PMID- 29804691
TI - An overview of the transport of liquid molecules through structured polymer
films, barriers and composites - Experiments correlated to structure-based
simulations.
AB - Films engineered to control the transport of liquids are widely used through
society. Examples include barriers in packaging, wound care products, and
controlled release coatings in pharmaceutics. When observed at the macroscopic
scale such films commonly appear homogeneous, however, a closer look reveals a
complex nano- and microstructure that together with the chemical properties of
the different domains control the transport properties. In this review we compare
and discuss macroscopic transport properties, measured using the straightforward,
yet highly powerful technique "modified Ussing chambers", also denoted side-by
side diffusion cells, for a wide range of structured polymer films and
composites. We also discuss and compare the macroscopic observations and
conclusions on materials properties with that of lattice Boltzmann simulations of
transport properties based on underlying material structure and chemistry. The
survey of the field: (i) highlights the use and power of modified Ussing Chambers
for determining liquid transport properties of polymer films, (ii) demonstrates
the predictability in both directions between macroscopic observations of
transport using modified Ussing chambers and structure-based simulations, and
(iii) provides experimental and theoretical insights regarding the transport
determining properties of structured polymer films and composites.
PMID- 29804692
TI - Reliability, minimal detectable change, and responsiveness of the Quick-FAAM.
AB - OBJECTIVE: To determine the test-retest reliability, minimal detectable change
(MDC) and responsiveness of the Quick-FAAM in people with chronic ankle
instability (CAI). DESIGN: 10-week controlled laboratory study. SETTING:
Laboratory. PARTICIPANTS: A total of 20 adults with self-reported CAI. MAIN
OUTCOME MEASURES: Participants completed a supervised 4-week intervention. The
Quick-FAAM was assessed 4-weeks before the intervention (T1), prior to the first
intervention (T2), 24-h post-intervention (T3), and 2-weeks after the
intervention (T4). The Quick-FAAM is a 12-item region specific PRO scored on 5
point Likert scale, often reported as a percentage, and a lower percentage
indicates decreased ankle function. Test-retest reliability was determined using
Intraclass-correlation coefficients (ICC2,1) and standard error of measure (SEM).
The MDC was calculated using the equation: SEM*?2. Hedges g effect sizes and
associated 95% confidence intervals (95%CI) were calculated as a measure of group
responsiveness. RESULTS: The test-retest reliability was clinically acceptable
(ICC2,1 = 0.82, SEM = 4.56). The MDC was 6.5% and pre-post intervention effect
sizes were large between T2-T3 (ES = 1.27, 95%CI:0.59-1.95) and T2-T4 (ES = 1.49,
95%CI:0.79-2.19). CONCLUSION: The Quick-FAAM demonstrated clinically acceptable
reliability and was responsive to treatment. Future research should examine these
properties in patients with acute ankle and foot conditions, determine patient
acceptability, and clinician feasibility.
PMID- 29804694
TI - Corrigendum to "Evolutionary compromises to metabolic toxins: Ammonia and urea
tolerance in Drosophila suzukii and Drosophila melanogaster" [Physiol. Behav.
191, 1 July 2018, 146-154].
PMID- 29804693
TI - Which staging system better predicts 10-year survival for gastric cancer? A study
using an international multicenter database.
AB - PURPOSE: Our aim was to evaluate the prognostic performance of the 8th edition
AJCC staging system for gastric cancer survival after 10 years or more. PATIENTS
AND METHODS: An international multicenter database was constructed (total n =
4537) by combining gastric cancer cases from the SEER database (n = 3066) and the
database (n = 1471) of the Department of Gastric Surgery, Fujian Medical
University Union Hospital. The relative discriminatory abilities were assessed
using the likelihood ratio chi-square test, Akaike's Information Criterion (AIC)
and Harrell's concordance index (c-statistic). RESULTS: The 10-year overall
survival rate for all the patients was 32.2%. A 2-step multivariate analysis
showed that the 8th edition staging system was an independent factor for long
term overall survival. It also had higher likelihood ratio chi-square score, c
statistic and smaller AIC values compared with the 7th edition. However, stages
IB and IIA of the TNM staging system showed a similar prognosis (both P > 0.05).
Based on the survival data, we revised the 8th edition by merging stages IB and
IIA into 1 category in the training set. The modified staging system demonstrated
superior prognostic stratification with a higher c-statistic, likelihood ratio
chi-square score and smaller AIC values compared to the 8th edition. Similar
results were observed in the external validation set. CONCLUSION: The 8th edition
AJCC TNM classification predicts the 10-year survival of gastric cancer patients
more accurately than the 7th edition. However, by merging stages IB and IIA into
1 category, we propose a revised TNM stage system that provides an optimal
prognosis.
PMID- 29804695
TI - The Parallelogram Effect: The Association Between Central Band and Positive Ulnar
Variance.
AB - PURPOSE: Ulnar impaction syndrome is a poorly understood degenerative wrist
condition characterized by symptoms of pain thought to be caused by increased
loads between the ulnar head and the carpals. Radiographic evaluation often
reveals an ulnar-positive wrist. We hypothesize that progressive elongation of
the central band of the forearm interosseous ligaments changes the longitudinal
radial-ulnar relationships, resulting in an ulnar-positive wrist. The objective
of the study was to identify a relationship between the loss of integrity of the
forearm interosseous ligaments and increased ulnar variance. METHODS: Six
cadaveric human forearms were used to measure displacement of the radius relative
to the ulna during axial loading of the lunate fossa of the radius. Radial
heights were measured in supination and pronation under a 5-lbF (22-N) preload.
Gradual axial loads were applied up to 50 lbF (222N); the resultant axial
displacement was measured in supination and pronation. All measurements were
evaluated with the interosseous ligament intact and repeated with the central
band cut. RESULTS: With an applied 5-lbF preload, cutting the central band
increased ulnar variance by 3.02 +/- 0.80 mm in supination and by 2.15 +/- 0.79
mm in pronation. In supination, when the loads were increased from the 5-lbF
preload to 50 lbF, the radius displaced 2.1 times further after the central band
was cut (3.00 mm) compared with the group with the intact forearm construct (1.41
mm). In pronation, when the loads were increased from the 5-lbF preload to 50
lbF, the radius displaced 1.8 times further when the central band was cut (2.84
mm) than with the intact forearm construct (1.57 mm). CONCLUSIONS: Because of a
parallelogram effect, the radius shifted proximally under a 5-lbF preload,
creating an ulnar-positive wrist relationship. Dynamic loading of the forearm
after ligament excision resulted in significant additional radial displacement
relative to the intact forearm. CLINICAL RELEVANCE: Deficiency in the ligamentous
restraints of the central band leads to positive ulnar variance, which could be a
factor (among others) that contributes to idiopathic ulnar impaction syndrome.
PMID- 29804696
TI - Delayed, Atraumatic Index Metacarpal Fracture After Trapeziectomy and Suture
Button Suspensionplasty for Thumb Carpometacarpal Osteoarthritis: A Report of
Three Cases.
AB - Trapeziectomy with suture-button suspensionplasty is a surgical treatment option
for thumb carpometacarpal osteoarthritis refractory to nonsurgical management. We
describe the cases of 3 patients who presented with index metacarpal fracture, in
the absence of traumatic injury, over 4 months after trapeziectomy with suture
button suspensionplasty. All 3 fractures demonstrated the same pattern: short
oblique/spiral, oriented proximal radial to distal ulnar with the distal end in
the vicinity of the index metacarpal button, presumably after the orientation of
the metacarpal drill hole. Two of the fractures were treated with surgical
fixation. Fracture healing was obtained in all cases. Two of the 3 patients
remained symptomatic with thumb pain, but decided against revision treatment for
the carpometacarpal osteoarthritis. The third underwent restabilization of the
suture button at the time of fracture fixation. Although uncommon, index
metacarpal fracture after trapeziectomy with suture-button suspensionplasty can
present without trauma several months after surgery.
PMID- 29804697
TI - Extracellular volume quantitation using dual-energy CT in patients with heart
failure: Comparison with 3T cardiac MR.
AB - BACKGROUNDS: Cardiac magnetic resonance (CMR) T1 mapping and the extracellular
volume (ECV) have been developed to quantitative analysis of diffusely abnormal
myocardial fibrosis (MF). However, dual-energy CT (DECT) has a potential for
calculation of ECV. The aim of this study is to evaluate the feasibility and
accuracy of DECT technique in determining the ECV in patients with heart failure,
with 3T CMR as the reference. METHODS: Thirty-five patients with various reasons
of heart failure were enrolled in this study. Both DECT and CMR exams were
completed within 24 h. ECVs were calculated, and the relationship between DECT
ECV, CMR-ECV, and other heart function parameters, including left ventricular end
systolic and diastolic volume, cardiac output and ejection fraction (LVESV,
LVEDV, CO, LVEF), Brain natriuretic peptide (BNP) was determined. All
participants gave informed consent, and the study was approved by the
institutional review board. RESULTS: The median ECVs on DECT and CMR were 33%
(95%CI: 32%-36%) and 30% (95%CI: 30% - 32%), respectively. A good correlation
between myocardial ECV at DECT and that at CMR (r = 0.945, P < 0.001) was
observed. Bland-Altman analysis between DECT and CMR showed a small bias (2.6%),
with 95% limits of agreement of -0.4% and 5.6%. Interobserver agreement for ECV
at DECT was excellent (ICC = 0.907). Both ECVs, for DECT and CMR, were inversely
associated with LVEF and CO. CONCLUSION: DECT-based ECV could be an alternative
non-invasive imaging tool for myocardial tissue characterization. However,
overestimation of the extent of diffuse MF is observed with use of DECT.
PMID- 29804698
TI - In vivo tissue characterization of human atherosclerotic plaques by optical
coherence tomography: A directional coronary atherectomy study with
histopathologic confirmation.
AB - BACKGROUND: The histopathological validation of optical coherence tomography
(OCT) in visualizing atherosclerotic plaques has been reported only in ex vivo
studies. We sought to evaluate the accuracy of OCT in tissue characterization in
vivo. METHODS AND RESULTS: A total of 25 patients with stable angina pectoris who
underwent directional coronary atherectomy (DCA) were included in the
investigation, whereby OCT was performed before and after a single debulking. The
debulked region was determined on OCT and classified into fibrous tissue, lipid,
calcification, thrombus, and macrophage accumulation, which were compared with
histology. Changes in OCT signal intensity in the deeper intimal region after DCA
were also visually evaluated. Fibrous tissues were detected in all cases, while
thrombus was identified only in 1 case, by both OCT and histology. The
sensitivity, specificity, positive and negative predictive values, and predictive
accuracy for lipid detection by OCT were 88.9%, 75.0%, 66.7%, 92.3%, and 80.0%;
those for calcification were 50.0%, 100%, 100%, 91.3%, and 92.0%; and those for
macrophage accumulation were 85.7%, 88.9%, 75.0%, 94.1%, and 88.0%, respectively.
The false positive diagnoses for lipid were mostly attributed to the
extracellular matrix accumulation containing less collagen. The false negative
diagnoses for calcification were explained by the presence of lipid around the
calcification. The OCT signal intensity in the deeper intimal region
substantially increased after DCA in all cases. CONCLUSIONS: The current study
showed excellent predictive accuracy of in vivo OCT in tissue characterization,
whereas the limitations of OCT were highlighted by an over-detection of lipid,
under-detection of calcification, and underestimation of the deeper intimal
matrix.
PMID- 29804699
TI - Genetic predictors of testosterone and their associations with cardiovascular
disease and risk factors: A Mendelian randomization investigation.
AB - BACKGROUND: Testosterone supplementation has been linked to increased
cardiovascular disease risk in some observational studies. The causal role of
testosterone can be investigated using a Mendelian randomization approach.
METHODS AND RESULTS: We assessed genetic associations of variants in two gene
regions (SHBG and JMJD1C) with several cardiovascular risk factors (lipids,
adiponectin, blood pressure, anthropometric traits) plus male pattern baldness,
including control outcomes and potential mediators. We assessed genetic
associations with coronary artery disease (CAD) risk in the CARDIoGRAMplusC4D
consortium (171,191 individuals including 60,801 cases), and associations with
CAD and ischaemic stroke risk in the UK Biobank (367,643 individuals including
25,352 CAD cases and 3650 ischaemic stroke cases). Genetic predictors of
increased serum testosterone were associated with lipids, blood pressure, and
height. There was some evidence of an association with risk of CAD (SHBG gene
region: odds ratio (OR) 0.95 per 1 unit increase in log-transformed testosterone
[95% confidence interval: 0.81-1.12, p = 0.55]; JMJD1C gene region: OR 1.24 [1.01
1.51, p = 0.04]) and ischaemic stroke both overall (SHBG: OR 1.05 [0.64, 1.73, p
= 0.83]; JMJD1C: OR 2.52 [1.33, 4.77, p = 0.005]) and in men. However,
associations with some control outcomes were in the opposite direction to that
expected. CONCLUSIONS: Sex hormone-related mechanisms appear to be relevant to
cardiovascular risk factors and for stroke (particularly for men). However, the
extent that these findings are specifically informative about endogenous
testosterone or testosterone supplementation is unclear. These findings underline
a fundamental limitation for the use of Mendelian randomization where biological
knowledge about the function of genetic variants is uncertain.
PMID- 29804700
TI - Epigenetic regulation in diabetes-associated oxidative stress and myocardial
dysfunction.
PMID- 29804701
TI - Bioprosthetic valve durability: TAVR versus SAVR using different definitions of
valve deterioration.
PMID- 29804702
TI - The not-so-sweet problem of hearts aflutter: Dissecting stroke risk in atrial
fibrillation with concomitant diabetes.
PMID- 29804703
TI - Expressing diagnostic test performance, whose perspective - God's or Man's?
PMID- 29804704
TI - Analysis and interpretation of the electrocardiogram by the computer.
PMID- 29804705
TI - Photobacterium malacitanum sp. nov., and Photobacterium andalusiense sp. nov.,
two new bacteria isolated from diseased farmed fish in Southern Spain.
AB - Three strains, H01100409BT, H01100413B, and H27100402HT, were isolated from
several internal organs of diseased redbanded seabream (Pagrus auriga) reared in
Andalusia (Southern Spain). All strains were studied by phenotypic, including
chemotaxonomy, and genomic characteristics. Phylogenetic analysis based on
concatenated sequences of six housekeeping genes (gyrB, ftsZ, topA, mreB, gapA,
and 16S rRNA) supported the inclusion of the strains within the clade Phosphoreum
of the genus Photobacterium, and two of the strains (H27100402HT and H01100409BT)
formed a tight group separated from the closest species P. aquimaris. Genomic
analyses, including average nucleotide identity (ANIb and ANIm) and DNA-DNA
hybridization (DDH), clearly separated strains H27100402HT and H01100409BT from
the other species within the clade Phosphoreum with values below the thresholds
for species delineation. The chemotaxonomic features (including FAME analysis and
MALDI-TOF-MS) of H27100402HT and H01100409BT strains confirmed their
differentiation from the related taxa. The results demonstrated that strain
H01100413B was classified as P. aquimaris and the strains H27100402HT and
H01100409BT represented a new species each in the genus Photobacterium, for which
we propose the names Photobacterium malacitanum sp. nov., type strain H27100402HT
(=CECT 9190T=LMG 29992T), and Photobacterium andalusiense sp. nov., type strain
H01100409BT (=CECT 9192T=LMG 29994T).
PMID- 29804706
TI - Long term outcomes of simultaneous laparoscopic versus open resection for
colorectal cancer with synchronous liver metastases.
AB - BACKGROUND/OBJECTIVE: Because of the advancements in the surgical techniques of
liver resection and improvements in anesthesia and postoperative critical care,
the simultaneous resection of synchronous colorectal cancer with liver metastasis
either by the laparoscopic procedure or by the open resection method has been
considered as a safe and acceptable option. However, there is limited information
on the comparison of postoperative outcomes between laparoscopic surgery and open
surgery. This study investigated the clinical results and postoperative outcomes
of laparoscopic simultaneous resection of synchronous colorectal cancer with
liver metastasis in comparison with those of open surgery. METHODS: Patients with
synchronous colorectal cancer and liver metastasis who underwent simultaneous
resection at Shuang Ho Hospital from 2009 to 2017 were identified. The patient
demographics, perioperative morbidity, and survival rates were analyzed. RESULTS:
A total of 38 patients underwent simultaneous resection of synchronous colorectal
cancer with liver metastasis. Laparoscopic procedure was performed for 16
patients, and the remaining 22 patients underwent open surgery. No significant
differences were observed in the patient characteristics between the two groups.
There was no perioperative mortality in both groups. The 1- and 3-year disease
free survival rates were 56% and 35% in the laparoscopic group and 70% and 15% in
the open surgery group, respectively. The 1- and 3-year overall survival rates
were 100% and 84% in the laparoscopic group and 73% and 48% in the open surgery
group, respectively. CONCLUSION: In selected patients, laparoscopic surgery for
simultaneous resection of synchronous colorectal cancer with liver metastasis
seems to be safe and had a similar outcome to that of open surgery.
PMID- 29804707
TI - HSCRP as surrogate marker in predicting long term effect of bariatric surgery on
resolution of non-alcoholic steatohepatitis.
AB - BACKGROUND: Nonalcoholic steatohepatitis (NASH) is closely associated with
obesity and is one of the important etiologies of hepatocellular carcinoma (HCC
and liver failure. Bariatric surgery is proven to be effective in causing weight
loss and improvement of NASH) but there is limited long term data. OBJECTIVES: To
identify the predictors of NASH in morbidly obese patients and evaluate long term
data of bariatric surgery effects on NASH. METHODS: 308 bariatric patients (mean
age 30.2 years old, body mass index (BMI) 45.0 kg/m2) with concurrent liver
biopsy form 2003 to 2008 were included. We compared the clinical data between the
NASH and non-NASH group and identify predictors of NASH in this cohort of
patients. Remission of NASH was evaluated using the predictor of NASH. RESULTS:
Prevalence of NASH was 43.8%. At baseline, the NASH and non-NASH groups both had
similar age, BMI and sex ratio but the NASH group had significantly worse
glycemic control, liver enzymes, triglycerides and uric acid. Highly sensitive-C
Reactive Protein (HSCRP) level was identified as the only independent predictor
of NASH. Ten years follow up (60.4% loss to follow up) showed good weight loss,
resolution of co-morbidities and reduction of HSCRP. Patients with bypass surgery
had better weight loss and lower levels of HSCRP. (HSCRP 0.2 +/- 0.1 mg/dL vs.
0.8 +/- 0.7 mg/dL, p = 0.009). than non-bypass group. CONCLUSION: NASH is common
in bariatric patients. HSCRP is the only independent predictor of NASH and can be
used as a surrogate marker in predicting long term effect of Bariatric Surgery on
resolution of non-alcoholic steatohepatitis Bypass procedure was better in
resolution of NASH than non-bypass procedure.
PMID- 29804709
TI - Long-term follow-up of StarionTM versus Harmonic ScalpelTM hemorrhoidectomy for
grade III and IV hemorrhoids.
AB - BACKGROUND: This study represented an effort to design an alternative to Harmonic
ScalpelTM with the same effectiveness but at a lower cost. The concomitant use of
StarionTM and Harmonic ScalpelTM had been evaluated to determine the differences
in terms of the effectiveness immediately after the operation and 3 years post
operatively. METHODS: 114 patients in the SH group (StarionTM hemorrhoidectomy)
and 107 patients in the HSH group (Harmonic ScalpelTM) were contacted for
cumulative inspection 1 week, 4 weeks, and 3 years post-operatively to check for
the recurrence rate. RESULTS: No significant difference in the pain score was
observed at post-operative week 1, with the SH group scoring 2.08 +/- 0.96 and
the HSH group scoring 2.29 +/- 1.00 (p = 0.112). No significant difference in
patient satisfaction was observed at post-operative week 4, with the SH group
scoring 8.63 +/- 1.28 and the HSH group scoring 8.60 +/- 1.32 (p = 0.847). No
significant difference in wound healing was observed, with the SH group showing
18.24 +/- 3.13 days and the HSH group showing 18.21 +/- 2.96 days (p = 0.943).
The post-operative recurrence rate was 3.5% (4/114) in the SH group at the 3-year
follow-up compared to 4.7% (5/107) in the HSH group without any statistically
significant difference (p = 0.662). CONCLUSIONS: StarionTM was a safe, rapid, and
effective method for the treatment of Grade III or IV hemorrhoids.
PMID- 29804708
TI - Letter to the editor on "Vasovagal reflex emergency caused by Riedel's
thyroiditis: A case report and review of the literature". Lymphocytic thyroiditis
with multifocal non-tumor-associated psammoma bodies.
PMID- 29804710
TI - Validation of the ipsilateral nipple as the needle directional guide during right
internal jugular vein catheterization: A prospective observational study.
AB - BACKGROUND: The ipsilateral nipple has been used as a directional guide for
needle advance during internal jugular vein (IJV) catheterization. We attempted
to validate the utility of the ipsilateral nipple during IJV catheterization.
METHODS: One hundred and two patients scheduled for elective surgery were
enrolled. In the 15 degrees Trendelenberg position with 30 degrees head
rotation, the apex of the triangle formed by the sternocleidomastoid muscle and
the clavicle was identified. The angle formed by the line connecting the apex and
the ipsilateral nipple and the actual course of the IJV was measured. The
distance between the apex of the anatomical triangle and the IJV center
identified were measured via ultrasound. RESULTS: The angle formed by the line
connecting the apex and the ipsilateral nipple and the IJV was 16 +/- 7.6 degrees
and was greater in females than males (14.8 +/- 1.1 vs 17.4 +/- 1.0 degrees , P
= 0.043). Regression analysis showed that height, weight, gender, and age did not
affect the angle as an independent factor. The apex of the anatomical triangle
was 0.5 cm medial to the IJV center and was shorter in females compared to males
(0.33 +/- 0.12 vs 0.6 +/- 0.09 cm, P = 0.039). CONCLUSION: Our study shows that
when the needle is inserted at the apex of the anatomical triangle directed
towards the ipsilateral nipple, it crosses the IJV at 16 degrees . Since the
common carotid artery is usually medial to the IJV, directing the needle towards
the ipsilateral nipple seems to be a safe way to avoid the common carotid artery
and successfully puncture the IJV.
PMID- 29804711
TI - Comparative study of outcomes of Roux-en-Y reconstruction and Billroth I
reconstruction performed after radical distal gastrectomy.
AB - BACKGROUND: Billroth I (BI) reconstruction and Roux-en-Y (RY) reconstruction are
both commonly performed after distal gastrectomy (DG). We conducted a
retrospective study to evaluate which is the better option. METHODS: Included in
our study were 162 patients who, between April 2011 and October 2015, underwent
DG followed by BI reconstruction (n = 93) or RY reconstruction (n = 69). All
patients were followed up for at least 1 year. We compared perioperative
outcomes, postoperative complications, gastrointestinal (GI) symptoms, endoscopic
findings, and nutritional status between the 2 groups of patients. RESULTS:
Patient characteristics did not differ between the 2 groups, with the exception
of the incidence of gastric body tumors, which was significantly higher in the RY
group (73.9% vs. 19.4%; p < 0.001). Operation time was significantly longer in
the RY reconstruction group (p < 0.001). There was no significant between-group
difference in the grades of GI dysfunction (p = 0.122).The endoscopically
determined RGB (Residual food, Gastritis, Bile reflux)scores were significantly
better in the RY reconstruction group than in the BI reconstruction group (p =
0.027, p < 0.001,p < 0.001,respectively).There was also no significant between
group difference in the change (1-year postoperative value/preoperative value) in
body weight, body mass index, serum albumin concentration, or total cholesterol
concentration (p = 0.484,p = 0.613,p = 0.760,p = 0.890, respectively).
CONCLUSIONS: RY reconstruction appears not to be advantageous over BI
reconstruction in terms of GI function or nutritional status 1 year after
surgery. RY reconstruction does appear to be superior in terms of preventing bile
reflux but takes more operation time.
PMID- 29804712
TI - Determining type 2 diabetes risk factors for the adults: A community based study
from Turkey.
AB - AIMS: This study aimed to determine risk factors for type 2 diabetes among adults
who were not diagnosed with diabetes. METHODS: Adults were included in this study
within the public activities performed on World Diabetes Day (n=1872). Data were
collected using the FINDRISC questionnaire and a short questionnaire. RESULTS:
Participants' mean age was 39.35+/-10.40. The mean FINDRISC score was 7.46+/
4.62, women's mean score was higher than that for men. The FINDRISC score
indicates that 7.4% of the participants were in the highrisk group. Among
participants, BMI value of 65.1% was 25kg/m2 and higher, waist circumference of
58% was over the threshold value; and 50.7% did not engage in sufficient physical
activity. Of the participants, 9.5% had a history of high blood glucose, families
of 38.9% had a history of diabetes. The mean FINDRISC score was in the slightly
high category, 121 participants were found likely to be diagnosed with diabetes
within ten years if no action was taken. CONCLUSIONS: It is recommended the risk
screening studies to be conducted and the FINDRISC tool to be used in Turkey,
where diabetes prevalence is increasing rapidly, to determine diabetes risks in
the early period and to raise social awareness for diabetes.
PMID- 29804713
TI - Mechanism of cancer: Oncohistones in action.
AB - Oncohistones are histones with high-frequency point mutations that are associated
with tumorigenesis. Although each histone variant is encoded by multiple genes, a
single mutation in one allele of one gene seems to have a dominant effect over
global histone H3 methylation level at the relevant amino acid residue. These
oncohistones are highly tumor type specific. For example, H3K27M and H3G34V/R
mutations occur only in pediatric brain cancers, whereas H3K36M and H3G34W/L have
only been found in pediatric bone tumors. H1 mutations also seem to be
exclusively linked to lymphomas. In this review, we discuss the occurrence,
frequency and potential functional mechanisms of each oncohistone in
tumorigenesis of its relevant cancer. We believe that further investigation into
the mechanism regarding their tumor type specificity and cancer-related functions
will shed new light on their application in cancer diagnosis and targeted therapy
development.
PMID- 29804714
TI - Yeast KEOPS complex regulates telomere length independently of its t6A
modification function.
AB - In Saccharomyces cerevisiae, the highly conserved Sua5 and KEOPS complex
(including five subunits Kae1, Bud32, Cgi121, Pcc1 and Gon7) catalyze a universal
tRNA modification, namely N6-threonylcarbamoyladenosine (t6A), and regulate
telomere replication and recombination. However, whether telomere regulation
function of Sua5 and KEOPS complex depends on the t6A modification activity
remains unclear. Here we show that Sua5 and KEOPS regulate telomere length in the
same genetic pathway. Interestingly, the telomere length regulation by KEOPS is
independent of its t6A biosynthesis activity. Cytoplasmic overexpression of Qri7,
a functional counterpart of KEOPS in mitochondria, restores cytosolic tRNA t6A
modification and cell growth, but is not sufficient to rescue telomere length in
the KEOPS mutant kae1Delta cells, indicating that a t6A modification-independent
function is responsible for the telomere regulation. The results of our in vitro
biochemical and in vivo genetic assays suggest that telomerase RNA TLC1 might not
be modified by Sua5 and KEOPS. Moreover, deletion of KEOPS subunits results in a
dramatic reduction of telomeric G-overhang, suggesting that KEOPS regulates
telomere length by promoting G-overhang generation. These findings support a
model in which KEOPS regulates telomere replication independently of its function
on tRNA modification.
PMID- 29804716
TI - Alarm signals in goitered gazelle with special reference to stotting, hissing and
alarm urination-defecation.
AB - Compared to solitary species, social ungulates benefit from living in groups not
only because of the "many eyes effect", when each individual devotes less time to
vigilance and spends more time foraging and engaged in other activities, or of
the "dilution effect", when the probability that any specific individual will be
caught decreases with herd size, but also because of early alarm signals produced
by conspecifics that provide enough time for a successful escape from predator
attack. These signals can contain multiple messages about the category of the
predator and the degree of risk. Among them, stotting, alarm calls and alarm
urination-defecation acts are distinctive channels of prey-predator and/or prey
prey communications. However, stotting gaits and alarm vocalizations are still
subjects of numerous debates on their functionality and to whom they are
addressed (predator or conspecifics); in addition, alarm urination-defecation is
known for a few ungulate species and until now functional significance of this
behavior has stayed elusive. I found that stotting and hissing were used by adult
females significantly more often than adult males, and that they displayed these
behaviors most often at the end of the hiding period and from 2 to 3 months
thereafter. Stotting and alarm hissing are likely mainly used to address
conspecifics as well as being used by females to warn and manage their fawns in
dangerous situations and to teach them to avoid being preyed upon during the
initial time after the hiding period. In contrast, alarm urination-defecation
acts were displayed by females and males equally and apart of being pure
physiological functions and/or a release the stress from encountering a predator,
they likely also had significance as an alarm signal, though this association is
poorly explored and needs further investigation.
PMID- 29804715
TI - Comparing the Effect of Throughput and Output Factors on Emergency Department
Crowding: A Retrospective Observational Cohort Study.
AB - STUDY OBJECTIVE: This study compares how throughput and output factors affect
emergency department (ED) median waiting room time. METHODS: Administrative
health care use records were used to identify all daytime (8 am to 8 pm) visits
made to adult EDs in Winnipeg, Canada, between April 1, 2012, and March 31, 2013.
First, we measured the waiting room time (from patient registration until
transfer into the ED) of each index visit (incoming patient). We then linked each
index visit to a group of existing patients surrounding it and counted the number
of existing patients engaged in throughput processes (radiographs, computed
tomography [CT] scans, advanced diagnostic tests) and one output process (waiting
to be hospitalized). Regression analysis was used to measure how strongly each
factor uniquely affected incoming patient median waiting room time, stratified by
the acuity level. RESULTS: Analyses were performed on 143,172 index visits. On
average, 153.4 radiographs and 48.5 CT scans were conducted daily, whereas 45.3
patients were admitted daily to hospital. Median waiting room time was shortest
(8.0 minutes) for the highest-acuity index visits and was not influenced by these
throughput or output factors. For all other index visits, median waiting room
time was associated strongly with the number of existing patients receiving
radiographs, and, to a lesser extent, with the number of existing patients
receiving CT scans and waiting for hospital admission. CONCLUSION: Both
throughput and output factors affect how long newly arriving ED patients remain
in the waiting room. This suggests that a range of strategies may help to reduce
ED wait time, each requiring stronger ED and hospital partnerships.
PMID- 29804717
TI - High expression of QSOX1 is associated with tumor invasiveness and high grades
groups in prostate cancer.
AB - Prostate cancer is the most common malignancy in men, and biologically shows
highly heterogeneous clinical outcomes, despite early detection. Therefore, the
identification of novel molecular markers that are associated with biological
aggressiveness is very important for prostatic cancer clinical outcome
predictions and treatment choices. Here, we investigate quiescin sulfhydryl
oxidase 1 (QSOX1) expression and evaluate its clinicopathological significance
and prognostic impact in prostate cancers, with immunohistochemistry on tissue
microarrays. QSOX1 over-expression was observed in 12 (11.2%) of prostate
cancers. High QSOX1 expression significantly associated with prostate cancer with
vascular invasion, neural invasion, extra prostatic extension, higher pT stage,
higher pathological tumor stage, higher prognostic grouping, and higher grades
groups, but did not associated with worse overall survival. High QSOX1 expression
correlates with tumor invasiveness and Gleason grade, reflects aggressive tumor
features, and could be an important biomarker and therapeutic target.
PMID- 29804718
TI - Unbuttoning the Ancestral Flower of Angiosperms.
AB - A recent study using an extensive data set plus sophisticated analytical tools
reconstructed a model of the ancestral angiosperm flower. Although attractive, it
presents problems of homology assessment. We discuss its inconsistencies and
endorse the use of a comparative model that integrates biological parameters as
essential to elucidate floral evolution.
PMID- 29804719
TI - No public health without migrant health.
PMID- 29804720
TI - Eardrum and columella displacement in single ossicle ears under quasi-static
pressure variations.
AB - Although most birds encounter large pressure variations during flight, motion of
the middle ear components as a result of changing ambient pressure are not well
known or described. In the present study, motion of the columella footplate and
tympanic membrane (extrastapedius) in domestic chickens (Gallus gallus
domesticus) under quasi-static pressure conditions are provided. Micro-CT scans
were made of cadaveric heads of chickens under positive (0.25 kPa, 0.5 kPa, 1
kPa, and 1.5 kPa) and negative (-0.25 kPa, -0.5 kPa, -1 kPa, and -1.5 kPa) middle
ear pressure. Both extrastapedius and columella footplate displacements show a
non-linear S-shaped curve as a function of pressure indicating non-linear
response characteristics of the middle ear components. The S-curve is also seen
in mammals, but unlike in mammals, the lateral piston-like displacement of both
the columella footplate and extrastapedius, which is caused by an increased
middle ear pressure are smaller than the medial piston-like displacements, caused
by a decreased middle ear pressure of the same magnitude. Columella footplate
piston displacements are always smaller than the extrastapedius piston
displacements, indicating the flexibility of the extracolumella. The cone-shape
of the avian tympanic membrane with inverted apex in comparison to the mammalian
tympanic membrane can cause the inverted shape of the pressure response curve.
PMID- 29804722
TI - A circuit mechanism of time-to-space conversion for perception.
AB - Sensory information in a temporal sequence is processed as a collective unit by
the nervous system. The cellular mechanisms underlying how sequential inputs are
incorporated into the brain has emerged as an important subject in neuroscience.
Here, we hypothesize that information-bearing (IB) signals can be entrained and
amplified by a clock signal, allowing them to efficiently propagate along in a
feedforward circuit. IB signals can remain latent on individual dendrites of the
receiving neurons until they are read out by an oscillatory clock signal. In such
a way, the IB signals pass through the next neurons along a linear chain. This
hypothesis identifies a cellular process of time-to-space and sound-to-map
conversion in primary auditory cortex, providing insight into a mechanistic
principle underlying the representation and memory of temporal sequences of
information.
PMID- 29804721
TI - Differential fates of tissue macrophages in the cochlea during postnatal
development.
AB - The cochlea contains macrophages. These cells participate in inflammatory
responses to cochlear pathogenesis. However, it is not clear how and when these
cells populate the cochlea during postnatal development. The current study aims
to determine the postnatal development of cochlear macrophages with the focus on
macrophage development in the organ of Corti and the basilar membrane. Cochleae
were collected from C57BL/6J mice at ages of postnatal day (P) 1 to P21, as well
as from mature mice (1-4 months). Macrophages were identified based on their
expression of F4/80 and Iba1, as well as their unique morphologies. Two sets of
macrophages were identified in the regions of the organ of Corti and the basilar
membrane. One set resides on the scala tympani side of the basilar membrane.
These cells have a round shape at P1 and start to undergo site-specific
differentiation at P4. Apical macrophages adopt a dendritic shape. Middle and
basal macrophages take on an irregular shape with short projections. Basal
macrophages further differentiate into an amoeboid shape. The other set of
macrophages resides above the basilar membrane, either beneath the cells of the
organ of Corti or along the spiral vessel of the basilar membrane. As the sensory
epithelium matures, these cells undergo developmental death with the phenotypes
of apoptosis. Macrophages are also identified in the spiral ligament, spiral
limbus, and neural regions. Their numbers decrease during postnatal development.
Together, these results suggest a dynamic rearrangement of the macrophage
population during postnatal cochlear development.
PMID- 29804724
TI - Evidence-Based Screening Recommendations for Occult Cancers in the Setting of
Newly Diagnosed Extramammary Paget Disease.
AB - OBJECTIVES: To identify the rates of associated and occult cancers in patients
with extramammary Paget disease (EMPD) discovered using cancer screening methods
at a tertiary medical center; to propose evidence-based cancer screening
guidelines at the time of diagnosis of EMPD; and to clarify terminology
associating EMPD with underlying malignancies. PATIENTS AND METHODS: A
retrospective review of patients with histologically confirmed EMPD presenting
for care at our institution between January 1, 1992, and December 31, 2015, was
performed. Both male and female patients were included. Descriptive analysis was
performed. RESULTS: A total of 161 patients met the inclusion criteria. Most
(59.6%) were female patients, and the mean age at the time of EMPD diagnosis was
70.8+/-10.1 years. Most (82%) of the 161 patients had at least 1 cancer screening
test performed, though screening practices varied widely. Of those screened for
an underlying malignancy, 17 distant, noncontiguous malignancies were identified
in 15 patients (11.4%), with prostate (n=5), urinary tract (n=5), and breast
(n=2) malignancies found most frequently. Most malignancies were identified by
urine cytology, mammography, and prostate-specific antigen blood test. Of all
patients, 37 (23.0%) had an underlying contiguous malignancy identified by
pathology. CONCLUSION: All patients diagnosed with EMPD should undergo cancer
screening. At minimum, evaluation should include age-appropriate screening and
the addition of urine cytology, mammography, and prostate-specific antigen blood
test-if not already performed-may be of particular use. An algorithm for
evaluation of patients with newly diagnosed EMPD is proposed.
PMID- 29804725
TI - 39-Year-Old Woman With Constipation and Abdominal Pain.
PMID- 29804723
TI - Outlook and future of inner ear therapy.
AB - Drug delivery to the inner ear is an ideal method to treat a wide variety of
otologic conditions. A broad range of potential applications is just beginning to
be explored. New approaches combine principles of inner ear pharmacokinetics with
emerging technologies of drug delivery including novel delivery systems, drug
device combinations, and new categories of drugs. Strategies include cell
specific targeting, manipulation of gene expression, local activation following
systemic delivery, and use of stem cells, viral vectors, and gene editing
systems. Translation of these therapies to the clinic remains challenging given
the potential risks of intracochlear and intralabyrinthine trauma, our limited
understanding of the etiologies of particular inner ear disorders, and paucity of
accurate diagnostic tools at the cellular level. This review provides an overview
of future methods, delivery systems, disease targets, and clinical considerations
required for translation to clinical medicine.
PMID- 29804727
TI - 64-Year-Old Woman With General Malaise and Hypercalcemia.
PMID- 29804726
TI - Short Telomere Syndromes in Clinical Practice: Bridging Bench and Bedside.
AB - Short telomere syndromes (STSs) are accelerated aging syndromes often caused by
inheritable gene mutations resulting in decreased telomere lengths. Consequently,
organ systems with increased cell turnover, such as the skin, bone marrow, lungs,
and gastrointestinal tract, are commonly affected. Owing to diverse clinical
presentations, STSs pose a diagnostic challenge, with bone marrow failure and
idiopathic pulmonary fibrosis being frequent manifestations, occurring in
association with gene mutations involving DKC1 (for expansion of gene symbols,
use search tool at www.genenames.org), TERT, TERC, and others. Inherited STSs
demonstrate genetic anticipation, occurring at an earlier age with more severe
manifestations in the affected progeny. Telomere lengths can be assessed in
peripheral blood granulocytes and lymphocytes using a sensitive technique called
flow cytometry-fluorescence in situ hybridization, and mutational analysis can be
performed using next-generation sequencing assays. In approximately 40% of
patients with shortened telomere lengths, gene mutations cannot be identified due
to the fact that all STS-associated genes have not yet been defined or due to
alternative mechanisms of telomere shortening. Danazol, an anabolic steroid, has
been associated with hematologic responses in patients with STSs and associated
bone marrow failure; however, its reported ability to increase telomerase
activity and reduce telomere attrition needs further elucidation. Organ
transplant is reserved for patients with end-organ failure and is associated with
substantial morbidity and mortality. Herein, we summarize the clinical and
laboratory characteristics of STSs and offer a stepwise approach to diagnose and
manage complications in affected patients.
PMID- 29804728
TI - Effects of Reduction in Tumor Burden on Survival in Epithelioid Malignant Pleural
Mesothelioma.
AB - OBJECTIVE: To understand the relationship between response and survival in
malignant pleural mesothelioma (MPM). PATIENTS AND METHODS: The original clinical
trial was conducted from April 1999 through March 2001. Patients with epithelioid
MPM (n=305) were categorized using modified pleural Response Evaluation Criteria
in Solid Tumors by whether they responded to treatment. Median progression-free
survival (PFS) and overall survival (OS) were estimated and hazard ratios for
responders and nonresponders were estimated and compared using the log-rank test.
Multivariable Cox proportional hazards models were used to adjust for baseline
prognostic factors. RESULTS: Patients who responded to frontline therapy had a
significantly longer OS (hazard ratio, 0.34; 95% CI, 0.24-0.49; median, 20.6
months; 95% CI, 15.3 months to not reached) than did those who did not respond
(median, 9.4 months; 95% CI, 8.1-11.0 months) (P<.001). Similarly, responders had
a significantly longer PFS (hazard ratio, 0.50; 95% CI, 0.39-0.64; median, 7.8
months; 95% CI, 6.5-8.5 months) than did nonresponders (median, 3.7 months; 95%
CI, 2.9-4.3 months) (P<.001). These results were confirmed when adjusting for
baseline prognostic factors. We also observed a survival benefit associated with
disease stabilization in MPM. CONCLUSION: Our findings indicate that reduction in
tumor burden or disease stabilization determined using modified pleural Response
Evaluation Criteria in Solid Tumors is strongly associated with OS and PFS in
epithelioid MPM.
PMID- 29804729
TI - Guide to Considering Nonpsychiatric Medical Intervention Over Objection for the
Patient Without Decisional Capacity.
PMID- 29804731
TI - Possible predictor of early recovery on urinary continence after laparoscopic
radical prostatectomy - Bladder neck level and urodynamic parameters.
AB - BACKGROUND/PURPOSE: To investigate the relationship between post-operative
bladder neck levels and urodynamic parameters and their effect on urinary
incontinence after laparoscopic radical prostatectomy (LRP). METHODS: Forty-eight
consecutive patients undergoing LRP were retrospectively reviewed. All patients
were assessed using retrograde cystography after LRP and were grouped according
to their bladder neck position: Level 0: at or above the superior margin of the
symphysis pubis (SMSP); Level -1: at <2 cm below SMSP; and Level -2: at >2 cm
below SMSP. Urodynamic studies were conducted at baseline as well as at 1 and 3
months post-operatively. Early recovery of urinary continence was defined as no
urine leakage or only one pad/day used within 3 months after surgery. Demographic
characteristics, changes in urodynamic parameters, and continence outcomes were
analyzed. RESULTS: Overall rate of early recovery of urinary continence was
33.3%. Patients with higher bladder neck levels experienced a significantly
earlier recovery of urinary continence in univariate analysis (77.8%, 29.2%, and
13.3% for bladder neck levels 0, -1, and -2, respectively, p = 0.004). Patients
with early recovery of urinary continence had significantly longer functional
profile lengths (FPLs) 1 month post-surgery (21.0 mm vs 14.8 mm, p = 0.019).
Higher bladder neck levels were significantly associated with longer FPLs at 1
month (p = 0.032). CONCLUSION: Bladder neck level is associated with FPLs at 1
month post-surgery, which is the possible predictor of early recovery of urinary
continence after LRP. Patients with longer FPL at 1 month after LRP have a higher
rate of early recovery of urine continence.
PMID- 29804730
TI - Perinatal insults and neurodevelopmental disorders may impact Huntington's
disease age of diagnosis.
AB - INTRODUCTION: The age of diagnosis of Huntington's disease (HD) varies among
individuals with the same HTT CAG-repeat expansion size. We investigated whether
early-life events, like perinatal insults or neurodevelopmental disorders,
influence the diagnosis age. METHODS: We used data from 13,856 participants from
REGISTRY and Enroll-HD, two large international multicenter observational
studies. Disease-free survival analyses of mutation carriers with an HTT CAG
repeat expansion size above and including 36 were computed through Kaplan-Meier
estimates of median time until an HD diagnosis. Comparisons between groups were
computed using a Cox proportional hazard survival model adjusted for CAG-repeat
expansion length. We also assessed whether the group effect depended on gender
and the affected parent. RESULTS: Insults in the perinatal period were associated
with an earlier median age of diagnosis of 45.00 years (95%CI: 42.07-47.92)
compared to 51.00 years (95%CI: 50.68-51.31) in the reference group, with a CAG
adjusted hazard ratio of 1.61 (95%CI: 1.26-2.06). Neurodevelopmental disorders
were also associated with an earlier median age of diagnosis than the reference
group of 47.00 years (95% CI: 43.38-50.62) with a CAG-adjusted hazard ratio of
1.42 (95%CI: 1.16-1.75). These associations did not change significantly with
gender or affected parent. CONCLUSIONS: These results, derived from large
observational datasets, show that perinatal insults and neurodevelopmental
disorders are associated with earlier ages of diagnosis of magnitudes similar to
the effects of known genetic modifiers of HD. Given their clear temporal
separation, these early events may be causative of earlier HD onset, but further
research is needed to prove causation.
PMID- 29804732
TI - Physician prescribing patterns of antihypertensives in diabetic patients before
and after the implementation of Taiwan's guideline for the management of
hypertension.
PMID- 29804733
TI - Patient-controlled analgesia for background pain of major burn injury.
AB - BACKGROUND: Studies have suggested that intravenous patient-controlled analgesia
(IV-PCA) can be used safely for the treatment of background pain in burn
patients. However, no comprehensive protocols have been published. How patient or
surgical factors correlate with the amount of opioid consumption remains unclear.
The aim of this study is to provide an IV-PCA protocol for alleviating pain for
burn injuries, and to assess factors correlated with opioid consumption. METHODS:
At the Mackay Memorial Hospital, a retrospective analysis from June 27th to
October 31st of 2015 was carried out to investigate the use of IV-PCA in relation
to the demographic and clinical data of patients who suffered from burn injuries
due to a massive explosion of flammable powder. A standardized morphine IV-PCA
protocol with rapid escalation was implemented. Variables assessed included age,
weight, gender, days of usage, total surface area burned (TBSAB) and operations.
RESULTS: Among the 23 patients who received IV-PCA for burn pain control, it was
noted that the larger the TBSAB and the higher the visual analogue scale (VAS),
the more amount of morphine was consumed. Correlations between morphine
consumption positively with weight (P < 0.01), female gender (P < 0.01), severity
of injury (P = 0.01), and negatively with receiving operations (P = 0.01) were
statistically significant. CONCLUSIONS: As the daily morphine consumption was
positively correlated with TBSAB, VAS, weight, female gender, the use of our IV
PCA protocol was sufficient in the management of background pain for patients
with major burn injury.
PMID- 29804735
TI - Discussion.
PMID- 29804734
TI - A prospective observational trial of fusion imaging in infrarenal aneurysms.
AB - OBJECTIVE: Use of three-dimensional fusion has been shown to significantly reduce
radiation exposure and contrast material use in complex (fenestrated and
branched) endovascular aneurysm repair (EVAR). Cydar software (CYDAR Medical,
Cambridge, United Kingdom) is a cloud-based technology that can provide imaging
guidance by overlaying preoperative three-dimensional vessel anatomy from
computed tomography scans onto live fluoroscopy images both in hybrid operating
rooms and on mobile C-arms. The aim of this study was to determine whether
radiation dose reduction would occur with the addition of fusion imaging to
infrarenal repair in all imaging environments. METHODS: All patients who
consented to involvement in the trial and who were treated with EVAR in our
center from March 2016 until April 2017 were included. A teaching session about
radiation protection and Cydar fusion software use was provided to all operators
before the start of the fusion group enrollment. This group was compared with a
retrospective cohort of patients treated in the same center from March 2015 to
March 2016, after a dedicated program of radiation awareness and reduction was
introduced. Ruptured aneurysms and complex EVAR were excluded. Preoperative and
perioperative characteristics were recorded, including parameters of radiation
dose, such as air kerma and dose-area product. Results were expressed in median
and interquartile range. RESULTS: Forty-four patients were prospectively enrolled
and compared with 21 retrospective control patients. No significant differences
were found in comparing sex, body mass index, and age at repair. The median
operation time (wire to wire) and fluoroscopy time were 90 (75-105) minutes and
30 (22-34) minutes, respectively, without significant differences between groups
(P = .56 and P = .36). Dose-area product was nonsignificantly higher in the
control group, 21.7 (8.9-85.9) Gy cm2, compared with the fusion group, 12.4 (7.5
23.4) Gy cm2 (P = .10). Air kerma product was significantly higher in the control
group, 142 (61-541) mGy, compared with 82 (51-115) mGy in the fusion group (P =
.03). The number of digital subtraction angiography runs was significantly lower
in the fusion group (8 [6-11]) compared with the control group (10 [9-14]); (P =
.03). There were no significant differences in the frequency of adverse events,
endoleaks, or additional procedures required. CONCLUSIONS: When it is used in
simple procedures such as infrarenal aneurysm repair, image-based fusion
technology is feasible both in hybrid operating rooms and on mobile systems and
leads to an overall 50% reduction in radiation dose. Fusion technology should
become standard of care for centers attempting to maximize radiation dose
reduction, even if capital investment of a hybrid operating room is not feasible.
PMID- 29804737
TI - Midterm results of endovascular aneurysm sealing to treat abdominal aortic
aneurysm.
AB - BACKGROUND: Endovascular aneurysm sealing (EVAS) represents a novel approach to
the treatment of abdominal aortic aneurysms. It uses polymer technology to
achieve an anatomic seal within the sac of the aneurysm. This cohort study
reports the early clinical outcomes, technical refinements, and learning curve
during the initial EVAS experience at a single institution. METHODS: Results from
150 consecutive EVAS cases for intact, infrarenal abdominal aortic aneurysms are
reported here. These cases were undertaken between March 2013 and July 2015.
Preoperative, perioperative, and postoperative data were collected for each
patient prospectively. RESULTS: The median age of the cohort was 76.6 years
(interquartile range, 70.2-80.9 years), and 87.3% were male. Median aneurysm
diameter was 62.0 mm (IQR, 58.0-69.0 mm). Adverse neck morphology was seen in 69
(46.0%) patients, including aneurysm neck length <10 mm (17.3%), neck diameter
>32 mm or <18 mm (8.7%), and neck angulation >60 degrees (15.3%). Median follow
up was 687 days (IQR, 463-897 days); 37 patients (24.7%) underwent
reintervention. The rates of unresolved endoleak are 1.3% type IA, 0.7% type IB,
and 2.7% type I. There were no type III endoleaks. There have been seven
secondary ruptures in this cohort; all but one of these patients survived after
reintervention. Only one rupture occurred in an aneurysm that had been treated
within the manufacturer's instructions for use (IFU). CONCLUSIONS: The rate of
unresolved endoleaks is satisfactorily low. The incidence of secondary rupture is
of concern; however, when the IFU are adhered to, the rate is very low. The
results of this study suggest that working within the IFU yields better clinical
results.
PMID- 29804736
TI - A systematic review and meta-analysis of revascularization outcomes of
infrainguinal chronic limb-threatening ischemia.
AB - BACKGROUND: The optimal strategy for revascularization in infrainguinal chronic
limb-threatening ischemia (CLTI) remains debatable. Comparative trials are
scarce, and daily decisions are often made using anecdotal or low-quality
evidence. METHODS: We searched multiple databases through May 7, 2017, for
prospective studies with at least 1-year follow-up that evaluated patient
relevant outcomes of infrainguinal revascularization procedures in adults with
CLTI. Independent pairs of reviewers selected articles and extracted data. Random
effects meta-analysis was used to pool outcomes across studies. RESULTS: We
included 44 studies that enrolled 8602 patients. Periprocedural outcomes
(mortality, amputation, major adverse cardiac events) were similar across
treatment modalities. Overall, patients with infrapopliteal disease had higher
patency rates of great saphenous vein graft at 1 and 2 years (primary: 87%, 78%;
secondary: 94%, 87%, respectively) compared with all other interventions.
Prosthetic bypass outcomes were notably inferior to vein bypass in terms of
amputation and patency outcomes, especially for below knee targets at 2 years and
beyond. Drug-eluting stents demonstrated improved patency over bare-metal stents
in infrapopliteal arteries (primary patency: 73% vs 50% at 1 year), and was at
least comparable to balloon angioplasty (66% primary patency). Survival, major
amputation, and amputation-free survival at 2 years were broadly similar between
endovascular interventions and vein bypass, with prosthetic bypass having higher
rates of limb loss. Overall, the included studies were at moderate to high risk
of bias and the quality of evidence was low. CONCLUSIONS: There are major
limitations in the current state of evidence guiding treatment decisions in CLTI,
particularly for severe anatomic patterns of disease treated via endovascular
means. Periprocedural (30-day) mortality, amputation, and major adverse cardiac
events are broadly similar across modalities. Patency rates are highest for
saphenous vein bypass, whereas both patency and limb salvage are markedly
inferior for prosthetic grafting to below the knee targets. Among endovascular
interventions, percutaneous transluminal angioplasty and drug-eluting stents
appear comparable for focal infrapopliteal disease, although no studies included
long segment tibial lesions. Heterogeneity in patient risk, severity of limb
threat, and anatomy treated renders direct comparison of outcomes from the
current literature challenging. Future studies should incorporate both limb
severity and anatomic staging to best guide clinical decision making in CLTI.
PMID- 29804738
TI - Impact of previous open aortic repair on the outcome of thoracoabdominal
fenestrated and branched endografts.
AB - BACKGROUND: Thoracoabdominal aortic aneurysms (TAAAs) after previous aortic open
surgical repair (OSR) are challenging clinical scenarios. Redo-OSR is technically
demanding, and standard endovascular repair is unavailable due to visceral vessel
involvement. Fenestrated and branched endografts (FB-EVAR) are effective options
to treat TAAAs in high surgical risk patients but dedicated studies on the FB
EVAR outcomes in patients with TAAAs with previous OSR are not available. The aim
of the study was to evaluate the impact of previous OSR on TAAAs FB-EVAR
outcomes. METHODS: Between 2010 and 2016, all TAAAs undergoing FB-EVAR were
prospectively evaluated, retrospectively categorized in two groups, and then
compared: group A-primary TAAAs and group B-TAAAs after previous OSR (abdominal,
thoracic, or thoracoabdominal aneurysm). Early end points were technical success
(absence of type I-III endoleak, target visceral vessel loss, conversion to OSR,
intraoperative mortality), spinal cord ischemia (SCI), and 30-day mortality.
Follow-up end points were survival, target visceral vessel patency, and freedom
from reinterventions. RESULTS: Sixty-two patients (male: 74%; age: 72 +/- 7
years) with 1 (1%) extent I, 14 (23%) extent II, 24 (39%) extent III, and 23
(37%) extent IV TAAA underwent FB-EVAR. The mean TAAA diameter and total target
visceral vessels were 65 +/- 13 mm and 226, respectively. Ninety branches and 136
fenestrations were planned. Thirty cases (48%) were clustered in group A and 32
(52%) in group B. Patients in group A and group B had similar preoperative
clinical and morphologic characteristics, except for female sex (group A: 40% vs
group B: 13%; P = .02). Technical success was 92% (group A: 90% vs group B: 94%;
P = .6), SCI 5% (group A: 10% vs group B: 0%; P = .1) and 30-day mortality 5%
(group A: 10% vs group B: 0%; P = .1). The mean follow-up was 17 +/- 11 months
with a total survival of 86%, 80%, and 60% at 6, 12, and 24 months, respectively
and no differences in the two groups (group A: 83%, 83%, and 67% vs group B: 88%,
78%, and 55% respectively; P = .96). There was no late TAAA-related mortality.
Target visceral vessel patency was 91%, 91%, and 91% at 6, 12, and 24 months,
respectively (group A: 87%, 87%, and 87% vs group B: 95%, 95%, and 95%; P = .25).
Freedom from reinterventions was 90%, 87%, and 87%, at 6, 12, and 24 months,
respectively, and it was significantly lower in group A compared with group B
(group A: 83%, 76%, and 76% vs group B: 96%, 96%, and 96% respectively; P =
.002). CONCLUSIONS: Previous open surgery repair does not significantly affect
the early outcomes of FB-EVAR in TAAA, with encouraging results in terms of
technical success, SCI, mortality, and lower reinterventions rate at midterm
follow-up.
PMID- 29804739
TI - Abdominal aortic aneurysm neck remodeling after Anaconda stent graft
implantation.
AB - OBJECTIVE: The aim of this study was to define how the proximal landing zone
changes geometrically after endovascular abdominal aortic aneurysm repair (EVAR)
with the Anaconda (Vascutek, Inchinnan, United Kingdom) stent graft. METHODS:
Among 230 patients who underwent Anaconda stent graft implantation between 2005
and 2014, we included 126 with adequate computed tomography (CT) image quality
and follow-up. CT analysis entailed the geometric changes in the main body,
proximal rings, and proximal landing zone. The median CT follow-up was 2.0 years
(345.8 patients-years). RESULTS: The proximal portion of the main body ring
system flattened within the first year after EVAR, resulting in an up to 30
degrees increase in the upper ring's angle in 40% patients and up to 40 degrees
increase in 24% patients. One year after EVAR, the upper ring angle increase
slowed down. Aortic diameter measured at the level of the upper and lower ring
expanded by 2 to 4 mm within 1 year, but remained unchanged afterward. The main
body migrated continuously down toward the aortic bifurcation, attaining an
average 6-mm increase in the distance between the superior mesenteric artery and
main body within 4 years. Freedom from endoleak type IA was 95 +/- 2% and 93 +/-
3% after 1 and 4 years, respectively. CONCLUSIONS: The Anaconda main body ring
system in its proximal portion flattens within the first year after EVAR, leading
to an increase of 2 to 4 mm in the proximal landing zone's aortic diameter. The
main body migrates slowly but continuously down toward the aortic bifurcation.
PMID- 29804740
TI - Sphingosine-1-phosphate receptor 1 regulates neointimal growth in a humanized
model for restenosis.
AB - OBJECTIVE: The main objective of this study was to define a role of sphingosine-1
phosphate receptor 1 (S1PR1) in the arterial injury response of a human artery.
The hypotheses were tested that injury induces an expansion of S1PR1-positive
cells and that these cells accumulate toward the lumen because they follow the
sphingosine-1-phosphate gradient from arterial wall tissue (low) to plasma
(high). METHODS: A humanized rat model was used in which denuded human internal
mammary artery (IMA) was implanted into the position of the abdominal aorta of
immunosuppressed Rowett nude rats. This injury model is characterized by medial
as well as intimal hyperplasia, whereby intimal cells are of human origin. At 7,
14, and 28 days after implantation, grafts were harvested and processed for
fluorescent immunostaining for S1PR1 and smooth muscle alpha-actin. Nuclei were
stained with 4',6-diamidine-2'-phenylindole dihydrochloride. Using digitally
reconstructed, complete cross sections of grafts, intimal and medial areas were
measured, whereby the medial area had virtually been divided into an outer
(toward adventitia) and inner (toward lumen) layer. The fraction of S1PR1
positive cells was determined in each layer by counting S1PR1-positive and S1PR1
negative cells. RESULTS: The fraction of S1PR1-postive cells in naive IMA is
58.9% +/- 6.0% (mean +/- standard deviation). At day 28 after implantation, 81.6%
+/- 4.4% of medial cells were scored S1PR1 positive (P < .01). At day 14, the
ratio between S1PR1-positive and S1PR1-negative cells was significantly higher in
the lumen-oriented inner layer (9.3 +/- 2.1 vs 6.0 +/- 1.0; P < .01). Cells
appearing in the intima at day 7 and day 14 were almost all S1PR1 positive. At
day 28, however, about one-third of intimal cells were scored S1PR1 negative.
CONCLUSIONS: From these data, we conclude that denudation of IMA specifically
induces the expansion of S1PR1-positive cells. Based on the nonrandom
distribution of S1PR1-positive cells, we consider the possibility that much like
lymphocytes, S1PR1-positive smooth muscle cells also use S1PR1 to recognize the
sphingosine-1-phosphate gradient from tissue (low) to plasma (high) and so
migrate out of the media toward the intima of the injured IMA.
PMID- 29804742
TI - Association of variant arch anatomy with type B aortic dissection and hemodynamic
mechanisms.
AB - OBJECTIVE: Congenital aortic arch variations are more common in patients with
thoracic aortic disease for reasons unknown. Additionally, little is understood
about their relation to type B aortic dissections (TBAD) specifically. We
investigated the prevalence of variant aortic arch anatomy in patients with TBAD
compared with controls. To understand the implications of how variant aortic arch
anatomy may contribute to degenerative aortic disease, we compared flow
hemodynamics of three variations of aortic arches using four-dimensional flow
magnetic resonance imaging (4D flow MRI). METHODS: Arch anatomy on computed
tomography imaging was reviewed and compared between patients with TBAD and
age/sex-matched controls free of aortic pathology. Arch variants were defined as
follows: common origin of innominate and left common carotid artery (bovine
arch), aberrant right subclavian artery, and right-sided aortic arch.
Demographics, TBAD characteristics, and follow-up data were abstracted. Patients
with TBAD with variant and conventional aortic arches were compared.
Additionally, three matched healthy controls with conventional, bovine, and
aberrant right subclavian artery arches underwent 4D flow MRI evaluation to
assess if there were differences in flow patterns by arch type. Indices of
regional hemodynamic wall sheer stress were compared. RESULTS: Computed
tomography scans of 185 patients with TBAD (mean age, 58.1 +/- 12.4 years; 72.4%
males; 71.4% Caucasian) and 367 controls (mean age, 62.5 +/- 13.4 years; 67%
males; 77.9% Caucasian) were reviewed. Variant arch anatomy was more prevalent in
patients with TBAD (40.5% vs 24.5%; P < .001). In patients with TBAD, there were
no differences in the mean age of presentation and descending thoracic aorta
diameter among those with variant or conventional arch anatomy. Patients with
TBAD with variant arch anatomy had a higher percentage of dissection related
thoracic aortic repairs (54.7% vs 33.6%; P = .004) with repairs occurring
predominantly in the acute phase. 4D flow MRI demonstrated a higher systolic wall
shear stress along the inner curve of the bovine arch compared with the
conventional aberrant right subclavian artery arches. CONCLUSIONS: Variant aortic
arch anatomy is significantly more prevalent in patients with TBAD. patients with
TBAD with variant arch anatomy had a higher percentage of dissection-related
aortic repair. Preliminary 4D flow MRI data show differences in hemodynamic flow
patterns between variant and conventional arches. Studies of long-term outcomes
based on arch anatomy may offer additional insight to TBAD genesis and possibly
influence management decisions.
PMID- 29804741
TI - Risk factor profile and anatomic features of previously asymptomatic patients
presenting with carotid-related stroke.
AB - OBJECTIVE: Although carotid atherosclerotic-mediated stroke remains a major cause
of morbidity and mortality, some have suggested intervention in carotid stenosis
should be limited to symptomatic patients given the advances in medical therapy.
The present study was conducted to assess the atherosclerotic risk factor
profiles, anatomic features, and clinical outcomes of previously asymptomatic
patients admitted with stroke of carotid etiology. METHODS: We reviewed the data
from 3382 patients admitted to a tertiary referral center with an ischemic stroke
during 2005 to 2015. We focused on patients admitted with a radiographically
confirmed infarct ipsilateral to a documented carotid artery stenosis >=50%, with
the admitting neurology team adjudicating the stroke etiology as carotid related.
Patients were excluded if they had had a previous transient ischemic attack,
previous infarct ipsilateral to any carotid lesion, or previous carotid
revascularization, intracranial hemorrhage, or malignancy. Patient demographic
data, medical treatments before stroke, stroke admission carotid imaging, and
stroke treatments and outcomes were assessed. RESULTS: A total of 219 carotid
stroke patients (7% of all strokes) were identified, of whom 61% were white and
66% were men, with a mean age of 68 +/- 12 years. Hypertension (79%) and smoking
(33% current; 29% former) were predominant risk factors. On admission, 50% were
receiving antiplatelet therapy (aspirin, n = 92 [41%]; clopidogrel, n = 9 [4%];
dual therapy, n = 11 [5%]) and 55% were receiving lipid-lowering agents (statin,
n = 115 [53%]; other, n = 6 [2%]); 77 patients (35%) were receiving both
antiplatelet and lipid-lowering therapy. Of the 219 patients, 156 (71%) presented
with a moderate or severe stroke (National Institutes of Health stroke scale >=5
at admission), 54 (25%) received lytic therapy, 96 (43%) presented with an
occluded ipsilateral internal carotid artery, and 117 (53%) ultimately underwent
carotid revascularization at a median of 4 days. Individuals receiving both
antiplatelet and lipid-lowering therapy were significantly less likely to
experience a moderate or severe stroke (44% vs 78%; P = .006). CONCLUSIONS:
Internal carotid artery occlusion is the culprit lesion in 43% of carotid-related
strokes in those without previous symptoms. Previously asymptomatic patients not
receiving combined antiplatelet and lipid-lowering medical therapy presenting
with carotid-related stroke are significantly more likely to experience a severe,
debilitating stroke. However, those receiving appropriate risk-reduction medical
therapy are still at risk of carotid-mediated stroke. These results suggest
medical therapy alone is unlikely to be sufficient stroke prevention for patients
with significant carotid stenosis.
PMID- 29804743
TI - Management of tunneled-cuffed catheter-related right atrial thrombosis in
hemodialysis patients.
AB - OBJECTIVES: Catheter-related right atrial thrombosis (CRAT) is an underreported
but potentially life-threatening complication associated with the use of tunneled
cuffed catheters among hemodialysis (HD) patients. Because little is known about
the evidence-based guidelines for the optimal management of CRAT among HD
patients, this article reports findings based on 20 patients diagnosed with CRAT
after catheter replacement and anticoagulation treatment. METHODS: The article
retrospectively reviews the hospital records of 20 HD patients treated in the
West China Hospital with diagnosis of CRAT from March 2013 to May 2016. Once CRAT
was diagnosed, tunneled-cuffed catheters were exchanged over a guidewire in situ
and the locations of the new catheter tips were adjusted to be away from the
original sites. Immediately after the insertion of a new tunneled-cuffed catheter
and at the end of each HD session, both ports of the catheters were locked with
unfractionated heparin solution. Patients younger than 70 years of age were
treated with warfarin at a target International Normalized Ratio of 1.5 to 1.9,
whereas those older than 70 years were treated with dual antiplatelet therapy.
All patients were on regular dialysis without thrombolysis or thrombectomy.
RESULTS: During the follow-up, two patients died of gastrointestinal massive
hemorrhage and one died of acute myocardial infarction. No fatal pulmonary
embolism or other CRAT complication-related deaths were observed. A total of
eight patients had complete dissolution of CRAT, and 12 patients had reduction in
thrombi size. CONCLUSIONS: Maintenance of HD by replacing catheters and providing
oral anticoagulation/antiplatelet therapies may be an effective strategy for
treating HD patients with CRAT.
PMID- 29804744
TI - Cerebral embolic protection in thoracic endovascular aortic repair.
AB - BACKGROUND: Stroke occurs in 3% to 8% and silent cerebral infarction in >60% of
patients undergoing thoracic endovascular aortic repair (TEVAR). We investigated
the utility of a filter cerebral embolic protection device (CEPD) to reduce
diffusion-weighted magnetic resonance imaging (DW-MRI) detected cerebral injury
and gaseous and solid embolization during TEVAR. METHODS: Patients anatomically
suitable underwent TEVAR with CEPD, together with intraoperative transcranial
Doppler to detect gaseous and solid high-intensity transient signals (HITSs), pre
and postoperative DW-MRI, and clinical neurologic assessment <=6 months after
the procedure. RESULTS: Ten patients (mean age, 68 years) underwent TEVAR with a
CEPD. No strokes or device-related complications developed. The CEPD added a
median of 7 minutes (interquartile range [IQR], 5-16 minutes) to the procedure,
increased the fluoroscopy time by 3.3 minutes (IQR, 2.4-3.9 minutes), and
increased the total procedural radiation by 2.2%. The dose area product for CEPD
was 1824 mGy.cm2 (IQR, 1235-3392 mGy.cm2). The average contrast volume used
increased by 23 mL (IQR, 24-35 mL). New DW-MRI lesions, mostly in the hindbrain,
were identified in seven of nine patients (78%). The median number was 1 (IQR, 1
3), with a median surface area of 6 mm2 (IQR, 3-16 mm2). A total of 2835 HITSs
were detected in seven patients: 91% gaseous and 9% solid. The maximum number of
HITSs were detected during CEPD manipulation: 142 (IQR, 59-146; 95% gaseous and
5% solid). The maximum number of HITSs during TEVAR occurred during stent
deployment: 82 (IQR, 73-142; 81% gas and 11% solid). Solid HITSs were associated
with an increase in surface area of new DW-MRI lesions (rs = 0.928; P = .01).
Increased gaseous HITSs were associated with new DW-MRI lesions (rs = 0.912; P =
.01), which were smaller (<3 mm; r = 0.88; P = .02). Embolic debris was captured
in 95% of the filters. The median particle count was 937 (IQR, 146-1687), and the
median surface area was 2.66 mm2 (IQR, 0.08-9.18 mm2). CONCLUSIONS: The use of a
CEPD with TEVAR appeared to be safe and feasible in this first pilot study and
could serve as a useful adjunct to reduce cerebral injury. The significance of
gaseous embolization and its role in cerebral injury in TEVAR warrants further
investigation.
PMID- 29804745
TI - The importance of the superficial and profunda femoris arteries in limb salvage
following endovascular treatment of chronic aortoiliac occlusive disease.
AB - OBJECTIVE: This study aimed to report the long-term limb salvage, survival and
patency rates of endovascular treatment for aortoiliac occlusive disease (AIOD)
when outflow was achieved through the profunda femoris artery (PFA) only vs both
the PFA and superficial femoral artery (SFA). METHODS: From January 2008 to July
2016, patients with AIOD who underwent aortoiliac angioplasty at the Division of
Vascular and Endovascular Surgery, Hospital do Servidor Publico Estadual, Sao
Paulo, Brazil, were classified into two groups according to whether they had
femoral outflow via the PFA only (group 1) or both the PFA and SFA (group 2) in
the affected leg. The primary outcome was amputation-free survival. The secondary
outcomes were the patency and overall survival rates. RESULTS: In total, 69
aortoiliac angioplasties were performed in 69 patients: 22 patients (31.8%) in
group 1 and 47 (67.2%) in group 2. A total of 12 reinterventions (17.4%) were
performed, seven (31.8%) in group 1 and five (10.2%) in group 2, without
statistical significance between the groups (P = .063). The mean clinical follow
up period was 2500 +/- 880.5 days. Both the primary and secondary patency rates
analyzed at 1800 days were similar between groups 1 and 2 (80.2% vs 82.3%; P =
.80 and 84.7% vs 97.6%; P = .10, respectively). Furthermore, the limb salvage
rates at 1800 days were similar between groups 1 and 2 (91.3% vs 86.1%; P = .60),
as were the survival rates (74.7% vs 78%; P = .80). The Bollinger score was worse
in group 1 (P = .001), as expected, because of occlusion of the SFA. However, the
PFA and popliteal artery scores were similar between the two groups. Occlusion of
the SFA did not influence the limb salvage rate according to univariate analysis
(P = .509) and multivariate Cox regression analysis (P = .671). CONCLUSIONS: The
patency of the SFA does not interfere with the outcomes of endovascular treatment
for chronic AIOD. The PFA in conjunction with the popliteal artery as the sole
outflow route for iliac endovascular treatment is associated with similar
patency, survival, and limb salvage rates as those for outflow through both the
PFA and SFA.
PMID- 29804746
TI - Long-Distance Relationships: Suppression of Repeat-Mediated Deletions.
AB - The high proportion of repetitive DNA sequences in the human genome provides
tremendous opportunities for DNA rearrangements between non-allelic repetitive
elements. The genome must use multiple competing and collaborating repair
mechanisms to minimize these types of DNA rearrangements, some of which fail in
cancer cells where DNA repair pathways are suppressed.
PMID- 29804747
TI - What Are We Looking For? The Needle in the Haystack or the Usual Suspect?
PMID- 29804749
TI - Autologous Reconstruction of the Carotid Bifurcation: Should We Just Because We
Can?
PMID- 29804750
TI - When is a ligament really a ligament?
PMID- 29804748
TI - First Successful Experimental Implantation of a Drainage Device to Reduce
Lymphoedema in a Rat Lymphoedema Model.
AB - OBJECTIVE/BACKGROUND: Despite recent advances in pharmacological research and
microsurgery, lymphoedema remains an incurable disease that deeply affects
quality of life. There is an urgent need for innovative approaches to restore
continuous lymph flow in affected tissues. To this end, the efficacy of a
subcutaneously implanted draining device in reducing lymphoedema volume in a rat
hindlimb lymphoedema model was tested. METHODS: A rat model of chronic
lymphoedema was developed by surgical removal of popliteal and inguinal lymph
nodes, followed by irradiation. The model was characterised by monitoring limb
volume via tape measure, skin water content via dielectric constant measurement,
and lymphatic drainage via lymphofluoroscopy. After lymphoedema establishment in
16 Wistar rats, a device made of fenestrated tubing equipped with a miniaturised
pumping system, was implanted subcutaneously in the affected limb to restore
continuous recirculation of interstitial fluid. RESULTS: Lymphofluoroscopy
imaging showed impaired lymphatic drainage following lymphadenectomy and
irradiation. Affected limb volume and skin water content increased significantly
compared with the untreated limb, with a median (interquartile range) of 3.85
(0.38) cm3 versus 3.03 (0.43) cm3 for volume (n = 16, p = .001) and 26.6 (9.1)
versus 16.6 (3.7) cm3 for skin dielectric constant (n = 16, p = .001). Treatment
of lymphoedema with the implanted drainage device showed that 5 weeks post
implant excess volume was significantly reduced by 51 +/- 18% compared with the
pre-implant situation (n = 9 sham group, n = 7 pump group). CONCLUSION:
Lymphoedema volume in the rat model was significantly reduced by restoring
continuous drainage of excess fluid using a novel subcutaneously implanted
device, opening the way to the development of an artificial lymphatic vessel.
PMID- 29804751
TI - Toward Single-Cell Single-Molecule Pull-Down.
AB - Single-molecule pull-down (SiMPull) can capture native protein complexes directly
from cell lysates for analysis of complex composition and activities at the
single-molecule level. Although SiMPull requires many fewer cells compared to
conventional pull-down assays, all studies so far have been performed using
lysates from many cells. In principle, extending SiMPull to the single-cell level
will allow the investigation of cell-to-cell variations on the stoichiometry and
activities of biomolecular complexes. We developed a protocol to lyse bacterial
cells in situ and capture the released proteins on the imaging surface using
antibodies. The use of lysozymes delayed the protein release until after the flow
has ceased, and the use of a 10-MUm spacer reduces the capture radius within
which ~70% of target proteins can be captured to below 30 MUm. Proteins thus
captured can be unambiguously assigned to the originating cell. The developed
platform should be compatible with high-throughput protein analysis and protein
protein interaction analysis at the single-cell level through single-molecule
imaging.
PMID- 29804752
TI - Midwives' and obstetricians' views on appropriate obstetric sonography in Norway.
AB - INTRODUCTION: The primary aim of this study was to investigate midwives' and
obstetricians' views on how many ultrasound examinations should be part of
standard care during pregnancy in Norway. MATERIAL AND METHODS: This study is a
part of a larger study, the CROss-Country Ultrasound Study (CROCUS), an
international investigation of midwives' and obstetricians' experiences of and
views on the use of ultrasound. We distributed 400 questionnaires to respondents
in all five health regions in Norway: 40 to municipal midwives, 180 to midwives
working in hospitals and 180 to obstetricians. The questionnaire included
specific questions about the appropriate number of examinations during pregnancy,
examinations without medical indication, non-medical ultrasound,
commercialisation and safety. RESULTS: The response rate was 45%. Of the
respondents, 58% reported satisfaction with the offer of one scheduled ultrasound
examination during pregnancy, as recommended in the Norwegian guidelines. Health
care professionals who used ultrasound themselves were significantly more likely
to want to offer more ultrasound examinations: 52% of the ultrasound users wanted
to offer two or more ultrasound examinations vs. 16% of the non-users (p < .01).
The majority of obstetricians (80%) reported that pregnant women expect to
undergo ultrasound examination, even in the absence of medical indication.
CONCLUSION: The majority of Norwegian health care professionals participating in
this study supported the national recommendation on ultrasound in pregnancy.
Ultrasound users wanted to offer more ultrasound examinations during pregnancy,
whereas non-users were generally content with the recommendation. The majority of
respondents thought that commercialisation was not a problem at their
institution, and reported that ultrasound is often performed without a medical
indication. The ultrasound users thought that ultrasound is safe.
PMID- 29804753
TI - Why are caesarean section rates so high in facilities in Mali and Benin?
AB - OBJECTIVE: To assess new estimates of caesarean section (c-section) rates in
facilities in two sub-Saharan countries using the Robson classification. METHODS:
This study is a retrospective study. Workshops were organized in Mali and Benin
in 2017 to train health care professionals in the use of the Robson
classification. Nine health facilities in Mali and Benin were selected to
participate in the study. Data for deliveries performed in 2014, 2015, and 2016
were included. RESULTS: A total of 12,472 deliveries were included. The overall c
section rate was high in facilities in both countries: 31.0% in Mali and 43.9% in
Benin. Women classified as high-risk (groups 6-10) were small relative
contributors to the overall c-section rate (19.3% in Mali and 25.3% in Benin),
while low-risk women (groups 1-4) were high relative contributors (55.4% in Mali
and 45.2% in Benin). C-section rates in women who had undergone a previous c
section were especially high in both countries (84.0% in Mali; 82.5% in Benin).
This group was the largest contributor to the overall c-section rates in both
countries. CONCLUSIONS: We found high c-section rates in facilities in Mali and
Benin, particularly for low-risk women and for women with a previous c-section.
Further investigations should be carried out to understand why the c-section
rates are so high in these facilities. Strategies must be implemented to avoid
unnecessary c-sections, which potentially lead to further complications,
particularly in countries with high fertility rates.
PMID- 29804754
TI - Women's experiences with early pregnancy loss in the emergency room: A
qualitative study.
AB - OBJECTIVES: To understand the reasons why women present to the Emergency Room
(ER) for Early Pregnancy Loss (EPL)-related care, how they perceive care and
counseling there, and their overall experience during and after their visit.
STUDY DESIGN: This qualitative study utilized semi-structured telephone
interviews. Participants were recruited in a large urban ER; women who
experienced EPL were interviewed by telephone about their experiences 1-3 weeks
after their visit. Audio recordings were transcribed and coded by two independent
coders. MAIN OUTCOME MEASURES: This qualitative study utilized semi-structured
interviews without the use of formal outcome measurement tools. RESULTS: Of the
sixty-seven women recruited, ten completed the full telephone interview.
Interview participants' responses were grouped into four categories: Feelings
about EPL, reasons for going to the ER, experience in the ER, and experience
after leaving the ER. Women had mixed feelings about their ER experiences; many
reported chaos, lack of information or lack of emotional support, while a few
felt informed and supported. Many did not know much about EPL before their
experience. CONCLUSIONS: ER care for women experiencing suspected or confirmed
EPL may not be addressing the emotional needs and knowledge gaps of women.
Patient education, emotional support, and clear plans for outpatient follow up
are critical. Further research is needed to guide interventions to improve care.
PMID- 29804755
TI - Perceptions of adolescent 'simulated clients' on barriers to seeking
contraceptive services in health centers and pharmacies in Mexico.
AB - OBJECTIVE: To examine adolescent simulated clients' perceived barriers to quality
care as they sought information on contraceptives in public-sector healthcare
facilities and pharmacies in Mexico. STUDY DESIGN: We used a qualitative research
design and conducted semi-structured interviews with eight young women who posed
as simulated clients at health centers and pharmacies in Mexico City. Grounded
Theory was used to analyze the transcripts. RESULTS: Barriers to receiving
information about contraceptives included healthcare professionals who gave
administrative pretexts to avoid providing services. Simulated clients also felt
judged by healthcare professionals and reported a lack of simple, understandable
and pertinent information. Healthcare professionals did not ensure clients
understood and had no further questions about using contraceptives, which
resulted in clients' poor perceived self-efficacy, as well as a lack of
confidence in the healthcare system to help them. CONCLUSIONS: When healthcare
professionals fail to provide services according to the World Health
Organization's five basic criteria of adolescent friendly care, adolescents
perceive important barriers in their access to contraceptive methods. Quality of
sexual health care in Mexico would benefit from efforts to improve healthcare
professionals' knowledge, attitudes and skills related to adolescent friendly
service delivery.
PMID- 29804757
TI - Midwifery care based on a precautionary approach: Promoting normal births in
maternity wards: The thoughts and experiences of midwives.
AB - OBJECTIVE: The aim of this study was to gain a deeper understanding of the
thoughts and experiences of midwives in the attempt to promote normal births in
Norwegian maternity wards. METHODS: A qualitative approach was selected for data
collection, and the data presented are derived from in-depth interviews. Nine
midwives at three different maternity wards in Norway participated in the study.
The qualitative data were analysed with the help of systematic text condensation.
RESULTS: The findings included two main themes: (1) "Individualized maternity
care" (2) "A woman-centred and a biomedical perspective - a dilemma. Working in a
small maternity ward increased the possibility for continuous support during
labour and continuity of care throughout pregnancy, birth and the postnatal
period. The midwives had a great desire to promote normal births with a minimum
of interventions. Still, they adhered to an ideology based on both a woman
centred and a biomedical view of birth. Their work was often based on a
precautionary approach in which problem-solving strategies were related to
potential risks. CONCLUSION: The midwives experienced challenges, as they worked
in an environment where different ideologies prevailed. They utilized the
positive aspects of small maternity wards, like the opportunity for continuous
support during labour and continuity of care during the childbearing process.
Midwives should encourage discussions about their precautionary approach and the
use of technology for low-risk women, while reflecting on their own views on
normal births.
PMID- 29804756
TI - Motherhood increases support for family planning among Kenyan adolescents.
AB - OBJECTIVE: Adolescent fertility rates are high in Kenya, and increase the risks
of unintended repeat pregnancies and maternal and infant morbidity and mortality.
Our objective was to examine knowledge, practices, and influences surrounding
contraceptive access and use among Kenyan postpartum adolescents. STUDY DESIGN:
We conducted a mixed methods study (surveys and focus group discussions) with
postpartum adolescents and family planning (FP) providers at two maternal and
child health clinics in Kenya. MAIN OUTCOME MEASURES: Four focus group
discussions (FGDs) were conducted with postpartum adolescents (stratified by age
and site), and two FGDs were conducted with FP providers (stratified by site).
Transcripts were analyzed for prevalent themes. The participants also completed
individual surveys that were analyzed for contraceptive knowledge. RESULTS:
Adolescent contraceptive decision-making and use were shaped by social norms of
adolescent sexual behaviour. Lack of FP knowledge, community misinformation, and
insufficient counselling and time with providers all contributed to adolescent
concerns about FP. However, as adolescents transitioned to motherhood, they felt
more encouraged to use FP and had increased awareness of FP benefits. CONCLUSION:
Both postpartum adolescents and providers felt delivery of FP services could be
improved if providers had better training and counselling tools.
PMID- 29804758
TI - Readiness of pharmacists and consumers for pharmacy-based chlamydia screening in
Australia and Switzerland.
AB - OBJECTIVE: To assess chlamydia knowledge, willingness to undertake pharmacy-based
chlamydia testing, and facilitators and barriers to such testing in consumers and
community pharmacists, in Australia (AUS) and Switzerland (CH). METHODS:
Statements of interest were retrieved from literature and assembled into a 12
item online survey (English and German versions). Survey was disseminated through
Facebook, pharmacies' publicly available emails and professional websites (March
2015). RESULTS: Consumers and pharmacists (AUS: ncons = 198, npharm = 162; CH:
ncons = 209, npharm = 223) were predominantly female (>65%). Mean chlamydia
knowledge scores (maximum of 8) were higher in Australia in consumers (AUS: 6.8
+/- 1.5 vs CH: 4.2 +/- 2.4; p < 0.001) and in pharmacists (AUS: 7.1 +/- 1.1 vs
CH: 6.1 +/- 1.4; p < 0.001). High willingness of consumers to seek testing (AUS:
79.3% vs CH: 83.3%, p = 0.3) and of pharmacists to provide testing (AUS: 95.7% vs
CH: 80.3%, p < 0.001) was observed. Greatest barrier for consumers was
"Embarrassed about asking for a test" (AUS: 47.8% vs CH: 51.2%, p = 0.7) and "No
remuneration" for pharmacists (AUS: 40.7% vs CH: 31.8%, p = 0.07). CONCLUSION:
The majority of consumers and pharmacists support pharmacy-based chlamydia
testing. There is now emerging evidence that the policy makers in Australia and
Switzerland need to develop pharmacy-based chlamydia testing as core business.
PMID- 29804759
TI - Associations of gender role attitudes with fertility intentions: A Japanese
population-based study on single men and women of reproductive ages.
AB - OBJECTIVES: Japan has been experiencing low fertility for many years. In this
study, I investigated the relationship between gender role attitudes and
fertility intentions among Japanese single men and women of reproductive ages.
STUDY DESIGN: Utilizing the Longitudinal Survey of Adults in the 21st Century
data, 8944 men and 7924 women aged 20-34 years with single, childless status were
analyzed. Gender role attitudes were assessed by participants' preferences for
the division of labor between a man and a woman: income earning, housework, and
childcare. Those who preferred men to earn income and women to perform housework
and childcare were considered to have traditional attitudes, whereas those who
preferred women and men to share these responsibilities were considered to have
egalitarian attitudes. MAIN OUTCOME MEASURES: Outcomes were fertility intentions
measured by a desire to have children and ideal number of children. Logistic
regression analyses were conducted. RESULTS: Egalitarian attitudes about income
earning and housework were associated with low fertility intentions (a lesser
desire for children) compared to traditional attitudes: adjusted odds ratios were
1.56 [1.36, 1.80] for men and 1.47 [1.26, 1.72] for women with income earning.
Men's preference for sharing childcare responsibility was associated with high
fertility intentions. CONCLUSIONS: Japanese society has not shifted away from the
traditional division of labor despite the increase in female labor force
participation. Low fertility intentions among Japanese men and women with
egalitarian attitudes suggest that institutional support for balancing work and
family may be necessary to improve the low fertility trend.
PMID- 29804760
TI - Irish women's experience of Ectopic pregnancy.
AB - OBJECTIVE: Ectopic pregnancy can become a life threatening condition. Due to the
specific nature of Ectopic pregnancy the grief experienced may well be overlooked
compared to other pregnancy losses. Fertility concerns for the future and
recovery from surgical or medical treatment may instead become the focus of care.
The objective of this study was to gain insight into women's experience of
Ectopic pregnancy. STUDY DESIGN: A qualitative semi-structured interview format
was utilised. Seven women who had experienced an Ectopic pregnancy in a large
tertiary-level Irish maternity hospital were interviewed. This sample was
recruited purposively ensuring inclusion of women whose treatment included
expectant, medical or surgical management. MAIN OUTCOME MEASURES: Interpretative
phenomenological analysis was employed as the analytic strategy as it has an
ideographic approach which allows us to gain insight into the women's experiences
of Ectopic pregnancy. RESULTS: Key findings were the importance of clear
information on treatment options, the diagnostic scan was highlighted as
important as it helped the women emotionally detach from the pregnancy. Lack of
bereavement counselling and satisfactory completion of outpatient care hindered
closure and recovery for these women. There was increased apprehension about
fertility and women reported feeling reluctant to conceive again. Women reported
difficulty coming to terms with their diagnosis which in turn impacted their
recovery and illustrated women's reservations to embark on future pregnancies.
CONCLUSIONS: This study has implications for the care of women who experience
Ectopic pregnancy particularly in relation to how they are managed from diagnosis
to completion of treatment.
PMID- 29804761
TI - Birth preparedness and determinants of birth place among migrants living in slums
and slum-like pockets in Delhi, India.
AB - OBJECTIVE: The objective of this paper is to report birth preparedness and place
of birth and its determinants among recent- and settled- migrant households
living in slums of Delhi. METHODS: In a cross-sectional survey, 458 migrant
mothers with a child aged below one year of age were identified. Socio
demographic details, data on the place of childbirth, antenatal care (ANC) and
birth preparedness in terms of planning for home birth or hospital birth,
transport, saving money, knowledge of danger signs were collected through
interviewer-administered pretested questionnaire. Logistic regression was carried
out for the determinants of hospital birth. RESULTS: The present study migrants
are characterised by younger ages, low educational attainment, low incomes and
represented by socioeconomically disadvantaged communities. They mainly relied on
government healthcare services for maternal care. ANC seeking was not
satisfactory with 16% of women with no ANC; 46% receiving 1-3 visits; and only
23% of women reported health worker visited them at home. 59% of the births took
place at hospitals. Having ANC visits (Adjusted Odds Ratio (AOR) for having 4 or
more ANC visits = 5.252), planning for hospital birth (AOR = 6.114), plan for
transport (AOR = 1.989), mass media exposure (listening to radio; AOR = 2.871)
and knowledge of danger signs (AOR = 3.872) resulted in significant chances of
hospital birth. CONCLUSION: Migrant women are at the risk of utilizing the
services to a less extent. The health systems need to take measures to mitigate
the disadvantage due to migration through specific strategies to make them
inclusive and outreach to the poor migrants.
PMID- 29804763
TI - Providing accessible medical abortion services in a Victorian rural community: A
description and audit of service delivery and contraception follow up.
AB - OBJECTIVE: To describe how a nurse led, MToP service is run in primary care in
regional Victoria and investigate the characteristics and contraceptive choices
of the women who have attended. STUDY DESIGN: Descriptive study of the
development and implementation of a rural MToP service and a retrospective chart
audit of patients attending between January 2015 and September 2016. MAIN OUTCOME
MEASURES: Characteristics and clinical outcomes for women attending an MToP
service in a primary care setting in rural Victoria. Contraceptive usage pre and
post attending a rural service for MToP. RESULTS: There were 229 presentations,
representing 223 women, of which 172 women (75.1%; 95%CI: 69.0%, 80.6%) had a
successful MToP and for two further women, MToP failed, requiring a surgical
termination (0.9%; 95%CI: 0.1%, 3.1%). At the time of presentation, the mean age
of women was 25 years, the median length of gestation was 49 days and 171 (75%)
had not had a previous termination. Data about contraceptive use was available
for 195 women, 143 (73.3%) reported no contraception, 2 reported emergency
contraceptive pill (1.0%), 10 used condoms (2.1%) and 39 (20.0%) reported
hormonal contraception. Among the 156 women using no contraception, condoms or
emergency contraception at the time of pregnancy, 113 (72.4%) initiated a
reliable form of contraception post presentation to the MToP service. CONCLUSION:
Provision of accessible, affordable MToP through an integrated primary health
service is one strategy to address access inequity in regional areas.
PMID- 29804762
TI - Early breastfeeding problems: A mixed method study of mothers' experiences.
AB - OBJECTIVES: Breastfeeding problems are common and associated with early
cessation. Still length of postpartum hospital stay has been reduced. This leaves
new mothers to establish breastfeeding at home with less support from health care
professionals. The objective was to explore mothers' perspectives on when
breastfeeding problems were the most challenging and prominent early postnatal.
The aim was also to identify possible factors associated with the breastfeeding
problems. METHODS: In a cross-sectional study, a mixed method approach was used
to analyse postal survey data from 1437 mothers with full term singleton infants.
Content analysis was used to analyse mothers' open text descriptions of their
most challenging breastfeeding problem. Multiple logistic regression was used to
calculate odds ratios for early breastfeeding problems according to
sociodemographic- and psychosocial factors. RESULTS: Up to 40% of the mothers had
experienced early breastfeeding problems. The problems were associated with the
mother, the infant and to lack of support from health care professionals. Most
prominent problems were infant's inability to latch on (40%) and mothers having
sore, wounded and cracked nipples (38%). Pain often occurred when experiencing
breastfeeding problems. Factors associated with the problems were primiparity,
lower self-efficacy and lower self-perceived knowledge of breastfeeding. Mothers
with no or short education reported less frequently breastfeeding problems.
CONCLUSIONS: Breastfeeding problems occurred frequently in the early postnatal
period and often caused breastfeeding to be painful. Health care professionals
should prepare mothers to deal with possible breastfeeding problems. New support
options should be reviewed in an early postnatal discharge setting.
PMID- 29804764
TI - Becoming a mother - Mothers' experience of Kangaroo Mother Care.
AB - OBJECTIVE: To describe mothers' experiences of providing their preterm infants
with Kangaroo Mother Care (KMC). STUDY DESIGN: A qualitative descriptive design.
SETTING: Two level III neonatal intensive care units (NICUs) in Sweden.
PARTICIPANTS: Thirteen mothers of preterm infants. METHODS: The mothers were
interviewed when their infant had reached a corrected age of 4 months +/- 2
weeks. The interviews were recorded and transcribed and data were analyzed using
qualitative content analysis. RESULTS: The mothers described the skin-to-skin
contact with, and closeness to, the preterm infant as something they valued, and
involuntary physical separation as something they had to accept and adapt to.
Providing the infant with breast milk by expressing and tube feeding was
experienced as time-consuming and as impinging on the skin-to-skin contact.
CONCLUSION: Mothers want to stay close to their preterm infant. The NICU
environment and staff can facilitate KMC by providing a private space for parents
and infants, and enable mothers to breastfeed and express breast milk by giving
them support based on science and proven experience.
PMID- 29804765
TI - Facilitating and supporting HIV+ parenthood: Lessons for developing the advocate
role of voluntary HIV support services workers.
AB - OBJECTIVE: Increasingly as people living with HIV (PLWHIV) aim to become parents,
they engage with HIV voluntary services for support through either fertility or
adoption services. Yet, little is known about the role of HIV support services
workers in facilitating access to fertility treatment or child adoption. The
purpose of this study was to explore the role of HIV support workers based in HIV
voluntary organisations who have a key role helping PLWHIV in navigating relevant
fertility and adoption processes. METHODS: This was an exploratory qualitative
study which involved interviewing six HIV support workers, from across the UK.
Interviews were conducted using face to face interviews, recorded and
transcribed. RESULTS: Findings revealed that HIV services support workers provide
practical support in advocating service provision, and emotional and social
support along the journey. They also face challenges in their role from health
care professionals including information sharing and gatekeeping. CONCLUSION: The
role of HIV support workers is important in facilitating access to resources and
complex systems. HIV support workers should be recognised and as they are often a
trusted professional to address stigma, discrimination and barriers to services.
The study contributes to research seeking to understand the emerging needs and
support requirements for people living with HIV seeking fertility and adoption.
Further work in this area is warranted.
PMID- 29804766
TI - Parental perspective on human papillomavirus (HPV) vaccination in Serbia:
Knowledge, attitudes and practice.
AB - STUDY OBJECTIVE: Assessing knowledge and attitudes of parents towards human
papillomavirus (HPV) vaccination of their children and estimating factors
associated with parental positive attitude towards HPV immunization. STUDY
DESIGN: Cross-sectional. SETTING: Two Community Health Centers. A total of 282
adult parents of boys and/or girls who presented at the pediatrician's office
with their child aged <=18 years from December 2015 to May 2016. MAIN OUTCOME
MEASURES: HPV vaccination coverage with one dose, knowledge and attitudes towards
HPV vaccination. Parental knowledge was tested through a set of 10 items such as
the infectious nature of HPV, mode of transmission, symptoms and its association
with cervical cancer and circumstances surrounding HPV vaccine in Serbia. Answers
were graded on a 5-point Likert scale from "strongly disagree" to "strongly
agree". RESULTS: Coverage with one dose of HPV vaccine was 2.0%. Majority of
parents knew that the vaccine existed (71.0%). One quarter of parents confirmed
that their child should be vaccinated against HPV. Parents expressed highest
level of agreement with the statement that HPV vaccination in Serbia is not
sufficiently promoted (4.17 +/- 1.21 points). Having female child and more
knowledge on HPV were independently associated with positive attitude towards
vaccination among parents of children aged <9 years. Having received
recommendation for HPV vaccination from a pediatrician was independently
associated with positive attitude towards HPV vaccination among parents of
children aged >=9 years. CONCLUSION: Coverage with one dose of HPV vaccine is
low. Health-care authorities are urged to consider inclusion of HPV vaccination
in immunization schedule.
PMID- 29804767
TI - Talking about sexual and reproductive health through interpreters: The
experiences of health care professionals consulting refugee and migrant women.
AB - OBJECTIVE: This study aimed to explore the health care professional (HCP)
experiences of working with interpreters when consulting refugee and migrant
women who are not proficient in English around sexual and reproductive health
(SRH) issues, in order to identify service and policy implications. METHODS: Semi
structured interviews were conducted with 21 HCPs, including: nurses (8), general
practitioners (GP) (5), health promotion officers (5), sexual therapists (2) and
one midwife. Interviews were audio-recorded, professionally transcribed and
thematically analysed using socio-ecological theory. RESULTS: Overall HCPs stated
that language and cultural discordance were barriers to SRH communication with
refugee and migrant women. The lack of women interpreters and concerns with the
interpreters such as lack of health/SRH knowledge were the main considerations
HCPs reported related to working with interpreters when consulting refugee and
migrant women. CONCLUSION: Communication barriers in the provision of SRH
services to refugee and migrant women may not be avoided despite the use of
interpreters. Great attention needs to be paid to the availability of women
interpreters and training of interpreters to work in SRH.
PMID- 29804768
TI - Women's preference for caesarean section and the actual mode of delivery -
Comparing five sites in Norway.
AB - OBJECTIVES: The caesarean (CS) section rate varies among hospitals in Norway, and
little is known about whether this is influenced by women's preferences. The aim
of this study was to investigate the differences in women's preferred mode of
delivery during pregnancy between five hospitals in Norway, and to relate this to
the actual mode of delivery. STUDY DESIGN: A prospective cohort study of 2,177
unselected pregnant women in five hospitals in Norway. Women were recruited at
their standard ultrasound examinations, and data was collected through
questionnaires and electronic patient charts. The exposure was a CS preference
and the main outcome measure was the actual mode of delivery. RESULTS: In total,
3.5% of the primiparous women and 9.6% of the multiparous women reported a
preference for CS. This was associated with fear of childbirth and education
between 10 and 13 years in both groups, symptoms of depression and an age over 35
years old among the primiparous women, and a previous CS and/or negative birth
experience among the multiparous. The multiparous women in Drammen and Tromso
were less likely to prefer a CS, and none of the primiparous women in Tromso
preferred a CS. A total of 67.8% of those who preferred a CS gave birth with this
mode of delivery. CONCLUSION: There were significant differences between the
hospitals according to the CS preference. This preference was associated with the
previous obstetric history and psychological factors. Therefore, creating good
birth experiences and offering women counselling may reduce the CS preference
rate.
PMID- 29804769
TI - Assessment of clinical decision-making among healthcare professionals performing
caesarean deliveries in Burkina Faso.
AB - OBJECTIVE: To identify the factors associated with quality decision-making of
healthcare professionals in managing complicated labour and delivery in referral
hospitals of Burkina Faso. METHODS: We carried out a six-month observational
cross-sectional study among 123 healthcare professionals performing caesareans in
22 hospitals. Clinical decision-making was evaluated using hypothetical patient
vignettes framed around four main complications during labour and delivery and
developed using guidelines validated by an expert committee. The results were
used to generate a quality decision-making score. A multivariate linear
regression analysis was used to identify the factors independently associated
with the score. RESULTS: Out of 100, the mean +/- SD quality decision-making
score was 63.84 +/- 7.21 for midwives, 65.58 +/- 6.90 for general practitioners
(GPs), and 71.94 +/- 6.70 for gynaecologist-obstetricians (p < 0.001). Quality
decision-making score was higher among professionals with more than seven years'
work experience and those with the highest level of professional qualification.
Working in a service where partograms are regularly reviewed by peers
dramatically increased the skills of professionals. CONCLUSION: The simple
dissemination of written clinical guidelines is not sufficient to maintain high
quality decision-making among healthcare professionals in Burkina Faso. Midwives
may have some better scores than GPs if duly retrained and supervised. Increasing
in-service training and supervision of both junior staff and lower-qualified
healthcare professionals might help to improve obstetric practices in referral
hospitals of Burkina Faso.
PMID- 29804770
TI - Pediatricians' experiences of working with breastfeeding: An interview study.
AB - OBJECTIVE: The aim of the study was to investigate pediatricians' experiences of
working with breastfeeding. METHOD: Semi-structured interviews were conducted
with 12 pediatricians working at hospitals in Stockholm County. The interviews
were recorded, transcribed and analyzed using content analysis and an inductive
approach. RESULTS: All pediatricians saw their role in working with breastfeeding
as an important one, but their primary role as pediatricians was to ensure that
infants received good nutrition. They delegated the practical aspects of
breastfeeding to midwives, who were seen as experts, although the pediatricians
believed they had a greater understanding of the necessity for supplemental
feeding than did midwives. They also expressed the need for a common strategy
regarding supplemental feeding and better teamwork with the midwives. Some
respondents noted that it was difficult to advocate for breastfeeding without
seeming critical of mothers who experienced problems with it or who did not want
to do it. The results comprised a general theme, that breastfeeding is a genuine
and difficult task, and five categories: factors decreasing breastfeeding,
competence, roles of the professionals, supplemental feeding, the health-care
system's responsibility. CONCLUSIONS: Pediatricians have an interest in
breastfeeding. However, they perceive inadequate communication with midwives and
a need for better collaboration with them regarding breastfeeding. The study also
identified a need for a national breastfeeding strategy and for improved
conditions that create a breastfeeding-friendly environment.
PMID- 29804771
TI - Development and validation of the Midwife Profiling Questionnaire assessing
women's preferred perinatal care professional and knowledge of midwives' legal
competences.
AB - OBJECTIVES: Currently maternity care organisation is developing worldwide.
Therefore insight in the position of the midwife is important. The 'Midwife
Profiling Questionnaire' (MidProQ) measures women's preferred perinatal care
professional and their knowledge of midwives' legal competences. MidProQ is based
on the European legal framework and was tested in a pilot study. This study aims
to determine its content and face validity. STUDY DESIGN: A two-phase validation
study with a Delphi method questioning content experts (n = 10) on items
relevance and clarity as well as its scale and face validity. Further semi
structured interviews were performed with lay experts (n = 10) to evaluate the
questionnaire's clarity, layout, phrasing and wording. RESULTS: After round one,
most questions (42/47) were considered content valid for relevance and clarity
(Item Content Validity Index 0.80-1.00). Scale (Scale Content Validity Index
0.92) and face validity (Face Validity Index 0.89) of the entire instrument was
obtained. Five questions were revised until item content (0.83-1.00), scale
content (0.92) and face validity (1.00) were appropriate. Lay experts'
suggestions for improving the readability and usability were taken into account.
CONCLUSIONS: We developed a valid instrument to elicit women's preferred health
professional for uncomplicated pregnancy, labour and childbirth and to determine
their knowledge about midwives' legal competences. Our instrument can be valuable
in identifying knowledge gaps and improving the knowledge of the general
population about the midwifery profession and maternity care. Finally, the
MidProQ may improve research in the domain of maternity care culture, scale up
midwifery and facilitate a more women-centred care.
PMID- 29804772
TI - Providers perspective and geographic and institutional factors associated with
family planning counseling.
AB - OBJECTIVES: Family planning (FP) counseling is an essential activity to prevent
unplanned pregnancies and allow a fulfilling sex life. We defined adequate
counseling in FP as the counseling given to women and men of reproductive age
that provided complete information about use, application, effectiveness, side
effects, and contraindications. Two objectives are proposed in this study. First,
we seek to analyze geographic and institutional factors associated with FP
counseling in primary and secondary healthcare facilities in Mexico. Second, we
seek to identify the cultural barriers that providers perceive as a limitation of
the clients so that they can come to request information related to FP and that
are associated with FP counseling. METHODS: This cross-sectional study uses a
complex, probabilistic, stratified sampling design representative at national
level by institution, region and rural-urban areas. We collected 16,829 provider
questionnaires at healthcare facilities. Bivariate and logistic regression
analyses were performed. RESULTS: Providers in rural areas had a greater
possibility of offering adequate counseling (OR = 2.98; 95%CI 1.18-7.53).
Providers in the northern region of the country were more likely to provide
adequate counseling (OR = 5.37; 95% CI 1.91-15.12). Providers whom perceive
religion as a limitation for clients to come to request information about FP are
less likely to provide adequate counseling (OR = 0.37; 95% CI 0.15-0.88).
CONCLUSIONS: Physical space exclusively for the provision of FP counseling and
the availability of manuals were not associated with adequate counseling. There
is a need to address the social and cultural influences on the quality of
counseling in these healthcare facilities.
PMID- 29804773
TI - The situation for female survivors of non-partner sexual violence: A focused
enquiry of Somali young women's views, knowledge and opinions.
AB - OBJECTIVE: The aim of the study is to elucidate young women's perceptions of the
situation for female survivors of non-partner sexual violence in Somaliland.
METHODS: Young Somali women with diverse backgrounds (n = 25) shared views,
knowledge and opinions about non partner sexual violence in focus group
discussions held in urban settings. Data was analysed using content analysis.
RESULTS: A main category "Bound by culture and community perceptions" with four
subcategories comprises the informants' perceptions of non-partner sexual
violence among young women in Somaliland. Illuminated is the importance of
protecting oneself and the family dignity, a fear of being rejected and
mistrusted, how the juridical system exists in the shadow of tradition and
potential keys to healthcare support. CONCLUSION: The study raises awareness of
the dilemmas which may be faced by young women subjected to non-partner sexual
violence and healthcare providers in the intersection between state and
traditional norms. Education is a key when it comes to a young woman considering
the use of the services available in a society where traditional problem-solving
is relied on parallel to state-based support. State-based functions, communities
and families need to work together to provide comprehensive support to young
female survivors of non-partner sexual violence in Somaliland.
PMID- 29804774
TI - Opportunities, challenges and strategies when building a midwifery profession.
Findings from a qualitative study in Bangladesh and Nepal.
AB - OBJECTIVE: The aim of this paper was to identify opportunities and challenges
when building a midwifery profession in Bangladesh and Nepal. METHODS: Data were
collected through 33 semi-structured interviews with government officials, policy
makers, donors, and individuals from academia and non-government organizations
with an influence in building a midwifery profession in their respective
countries. Data were analyzed using content analysis. FINDINGS: The opportunities
and challenges found in Bangladesh and Nepal when building a midwifery profession
emerged the theme "A comprehensive collaborative approach, with a political
desire, can build a midwifery profession while competing views, interest,
priorities and unawareness hamper the process". Several factors were found to
facilitate the establishment of a midwifery profession in both countries. For
example, global and national standards brought together midwifery professionals
and stakeholders, and helped in the establishment of midwifery associations. The
challenges for both countries were national commitments without a full set of
supporting policy documents, lack of professional recognition, and competing
views, interests and priorities. CONCLUSION AND CLINICAL APPLICATION: This study
demonstrated that building a midwifery profession requires a political
comprehensive collaborative approach supported by a political commitment. Through
bringing professionals together in a professional association will bring a
professional status. Global standards and guidelines need to be contextualized
into national policies and plans where midwives are included as part of the
national health workforce. This is a key for creating recognized midwives with a
protected title to autonomously practice midwifery, to upholding the sexual and
reproductive health and rights for women and girls.
PMID- 29804775
TI - Caseload midwifery for women with fear of birth is a feasible option.
AB - OBJECTIVE: Continuity with a known midwife might benefit women with fear of
birth, but is rare in Sweden. The aim was to test a modified caseload midwifery
model of care to provide continuity of caregiver to women with fear of birth.
METHODS: A feasibility study where women received antenatal and intrapartum care
from a known midwife who focused on women's fear during all antenatal visits. The
study was performed in one antenatal clinic in central Sweden and one university
hospital labor ward. Data was collected with questionnaires in mid and late
pregnancy and two months after birth. The main outcome was fear of childbirth.
RESULT: Eight out of ten women received all antenatal and intrapartum care from a
known midwife. The majority had a normal vaginal birth with non-pharmacological
pain relief. Satisfaction was high and most women reported that their fear of
birth alleviated or disappeared. CONCLUSION: Offering a modified caseload
midwifery model of care seems to be a feasible option for women with elevated
levels of childbirth fear as well as for midwives working in antenatal clinics as
it reduces fear of childbirth for most women. Women were satisfied with the model
of care and with the care provided.
PMID- 29804777
TI - Evaluation of sexual function in women with deep infiltrating endometriosis.
AB - INTRODUCTION: The influence of deep infiltrating endometriosis (DIE) on sexual
function has been poorly studied. The aim of this study was to evaluate the
impact of DIE on sexual function by administering questionnaires to women.
METHODS: Women with a clinical and ultrasound diagnosis of DIE and histological
confirmation of endometriosis were included in the study. In interview, women
were asked to rate five pain symptoms, dysmenorrhea, dyspareunia, dyschezia,
dysuria and Cronic Pelvic Pain (CPP), on a visual analogue scale (VAS), and
sexual function was assessed using the Female Sexual Function Index (FSFI).
RESULTS: A total of 170 women with DIE were identified. A VAS score of 7 or more
was taken to indicate that a symptom was 'severe'. Dysmenorrhea was reported to
be severe by 66.5% of the sample, dyspareunia by 41.8%, dyschezia by 32.4% and
dysuria by 6.5%. Mean FSFI scores did not differ significantly between women with
and without endometriosis lesions at particular sites, except for rectovaginal
nodules, which were found to be associated with more impaired sexual activity and
sexual function. CONCLUSIONS: Women with DIE had significant impairment of sexual
activity when a partial or total infiltration of the rectovaginal septum
occurred. Particular attention should be given to women with this kind of lesion.
PMID- 29804776
TI - What influences university students to seek sexually transmitted infection
testing?: A qualitative study in New Zealand.
AB - OBJECTIVE: Untreated sexually transmitted infections (STIs) can lead to serious
health complications, increase susceptibility to contracting further STIs
including human immunodefiniceny virus (HIV), and can be transmitted to others.
The early diagnosis and treatment of STIs is therefore central to comprehensive
STI management and prevention, but this relies on those at risk of STIs
presenting for testing. In order to understand STI testing behaviours in view of
their improvement, this study aimed to elucidate why people seek STI testing.
METHODS: Qualitative semi-structured interviews were conducted with 24 university
students who had recently had an STI test. Resulting data were analysed employing
a qualitative thematic analysis method to produce a final set of themes. RESULTS:
Five drivers for STI testing were identified from the data: crisis, partners,
clinicians, routines, and previous knowledge. The final driver, previous
knowledge, intersected with the previous four, particularly in relation to
routines. Many participants acknowledged that the more they knew about STIs the
more likely they were to undertake routine tests. However, at the same time, many
participants felt they did not have a good knowledge base and that their school
based sex education had been lacking. CONCLUSION: This study highlights important
drivers for STI testing, which may aid the design of public health campaigns. It
also underlines that school-based education could provide stronger foundations
with regards to STIs and their prevention.
PMID- 29804778
TI - Husband's role in handling pregnancy complications in Mangochi District, Malawi:
A call for increased focus on community level male involvement.
AB - OBJECTIVE: The objective of the current study is to provide information about
husbands' role in decision-making and healthcare seeking in cases of pregnancy
complications in Mangochi district, Malawi with an analysis of qualitative
interviews using the concepts of "capital" and "field" from Bourdieu's social
field theory. STUDY DESIGN: Twelve husbands and wives who had experienced
pregnancy complications and six key informants from a semi-rural area of Mangochi
district were interviewed individually. Thematic analysis was conducted based on
the concepts of capital and field in Bourdieu's social field theory. RESULTS:
Husbands have significant economic and symbolic capital in decisions about
healthcare seeking during instances of pregnancy complications as a result of
their roles as father, head of the household and main income earner. Lack of
money is the only acceptable reason for husbands to deny their wives healthcare.
Husbands have limited access to knowledge of maternal health, which can
compromise their decisions about seeking healthcare. Joint decision-making within
families can be bypassed to allow for prompt healthcare seeking in emergencies.
CONCLUSIONS: Husbands are important decision makers regarding seeking healthcare
for pregnancy complications because of their economic and symbolic power and
despite their limited access to knowledge of maternal health. Maternal healthcare
seeking practices would benefit from wives gaining an empowered role as well as
improved knowledge of maternal health among husbands.
PMID- 29804779
TI - The birth experience and maternal caregiving attitudes and behavior: A systematic
review.
PMID- 29804780
TI - Improving postnatal care in Sweden - Midwives have a key role.
PMID- 29804781
TI - Is infertility as visible as it needs to be given its importance to women's
health? Results from a review of women's health journals.
AB - Infertility is a disease of the reproductive system. It has profound social,
economic, psychological, and physical consequences, particularly for women. Given
the persistence of preventable or untreated infertility, we assessed the level of
attention women's health journals have given to infertility-related concerns. We
found a minimal number of articles on infertility in the past 15 years of four
women's health scientific journals. We encourage more submissions and subsequent
publications on infertility to women's health journals in order to enhance the
ability of health educators and women's healthcare providers to promote knowledge
and advance awareness of this public health issue.
PMID- 29804782
TI - Homebirth organised in a caseload midwifery model with affiliation to a Danish
university hospital - A descriptive study.
AB - OBJECTIVE: To describe birth and neonatal outcome in women initiating a homebirth
and cared for by a caseload midwifery teams with affiliation to a university
hospital. Further, to describe the rate, time, and reasons for transfer between
home and hospital. DESIGN: A descriptive study using prospectively collected
registry data on initiated homebirths. RESULTS: A total of 268 women initiated a
homebirth and 192 actually gave birth at home, equal to 1.99% of all births in
Aarhus Municipality. The majority of the women who initiated a homebirth
experienced a vaginal birth (92%) regardless of birthplace. Approximately 28% of
the women were transferred from home to hospital during or after birth and 72% of
the women had a homebirth as planned. Two children (both born in hospital) were
admitted to the neonatal care unit requiring minor observation or treatment.
CONCLUSION: The majority of the women included in this study experienced a
vaginal birth including those being transferred from home to hospital. Main
reasons for being transferred were slow labor progress and rupture of membranes
>18 h. The majority of those being transferred were nulliparous women and most
transfers happened during birth.
PMID- 29804783
TI - Perceived responsibility for pregnancy and sexually transmitted infection
prevention among young African American men: An exploratory focus group study.
AB - Poor reproductive health outcomes, including unplanned pregnancies and sexually
transmitted infections, continue to disproportionately affect African American
teenaged populations. Interventions largely focus on young women, yet young men
may play an important role in mitigating these reproductive health outcomes. This
study aims to understand African American male teenagers' views on pregnancy
prevention responsibility by qualitatively exploring their attitudes around
contraception and condom responsibility. We conducted exploratory qualitative
focus groups on perceived contraceptive and condom responsibility with 24 African
American male high school students, ages 14-19, enrolled in charter schools in
the South Side of Chicago. Research domains included relationship type,
communication with female partners, perceived responsibility for pregnancy
prevention, condom and contraceptive behaviors, and contraceptive knowledge. Data
were coded using content analysis revealing several themes: Young men view condom
use as important, but actual use of condoms is modified by relationship factors
and perception of risk of STIs; Responsibility to prevent pregnancy is a shared-
or female-responsibility; and male teenagers had greatest awareness of male
controlled contraceptive methods and limited knowledge or comfort with other non
condom forms of contraception. These adolescents weigh many factors when
determining whether to use condoms and/or contraception. Building upon widespread
endorsement for condom use and STI prevention offer the most hope for further
gains.
PMID- 29804784
TI - Contraceptive knowledge, attitudes, and use among adolescent mothers in the Cook
Islands.
AB - BACKGROUND: While the adverse health outcomes and broader economic and social
factors associated with adolescent motherhood are well documented globally,
limited research on unplanned pregnancy and birth among young women in Pacific
Island nations exists. The study addresses this gap in the literature by
examining the social and contextual factors that inform contraceptive knowledge,
attitudes, and use among young women in the Cook Islands. METHODS: Individual, in
depth interviews were conducted with ten women who became mothers before age
twenty. Adolescents' contraceptive knowledge, attitudes, and behaviours were
examined while taking into account the context in which they experienced an
unplanned birth. FINDINGS: Five participants were not using a contraceptive
method when they became pregnant, believing they would not become pregnant. The
remaining participants were using oral contraception but doing so inconsistently.
Four participants had a sexual debut prior to age 15. The findings suggest a need
to expand comprehensive approaches to sexual and reproductive health education,
increase access to long-acting reversible contraceptive methods, and decrease the
stigma associated with accessing family planning services in order to more
effectively prevent unplanned pregnancy among adolescents in the Cook Islands.
INTERPRETATION: The findings provide insight into the factors contributing to
high rates of adolescent pregnancy and birth in the Pacific region and suggest
how public health advocates and health care providers might reduce reproductive
health disparities in the Cook Islands and similar Pacific Island nations.
PMID- 29804786
TI - Hydrodynamic patterns favouring sea urchin recruitment in coastal areas: A
Mediterranean study case.
AB - In invertebrate fisheries, sea urchin harvesting continues to grow with dramatic
consequences for benthic ecosystems. The identification of areas with a marked
natural recruitment and the mechanisms regulating it is crucial for the
conservation of benthic communities and for planning the sustainable harvesting.
This study evaluates the spatial distribution and density of recruits of the
edible sea urchin Paracentrotus lividus along the Sinis + Peninsula (Sardinia)
and explores its significant relationships with the local oceanographic features.
Our results reveal that recruitment is favoured in areas with slow currents and
high levels of confinement and trapping of the water masses. Analysis of the
residual circulation indicates that the presence of local standing circulation
structures promotes the sea urchin recruitment process. Our findings emphasize
the importance of managing local sea urchin harvesting as a system of populations
with their demographic influence mainly dependent on the most important
ecological driver that is the recruitment.
PMID- 29804785
TI - Fear of birth in clinical practice: A structured review of current measurement
tools.
AB - To identify measurement tools which screen for the presence of fear of birth
(FOB) and to determine the most effective tool/s for use in clinical practice.
Fear or birth (FOB) is internationally recognised as a cause for increasing
concern, despite a lack of consensus on a definition or optimal measure of
assessment. There is a wide array of FOB measurement tools, however little
clarity on which tool should be used to screen for FOB in clinical practice. This
review explores the use of tools that are used to screen for FOB and discusses
the perceived effectiveness of such tools. A structured literature review was
undertaken. Electronic databases were searched in July 2017 and manuscripts
reviewed for quality. The review included 46 papers. The majority of studies were
undertaken in Scandinavia (n = 29) and a range of tools were used to measure FOB.
The most widely used tool was the Wijma Delivery Expectancy Experience
Questionnaire' (W-DEQ). Inconsistencies were found in the way this tool was used,
including variations in assessment cut-off points, implementation and use across
a range of cultural settings and women of varying gestations. Moreover, the tool
may be too lengthy to use in clinical practice. The Fear of Birth Scale (FOBS)
has been shown to be as effective as W-DEQ but has the advantage of being short
and easy to administer. The inconsistencies in tools reflect the difficulties in
defining FOB. A clear consensus definition of FOB would aid comparisons across
practice and research. The W-DEQ is not used in clinical practice; this may be
due to its length and complexity. The FOBS is likely to be a more versatile tool
that can be used in clinical practice.
PMID- 29804787
TI - Environmental assessment of contaminated marine sediments treated with
solidification agents: Directions for improving environmental assessment
guidelines.
AB - Treatment of dredged materials is a critical issue, since management and disposal
of these products requires considerable investment of monetary resources, time,
and space. The high concentration of pollutants in dredged materials, along with
high water content and many fine particles make recycling these materials
particularly difficult. In order to solve this problem,
solidification/stabilization has been considered as a potentially viable solution
for recycling dredged marine sediments. However, there are currently no
guidelines that address potential biological and environmental impacts. To
evaluate the stability of treated materials and their biological impacts, dredged
marine sediments, which were polluted with heavy metals, were treated by
solidification/stabilization using two different solidifying agents. To assess
potential impacts, toxicity characteristic leaching procedures (TCLP, USEPA) and
a bioassay (with the rotifer, Brachionus sp.) were performed with treated
materials. In a TCLP test, we found that treatment with a solidification agent
decreased the leaching concentration of heavy metals from sediment compared to
the control. The rotifer bioassay showed no change in the survival rate during 24
h of exposure to both agents. However, survival differed between the two agents
after 48 h of exposure. Screening physiological status using gene expression,
showed that oxidative stress genes were significantly altered. These results
suggest that more studies are needed to provide guidelines for deciding the
usability of treated materials created by the solidification or stabilization of
dredged materials.
PMID- 29804788
TI - Contribution of routine cardiac biological markers to the etiological workup of
ischemic stroke.
AB - BACKGROUND: Optimization of the detection of atrial fibrillation following stroke
is mandatory. Unfortunately, access to long-term cardiac monitoring is limited in
many centers. The aim of this study was to assess the potential usefulness of
three routine biological markers, troponin, D-dimers and BNP, measured in acute
stroke phase in the selection of patients at risk of cardio-embolic stroke.
METHODS: Troponin, D-Dimers and BNP were measured within 48 h after admission for
ischemic stroke in 634 patients. Stroke mechanism was defined at the 3 months
follow-up visit using ASCOD classification using a standardized work-up.
Association between clinical, radiological and biological markers and stroke
mechanism was evaluated using logistic regression analyses. RESULTS: 159 patients
(25.1% of total study population) had a cardiac mechanism. On multivariate
analysis, admission initial stroke severity (OR 1.04, 95 CI% 1.004-1.07, p <
0.05) history of heart failure (OR 3.03, 95% CI 1.19-7.73, p < 0.05), ECG
abnormalities and high BNP value (OR 4.34, 95% CI 2.59-7.29, p < 0.05) were
associated with pure cardiac stroke mechanism. CONCLUSION: High BNP value
measured within 48 h after stroke admission is an independent predictor of
cardiac stroke mechanism. Its measurement might be used to improve the selection
of patients for whom further cardiologic investigations such as continuous long
term ECG monitoring would be the most useful. BNP should be added to the standard
admission-work-up for stroke patients.
PMID- 29804789
TI - Association of the time to first epinephrine administration and outcomes in out
of-hospital cardiac arrest: SOS-KANTO 2012 study.
AB - OBJECTIVE: This study assessed the association between the timing of first
epinephrine administration (EA) and the neurological outcomes following out-of
hospital cardiac arrests (OHCAs) with both initial shockable and non-shockable
rhythms. METHODS: This was a post-hoc analysis of a multicenter prospective
cohort study (SOS-KANTO 2012), which registered OHCA patients in the Kanto region
of Japan from January 2012 to March 2013. We included consecutive adult OHCA
patients who received epinephrine. The primary result included 1-month favorable
neurological outcomes defined as cerebral performance category (CPC) 1 or 2.
Secondary results included 1-month survival and return of spontaneous circulation
(ROSC) after arrival at the hospital. Multivariable logistic regression analysis
determined the association between delay per minute of the time from call to
first EA in both pre- or in-hospital settings and outcomes. RESULTS: Of the
16,452 patients, 9344 were eligible for our analyses. In univariable analysis,
the delay in EA was associated with decreased favorable neurological outcomes
only when the initial rhythm was a non-shockable rhythm. In multivariable
analyses, delay in EA was associated with decreased ROSC (adjusted odds ratio
[OR] for one minute delay, 0.97; 95% confidence interval [CI], 0.96-0.98) and 1
month survival (adjusted OR, 0.95; 95% CI, 0.92-0.97) when the initial rhythm was
a non-shockable rhythm, whereas during a shockable rhythm, delay in EA was not
associated with decreased ROSC and 1-month survival. CONCLUSIONS: While assessing
the effectiveness of epinephrine for OHCA, we should consider the time-limited
effects of epinephrine. Additionally, consideration of early EA based on the
pathophysiology is needed.
PMID- 29804790
TI - The effect of aspirin in preventing the acute respiratory distress syndrome/acute
lung injury: A meta-analysis.
AB - BACKGROUND: The effects of aspirin in preventing the occurrence of acute
respiratory distress syndrome (ARDS)/acute lung injury (ALI) among adult patients
are controversial. We aimed to further determine the effectiveness of aspirin in
reducing the rate of ARDS/ALI. METHODS: The Pubmed, Embase, Medline,
ClinicalTrials.gov, Cochrane Central Register of Controlled Trials (CENTRAL) as
well as the Information Sciences Institute (ISI) Web of Science were searched for
all controlled studies that research the role of aspirin in adult patients who
have the risk of ARDS/ALI. The outcomes were the ARDS/ALI rate and the mortality.
Cochrane systematic review software, Review Manager (RevMan), the R software for
statistical computing version 3.2.0, and the metafor package were used to test
the hypothesis by Mann-Whitney U test. The heterogeneity test and sensitivity
analyses were conducted, and random-effects or fixed-effects model was applied to
calculate odds ratio (OR) and mean difference (MD) for dichotomous and continuous
data, respectively. RESULTS: Six trials involving 6562 patients were pooled in
our final study. No significant heterogeneity was found in outcome measures.
Aspirin could reduce the rate of ARDS/ALI (OR 0.71, 95% confidence interval (CI)
0.58-0.86) but not the mortality (OR 0.87, 95% CI 0.71-1.07). CONCLUSIONS: In
patients with risk of ARDS/ALI, aspirin could provide protective effect on the
rate of ARDS/ALI, but it could not reduce the mortality.
PMID- 29804791
TI - Naloxone prescriptions from the emergency department: An initiative in evolution.
PMID- 29804792
TI - Thoracoscopic posterior tracheopexy during primary esophageal atresia repair: a
new approach to prevent tracheomalacia complications.
AB - BACKGROUND: Esophageal atresia (EA) is usually accompanied by some form of
tracheomalacia (TM). During the early phases in life, excessive dynamic collapse
of the trachea can cause a wide spectrum of symptoms ranging from mild complaints
to apparent life-threatening events (ALTE's) or brief resolved unexplained events
(BRUE's). Therapeutic strategies for severe TM include aortopexy to lift the
anterior weakened cartilaginous rings or posterior tracheopexy of the floppy
membranous tracheal intrusion. In this study, we describe the development of a
new approach in which the posterior tracheopexy is performed directly during the
primary thoracoscopic correction of EA. METHODS: In 2017, all nine consecutive EA
patients with trachea-esophageal fistula underwent a rigid tracheo-bronchoscopy
(RTB) evaluation during induction of anesthesia prior to the thoracoscopic EA
repair. A floppy posterior membrane was diagnosed in four patients. During the
subsequent thoracoscopic procedure, the posterior membranous trachea was fixed to
the anterior longitudinal spinal ligament with non-absorbable sutures. Then, the
anastomosis was made between the two esophageal pouches. RESULTS: On preoperative
RTB, two patients had a severe (70-90%) mid-tracheal collapse of the pars
membranacea and two patients had a moderate (33-40%) mid-tracheal collapse.
Thoracoscopic posterior tracheopexy with two or three sutures was possible in all
four patients, prior to the formation of the esophageal anastomosis. Median time
per suture was 6 min (range 4-12 min). All operative procedures were uneventful.
A median follow-up of 6 months (range 4-9 months) revealed that all patients
showed further recovery without any TM symptoms or ALTE/BRUE. CONCLUSIONS: This
is the first report that introduces a new approach to thoracoscopic posterior
tracheopexy during primary EA repair. We believe that this technique can prevent
the potentially deleterious sequelae of mild to severe TM that may complicate the
lives of EA patients. Also, a second, sometimes complex surgical procedure can be
prevented as the posterior tracheopexy is performed during the primary
thoracoscopic EA correction. LEVEL OF EVIDENCE: IV.
PMID- 29804793
TI - The clinical impact of donor-specific antibodies in heart transplantation.
AB - Donor-specific antibodies (DSA) are integral to the development of antibody
mediated rejection (AMR). Chronic AMR is associated with high mortality and an
increased risk for cardiac allograft vasculopathy (CAV). Anti-donor HLA
antibodies are present in 3-11% of patients at the time of heart transplantation
(HTx), with de novo DSA (predominantly anti-HLA class II) developing post
transplant in 10-30% of patients. DSA are associated with lower graft and patient
survival after HTx, with one study suggesting a three-fold increase in mortality
in patients who develop de novo DSA (dnDSA). DSA against anti-HLA class II,
notably DQ, are at particularly high risk for graft loss. Although detection of
DSA is not a criterion for pathologic diagnosis of AMR, circulating DSA are found
in almost all cases of AMR. MFI thresholds of ~5000 for DSA against class I
antibodies, 2000 against class II antibodies, or an overall cut-off of 5-6000 for
any DSA, have been suggested as being predictive for AMR. There is no firm
consensus on pre-transplant strategies to treat HLA antibodies, or for the
elimination of antibodies after diagnosis of AMR. Minimizing the risk of dnDSA is
rational but data on risk factors in HTx are limited. The effect of different
immunosuppressive regimens is largely unexplored in HTx, but studies in kidney
transplantation emphasize the importance of adherence and maintaining adequate
immunosuppression. One study has suggested a reduced risk for dnDSA with rabbit
antithymocyte globulin induction. Management of DSA pre- and post-HTx varies but
typically most centers rely on a plasmapheresis or immunoadsorption, with or
without rituximab and/or intravenous immunoglobulin. Based on the literature and
a multi-center survey, an algorithm for a suggested surveillance and therapeutic
strategy is provided.
PMID- 29804794
TI - Clinical and immunological profile of children aged 5-9 years with persistent egg
allergy before oral immunotherapy with egg. A multicenter, randomized controlled
trial of the Spanish Society of Pediatric Allergy, Asthma and Clinical Immunology
(SEICAP).
AB - BACKGROUND: In children with egg protein allergy (EA), the probability of
overcoming the allergy decreases with age, and the possibility of suffering
severe adverse reactions as a consequence of dietetic transgressions results in
worsened quality of life. One treatment option in such cases is oral
immunotherapy (OIT) with foods. METHODS: We present a cohort of children with EA
scheduled for OIT with pasteurized raw egg white, describing their clinical and
allergic characteristics before the start of OIT. RESULTS: The median age was six
years, and 93% of the patients also suffered other allergies (58% asthma and
38.6% allergy to more than two food groups). In the last year, 14.8% had suffered
a severe reaction due to dietetic transgression with egg. The median IgE specific
of egg white titer was 38.5kU/l. A double-blind placebo-controlled food challenge
with cooked egg white was performed, and if the test proved positive, it was
repeated with pasteurized raw egg white. The mean symptoms-provoking dose was
1.26g and 0.55g for cooked egg white and raw egg white, respectively. An IgE
specific of ovomucoid titer of <2.045kU/l differentiated those patients that
tolerated cooked egg white. CONCLUSIONS: OIT with egg is regarded as an option in
patients with persistent egg allergy. In the previous challenge test, an IgE
specific of ovomucoid titer of <2.045kU/l differentiates those patients that
tolerate cooked egg white.
PMID- 29804796
TI - Acute outcomes after coronary orbital atherectomy at a single center without on
site surgical backup: An experience in diabetics versus non-diabetics.
AB - BACKGROUND: Percutaneous coronary intervention (PCI) of severely calcified
lesions is associated with a high risk of angiographic complication, incomplete
stent expansion, and restenosis. The prevalence of calcification is increased in
diabetics (DM) and the PCI outcome in this population is often suboptimal.
Treatment with orbital atherectomy (OA) in severely calcified lesions has been
shown to result in favorable procedural outcomes and low reintervention rates; in
DM and non-DM. We sought to determine the acute safety of OA in a center without
on-site surgical backup in DM and non-DM. METHODS: All comers treated with OA at
Sanford Bemidji Heart and Vascular Center (Bemidji, MN) from 8/30/16 to 4/14/17
were included in this retrospective analysis. Baseline, procedure, and acute
outcome data were compared in DM and non-DM patients. RESULTS: Of the 70 patients
treated with OA, 40% were DM. History of hypertension and chronic renal disease
were more prevalent in the DM group. Successful stent delivery occurred in 96.4%
of DM and 100% in non-DM, respectively. None of the patients treated with OA died
or experienced abrupt closure, severe dissection, embolization, or no reflow. The
overall perforation and slow flow rates were 1.4%. One non-DM patient had a non
target vessel MI due to side branch closure. CONCLUSIONS: Our study demonstrates
the safety of OA in a center without on-site surgical backup. In this study, OA
treatment resulted in a high rate of successful stent delivery and low rates of
angiographic complications and acute MACE, in DM and non-DM patients. SUMMARY: In
this retrospective study we sought to determine the acute safety of coronary
orbital atherectomy treatment in a center without on-site surgical backup in
diabetic and non-diabetic patients. None of the patients treated with orbital
atherectomy died or experienced abrupt closure, severe dissection, embolization,
or no reflow; the overall perforation and slow flow rates were 1.4% and one non
diabetic patient had a non-target vessel myocardial infarction due to side branch
closure. Our study demonstrates the safety of orbital atherectomy in a center
without on-site surgical backup; orbital atherectomy treatment resulted in a high
rate of successful stent delivery and low rates of angiographic complications and
acute major adverse cardiac events in diabetic and non-diabetic patients.
PMID- 29804795
TI - Implications of Centers for Medicare & Medicaid Services Severe Sepsis and Septic
Shock Early Management Bundle and Initial Lactate Measurement on the Management
of Sepsis.
AB - BACKGROUND: Sepsis remains a significant cause of morbidity and mortality in the
United States, leading to the implementation of the Severe Sepsis and Septic
Shock Early Management Bundle (SEP-1). SEP-1 identifies patients with "severe
sepsis" via clinical and laboratory criteria and mandates interventions,
including lactate draws and antibiotics, within a specific time window. We sought
to characterize the patients affected and to study the implications of SEP-1 on
patient care and outcomes. METHODS: All adults admitted to the University of
Chicago from November 2008 to January 2016 were eligible. Modified SEP-1 criteria
were used to identify appropriate patients. Time to lactate draw and antibiotic
and IV fluid administration were calculated. In-hospital mortality was examined.
RESULTS: Lactates were measured within the mandated window 32% of the time on the
ward (n = 505) compared with 55% (n = 818) in the ICU and 79% (n = 2,144) in the
ED. Patients with delayed lactate measurements demonstrated the highest in
hospital mortality at 29%, with increased time to antibiotic administration
(median time, 3.9 vs 2.0 h). Patients with initial lactates > 2.0 mmol/L
demonstrated an increase in the odds of death with hourly delay in lactate
measurement (OR, 1.02; 95% CI, 1.0003-1.05; P = .04). CONCLUSIONS: Delays in
lactate measurement are associated with delayed antibiotics and increased
mortality in patients with initial intermediate or elevated lactate levels.
Systematic early lactate measurement for all patients with sepsis will lead to a
significant increase in lactate draws that may prompt more rapid physician
intervention for patients with abnormal initial values.
PMID- 29804797
TI - Tight coronary artery stenosis and takotsubo syndrome triggered each other: Well
illustrated in a case.
AB - Post-ischemic myocardial stunning (PIMS) is defined as a prolonged and reversible
left ventricular dysfunction induced by an acute coronary ischemic insult.
Takotsubo syndrome (TS) is a recognized acute cardiac disease entity,
characterized by a unique pattern of transient circumferential left ventricular
wall motion abnormality (LVWMA). The LVWMA in TS has all the characteristic
features of myocardial stunning. Herein, the case of a 76-year-old woman with
severe three-vessel coronary artery disease presented with acute coronary
syndrome inducing PIMS with features identical to mid-apical pattern of TS is
reported. The stunned myocardium caused incessant (throughout systole and
diastole) compression of a segment of left anterior descending artery (LAD) with
myocardial bridging during the acute and sub-acute stages of the disease. The
systo-diastolic compression of LAD was relieved after recovery of LVWMA 26 days
later. This novel observation suggests that the myocardial stunning in TS is in a
cramp state during the acute and sub-acute stages of the disease. The cramp state
of myocardial stunning may also explain the microvascular dysfunction seen in
some patients with TS.
PMID- 29804798
TI - Femoral pseudoaneurysm closure by direct access: To stick or not to stick?
AB - Femoral artery pseudoaneurysms are usually the result of femoral artery
cannulation for percutaneous cardiac or endovascular procedures. It causes
compression of surrounding structures, may cause distal embolization,
arteriovenous fistula formation, or might rupture. Most close spontaneously by
thrombosis, and the remainder need intervention, either percutaneous or surgical
repair. We describe a unique case of femoral pseudoaneurysm that was repaired
percutaneously by excluding it via stenting and simultaneous closure of residual
aneurysm by direct access and coil embolization.
PMID- 29804799
TI - Prediction of mortality in hospital survivors of STEMI: External validation of a
novel acute myocardial infarction prognostic score.
AB - INTRODUCTION & OBJECTIVE: Recently we developed and internally-validated the
Soroka Acute Myocardial Infarction (SAMI) Score for prediction of all-cause long
term mortality (c-statistic 0.83-0.94) among hospital-survivors of AMI. We aimed
to perform an external-validation of the SAMI score for long-term risk
stratification of STEMI patients undergoing PCI. METHODS & SETTINGS: A
prospective registry of 1273 STEMI patients treated using primary PCI and
discharged alive from Rabin Medical Center in Israel between 2004 and 2014 (age
60.8 +/- 12.5 years, 83% males) was utilized for the validation. Chi-square test
and logistic regression were used for calibration, and c-statistic (ROC
procedure) for discrimination assessment of the SAMI score. RESULTS: All-cause
mortality following one- and 5-years post-discharge was 3.8% and 8.1%,
respectively. SAMI score values ranged between (-5) and (+15) points (median 2
points). In a univariate analysis the SAMI score variables were significantly
associated with 1- and 5-years mortality. Higher SAMI score was associated with
increased risk for dying: a one-point increase was associated with OR of 1.33
(95%CI: 1.24-1.42, p < 0.001) and 1.37 (95%CI: 1.29-1.44, p < 0.001) for 1- and 5
years mortality respectively. No statistically significant difference was found
in the currently observed mortality rates by groups of SAMI score and the
expected mortality rates as per the SAMI score index. The c-statistics were 0.82
and 0.83 for 1- and 5-year mortality, respectively. CONCLUSIONS: The SAMI score
is a simple, robust and now also externally-validated prognostic tool for
prediction of long-term all-cause mortality in hospital survivors of STEMI.
PMID- 29804800
TI - Measuring the impact of cushion design on buttocks tissue deformation: An MRI
approach.
AB - AIM: To establish a research approach for describing how different wheelchair
cushion designs impact buttocks tissue deformation during sitting. MATERIALS AND
METHODS: The buttocks of 4 individuals with spinal cord injury and significant
atrophy were scanned sitting in a FONAR Upright MRI. Scans were collected with
the individuals' buttocks fully suspended without pelvic support, and seated on 3
different commercially available wheelchair cushions. Multi-planar scans were
analyzed to provide 3D renderings and measurements of tissue thickness and shape.
RESULTS: Bulk tissue thicknesses at the ischium, which rarely included muscle,
were reduced by more than 60% on enveloping cushion designs studied (i.e., Roho
HP and Matrx Vi), and more variably (23-60%) on an orthotic off-loading design
(i.e., Java). Adipose was typically displaced posterior and superior from the
unloaded condition, with more lateral displacement on the Roho HP and Matrx Vi
and more medial displacement present on the Java. Large changes in angle at the
sacro-coccygeal joint indicated significant loading on the region. Deformation at
the greater trochanter was more consistent across surfaces. Greater interface
pressures tended to be associated with greater deformation, but the relationship
varied by individuals and was highly non-linear. CONCLUSIONS: The buttocks in
this study all deformed significantly, but at different locations and in
different manners across all 3 surfaces. Attention needs to be paid to the
regions of greatest deformation. A future metric of shape compliance should
consider cushion performance at all high risk regions, and changes to the amount
and shape of tissue in the regions of interest.
PMID- 29804801
TI - Retrospective self-sorted 4D-MRI for the liver.
AB - PURPOSE: Daily MRI-guidance for liver radiotherapy is becoming possible on an MR
Linac. The purpose of this study was to develop a 4D-MRI strategy using an image
based respiratory signal with an acquisition-reconstruction time <5 min,
providing T2-weighting for non-contrast enhanced tumor visibility. MATERIALS AND
METHODS: Images were acquired using an axial multi-slice 2D Turbo Spin Echo (TSE)
sequence, repeated a variable number of times (dynamics). A self-sorting signal
(SsS) was retrieved from the data by computing correlation coefficients between
all acquired slices. Images were sorted into 10 phases and missing data were
interpolated. The method was validated in a phantom and 10 healthy volunteers.
The SsS, image quality (SSIM index: structural similarity index) and quantified
liver motion were assessed as a function of the number of dynamics. Tumor
visibility was demonstrated in two patients with liver metastasis on the Elekta
Unity MR-Linac. RESULTS: SsS was in good agreement with the reference navigator
signal. Missing data increased from 0.4 +/- 0.6% to 37.1 +/- 6.6% for 60 to 10
dynamics. The SSIM index for the interpolated slices was ~0.6. The RMSD of
quantified motion was <1 mm in phantom experiments and in volunteers <1 mm for
>10 dynamics. CONCLUSION: For 30 dynamics, acquisition-reconstruction time was <5
min and showed good performance in the validation experiments. The tumor was
clearly visible in the patient images.
PMID- 29804803
TI - Perceptual and Quantitative Assessment of Dysphonia Across Vowel Categories.
AB - OBJECTIVES: This study aims to determine the sensitivity of perceptual and
computational correlates of breathy and rough voice quality (VQ) across multiple
vowel categories using single-variable matching tasks (SVMTs). METHODS: Sustained
phonations of /a/, /i/, and /u/ from 20 dysphonic talkers (10 with primarily
breathy voices and 10 with primarily rough voices) were selected from the
University of Florida Dysphonic Voice Database. For primarily breathy voices,
perceived breathiness was judged, and for primarily rough voices, perceived
roughness was judged by the same group of 10 listeners using an SVMT with five
replicates per condition. Measures of pitch strength, cepstral peak, and
autocorrelation peak were applied to models of the perceptual data. RESULTS:
Intra- and inter-rater reliability were high for both the breathiness and the
roughness perceptual tasks. For breathiness judgments, the effect of vowel was
small. Averaged over all talkers and listeners, breathiness judgments for /a/,
/i/, and /u/ were -11.6, -11.2, and -12.2 dB noise-to-signal ratio, respectively.
For roughness judgments, the effect of vowel was larger. The perceived roughness
of /a/ was higher than /i/ or /u/ by 3 dB modulation depth. Pitch strength was
the most accurate predictor of breathiness matching (r2 = 0.84-0.94 across
vowels), and log-transformed autocorrelation peak was the most accurate predictor
of roughness matching (r2 = 0.59-0.83 across vowels). CONCLUSIONS: Breathiness is
more consistently represented across vowels for dysphonic voices than roughness.
This work represents a critical step in advancing studies of voice quality
perception from single vowels to running speech.
PMID- 29804802
TI - Diffusion MRI parameters of corpus callosum and corticospinal tract in neonates:
Comparison between region-of-interest and whole tract averaged measurements.
AB - PURPOSE: Measures of white matter (WM) microstructure inferred from diffusion
magnetic resonance imaging (dMRI) are useful for studying brain development.
There is uncertainty about agreement between FA and MD values obtained from
region-of-interest (ROI) versus whole tract approaches. We investigated agreement
between dMRI measures using ROI and Probabilistic Neighbourhood Tractography
(PNT) in genu of corpus callosum (gCC) and corticospinal tracts (CST). MATERIALS
AND METHODS: 81 neonates underwent 64 direction DTI at term equivalent age. FA
and MD values were extracted from a 8 mm3 ROI placed within the gCC, right and
left posterior limbs of internal capsule. PNT was used to segment gCC and CSTs to
calculate whole tract-averaged FA and MD. Agreement between values obtained by
each method was compared using Bland-Altman statistics and Pearson's correlation.
RESULTS: Across the 3 tracts the mean difference in FA measured by PNT and ROI
ranged between 0.13 and 0.17, and the 95% limits of agreement did not include the
possibility of no difference. For MD, the mean difference in values obtained from
PNT and ROI ranged between 0.101 and 0.184 mm2/s * 10-3 mm2/s: the mean
difference in gCC was 0.101 * 10-3 mm2/s with 95% limits of agreement that
included the possibility of no difference, but there was significant disagreement
in MD values measured in the CSTs. CONCLUSION: Agreement between dMRI measures of
neonatal WM microstructure calculated from ROI and whole tract averaged methods
is weak. ROI approaches may not provide sufficient representation of tract
microstructure at the level of neural systems in newborns.
PMID- 29804804
TI - [Sclerodermatous changes revealing porphyria cutanea tarda].
AB - BACKGROUND: Porphyria cutanea tarda (PCT) is associated with cutaneous
accumulation of porphyrins. This accumulation results from a deficiency of
uroporphyrinogen decarboxylase occurring only in the liver. The classical
presentation is blistering on sun-exposed areas. PATIENTS AND METHODS: A 59-year
old woman presented at the dermatology consultation for sclerotic lesions that
had been present for one year. The remainder of the clinical examination and
further investigations did not indicate systemic scleroderma. The sun-exposed
nature of the lesions led us to perform an assay of urinary porphyrin, which was
found to be elevated. Uroporphyrinogen decarboxylase levels were normal,
confirming the diagnosis of type 1 PCT. Screening for a hepatic etiology revealed
a heterozygous mutation H63D/C282Y of the hemochromatosis gene responsible for
this clinical picture. The patient underwent regular bleeding, which led to
complete disappearance of cutaneous sclerosis. DISCUSSION: Sclerodermatous
lesions are an unusual presentation of PCT and cause delays in diagnosis. The
accumulation of uroporphyrins in the dermis stimulates fibroblasts, which then
synthesize collagen, resulting in cutaneous sclerosis.
PMID- 29804805
TI - Stereotyped Synaptic Connectivity Is Restored during Circuit Repair in the Adult
Mammalian Retina.
AB - Proper function of the central nervous system (CNS) depends on the specificity of
synaptic connections between cells of various types. Cellular and molecular
mechanisms responsible for the establishment and refinement of these connections
during development are the subject of an active area of research [1-6]. However,
it is unknown if the adult mammalian CNS can form new type-selective synapses
following neural injury or disease. Here, we assess whether selective synaptic
connections can be reestablished after circuit disruption in the adult mammalian
retina. The stereotyped circuitry at the first synapse in the retina, as well as
the relatively short distances new neurites must travel compared to other areas
of the CNS, make the retina well suited to probing for synaptic specificity
during circuit reassembly. Selective connections between short-wavelength
sensitive cone photoreceptors (S-cones) and S-cone bipolar cells provides the
foundation of the primordial blue-yellow vision, common to all mammals [7-18]. We
take advantage of the ground squirrel retina, which has a one-to-one S-cone-to-S
cone-bipolar-cell connection, to test if this connectivity can be reestablished
following local photoreceptor loss [8, 19]. We find that after in vivo selective
photoreceptor ablation, deafferented S-cone bipolar cells expand their dendritic
trees. The new dendrites randomly explore the proper synaptic layer, bypass
medium-wavelength sensitive cone photoreceptors (M-cones), and selectively
synapse with S-cones. However, non-connected dendrites are not pruned back to
resemble unperturbed S-cone bipolar cells. We show, for the first time, that
circuit repair in the adult mammalian retina can recreate stereotypic selective
wiring.
PMID- 29804806
TI - A 5' UTR-Overlapping LncRNA Activates the Male-Determining Gene doublesex1 in the
Crustacean Daphnia magna.
AB - Long noncoding RNAs (lncRNAs) are pervasively transcribed in the eukaryotic
genome [1] and are important for the control of master regulatory genes that are
involved in cell differentiation and development [2, 3]. Here, we show that a 5'
UTR-overlapping lncRNA regulates the male-specific expression of the DM-domain
gene doublesex1 (dsx1) in the crustacean Daphnia magna, which produces males in
response to environmental stimuli. This lncRNA, named doublesex1 alpha promoter
associated long RNA (DAPALR), is transcribed upstream the transcription start
site (TSS) in a sense orientation and subjected to 5' end capping and 3' end
processing at a stem-loop structure before the dsx1 coding exon. Similar to dsx1,
its expression is only activated in males by the juvenile hormone (JH) and basic
leucine zipper (bZIP) transcription factor Vrille (Vri) and is maintained during
embryogenesis. Knockdown of DAPALR in males silenced dsx1 and led to
feminization, including egg production, whereas ectopic expression of DAPALR in
dsx1-silenced females resulted in the de-repression of dsx1. We further
demonstrate that the DAPALR transcript overlaps the dsx1 5'-UTR, and this
overlapping region is required for dsx1 activation. Our results suggest that
DAPALR can transactivate and possibly maintain dsx1 expression. This might be
important for converting transient environmental signals into stable male
development, controlled by the continuous expression of dsx1.
PMID- 29804807
TI - Early Evolution of Modern Birds Structured by Global Forest Collapse at the End
Cretaceous Mass Extinction.
AB - The fossil record and recent molecular phylogenies support an extraordinary early
Cenozoic radiation of crown birds (Neornithes) after the Cretaceous-Paleogene (K
Pg) mass extinction [1-3]. However, questions remain regarding the mechanisms
underlying the survival of the deepest lineages within crown birds across the K
Pg boundary, particularly since this global catastrophe eliminated even the
closest stem-group relatives of Neornithes [4]. Here, ancestral state
reconstructions of neornithine ecology reveal a strong bias toward taxa
exhibiting predominantly non-arboreal lifestyles across the K-Pg, with multiple
convergent transitions toward predominantly arboreal ecologies later in the
Paleocene and Eocene. By contrast, ecomorphological inferences indicate
predominantly arboreal lifestyles among enantiornithines, the most diverse and
widespread Mesozoic avialans [5-7]. Global paleobotanical and palynological data
show that the K-Pg Chicxulub impact triggered widespread destruction of forests
[8, 9]. We suggest that ecological filtering due to the temporary loss of
significant plant cover across the K-Pg boundary selected against any flying
dinosaurs (Avialae [10]) committed to arboreal ecologies, resulting in a
predominantly non-arboreal post-extinction neornithine avifauna composed of total
clade Palaeognathae, Galloanserae, and terrestrial total-clade Neoaves that
rapidly diversified into the broad range of avian ecologies familiar today. The
explanation proposed here provides a unifying hypothesis for the K-Pg-associated
mass extinction of arboreal stem birds, as well as for the post-K-Pg radiation of
arboreal crown birds. It also provides a baseline hypothesis to be further
refined pending the discovery of additional neornithine fossils from the Latest
Cretaceous and earliest Paleogene.
PMID- 29804808
TI - Serpin Facilitates Tumor-Suppressive Cell Competition by Blocking Toll-Mediated
Yki Activation in Drosophila.
AB - Normal epithelial tissue exerts an intrinsic tumor-suppressive effect against
oncogenically transformed cells. In Drosophila imaginal epithelium, clones of
oncogenic polarity-deficient cells mutant for scribble (scrib) or discs large
(dlg) are eliminated by cell competition when surrounded by wild-type cells.
Here, through a genetic screen in Drosophila, we identify Serpin5 (Spn5), a
secreted negative regulator of Toll signaling, as a crucial factor for epithelial
cells to eliminate scrib mutant clones from epithelium. Downregulation of Spn5 in
wild-type cells leads to elevation of Toll signaling in neighboring scrib cells.
Strikingly, forced activation of Toll signaling or Toll-related receptor (TRR)
signaling in scrib clones transforms scrib cells from losers to supercompetitors,
resulting in tumorous overgrowth of mutant clones. Mechanistically, Toll
activation in scrib clones leads to c-Jun N-terminal kinase (JNK) activation and
F-actin accumulation, which cause strong activation of the Hippo pathway effector
Yorkie that blocks cell death and promotes cell proliferation. Our data suggest
that Spn5 secreted from normal epithelial cells acts as a component of the
extracellular surveillance system that facilitates elimination of pre-malignant
cells from epithelium.
PMID- 29804810
TI - Supergene Evolution Triggered by the Introgression of a Chromosomal Inversion.
AB - Supergenes are groups of tightly linked loci whose variation is inherited as a
single Mendelian locus and are a common genetic architecture for complex traits
under balancing selection [1-8]. Supergene alleles are long-range haplotypes with
numerous mutations underlying distinct adaptive strategies, often maintained in
linkage disequilibrium through the suppression of recombination by chromosomal
rearrangements [1, 5, 7-9]. However, the mechanism governing the formation of
supergenes is not well understood and poses the paradox of establishing divergent
functional haplotypes in the face of recombination. Here, we show that the
formation of the supergene alleles encoding mimicry polymorphism in the butterfly
Heliconius numata is associated with the introgression of a divergent, inverted
chromosomal segment. Haplotype divergence and linkage disequilibrium indicate
that supergene alleles, each allowing precise wing-pattern resemblance to
distinct butterfly models, originate from over a million years of independent
chromosomal evolution in separate lineages. These "superalleles" have evolved
from a chromosomal inversion captured by introgression and maintained in balanced
polymorphism, triggering supergene inheritance. This mode of evolution involving
the introgression of a chromosomal rearrangement is likely to be a common feature
of complex structural polymorphisms associated with the coexistence of distinct
adaptive syndromes. This shows that the reticulation of genealogies may have a
powerful influence on the evolution of genetic architectures in nature.
PMID- 29804809
TI - Sleep Spindle Refractoriness Segregates Periods of Memory Reactivation.
AB - The stability of long-term memories is enhanced by reactivation during sleep.
Correlative evidence has linked memory reactivation with thalamocortical sleep
spindles, although their functional role is not fully understood. Our initial
study replicated this correlation and also demonstrated a novel rhythmicity to
spindles, such that a spindle is more likely to occur approximately 3-6 s
following a prior spindle. We leveraged this rhythmicity to test the role of
spindles in memory by using real-time spindle tracking to present cues within
versus just after the presumptive refractory period; as predicted, cues presented
just after the refractory period led to better memory. Our findings demonstrate a
precise temporal link between sleep spindles and memory reactivation. Moreover,
they reveal a previously undescribed neural mechanism whereby spindles may
segment sleep into two distinct substates: prime opportunities for reactivation
and gaps that segregate reactivation events.
PMID- 29804811
TI - Global Compression Reorients Cortical Microtubules in Arabidopsis Hypocotyl
Epidermis and Promotes Growth.
AB - Plants are able to sense external mechanical stress, such as those due to gravity
or obstacles, and alter their growth accordingly [1-8]. Like animals [9, 10],
plants can also sense internal mechanical stress that plays a role in regulating
their development [11-19]. The internal mechanical stresses also known as tissue
stress can result from geometry, cell type, or differential growth [19-21]. In a
number of tissues, microtubules have been observed to align with mechanical
stress predicted from their geometry. In the unidirectionally growing hypocotyl,
the predicted tissue stresses do not reflect its cylindrical geometry. The
epidermal layer experiences and resists the tensile stress coming from the
expansion of the inner layers [22, 23]; this is known as the epidermal-growth
control hypothesis. Here, we use our recently developed automated confocal micro
extensometer (ACME) [24] to apply relative compressive or tensile stresses to the
intact Arabidopsis hypocotyls while monitoring growth and microtubule orientation
in the different layers. A finite element model revealed that under relative
tension, the pattern of tissue stresses was similar to that in the intact growing
hypocotyl, while when relative compression was applied, the pattern of tissue
stresses was overcome and the maximum stress direction in the epidermis changed
to reflect what one would predict based on the geometry of the hypocotyl.
Consistent with this, the microtubules in the epidermis changed orientation under
relative compression. Once the direction of stress in the epidermis was altered,
the growth of the organ increased.
PMID- 29804812
TI - The Mutation Rate and the Age of the Sex Chromosomes in Silene latifolia.
AB - Many aspects of sex chromosome evolution are common to both plants and animals
[1], but the process of Y chromosome degeneration, where genes on the Y become
non-functional over time, may be much slower in plants due to purifying selection
against deleterious mutations in the haploid gametophyte [2, 3]. Testing for
differences in Y degeneration between the kingdoms has been hindered by the
absence of accurate age estimates for plant sex chromosomes. Here, we used genome
resequencing to estimate the spontaneous mutation rate and the age of the sex
chromosomes in white campion (Silene latifolia). Screening of single nucleotide
polymorphisms (SNPs) in parents and 10 F1 progeny identified 39 de novo mutations
and yielded a rate of 7.31 * 10-9 (95% confidence interval: 5.20 * 10-9 - 8.00 *
10-9) mutations per site per haploid genome per generation. Applying this
mutation rate to the synonymous divergence between homologous X- and Y-linked
genes (gametologs) gave age estimates of 11.00 and 6.32 million years for the old
and young strata, respectively. Based on SNP segregation patterns, we inferred
which genes were Y-linked and found that at least 47% are already dysfunctional.
Applying our new estimates for the age of the sex chromosomes indicates that the
rate of Y degeneration in S. latifolia is nearly 2-fold slower when compared to
animal sex chromosomes of a similar age. Our revised estimates support Y
degeneration taking place more slowly in plants, a discrepancy that may be
explained by differences in the life cycles of animals and plants.
PMID- 29804813
TI - Decoupling of Genetic and Cultural Inheritance in a Wild Mammal.
AB - Cultural inheritance, the transmission of socially learned information across
generations, is a non-genetic, "second inheritance system" capable of shaping
phenotypic variation in humans and many non-human animals [1-3]. Studies of wild
animals show that conformity [4, 5] and biases toward copying particular
individuals [6, 7] can result in the rapid spread of culturally transmitted
behavioral traits and a consequent increase in behavioral homogeneity within
groups and populations [8, 9]. These findings support classic models of cultural
evolution [10, 11], which predict that many-to-one or one-to-many transmission
erodes within-group variance in culturally inherited traits. However, classic
theory [10, 11] also predicts that within-group heterogeneity is preserved when
offspring each learn from an exclusive role model. We tested this prediction in a
wild mammal, the banded mongoose (Mungos mungo), in which offspring are reared by
specific adult carers that are not their parents, providing an opportunity to
disentangle genetic and cultural inheritance of behavior. We show using stable
isotope analysis that young mongooses inherit their adult foraging niche from
cultural role models, not from their genetic parents. As predicted by theory, one
to-one cultural transmission prevented blending inheritance and allowed the
stable coexistence of distinct behavioral traditions within the same social
groups. Our results confirm that cultural inheritance via role models can promote
rather than erode behavioral heterogeneity in natural populations.
PMID- 29804814
TI - Influence of gait speed on free vertical moment during walking.
AB - Free vertical moment (FVM) of ground reaction is recognized to be a meaningful
indicator of torsional stress on the lower limbs when walking. The purpose of
this study was to examine whether and how gait speed influences the FVM when
walking. Fourteen young healthy adults performed a series of overground walking
trials at three different speeds: low, preferred and fast. FVM was measured
during the stance phase of the dominant leg using a force platform embedded in a
10 m-long walkway. Transverse plane kinematic parameters of the foot and pelvis
were measured using a motion capture system. Results showed a significant
decrease in peak abduction FVM (i.e., resisting internal foot rotation) and an
increase in peak adduction FVM (i.e., resisting external foot rotation), together
with an increase in gait speed. Concomitantly, we observed a decrease in the foot
progression angle and an increase in the peak pelvis rotation velocity in the
transverse plane with an increase in gait speed. A significant positive
correlation was found between the pelvis rotation velocity and the peak adduction
moment, suggesting that pelvis rotation influences the magnitude of adduction
FVM. Furthermore, we also found significant correlations between the peak
adduction FVM and both the step length and frequency, indicating that the
alterations in FVM may be ascribed to changes in these two key variables of gait
speed. These speed-related changes in FVM should be considered when this
parameter is used in gait assessment, particularly when used as an index for
rehabilitation and injury prevention.
PMID- 29804815
TI - Clinical Trials in Benign Prostatic Hyperplasia: A Moving Target of Success.
AB - : Benign prostatic hyperplasia (BPH) affects over 50% of men above the age of 50
yr. With half of these men having bothersome lower urinary tract symptoms, this
area represents a hot bed of novel treatments. Many BPH therapies have favorable
short-term outcomes but lack durability or well-defined adverse events (AEs).
Clinical trials are a gold standard for comparing treatments. We characterized
all BPH clinical trials registered worldwide from inception to 2017. A total of
251 clinical trials were included. Of the studies, 30.1% used patient-reported
outcomes such as the American Urological Association Symptom Score. Approximately
70% of clinical trials studied medical interventions, while the remaining trials
investigated surgical approaches. Seventy-nine percent of trials were industry
sponsored, while a minority were funded without commercial interest. Only 42% of
trials had 12-mo follow-up, with the majority with <3 mo of follow-up. No trials
evaluated prevention, diet, behavior, or alternative methods Overall, only 23% of
trials reported results. Management options for BPH need unified benchmarks of
success, AEs, durability, and standard reporting for all clinical trials,
regardless of outcomes. PATIENT SUMMARY: We found that the majority of clinical
trials were medical intervention, with very few trials evaluating prevention,
diet, behavior, or alternative methods Furthermore, a few trials reported results
in peer-reviewed journals. All clinical trials need to report results regardless
of outcome, and in conclusion, standardized methods are needed in order to
document the successes, adverse events, and durability for all clinical trials.
PMID- 29804816
TI - Cost-Effectiveness Analysis of Routine Magnetic Resonance Imaging in the Follow
Up of Patients With Nasopharyngeal Carcinoma After Intensity Modulated Radiation
Therapy.
AB - PURPOSE: To estimate the clinical benefit and cost-effectiveness of routine head
and neck magnetic resonance imaging (MRI) in the follow-up of patients with
nasopharyngeal carcinoma after definitive intensity modulated radiation therapy.
PATIENTS AND METHODS: Two Markov models were developed to compare the cost and
effectiveness of 3 strategies: routine clinical surveillance without serial
imaging and routine annual and biannual MRI surveillance in the first 5 years.
Two hypothetical cohorts of patients with primary stage T1-2 or T3-4
nasopharyngeal carcinoma who achieved complete remission after radical treatment
and remained asymptomatic were analyzed. Baseline probabilities, transition
probabilities, utilities, and costs were derived from published studies. Markov
models were used to calculate life-time costs, quality-adjusted life years
(QALYs), and incremental cost-effectiveness ratios (ICERs). Model robustness was
addressed via univariable and probabilistic sensitivity analyses. RESULTS: In T1
2 patients, surveillance strategies utilizing routine MRI provided few QALYs
compared with non-MRI clinical follow-up (annual MRI, 0.022 QALYs; biannual MRI,
0.035 QALYs), whereas the costs associated with MRI surveillance were
considerable. Compared with clinical follow-up, the ICERs for annual and biannual
MRI strategies were $328,389 and $403,857 per QALY. In T3-4 patients, annual and
biannual MRI surveillance provided 0.052 and 0.088 incremental QALYs, with ICERs
of $156,204 and $169,772 per QALY, respectively. Model conclusions were robust
and remained stable in 1-way and probabilistic sensitivity analyses. CONCLUSIONS:
Routine MRI surveillance was not cost-effective owing to the high cost of MRI
coupled with low rates of failure in T1-2 patients, whereas annual MRI
surveillance was the dominant and possibly a cost-effective strategy for T3-4
patients, depending on the social willingness to pay.
PMID- 29804817
TI - Metformin and risk of chronic obstructive pulmonary disease in diabetes patients.
AB - PURPOSE: This study aimed to investigate whether metformin can affect risk of
chronic obstructive pulmonary disease (COPD) in type 2 diabetes (T2D) patients.
METHODS: T2D patients newly diagnosed during 1999-2005 were enrolled from the
reimbursement database of Taiwan's National Health Insurance system and followed
up to 31 December 2011. Analyses were conducted in an unmatched cohort (92,272
ever-users and 10,697 never-users of metformin) and a propensity score (PS)
matched pair cohort (10,697 ever-users and 10,697 never-users). Cox regression
incorporated into the inverse probability of treatment weighting using the PS was
used to estimate hazard ratios (HRs). RESULTS: In the unmatched cohort, 2573
never-users and 13,840 ever-users developed COPD with respective incidences of
5994.64 and 3393.19 per 100,000 person-years. The overall HR was 0.560 (95%
confidence interval [CI]: 0.537-0.584). HRs for the first (<25.27months), second
(25.27-55.97months) and third (>55.97months) tertiles of cumulative duration were
1.021 (0.975-1.070), 0.575 (0.548-0.603) and 0.265 (0.252-0.280), respectively.
Analyses of the matched cohort showed an overall HR of 0.643 (0.605-0.682), with
HRs of 1.212 (1.122-1.309), 0.631 (0.578-0.689) and 0.305 (0.273-0.340) for the
respective tertiles. CONCLUSION: A reduced risk of COPD is observed in metformin
users with T2D.
PMID- 29804819
TI - Circulating microRNA miR-21-5p, miR-150-5p and miR-30e-5p correlate with clinical
status in late onset myasthenia gravis.
AB - There are no biomarkers for late onset myasthenia gravis (LOMG; onset >50 years).
We evaluated circulating microRNA in a discovery cohort of 4 LOMG patients and 4
healthy controls and in a prospective diagnostic validation cohort of 73 LOMG
patients (48 male) with longitudinal follow-up samples. In immunosuppression
naive patients, levels of miRNAs miR-150-5p, miR-21-5p and miR-30e-5p decreased
in parallel with clinical improvement after initiation of immunosuppression and
their levels positively correlated with the clinical MG composite score. Levels
of miR-150-5p and miR-21-5p were lower in patients with ocular compared to
generalized LOMG. Circulating miR-150-5p, miR-21-5p and miR-30e-5p correlate with
the clinical course in LOMG.
PMID- 29804818
TI - Inhibition of Microsomal Prostaglandin E Synthase-1 in Cancer-Associated
Fibroblasts Suppresses Neuroblastoma Tumor Growth.
AB - Despite recent progress in diagnosis and treatment, survival for children with
high-risk metastatic neuroblastoma is still poor. Prostaglandin E2 (PGE2)-driven
inflammation promotes tumor growth, immune suppression, angiogenesis and
resistance to established cancer therapies. In neuroblastoma, cancer-associated
fibroblasts (CAFs) residing in the tumor microenvironment are the primary source
of PGE2. However, clinical targeting of PGE2 with current non-steroidal anti
inflammatory drugs or cyclooxygenase inhibitors has been limited due to risk of
adverse side effects. By specifically targeting microsomal prostaglandin E
synthase-1 (mPGES-1) activity with a small molecule inhibitor we could block CAF
derived PGE2 production leading to reduced tumor growth, impaired angiogenesis,
inhibited CAF migration and infiltration, reduced tumor cell proliferation and a
favorable shift in the M1/M2 macrophage ratio. In this study, we provide proof-of
principle of the benefits of targeting mPGES-1 in neuroblastoma, applicable to a
wide variety of tumors. This non-toxic single drug treatment targeting
infiltrating stromal cells opens up for combination treatment options with
established cancer therapies.
PMID- 29804820
TI - Mis16 Switches Function from a Histone H4 Chaperone to a CENP-ACnp1-Specific
Assembly Factor through Eic1 Interaction.
AB - The Mis18 complex, composed of Mis16, Eic1, and Mis18 in fission yeast,
selectively deposits the centromere-specific histone H3 variant, CENP-ACnp1, at
centromeres. How the intact Mis18 holo-complex oligomerizes and how Mis16, a well
known ubiquitous histone H4 chaperone, plays a centromere-specific role in the
Mis18 holo-complex, remain unclear. Here, we report the stoichiometry of the
intact Mis18 holo-complex as (Mis16)2:(Eic1)2:(Mis18)4 using analytical
ultracentrifugation. We further determine the crystal structure of
Schizosaccharomyces pombe Mis16 in complex with the C-terminal portion of Eic1
(Eic1-CT). Notably, Mis16 accommodates Eic1-CT through the binding pocket
normally occupied by histone H4, indicating that Eic1 and H4 compete for the same
binding site, providing a mechanism for Mis16 to switch its binding partner from
histone H4 to Eic1. Thus, our analyses not only determine the stoichiometry of
the intact Mis18 holo-complex but also uncover the molecular mechanism by which
Mis16 plays a centromere-specific role through Eic1 association.
PMID- 29804822
TI - Topology and Oligomerization of Mono- and Oligomeric Proteins Regulate Their Half
Lives in the Cell.
AB - To find additional structural constraints (besides disordered segments) that
regulate protein half-life in the cell, we herein assess the influence of native
topology of monomeric and sequestration of oligomeric proteins into multimeric
complexes in yeast, human, and mouse. Native topology acts as a molecular marker
of globular protein's mechanical resistance and consequently captures their half
life variations on genome scale. Sequestration into multimeric complexes
elongates oligomeric protein half-life in the cell, presumably by burying
ubiquitinoylation sites and disordered segments required for proteasomal
recognition. The latter effect is stronger for proteins associated with multiple
complexes and for those binding early during complex self-assembly, including
proteins that oligomerize with large proportions of surface buried. After gene
duplication, diversification of topology and sequestration into non-identical
sets of complexes alter half-lives of paralogous proteins during the course of
evolution. Thus, native topology and sequestration into multimeric complexes
reflect designing principles of proteins to regulate their half-lives.
PMID- 29804821
TI - Modeling Protein Complexes Using Restraints from Crosslinking Mass Spectrometry.
AB - Modeling macromolecular assemblies with restraints from crosslinking mass
spectrometry (XL-MS) tends to focus solely on distance violation. Recently, we
identified three different modeling features inherent in crosslink data: (1)
expected distance between crosslinked residues; (2) violation of the
crosslinker's maximum bound; and (3) solvent accessibility of crosslinked
residues. Here, we implement these features in a scoring function. cMNXL, and
demonstrate that it outperforms the commonlyused crosslink distance violation. We
compare the different methods of calculating the distance between crosslinked
residues, which shows no significant change in performance when using Euclidean
distance compared with the solvent-accessible surface distance. Finally, we
create a combined score that incorporates information from 3D electron microscopy
maps as well as crosslinking. This achieves, on average, better results than
either information type alone and demonstrates the potential of integrative
modeling with XL-MS and low-resolution cryoelectron microscopy.
PMID- 29804823
TI - Substrate Locking Promotes Dimer-Dimer Docking of an Enzyme Antibiotic Target.
AB - Protein dynamics manifested through structural flexibility play a central role in
the function of biological molecules. Here we explore the substrate-mediated
change in protein flexibility of an antibiotic target enzyme, Clostridium
botulinum dihydrodipicolinate synthase. We demonstrate that the substrate,
pyruvate, stabilizes the more active dimer-of-dimers or tetrameric form.
Surprisingly, there is little difference between the crystal structures of apo
and substrate-bound enzyme, suggesting protein dynamics may be important. Neutron
and small-angle X-ray scattering experiments were used to probe substrate-induced
dynamics on the sub-second timescale, but no significant changes were observed.
We therefore developed a simple technique, coined protein dynamics-mass
spectrometry (ProD-MS), which enables measurement of time-dependent alkylation of
cysteine residues. ProD-MS together with X-ray crystallography and analytical
ultracentrifugation analyses indicates that pyruvate locks the conformation of
the dimer that promotes docking to the more active tetrameric form, offering
insight into ligand-mediated stabilization of multimeric enzymes.
PMID- 29804824
TI - The 2017 American College of Cardiology/American Heart Association hypertension
guideline and opportunities for community pharmacists.
AB - OBJECTIVES: To initiate a call to action for community pharmacists to maximize
the opportunities to improve the management of hypertension (HTN) in light of the
2017 American College of Cardiology (ACC)/American Heart Association (AHA) HTN
guideline. SUMMARY: In November 2017, the ACC and the AHA, along with 9 other
professional organizations, released a comprehensive guideline on the prevention,
detection, evaluation, and management of high blood pressure (BP). Major changes
included the reclassification of BP and redefinition of HTN to 130/80 mm Hg or
above, significantly increasing the number of individuals with HTN. The 2017
ACC/AHA HTN guideline also emphasized out-of-office BP readings and recommended
team-based care models that include pharmacists and other health professionals as
one strategy to improve BP control rates and provide appropriate follow-up and
monitoring. Community pharmacists are highly accessible health professionals that
now have an even greater opportunity to improve the monitoring and management of
patients with HTN. Monitoring of BP in pharmacies could be greatly improved if BP
kiosks were replaced by automated BP monitors operated by appropriately trained
personnel that would initiate a face-to-face consultation with a community
pharmacist. Physicians and other prescribers should also refer patients directly
to their community pharmacists to receive assistance in selecting a home BP
monitor. Given recent expansion of collaborative practice legislation and
prescriptive authority, health information exchanges, and reimbursement models,
community pharmacists have a renewed opportunity to collaborate with medical
practices and health systems to improve BP control. In addition, greater
collaboration among pharmacists practicing in primary care and community pharmacy
could improve care coordination. CONCLUSION: Community pharmacists have a
significant opportunity to collaborate with patients, physicians, and the health
care community at large to improve the monitoring and management of HTN and
ensure that the 2017 ACC/AHA HTN guideline is successfully implemented.
PMID- 29804825
TI - System Redesign of a Radiology Research Clinic for Oncology Clinical Trial
Patients.
PMID- 29804826
TI - Comparative Analysis of Body Radiologist to Neuroradiologist Evaluation of the
Spine in Trauma Settings.
AB - INTRODUCTION: CT is routinely performed to evaluate trauma patients. When a
radiologist misses an acute finding, there could be serious adverse consequences.
In many subspecialty settings, body radiologists and neuroradiologists both
interpret the thoracic and lumbar spine. RADPEER has estimated general
disagreement rates between radiologists to be 2.9%, but the disagreement rate
between neuroradiologists and body radiologists in trauma settings remains
unknown. METHODS: This retrospective case review examined reports from the past
10 years of adult CT scans of the chest, abdomen, and pelvis interpreted by body
radiologists, with concurrent thoracic and lumbar spine reconstructions
interpreted by neuroradiologists. Reports were scrutinized for disagreement on
the presence of acute fractures visible to both radiologists. RESULTS: 1,497
report pairs were analyzed. Of them, 33 pairs (2.2%) disagreed on the presence of
an unequivocal acute fracture. In scans where only one miss occurred, the body
radiologist and neuroradiologist were attributed with 27 (82%) and 6 (18%) of 32
disagreements, respectively. One scan contained a miss by both the body
radiologist and neuroradiologist. Transverse processes were most commonly missed,
followed by vertebral body fractures. CONCLUSION: Misses by body radiologists
comprised the majority of disagreements. Neuroradiologists are more sensitive for
detecting spinal fractures likely secondary to experience, education, small field
of view reconstructed, and more detailed reporting protocols. Additional studies
are needed to determine whether emulating neuroradiology practices may help body
radiologists detect subtle fractures.
PMID- 29804827
TI - Retraction notice to "Overlapping signal sequences control nuclear localization
and endoplasmic reticulum retention of GRP58" [Biochemical and Biophysical
Research Communications 377 (2) (2008) 407-412].
AB - This article has been retracted: please see Elsevier Policy on Article Withdrawal
(https://www.elsevier.com/about/our-business/policies/article-withdrawal). The
University of Maryland, Baltimore conducted an internal investigation which found
that the article was compromised and a preponderance of evidence supports
retraction of the publication in order to correct the scientific record and
ensure its integrity. The Editor-in-Chief has decided to retract this article.
This article has been found to contain manipulated and enhanced figures, namely
figures 1D and 1E, 4A and 4B.
PMID- 29804828
TI - Global Maps of ProQ Binding In Vivo Reveal Target Recognition via RNA Structure
and Stability Control at mRNA 3' Ends.
AB - The conserved RNA-binding protein ProQ has emerged as the centerpiece of a
previously unknown third large network of post-transcriptional control in
enterobacteria. Here, we have used in vivo UV crosslinking and RNA sequencing
(CLIP-seq) to map hundreds of ProQ binding sites in Salmonella enterica and
Escherichia coli. Our analysis of these binding sites, many of which are
conserved, suggests that ProQ recognizes its cellular targets through RNA
structural motifs found in small RNAs (sRNAs) and at the 3' end of mRNAs. Using
the cspE mRNA as a model for 3' end targeting, we reveal a function for ProQ in
protecting mRNA against exoribonucleolytic activity. Taken together, our results
underpin the notion that ProQ governs a post-transcriptional network distinct
from those of the well-characterized sRNA-binding proteins, CsrA and Hfq, and
suggest a previously unrecognized, sRNA-independent role of ProQ in stabilizing
mRNAs.
PMID- 29804830
TI - ZFAND1 Recruits p97 and the 26S Proteasome to Promote the Clearance of Arsenite
Induced Stress Granules.
AB - Stress granules (SGs) are cytoplasmic assemblies of mRNPs stalled in translation
initiation. They are induced by various stress conditions, including exposure to
the environmental toxin and carcinogen arsenic. While perturbed SG turnover is
linked to the pathogenesis of neurodegenerative diseases, the molecular
mechanisms underlying SG formation and turnover are still poorly understood.
Here, we show that ZFAND1 is an evolutionarily conserved regulator of SG
clearance. ZFAND1 interacts with two key factors of protein degradation, the 26S
proteasome and the ubiquitin-selective segregase p97, and recruits them to
arsenite-induced SGs. In the absence of ZFAND1, SGs lack the 26S proteasome and
p97, accumulate defective ribosomal products, and persist after arsenite removal,
indicating their transformation into aberrant, disease-linked SGs. Accordingly,
ZFAND1 depletion is epistatic to the expression of pathogenic mutant p97 with
respect to SG clearance, suggesting that ZFAND1 function is relevant to the
multisystem degenerative disorder IBMPFD/ALS.
PMID- 29804829
TI - Kinetics and Fidelity of the Repair of Cas9-Induced Double-Strand DNA Breaks.
AB - The RNA-guided DNA endonuclease Cas9 is a powerful tool for genome editing.
Little is known about the kinetics and fidelity of the double-strand break (DSB)
repair process that follows a Cas9 cutting event in living cells. Here, we
developed a strategy to measure the kinetics of DSB repair for single loci in
human cells. Quantitative modeling of repaired DNA in time series after Cas9
activation reveals variable and often slow repair rates, with half-life times up
to ~10 hr. Furthermore, repair of the DSBs tends to be error prone. Both
classical and microhomology-mediated end joining pathways contribute to the
erroneous repair. Estimation of their individual rate constants indicates that
the balance between these two pathways changes over time and can be altered by
additional ionizing radiation. Our approach provides quantitative insights into
DSB repair kinetics and fidelity in single loci and indicates that Cas9-induced
DSBs are repaired in an unusual manner.
PMID- 29804831
TI - Olive oil and prevention of chronic diseases: Summary of an International
conference.
AB - Olive oil is the foremost source of fat in the Mediterranean area and, among
other features, sets the Mediterranean diet apart from other dietary regimens. In
January 2018, the International Olive Council convened several worldwide experts
at the Robert Mondavi Institute (Davis, CA), to discuss and summarize the
available data on the effects of olive oil consumption on human health. In this
paper, we critically provide a synthesis of the main reported findings, which
underscore how and why consuming this oil as part of a balanced diet and
healthful lifestyle improves prognosis and extends life- and health-spans.
PMID- 29804832
TI - Glucose lowering strategies and cardiovascular disease in type 2 diabetes -
teachings from the TOSCA.IT study.
AB - TOSCA.IT is an institutional, non-industry-supported, head-to-head study
comparing long term cardiovascular effects, efficacy and safety of two
antidiabetes drugs (pioglitazone vs sulphonylureas) used in combination with
metformin in patients with type 2 diabetes mellitus. The study results show that
in the absence of clinically evident cardiovascular disease both treatment
strategies represent suitable alternatives; however, in consideration of the
greater durability of the metabolic effects, the lower risk of hypoglycemia and
the potential benefit on atherosclerotic cardiovascular disease, the combination
of metformin and pioglitazone may be considered as the preferential therapeutic
option. In this review the study is critically evaluated against the background
of the evidence accumulated over the last decade on the impact of different
glucose lowering drugs on cardiovascular events in people with type 2 diabetes.
PMID- 29804833
TI - The Gut Microbiota Mediates the Anti-Seizure Effects of the Ketogenic Diet.
AB - The ketogenic diet (KD) is used to treat refractory epilepsy, but the mechanisms
underlying its neuroprotective effects remain unclear. Here, we show that the gut
microbiota is altered by the KD and required for protection against acute
electrically induced seizures and spontaneous tonic-clonic seizures in two mouse
models. Mice treated with antibiotics or reared germ free are resistant to KD
mediated seizure protection. Enrichment of, and gnotobiotic co-colonization with,
KD-associated Akkermansia and Parabacteroides restores seizure protection.
Moreover, transplantation of the KD gut microbiota and treatment with Akkermansia
and Parabacteroides each confer seizure protection to mice fed a control diet.
Alterations in colonic lumenal, serum, and hippocampal metabolomic profiles
correlate with seizure protection, including reductions in systemic gamma
glutamylated amino acids and elevated hippocampal GABA/glutamate levels.
Bacterial cross-feeding decreases gamma-glutamyltranspeptidase activity, and
inhibiting gamma-glutamylation promotes seizure protection in vivo. Overall, this
study reveals that the gut microbiota modulates host metabolism and seizure
susceptibility in mice.
PMID- 29804835
TI - Astrocytic Activation Generates De Novo Neuronal Potentiation and Memory
Enhancement.
AB - Astrocytes respond to neuronal activity and were shown to be necessary for
plasticity and memory. To test whether astrocytic activity is also sufficient to
generate synaptic potentiation and enhance memory, we expressed the Gq-coupled
receptor hM3Dq in CA1 astrocytes, allowing their activation by a designer drug.
We discovered that astrocytic activation is not only necessary for synaptic
plasticity, but also sufficient to induce NMDA-dependent de novo long-term
potentiation in the hippocampus that persisted after astrocytic activation
ceased. In vivo, astrocytic activation enhanced memory allocation; i.e., it
increased neuronal activity in a task-specific way only when coupled with
learning, but not in home-caged mice. Furthermore, astrocytic activation using
either a chemogenetic or an optogenetic tool during acquisition resulted in
memory recall enhancement on the following day. Conversely, directly increasing
neuronal activity resulted in dramatic memory impairment. Our findings that
astrocytes induce plasticity and enhance memory may have important clinical
implications for cognitive augmentation treatments.
PMID- 29804834
TI - Time-Resolved Analysis Reveals Rapid Dynamics and Broad Scope of the CBP/p300
Acetylome.
AB - The acetyltransferases CBP and p300 are multifunctional transcriptional co
activators. Here, we combined quantitative proteomics with CBP/p300-specific
catalytic inhibitors, bromodomain inhibitor, and gene knockout to reveal a
comprehensive map of regulated acetylation sites and their dynamic turnover
rates. CBP/p300 acetylates thousands of sites, including signature histone sites
and a multitude of sites on signaling effectors and enhancer-associated
transcriptional regulators. Time-resolved acetylome analyses identified a subset
of CBP/p300-regulated sites with very rapid (<30 min) acetylation turnover,
revealing a dynamic balance between acetylation and deacetylation. Quantification
of acetylation, mRNA, and protein abundance after CBP/p300 inhibition reveals a
kinetically competent network of gene expression that strictly depends on
CBP/p300-catalyzed rapid acetylation. Collectively, our in-depth acetylome
analyses reveal systems attributes of CBP/p300 targets, and the resource dataset
provides a framework for investigating CBP/p300 functions and for understanding
the impact of small-molecule inhibitors targeting its catalytic and bromodomain
activities.
PMID- 29804836
TI - An Activity Switch in Human Telomerase Based on RNA Conformation and Shaped by
TCAB1.
AB - Ribonucleoprotein enzymes require dynamic conformations of their RNA constituents
for regulated catalysis. Human telomerase employs a non-coding RNA (hTR) with a
bipartite arrangement of domains-a template-containing core and a distal three
way junction (CR4/5) that stimulates catalysis through unknown means. Here, we
show that telomerase activity unexpectedly depends upon the holoenzyme protein
TCAB1, which in turn controls conformation of CR4/5. Cells lacking TCAB1 exhibit
a marked reduction in telomerase catalysis without affecting enzyme assembly.
Instead, TCAB1 inactivation causes unfolding of CR4/5 helices that are required
for catalysis and for association with the telomerase reverse-transcriptase
(TERT). CR4/5 mutations derived from patients with telomere biology disorders
provoke defects in catalysis and TERT binding similar to TCAB1 inactivation.
These findings reveal a conformational "activity switch" in human telomerase RNA
controlling catalysis and TERT engagement. The identification of two discrete
catalytic states for telomerase suggests an intramolecular means for controlling
telomerase in cancers and progenitor cells.
PMID- 29804837
TI - CTCF-Binding Elements Mediate Accessibility of RAG Substrates During Chromatin
Scanning.
AB - RAG endonuclease initiates antibody heavy chain variable region exon assembly
from V, D, and J segments within a chromosomal V(D)J recombination center (RC) by
cleaving between paired gene segments and flanking recombination signal sequences
(RSSs). The IGCR1 control region promotes DJH intermediate formation by isolating
Ds, JHs, and RCs from upstream VHs in a chromatin loop anchored by CTCF-binding
elements (CBEs). How VHs access the DJHRC for VH to DJH rearrangement was
unknown. We report that CBEs immediately downstream of frequently rearranged VH
RSSs increase recombination potential of their associated VH far beyond that
provided by RSSs alone. This CBE activity becomes particularly striking upon
IGCR1 inactivation, which allows RAG, likely via loop extrusion, to linearly scan
chromatin far upstream. VH-associated CBEs stabilize interactions of D-proximal
VHs first encountered by the DJHRC during linear RAG scanning and thereby promote
dominant rearrangement of these VHs by an unanticipated chromatin accessibility
enhancing CBE function.
PMID- 29804838
TI - Structural Basis of Smoothened Activation in Hedgehog Signaling.
AB - The seven-transmembrane-spanning protein Smoothened is the central transducer in
Hedgehog signaling, a pathway fundamental in development and in cancer.
Smoothened is activated by cholesterol binding to its extracellular cysteine-rich
domain (CRD). How this interaction leads to changes in the transmembrane domain
and Smoothened activation is unknown. Here, we report crystal structures of
sterol-activated Smoothened. The CRD undergoes a dramatic reorientation,
allosterically causing the transmembrane domain to adopt a conformation similar
to active G-protein-coupled receptors. We show that Smoothened contains a unique
inhibitory pi-cation lock, which is broken on activation and is disrupted in
constitutively active oncogenic mutants. Smoothened activation opens a
hydrophobic tunnel, suggesting a pathway for cholesterol movement from the inner
membrane leaflet to the CRD. All Smoothened antagonists bind the transmembrane
domain and block tunnel opening, but cyclopamine also binds the CRD, inducing the
active transmembrane conformation. Together, these results define the mechanisms
of Smoothened activation and inhibition.
PMID- 29804840
TI - Online physician review websites poorly correlate to a validated metric of
patient satisfaction.
AB - BACKGROUND: Physician review websites such as Vitals and Healthgrades are
becoming an increasingly popular tool for patients to choose providers. We
hypothesized that the scores of these surveys poorly represent the true value of
patient satisfaction when compared to a validated survey instrument. METHODS:
Answers from Vitals and Healthgrades online surveys were compared to the Press
Ganey Medical Practice Survey (PGMPS) for 200 faculty members at a university
hospital for FY15. Weighted Pearson's correlation was used to compare
Healthgrades and Vitals to PGMPS. RESULTS: While statistically significant, both
Vitals and Healthgrades had very low correlations with the PGMPS with weighted
coefficients of 0.18 (95% confidence interval: 0.02-0.34, P = 0.025) and 0.27
(95% confidence interval: 0.12-0.42, P < 0.001), respectively. CONCLUSIONS:
Online physician rating websites such as Vitals and Healthgrades poorly correlate
with the PGMPS, a validated measure of patient satisfaction. Patients should be
aware of these limitations and, consequently, should have access to the most
accurate measure of patient satisfaction.
PMID- 29804839
TI - Persistent methicillin-resistant Staphylococcus aureus bacteremia owing to
placental abscess.
AB - Staphylococcus aureus as a pathogen in human gestational membranes, a rather rare
phenomenon, has recently been the focus of several researches. S. aureus forms
biofilms on these membranes and potentially causes chorioamnionitis in pregnant
women. We report a case of persistent methicillin-resistant S. aureus (MRSA)
bacteremia owing to placental infection, causing chorioamnionitis and preterm
birth. A 29-year-old Japanese woman at the 27th gestational week was diagnosed
with acute promyelocytic leukemia and underwent all-trans retinoic acid therapy.
Soon after hospitalization, the patient presented with persistent MRSA bacteremia
of unknown origin. Despite various antimicrobial therapies, she experienced 12
MRSA bacteremia episodes over 6 weeks. However, after child birth, MRSA
bacteremia disappeared without any complications. A pathologic examination of her
placenta revealed placenta abscess, resulting in a diagnosis of MRSA-associated
chorioamnionitis. Molecular analysis proved that a single MRSA strain (SCCmec
Type IVa), which tested negative for Panton-Valentine leukocidin and toxic shock
syndrome toxin-1, caused the obstinate infection. We should be aware that
persistent MRSA bacteremia in pregnant women can originate from placental
abscess.
PMID- 29804841
TI - Surgeon variation in operating times and charges for emergency general surgery.
AB - BACKGROUND: Patients and hospitals face significant financial burdens from
emergency general surgeries (EGSs), which have been termed a public health crisis
in the United States. We evaluated hospitalization charges, operating charges,
and variations in operating time by surgeon volume for three common EGS
procedures. METHODS: Using Maryland's Health Services Cost Review Commission
database, we performed a retrospective study of laparoscopic appendectomies,
laparoscopic cholecystectomies, and open bowel resections performed by general
surgeons among adult patients from July 2012 to September 2014. We compared
operating charges to total hospitalization charges and quantified variations in
operating time for each procedure. We then divided patients into quartiles based
on their surgeon's procedure-specific case volume and used hierarchical linear
regressions to calculate differences in both operating time and charges between
quartiles. RESULTS: We identified 3194 appendectomies, 4143 cholecystectomies,
and 1478 bowel resections. Operating charges accounted for one-quarter (26.9%) of
total hospitalization charges and widespread variation existed in operating time
(appendectomies: median 79 min [interquartile range 66-100 min],
cholecystectomies: 96 min [76-125 min], bowel resections: 155 min [117-209 min]).
After adjustment, low-volume surgeons relative to high-volume surgeons did not
operate statistically longer for appendectomies (+1%, 95% confidence interval
[CI]: -2% to 5%) but operated +16% (95% CI: 12%-20%) longer for cholecystectomies
(+14 min) and +40% (95% CI: 30%-50%) longer for bowel resections (+59 min).
Adjusted median operating charges from low-volume surgeons relative to high
volume surgeons were $554 (26.7%), $621 (22.0%), and $1801 (47.0%) greater for
appendectomies, cholecystectomies, and bowel resections, respectively.
CONCLUSIONS: Operating charges contributed substantially to total EGS
hospitalization charges, where low-volume surgeons operated longer and had higher
operative charges relative to high-volume surgeons. Reducing variations in
operating times and charges represents an opportunity to alleviate the financial
burden from EGS procedures.
PMID- 29804842
TI - Effect of abdominal negative-pressure wound therapy on the measurement of intra
abdominal pressure.
AB - BACKGROUND: In critically ill surgical patients undergoing abdominal negative
pressure wound therapy (NPWT), it remains uncertain whether or not intra
abdominal pressure (IAP) measurements should be obtained when NPWT is activated.
We aimed to determine agreement between IAP measured with and without NPWT.
METHODS: In this analytic cross-sectional study, critically ill surgical adults
(>=18 y) requiring abdominal NPWT for temporary abdominal closure after a damage
control laparotomy were selected. Patients with urinary tract injuries or with
pelvic packing were excluded. Paired IAP measures were performed in the same
patient, with and without NPWT; two different operators performed the measures
unaware of the other's result. Bland-Altman methods assessed the agreement
between the two measures. Subgroup analyses (trauma and nontrauma) were
performed. RESULTS: There were 198 IAP measures (99 pairs) in 38 patients. Mean
IAP with and without NPWT were 8.33 (standard deviation 4.01) and 8.65 (standard
deviation 4.04), respectively. Mean IAP difference was -0.323 (95% confidence
interval -0.748 to 0.101), and reference range for difference was -4.579 to 3.932
(P = 0.864). From 112 IAP measures (56 pairs) in 21 trauma patients, mean IAP
difference was -0.268 (95% confidence interval -0.867 to 0.331), and reference
range for the difference was -4.740 to 4.204 (P = 0.427). CONCLUSIONS: There was
no statistically significant disagreement in IAP measures. IAP could be measured
with or without NPWT. In critically ill surgical patients with abdominal NPWT for
temporary abdominal closure, monitoring and management of IAP either with or
without NPWT is recommended.
PMID- 29804843
TI - Effectiveness of bioengineered islet cell sheets for the treatment of diabetes
mellitus.
AB - BACKGROUND: The present study aimed to evaluate whether bioengineered mouse islet
cell sheets can be used for the treatment of diabetes mellitus. METHODS: Isolated
mouse pancreatic islets were dispersed, and cells were plated on temperature
responsive culture plates coated with iMatrix-551. On day 3 of culture, the
sheets were detached from the plates and used for further analysis or
transplantation. The following parameters were assessed: (1) morphology, (2)
expression of beta-cell-specific transcription factors and other islet-related
proteins, (3) methylation level of the pancreatic duodenal homeobox-1 (Pdx-1)
promoter, as determined by bisulfite sequencing, and (4) levels of serum glucose
after transplantation of one or two islet cell sheets into the abdominal cavity
of streptozotocin-induced diabetic severe combined immunodeficiency mice.
RESULTS: From each mouse, we recovered approximately 233.3 +/- 12.5 islets and
1.4 +/- 0.1 * 105 cells after dispersion. We estimate that approximately 68.2% of
the cells were lost during dispersion. The viability of recovered single cells
was 91.3 +/- 0.9%. The engineered islet cell sheets were stable, but the
messenger RNA levels of various beta-cell-specific transcription factors were
significantly lower than those of primary islets, whereas Pdx-1 promoter
methylation and the expression of NeuroD, Pdx-1, and glucagon proteins were
similar between sheets and islets. Moreover, transplantation of islet cell sheets
did not revert serum hyperglycemia in any of the recipient mice. CONCLUSIONS:
Engineering effective islet cell sheets require further research efforts, as the
currently produced sheets remain functionally inferior compared with primary
islets.
PMID- 29804844
TI - Silver microparticles plus fibrin tissue sealant prevents incisional hernias in
rats.
AB - BACKGROUND: Open abdominal surgery is frequently complicated by the subsequent
development of an incisional hernia. Consequently, more than 400,000 incisional
hernia repairs are performed each year, adding over $15 billion per year to U.S.
health-care expenditures. While the vast majority of studies have focused on
improved surgical techniques or prosthetic materials, we examined the use of
metallic silver microparticles to prevent incisional hernia formation through
enhanced wound healing. MATERIALS AND METHODS: A rodent incisional hernia model
was used. Eighty-two rats were randomly placed into two control groups (saline
alone and silver microparticles alone), and three experimental groups (0 mg/cm,
2.5 mg/cm, and 25 mg/cm of silver microparticles applied with a fibrin sealant).
Incisional hernia incidence and size, tensile strength, and tissue histology were
assessed after 28 days. RESULTS: A significant reduction of both incisional
hernia incidence and hernia size was observed between the control groups and 2.5
mg/cm group, and between the control and 25 mg/cm group by nearly 60% and 90%,
respectively (P < 0.05). Histological samples showed a noticeable increase in new
fibrosis in the treated animals as compared with the controls, whereas the
tensile strength between the groups did not differ. CONCLUSIONS: The novel
approach of using silver microparticles to enhance wound healing appears to be a
safe and effective method to prevent incisional hernias from developing and could
herald a new era of medicinal silver use.
PMID- 29804845
TI - The influence of sociodemographic factors on operative decision-making in small
bowel obstruction.
AB - BACKGROUND: Current guidelines for small bowel obstruction (SBO) recommend a
limited trial of nonoperative management of no more than 3-5 d. For patients
requiring surgery, it is uncertain if sociodemographic factors are associated
with disparities in the duration of the trial of nonoperative therapy. METHODS:
The Healthcare Cost and Utilization Project National Inpatient Sample from 2012
to 2014 was queried for discharges with a primary diagnosis of SBO. Primary
outcomes of interest were the effects of sociodemographic factors, including
race, insurance status, and income on the rate of receiving any operative
management for SBO, and subsequently, among patients managed surgically, the risk
of operative delay, defined as operative management >= 5 d after admission. We
did this by using logistic hierarchical generalized linear models, accounting for
hospital clustering and adjusted for sex, age, comorbidity, and hospital factors.
RESULTS: Of the 589,850 admissions for SBO between 2012 and 2014, 22.0% underwent
operations. Overall, 26.2% were non-White, including 12.2% Black and 8.6%
Hispanic patients, and the majority (56.0%) had Medicare insurance coverage.
Income quartiles were evenly distributed across the overall study population. In
adjusted logistic regression, operative delay was associated with increased odds
of in-hospital mortality (odds ratio 1.30 95% confidence interval [1.10, 1.54]).
Adjusted for patient and hospital factors, Black patients were significantly more
likely to receive operations for SBO, whereas Medicaid and Medicare patients were
significantly less likely. However, Black, Medicaid, and Medicare patients who
were managed operatively were significantly more likely to have an operative
delay of 5 or more d. There was no significant association between income and
operative management in adjusted regression models. CONCLUSIONS: Significant
disparities in the operative management were based on race and insurance status.
Further research is warranted to understand the causes of, and solutions to,
these sociodemographic disparities in care.
PMID- 29804846
TI - Serum transthyretin level is associated with prognosis of patients with gastric
cancer.
AB - BACKGROUND: The goal of the present study was to determine whether serum
transthyretin level can be used as a novel prognostic biomarker for patients with
gastric cancer. PATIENTS AND METHODS: Serum levels of transthyretin were examined
before treatment in 42 patients with gastric cancer, 30 of whom underwent
curative operation and had their prognostic factors analyzed. RESULTS: In an
analysis using a receiver operating characteristic curve, transthyretin was
evaluated as a useful biomarker to predict the overall survival of the patients
(P = 0.033), and a level of 22.8 mg/dL was determined as the cut off value. The
transthyretin levels exhibited statistically significant correlations with total
protein (r = 0.598, P < 0.001), albumin (r = 0.626, P < 0.001), and retinol
binding protein (r = 0.753, P < 0.001). On the other hand, the transthyretin
levels showed statistically significant inverse correlations with tumor size (r =
-0.753, P < 0.001) and the numbers of involved lymph nodes (r = -0.453, P =
0.012). The patients with serum transthyretin levels of <22.8 mg/dL showed poorer
prognosis than those with levels of >=22.8 mg/dL (P = 0.033); therefore, serum
transthyretin level was an independent prognostic factor for the gastric cancer
patients (hazard ratio: 0.420, 95% confidence interval: 0.180-0.985, P = 0.042).
CONCLUSIONS: Anthropometric measurement of serum transthyretin can be useful for
predicting the prognosis of patients with gastric cancer.
PMID- 29804847
TI - Discontinuation of surgical versus nonsurgical clinical trials: an analysis of
88,498 trials.
AB - BACKGROUND: It has been previously reported that over 20% of surgical trials will
be discontinued prematurely raising ethical and financial concerns. Previous
studies have been limited in scope owing to the need for manual review of
selected trials. To date, there has been no broad analysis comparing surgical and
nonsurgical registered clinical trials. MATERIALS AND METHODS: ClinicalTrials.gov
was queried October 7, 2017 for all US trials from 2005 to 2017. Trials were
assigned to surgical or nonsurgical groups by automated sorting. The sorting
algorithm was validated by comparison with manual assignments made by blinded
investigators. Comparisons were made between trial status, funding sources, and
trial design. The reasons for discontinuation were examined and tabulated.
RESULTS: The database search yielded 82,719 nonsurgical and 5779 surgical trials
after automatic assignment. The algorithm for assignments had an overall accuracy
of 87.99% and a positive likelihood ratio of 6.09 and negative likelihood ratio
of 0.093. Significant differences existed in trial status (nonsurgical versus
surgical: completed: 55.51% versus 39.49%, P < 0.001 and discontinued: 11.07%
versus 15.97%, P < 0.001). Discontinuation due to poor recruitment was more
commonly cited by surgical trials (44.65% versus 34.74% P < 0.001). Industry
funding predicted discontinuation for all trials (odds ratio 1.63 P < 0.001) and
surgical trials independently (OR 1.25 P = 0.041). Patient enrollment, reporting
results, and NIH funding were all protective against discontinuation.
CONCLUSIONS: Surgical trials are more likely to prematurely discontinue than
nonsurgical trials. Industry funding independently predicts trial
discontinuation. Poor recruitment is a major cause of early trial discontinuation
for all trials and is more pronounced in surgical trials.
PMID- 29804848
TI - Using patient-derived xenograft models of colorectal liver metastases to predict
chemosensitivity.
AB - BACKGROUND: Few in vivo models for colorectal cancer have been demonstrated to
show external validity by accurately predicting clinical patient outcomes.
Patient-derived xenograft (PDX) models of cancer have characteristics that might
provide a form of translational research leading to personalized cancer care. The
aim of this pilot study was to assess the feasibility of using PDXs as a platform
for predicting patient colorectal liver metastases responses, in this case by
correlating PDX and patient tumor responses to either folinic acid, fluorouracil
plus oxaliplatin or folinic acid, fluorouracil plus irinotecan-based regimens.
METHODS: Sixteen patients underwent potentially curative resection of colorectal
liver metastases, and tumors were grafted into NOD.CB17-Prkdcscid/Arc mice. Mice
were divided into groups to determine relative tumor growth in response to
treatment. Tumors were analyzed by immunohistochemistry for Ki67 and Excision
repair cross-complementation group 1. RESULTS: An engraftment rate of 81% was
achieved. Overall, there was a 67% positive match rate between eligible patient
and PDX chemosensitivity profiles. There was a significant difference in relative
decrease in Ki67 expression between sensitive/stable versus resistant PDXs for
both treatment regimens. There was no statistically significant correlation
between baseline ERCC1 expression and response to Oxaliplatin + 5-Fluorouracil in
the PDXs. CONCLUSIONS: This pilot study supports the feasibility of using PDX
models of advanced colorectal cancer in larger studies to potentially predict
patient chemosensitivity profiles.
PMID- 29804849
TI - The impact of unplanned conversion to an open procedure during minimally invasive
pancreatectomy.
AB - BACKGROUND: Minimally invasive pancreatic resection (MIPR) is being increasingly
utilized. Outcomes for patients experiencing unplanned conversion to an open
procedure during MIPR have been incompletely assessed. We sought to determine the
short-term outcomes and factors associated with unplanned conversion during MIPR.
METHODS: A retrospective cohort study using the American College of Surgeons
National Surgical Quality Improvement Program pancreatectomy-targeted data set
was conducted. Successful MIPR was compared with unplanned conversion. Propensity
matching was used to separately compare unplanned conversion during MIPR with
planned open pancreatectomy. RESULTS: Unplanned conversion occurred in 24.6% of
350 attempted minimally invasive pancreatoduodenectomy (MIPD) and 19.6% of 1174
attempted minimally invasive distal pancreatectomy (MIDP). Conversion was
associated with greater overall morbidity and 30-day mortality compared with
successful MIPR for both MIPD and MIDP. After matching, unplanned conversion
resulted in outcomes equivalent or inferior to open pancreatectomy. Factors
significantly associated with unplanned conversion during MIPD included
intermediate gland texture, vascular resection, hypertension, disseminated
cancer, and chronic steroid use. For MIDP, male sex, hard gland texture, vascular
resection, smoking, and recent weight loss were independently associated with
conversion. A robotic approach was inversely associated with conversion for MIPD
and MIDP. CONCLUSIONS: Unplanned conversion during MIPR is associated with
greater morbidity and 30-day mortality. Conversion resulted in outcomes that, at
best, mimicked those of open pancreatectomy. Several risk factors including the
need for vascular resection are associated with unplanned conversion and should
be acknowledged when planning an operative approach.
PMID- 29804850
TI - Adipose-derived mesenchymal stem cells attenuate rejection in a rat lung
transplantation model.
AB - BACKGROUND: Immunosuppression following lung transplantation is a key aspect to
the graft's survival. However, the well-known complications that are caused by
immunosuppressive regimens present an opportunity to study ways to minimize the
usage of these drugs. Recently, a promising discovery has been made pertaining to
the immunomodulatory effects of adipose tissue-derived mesenchymal stem cells
(ADMSCs) through their secretion of hepatocyte growth factor. In the hopes of
mitigating the adverse effects of standard immunosuppressive regimens, our study
aims to investigate the effects of ADMSCs on the immune response utilizing a rat
lung transplantation model. METHODS: Each rat's own ADMSCs were intravenously
administered immediately after orthotopic left lung transplantation. The
experimental subjects were divided into four groups: 1) control group (group C)
was administered no treatment following transplantation; 2) ADMSC group (group
A), administered a single intravenous injection of ADMSCs following
transplantation; 3) tacrolimus group (group T), administered tacrolimus (0.5
mg/kg) every 24 h following transplantation; and 4) ADMSC and tacrolimus group
(AT group) administered a single intravenous injection of ADMSCs in combination
with tacrolimus every 24 h following transplantation. RESULTS: The histologically
proven rejection grade in group AT was significantly lower than that in group T.
The serum levels of hepatocyte growth factor and the expression of cMet in group
AT accompanied by low CD40 expression were also significantly higher than those
of the lung grafts of group T. CONCLUSIONS: These results suggest that co
administration of ADMSCs with tacrolimus is a beneficial therapeutic approach in
lung transplantation.
PMID- 29804851
TI - Tfap2b mutation in mice results in patent ductus arteriosus and renal
malformation.
AB - BACKGROUND: Transcription factor TFAP2B is associated with Char syndrome in
humans and is characterized by patent ductus arteriosus (PDA) and facial and
finger abnormalities. In a previous study, we detected a c.435_438delCCGG TFAP2B
mutation in a family with PDA, and no facial dysmorphism or finger abnormalities
were observed. This 4-base pair (bp) deletion in exon 2 resulted in a truncated
protein of about 21 kDa in cultured cells in vitro. However, it is not clear why
c.435_438delCCGG mutation carriers are present with isolated PDA instead of Char
syndrome. MATERIALS AND METHODS: We successfully established a mouse model
bearing Tfap2b c.435_438delCCGG mutation using CRISPR/Cas9 technology. The mutant
mice were phenotyped using histological analysis, and the development of ductus
smooth muscles in mutant mice was examined by immunohistochemistry. RESULTS: The
c.435_438delCCGG homozygous mutant mice were characterized by delayed closure of
the ductus arteriosus (DA) and renal malformation. Furthermore, the
c.435_438delCCGG mutation might result in PDA by affecting the development of
ductus arterious smooth muscle cells. CONCLUSIONS: Using the c.435_438delCCGG
homozygous mice, we verified the nature of the c.435_438delCCGG mutation and
established a new and useful animal model to explore the function of Tfap2b and
the mechanisms of PDA and renal formation. These findings may be useful for the
development of therapies for those rare disorder.
PMID- 29804852
TI - Superior diastolic function with KATP channel opener diazoxide in a novel mouse
Langendorff model.
AB - BACKGROUND: Adenosine triphosphate-sensitive potassium (KATP) channel openers
have been found to be cardioprotective in multiple animal models via an unknown
mechanism. Mouse models allow genetic manipulation of KATP channel components for
the investigation of this mechanism. Mouse Langendorff models using 30 min of
global ischemia are known to induce measurable myocardial infarction and injury.
Prolongation of global ischemia in a mouse Langendorff model could allow the
determination of the mechanisms involved in KATP channel opener cardioprotection.
METHODS: Mouse hearts (C57BL/6) underwent baseline perfusion with Krebs-Henseleit
buffer (30 min), assessment of function using a left ventricular balloon,
delivery of test solution, and prolonged global ischemia (90 min). Hearts
underwent reperfusion (30 min) and functional assessment. Coronary flow was
measured using an inline probe. Test solutions included were as follows:
hyperkalemic cardioplegia alone (CPG, n = 11) or with diazoxide (CPG + DZX, n =
12). RESULTS: Although the CPG + DZX group had greater percent recovery of
developed pressure and coronary flow, this was not statistically significant.
Following a mean of 74 min (CPG) and 77 min (CPG + DZX), an additional increase
in end-diastolic pressure was noted (plateau), which was significantly higher in
the CPG group. Similarly, the end-diastolic pressure (at reperfusion and at the
end of experiment) was significantly higher in the CPG group. CONCLUSIONS:
Prolongation of global ischemia demonstrated added benefit when DZX was added to
traditional hyperkalemic CPG. This model will allow the investigation of DZX
mechanism of cardioprotection following manipulation of targeted KATP channel
components. This model will also allow translation to prolonged ischemic episodes
associated with cardiac surgery.
PMID- 29804853
TI - Surgery program directors' knowledge of opioid prescribing regulations: a survey
study.
AB - BACKGROUND: Opioid misuse is a public health crisis that stems in part from
overprescribing by health-care providers. Surgical residents are commonly
responsible for prescribing opioids at patient discharge, and residency program
directors (PDs) are charged with their residents' education. Because each
hospital and state has different opioid prescribing policies, we sought to assess
PDs' knowledge about local controlled substance prescribing polices. METHODS: A
survey was emailed to surgery PDs that included questions regarding residency
characteristics and knowledge of state regulations. RESULTS: A total of 247 PDs
were emailed with 110 (44.5%) completed responses. One hundred and four (94.5%)
allow residents to prescribe outpatient opioids; one was unsure. Sixty-three
(57.3%) respondents correctly answered if their state required opioid prescribing
education for full licensure. Twenty-two (20.0%) were unsure if their state
required opioid prescribing education for licensure. Sixty-four (58.2%)
respondents answered correctly if a prescription monitor programs use is required
in their state. Twenty-nine (26.4%) were unsure if a state prescription monitor
programs existed. Seventy-six (69.1%) PDs answered correctly about their state's
requirement for an additional registration to prescribe controlled substances; 10
(9.1%) did not know if this was required. Twenty-nine (27.9%) programs require
residents to obtain individual drug enforcement agency registration; 5 (4.8%)
were unsure if this was required. CONCLUSIONS: Most programs allow residents to
prescribe outpatient opioids. However, this survey demonstrated a considerable
gap in PDs' knowledge about controlled substance regulations. Because they
oversee surgical residents' education, PDs should be versed about their local
policies in this matter.
PMID- 29804854
TI - Trametinib prevents mesothelial-mesenchymal transition and ameliorates abdominal
adhesion formation.
AB - BACKGROUND: Intra-abdominal adhesions are a major cause of morbidity after
abdominal or gynecologic surgery. However, knowledge about the pathogenic
mechanism(s) is limited, and there are no effective treatments. Here, we
investigated a mouse model of bowel adhesion formation and the effect(s) of an
Federal Drug Administration-approved drug (trametinib) in preventing adhesion
formation. MATERIALS AND METHODS: C57BL/6 mice were used to develop a consistent
model of intra-abdominal adhesion formation by gentle cecal abrasion with
mortality rates of <10%. Adhesion formation was analyzed histologically and
immunochemically to characterize the expression of pro-fibrotic marker proteins
seen in pathologic scaring and included alpha smooth muscle actin (alphaSMA) and
fibronectin EDA (FNEDA) which arises from alternative splicing of the fibronectin
messenger RNA resulting in different protein isoforms. Trichrome staining
assessed collagen deposition. Quantitative polymerase chain reaction analysis of
RNA isolated from adhesions by laser capture microscopy was carried out to assess
pro-fibrotic gene expression. To block adhesion formation, trametinib was
administered via a subcutaneous osmotic pump. RESULTS: Adhesions were seen as
early as post-operative day 1 with extensive adhesions being formed and
vascularized by day 5. The expression of the FNEDA isoform occurred first with
subsequent expression of alphaSMA and collagen. The drug trametinib was chosen
for in vivo studies because it effectively blocked the mesothelial to mesenchymal
transition of rat mesothelium. Trametinib, at the highest dose used (3 mg/kg/d),
prevented adhesion formation while at lower doses, adhesions were usually
limited, as evidenced by the presence of FNEDA isoform but not alphaSMA.
CONCLUSIONS: Cecal abrasion in mice is a reliable model to study abdominal
adhesions, which can be ameliorated using the MEK1/2 inhibitor trametinib. While
blocking adhesion formation at the cell and molecular levels, trametinib, at the
therapeutic doses utilized, did not impair the wound healing at the laparotomy
site.
PMID- 29804855
TI - Diabetes after pancreaticoduodenectomy: can we predict it?
AB - BACKGROUND: There is limited literature about the perioperative factors which can
predict endocrine insufficiency after pancreaticoduodenectomy (PD). The primary
aim was to correlate percentage pancreatic remnant volume (%RV) after PD in
nondiabetic patients with the development of new-onset impaired glucose
tolerance/diabetes mellitus (IGT/DM). The secondary aim was to identify the risk
factors for new-onset IGT/DM. METHODS: In this prospective study, all consecutive
patients with resectable periampullary carcinoma and without IGT/DM were
evaluated with fasting and postprandial plasma glucose, HbA1c, insulin, and C
peptide levels preoperatively and at 3 mo postoperatively. After that, all
patients were followed up with fasting and postprandial plasma glucose level
assessed at 3-mo intervals for 24 mo or till death, whichever occurred earlier.
The %RV was determined from computed tomography measurements preoperatively.
RESULTS: Of the 50 patients, 11 (22%) patients developed IGT/DM after median
follow-up of 32 mo. The patients' with/without IGT/DM were similar in
demographic/perioperative variables. The %RV was found to be an independent
factor associated with new-onset IGT/DM. A %RV of <48.8% was found to be a
predictor of new-onset IGT/DM (sensitivity, 89.7%; specificity, 73.6%). Plasma
sugar and glycosylated hemoglobin levels were significantly higher
postoperatively after PD than the preoperative levels. Insulin and C-peptide
levels were significantly lower after PD, irrespective of new-onset IGT/DM.
CONCLUSIONS: The incidence of IGT/DM after PD was 22%, and %RV < 48.8% was found
to be a significant risk factor for new-onset IGT/DM. (CTRI/2013/12/004233).
PMID- 29804856
TI - Travel distance influences readmissions in colorectal cancer patients-what the
primary operative team needs to know.
AB - BACKGROUND: Many colorectal cancer patients receive complex surgical care
remotely. We hypothesized that their readmission rates would be adversely
affected after accounting for differences in travel distance from primary/index
hospital and correlate with mortality. MATERIALS AND METHODS: We identified
48,481 colorectal cancer patients in the Surveillance, Epidemiology and End
Results (SEER)-Medicare database. Travel distance was calculated, using Google
Maps, and SAS. Multivariate negative binomial regression was used to identify
factors associated with readmission rates. Overall survival was analyzed, using
Kaplan-Meier and Cox proportional hazard. RESULTS AND CONCLUSIONS: Thirty-day
readmissions occurred in 14.9% of the cohort, 27.5% of which were to a nonindex
hospital. In the colon and rectal cancer cohorts, readmissions were 14.5% and
16.5%, respectively. Rectal cancer patients had an increase in readmission by 13%
(incidence rate ratios [IRR] 1.13; 95% confidence interval [CI] 1.05-1.21).
Factors associated with readmission were male gender, advanced disease, length of
stay (LOS), discharge disposition, hospital volume, Charlson score, and poverty
level (P < 0.05). Greater distance traveled increased the likelihood of
readmission but did not affect mortality. Travel distance influences readmission
rates but not mortality. Discharge readiness to decrease readmissions is
essential for colorectal cancer patients discharged from index hospitals.
PMID- 29804857
TI - Novel development of Spectra-A using indocyanine green for segmental boundary
visibility in thoracoscopic segmentectomy.
AB - BACKGROUND: Our previous report suggested that fluorescence thoracoscopic
anatomical segmentectomy (TAS) using intravenous (IV) indocyanine green (ICG)
injection is safe, feasible, and efficacious for identifying segmental
boundaries. However, contrast visualization in the conventional indocyanine green
mode (CIM) remains relatively obscure in smoking-related comorbidities. Our aim
was to evaluate the safety and efficacy of recently released Spectra-A with CIM
by simultaneous observation. MATERIALS AND METHODS: We postoperatively analyzed
captive imaging using histogram counts in 29 patients who underwent TAS and
previously reported that Delta indicates the index of visualization obtained by
subtraction from its representative illuminated signal quantities of maximum
pixels so that light-shade, intensity-removed image signals are obtained.
RESULTS: Sixteen (55.2%) patients were male, and 13 (44.8%) were female.
Segmental boundaries were successfully visualized in all patients (100%). The
histogram count widths in dim and bright segments with CIM were 13.3 +/- 3.8 and
52.5 +/- 12.2, and those with Spectra-A were 19.4 +/- 6.1 and 118.1 +/- 37.4,
respectively. The mean value was 4.3-fold higher for DeltaSpa-A (61.4 +/- 33.2)
than for DeltaCIM (14.2 +/- 8.5) (P < 0.01). In 14 (48.3%) patients, the
segmental boundary could not be clearly visualized using CIM but was explicitly
identified using Spectra-A. CONCLUSIONS: Spectra-A is a safe and promising
noninvasive alternative like CIM, and more effective because of overcoming the
limitation of CIM, but its use should be studied further to determine its
usefulness in identifying segmental boundaries.
PMID- 29804858
TI - The impact of intravenous acetaminophen on pain after abdominal surgery: a meta
analysis.
AB - BACKGROUND: Pain after surgery is commonly controlled with opioid pain
medications. A multi-modal pain strategy that involves acetaminophen may help
minimize the negative consequences of opioids, such as ileus, respiratory
depression, and addictive potential. There are limited data on the effectiveness
of intravenous (IV) acetaminophen in comparison with other nonopioid pain
medications. MATERIALS AND METHODS: Four databases were queried for the keywords
"acetaminophen," "intravenous," and "postoperative". Prospective studies of adult
patients receiving at least 24 h of IV acetaminophen after intraabdominal surgery
were analyzed for 12- and 24-h pain scores and 24-h narcotic consumption. A
random effects model was performed using mean differences and 95% confidence
intervals to assess the effect of IV acetaminophen on outcomes. Heterogeneity was
assessed using chi2 and the I2 statistics. RESULTS: Seventeen articles were
identified that complied with inclusion and exclusion criteria. There was no
significant difference in 24-h pain scores between IV acetaminophen and any other
comparator, or in secondary endpoints of 12-h pain scores and 24-h narcotic
consumption. Subgroup analysis demonstrated significant benefit for IV
acetaminophen in open surgeries for decreased 24-h narcotic consumption. When
analyzing individual medications, non-steroidal anti-inflammatory drugs
demonstrated the largest reduction in 24-h narcotic consumption. Data were of
moderate quality and demonstrated significant heterogeneity between studies.
CONCLUSIONS: The lack of significant differences in primary endpoints may be
explained by the heterogeneous, moderate-quality data. However, subgroup analyses
suggested IV acetaminophen may be advantageous in open surgeries, and non
steroidal anti-inflammatory drugs may lower the 24-h narcotic requirement.
PMID- 29804859
TI - Clinical assessment, radiographic imaging, and patient self-report for abdominal
wall hernias.
AB - BACKGROUND: Increasingly, abdominal wall hernias are being diagnosed incidentally
through radiographic imaging. Such hernias are referred to as occult. However,
the clinical significance of occult hernias is unknown. The objective of this
study is to determine the prevalence of occult hernias and to assess the
abdominal wall quality of life (AW-QOL) among patients with occult hernias.
MATERIALS AND METHODS: A blinded, observational, cross-sectional study, October
December 2016, of patients presenting to single academic institution's general
surgery clinics was performed. Inclusion criteria included all patients with a
computed tomography scan of the abdomen or pelvis within the last year with no
intervening abdominal or pelvic surgery. Patients were administered a validated
AW-QOL survey and underwent a standardized clinical examination. Computed
tomography scans were reviewed. Primary outcomes were prevalence and AW-QOL
measured by the modified Activities Assessment Scale. AW-QOL of patients with no
hernias was compared to that of those with occult hernias and clinically apparent
hernias using Mann-Whitney U test. RESULTS: A total of 250 patients were enrolled
of whom 97 (38.8%) had a hernia noted on clinical examination and 132 (52.8%) had
a hernia noted on radiographic imaging. The prevalence of occult hernias was 38
(15.2%). Patients with no hernia had a median (interquartile range) AW-QOL of
82.5 (55.0-95.3), patients with clinically apparent hernias had AW-QOL of 47.7
(31.2-81.6; P < 0.001), and patients with occult hernias had AW-QOL of 72.4 (38.5
97.2; P = 0.36). CONCLUSIONS: Both clinically apparent and occult hernias are
prevalent. However, only patients with clinically apparent hernias had
differences in AW-QOL when compared to patients with no hernias. Prospective
trials are needed to assess the outcomes of patients with occult hernias managed
with and without surgical repair.
PMID- 29804860
TI - Evaluation of the efficacy of cell and micrograft transplantation for full
thickness wound healing.
AB - BACKGROUND: Skin grafting is the current standard of care in the treatment of
full-thickness burns and other wounds. It is sometimes associated with
substantial problems, such as poor quality of the healed skin, scarring, and lack
of donor-site skin in large burns. To overcome these problems, alternative
techniques that could provide larger expansion of a skin graft have been
introduced over the years. Particularly, different cell therapies and methods to
further expand skin grafts to minimize the need for donor skin have been
attempted. The purpose of this study was to objectively evaluate the efficacy of
cell and micrograft transplantation in the healing of full-thickness wounds.
MATERIALS AND METHODS: Allogeneic cultured keratinocytes and fibroblasts,
separately and together, as well as autologous and allogeneic skin micrografts
were transplanted to full-thickness rat wounds, and healing was studied over
time. In addition, wound fluid was collected, and the level of various cytokines
and growth factors in the wound after transplantation was measured. RESULTS: Our
results showed that both autologous and allogeneic micrografts were efficient
treatment modalities for full-thickness wound healing. Allogeneic skin cell
transplantation did not result in wound closure, and no viable cells were found
in the wound 10 d after transplantation. CONCLUSIONS: Our study demonstrated that
allogeneic micrografting is a possible treatment modality for full-thickness
wound healing. The allografts stayed viable in the wound and contributed to both
re-epithelialization and formation of dermis, whereas allogeneic skin cell
transplantation did not result in wound closure.
PMID- 29804861
TI - Alterations in energy substrate metabolism in mice with different degrees of
sepsis.
AB - BACKGROUND: Nutritional management is crucial during the acute phase of severe
illnesses. However, the appropriate nutritional requirements for patients with
sepsis are poorly understood. We investigated alterations in carbohydrate, fat,
and protein metabolism in mice with different degrees of sepsis. MATERIALS AND
METHODS: C57BL/6 mice were divided into three groups: control mice group,
administered with saline, and low- and high-dose lipopolysaccharide (LPS) groups,
intraperitoneally administered with 1 and 5 mg of LPS/kg, respectively. Rectal
temperature, food intake, body weight, and spontaneous motor activity were
measured. Indirect calorimetry was performed using a respiratory gas analysis for
120 h, after which carbohydrate oxidation and fatty acid oxidation were
calculated. Urinary nitrogen excretion was measured to evaluate protein
metabolism. The substrate utilization ratio was recalculated. Plasma and liver
carbohydrate and lipid levels were evaluated at 24, 72, and 120 h after LPS
administration. RESULTS: Biological reactions decreased significantly in the low-
and high-LPS groups. Fatty acid oxidation and protein oxidation increased
significantly 24 h after LPS administration, whereas carbohydrate oxidation
decreased significantly. Energy substrate metabolism changed from glucose to
predominantly lipid metabolism depending on the degree of sepsis, and protein
metabolism was low. Plasma lipid levels decreased, whereas liver lipid levels
increased at 24 h, suggesting that lipids were transported to the liver as the
energy source. CONCLUSIONS: Our findings revealed that energy substrate
metabolism changed depending on the degree of sepsis. Therefore, in nutritional
management, such metabolic alterations must be considered, and further studies on
the optimum nutritional intervention during severe sepsis are necessary.
PMID- 29804862
TI - The clinical utility of shock index to predict the need for blood transfusion and
outcomes in trauma.
AB - BACKGROUND: We aimed to evaluate the clinical utility of shock index (SI) to
assess the need for blood transfusion and predict the outcomes in trauma.
MATERIALS AND METHODS: We conducted a retrospective analysis for trauma patients
between 2012 and 2016 in a level-1 trauma center. Data included patient
demographics, vital signs, mechanism of injury, Injury Severity Score (ISS), New
Injury Severity Score (NISS), Trauma and Injury Severity Score (TRISS), blood
transfusion, hospital length of stay (HLOS), and mortality. Patients were
classified into group I (SI < 0.8) and group II (SI >= 0.8). RESULTS: Out of 8710
admitted patients, 1535 (22%) had SI >= 0.8 and 976 (12.5%) received blood
transfusion (89 received massive transfusion, following massive blood transfusion
protocol [MTP]). In comparison to lower SI, patients with SI >= 0.8 were mostly
female patients, 8 y younger (43 +/- 22 versus 51 +/- 23), had greater ISS (15 +/
12 versus 10.5 +/- 8), higher NISS (19 +/- 15 versus 14 +/- 11), lower pulse
pressure (43 +/- 14 versus 62 +/- 18), lower TRISS (0.892 +/- 0.20 versus 0.953
+/- 0.11), and received more blood transfusion (28.6% versus 9.0%) or MTP (17.7%
versus 3%), P = 0.001. Also, they had mostly exploratory laparotomy (13.3% versus
6.6%, P = 0.001), longer HLOS (11.3 versus 7.0 d, P = 0.001), and higher
mortality (7.0% versus 3.1%, P = 0.001). SI was correlated with age (r = -0.188),
pulse pressure (r = -0.51), HLOS (r = 0.168), ISS (r = 0.251), NISS (r = 0.211),
amount of blood transfused (r = 0.27), Glasgow Coma Scale (r = -0.96), and TRISS
(r = -0.230). After adjusting for age and sex, ISS, and Glasgow Coma Scale in two
multivariable analyses, high SI was found to be an independent predictor for
mortality (odd ratio, 2.553; 95% confidence intervals: 1.604-4.062) and blood
transfusion (odd ratio, 3.57; 95% confidence intervals: 3.012-4.239). The cutoff
point of SI for predicting MTP is 0.81 (sensitivity, 85%; specificity, 64%;
positive predictive value, 16%; and negative predictive value, 98%). CONCLUSIONS:
The SI after injury can be used early to predict the need for MTP and laparotomy
and mortality. It correlates with other physiological and anatomical variables.
However, its cutoff values for risk stratification and prognostication need
further evaluation.
PMID- 29804863
TI - Radiation therapy improves survival for unresectable postpneumonectomy lung
tumors.
AB - BACKGROUND: Additional resection for cancer in the single lung is often
considered a prohibitive risk. The role of radiation therapy (RT) in this patient
population is less clear with very limited available data. In this study, we
sought to examine patients with postpneumonectomy lung cancer not amenable to
surgery, identify factors associated with receiving RT, and determine the impact
of RT on survival outcomes. METHODS: The Surveillance, Epidemiology, and End
Results (SEER) database (1988-2013) was queried for patients with inoperable
contralateral lung cancer after pneumonectomy. Univariate and multivariate
analyses were performed to identify factors associated with the receipt of RT.
Survival outcomes were examined using the Kaplan-Meier method. RESULTS: In total,
191 patients with inoperable postpneumonectomy lung cancer were included. RT was
delivered to 122 (63.9%) patients; 69 (36.1%) patients did not receive RT. On
multivariate analysis, disease stage was identified as the only predictor
associated with receipt of RT (P < 0.001). The median overall survival (OS) and
disease-specific survival (DSS) for patients receiving RT were higher than those
for patients who did not receive RT (25 versus 8 mo and 29 versus 10 mo,
respectively; P < 0.001). Similarly, patients who received RT had a higher 3-y OS
(34% versus 14%, P < 0.001) than those who did not receive RT. On subset
analysis, survival benefit with RT was observed in patients with all tumor size
groups, and there was a trend toward superior survival in patients with stage
I/II disease, who received RT compared with those who did not. On multivariate
Cox regression analysis, RT use was independently associated with decreased
hazards of death after adjusting for other factors (HR, 0.539; P < 0.001).
CONCLUSIONS: Based on our analysis of the Surveillance, Epidemiology, and End
Results (SEER) database, RT is associated with improved outcomes in inoperable
patients with a contralateral lung cancer after pneumonectomy compared with
observation alone.
PMID- 29804864
TI - The effects of tenure and promotion on surgeon productivity.
AB - BACKGROUND: Studies investigating the impact of promotion and tenure on surgeon
productivity are lacking. The aim of this study is to elucidate the relationship
of promotion and tenure to surgeon productivity. METHODS: We reviewed data for
the Department of Surgery at our institution. Relative value units (RVUs) billed
per year, publications per year, and grant funding per year were used to assess
productivity from 2010 to 2016. We analyzed tenure-track (TT) and non-tenure
track (NT) surgeons and compared the productivity within these groups by rank:
assistant professor (ASST), associate professor (ASSOC), and full professor
(FULL). Kruskal-Wallis and Mann-Whitney U tests were used to assess significance
and relationships between the groups. RESULTS: A TT faculty was promoted if they
produced more research, with the highest publication rates in TT FULL. TT faculty
publishing rates increased from ASST to ASSOC (1 versus 2, P = 0.006) and from
ASSOC to FULL (2 versus 4, P < 0.001). There were no differences in the low
publication rates among NT ranks. Grant funding was also highest at the TT FULL
level. The clinical production (RVUs) was highest between TT ASSOC and NT FULL.
TT faculty increased productivity between ASST and ASSOC (7023 versus 8384, P =
0.001) and decreased between ASSOC and FULL (8384 versus 6877, P < 0.001). Among
NT faculty, RVUs were stagnant between ASST and ASSOC levels (4877 versus 6313, P
= 0.312) and increased between ASSOC and FULL levels (6313 versus 8975, P <
0.001). CONCLUSIONS: Tenure and nontenure pathways appear to appropriately
incentivize surgical faculty over the course of their advancement. TT FULL has
the highest research production and grant funding, whereas NT FULL has the
highest clinical production.
PMID- 29804865
TI - Current insights into extracorporeal perfusion of free tissue flaps and
extremities: a systematic review and data synthesis.
AB - BACKGROUND: Extracorporeal perfusion is a promising new technique for prolonged
preservation of free flaps and extremities; however, uncertainties on perfusion
settings and efficacy still exist. No overview of literature is currently
available. This review systematically appraised available evidence comparing
extracorporeal perfusion to static storage. MATERIALS AND METHODS: An electronic
systematic search was performed on June 12, 2016, in MEDLINE and EMBASE. Articles
were included when evaluating the effect of extracorporeal perfusion of free
flaps or extremities compared to that of a control group. Two independent
researchers conducted the selection process, critical appraisal, and data
extraction. RESULTS: Of 3485 articles screened, 18 articles were included for
further analyzation. One article studied discarded human tissue; others were
studies conducted on rats, pigs, or dogs. Perfusion periods varied from 1 h to 10
d; eight articles also described replantation. Risk of bias was generally scored
high; none of the articles was excluded based on these scores. Tissue vitality
showed overall better results in the perfused groups, more pronounced when
perfusing over 6 h. The development of edema was a broadly described side effect
of perfusion. CONCLUSIONS: Although tissue vitality outcomes seem to favor
extracorporeal perfusion, this is difficult to objectify because of large
heterogeneity and poor quality of the available evidence. Future research should
focus on validating outcome measures, edema prevention, perfusion settings, and
maximum perfusion time for safe replantation and be preferably performed on large
animals to increase translation to clinical settings.
PMID- 29804866
TI - Low-dose hydrocortisone prolongs survival in a lethal sepsis model in
adrenalectomized rats.
AB - BACKGROUND: Controversial clinical findings of low-dose hydrocortisone
supplementation in septic shock led us to investigate the impact of
administration in lethal septic shock in adrenalectomized rats. MATERIALS AND
METHODS: After preliminary experiments, to define the intravenous dose of
hydrocortisone delivered in bilaterally adrenalectomized rats with serum cortisol
level similar to sham rats, survival experiments were run in 75 rats after
intraperitoneal challenge with Escherichia coli. Rats were treated with placebo,
ertapenem, hydrocortisone, and a combination. Sacrifice experiments were run to
measure gene transcripts in whole blood and in the liver and to assess cytokine
stimulation of splenocytes and tissue overgrowth. RESULTS: The combination of
hydrocortisone and ertapenem was superior to any single treatment and mandatory
to achieve survival benefit. Splenocytes from infected rats had decreased
production of tumor necrosis factor-alpha (TNFalpha); this was reversed with
hydrocortisone treatment. Hydrocortisone increased the expression of TNF, Il1r2,
and Hdac4 and decreased that of Dnmt3a. Bacterial burden of E. coli in kidney was
decreased after hydrocortisone treatment. CONCLUSIONS: Low dose of hydrocortisone
is a mandatory adjunctive to antimicrobial therapy in a rat model of septic shock
after bilateral adrenalectomy. The mechanism of action is related to reversal of
sepsis-induced immunosuppression through interaction with histone deacetylases
and de novo DNA methyltransferases.
PMID- 29804867
TI - Porcine experimental model for perforator flap raising in reconstructive
microsurgery.
AB - BACKGROUND: Perforator free flap-based reconstruction of the head and neck is a
challenging surgical procedure and needs a steep learning curve. A reproducible
mammal large animal model with similarities to human anatomy is relevant for
perforator flap raising and microanastomosis. The aim of this study was to assess
the feasibility of a swine model for perforator-based free flaps in
reconstructive microsurgery. METHODS: Eleven procedures were performed under
general anesthesia in a porcine model, elevating a skin flap vascularized by
perforating musculocutaneous branches of the superior epigastric artery to
evaluate the relevance of this model for head and neck reconstructive
microsurgery. RESULTS: The anterior abdominal skin perforator-based free flap in
a swine model irrigated by the superior epigastric artery was elevated in eleven
procedures. In six of these procedures, we could perform an arterial and venous
microanastomosis to the great vessels located in the base of the neck.
CONCLUSIONS: The porcine experimental model of superior epigastric artery
perforator-based free flap reconstruction offers relevant similarities to the
human deep inferior epigastric artery perforator flap. We could demonstrate this
model as acceptable for perforator free flap training due to the necessity of
perforator and pedicle dissection and transfer to a distant area.
PMID- 29804868
TI - Reoxygenation speed and its implication for cellular injury responses in hypoxic
RAW 264.7 cells.
AB - BACKGROUND: Ischemia/reperfusion injury is characterized by excess generation of
reactive oxygen species (ROS). The purpose of this study is to test the effect of
reoxygenation speed on ROS production and the cellular injury responses in
hypoxic macrophages RAW 264.7 cells and its potential mechanisms for the
generation of ROS. MATERIALS AND METHODS: After hypoxic exposure of RAW 264.7
cells for 20 h, reoxygenation was performed for 6 h by stepwise increase in
oxygen concentration (0.8% increase of oxygen every 15 min) in the slow
reoxygenation (SRox) group or by moving the culture flasks quickly to a normoxic
incubator in the rapid reoxygenation (RRox) group. To identify the potential
effect of reoxygenation speed on the generation of ROS, the cells were pretreated
with apocynin, VAS2870, and MitoTEMPO before the induction of hypoxia. RESULTS:
SRox significantly decreased cell death and cytotoxicity compared with RRox (P <
0.05). RRox resulted in significantly more generation of ROS, interleukin-1beta,
interleukin-6, and nitric oxide than SRox (P < 0.05). SRox also increased the
expression of prosurvival proteins and decreased apoptosis. In cells pretreated
with VAS2870 or MitoTEMPO, the reduced ROS generation by SRox was maintained.
However, pretreatment with apocynin abolished the effect of reoxygenation speed
on ROS generation. CONCLUSIONS: SRox compared with RRox decreased cellular injury
in hypoxic RAW 264.7 cells by decreasing ROS and inflammatory cytokine production
and decreasing apoptosis.
PMID- 29804869
TI - A pilot study on disturbed gastric myoelectric activity in obstructed defecation
syndrome.
AB - BACKGROUND: Electrogastrography (EGG) is a noninvasive technique for recording
gastric myoelectric activity. The aim of this study was to measure and record
gastric myoelectric activity in patients with obstructed defecation syndrome
(ODS) and to compare their results with those of normal individuals. METHODS:
Forty-two patients (22 male) with ODS and a mean age of 41.02 y were enrolled in
this prospective study after thorough clinical and physiologic assessment. Eleven
normal subjects (six female) with a mean age of 39.2 +/- 8.4 y were assigned to
the control group. Both patients and controls were subjected to surface EGG in
fasting and postprandial states. Data were recorded and analyzed via a computer
system to reveal the EGG pattern in both groups. RESULTS: Abnormalities in the
EGG were found in 24 (57.1%) of the 42 patients with ODS. EGG in ODS patients
showed alterations in the fasting state in the form of a significant decrease of
the normal gastric slow wave (P = 0.03) and a nonsignificant increase in gastric
dysrhythmias. The EGG alterations of ODS patients were significantly improved in
the postprandial state as the normal gastric slow waves significantly (P = 0.006)
increased and the gastric bradycardia declined significantly (P = 0.02). No
significant differences were observed in the power distribution between the ODS
patients and the healthy controls. CONCLUSIONS: Patients with ODS showed an
altered EGG pattern compared with that of healthy control subjects. The
alterations in ODS patients were more clearly observed during the fasting state
and improved significantly after eating.
PMID- 29804870
TI - Academy of Nutrition and Dietetics Health Informatics Infrastructure (ANDHII): A
Pilot Study on the Documentation of the Nutrition Care Process and the Usability
of ANDHII by Registered Dietitian Nutritionists.
PMID- 29804871
TI - Nutrition Care for Patients with Cystic Fibrosis: An Evidence Scoping Review.
PMID- 29804872
TI - Investigational Antibody-Drug Conjugates for Treatment of B-lineage Malignancies.
AB - Antibody-drug conjugates (ADCs) are tripartite molecules consisting of a
monoclonal antibody, a covalent linker, and a cytotoxic payload. ADC development
has aimed to target the specificity inherent in antigen-antibody interactions to
deliver potent cytotoxins preferentially to tumor cells and maximize antitumor
activity and simultaneously minimize off-target toxicity. The earliest ADCs
provided disappointing results in the clinic; however, the lessons learned
regarding the need for human or humanized antibodies, more stable linkers, and
greater potency payloads led to improved ADCs. Three ADCs, gemtuzumab ozogamicin,
brentuximab vedotin (BV), and inotuzumab ozogamicin, have been approved for
hematologic malignancies. Site-specific conjugation methods have now resulted in
a new generation of more uniform, molecularly defined ADCs. These are expected to
display improved in vivo properties and have recently entered the clinic. We
reviewed investigational ADCs currently in clinical testing for the treatment of
B-cell lineage malignancies, including leukemias, lymphomas, and multiple
myeloma. The rationales for antigen targeting, data reported to date, current
trial status, and preclinical results for several newer ADCs expected to enter
first-in-human studies are presented. Owing to the large number of ongoing and
reported BV clinical studies, only the studies of BV for diffuse large B-cell
lymphoma and those combining BV with checkpoint inhibitors in B-lineage
malignancies have been reviewed. With > 40 ongoing clinical trials and 7
investigational ADCs already having advanced to phase II studies, the role of
ADCs in the armamentarium for the treatment of B-lineage malignancies continues
to be elucidated.
PMID- 29804873
TI - Optimal Management of Histone Deacetylase Inhibitor-Related Adverse Events in
Patients With Multiple Myeloma: A Focus on Panobinostat.
AB - Recent advances in treatment have extended the survival of patients with multiple
myeloma. This improvement in itself poses challenges because of the length of
time that patients live with myeloma, its physical complications, and toxicities
of treatment. Thus, improvements in maintaining quality of life are essential,
and part of this challenge involves learning how to optimally use new therapeutic
agents. Panobinostat is the first histone deacetylase inhibitor approved for the
treatment of multiple myeloma. It is approved for use in combination with
bortezomib and dexamethasone for the treatment of patients with relapsed or
relapsed and refractory multiple myeloma who have received >= 2 previous
regimens, including bortezomib and an immunomodulatory drug. In this review
multiple myeloma-related symptoms and adverse events resulting from treatments
for multiple myeloma are discussed, with a focus on adverse events related to
histone deacetylase inhibitors and histone deacetylase inhibitor combinations.
The contribution of myeloma to these adverse events is discussed as well as how
these AEs can best be managed.
PMID- 29804874
TI - Are morally good actions ever free?
AB - Research has shown that people ascribe more responsibility to morally bad actions
than both morally good and neutral ones, suggesting that people do not attribute
responsibility to morally good actions. The present work demonstrates that this
is not so: People ascribe more free will to morally good than neutral actions
(Studies 1a-1b, Mini Meta). Studies 2a-2b distinguished the underlying motives
for ascribing freedom to morally good and bad actions. Free will ascriptions for
immoral actions were driven predominantly by affective responses (i.e., punitive
desires, moral outrage, and perceived severity of the crime). Free will judgments
for morally good actions were similarly driven by affective responses (i.e.,
reward desires, moral uplift, and perceived generosity), but also more pragmatic
considerations (perceived utility of reward, counternormativity of the action,
and required willpower). Morally good actions may be more carefully considered,
leading to generally weaker, but more contextually sensitive free will judgments.
PMID- 29804875
TI - [Advance directives in hospital practice: A matter of concern for every
healthcare professional and consumer].
AB - INTRODUCTION: In France, Leonetti and Claeys-Leonetti laws relating to patients'
rights and end-of-life practice have introduced the advance healthcare directives
(ADs). Although family doctor's role is important in initiating discussions
regarding AD, hospital healthcare professionals should also be concerned by the
health care planning laws. METHODS: A descriptive, quantitative and qualitative
study was conducted in Paris Saint-Joseph hospital to evaluate the knowledge of
nursing personnel regarding ADs. Among healthcare professionals present on
02/06/2016 and agreeing to participate, 50 non-medical caregivers and 50 doctors
were randomly selected and took part in this survey. Three trainee lawyers
conducted interviews, recorded and anonymized them. The Nvivo software analyzed
the qualitative part of the results. RESULTS: Only 10% of healthcare
professionals knew these legal and ethical issues in health care. Most caregivers
were not in favor of informing all patients admitted to a hospital (hospitalized
patients or patients received consultations). For 44%, only hospitalized end-of
life patients should be informed about ADs. For 76% of the people questioned,
family doctor has a unique position to guide the patient on the preparation and
registration of living wills. In hospital stay, the nurse was proposed by 52% of
the staff as the preferred caregiver for AD communication, as part of an
interdisciplinary healthcare team approach. Finally, the clear majority of
caregivers (85%), called for discussions and documentation about ADs, and end-of
life training. CONCLUSION: Advance directives remain poorly known in the
hospital, 12 years after the first Leonetti law. The attitude of professionals
about ADs is not homogenous but interest for the subject is obvious in the vast
majority of caregivers. The results of this survey highlighted that discussions
and documentation about ADs as well as training on end-of-life patient care are
essential.
PMID- 29804877
TI - Collision of Expanding Actin Caps with Actomyosin Borders for Cortical Bending
and Mitotic Rounding in a Syncytium.
AB - The early Drosophila embryo is a large syncytial cell that compartmentalizes
mitotic spindles with furrows. Before furrow ingression, an Arp2/3 actin cap
forms above each nucleus and is encircled by actomyosin. We investigated how
these networks transform a flat cortex into a honeycomb-like compartmental array.
The growing caps circularize and ingress upon meeting their actomyosin borders,
which become the furrow base. Genetic perturbations indicate that the caps
physically displace their borders and, reciprocally, that the borders resist and
circularize their caps. These interactions create an actomyosin cortex arrayed
with circular caps. The Rac-GEF Sponge, Rac-GTP, Arp3, and actin coat the caps as
a growing material that can drive cortical bending for initial furrow ingression.
Additionally, laser ablations indicate that actomyosin contraction squeezes the
cytoplasm, producing counterforces that swell the caps. Thus, Arp2/3 caps form
clearances of the actomyosin cortex and control buckling and swelling of these
clearances for metaphase compartmentalization.
PMID- 29804878
TI - Corrigendum to "Cyclophilin A regulates JNK/p38-MAPK signaling through its
physical interaction with ASK1" [BBRC 464 (1) (14 August 2015) 112-117].
PMID- 29804879
TI - Views of parents regarding human papillomavirus vaccination: A systematic review
and meta-ethnographic synthesis of qualitative literature.
AB - INTRODUCTION: Human papillomavirus (HPV) is the most common viral infection of
the reproductive tract. Three prophylactic HPV vaccines are available for the
prevention of HPV-related disease. Despite clinical success, immunisation rates
remain sub-optimal. The purpose of this systematic review is to synthesise
qualitative literature to achieve an understanding of the drivers and barriers to
HPV vaccine acceptability and to determine targets for an intervention to improve
vaccine uptake. METHODS: The seven-step model of meta-ethnography described by
Noblit and Hare was used. The quality of the studies was assessed using the CASP
(Critical Appraisal Skills Programme) for qualitative research. The ENTREQ
(Enhancing transparency in reporting the synthesis of qualitative research)
statement was used to guide reporting of results. RESULTS: Thirty-three studies
were included in the final analysis, compiling the opinions of 1280
parents/guardians from 14 countries. Five key concepts that reflected the
principal findings of studies were determined: is prevention better than cure;
the fear of the unknown; limited knowledge and understanding; complex vaccination
decisions and; parental responsibility. Third-order interpretations were
developed and linked using a 'line of argument' to develop a conceptual model.
CONCLUSION: The majority of parents are motivated to protect their children and
prevent disease. The link to sexual intercourse associated with the HPV vaccine
often complicates the vaccination decision. Vaccine manufacturers, national
healthcare systems and healthcare providers can reinforce the importance of HPV
immunisation and reiterate the rationale behind vaccination recommendations, by
providing unambiguous information in a timely manner, transparently addressing
parental concerns regarding vaccine safety and efficacy, whilst taking account of
cultural and religious sensitivities and varying health literacy levels. In
recent years, there has been a reduction in HPV vaccine uptake worldwide.
Currently, there is a paucity of published qualitative studies addressing these
new vaccine concerns. Therefore, such research is required to guide intervention
development, to improve HPV vaccine uptake.
PMID- 29804880
TI - Nail or plate fixation for A3 trochanteric hip fractures: A systematic review of
randomised controlled trials.
AB - Continuing controversy exists for the choice of implant for treating A3
trochanteric hip fractures so we undertook a systematic review of randomised
controlled trials from the year 2000 onwards that have compared an intramedullary
nail with an extramedullary fixation implant for the treatment of these
fractures. Data on the occurrence of any fracture healing complications was
extracted and the results combined to calculate Peto odd ratio. Nine studies
involving 370 fractures were identified. Three studies involving 105 fractures
compared an intramedullary nail with a static fixation (condylar, blade or
locking plate). Plate fixation was associated with a fivefold increase risk of
fracture healing complications (19/52(36.6%) versus 4/53(7.5%), odds ratio 0.14,
95% Confidence intervals 0.04-0.45). Six studies involving 265 fractures compared
an intramedullary nail with a sliding hip screw. No statistically significant
difference was found in the occurrence of facture healing complications between
implants (13/137(9.5%) versus 11/128(8.6%) odds ratio 0.28, 95% Confidence
intervals 0.50-2.80). Bases on the evidence to date from randomised trials, the
use of fixed nail plates for surgical fixation of this type of fracture cannot be
justified. Intramedullary nail fixation and the sliding hip screw have comparable
fracture healing complication rates.
PMID- 29804881
TI - Perioperative incidence and locations of deep vein thrombosis following specific
isolated lower extremity fractures.
AB - PURPOSE: To determine perioperative incidence and locations of deep vein
thrombosis (DVT) in injured and uninjured lower extremities following isolated
lower extremity fractures (ILEFs). METHODS: Retrospective analysis of a
prospectively collected data of a consecutive patient series with ILEFs who
underwent surgical treatment between September 2014 and September 2017 was
performed. Patients' bilateral lower extremities were screened for DVT with
duplex ultrasonography (DUS) before and after surgery. DVT occurrence was
analyzed by location of DVT and fracture site. All patients received
pharmacologic thromboprophylaxis while hospitalized. Data on demographics, time
to surgery, time of DUS examinations, length of hospital stay and symptomatic
pulmonary embolism (PE) was collected. RESULTS: 1825 patients were included in
the study. The incidence of symptomatic PE was 1.6%. All patients were screened
with DUS of the bilateral lower extremities in a mean of 3.5 days (range: 0-18
days) after injury, and a mean of 3.6 days (range: 1-11 days) after surgery.
Preoperative DUS detected DVT in 547 patients (30.0%), including 3.7% of patients
with proximal DVT. 792 patients (43.4%) were found to have a DVT postoperatively,
but only 6.2% of patients with proximal DVT. Proximal DVT was detected
postoperatively of the represented fractures: 6.5% of the hip, 14.5% of the
femoral shaft, 4.5% of the tibial plateau, 4.6% of the tibial shaft, 1.7% of the
patellar, and 2.0% of the peri-ankle. Interestingly, the rate of DVT in an
uninjured lower limb was significantly higher postoperatively compared to
preoperatively (16.4% vs. 4.9%), however, only 0.2% of patients had proximal DVT.
CONCLUSIONS: While the perioperative incidence of overall DVT is high following
ILEFs, the majority were distal DVT, and the rate of symptomatic PE was low.
Femoral shaft fractures were associated with the highest incidence for proximal
DVT. The incidence was lower in more distal fractures. The majority of patients
diagnosed with DVT postoperatively had already shown symptoms of DVT prior to
surgery. DVT can occur in both the injured and uninjured leg, with an obviously
higher incidence in the injured leg. The incidence of proximal DVT in an
uninjured leg is rare.
PMID- 29804876
TI - Distant Insulin Signaling Regulates Vertebrate Pigmentation through the Sheddase
Bace2.
AB - Patterning of vertebrate melanophores is essential for mate selection and
protection from UV-induced damage. Patterning can be influenced by circulating
long-range factors, such as hormones, but it is unclear how their activity is
controlled in recipient cells to prevent excesses in cell number and migration.
The zebrafish wanderlust mutant harbors a mutation in the sheddase bace2 and
exhibits hyperdendritic and hyperproliferative melanophores that localize to
aberrant sites. We performed a chemical screen to identify suppressors of the
wanderlust phenotype and found that inhibition of insulin/PI3Kgamma/mTOR
signaling rescues the defect. In normal physiology, Bace2 cleaves the insulin
receptor, whereas its loss results in hyperactive insulin/PI3K/mTOR signaling.
Insulin B, an isoform enriched in the head, drives the melanophore defect. These
results suggest that insulin signaling is negatively regulated by melanophore
specific expression of a sheddase, highlighting how long-distance factors can be
regulated in a cell-type-specific manner.
PMID- 29804883
TI - Delayed disseminated intravascular coagulation revealed by spontaneous hematomas
after conservative treatment of placenta percreta.
PMID- 29804882
TI - Induction of anesthesia and recovery in donkeys sedated with xylazine: a
comparison of midazolam-alfaxalone and midazolam-ketamine.
AB - OBJECTIVE: To compare the induction and recovery characteristics and selected
cardiopulmonary variables of midazolam-alfaxalone or midazolam-ketamine in
donkeys sedated with xylazine. STUDY DESIGN: Randomized, blinded, crossover
experimental trial. ANIMALS: A group of seven adult male castrated donkeys
weighing 164 +/- 14 kg. METHODS: Donkeys were randomly administered midazolam
(0.05 mg kg-1) and alfaxalone (1 mg kg-1) or midazolam (0.05 mg kg-1) and
ketamine (2.2 mg kg-1) intravenously following sedation with xylazine, with >= 7
days between treatments. Donkeys were not endotracheally intubated and breathed
room air. Time to lateral recumbency, first movement, sternal recumbency and
standing were recorded. Induction and recovery were assigned scores between 1
(very poor) and 5 (excellent). Heart rate (HR), respiratory rate (fR), invasive
arterial blood pressures and arterial blood gases were measured before induction
and every 5 minutes following induction until first movement. RESULTS: Time to
lateral recumbency (mean +/- standard deviation) was shorter after alfaxalone (29
+/- 10 seconds) compared with ketamine (51 +/- 9 seconds; p = 0.01). Time to
first movement was the same between treatments (27 versus 23 minutes). Time to
standing was longer with alfaxalone (58 +/- 15 minutes) compared with ketamine
(33 +/- 8 minutes; p = 0.01). Recovery score [median (range)] was of lower
quality with alfaxalone [3 (2-5)] compared with ketamine [5 (3-5); p = 0.03].
There were no differences in HR, fR or arterial pressures between treatments. No
clinically important differences in blood gases were identified between
treatments. Five of seven donkeys administered alfaxalone became hypoxemic (PaO2
<60 mmHg; 8.0 kPa) and all donkeys administered ketamine became hypoxemic (p =
0.13). CONCLUSIONS AND CLINICAL RELEVANCE: Both midazolam-alfaxalone and
midazolam-ketamine produced acceptable anesthetic induction and recovery in
donkeys after xylazine sedation. Hypoxemia occurred with both treatments.
PMID- 29804884
TI - Breast cancer screening in young women.
AB - Breast cancer is the leading cause of death by cancer in women aged less than 40.
However, organized screening of young healthy women has been recognized as
inefficient and even deleterious by most experts [1], and should not been
offered. Individualized screening, targeting only some young high-risk women, may
be beneficial although no randomized trial has proven an impact on breast cancer
mortality. All recommendations are based on expert's opinions. This review offers
a toned overview of these recommendations and underlines the need of careful
information and shared decision with each patient.
PMID- 29804885
TI - Transvaginal ultrasound findings in small bowel herniation through a broad
ligament defect.
PMID- 29804886
TI - Persistent left superior vena cava - A vascular access without limitations.
PMID- 29804887
TI - Proton pump inhibitors and the risk of severe adverse events - A cardiovascular
bombshell?
AB - Proton pump inhibitors are currently one of the most prescribed pharmacological
classes in developed countries, given their effectiveness and safety profile,
which has until now been considered favorable. However, in recent years, several
papers have been published that associate prolonged use of these drugs with a
wide range of adverse effects, posing doubts about their safety. Among the
adverse effects described is an increased risk of cardiovascular events. This
relationship was first described in subjects after acute coronary syndrome due to
the interference of proton pump inhibitors in the cytochrome P450 2C19 and the
conversion of clopidogrel to its active metabolite. More recent studies have also
reported this relationship with the use of antiplatelet agents that do not depend
on cytochrome P450 2C19 activation. The proposed mechanism is inhibition of
dimethylarginine dimethylaminohydrolase, a physiological inhibitor of asymmetric
dimethylarginine, which increases plasma concentrations of the latter enzyme,
leading to lower levels of nitric oxide. By reviewing in this article the
relationship between the use of proton pump inhibitors and increased risk of
cardiovascular and cerebrovascular events, the authors aim to alert the medical
community to the potentially harmful effects of these drugs, and recommend the
setting of a moratorium on their prolonged use.
PMID- 29804888
TI - Dynamic nature of caseous mitral annular calcification.
PMID- 29804889
TI - An Intermediate Pluripotent State Controlled by MicroRNAs Is Required for the
Naive-to-Primed Stem Cell Transition.
AB - The embryonic stem cell (ESC) transition from naive to primed pluripotency is
marked by major changes in cellular properties and developmental potential. ISY1
regulates microRNA (miRNA) biogenesis, yet its role and relevance to ESC biology
remain unknown. Here, we find that highly dynamic ISY1 expression during the
naive-to-primed ESC transition defines a specific phase of "poised" pluripotency
characterized by distinct miRNA and mRNA transcriptomes and widespread poised
cell contribution to mouse chimeras. Loss- and gain-of-function experiments
reveal that ISY1 promotes exit from the naive state and is necessary and
sufficient to induce and maintain poised pluripotency, and that persistent ISY1
overexpression inhibits the transition from the naive to the primed state. We
identify a large subset of ISY1-dependent miRNAs that can rescue the inability of
miRNA-deficient ESCs to establish the poised state and transition to the primed
state. Thus, dynamic ISY1 regulates poised pluripotency through miRNAs to control
ESC fate.
PMID- 29804890
TI - An ERK-Dependent Feedback Mechanism Prevents Hematopoietic Stem Cell Exhaustion.
AB - Hematopoietic stem cells (HSCs) sustain hematopoiesis throughout life. HSCs exit
dormancy to restore hemostasis in response to stressful events, such as acute
blood loss, and must return to a quiescent state to prevent their exhaustion and
resulting bone marrow failure. HSC activation is driven in part through the
phosphatidylinositol 3-kinase (PI3K)/AKT/mTORC1 signaling pathway, but less is
known about the cell-intrinsic pathways that control HSC dormancy. Here, we
delineate an ERK-dependent, rate-limiting feedback mechanism that controls HSC
fitness and their re-entry into quiescence. We show that the MEK/ERK and PI3K
pathways are synchronously activated in HSCs during emergency hematopoiesis and
that feedback phosphorylation of MEK1 by activated ERK counterbalances AKT/mTORC1
activation. Genetic or chemical ablation of this feedback loop tilts the balance
between HSC dormancy and activation, increasing differentiated cell output and
accelerating HSC exhaustion. These results suggest that MEK inhibitors developed
for cancer therapy may find additional utility in controlling HSC activation.
PMID- 29804892
TI - Effects of Horticulture on Frail and Prefrail Nursing Home Residents: A
Randomized Controlled Trial.
AB - OBJECTIVE: Frail nursing home residents face multiple health challenges as a
result of their frail status. The aim of this study was to examine the effects of
HT on the psychosocial well-being of frail and prefrail nursing home residents.
DESIGN: Randomized controlled trial. SETTING: Nursing homes. PARTICIPANTS: One
hundred eleven participants were randomly allocated into the intervention
[horticultural therapy (HT)] and control (social activities) conditions.
INTERVENTION: HT group participants attended a weekly 60-minute session for 8
consecutive weeks. Control group activities were social in nature, without any
horticulture components. MEASUREMENTS: The outcome measures include happiness,
depressive symptoms, self-efficacy, well-being, social network, and social
engagement. The time points of measurement were at baseline (T0), immediately
postintervention (T1), and 12 weeks postintervention (T2). A modified intention
to-treat approach was adopted. A multivariate general estimating equation was
used to analyze the data. RESULTS: Forty-six and 50 participants received at
least 1 session of the intervention and control condition protocol, respectively.
A significant interaction effect between group and time was observed only on the
happiness scale (beta = 1.457, P = .036), but not on other outcome variables. In
a follow-up cluster analysis of those who received HT, a greater effect on
subjective happiness (mean difference = 6.23, P < .001) was observed for
participants who were happier at baseline. CONCLUSION: HT was found to be
effective in promoting subjective happiness for frail and prefrail nursing home
residents. Its favorable effect suggests that HT should be used to promote the
psychosocial well-being of those who are frail.
PMID- 29804894
TI - Enhancement of exposure therapy in participants with specific phobia: A
randomized controlled trial comparing yohimbine, propranolol and placebo.
AB - BACKGROUND: Recent research indicates that pharmacological agents may enhance
psychotherapeutic outcome. Yet, empirical results have not been conclusive with
respect to two pharmacological agents, yohimbine hydrochloride (YOH) and
propranolol. YOH is suggested to enhance emotional memory by elevating
norepinephrine, whereas the beta-adrenergic receptor antagonist propranolol might
help better cope with feared situations by reducing accompanying bodily
sensations. METHODS: In this controlled trial, fifty-six participants with
specific phobia were randomly assigned to either 1) virtual reality exposure
therapy (VRET) plus YOH, 2) VRET plus Propranolol, or 3) VRET plus placebo.
Participants in all conditions received three sessions of VRET over a period of
two weeks. RESULTS: We conducted 2 * 3 repeated measures MANOVA's. Results showed
a significant effect for time, with partial eta squared ranging from etap2 =
0.647 to etap2 = 0.692, for specific phobia, yet no significant interaction
effects were found. CONCLUSION: No significant differences were found when VRET
with YOH or a beta-blocker was compared to VRET with a non-active placebo.
Implications for clinical practice and future research are discussed.
PMID- 29804891
TI - Exit from Naive Pluripotency Induces a Transient X Chromosome Inactivation-like
State in Males.
AB - A hallmark of naive pluripotency is the presence of two active X chromosomes in
females. It is not clear whether prevention of X chromosome inactivation (XCI) is
mediated by gene networks that preserve the naive state. Here, we show that
robust naive pluripotent stem cell (nPSC) self-renewal represses expression of
Xist, the master regulator of XCI. We found that nPSCs accumulate Xist on the
male X chromosome and on both female X chromosomes as they become NANOG negative
at the onset of differentiation. This is accompanied by the appearance of a
repressive chromatin signature and partial X-linked gene silencing, suggesting a
transient and rapid XCI-like state in male nPSCs. In the embryo, Xist is
transiently expressed in males and in females from both X chromosomes at the
onset of naive epiblast differentiation. In conclusion, we propose that XCI
initiation is gender independent and triggered by destabilization of naive
identity, suggesting that gender-specific mechanisms follow, rather than precede,
XCI initiation.
PMID- 29804895
TI - Remembering psychiatric patients murdered by the Nazi regime in Germany.
PMID- 29804893
TI - Using PROMIS Pain Interference Items to Improve Quality Measurement in Inpatient
Rehabilitation Facilities.
AB - OBJECTIVE: To evaluate the Patient-Reported Outcomes Measurement Information
System (PROMIS) pain interference items for use in a quality measure and to
compare the resulting quality score, along with internal reliability and
validity, to a similar item set in the Minimum Data Set Version 3.0 (MDS).
DESIGN: Cross-sectional, observational study. SETTING: One freestanding inpatient
rehabilitation facility (IRF) and one large hospital-based IRF. PARTICIPANTS:
Patients with neurologic disorders. Of 1055 consecutive admissions, 26% were
excluded based on clinician-determined cognitive impairment or emotional
distress. Of the remainder, 50% consented and completed the survey near the end
of their IRF stay (N = 391). Of these, more than half (57%) reported pain over
the last day (n = 224). MEASUREMENTS: Psychometric statistics and quality scores
were computed from a 55-question survey, including the MDS and PROMIS pain
interference items. RESULTS: Estimates for internal reliability were higher for
the PROMIS 2-item scale compared to the MDS: Cronbach alpha (0.86 vs 0.48) and
interitem correlations (0.75 vs 0.31). The PROMIS-2 items were better able to
detect differences in patients with mild and severe pain intensity (Cohen d =
1.57) relative to the corresponding MDS items (Cohen d = 0.81). Two quality
scores based on the PROMIS-2 items, reflecting low and high levels of pain
interference, showed 46% or 12% of patients meeting these thresholds. This
compared to a 30% rate when patients were classified by the MDS as experiencing
pain interference. CONCLUSIONS: PROMIS pain interference items appear to be more
internally consistent than similar MDS items. The graded PROMIS items permit the
creation of multiple quality scores, showing predictable overlap with
corresponding MDS quality scores. Because PROMIS items provide finer
distinctions, they allow greater latitude in reporting quality scores. We
recommend further study of pain interference scores across IRFs to improve their
reliability and validity.
PMID- 29804896
TI - Evolution and Uptake of the Endoscopic Stone Treatment Step 1 (EST-s1) Protocol:
Establishment, Validation, and Assessment in a Collaboration by the European
School of Urology and the Uro-Technology and Urolithiasis Sections.
PMID- 29804897
TI - Left Atrial Function Is Associated with Earlier Need for Cardiac Surgery in
Moderate to Severe Mitral Regurgitation: Usefulness in Targeting for Early
Surgery.
AB - BACKGROUND: The aim of this study was to determine whether assessment of left
atrial (LA) function helps identify patients at risk for early deterioration
during follow-up with mitral valve prolapse and mitral regurgitation. METHODS:
Patients with moderate to severe mitral regurgitation but no guideline-based
indications for surgery were retrospectively identified from a dedicated clinical
database. Maximal and minimal LA volumes were used to derive total LA emptying
fraction ([maximal LA volume - minimal LA volume]/maximal L volume * 100%).
Average values of peak contractile, conduit, and reservoir strain were obtained
using two-dimensional speckle-tracking imaging. The study outcome was time to
mitral surgery. RESULTS: One hundred seventeen patients were included; median
follow-up was 18 months. Sixty-eight patients underwent surgery. Receiver
operating characteristic curves were used to derive optimal cutoffs for TLAEF
(>50.7%) and strain (reservoir, >28.5%; contractile, >12.5%). Using Cox analysis,
TLAEF and contractile, reservoir, and conduit strain were univariate predictors
of time to event. After multivariate analysis, TLAEF (hazard ratio, 2.59; P =
.001), reservoir strain (hazard ratio, 3.06; P < .001), and contractile strain
(hazard ratio, 2.01; P = .022) remained independently associated with events, but
conduit strain did not. Using Kaplan-Meier curves, event-free survival was
considerably improved in patients with values above the derived thresholds
(TLAEF: 1-year survival, 78 +/- 5% vs 28 +/- 8%; 3-year survival, 68 +/- 6% vs 13
+/- 5%; P < .001 for both; reservoir strain: 1-year survival, 79 +/- 5% vs 29 +/-
7%; 3-year survival, 67 +/- 6% vs 15 +/- 6%; P < .001 for both; contractile
strain: 1-year survival, 80 +/- 5% vs 41 +/- 7%; 3-year survival, 69 +/- 6% vs 24
+/- 6%; P < .001 for both). CONCLUSION: LA function is independently associated
with surgery-free survival in patients with mitral valve prolapse and moderate to
severe mitral regurgitation. Quantitative assessment of LA function may have
clinical utility in guiding early surgical intervention in these patients.
PMID- 29804898
TI - Sleeve Gastrectomy: Metabolic Surgical Procedure of Choice?
AB - Roux-en-Y gastric bypass and sleeve gastrectomy (SG) are fairly similar in terms
of their long-term effects on excess body weight, cardiometabolic risk factors,
and quality of life. However, SG appears to be a safer procedure with distinct
metabolic advantages, which can be even better than gastric bypass in some
aspects.
PMID- 29804900
TI - Is there room for another HER2-targeting drug?
PMID- 29804899
TI - Influence of the microbiota and probiotics in obesity.
AB - Gut microbiota plays a key role in the control of body weight. In the present
review the different ways in which it can modify the energy homeostasis of the
host are exposed, based on its capacity to modify the metabolism of the
individual and its contribution in the energy consumption regulation. With the
current evidence, it is not clear what microbiota profile is associated with the
presence of obesity, although in animal models it seems to be related to a higher
proportion of bacteria of the Firmicutes phylum, to the detriment of those of the
Bacteroidetes phylum. Other factors clearly involved would be the diversity in
the gut microbiota or its possible functional changes. More studies in humans are
needed to clarify how dysbiosis can influence weight control. On the other hand,
probiotics directly affect the gut microbiota, modulating its composition and,
possibly, its functionality. A large number of studies in humans have evaluated
the impact of probiotics on obesity. Although this intervention may have a
potentially beneficial effect, more effort is needed to clarify which strains of
probiotics should be recommended, at what dose and for how long.
PMID- 29804901
TI - Responses to carboplatin in BRCA1/2-mutated breast cancer.
PMID- 29804903
TI - Addition of mitoxantrone and prednisone to ADT.
PMID- 29804904
TI - Ribociclib in premenopausal women with advanced breast cancer.
PMID- 29804905
TI - Tucatinib with capecitabine and trastuzumab in advanced HER2-positive metastatic
breast cancer with and without brain metastases: a non-randomised, open-label,
phase 1b study.
AB - BACKGROUND: Tucatinib is a potent and selective oral HER2 tyrosine kinase
inhibitor, with the potential to provide a well tolerated new treatment option
for patients whose disease has progressed on currently available therapies. We
aimed to determine the recommended phase 2 dose, safety, pharmacokinetics, and
preliminary activity of tucatinib in combination with capecitabine or trastuzumab
in patients with HER2-positive breast cancer with or without brain metastases.
METHODS: In this non-randomised, open-label, phase 1b trial done in five sites in
the USA, we recruited patients aged 18 years or older with HER2-positive
progressive breast cancer who had been previously treated with trastuzumab,
pertuzumab, and trastuzumab emtansine. Eligible patients required HER2-positivity
assessed locally, evaluable lesions as defined per Response Evaluation Criteria
in Solid Tumors, version 1.1, and an Eastern Cooperative Oncology Group
performance status of 0 or 1. Tucatinib was administered twice a day in
conjunction with capecitabine 1000 mg/m2 orally twice a day for 14 days of a 21
day cycle, trastuzumab 6 mg/kg intravenously once every 21 days, or both. A
modified 3 + 3 dose-escalation design was used to determine the recommended phase
2 dose, starting with tucatinib in combination with capecitabine or trastuzumab,
and subsequently evaluating the triplet combination. The primary endpoint was to
establish the maximum tolerated dose and recommended phase 2 dose of tucatinib,
evaluated by toxicity assessments. Efficacy was assessed in all patients by
contrast CT of the body. Analyses included all patients who had received at least
one dose of study treatment. The study is registered with ClinicalTrials.gov,
number NCT02025192. FINDINGS: Between Jan 15, 2014, and Dec 15, 2015, 60 patients
were enrolled and treated. The current report is from mature data as of June 30,
2017. The tucatinib recommended phase 2 dose was determined to be 300 mg orally
twice a day, equivalent to single-agent maximum tolerated dose. Pharmacokinetic
analysis showed that there was no drug-drug interaction with capecitabine.
Adverse events seen at the recommended phase 2 dose regardless of causality,
grade, and treatment group included diarrhoea (35 [67%] of 52 patients), nausea
(31 [60%] patients), palmar-plantar erythrodysaesthesia syndrome (23 [44%]
patients), fatigue (20 [38%] patients), and vomiting (20 [38%] patients). In all
patients, treatment-related toxicities of grade 3 and worse included fatigue
(five [8%] patients), diarrhoea (four [7%] patients), and palmar-plantar
erythrodysaesthesia (four [7%] patients). No treatment-related deaths were
reported. The proportion of patients with measurable disease achieving objective
response was 83% (five of six patients) in the combination of tucatinib with
capecitabine, 40% (six of 15 patients) in the combination of tucatinib with
trastuzumab, and 61% (14 of 23 patients) in the combination of tucatinib with
both capecitabine and trastuzumab. INTERPRETATION: Tucatinib in combination with
capecitabine and trastuzumab had acceptable toxicity and showed preliminary anti
tumour activity. Validation of the current study results will be determined in
the double-blinded randomised study, HER2CLIMB (ONT-380-206; NCT02614794).
FUNDING: Cascadian Therapeutics, a wholly owned subsidiary of Seattle Genetics.
PMID- 29804902
TI - Ribociclib plus endocrine therapy for premenopausal women with hormone-receptor
positive, advanced breast cancer (MONALEESA-7): a randomised phase 3 trial.
AB - BACKGROUND: In MONALEESA-2, ribociclib plus letrozole showed improved progression
free survival compared with letrozole alone as first-line treatment for
postmenopausal patients with hormone receptor (HR)-positive, HER2-negative,
advanced breast cancer. MONALEESA-7 aimed to assess the efficacy and safety of
ribociclib plus endocrine therapy in premenopausal women with advanced, HR
positive breast cancer. METHODS: This phase 3, randomised, double-blind, placebo
controlled trial was done at 188 centres in 30 countries. Eligible patients were
premenopausal women aged 18-59 years who had histologically or cytologically
confirmed HR-positive, HER2-negative, advanced breast cancer; an Eastern
Cooperative Oncology Group performance status of 0 or 1; measurable disease as
per Response Evaluation Criteria in Solid Tumors version 1.1 criteria, or at
least one predominantly lytic bone lesion; and had not received previous
treatment with cyclin-dependent kinases 4 and 6 inhibitors. Endocrine therapy and
chemotherapy in the adjuvant or neoadjuvant setting was permitted, as was up to
one line of chemotherapy for advanced disease. Patients were randomly assigned
(1:1) via interactive response technology to receive oral ribociclib (600 mg/day
on a 3-weeks-on, 1-week-off schedule) or matching placebo with either oral
tamoxifen (20 mg daily) or a non-steroidal aromatase inhibitor (letrozole 2.5 mg
or anastrozole 1 mg, both oral, daily), all with goserelin (3.6 mg administered
subcutaneously on day 1 of every 28-day cycle). Patients and investigators were
masked to treatment assignment. Efficacy analyses were by intention to treat, and
safety was assessed in all patients who received at least one dose of any study
treatment. The primary endpoint was investigator-assessed progression-free
survival. MONALEESA-7 is registered with ClinicalTrials.gov, NCT02278120 and is
ongoing, but no longer enrolling patients. FINDINGS: Between Dec 17, 2014, and
Aug 1, 2016, 672 patients were randomly assigned: 335 to the ribociclib group and
337 to the placebo group. Per investigator's assessment, median progression-free
survival was 23.8 months (95% CI 19.2-not reached) in the ribociclib group
compared with 13.0 months (11.0-16.4) in the placebo group (hazard ratio 0.55,
95% CI 0.44-0.69; p<0.0001). Grade 3 or 4 adverse events reported in more than
10% of patients in either group were neutropenia (203 [61%] of 335 patients in
the ribociclib group and 12 [4%] of 337 in the placebo group) and leucopenia (48
[14%] and four [1%]). Serious adverse events occurred in 60 (18%) of 335 patients
in the ribociclib group and 39 (12%) of 337 in the placebo group, of which 15
(4%) and six (2%), respectively, were attributed to the study regimen. 12 (4%) of
335 patients in the ribociclib group and ten (3%) of 337 in the placebo group
discontinued treatment because of adverse events. No treatment-related deaths
occurred. 11 deaths occurred (five [1%] in the ribociclib group and six [2%] in
the placebo group) during or within 30 days after treatment, most of which were
due to progression of the underlying breast cancer (three [1%] and six [2%]). The
remaining two deaths in the ribociclib group were due to an intracranial
haemorrhage in an anticoagulated patient, and a pre-existing wound haemorrhage in
another patient. INTERPRETATION: Ribociclib plus endocrine therapy improved
progression-free survival compared with placebo plus endocrine therapy, and had a
manageable safety profile in patients with premenopausal, HR-positive, HER2
negative, advanced breast cancer. The combination could represent a new first
line treatment option for these patients. FUNDING: Novartis.
PMID- 29804906
TI - A Comparison Study of Vector Velocity, Spectral Doppler and Magnetic Resonance of
Blood Flow in the Common Carotid Artery.
AB - Magnetic resonance phase contrast angiography (MRA) is the gold standard for
blood flow evaluation. Spectral Doppler ultrasound (SDU) is the first clinical
choice, although the method is angle dependent. Vector flow imaging (VFI) is an
angle-independent ultrasound method. The aim of the study was to compare VFI- and
SDU-estimated peak systolic velocities (PSV) of the common carotid artery (CCA)
with PSV obtained by MRA. Furthermore, intra- and inter-observer agreement was
determined. MRA estimates were significantly different from SDU estimates (left
CCA: p < 0.001, right CCA: p < 0.001), but not from VFI estimates (left CCA: p =
0.28, right CCA: p = 0.18). VFI measured lower PSV in both CCAs compared with SDU
(p < 0.001) with improved precision (VFI: left: 24%, right: 18%; SDU: left 38%,
right: 23%). Intra- and inter-observer agreement was almost perfect for VFI and
SDU (inter-observer correlation coefficient: VFI 0.88, SDU 0.91; intra-observer
correlation coefficient: VFI 0.96, SDU 0.97). VFI is more accurate than SDU in
evaluating PSV compared with MRA.
PMID- 29804907
TI - Transcaval approach for endovascular aortic interventions: A systematic review.
AB - The caval-aortic path is a novel access route for endovascular aortic
interventions in aortic interventions, particularly for patients unsuitable for
traditional access routes including femoral, subclavian, transapical, and aortic.
A systematic review was conducted as per the PRISMA guidelines utilizing three
electronic databases: Medline, Embase, and Cochrane database. There were 10
studies identified, including 7 retrospective studies, 1 prospective cohort
study, and 2 case reports. Data on 209 patients (mean age 79.5+/-5.1 years; 51.2%
male) were abstracted including preoperative work-up, technical procedure
details, and outcomes. The overall technical success rate for all procedures is
96.2%, with a 4.3% mortality rate and a mean follow up of 17.9+/-19.8 months.
Individually in the various interventions, the technical success rate of
transcaval endoleak repair and transcatheter aortic valve implantation (TAVI) was
94.4% and 97.5% respectively, with a low 30-day mortality rate of 7.6% in the
TAVI intervention. There is encouraging evidence regarding the rates of mortality
and complications in the transcaval approach for endovascular aortic
interventions. It presents a feasible alternative for a judiciously select group
of patients who are not suitable for other access routes.
PMID- 29804908
TI - Predictive ability and efficacy for shortening door-to-balloon time of a new
prehospital electrocardiogram-transmission flow chart in patients with ST
elevation myocardial infarction - Results of the CASSIOPEIA study.
AB - BACKGROUND: The purposes of this study were to create a new flow-chart of
prehospital electrocardiography (ECG)-transmission, evaluate its predictive
ability for ST-elevation myocardial infarction (STEMI) and shorten door-to
balloon time (DTBT). METHOD AND RESULTS: The new transmission flow-chart was
created using symptoms from previous medical records of STEMI patients. A total
of 4090 consecutive patients transferred emergently to our hospital were divided
into two groups: those in ambulances with an ECG-transmission device with the new
flow-chart (ECGT-FC) and those transferred without an ECG-transmission device
(non-ECGT) groups. A STEMI group comprising walk-in patients during the same
period was used as a control group. The predictive ability of STEMI and the
effectiveness of shortening the DTBT by the new flow-chart of ECG-transmission
was evaluated. In the ECGT-FC group, the prevalence of STEMI in the ECG
transmission by the new flow-chart were significantly higher than in the non-ECG
transmission patients (6.71% vs. 0.19%; p<0.001). The sensitivity and specificity
of the new ECG-transmission flow-chart were 83.3% and 88.1%, respectively. The
median DTBT was significantly shortened (p=0.045) and the prevalence of
DTBT<90min was significantly higher in the ECGT-FC group (p=0.018) than the other
groups. CONCLUSION: The sensitivity and specificity of the new flow-chart for ECG
transmission were high. The new flow-chart combined with an ECG-transmission
device could detect STEMI efficiently and shorten DTBT.
PMID- 29804909
TI - Familial chylomicronemia syndrome: Bringing to life dietary recommendations
throughout the life span.
AB - BACKGROUND: Familial chylomicronemia syndrome (FCS) is a rare autosomal recessive
disorder with loss of function mutations of lipoprotein lipase resulting in
hypertriglyceridemia and accumulation of chylomicrons in plasma, often leading to
acute pancreatitis. The mainstay of treatment is a specialized very-low-fat diet.
Even adhering to the diet, some patients may experience high triglycerides and
pancreatitis. There currently are no comprehensive dietary guidelines. OBJECTIVE:
To report best practices and develop comprehensive dietary guidelines for
nutrition therapy in patients with FCS. METHODS: Registered dietitian
nutritionists (RDNs) convened to develop this report based on experience treating
patients with FCS and a review of current literature on the topic. One author
provided a patient perspective of living with FCS. RESULTS: This report provides
guidelines and rationales for nutrition therapy associated with FCS across the
life span. The top global guidelines are to (1) limit fat to <15 to 20 g per day
(<10%-15% of total daily energy intake); (2) meet recommendations for essential
fatty acids: alpha-linolenic acid and linoleic acid; (3) choose complex
carbohydrate foods while limiting simple and refined carbohydrate foods; (4)
supplement with fat-soluble vitamins, minerals, and medium-chain triglyceride
oil, as needed; (5) adjust calories for weight management. Recommended foods
include vegetables, whole grains, legumes, lean protein foods, fruits in limited
amounts, and fat-free milk products without added sugars. Foods to avoid include
alcohol and products high in sugar. CONCLUSIONS: These patient-centered nutrition
guidelines provide guidance to help patients adhere to the recommended diet and
optimize nutritional needs.
PMID- 29804910
TI - Reform of a Senior Medical Student Radiology Elective Using a Needs Assessment.
PMID- 29804911
TI - What Are the Indications for Prophylactic Embolization of Renal Angiomyolipomas?
A Review of the Current Evidence in the Literature.
AB - Renal angiomyolipomas (AMLs) are benign tumours that may occur sporadically in
the general population or in patients with tuberous sclerosis complex. The
concern with AMLs is that of retroperitoneal hemorrhage, which can be fatal.
Classically the trigger for prophylactic intervention was thought to be an AML
diameter of >=4 cm. However, this value is largely based on data from case series
and heterogeneous retrospective studies. The PICO (patient, intervention,
comparison, outcome) paradigm was used to systematically search the Cochrane
database, TRIP database, and PubMed. The quality of evidence in the literature is
poor regarding the indications for prophylactic embolization of AMLs (level 4).
There are no prospective studies that adequately assess embolization vs other
treatment modalities. However, using the available evidence we have produced
recommendations for when intervention should be considered. We have also made
recommendations regarding the direction of future research.
PMID- 29804912
TI - Immediate physical therapy without postoperative restrictions following open
subpectoral biceps tenodesis: low failure rates and improved outcomes at a
minimum 2-year follow-up.
AB - HYPOTHESIS: We aimed to determine patient-reported outcomes in patients
undergoing open subpectoral biceps tenodesis with a dual-fixation construct who
had no postoperative range-of-motion or weight-bearing restrictions. Our
hypothesis was that patients without postoperative restrictions would have low
failure rates with improved patient-reported outcomes. We further hypothesized
that this technique would allow an earlier return to activity and similar
functional outcomes when compared with those reported in the literature. METHODS:
In this institutional review board-approved retrospective outcome study, we
evaluated 105 patients who underwent primary open subpectoral biceps tenodesis
with a bicortical suture button and interference screw construct without
postoperative restrictions. The primary outcome measure was failure of the biceps
tenodesis. Postoperative outcome scores included the Short Form 12 (SF-12)
Physical Component Score; SF-12 Mental Component Score; American Shoulder and
Elbow Surgeons total score and subscales; and Disabilities of the Arm, Shoulder
and Hand score. RESULTS: A total of 98 patients (85%) were available for final
follow-up at an average of 3.5 years. There were 2 failures (2.2%), at 5 weeks
and 9 weeks postoperatively. Four patients underwent additional surgery unrelated
to the previous tenodesis procedure. Final outcome scores indicated high levels
of function, including the SF-12 Physical Component Score (mean, 51.5; SD, 7.8),
SF-12 Mental Component Score (mean, 54.7; SD, 6.7), American Shoulder and Elbow
Surgeons total score (mean, 89.4; SD, 14.2), and Disabilities of the Arm,
Shoulder and Hand score (mean, 11.3; SD, 13.4). CONCLUSION: Open subpectoral
biceps tenodesis using a dual-fixation construct with no postoperative motion
restrictions resulted in excellent outcomes with a low incidence of failure.
PMID- 29804913
TI - Does total shoulder arthroplasty improve patients' activity levels?
AB - BACKGROUND: Total shoulder arthroplasty (TSA) decreases pain, improves range of
motion, and increases strength. Whether these improvements translate to
improvements in activity levels postoperatively remains unknown. The Shoulder
Activity Level (SAL) is a valid and reliable outcomes survey that measures the
patient's activity level. Currently, no studies have specifically examined the
effect of TSA on SAL. METHODS: A prospective collection of preoperative, patient
determined outcomes on patients undergoing TSA was compared with postoperative
scores at a minimum of 2 years. These scores included the SAL, Western Ontario
Osteoarthritis of the Shoulder Index (WOOS), American Shoulder and Elbow Surgeons
(ASES) Standardized Shoulder Assessment, Single Assessment Numeric Evaluation
(SANE), and the Simple Shoulder Test (SST). Inclusion criteria were patients
undergoing primary anatomic TSA or reverse TSA. RESULTS: A mean follow-up of 3.7
years was available for 80 anatomic and 42 reverse TSAs. Anatomic TSAs had
improvements from median preoperative scores to median postoperative scores for
WOOS (34 to 89; P < .0001), ASES (30 to 87; P < .0001), SST (2 to 9; P < .0001),
and SANE scores (23 to 90; P < .0001). The SAL improved from 7 to 8 but did not
quite reach statistical significance (P = .07). Reverse TSAs had improvements
from median preoperative scores to median postoperative scores for WOOS (31 to
83; P < .0001), ASES (29 to 82; P < .0001), SST (2 to 7; P < .0001), and SANE
scores (20 to 85; P < .0001). The SAL improved from 4.5 to 6, but this did not
reach statistical significance (P = .38). However, when anatomic and reverse TSAs
were analyzed together, a statistically significant improvement was found
postoperatively in the SAL (from 6 to 8; P = .006). CONCLUSIONS: Anatomic TSA and
reverse TSA improved activity levels. In addition, disease-specific and joint
specific quality of life scores all had statistically significant improvements.
This study suggests that after shoulder arthroplasty patients in general have (1)
significant improvements in their quality of life and (2) have small improvements
in activity level. This study shows that most patients do not have to decrease
their activity levels to diminish symptoms to an acceptable range.
PMID- 29804914
TI - Electrical impedance imaging of human muscle at the microscopic scale using a
multi-electrode needle device: A simulation study.
AB - OBJECTIVE: To use a standard modeling approach to evaluate the feasibility of
imaging healthy and diseased skeletal muscle at the microscopic scale with a
novel electrical impedance imaging (EII) needle. METHODS: We modeled an EII
needle containing 16 impedance electrodes arranged circumferentially around the
shaft of a non-conductive 19-gauge needle in 4 planes. We then combined the
finite element method approach with a reconstruction algorithm to create imaging
simulations of the electrical properties of the triceps brachii by localized
intramuscular fat (as might be seen in any chronic neuromuscular disease) and by
localized edema (as in inflammatory myositis or after direct muscle injury).
RESULTS: We were able to image a 1 cm radial region of interest with a resolution
of 200 um. Modeling localized deposition of fat and pockets of inflammatory
cells, showing clear differences between the two modeled clinical states.
CONCLUSIONS: This modeling study shows needle EII's ability to image the internal
composition of muscle. These results can serve as an initial guide in designing
and manufacturing prototype EII needles for experimental testing in animals and
eventually in humans. SIGNIFICANCE: Needle EII could serve as a new minimally
invasive technique for imaging human muscle at the microscopic scale, potentially
serving as a new biomarker to assess disease response to therapy.
PMID- 29804915
TI - From the Editor.
PMID- 29804916
TI - Corrigendum to "Comparison Between Oscillometric and Intra-arterial Blood
Pressure Measurements in Ill Preterm and Full-term Neonates" Journal of American
Society of Hypertension, January 2014, Volume 8, Issue 1, Pages 36-44.
PMID- 29804917
TI - Predictive biomarkers for acute gallstone pancreatitis in the pediatric
population.
AB - BACKGROUND: Early biomarkers for diagnosis of gallstone pancreatitis (GP) in
pediatrics have not been well studied. Reliably differentiating GP from other
causes of acute pancreatitis (AP) would allow for early diagnosis and prompt
management. We sought to assess biomarkers and clinical variables for early GP
diagnosis from a prospectively-enrolled registry of pediatric patients presenting
with first AP episode. METHODS: Cross-sectional analysis of a prospective acute
pancreatitis registry of children enrolled from March 2013 through October 2016
was performed. Fisher's exact test and Wilcoxon rank sum test were used to
compare demographic and clinical variables between GP and non-GP groups. A
multivariable logistic regression model was derived, and receiver operating
characteristic (ROC) curve was built using stepwise selection. RESULTS: 114
subjects were enrolled (21 with GP, 93 as non-GP). Median was statistically
higher for GP patients in lipase values X upper limit of normal (ULN) on
admission, weight percentile for age, alanine aminotransferase, aspartate
aminotransferase, and gamma-glutamyl transferase. By multivariable analysis,
significant predictors were ALT and Lipase xULN. A model built using these two
variables for prediction of GP identified an AUROC of 0.85. At a predictive
probability of 0.35, the model had an 80% sensitivity, 93% specificity, 76%
positive predictive value and 95% negative predictive value. CONCLUSIONS: We have
developed a model for predicting GP in children that could help guide clinical
management of AP patients. Future studies are needed to validate use of
laboratory findings and clinical variables in evaluation of gallstone etiology in
pediatric AP patients.
PMID- 29804918
TI - Iron deficiency in chronic kidney disease patients with diabetes mellitus.
AB - BACKGROUNDS: Iron deficiency has been studied extensively in patients with
chronic kidney disease on hemodialysis therapy. However, few studies looked at
iron treatment in the non-dialysis chronic kidney disease population. METHODS:
Five hundred and eighty patients were studied (247 were diabetic persons).
Patients were divided into 4 groups: non-diabetic subjects without CKD, non
diabetic ones with GFR < 60 mL/min, diabetic persons without CKD and diabetic
ones with GFR < 60 mL/min). Iron deficiency was diagnosed when serum ferritin
level was <100 mg/dl. It was defined as diminished iron availability when
ferritin was above 100 mg/dl and serum transferrin saturation (TSAT) was <20%.
RESULTS: Anemia was more frequent in the diabetic CKD patients group (52.4%, p <
0.001). Anemia prevalence was also higher in all CKD patients as well as in
diabetic patients compared with non-diabetic ones. Iron deficiency was more
frequent in diabetic patients. Among CKD diabetic patients the prevalence of iron
deficiency was higher than in non-diabetic CKD ones. Diminished iron availability
prevalence was higher in non-diabetic patients. Logistic regression analysis
showed that only sex and diabetes mellitus were independently associated with
iron deficiency. CONCLUSIONS: Anemia was more common in diabetic CKD patients.
Diabetes mellitus was independently associated with iron deficiency.
Surprisingly, diminished iron availability was not more frequent in diabetic
patients. The physio-pathological mechanisms that could explain these findings
remain to be elucidated.
PMID- 29804919
TI - Ultrasound Produces Extensive Brain Activation via a Cochlear Pathway.
AB - Ultrasound (US) can noninvasively activate intact brain circuits, making it a
promising neuromodulation technique. However, little is known about the
underlying mechanism. Here, we apply transcranial US and perform brain mapping
studies in guinea pigs using extracellular electrophysiology. We find that US
elicits extensive activation across cortical and subcortical brain regions.
However, transection of the auditory nerves or removal of cochlear fluids
eliminates the US-induced activity, revealing an indirect auditory mechanism for
US neural activation. Our findings indicate that US activates the ascending
auditory system through a cochlear pathway, which can activate other non-auditory
regions through cross-modal projections. This cochlear pathway mechanism
challenges the idea that US can directly activate neurons in the intact brain,
suggesting that future US stimulation studies will need to control for this
effect to reach reliable conclusions.
PMID- 29804920
TI - Ultrasonic Neuromodulation Causes Widespread Cortical Activation via an Indirect
Auditory Mechanism.
AB - Ultrasound has received widespread attention as an emerging technology for
targeted, non-invasive neuromodulation based on its ability to evoke
electrophysiological and motor responses in animals. However, little is known
about the spatiotemporal pattern of ultrasound-induced brain activity that could
drive these responses. Here, we address this question by combining focused
ultrasound with wide-field optical imaging of calcium signals in transgenic mice.
Surprisingly, we find cortical activity patterns consistent with indirect
activation of auditory pathways rather than direct neuromodulation at the
ultrasound focus. Ultrasound-induced activity is similar to that evoked by
audible sound. Furthermore, both ultrasound and audible sound elicit motor
responses consistent with a startle reflex, with both responses reduced by
chemical deafening. These findings reveal an indirect auditory mechanism for
ultrasound-induced cortical activity and movement requiring careful consideration
in future development of ultrasonic neuromodulation as a tool in neuroscience
research.
PMID- 29804921
TI - Muller-Weiss Disease: Three- to Eight-Year Follow-Up Outcomes of Isolated
Talonavicular Arthrodesis.
AB - Numerous surgical techniques for the treatment of Muller-Weiss disease (MWD) have
been reported. However, no extensive clinical and radiographic studies of
isolated talonavicular arthrodesis and MWD have been reported. The present
retrospective cohort study examined the outcomes of isolated talonavicular
arthrodesis at 3 to 8 years of follow-up in 16 MWD patients with a collapsed
longitudinal arch and at least Maceira stage III. Demographic data, pre- and
postoperative visual analog scale (VAS) scores for pain on walking and walking
disability, foot and ankle outcome scores (FAOSs), and radiographic parameters
were analyzed, with statistical significance at p < .05. A survival analysis was
used to determine the median time to union. The mean +/- standard deviation pre-
and postoperative VAS scores for pain on walking were 7.69 +/- 1.62 and 2.19 +/-
1.52 and the walking disability scores were 7.06 +/- 2.11 and 2.31 +/- 1.92,
respectively. The pre- and postoperative FAOSs were 48.07 +/- 21.50 and 82.27 +/-
13.86 for activities of daily living, 30.86 +/- 19.70 and 76.17 +/- 22.39 for
quality of life, and 20.93 +/- 22.89 and 51.88 +/- 23.66 for sports/recreation,
respectively. The median pre- and postoperative FAOSs for the symptoms subscale
were 73.22 (range 42.88 to 100.00) and 87.50 (35.71 to 100.00) and for pain were
34.72 (range 8.33 to 72.22) and 88.89 (54.41 to 100.00), respectively.
Significant improvements occurred from preoperatively to postoperatively for VAS
scores and FAOSs (p < .05). The mean pre- and postoperative calcaneal pitch
angles were 11.31 degrees +/- 4.35 degrees and 13.81o +/- 5.60o, significant
improvement (p = .016). Improvement was also seen midfoot abduction, with a mean
pre- and postoperative anteroposterior Meary's angle of 14.38 degrees +/- 10.07
degrees and 9.38 degrees +/- 12.21 degrees . The survival analysis showed union
was achieved in all patients, with a median time to union of 2 (95% confidence
interval 1.03 to 3.00) months. Our data indicate that talonavicular arthrodesis
provides satisfactory functional outcomes for MWD patients with a collapsed
longitudinal arch.
PMID- 29804922
TI - An Innovative Application of the Free Vascularized Medial Femoral Condyle Flap in
the Prevention of Recurring Neuropathic Ulcer in the Diabetic Foot: A Case
Report.
AB - Despite various therapy options, the prophylactic and symptomatic treatment of
recurrent ulcerations in the diabetic foot are still challenging. We report the
application of a free vascularized medial femoral condyle flap to prevent the
recurrence of pressure ulcer in a patient with diabetic foot syndrome. Our
patient had type 2 diabetes and presented with pressure ulcers and osteomyelitis
of metatarsal heads 2 and 3 after a great toe amputation. We chose to use a
medial femoral condyle flap as a damper in the area of the metatarsal heads
because of the relatively young age and good vascularity of our patient. We
shaped the graft like a ski to distribute the pressure and prevent perforation of
the plantar skin. Good results were achieved for wound healing, pain reduction,
and improvement of gait. No pressure ulceration had recurred after a 3-year
follow-up period. The versatility of the osteomyocutaneous graft from the medial
femoral condyle is an important reconstructive tool for addressing major surgical
problems. We present the first use of a medial femoral condyle flap in the
treatment of a pressure ulcer in a diabetic foot. In selected patients, our
method could prevent premature and extended amputations, thereby providing good
improvement in patients' quality of life.
PMID- 29804923
TI - Reshaping the Immune Tumor Microenvironment Through IRE1 Signaling.
AB - The ability of a tumor cell to cope with environmental and intracellular stress
depends on its capacity to activate appropriate adaptive pathways. As such, the
endoplasmic reticulum (ER) adjusts the adaptive capacity of tumor cells by
engaging the unfolded protein response (UPR). The UPR maintains the functionality
of the secretory pathway, thereby allowing tumor cells to shape their
microenvironment, thus likely determining the nature of the tumor immune
response. Consequently, this makes the UPR very relevant in the context of cancer
therapeutics. We focus here on inositol-requiring enzyme 1alpha (IRE1) and
compile novel molecular mechanisms demonstrating that tumoral UPR controls the
tumor microenvironment (TME) and the immune response, therefore opening potential
novel therapeutic avenues.
PMID- 29804924
TI - Quality and competence in endoscopic retrograde cholangiopancreatography - Where
are we 50 years later?
AB - Training in endoscopic retrograde cholangiopancreatography (ERCP) requires the
development of technical, cognitive, and integrative skills well beyond those
needed for standard endoscopic procedures. So far, there are limited data
regarding what constitutes competency in ERCP, including achievement and
maintenance. Recent studies have highlighted overall procedural numbers are not
enough to warrant competency, although more is better. We performed a
comprehensive literature search until June 2017 using predetermined search terms
to identify relevant articles and summarized their results as a narrative review.
Selective native papilla deep cannulation should be used as a benchmark for
assessing successful cannulation. Accurate and validated ERCP performance
measures are needed to develop a curriculum that allows transition from numbers
based competency. However, available guidelines fail to state what degree of
hands-on involvement is required by the trainee for the case to be counted in
their overall procedural numbers. Qualitative assessment of competency should be
done by trained raters using specially designed assessment tools. Competence
continues to increase with practice following formal training in a fairly steady
manner. The learning curve for overall common bile duct cannulation success may
be a readily available surrogate for individual trainee progression and may
correspond to learning curves for therapeutic interventions.
PMID- 29804925
TI - Factor structure of the positive and negative syndrome scale (PANSS) in people at
ultra high risk (UHR) for psychosis.
AB - INTRODUCTION: The Positive and Negative Syndrome Scale (PANSS), a comprehensive
psychopathology assessment scale used in the evaluation of psychopathology in
schizophrenia, is also often used in the Ultra-High-Risk (UHR) population. This
paper examined the dimensional structure of the PANSS in a UHR sample. METHODS: A
total of 168 individuals assessed to be at UHR for psychosis on the Comprehensive
Assessment of At-Risk Mental States (CAARMS) were evaluated on the PANSS, Calgary
Depression Scale for Schizophrenia (CDSS), Beck Anxiety Inventory (BAI), Brief
Assessment of Cognition in Schizophrenia (BACS), and Global Assessment of
Functioning (GAF). Exploratory factor analysis (EFA) of the PANSS was performed
to identify the factorial structure. Convergent validity was explored with the
CAARMS, CDSS, BAI and BACS. RESULTS: EFA of the PANSS yielded five symptom
factors - Positive, Negative, Cognition/Disorganization, Anxiety/Depression, and
Hostility. This 5-factor solution showed good convergent validity with the CAARMS
composite score, CDSS, BAI, and BACS. Positive, Negative and Anxiety/Depression
factors were associated with functioning. CONCLUSION: The reported PANSS factor
structure may serve to improve the understanding and measurement of clinical
symptom dimensions manifested in people with UHR for future research and clinical
setting.
PMID- 29804926
TI - The association between problem gambling and psychotic experiences: Findings from
the Adult Psychiatric Morbidity Survey 2007.
AB - BACKGROUND: Studies on the association between psychotic experiences (PEs) and
problem gambling are lacking. Thus, we examined the association between PEs and
problem gambling in the general UK population. METHODS: This study used community
based, cross-sectional data from the 2007 Adult Psychiatric Morbidity Survey
(APMS) (n = 7403). Ten items from the DSM-IV criteria and the British Gambling
Prevalence Survey studies were used to ascertain problem gambling among
individuals who gambled in the past 12 months. Respondents were classified as no
problem (0 criteria), at-risk (1 or 2 criteria) and problem gambling (>=3
criteria). Past 12-month PE was assessed with the Psychosis Screening
Questionnaire. Multivariable logistic regression models were constructed to
assess the association between gambling status (exposure variable) and PE
(outcome variable). RESULTS: The final sample consisted of 7363 people aged >=16
years with no definite or probable psychosis [mean (SD) age 46.4 (18.6) years;
51.2% females]. The prevalence of PE in those with no problem, at-risk, and
problem gambling were 5.1%, 11.1%, and 29.7%, respectively. In the model adjusted
for sociodemographics, common mental disorders and risky health behaviors, at
risk (OR = 1.88; 95% CI: 1.11-3.19) and problem gambling (OR = 4.64; 95% CI: 1.78
12.13) were associated with an increased odds for PE. CONCLUSION: Problem
gambling and PE tend to co-exist. Further research is needed to gain a better
understanding of the mechanisms that underlie the association observed.
PMID- 29804928
TI - 60 years of placebo-controlled antipsychotic drug trials in acute schizophrenia:
Meta-regression of predictors of placebo response.
AB - OBJECTIVE: A recent meta-regression had shown that the degree of placebo
response, which has increased over the decades, is the major predictor of drug
placebo differences in antipsychotic drug trials in acutely ill patients with
schizophrenia. Drug response, however, had remained stable. In the current meta
regression we explored the factors that are associated with placebo-response.
METHOD: We searched multiple electronic databases, ClinicalTrials.gov and the FDA
website for randomized, placebo-controlled, antipsychotic drug trials in patients
with acute exacerbations of schizophrenia. The outcome was the degree of placebo
response measured by the BPRS or PANSS change from baseline to endpoint. 26
patient-, design-, and drug-related potential predictors of placebo response were
analyzed by univariable and multivariable meta-regressions. RESULTS: 167 double
blind randomized controlled trials with 28,102 participants were included. The
mean PANSS change from baseline was 6.25 (95% CI 4.64,7.85). More recent
publication year, larger study sample size, more study sites, use of the PANSS
rather than the BPRS scale to measure response, shorter wash-out phases, shorter
study duration, lower mean age and shorter duration of illness were associated
with larger placebo response in univariable analyses. In a multivariable analysis
only the number of study participants and mean participant age had an impact on
placebo response. CONCLUSIONS: The degree of placebo response is moderated by a
number of design and patient-related factors. These explanatory variables of
placebo response are only in part identical with those that moderated drug
placebo differences.
PMID- 29804927
TI - Low-pleasure beliefs in patients with schizophrenia and individuals with social
anhedonia.
AB - Anhedonia in schizophrenia has been suggested to comprise a set of low-pleasure
beliefs, defined as beliefs that certain things/activities were not pleasurable
or that one does not feel pleasant generally. However, no instrument has been
intentionally developed to specifically measure low-pleasure beliefs, and there
is a paucity of empirical evidence for low-pleasure beliefs and their
relationship with anhedonia in both patients with schizophrenia and individuals
with high social anhedonia. We developed and validated the Beliefs About Pleasure
Scale (BAPS) using non-clinical (Studies 1, 2 & 3), chronic schizophrenia (Study
2), and first episode schizophrenia (Study 3) samples. Across these studies, we
examined psychometric properties of the BAPS, including temporal stability,
internal consistency, factor structure, and convergent validity. The 22 BAPS
items loaded onto 4 factors, namely the "Devaluation of Pleasure", the
"Pleasurable Activity Expectancies", the "Negative Outcomes Expectancies", and
the "Attention to Pleasure". The measure demonstrated good internal consistency
and convergent validity in each sample. Moreover, both individual with
schizophrenia and non-clinical participants with high social anhedonia scored
higher on the BAPS than controls (Study 3), supporting construct validity. These
findings provide preliminary evidence for the presence of low-pleasure beliefs in
both clinical and subclinical groups and suggest that the BAPS has promising
initial psychometric properties. The BAPS will be useful for exploring the
cognitive component of anhedonia and provides a novel assessment for mechanism of
change in psychosocial treatment studies.
PMID- 29804929
TI - Relationship between antipsychotic blood levels and treatment failure during the
Clinical Antipsychotic Trials of Intervention Effectiveness (CATIE) study.
AB - OBJECTIVE: Antipsychotic blood levels (ABLs) may help identify patients at risk
for treatment failure. Reference ranges (RR) for plasma concentrations of ABLs
that account for between-patient variability were developed for risperidone and
olanzapine based on population pharmacokinetic models. The Clinical Antipsychotic
Trials of Intervention Effectiveness (CATIE) collected clinical outcomes and
ABLs, allowing testing of the relationship of ABLs with outcomes. METHODS: ABLs
from 694 patients who were randomized to olanzapine or risperidone were compared
to the 80% RRs and were assessed as below or within/above the RR. Treatment
failure was defined per any of these criteria: (1) emergency room visit for
psychiatric reasons, (2) hospitalization for psychiatric reasons, (3) adverse
event of completed suicide, suicidal ideation, or suicide attempt, (4) assaultive
behavior, (5) arrested or jailed, (6) 2-point increase from baseline in Clinical
Global Impression-Severity score, (7) 25% increase in Positive and Negative
Syndrome Scale total score. Patients assessed with treatment failure within 100
days of drug concentration measurement were analyzed. RESULTS: Treatment failure
occurred in 126 of 323 patients. The proportion of patients with ABLs below RR
was 18.3% (59/323) compared to 10% expected in a fully adherent population. Among
the 59 with ABLs below RR, 50.8% had treatment failure (compared to 36.4% for the
264 with ABLs within/above RR). The difference between groups was significant
(odds ratio = 1.810; 95% CI = 1.025, 3.197; p = 0.0408). CONCLUSIONS: Analysis of
CATIE data showed that ABLs within the context of RRs may identify patients with
higher risk of relapse.
PMID- 29804930
TI - Examining relationships between psychotic experiences and suicidal ideation in
adolescents using a network approach.
AB - BACKGROUND: Suicide is one of the leading causes of death in young individuals.
Timely and adequate identification of individuals with suicidal ideation could
prevent from suicidal behavior. Psychotic experiences (PE) have been shown to
increase levels of suicidal ideation (SI) in the general population. Therefore,
detailed investigation of the relationship of PE and SI is relevant. However, the
exact nature of the relationship between these two phenomena remains unclear.
Understanding psychopathology as a complex network of interacting symptoms could
be helpful to elucidate specific associations existing between PE and SI. METHOD:
A specific type of network analysis, the Ising model, was used to examine
connections between dichotomized questions on psychotic experiences and suicidal
ideation in a cross-sectional study with 1685 adolescents from the general
population aged 13-18 years. RESULTS: SI was mostly connected to the PE domains
perceptual anomalies (PA) and bizarre experiences (BE), which have higher
strength values in the network. Central nodes within these domains, as indexed by
higher centrality measures (strength and betweenness) were: auditory experiences
(PA1: hearing voices when you are alone), persecutory ideation (BE1: feelings of
being persecuted; BE2: conspiracy against you), and social anxiety (SANX) (SANX1:
I cannot get close to people). CONCLUSIONS: Suicidal ideation is differentially
connected to specific psychotic experiences. Auditory PE, persecutory ideation,
and social anxiety symptoms could play a central role in the interconnectedness
of the two constructs.
PMID- 29804931
TI - Developmental vulnerability to psychosis: Selective aggregation of basic self
disturbance in early onset schizophrenia.
AB - Trait-like anomalies of subjective experience (aka, Basic Self-disturbance or
Self-disorder, SD) have been empirically identified as schizophrenia-specific
markers of vulnerability in several clinical and genetic high-risk populations.
However, such specificity is still to be tested in developmental years, where
emerging psychopathology is less crystallized and diagnostic boundaries more
blurred. Thus, the current study explores the distribution of SD in adolescent
help-seekers (age range 14 to 18) and tests the specificity of SD with respect to
the severity of their diagnostic staging (Early Onset schizophrenia-spectrum
psychosis [EOP], ultra high-risk [UHR] and clinical help-seeking controls
[CHSC]). For this purpose, 96 help-seeking adolescents consecutively referred to
specialized Child and Adolescent Units for diagnostic evaluation, underwent a
comprehensive psychopathological examination including the specific interview for
SD (i.e. the Examination of Anomalous Self-Experience, EASE). One-way ANOVA was
used to test the diagnostic distribution of SD (EASE score), whereas multinomial
logistic regression was used to test the effect of SD on the diagnostic outcome.
SD frequency (both in terms of EASE total score and domain sub-scores) was
decreasing progressively from EOP to CHSC, with intermediate levels in UHR. The
EASE total score increased the risk of belonging to the more severe diagnostic
stages (i.e, UHR and EOP vs CHSC as reference class) and allowed the correct
reclassification of the 75% of the sample. The results confirm the schizophrenia
spectrum specificity of SD in adolescence, highlighting their potential value for
early differential diagnosis and risk stratification.
PMID- 29804934
TI - Pediatric red cell and platelet transfusions.
AB - The aim of pediatric transfusions should be based on the concept of avoiding
unnecessary transfusions without jeopardizing the patient safety and providing
correct blood components when there are well founded indications to transfuse.
Despite considerable efforts from transfusion services to increase transfusion
safety, transfusions are still associated with preventable and unpreventable
adverse effects that may, in the worst case, have severe and fatal consequences.
Transfusions to pediatric patients constitute a small proportion of all
transfusions but have higher incidence of adverse events compared to adults.
Pediatric transfusions consist of intrauterine transfusions, top-up transfusions
to neonates and young children, exchange transfusions in the management of
hemolytic disease of newborn (HDN), in addition to sickle cell crisis, chronic
transfusion therapy in thalassemia patients, massive transfusion in trauma, HLA-
and HPA-compatible platelets in immunized patients and neonates with fetal
neonatal alloimmune thrombocytopenia (FNAIT). Packed red cells (PRCs) and
platelet (PLT) concentrates are the most utilized blood components and will be
reviewed here.
PMID- 29804935
TI - Corneal oedema in a unilateral corneal graft patient induced by high Dk mini
scleral contact lens.
AB - INTRODUCTION: Scleral contact lenses are increasingly becoming accepted as the
method of choice for visual correction of the irregular cornea. As such, cases
have surfaced which demonstrate complications arising from mini-scleral lenses.
Identification of these issues and adjusting fitting techniques accordingly is
necessary for reducing the risks associated with mini-scleral lens wear. CASE
REPORT: A 58 year old Caucasian female was referred for rigid gas permeable
contact lens fitting for correction of right irregular astigmatism post
penetrating keratoplasty. After four months of successful mini-scleral contact
lens wear, the patient experienced a graft rejection episode and treated
accordingly, then refit with a new mini-scleral lens. Five months after the lens
refit, the patient presented with complaints of hazy vision, and a diagnosis of
lens-induced corneal oedema made. DISCUSSION: Increased awareness of the
potential complications of mini-scleral lenses is necessary to encourage and
enforce mini-scleral lens fitting techniques that meet the requirements of
minimum vault but adequate protection of the compromised cornea.
PMID- 29804936
TI - Deciphering the Interdependence between Ecological and Evolutionary Networks.
AB - Biological systems consist of elements that interact within and across
hierarchical levels. For example, interactions among genes determine traits of
individuals, competitive and cooperative interactions among individuals influence
population dynamics, and interactions among species affect the dynamics of
communities and ecosystem processes. Such systems can be represented as
hierarchical networks, but can have complex dynamics when interdependencies among
levels of the hierarchy occur. We propose integrating ecological and evolutionary
processes in hierarchical networks to explore interdependencies in biological
systems. We connect gene networks underlying predator-prey trait distributions to
food webs. Our approach addresses longstanding questions about how complex traits
and intraspecific trait variation affect the interdependencies among biological
levels and the stability of meta-ecosystems.
PMID- 29804932
TI - Therapeutic Benefit of Autophagy Modulation in Pompe Disease.
AB - The complexity of the pathogenic cascade in lysosomal storage disorders suggests
that combination therapy will be needed to target various aspects of
pathogenesis. The standard of care for Pompe disease (glycogen storage disease
type II), a deficiency of lysosomal acid alpha glucosidase, is enzyme replacement
therapy (ERT). Many patients have poor outcomes due to limited efficacy of the
drug in clearing muscle glycogen stores. The resistance to therapy is linked to
massive autophagic buildup in the diseased muscle. We have explored two
strategies to address the problem. Genetic suppression of autophagy in muscle of
knockout mice resulted in the removal of autophagic buildup, increase in muscle
force, decrease in glycogen level, and near-complete clearance of lysosomal
glycogen following ERT. However, this approach leads to accumulation of
ubiquitinated proteins, oxidative stress, and exacerbation of muscle atrophy.
Another approach involves AAV-mediated TSC knockdown in knockout muscle leading
to upregulation of mTOR, inhibition of autophagy, reversal of atrophy, and
efficient cellular clearance on ERT. Importantly, this approach reveals the
possibility of reversing already established autophagic buildup, rather than
preventing its development.
PMID- 29804937
TI - Satire for Conservation in the 21st Century.
PMID- 29804938
TI - Gastrointestinal polyposis and microsporidia infection in a HIV-infected child.
PMID- 29804939
TI - Ambulatory hypertension in a pediatric cohort of sickle cell disease.
AB - Hypertension is an established risk factor for subsequent cardiovascular and
renal disease in children as well as adults. Sickle cell disease (SCD) is a
genetic disorder associated with chronic hemolytic anemia with the major
manifestation of vaso-occlusive crises. Although this disease entity involves
most organ systems causing vascular and pulmonary injury, little is known about
blood pressure (BP) levels or prevalence of hypertension in children with SCD. A
cross-sectional study was conducted on 56 children with SCD (54 with hemoglobin
SS disease; 2 with hemoglobin Sbeta0 thalassemia; 29 females). Study participants
underwent 24-hour ambulatory BP monitoring (ABPM). Serum creatinine and cystatin
C were obtained to assess estimated glomerular filtration rate with age-based
formulas. A random urine sample was obtained to estimate urine osmolality and
urine albumin to creatinine ratio. Mean age range was 11.9 (+/-4.5) years.
Seventeen participants (30%) met criteria for hypertension based on ABPM. Of the
17 participants classified with hypertension, three had office hypertension with
ambulatory hypertension, and 14 had masked hypertension detected on ABPM. Another
28 participants (50%) had some abnormal ABPM parameters in the form of either
prehypertension and/or lack of normal nocturnal dipping status. The prevalence of
confirmed hypertension, largely manifest by masked hypertension, is high in
children, as young as 6 years of age with SCD. Early identification of
hypertension in SCD children can confer benefit as it is an important modifiable
risk factor for progression of cardiovascular and renal disease.
PMID- 29804940
TI - Hyperhomocysteinemia in polycystic ovary syndrome: decreased betaine-homocysteine
methyltransferase and cystathionine beta-synthase-mediated homocysteine
metabolism.
AB - RESEARCH QUESTION: What are the metabolic characteristics of homocysteine in
polycystic ovary syndrome (PCOS)? DESIGN: Homocysteine concentrations were
determined in serum samples from non-obese and obese control subjects and PCOS
patients. Homocysteine metabolism was studied in a rat model of PCOS established
using dehydroepiandrosterone (DHEA) or DHEA in combination with a high-fat diet
(HFD). RESULTS: It was shown that (i) serum homocysteine concentrations were
greater in PCOS patients than in control subjects in the obese group (P < 0.05)
and serum homocysteine concentrations were significantly higher in the obese
group than in the non-obese group, regardless of PCOS status (both P < 0.05);
(ii) serum homocysteine concentrations were significantly increased in DHEA + HFD
induced rats compared with controls (P < 0.05); (iii) when compared with the
control group, mRNA concentrations of homocysteine metabolic enzymes Bhmt and Cbs
were significantly reduced in the liver tissues of DHEA + HFD-induced rats (both
P < 0.0001); (iv) when compared with the control group, there was a significant
decrease in the methylation concentrations of the Cbs (P < 0.05) and Bhmt (P <
0.05 and P < 0.0001) promoter in the DHEA + HFD group. The methylation patterns,
together with previous data, indicate that hypomethylated promoter-mediated
transcriptional activation of Bhmt and Cbs might be a defence mechanism against
PCOS-related hyperhomocysteinemia. CONCLUSIONS: These findings indicate that
decreased liver Bhmt and Cbs-mediated homocysteine metabolism might have a role
in hyperhomocysteinemia in PCOS and provides further evidence for a potential
role of decreased liver function in PCOS.
PMID- 29804941
TI - A critical review of sub-adult age estimation in biological anthropology: Do
methods comply with published recommendations?
AB - The diversity of approaches and the high number of publications on sub-adult age
estimation is a testament to the relevance of this particular area of forensic
anthropological research. However, a downside of this diversity is the many
methodological, sampling and statistical discrepancies between publications,
which can lead to difficulties in method definition, application and comparison.
Several authors have published recommendations highlighting standardized
methodological parameters that should be respected and clearly appear in the
original publications for anthropological methods to be valid. This study aims to
objectively evaluate a corpus of 269 publications on dental and skeletal
postnatal sub-adult age estimation using these recommendations translated into
descriptors. These descriptors cover five sampling and five statistical
parameters that can be considered valid or invalid according to published
methodological recommendations. Parameter and descriptor distributions are shown
in frequency tables and graphs, illustrating the general invalidity of the
sampling and/or statistical protocols. Provided our corpus of methods is an
accurate representation of available publications, the extrapolation of these
results leads to conclude that most sub-adult age estimates are at worst invalid,
at best questionable, and almost certainly method-dependent. In view of this
study, rigorous and standardized sampling and statistical approaches should be
preferred when applying and building sub-adult age estimation methods.
PMID- 29804942
TI - A quite rare case of bruising abrasion-like as gun entry wound.
AB - An interesting case of a suicide by a home made gun is described. A review of the
literature is added. The entry wound is exceptional. The case shows that the
forensic assessment of a home made gun case may be very difficult and implies to
evaluate all the circumstances related to it. Specific forensic signs of home
made gun injuries are suggested.
PMID- 29804943
TI - Diabetes Canada Position Statement for People with Types 1 and 2 Diabetes Who
Fast During Ramadan.
AB - OBJECTIVE: Fasting from dawn to dusk during Ramadan, including abstaining from
water and food, is 1 of the pillars of Islam and is observed by the majority of
Muslims. Most research concerning diabetes and fasting during Ramadan originates
from Middle Eastern or South Asian countries; however, differences exist in hours
of work and fasting, pharmacotherapy and blood glucose monitoring between these
countries and Canada. METHODS: An expert forum of 7 Canadian experts and 1
international expert collaborated to develop Canadian guidelines using the same
evidence-based principles, with the exception of an independent methods review
used for the Diabetes Canada clinical practice guidelines. Diabetes Canada
scientific leadership and Canadian health-care providers performed independent
external reviews. Religious leaders endorsed the position statement and provided
letters of support. An informed patient participated in the position-statement
development. Each recommendation was approved with 100% consensus of the expert
forum. RESULTS: Recommendations for risk stratification, education,
pharmacotherapy and blood glucose monitoring for adults with type 1 and type 2
diabetes who intend to fast during Ramadan have been developed. CONCLUSIONS: This
is the first Canadian position statement on the topic of Ramadan fasting and
diabetes. It was developed by an expert faculty and endorsed by Diabetes Canada,
and provides guidance about pharmacotherapy and glucose monitoring for health
care providers so that they can assist Canadian Muslims living with diabetes to
observe fasting during Ramadan safely.
PMID- 29804944
TI - Comparison of seventh TNM and eighth TNM staging system in stage III non-small
cell lung cancer patients treated with concurrent chemoradiotherapy.
PMID- 29804946
TI - Persistent Atelectasis in a Patient With Cystic Fibrosis: Are Antibiotics Always
Needed?
PMID- 29804945
TI - Total pancreatoduodenectomy en bloc with superior mesenteric artery and vein
resection after gemcitabine and nab-paclitaxel neoadjuvancy.
AB - BACKGROUND: Pancreatectomy for locally advanced adenocarcinoma affecting the
superior mesenteric artery (SMA) and superior mesenteric vein (SMV) is still
under discussion [1]. However, in selected cases, in light of the advancement of
recent neoadjuvant treatments, it must be taken into account [2,3]. This video
demonstrates some of the technical aspects of SMA and SMV resection as well as
some tips of vascular reconstruction. METHODS: A 48-year-old man with a large
adenocarcinoma of the uncinated process affecting the SMA and SMV underwent 3
cycles of gemcitabine and nab-paclitaxel neoadjuvancy. Post chemotherapy studies
showed no disease progression with a normalization of CA 19.9 and SUV of FDG PET
CT scan and a downsizing of the tumor, as well. Therefore, an en bloc total
spleno-pancreato-duodenectomy with resection of SMA and SMV was planned. RESULTS:
Through a bilateral subcostal incision, an "arterial first approach" [3] was
performed. Considering the large length of the vascular resection, the
replacement of the resected SMA and SMV was performed using two PTFE grafts, as
showed in the video. Postoperative pathology showed margins free from disease
with an important pathological response (grade 2 of Ryan classification adapted
from rectal cancer) [4]. The post-operative course was uneventful and the patient
is still free from disease at 31 months from surgery. CONCLUSIONS: This case is
part of a large experience our group have acquired since we started neoadjuvancy
in 2010. In our experience, we gathered 25 cases of locally advanced pancreatic
tumors, of which 12 underwent to pancreatic resection after good response to the
neoadjuvant treatment. In 5 of them concomitant SMA and SMV resection was
required and post-operative mortality occurred in 1 of them. Morbidities and
mortalities are higher compared with standard pancreatectomies, specially related
to the vascular reconstruction (bleeding, graft thrombosis) [5]. However, in some
circumstances like young age, great radiological and biological response to
neoadjuvancy (such as the case herein presented), surgery might be considered the
best option of care providing the only possibility to increase survival for these
types of locally advanced tumors. However, further studies are needed to know
which patients might benefit from this approach. En bloc total spleno-pancreato
duodenectomy with resection of SMA and SMV might be considered as an effective
procedure in selected cases of pancreatic adenocarcinoma with good response to
preoperative treatment.
PMID- 29804947
TI - Nocardia Otitidiscaviarum Infection in an Immunocompetent Patient.
PMID- 29804949
TI - Extracorporeal Membrane Oxygenation as a Bridge to Lung Transplantation.
PMID- 29804948
TI - Pulmonary Thromboembolism As a Complication In Mycobacterium tuberculosis
Infection.
PMID- 29804950
TI - Malignant Pleural Mesothelioma: The Last 8 Years of Experience in Our Area.
PMID- 29804951
TI - Giant Desmoid Tumor Of The Chest Wall With Intrathoracic Growth.
PMID- 29804952
TI - Clinical Outcomes and Recurrence Rates After Arthroscopic Stabilization
Procedures in Young Patients With a Glenoid Bone Erosion: A Comparative Study
Between Glenoid Erosion More and Less Than 20.
AB - PURPOSE: To evaluate the clinical outcomes and recurrence rates of arthroscopic
stabilization procedures in young patients who had recurrent anterior shoulder
instability with a glenoid bone erosion more than 20%, and to compare with those
in patients with a glenoid bone erosion less than 20%. METHODS: A total of 161
patients who underwent an arthroscopic stabilization procedure for recurrent
anterior shoulder instability with anterior glenoid bone erosions and at least 2
years of follow-up were included. Patients were divided into 2 groups based on
the glenoid defect size (group I [32 patients]: erosion >20%, group II [129
patients]: erosion <20%). The clinical outcomes were compared using the American
Shoulder Elbow Surgeons (ASES) score, Rowe score, and sports/recreation activity
level between the 2 groups. Postoperative complications including instability
recurrence were documented. RESULTS: The mean glenoid defect size was 22.1 +/-
2.1% in group I, and 12.2 +/- 3.7% in group II. In group I, clinical outcomes
were significantly improved after operation (ASES score: 57.9 +/- 14.3 at
initial, 88.9 +/- 6.2 at the last visit, P = .001; Rowe score: 42.1 +/- 15.6 at
initial, 87.4 +/- 7.6 at the last visit, P = .001). These results were inferior
to the clinical outcomes of patients in group II (ASES score: 91.5 +/- 12.7, P <
.001; Rowe score: 89.3 +/- 12.4, P = .01). Postoperative recurrences occurred in
5 patients (15.6%) in group I, whereas patients in group II showed 5.4% of
recurrence rate (P = .05). Competent recoveries to sports/recreation activity
were achieved in 84.4% of patients in group I. CONCLUSIONS: Arthroscopic
stabilization procedures for recurrent anterior shoulder instability in young
patients with glenoid bone erosions more than 20% showed satisfactory clinical
outcomes and recurrence rate, although these results were inferior to those of
patients with glenoid erosions less than 20%. Arthroscopic stabilization
procedures can be applied as the primary treatment of recurrent anterior shoulder
instability with a large glenoid bone erosion for functional restoration and
return to previous sports activity level. LEVEL OF EVIDENCE: Level III,
retrospective comparative study.
PMID- 29804953
TI - Use of the 5-Strand Hamstring Autograft Technique in Increasing Graft Size in
Anterior Cruciate Ligament Reconstruction.
AB - BACKGROUND: To determine the extent to which a strategy of routinely preparing a
5-strand hamstring autograft would increase graft size in anterior cruciate
ligament (ACL) reconstruction. METHODS: A total of 64 patients were enrolled in a
prospective randomized controlled study comparing 5-strand and quadrupled
semitendinosus-gracilis autografts in single-bundle ACL reconstruction (5-strand
group, n = 32; 4-strand group, n = 32). In the 5-strand group, the diameter of
the 4-strand construct and the subsequent 5-strand graft used were measured,
whereas in the 4-strand group, the diameter of the quadrupled graft used was
measured. Quadrupled graft diameter and hamstring tendon lengths were correlated
with patient gender, height, weight, and body mass index (BMI). RESULTS: The mean
diameter of the final graft used in the 5-strand group was 8.8 +/- 0.8 mm,
whereas that in the 4-strand group was 7.8 +/- 0.7 mm (P < .001). The mean
increase in graft size achieved with the use of the 5-strand technique was 1.4 +/
0.3 mm. In the 5-strand group, 24 of 32 (75%) patients had graft diameters
exceeding 8 mm compared with 9 of 32 (28%) patients in the 4-strand group (P <
.001). Quadrupled graft diameter was significantly correlated with patient height
and BMI, whereas the gracilis and semitendinosus lengths were significantly
correlated with patient height. CONCLUSIONS: The 5-strand hamstring autograft
provides a significantly larger diameter graft compared with the quadrupled
hamstring autograft in ACL reconstruction. Graft sizes exceeding 8 mm are
achievable in 75% of patients with the routine application of this technique.
This is significantly more than that obtained with the standard quadrupled
hamstring graft. Hamstring tendon length and quadrupled hamstring graft diameter
are also significantly correlated with patient height. LEVEL OF EVIDENCE: Level
1, prospective randomized controlled trial.
PMID- 29804954
TI - Clinical and Radiologic Outcomes After Medializing and Not Medializing Rotator
Cuff Tendon Attachment Site on Chronic Retracted Rotator Cuff Tears.
AB - PURPOSE: To compare the clinical and radiologic outcomes of chronically retracted
rotator cuff tears by arthroscopic medializing and non-medializing repair
(restoring anatomic footprint and performing conventional repair). METHODS: This
study retrospectively reviewed 195 patients who underwent arthroscopic double-row
modified Mason-Allen repair for large, full-thickness rotator cuff tears from
January 2013 to July 2015. We included a total of 60 of these patients and
divided them into 2 groups: those who underwent medialization (n = 24) and those
who did not (n = 36). Magnetic resonance imaging was performed at a minimum of 6
months (mean, 15.2 months; range, 6-24 months) postoperatively to assess cuff
integrity. Patients were clinically evaluated at least 1 year postoperatively
(mean, 18.9 months; range, 12-60 months) with a visual analog scale, the American
Shoulder and Elbow Surgeons score, the University of California-Los Angeles
Shoulder Rating Scale score, and the Constant score. RESULTS: The mean
medialization length was 10.5 mm (range, 6.5-15.6 mm) on magnetic resonance
imaging. The retear rate was 8.3% (n = 2) in the medialization group and 31% (n =
11) in the non-medialization group (P = .041). At last follow-up, the mean visual
analog scale, American Shoulder and Elbow Surgeons, University of California-Los
Angeles, and Constant scores improved significantly from 5.3 +/- 1.4, 38.5 +/-
8.8, 22.5 +/- 3.1, and 41.7 +/- 9.9, respectively, to 1.8 +/- 1.1, 85.3 +/- 7.5,
31.8 +/- 2.5, and 90.2 +/- 6.9, respectively, with medialization and from 4.0 +/-
1.6, 51.5 +/- 10.5, 20.0 +/- 3.9, and 55.9 +/- 10.5, respectively, to 1.4 +/-
1.0, 88.6 +/- 9.0, 31.0 +/- 9.3, and 89.4 +/- 9.3, respectively, with non
medialization (P < .001), although there were no significant differences between
the groups (P = .165, P = .653, P = .250, and P = .113, respectively).
CONCLUSIONS: Medialization of approximately 10.5 mm reliably shows good clinical
results, and medializing rotator cuff tendons should be considered as a treatment
option for repairing rotator cuff tears with chronic retracted tendons. LEVEL OF
EVIDENCE: Level III, retrospective comparative study.
PMID- 29804955
TI - A Prospective, Blinded, Multicenter Clinical Trial to Compare the Efficacy,
Accuracy, and Safety of In-Office Diagnostic Arthroscopy With Magnetic Resonance
Imaging and Surgical Diagnostic Arthroscopy.
AB - PURPOSE: The purpose of this study was to compare the efficacy, accuracy, and
safety of in-office diagnostic arthroscopy with magnetic resonance imaging (MRI)
and surgical diagnostic arthroscopy. METHODS: A prospective, blinded,
multicenter, clinical trial was performed on 110 patients, ages 18 to 75 years,
who presented with knee pain. The study period was April 2012 to April 2013. Each
patient underwent a physical examination, an MRI, in-office diagnostic imaging,
and a diagnostic arthroscopic examination in the operating room. The attending
physician completed clinical report forms comparing the in-office arthroscopic
examination and surgical diagnostic arthroscopy findings on each patient. Two
blinded experts, unaffiliated with the clinical care of the study's subjects,
reviewed the in-office arthroscopic images and MRI images using the surgical
diagnostic arthroscopy images as the "control" group comparison. Patients were
consecutive, and no patients were excluded from the study. RESULTS: In this
study, the accuracy, sensitivity, and specificity of in-office arthroscopy was
equivalent to surgical diagnostic arthroscopy and more accurate than MRI. When
comparing in-office arthroscopy with surgical diagnostic arthroscopy, all kappa
statistics were between 0.766 and 0.902. For MRI compared with surgical
diagnostic arthroscopy, kappa values ranged from a low of 0.130 (considered
"slight" agreement) to a high of 0.535 (considered "moderate" agreement). The
comparison of MRI to in-office arthroscopy showed very similar results as the
comparison of MRI with surgical diagnostic arthroscopy, ranging from a low kappa
of 0.112 (slight agreement) to a high of 0.546 (moderate agreement). There were
no patient-related or device-related complications related to the use of in
office arthroscopy. CONCLUSIONS: Needle-based diagnostic imaging that can be used
in the office setting is statistically equivalent to surgical diagnostic
arthroscopy with regard to the diagnosis of intra-articular, nonligamentous knee
joint pathology. In-office diagnostic imaging can provide a more detailed and
accurate diagnostic assessment of intra-articular knee pathology than MRI. Based
on the study results, in-office diagnostic imaging provides a safe, accurate,
real-time, minimally invasive diagnostic modality to evaluate intra-articular
pathology without the need for surgical diagnostic arthroscopy or high-cost
imaging. LEVEL OF EVIDENCE: Level II, comparative prospective trial.
PMID- 29804956
TI - Physicochemical properties and digestibility of endosperm starches in four indica
rice mutants.
AB - Structural, physicochemical characteristics and digestibility of endosperm
starches from four mutants (GM01, 03-05) and their parent Guangluai 4 (GLA4) were
characterized. GM05 had a little higher apparent amylose content (AAC) and showed
little difference in starch and digestion properties from GLA4. However, GM03 and
GM04 with increased amount of chalkiness and partial translucent region in the
endosperm displayed a distinct starch and digestion properties, which had the RS
of 7.9 and 8.4%, respectively. GM03 and GM04 had higher AAC (33-35%), lower
degree of crystallinity, lower gelatinization temperature and pasting
viscosities, and more amount of B1 (DP 12-24) and B2 (DP 25-36) chains and less
amount of B3 chains (DP >= 37) in amylopectin. AAC and the amount of B1 chains
had positive correlation with RS, but the amount of B3 chains had negative
correlation with RS. The results of this study may be applied to design RS by
selecting rice germplasm with high AAC and high amount of B1 chains (DP 12-24) of
amylopectin.
PMID- 29804957
TI - Effect of hyperbranched poly(trimellitic glyceride) with different molecular
weight on starch plasticization and compatibility with polyester.
AB - Hyperbranched poly(trimellitic glyceride) (PTG) plasticizers for starch were
prepared by using trimellitic anhydride and glycerol as raw materials in a simple
one-step synthesis. The structure and branching degree of PTGs were confirmed by
Fourier transformed infrared, gel permeation chromatography and 1H nuclear
magnetic resonance. The PTGs as plasticizers were composited with maize starch
(S) via cooking and film formation. The structure and mechanical, thermal
properties of the plasticized starch composites, and its adhesion to cotton and
polyester yarns were studied in details. Results indicated that the PTG/S
composites had better mechanical property and thermal stability, and lower
moisture absorption than glycerol/starch (GLY/S) with the same content (w/w).
With the increase in PTG molecular weight, elongation at break of PTG/S film
decreased and tensile strength increased, and moisture absorption of PTGs/S
reduced. In addition, PTGs/S had a better effect on adhesion to cotton and
polyester yarns than GLY/S.
PMID- 29804959
TI - Cell wall polysaccharides from Ponkan mandarin (Citrus reticulata Blanco cv.
Ponkan) peel.
AB - Cell wall polysaccharides from ponkan peel were investigated with the aim of gain
knowledge about their potential for different applications and the use of ponkan
peel as raw material for pectin extraction. The plant material was defatted using
MeOH:CHCl3, pretreated with DMSO and then subjected to sequential extractions
with cold and hot water, ammonium oxalate, HCl, Na2CO3, 2 M and 4 M NaOH in order
to obtain polysaccharides. The polysaccharide fractions were analyzed by
chemical, chromatographic and spectroscopic methods Cold and hot water-soluble
pectins contained higher amounts of GalA and higher degrees of methyl
esterification (DM) than ammonium oxalate and HCl fractions. Na2CO3 extraction
provided non-esterified arabinose-rich pectins which formed gel in a dialysis
step. NaOH solubilized hemicelluloses, composed mainly of xyloglucans,
galactomannans and galactoglucomannans. The water-soluble fraction (WSP) was
purified using alpha-amylase and amyloglucosidase and gave rise to the
subfraction named alpha-WSP. The alpha-WSP was a pectin composed of HG and RG-I
domains containing side chains of arabinans and short-chains of galactans, with
low DM (39.4%) and Mw of 1.615 * 105 g/mol.
PMID- 29804958
TI - Effect of ultrasonic time on the structural and physico-chemical properties of
hemicelluloses from Eucalyptus grandis.
AB - Effect of sonication on the extractability and physico-chemical properties of
hemicelluloses from Eucalyptus grandis using 5% KOH solution at 50 degrees C for
3 h has been comparatively studied. The results showed that the yield of
hemicelluloses increased from 2.6 to 19.6% as the ultrasonic time was extended
from 5 to 35 min. The highest yield of hemicelluloses (95.2%) was achieved at 30
min ultrasonic time. Xylose was the dominant sugar (82.94-84.96%) of all the
hemicellulosic fractions. Furthermore, the hemicelluloses obtained by ultrasound
assisted extractions had slightly lower molecular weights (74,510-66,770 g/mol)
and thermal stabilities, but higher contents of xylose (83.95-84.96%). The
increased yield of ultrasonically extracted hemicelluloses, which have preserved
their main structural properties, confirmed the great potential of ultrasound
assisted extraction to separate hemicelluloses from Eucalyptus grandis at an
industrial level.
PMID- 29804960
TI - Pectin-based nanocomposite aerogels for potential insulated food packaging
application.
AB - Environmental-friendly pectin-TiO2 nanocomposite aerogels were prepared via sol
gel process and subsequent drying under supercritical conditions. The first step
includes dissolution of pectin in water, addition of proper amount of TiO2
colloid and crosslinking reaction induced in the presence of tert-butanol and
zinc ions. Then, the gels are subjected to the solvent exchange and supercritical
CO2 drying. The influence of TiO2 nanoparticles on the textural, mechanical,
thermal and antibacterial properties of aerogels was investigated. Results
indicate that in the presence of TiO2 nanoparticles (NPs) mechanical, thermal and
antimicrobial properties of pectin-based aerogels are improved in comparison to
the control pectin aerogels. It should be emphasized that the thermal
conductivity of pectin-based aerogels (0.022-0.025 W m-1 K-1) is lower than the
thermal conductivity of air. Generally, the results propose that the pectin-TiO2
nanocomposite aerogels, as bio-based material, might have potential application
for the storage of temperature-sensitive food.
PMID- 29804962
TI - Smart textile framework: Photochromic and fluorescent cellulosic fabric printed
by strontium aluminate pigment.
AB - Smart clothing can be defined as textiles that respond to a certain stimulus
accompanied by a change in their properties. A specific class herein is the
photochromic and fluorescent textiles that change color with light. A
photochromic and fluorescent cotton fabric based on pigment printing is obtained.
Such fabric is prepared by aqueous-based pigment-binder printing formulation
containing inorganic pigment phosphor characterized by good photo- and thermal
stability. It exhibits optimal excitation wavelength (365 nm) results in color
and fluorescence change of the fabric surface. To prepare the transparent pigment
binder composite film, the phosphor pigment must be well-dispersed via physical
immobilization without their aggregation. The pigment-binder paste is applied
successfully onto cotton fabric using screen printing technique followed by
thermal fixation. After screen-printing, a homogenous photochromic film is
assembled on a cotton substrate surface, which represents substantial greenish
yellow color development as indicated by CIE Lab color space measurements under
ultraviolet light, even at a pigment concentration of 0.08 wt% of the printing
paste. The photochromic cotton fabric exhibit three excitation peaks at 272, 325
and 365 nm and three emission peaks at 418, 495 and 520 nm. The fluorescent
optical microscope, scanning electron microscope, elemental mapping, energy
dispersive X-ray spectroscopy, fluorescence emission and UV/Vis absorption
spectroscopic data of the printed cotton fabric are described. The printed fabric
showed a reversible and rapid photochromic response during ultra-violet
excitation without fatigue. The fastness properties including washing, crocking,
perspiration, sublimation/heat, and light are described.
PMID- 29804961
TI - Carboxymethlyated cellulose nanofibrils(CMCNFs) embedded in polyurethane foam as
a modular adsorbent of heavy metal ions.
AB - Polyurethane (PU) foam was utilized as an efficient and durable template to
immobilize surface-functionalized nanocellulose, carboxymethylated cellulose
nanofibrils (CMCNFs), to address some of the challenges for the application of
nanocellulose to industrial water purification, such as its agglomeration,
difficulties in separation from effluent, and regeneration. The composite foams
exhibited well dispersed CMCNFs in PU matrices with open pore structure; the
hydrogen bonds result in the enhancement of mechanical strength, which is another
requirement of ideal adsorbents for wastewater treatment. The composite foams
show high adsorption capacity and the potential for recyclability. The
combination of optimal surface modification of nanocellulose with isolation and
immobilization in durable PU foam achieved an efficient and cost-competitive bio
sorbent for heavy metal ions.
PMID- 29804963
TI - Microstructural characterization of nanocellulose foams prepared in the presence
of cationic surfactants.
AB - In this work, we explore the architecture of highly porous foams based on
cellulose nanofibers (CNFs) prepared by using cationic surfactants (e.g., C12TAB,
C14TAB, and C16TAB) as modifying agents. The addition of surfactants to CNF
suspensions led the nanoparticles surface to be covered by these molecules,
reducing the zeta-potential from -35 to -8 mV, which, together with hydrophobic
interactions of adsorbed surfactants, causes CNF flocculation. After freeze
casting and lyophilization, mechanical properties and pores structure of the low
density foams obtained (15.6-30.9 mg cm-3) proved to be strongly dependent on
nanofibers agglomeration degree. The primary causes of such dependence could not
be observable by scanning electron microscopy but were found to be very
significant on X-ray microtomography analysis. Total porosity, pore-size, and
wall thickness of the foams were calculated and related to the surfactant chain
size. Surface areas from 4.7 to 48.6 m2 g-1, obtained by n-decane adsorption, are
reported.
PMID- 29804964
TI - Composition, thermal and rheological properties of polysaccharides from amadumbe
(Colocasia esculenta) and cactus (Opuntia spp.).
AB - The extensive application of hydrocolloids in the food industry, coupled with
their short supply and shortcomings, has led to the ongoing search for
alternative sources. In this study, the compositional, rheological and thermal
properties of amadumbe and cactus mucilages were investigated. The mucilages had
a similar qualitative composition of monosaccharides and amino acids, except for
the absence of rhamnose in amadumbe mucilage. Fractionation of amadumbe and
cactus mucilages on an anion-exchange column yielded four and three fractions,
respectively. The fractions eluting with protein showed no beta-elimination,
suggesting stronger glycosylation bonds such as those in arabinogalactan proteins
(AGPs). There was no evidence of thermal depolymerisation of the mucilages up to
195 degrees C. Cactus mucilage showed a pseudoplastic flow behaviour whilst
amadumbe mucilage showed a Newtonian flow behaviour at up to 5% (w/v)
concentrations. Amadumbe mucilage may be a potential emulsifier, whilst cactus
mucilage can potentially be used as a thickening or emulsifying agent.
PMID- 29804965
TI - Enhanced delivery of doxorubicin to the liver through self-assembled
nanoparticles formed via conjugation of glycyrrhetinic acid to the hydroxyl group
of hyaluronic acid.
AB - Liver-targeted nanoparticles is highly desired for better therapy of liver
cancer. In this study, enhanced delivery of doxorubicin (DOX) to the liver cells
through self-assembled nanoparticles formed via conjugation of glycyrrhetinic
acid (GA) to the hydroxyl group of hyaluronic acid (HA) was investigated. The DOX
loaded hyaluronic acid-glycyrrhetinic acid succinate (HSG) conjugates based
nanoparticles (HSG/DOX nanoparticles) were sub-spherical in shape with particle
size in the range of 180-280 nm, the drug loading was drug-to-carrier ratio and
GA graft ratio dependent. In vitro release study suggested that the release of
DOX from HSG nanoparticles was sustained and the release rate was pH and GA graft
ratio dependent. MTT assay indicated the HSG/DOX nanoparticles presented a GA
dependent cytotoxicity to HepG2 cells. Pharmacokinetics study demonstrated the
HSG/DOX nanoparticles could prolong blood circulation time of DOX and had a
higher AUC value than that of DOX solution. Furthermore, tissue distribution
study revealed the HSG/DOX nanoparticles significantly increased the accumulation
of DOX in the liver and meanwhile decreased the cardiotoxicity and nephrotoxicity
of DOX. Moreover, the liver targeting enhancing capacity was HSG conjugate
structure dependent. The accumulation of HSG-20/DOX, HSG-12/DOX, and HSG-6/DOX
nanoparticles in the liver was 4.0-, 3.1-, and 2.6-fold higher than that of DOX
solution. In vivo imaging analysis further demonstrated HSG nanoparticles not
only had better liver targeting effect, but also presented superior tumor
targeting efficiency, and the tumor targeting capacity was also GA-dependent.
These results indicated that HSG conjugates prepared via modifying the hydroxyl
groups of HA have promising potential as a liver-targeting nanocarrier for the
delivery of hydrophobic anti-tumor drugs.
PMID- 29804966
TI - A comparative study on the preparation and characterization of cellulose
nanocrystals with various polymorphs.
AB - Polymorphic changes of cellulose nanocrystals (CNCs) are strongly associated with
its properties and applications. In this study, CNCs with different polymorphs
were produced by a simple polymorphic transformation treatment. Cellulose I
nanocrystals were produced by typical sulfuric acid hydrolysis (CNC-I). Cellulose
II nanocrystals were prepared by two different methods: (1) sulfuric acid
hydrolysis of cellulose previously mercerized with 18.5 wt% NaOH (CNC-II), (2)
mercerization of CNC-I with 18.5 wt% NaOH (MCNC-II). Cellulose III nanocrystals
were prepared by ethylenediamine treatment of CNC-I (ECNC-III). The polymorphic
changes of CNCs, and their properties including morphology, crystallinity,
thermal stability, and re-dispersion ability were systematically investigated.
The results showed that the other properties of CNC-II and MCNC-II were quite
different from each other except for the morphology. The morphology of
polymorphic transformed CNCs were strongly relate to the fashion of cellulose
chains arrangement. Both CNC-II and MCNC-II exhibited a rod-like shape with short
lengths, whereas the ECNC-III showed relatively long length, like CNC-I.
PMID- 29804967
TI - Development of Chitosan and Polycaprolactone based active bilayer films enhanced
with nanocellulose and grape seed extract.
AB - In this study, nanocellulose (NC) (2-5%, w/w) and grape seed extract (GSE) (15%,
w/w) added chitosan (CH) and polycaprolactone (PCL) based active bilayer films
were prepared by either coating with PCL in the form of chloroform solution (C
PCL) or compression of PCL and CH layers (P-PCL). Films were tested by means of
scanning electron microscopy (SEM), water vapor permeability (WVP), tensile
tests, optical and active properties (antimicrobial, and antioxidant activities),
and release studies. The incorporation of NC significantly reduced WVP and
opacity of films while GSE addition had an adverse effect. P-PCL films showed
higher elastic modulus, tensile strength and WVP values and lower elasticity when
compared to C-PCL films (p < 0.05). All film samples showed antimicrobial
activity, and GSE retained its antioxidant capacity within CH matrix. The
formation of the CH and PCL based bilayer films with NC and GSE improved the
suitability of CH films for food packaging applications.
PMID- 29804968
TI - Synthesis of cellulose impregnated copper nanoparticles as an efficient
heterogeneous catalyst for CN coupling reactions under mild conditions.
AB - Highly efficient copper nanoparticles supported over nanocellulose have been
prepared by a simple chemical reduction method. The cellulose was extracted from
the inner stem of Hibiscus sabdariffa and used as a polymer matrix for the
synthesis of copper nanoparticles. The formation of the nanoparticles was
validated through different characterization techniques like X-ray diffraction
(XRD), Fourier transform infra-red spectroscopy (FTIR), UV-vis spectroscopy (UV)
and Energy dispersive X-Ray Spectroscopy (EDX). Moreover the morphology of the
cellulose supported copper nanoparticles was investigated using field emission
scanning electron microscope (FESEM) and field emission transmission electron
micrograph (FETEM) which revealed the formation of spherical nanoparticles with
an average size of 7 nm. The synthesized catalyst was then utilized effectively
in the CN coupling reactions involving open chained as well as cyclic secondary
amines and electron deficient vinylic compounds. The catalyst demonstrated
excellent yield (82%-95%) and was easily recycled for upto six cycles without any
significant loss of catalytic activity.
PMID- 29804969
TI - Highly sensitive and selective ion-imprinted polymers based on one-step
electrodeposition of chitosan-graphene nanocomposites for the determination of
Cr(VI).
AB - A sensitive and selective electrochemical sensor based on ion-imprinted chitosan
graphene nanocomposites (IIP-S) has been developed for the determination of
Cr(VI). The ion-imprinted polymers were constructed by one-step
electrodeposition. The morphology and structure of IIP-S were characterized by
SEM, TEM, XRD, FTIR and EDS, respectively. Meanwhile, the electrochemical
behavior of IIP-S was investigated using CV, EIS and DPV. The linear range of IIP
S was from 1.0 * 10-9 to 1.0 * 10-5 mol/L, with the low detection limit of 6.4 *
10-10 mol/L (S/N = 3). The sensor exhibited high selectivity for the
determination of Cr(VI) in the presence of Zn(II), Co(II), Cu(II), Ni(II),
Mn(II), MnO4-, C2O42-, S2O62- and MoO42- ions. The IIP-S also provided excellent
stability and good repeatability that the sensitivity remained 85% after 9 cycles
of rebinding-removal, while the sensitivity retained 87% of its initial response
storing at 4 degrees C for 17 days. Moreover, it was successfully applied to the
detection of Cr(VI) ions in tap water and river water.
PMID- 29804970
TI - Structural studies of water-extractable pectic polysaccharides and
arabinogalactan proteins from Picea abies greenery.
AB - Water-extractable arabinogalactan proteins (AGP) (the main constituent) and
pectic polysaccharides were isolated from tree greenery of Picea abies. The
carbohydrate part of AGP macromolecules consisted of AG-II, the side chains of
which were represented by 1,6- and 1,3,6-beta-d-Galp, T-alpha-l-Araf, 1,3- and
1,5-alpha-l-Araf, T-beta-D-GlcpA and 1,4-beta-D-GlcpA, T-alpha-l-Rhap, T-alpha-l
Fucp and 4-O-Me-alpha-l-Fucp residues. It was established that the unusual 4-O-Me
alpha-l-Fucp monosaccharide are located on the non-reducing ends of the side
chains of carbohydrate part of AGP macromolecules, and are bound to 1,4-beta-d
GlcpA residues by 1,4-bonds. The backbone of pectin macromolecules consisted
mostly of 1,4-alpha-d-galactopyranosyluronan and RG-I, which side chains were
represented by highly branched 1,5-alpha-l-arabinan. It was shown that RG-I is
characterized mainly by short segments, which are alternated with the regions of
the non-acetylated and non-methyl-esterified galacturonan. The study revealed
that at least a part of the pectin is strongly associated with AGP. It was
indicated that the RG-I segments are separated from the AGP-bound pectin by
regions of 1,4-alpha-d-galactopyranosyluronane.
PMID- 29804971
TI - Variable piezoelectricity of electrospun chitin.
AB - Investigations into the piezoelectricity of natural polymers is a continuing area
of interest due to their potential role in the complex interplay of mechanical
and electrical forces present in biological organisms. Their synthetic
counterparts, when electrospun using the air gap electrospinning method, are
known to have increased crystallinity and tensile strength as compared to
randomly aligned nanofibers composed of the same constituent polymers. Using the
air gap electrospinning method with the naturally-occurring, semi-crystalline
polymer chitin, the nanofibers were determined to have a 300% increase in tensile
strength over randomly collected ones. Additionally, a 400% increase in
piezoelectric response in the aligned nanofiber chitin mats was measured. The
increased tensile strength and piezoelectricity in aligned chitin nanofibers is a
consequence of an increase in alpha-chitin crystallinity in the nanofibers
induced by the air gap collection method.
PMID- 29804972
TI - Biomimetic mineralization of carboxymethyl chitosan nanofibers with improved
osteogenic activity in vitro and in vivo.
AB - Inspired by the natural extracellular matrix, the organic-inorganic composite
nanofibers are promising scaffolds for bone tissue engineering. Chitosan-based
nanofibers are widely used as bone tissue engineering scaffolds with good
biocompatibility but pungent solvents are frequently used for its processing.
Carboxymethyl chitosan (CMCS), a water-soluble derivative of chitosan, has better
biodegradability and bioactivity which allows CMCS to chelate Ca2+ and induce the
deposition of apatite. Moreover, with water as solvent, CMCS nanofibers avoid the
acidic salt removal comparing to electrospun-chitosan. In this study, we
successfully prepared uniform CMCS nanofibers with the aid of polyethylene oxide
(PEO) and obtained the optimized conditions with a voltage of 25 kV and PEO of
molecular weight 1000 kDa. We further prepared hydroxyapatite (HA) coated
electrospun CMCS nanofibers by biomimetic mineralization using 5 times simulated
body fluid. The promotion of osteogenic differentiation of mouse bone marrow
stromal cells (mBMSCs) in vitro was evaluated on the nanofibers scaffolds. Cell
experiments revealed that CMCS-HA composite nanofibers increased the ALP
activity. The gene expression level of Runx2 and ALP were about 1.6 and 4.3 folds
at the 7 days, and 5.1 and 10 folds at the 14 days on CMCS-HA nanofibrous
membranes than that on CMCS alone samples. The level of OCN increased by 24 and
1.5 times on the CMCS-HA scaffolds than CMCS scaffolds at the 14 and 21 days. In
vivo new bone formation by nanofiber scaffolds was investigated in a critical
size rat calvarial bone defect model. Micro-CT results showed that the whole
defect was covered by new bone after CMCS-HA filling the defect for 12 weeks. The
results of H&E staining and Masson's trichrome staining on histological sections
further confirmed that composite nanofibers promoted new bone formation and
maturation.
PMID- 29804974
TI - Dose matters: Direct killing or immunoregulatory effects of natural
polysaccharides in cancer treatment.
AB - Polysaccharides from natural resources possess anti-tumor activities for decades,
but the efficacy of polysaccharides as the adjuvant drugs for cancer treatment at
prescribed doses remains open for debate. In this review, molecular mechanisms
involved in direct killing effects of polysaccharides, including apoptosis, cell
cycle arrest and mitochondria/DNA damage were described. However, the
concentrations/doses used to reach the direct killing effects are too high to be
applicable. Polysaccharides can also exert anti-tumor effects through
immunoregulation at lower doses, and the effects of polysaccharides on natural
killer cells, dendritic cells and other lymphocytes for tumor destruction, along
with the receptor recognition and downstream signaling pathways, were delineated.
Unfortunately, the prescribed doses of polysaccharides are too low to stimulate
immunoresponse, resulting in the failure of some clinical trials. Therefore,
understanding the sophisticated mechanisms of the immunoregulatory function of
natural polysaccharides with refined doses for clinical use will help the
standardization of traditional medicine.
PMID- 29804973
TI - Mineralized alginate hydrogels using marine carbonates for bone tissue
engineering applications.
AB - The search for an ideal bone tissue replacement has led to the development of new
composite materials designed to simulate the complex inorganic/organic structure
of bone. The present work is focused on the development of mineralized calcium
alginate hydrogels by the addition of marine derived calcium carbonate biomineral
particles. Following a novel approach, we were able to obtain calcium carbonate
particles of high purity and complex micro and nanostructure dependent on the
source material. Three different types of alginates were selected to develop
inorganic/organic scaffolds in order to correlate alginate composition with
scaffold properties and cell behavior. The incorporation of calcium carbonates
into alginate networks was able to promote extracellular matrix mineralization
and osteoblastic differentiation of mesenchymal stem cells when added at 7 mg/ml.
We demonstrated that the selection of the alginate type and calcium carbonate
origin is crucial to obtain adequate systems for bone tissue engineering as they
modulate the mechanical properties and cell differentiation.
PMID- 29804975
TI - Structural analysis and anticoagulant activities of three highly regular fucan
sulfates as novel intrinsic factor Xase inhibitors.
AB - Fucoidan or fucan sulfate, a sulfated polysaccharide from algae or echinoderm
mainly containing fucoses, possesses complex chemical structure and various
biological activities. Herein, three fucan sulfates consisted of distinctive
simplest repeating units were isolated from Holothuria fuscopunctata, Thelenota
ananas and Stichopus horrens. The structural sequences of these fucan sulfates
from H. fuscopunctata, T. ananas and S. horrens are ->4-alpha-l-Fucp-(3SO3-)-1->,
->4-alpha-l-Fucp-(2SO3-)-1-> and ->3-alpha-l-Fucp-(2SO3-)-1->, respectively,
revealing the existence of the highly regular homogeneous fucan sulfates and
their structural diversity in the sea cucumber species for the first time.
Pharmacological assays indicated their specific sulfation pattern and position of
the glycosidic linkage may contribute to the anticoagulant action. Further
mechanism analysis suggested that these fucan sulfates may exhibit strong
inhibition of the intrinsic coagulation pathway by targeting the intrinsic
coagulation factor Xase. Our results provide novel information to enrich
knowledge on structural types of fucan sulfate and to illustrate its
functionality.
PMID- 29804976
TI - Selective conversion of chitosan to levulinic acid catalysed by acidic ionic
liquid: Intriguing NH2 effect in comparison with cellulose.
AB - A highly selective route to produce levulinic acid (LA), one of the top twelve
platform chemicals, from chitosan has been provided by catalysis of acidic ionic
liquids (ILs). The effect of NH2 group on the conversion efficiency, IL
recyclability and catalytic mechanism has been elucidated thoroughly in
comparison with cellulose. Dilution effect was displayed significantly at lower
chitosan intake, whereby the yield of LA was dramatically improved up to 64%. The
relationship between IL structure and LA yield was established, disclosing that
acidity of IL dictates the conversion efficiency of chitosan to LA predominantly.
Moreover, methyl isobutyl ketone (MIBK) proved to be efficient extractant for the
separation of LA product, and IL can be recycled without loss of activity by
supplementing sulfuric acid. In addition, the chemical structures and
morphologies of the solid residues were investigated by SEM and IR, indicating
two-stage mechanism that chitosan first quaternizes and depolymerizes to
glucosammonium salt, followed by transformation into LA through NH3 elimination.
PMID- 29804977
TI - Characterization and antibacterial mechanism of poly(aminoethyl) modified chitin
synthesized via a facile one-step pathway.
AB - This work aims to synthesize poly(aminoethyl) modified chitin (PAEMC) and
ascertain its antibacterial activity and mechanism. FTIR and 1H NMR results
proved aminoethyl moieties were grafted to C6OH and C3OH on chitin backbone in
the form of polymerization. XRD and TG/DTG analyses manifested its well-defined
crystallinity and thermostability. PAEMC, with average molecular weight (MW) of
851.0 kDa, degree of deacetylation (DD) of 27.95%, and degree of substitution
(DS) of 1.77, had good solubility in aqueous solutions over the pH range of 3-12,
and also possessed high antimicrobial activity against Staphylococcus
epidermidis, Staphylococcus aureus, Pseudomonas aeruginosa, Escherichia coli,
Bacillus proteus, and Klebsiella pneumoniae, commonly causing chronic wound
infections. Nucleic acid release, protein leakage, increased inner membrane
permeability, and decreased cell surface hydrophobicity implied bacterial
cytomembranes were substantially compromised in the presence of PAEMC.
Microscopically, PAEMC visually perturbed bacteria, illustrating deformed and
even collapsed morphologies. Overall, PAEMC possessed good solubility,
effectively destroyed bacteria via a membrane damage mechanism, and might serve
as an antibacterial agent for treatments of chronic wound infections.
PMID- 29804978
TI - Effect of urea addition on chitosan dissolution with [Emim]Ac-Urea solution
system.
AB - Chitosan dissolution system with [Emim]Ac (1-ethyl-3-methylimidazolium acetate)
and urea was designed for homogeneous chemical modification of chitosan.
Physicochemical properties of [Emim]Ac and [Emim]Ac-urea, such as density,
solvatochromic parameters etc, were thoroughly investigated. NMR and FTIR spectra
of [Emim]Ac-Urea solution were tested for determination of interaction between
[Emim]Ac and urea. Obvious chemical shift change (Deltad) of typical atoms (H2,
H11, C10, and C12) in [Emim]Ac-urea indicated that strong hydrogen bonds were
formed between [Emim]Ac and urea. Chitosan dissolution performance in [Emim]Ac
Urea solution was studied. Density functional theory (DFT) simulations were
carried out to discuss the interactions between [Emim]Ac, urea, and chitosan.
Four kinds hydrogen bonds (CH/O, CH/N, NH/O, NH/N), and eight hydrogen bonds,
were formed in chitobiose-[Emim]Ac-urea. In particular, anion acetate of
[Emim]Ac, hydrogen atoms and nitrogen of urea formed strong hydrogen bonds with
oxygen atoms, amide, and hydroxyl in chitobiose. The information obtained would
provide a guide for the development of novel solvent systems for chitosan
dissolution and homogeneous chemical modification.
PMID- 29804979
TI - Structural characterization, in vitro and in vivo antioxidant activities of a
heteropolysaccharide from the fruiting bodies of Morchella esculenta.
AB - This study aimed to investigate the structural features, in vitro and in vivo
antioxidant activities of a heteropolysaccharide from the fruiting bodies of
Morchella esculenta (FMP-1). FMP-1 had an average molecular weight of 4.7 * 103
Da and consisted of mannose, glucose and galactose. By methylation and NMR
analysis, the backbone of FMP-1 was deduced to be made up of 1,4-linked Glcp and
1,6-linked Galp. Hydroxyl, DPPH and superoxide radicals could be efficiently
scavenged by FMP-1, with IC50 values of 74.26, 119.32 and 161.49 MUg/mL,
respectively. Furthermore, FMP-1 could significantly protect zebrafish embryos
against AAPH-induced oxidative damage. Decrease in malformations and mortalities
was observed along with the reduction of ROS production, NO production and cell
death. The protective effects were by decreasing MDA content and increasing SOD,
CAT and GSH-Px levels. The current work provided a good suggestion of the
potential utilization of FMP-1 as an attractive natural antioxidant.
PMID- 29804980
TI - Effect of polysaccharide chain conformation on ultrasonic degradation of curdlan
in alkaline solution.
AB - This study was to investigate the effects of polysaccharide chain conformation on
ultrasonic degradation of curdlan, a high MW beta-glucan with wide applications.
The ultrasonic degradation was performed in alkaline solution at 0.1 M and 0.3 M
NaOH, in which the curdlan chain was mainly in triple helical conformation and
random coil form, respectively. The degradation rate was represented by the
kinetic model, 1/Mt - 1/Mo = kt, with the rate constant k increasing with the
ultrasonic power. The degradation rate was much higher in 0.3 M NaOH than in 0.1
M NaOH, suggesting that curdlan in random coil conformation was more liable to
degradation than in helical conformation. Curdlan in 0.1 M NaOH was changed from
triple helices to single helices and eventually to random coils with a higher
solubility. In summary, ultrasonic degradation of curdlan in alkaline solution
had a close and complex relationship to the chain conformation changes.
PMID- 29804981
TI - NMR and ESI-MS spectrometry characterization of autohydrolysis xylo
oligosaccharides separated by gel permeation chromatography.
AB - Chemical structure determination of xylo-oligosaccharides obtained by common
production pathway is difficult, since the products mixture must be separated and
purified into individual chemicals. In the present study, gel permeation
chromatography was used to efficiently separate and purify the xylo
oligosaccharides produced by biomass autohydrolysis for the chemical structural
evaluation. Results showed that the separation obtained high purity (85.2-94.5%)
xylo-oligosaccharides with individual component. The separated samples were
further characterized by ESI-MS and NMR, which revealed that the samples were non
substituted xylobiose and acetylated xylo-oligosaccharides with degree of
polymerization from 3 to 6. The combined 1H, 13C and two-dimensional proton
detected heteronuclear single quantum (2D HSQC) NMR spectra results suggested
that acetyl groups only attached to the internal units of xylo-oligosaccharides
after autohydrolysis, and no acetylated non-reducing ends were detected.
PMID- 29804982
TI - Multi-functionalized chitosan nanoparticles for enhanced chemotherapy in lung
cancer.
AB - Chemotherapy-based treatment for cancer has made great progress in the past
decades. However, there is still a big challenge for the treatment of lung
cancer. Herein, a multifunctional nanocarrier was developed through electrostatic
interaction between the fluorescent gold nanocluster-conjugated chitosan and the
nucleolin targeting AS1411 aptamer. Then methotrexate was loaded into the
multifunctional nanocarrier through hydrophobic interaction to obtain the
nanodrug carrier systems. The prepared nanodrug carrier systems have an average
nanoparticle size of 200 nm with 13.8% drug loading efficiency. The drug release
is pH-dependent. The in vitro results demonstrated that the nanodrug carrier
systems were selectively taken up by cancer cells in a time-dependent manner and
exhibited significantly enhanced anticancer activity in a model of lung cancer
A549 cells. The in vivo results showed that intravenous administration of
nanodrug carrier systems into BALB/c mice led to accumulation of methotrexate at
the tumor site and significantly inhibited the tumor growth but without overt
toxicity. The present study suggests that the prepared multifunctional
nanocarrier can be used as an effective drug delivery system for anticancer drugs
and exhibits great potential in clinical applications.
PMID- 29804983
TI - CNFs from twin screw extrusion and high pressure homogenization: A comparative
study.
AB - Cellulose nanofibrils (CNFs) from oxidized never dried Eucalyptus pulps have been
produced by using twin screw extrusion (TSE) and high pressure homogenizer (HPH),
and their properties were compared. CNFs from TSE are produced at 10% solid
content and then diluted to 1% for purpose of comparison against HPH. The
nanosized fraction (NF) was around 90% for CNFs from HPH compared to 70% when TSE
was used. Difference in the fibrillation extent has led to a higher transparency
degree for CNFs gel from HPH. However, the rheological properties of two CNFs
gels are quite similar with a solid-like aspect. The elastic modulus is one order
of magnitude higher than viscous modulus and nearly frequency-independent.
Analysis of the nanosized fraction with AFM has revealed long nanosized fibrils 3
4 nm in width for both CNFs types. When mixed with waterborne polymer dispersion,
transparent to translucide nanocomposite films were obtained. However, the
reinforcing potential of CNFs from HPH has been shown to be stronger than that
from TSE.
PMID- 29804984
TI - Chitosan film incorporated with citric acid and glycerol as an active packaging
material for extension of green chilli shelf life.
AB - Chitosan films with cross linker and plasticizer were prepared using solvent
casting method for food packaging application. Citric acid was used as the cross
linker which enhances the stability of the films. Glycerol was used as
plasticizer which imparts flexibility. Successful cross linking was confirmed by
Fourier Transform-Infra Red (FT-IR) spectra and incorporation of glycerol was
seen clearly in the Field Emission Scanning Electron Microscope (FE-SEM) images.
The modified films show an improved water resistance and transparency. An
improved moisture barrier was also observed with a 5.5% and 29% reduction in
water vapor transmission and water vapor permeability, respectively. Modified
films showed drastic 12 times increase in the elongation percentage value, hence
enhanced flexibility. However, the tensile strength and Young's modulus decreased
substantially by 82% and 98%, respectively. The films also showed better thermal
and antioxidant properties as compared to neat chitosan films and were found to
enhance green chilli shelf life.
PMID- 29804985
TI - A hyaluronan-based nanosystem enables combined anti-inflammation of mTOR gene
silencing and pharmacotherapy.
AB - Accompanied by overproduction of oxidants and reduction of pH, inflammation is
closely related to many diseases such as cancer, atherosclerosis, and asthma.
Besides chemotherapeutic agents, the potential regulative role of autophagy in
inflammation is being actively investigated. RNA interference (RNAi)-based gene
therapy is widely explored for clinical therapy but seriously restricted by lack
of suitable carriers. In this study, we synthesized a hyaluronan-based ROS
sensitive polymer which was expected to release loaded chemical drugs in
inflammatory environment and further developed a stable and nontoxic co-delivery
nanosystem of siRNA targeting autophagy suppressive gene and chemotherapeutic
agents. The in vitro transfection study of this nanosystem revealed improved
intracellular accumulation of siRNA and excellent gene silencing efficacy
comparable to that of conventional cationic liposome. Moreover, the mRNA
expression of inflammatory cytokines was remarkably decreased by our nanosystem.
Considering its biocompatibility, transfection efficacy, and anti-inflammatory
capability, this co-delivery nanosystem proclaimed to be a promising combined
therapeutic strategy for enhanced anti-inflammatory therapy.
PMID- 29804986
TI - The preparations of novel cellulose/phenylboronic acid composite intelligent bio
hydrogel and its glucose, pH-responsive behaviors.
AB - Novel intelligent cellulose/4-vinyl-phenylboronic acid (VPBA) composite bio
hydrogels with glucose and pH-responsiveness were successfully prepared via
electron beam irradiation technology at room temperature. The composites were
characterized by Fourier transform infrared spectrum (FT-IR) and X-ray
photoelectron spectroscopy (XPS). The electron beam irradiation results in the
appearance of carbonyl in the polymerization of 4-ethenyl-phenylboronic acid,
grafting and cross linking reaction in composites, and a novel composite hydrogel
was formed between the poly-4-ethenyl-phenylboronic acid and cellulose matrix. By
means of the incorporation of phenylboronic acid groups, the composite hydrogels
with pH and glucose responsive properties was produced, and glucose responsive
properties were investigated by the self-regulation of insulin release of
composite hydrogel through a serial glucose solution with different
concentrations, which is having great potential applications in many fields.
PMID- 29804987
TI - Sustained release of chrysin from chitosan-based scaffolds promotes mesenchymal
stem cell proliferation and osteoblast differentiation.
AB - Numerous phytochemical compounds have recently been reported to stimulate
osteogenesis. In this study, the bioavailability and osteogenic effects of
chrysin, a natural flavonoid, were investigated. Chrysin was incorporated at
different concentrations into biocomposite scaffolds containing carboxymethyl
cellulose, chitosan, and nano-hydroxyapatite, through the freeze-drying method.
The physicochemical and material characteristics of chrysin-incorporated
scaffolds were investigated, and chrysin had no effect on them. These chrysin
containing scaffolds were not cytotoxic to mouse mesenchymal stem cells (mMSCs).
Chrysin released from scaffolds stimulated cell proliferation and promoted
osteoblast differentiation. Osteoblast differentiation enhanced by chrysin from
scaffolds could be due to downregulation of co-repressors of the osteoblast
differentiation transcription factor Runx2 in these cells. Thus, chrysin release
from scaffolds has potential effects on proliferation and differentiation of
mMSCs; hence, it has potential application in bone tissue engineering.
PMID- 29804988
TI - Fabrication of a new polysaccharide-based adsorbent for water purification.
AB - Expanding the application of polysaccharide material has attracted tremendous
attention in the fields of wastewater treatment, agriculture and biomedical
engineering, on account of its tunable and unique properties. Herein, we employ a
water-soluble, sustainable and low cost bacterial polysaccharide, salecan as a
matrix, poly(acrylamide-co-itaconic acid) (PAI) as a synthetic component to
synthesize salecan-g-PAI hydrogels through a simple chemical crosslinking method.
Their physicochemical properties were fully characterized by various methods
including Fourier transformed infrared spectroscopy, X-ray diffraction,
thermogravimetry, scanning electron microscope and rheometry. We found that
salecan not only acted as the interaction sites to regulate the water content of
the developing hydrogels, but also endowed them with tailorable morphology. The
designed salecan-g-PAI hydrogels exhibited excellent adsorption properties toward
methylene blue (MB) dye, and the adsorption process could be well described by
the pseudo-second-order kinetic and Freundlich isotherm models. Altogether, this
study broadens the application of salecan polysaccharides and provides a new
device for dye decontamination.
PMID- 29804989
TI - Study on an injectable biomedical paste using cross-linked sodium hyaluronate as
a carrier of hydroxyapatite particles.
AB - Exploring the long-term filler for minimally invasive plastic surgery has been
widely concerned. In the present study, a series of injectable paste composed of
hydroxyapatite (HAp) spherical particles and cross-linked sodium hyaluronate
(cHA) solution were prepared. The physicochemical properties of cHA as a carrier
of high content HAp microspheres (>50%) and as-obtained injectable HAp/cHA paste
were studied. The cross-linking degree (DC), viscosity and molecular weight (Mw
and Mn) of cHA increased with the increasing of the cross-linker dosage from 7.5
to 17.5 wt% under the certain conditions. HAp/cHA pastes were fabricated by
homogeneously blending different sizes of HAp microspheres with cHA solution. The
stability, rheological performance and push-out force of the pastes were studied,
and the influence factors were discussed. The results indicated that moderate
crosslinked cHA with 60% middle size HAp (HAp-M60/cHA-15.0) had appropriate
comprehensive property. Finally, the in vitro cell culture approved the paste had
no cytotoxicity. Although the biological performance of the pastes still need to
be investigated, this preliminary study demonstrates that it is possible to carry
high content HAp in cHA, expecting the better volumetric maintenance after long
term implantation.
PMID- 29804990
TI - High strength gelatin-based nanocomposites reinforced by surface-deacetylated
chitin nanofiber networks.
AB - In this study, chitin nanofiber (ChNF) was deacetylated on the crystalline
surface by NaOH treatment, leading to the fibrillation of mostly individualized
nanofibers with high aspect ratio. The small diameter and high strength of chitin
nanofibers make them promising reinforcing fillers for composites. Herein by
introducing into the gelatin, surface-deacetylated chitin nanofiber (S
ChNF)/gelatin nanocomposites were fabricated in different component ratios using
immersion method followed with drying. Due to the reinforcing effect attributed
to S-ChNF, mechanical properties of the S-ChNF/gelatin were significantly
improved in both stress and Young's modulus while still maintaining high
transparency regardless of nanofiber content. Morphology and Fourier-transform
infrared characterization revealed that S-ChNF preserved nanonetwork structures
in the gelatin matrix and exhibited good compatibility through hydrogen bonding,
which further confirmed the improvement in mechanical properties. Therefore,
these S-ChNF/gelatin nanocomposites based on biocompatible and biodegradable raw
materials have potential applications in biomedical and food packaging
industries.
PMID- 29804992
TI - Facile preparation of BiOBr/cellulose composites by in situ synthesis and its
enhanced photocatalytic activity under visible-light.
AB - BiOBr/regenerated cellulose composites photocatalysts were easily prepared by in
situ synthesis method with the utilization of pulp board as the cellulose source
in TEMPO (2, 2, 6, 6-tetramethylpiperidine-1-oxyl radical)-Mediated Oxidation.
The physicochemical characteristic of the BiOBr/cellulose composites are
systematically indicated by SEM, XRD, FT-IR, TG, BET, XPS, UV-vis, TOC, HPLC and
EIS. The results indicated that the BiOBr nanoparticles were incorporated into
cellulose surface, and there was a strong interaction between the hydroxyl groups
of regenerated cellulose (RC) and the BiOBr through hydrogen bonding
interactions. Under visible light irradiation, the composites showed remarkable
photocatalytic activity for degradation of Rhodamine B (C0 = 25 mg L-1) with
degradation percentage of 99% within only 50 min irradiation and 88.6% after four
recycles. This study promotes that the BiOBr/cellulose composites can act as a
new and green portable photocatalyst in the field of wastewater treatment.
PMID- 29804991
TI - Superhydrophobic surfaces generated by one-pot spray-coating of chitosan-based
nanoparticles.
AB - Superhydrophobic surfaces have attracted great attention due to their attractive
properties. Biopolymer-based low-cost and environmentally-friendly
superhydrophobic coatings with easy-to-perform fabrication methods are always
desirable. Herein, we report superhydrophobic surfaces using a one-step spray
coating of chitosan-based nanoparticles. The particles were easily prepared by a
nanoprecipitation strategy using synthesized organosoluble chitosan stearoyl
ester (CSSE). The resulting particles had an average size of 165 ~ 235 nm
depending on the applied concentration. Subsequently, spray-coating of such
particles onto silicon wafer generated a surface with a water contact angle of
155 +/- 1 degrees . SEM and AFM images exhibited a nano/microscaled roughness
appeared on the coated surface. The superhydrophobic surfaces showed a stable
superhydrophobic performance even after storage for 15 days, pH stability between
pH 1 to pH 11 and thermal stability until a temperature no more than 50 degrees
C. These properties would broaden the application fields of superhydrophobic
surfaces as well as the chitosan itself.
PMID- 29804993
TI - Bioengineered carboxymethyl cellulose-doxorubicin prodrug hydrogels for topical
chemotherapy of melanoma skin cancer.
AB - Melanoma is the most aggressive type of skin cancer with high rates of mortality.
Despite encouraging advances demonstrated by anticancer drug carriers in recent
years, developing ideal drug delivery systems to target tumor microenvironment by
overcoming physiological barriers and chemotherapy side effects still remain
intimidating challenges. Herein, we designed and developed a novel carbohydrate
based prodrug composed of carboxymethylcellulose (CMC) polymer bioconjugated with
anticancer drug doxorubicin hydrochloride (DOX) by covalent amide bonds and
crosslinked with citric acid for producing advanced hydrogels. The results
demonstrated the effect of CMC hydrogel network structure with distinct degree of
substitution of carboxymethyl groups of the cellulose backbone regarding to the
process of bioconjugation and on tailoring the DOX release kinetics in vitro and
the cytotoxicity towards melanoma cancer cells in vitro. To this end, an
innovative platform was developed based on polysaccharide-drug hydrogels offering
promising perspectives for skin disease applications associated with topical
chemotherapy of melanoma.
PMID- 29804994
TI - Pyridinium-based ionic liquid/water mixture intended for efficient dissolution of
cellulose, chitosan and chitin: The pivotal contribution of water.
AB - An acidic ionic liquid (IL), i.e. 1-(carboxymethyl)pyridinium chloride, is
synthesized and investigated for its untapped potential to dissolve cellulose,
chitosan and chitin with concentrations of up to 11, 10 and 5 wt.%, respectively.
Interestingly, the optimum water content of the IL/water towards efficient
dissolution is determined to be as high as 40 wt.%, which is in evident contrast
to the previous reports for other ILs by which the dissolution of polysaccharides
is achieved at the expense of water content. Using high water content in IL/water
mixture makes a breakthrough in ease of solution processability and dissolution
cost reduction. Regenerated cellulose and chitosan have less crystallinity and
lower temperature of thermal degradation onset (Tons) compared to the initial
samples. The regenerated cellulose exhibits reduction in degree of
polymerization, crystallinity and Tons by 55.1%, 11.0% and 38.2%, respectively.
Chitin in addition to dissolution, is also hydrolyzed to quaternary ammonium
chitosan.
PMID- 29804996
TI - Cellulose-glycerol-polyvinyl alcohol composite films for food packaging:
Evaluation of water adsorption, mechanical properties, light-barrier properties
and transparency.
AB - Nowadays consumers are aware of environmental problems. As an alternative to
petrochemical polymers for food packaging, researchers have been focused on
biopolymeric materials as raw material. The aim of this study was to evaluate
mechanical properties (toughness, burst strength and distance to burst), water
adsorption, light-barrier properties and transparency of composite films based on
cellulose, glycerol and polyvinyl alcohol. Scanning electron microscopy, spectral
analysis (FT-IR and UV-VIS-NIR) and differential scanning calorimetry were
performed to explain the morphology, structural and thermal properties of the
films. Results showed that polyvinyl alcohol enhances the toughness of films up
to 44.30 MJ/m3. However, toughness decreases when glycerol concentration is
increased (from 23.41 to 10.55 MJ/m3). Water adsorption increased with increasing
polyvinyl alcohol concentration up to 222%. Polyvinyl alcohol increased the film
thickness. The films showed higher burst strength (up to 12014 g) than other
biodegradable films. The films obtained have optimal values of transparency like
those values of synthetic polymers. Glycerol produced a UV protective effect in
the films, an important effect for food packaging to prevent lipid oxidative
deterioration. Results showed that it is feasible to obtain cellulose-glycerol
polyvinyl alcohol composite films with improved properties.
PMID- 29804995
TI - Controlled rapid synthesis and in vivo immunomodulatory effects of LM
alpha(1,6)mannan with an amine linker.
AB - The synthetic lipomannan (LM) alpha(1,6)mannans, already equipped with an amine
linker on the reducing end, are rapidly synthesized in a size-, regio-, and
stereocontrolled reaction. The size of the mannans is regulated through the
concentration of the linker, applied during the controlled ring-opening
polymerization reaction. The versatile amine linker enables a variety of glycan
conjugations. The synthetic alpha(1,6)mannans exert adjuvant activities for a
real vaccine antigen, tetanus toxoid (TT) in vitro, as demonstrated by the
increased secretion of proinflammatory cytokines TNF-alpha and IL-6 from the
treated macrophages. A conjugation of synthetic alpha(1,6)mannan with TT can also
enhance immune response to TT in vivo after immunization as shown by an increase
in TNF-alpha, IFN-gamma, and IL-2 production in splenocytes.
PMID- 29804997
TI - Structural investigation of a polysaccharide from the mycelium of Enterobacter
cloacae and its antibacterial activity against extensively drug-resistant E.
cloacae producing SHV-12 extended-spectrum beta-lactamase.
AB - In this study, a polysaccharide (ECP) was isolated from the mycelium of
Enterobacter cloacae and was found to exhibit strong antibacterial activities
against E. cloacae producing SHV-12 ESBL with the increase of the inhibition zone
diameter. Its minimum inhibitory concentration (MIC) and minimal bactericidal
concentration (MBC) were 12.5 mg/L and 25 mg/L, respectively. ECP at these
concentrations immediately inhibited planktonic growth of the bacteria especially
at the time from 2 to 10 h. Flow cytometry analysis further revealed that almost
all the bacterial cells were damaged following ECP treatment. The permeability of
the cytoplasmic membrane of E. cloacae was increased when ECP concentrations
increasing, as evidenced by an influx of Na and an efflux of K, P or S, the
leakage of intracellular ATP and the UV-absorbing substances, as well as the
depolarization of the cytoplasmic membrane, indicating that bactericidal activity
of ECP was achieved by inducing cell membrane damage.
PMID- 29804998
TI - Pd(0) nanocatalyst stabilized on a novel agar/pectin composite and its catalytic
activity in the synthesis of biphenyl compounds by Suzuki-Miyaura cross coupling
reaction and reduction of o-nitroaniline.
AB - The most important parameters for the fabrication of metallic nanoparticles are
their synthesis method and the selection of stabilizer. These properties
influence durability, shape, size, distribution, and catalytic performance of
metallic nanoparticles. Therefore, a novel agar/pectin composite (APC) was
designed in this study as a stabilizer, and then palladium nanocatalyst (Pd
NPs@APC) was synthesized using green protocol which contains no hazardous
reducing agents. The results of the thermal analysis showed that Pd NPs@APC had
highly thermal durability (239 degrees C). Palladium nanoparticles were in
spherical shape and homogeneously dispersed on APC surface. In addition, the
particle sizes of palladium nanoparticles were determined as 34-54 nm. Catalytic
activity of Pd NPs@APC was investigated in (i) Suzuki-Miyaura cross coupling
reactions by using microwave irradiation to decrease high reaction time and
energy as well as to provide clean synthesis and (ii) the reduction of o
nitroaniline to 1,2-benzenediamine at room temperature in water. Catalytic tests
showed that Pd NPs@APC had high activity and sustainability performance against
both Suzuki-Miyaura reactions and the reduction of o-nitroaniline.
PMID- 29804999
TI - Cu-crosslinked carboxymethylcellulose/naproxen/graphene quantum dot nanocomposite
hydrogel beads for naproxen oral delivery.
AB - In this work, copper acetate was used as a new physical crosslinker to prepare
carboxymethylcellulose (CMC) based hydrogel nanocomposite beads. Due to the
characteristics of the prepared CMC-based hydrogel nanocomposite beads such as
mildness, simplicity, and the creation of small and uniform shapes, the presented
procedure could attract great consideration in the field of controlled release of
drugs. Naproxen (NPX) as a model drug was pre-loaded during the preparation of
hydrogel beads. The prepared Cu-crosslinked carboxymethylcellulose/NPX/graphene
quantum dot nanocomposite hydrogel beads (Cu-CMC/NPX/GQD) characterized using FT
IR, Zeta potential, DSC and SEM analysis methods In order to demonstrate the
efficiency of the prepared nanocomposite beads as a controlled drug delivery
system, the drug delivery tests carried out in the gastrointestinal tract
simulated conditions. The resulted drug release analysis showed that CMC could
effectively protect the loaded drug against stomach pH. With controlling the
releases in the gastrointestinal tract conditions, the long-term stability of
drug dosing enhanced. The MTT test demonstrated that the hydrogel nanocomposite
beads have low toxicity against Caco-2 cells. The obtained results showed that
the prepared beads could be a choice for drug capsule in the gastrointestinal
tract conditions; furthermore, it potentially could be used as an oral drug
delivery system.
PMID- 29805000
TI - Figuration of Zr-based MOF@cotton fabric composite for potential kidney
application.
AB - Hemodialysis is one of the earliest artificial kidney systems, which removes
uremic toxins through using a semipermeable porous membrane. Current report
focuses on designation of metal organic framework (MOF) based zirconium@cotton
fabric composite and its application in kidney dialysis. UiO-66-(COOH)2 as MOF
material was directly grown within cotton fabric. Fabric was firstly reacted with
benzene tetra carboxylic acid forming ester and then Zr was interacted with the
free carboxylic groups in the formed ester to produce UiO-66-(COOH)2@cotton
fabric composite. The obtained composite was characterized by using electron
microscope, X-ray diffraction, infrared spectroscopy and colorimetric data. After
modification with MOF, fabric was acquired yellowish color. The prepared
composite was used in potential kidney application through studying the removal
of creatinine from mimic blood. The maximum adsorption capacity of creatinine was
113.6 and 192.3-212.8 mg/g for pristine fabric and UiO-66-(COOH)2@fabric
composite, respectively. From adsorption data, creatinine adsorption onto
composite was followed to pseudo-second order kinetic model and Langmuir isotherm
profile. After three regeneration cycles, the applied composite still achieved
substantial adsorption capacity by removing of 82% from creatinine. UiO-66
(COOH)2@cotton could be considered as successful adsorbent capable of removing
creatinine from blood with good reusability, which can be applied in kidney
dialysis.
PMID- 29805001
TI - Nanostructure of hyaluronan acyl-derivatives in the solid state.
AB - Acyl derivatives of hyaluronan (acyl-HA) are promising materials for biomedical
applications. Depending on the acyl length and the degree of substitution, these
derivatives range from self-assembling water-soluble polymers to materials
insoluble in aqueous environments. The behaviour of acyl-HA was studied in
solution, but little attention was paid to the solid state, despite its
importance for applications such as medical device fabrication. We thus used X
ray scattering and electron microscopy to explore the solid-state nano-structure
of acyl-HA. The set of samples included various substituents, substitution
degrees and molecular weights. The obtained data showed that all studied acyl-HA
materials contained structures with dimensions on the order of nanometres that
were not present in unmodified HA. The size of the nanostructures increased with
the acyl length, while the degree of substitution and molecular weight had
negligible effects. We suggest that the observed nanostructure corresponds to a
distribution of hydrophobic domains in a hydrophilic matrix of unmodified HA
segments.
PMID- 29805003
TI - Isolation and chemical characteristics of rhamnose enriched polysaccharide from
Grateloupia lithophila.
AB - The crude polysaccharide was extracted from Grateloupia lithophila through hot
water extraction and deproteinization. Further, fractionated by anion-exchange
column using Q-Sepharose and purified by gel-permeation chromatography using
Sepharose 4-LB column. The crude and purified polysaccharide contains high
carbohydrate (75.7 and 89.7%), ash (18.2 and 3.2%) and moisture (14.8 and 1.3%);
the protein and uronic acid were absent. The molecular weight of crude,
fractionated and purified polysaccharide was found to be 37 kDa, 29 kDa and 24
kDa. The monosaccharide composition of the crude polysaccharide was found to be
having rhamnose (79.82%), fructose (8.38%), galactose (3.95%), xylose (3.31%) and
glucose (1.48%); whereas the purified polysaccharide reported higher amount of
rhamnose (95.88%), 1.13% of xylose and 2.21% of fructose. The structural
elucidation of the purified polysaccharide was conformed as alpha-l-rhamnose
through polarimetry, FT-IR and 1H NMR spectroscopy.
PMID- 29805002
TI - Effect of freeze-thawing conditions for preparation of chitosan-poly (vinyl
alcohol) hydrogels and drug release studies.
AB - The freezing-thawing is an advantageous method to produce hydrogels without
crosslinking agents. In this study chitosan-poly(vinyl alcohol) (CS-PVA)
hydrogels were prepared by varying the freezing conditions and composition, which
affect the final characteristics of the products. The swelling degree,
morphology, porosity, and diflunisal drug loading, as well as the drug release
profiles were evaluated. The hydrogel swelling ratio was found to be mainly
affected by the CS content, the number of freezing cycles and the temperature.
SEM micrographs and porosity data confirm that pore size increases with the
chitosan content. However, the use of either lower temperatures or longer
freezing times, results in higher porosity and smaller pores. The drug release
times of the CS-PVA hydrogels were as long as 30 h, and according to the
mathematical fitting, a simple diffusion mechanism dominates the process.
Moreover, a mathematical model predicting the hydrogels physical and structural
behavior is proposed.
PMID- 29805004
TI - Temperature and pH responsive cellulose filament/poly (NIPAM-co-AAc) hybrids as
novel adsorbent towards Pb(II) removal.
AB - A novel thermo- and pH sensitive adsorbent, composed of cellulose filament (CF)
as the reinforcement scaffold and crosslinked copolymer of, N-isopropylacrylamide
(NIPAM) as the thermosensitive component and acrylic acid (AAc) as the pH
sensitive component was prepared. SEM images indicated the formation of semi
interpenetrating network between CF and poly(NIPAM-co-AAc). FTIR spectra
displayed the characteristic peaks for CF and poly(NIPAM-co-AAc). DSC reflected
the lower critical solution temperature derived from PNIPAM in the hybrids. The
swelling ratios exhibited dependence on temperature and pH. The adsorption of
Pb(II) on CF/poly(NIPAM-co-AAc) reflected pH-dependence. The adsorption kinetics
followed a pseudo-second-order model. At 293 K, the maximum adsorption capacity
of CF/poly(NIPAM-co-AAc) was 80.8 mg/g, higher than that of CF (45.6 mg/g) as
reflected by kinetics curves. Furthermore, with temperature variation, stepwise
adsorption-and-halt behavior was observed at pH 6.0, while swing desorption
behavior could be observed at approximately pH 3.0.
PMID- 29805005
TI - Electrodeposition of a magnetic and redox-active chitosan film for capturing and
sensing metabolic active bacteria.
AB - Rapid and portable detection of viable pathogen is highly desired to minimize the
risk of foodborne pathogen outbreaks. Here we report a proof-of-concept
fabrication methodology of a multifunctional film that allows established methods
from bacterial recognition (antibodies) and nanotechnology (magnetic
nanoparticles) to be coupled with electrochemical signal processing methods for
detection of viable bacteria. Specifically, we enlist a sequence of externally
applied electrical and magnetic signals to: i) guide the self-assembly of stimuli
responsive biopolymer; ii) incorporate magnetic nanoparticles to form a magnetic
layer; iii) electro-synthesize a signal processing layer (redox-capacitor). The
function of the magnetic layer is collecting and concentrating MMP-bacteria
through magnetic attractions between MMP-bacteria and the magnetic layer. The
function of the signal processing layer is amplifying electrochemical detection
of the collected bacteria by engaging the redox-active mediators with the redox
capacitor. Importantly, the fabrication demonstrated here is simple,
controllable, and reagentless.
PMID- 29805006
TI - Investigation of composition, structure and bioactivity of extracellular
polymeric substances from original and stress-induced strains of Thraustochytrium
striatum.
AB - This paper was the first to study extracellular polymeric substances (EPSs) of
Thraustochytrium striatum on composition, structure and bioactivities. Two
strains of T. striatum including original (ori) and high-biomass (mut) strains
(induced by high-nitrogen stress) were compared. The EPSs from both strains
mainly contained polysaccharide (41-64%, w/w, dry basis) and protein (25-40%,
w/w, dry basis), which was shown by the morphology study with an AFM. The
monosaccharide profile of the EPS polysaccharide was consisted of glucose,
galactose, arabinose, and trace amount of xylose. Glucose and arabinose took up
to 82-90% (w/w, dry basis) of the total polysaccharide. The structure and
functional groups of EPSs were determined by FTIR and NMR. The NMR results
revealed that the major structural linkages of the polysaccharides of both ori
and mut EPSs were 1 -> 6-beta-glucan and 1 -> 4-alpha-galactan branched with l
alpha-arabinose. The EPSs were found to have anti-tumor activities against mouse
melanoma B16-F0, human prostate carcinoma DU145, human cervical carcinoma HeLa,
and human lung carcinoma A549. The EPSs also showed antioxidant and anti
inflammatory activities and antibacterial activity against Pseudomonas
aeruginosa.
PMID- 29805007
TI - Cellulose nanofiber induced self-assembly of zinc oxide nanoparticles:
Theoretical and experimental study on interfacial interaction.
AB - In-depth understanding of interfacial behavior between biopolymer and
semiconductor metal oxides is crucial to developing potential applications of
their composites. A structure-ordered cellulose/zinc oxide composite was
synthesized and systematically examined by a relativistic density functional
theory. The prepared composite shows a hierarchical structure. ZnO nanoparticles
of around 30 nm in size are found to uniformly grow along the cellulose fiber,
which together construct the primary-structure unit. Associated with experimental
characterizations, calculations unravel that the electrostatic attraction between
cellulose and ZnO is the main driving force to form the primary structure and the
subsequent electron transfer from cellulose to ZnO enhances their interfacial
interaction; moreover, an exothermic process was computed. The interfacial
interaction is mainly contributed by Zn-Oc (Oc denotes the cellulose oxygen
atom), which is intrinsically of a dative bond; the interaction was calculated
between -1.39 and -1.83 eV in strength and dominated by orbital attractions.
PMID- 29805008
TI - Biopolymer from marine Athelia and its application on heavy oil recovery in
heterogeneous reservoir.
AB - Biopolymer produced from marine Athelia strain presented unique Pseudoplastic
behaviors under extremely-high temperature and salinity conditions.
Characteristic analysis with FT-IR spectroscopy, high performance liquid
chromatography, 1H and 13C NMR and two-dimensional COSY and HMQC spectra showed
the structure of beta-(1-6) glucans. Single-factor and orthogonal experiment
design were used to optimize the yield, the maximum yield of the biopolymer was
28.32 g/L with 56.64% carbon conversion rate under optimized conditions. Economic
investigation demonstrated that this novel biopolymer has great potential of
commercialization with the competitive cost of $2896.04-5228.94 per ton for
powder. Resistance factor and residual resistance factor were evaluated with core
flooding experiments showed that this biopolymer had excellent performance of
plugging capacity and profile modification, and indicating the great potential of
application on heavy oil recovery.
PMID- 29805009
TI - Skin protection efficacy from UV irradiation and skin penetration property of
polysaccharide-benzophenone conjugates as a sunscreen agent.
AB - Sunscreen materials have been developed to protect skin from UV radiation.
However, many organic sunscreen materials are small molecules and absorbed into
human skin after topical application and lead to systemic side effects. To
improve the adverse effects of conventional sunscreen materials, we designed a
sunscreen agent using an organic sunscreen material and a polymer. Dioxybenzone,
an organic sunscreen compound is selected and polymerized with natural polymer
pullulan. Polymerization not only provides a long polymer backbone to
dioxybenzone, but also keeps the distance between benzene rings of the
dioxybenzone and prevents reduction of photoabsorption intensity. UV/vis
spectrophotometry confirmed that dioxybenzone-pullulan polymer (DOB-PUL) and
dioxybenzone (DOB) demonstrated similar UV absorption. To measure the
accumulation of sunscreen materials on skin, Franz diffusion cell was used to
confirm the accumulation of DOB and lack of penetration of DOB-PUL. Most
importantly, DOB showed higher plasma concentration after multiple applications
compared to that of DOB-PUL.
PMID- 29805010
TI - Molecular and rheological characterization of different cell wall fractions of
Porphyridium cruentum.
AB - Cell wall related polysaccharides of the red microalga Porphyridium sp. were
shown to be a promising source of new sustainable thickening agents. Isolated
extracellular polysaccharides (EPS) consisted of high molecular weight polymers,
showing a higher intrinsic viscosity compared to several commercially used
hydrocolloids. Aqueous solutions of EPS (2% w/w) were characterized by
substantial viscosities and weak gel behavior. Even though the extracted water
soluble cell wall polysaccharides exhibited the same monosaccharide profile as
EPS (composed of galactose, glucose, xylose and glucuronic acid), a lower
molecular weight and intrinsic viscosity was observed for this fraction,
resulting in poor rheological properties. Therefore, it was hypothesized that the
physicochemical properties were related with a different molecular structural
organization of these monosaccharides and sulfate groups. The main challenge for
commercialization of extracellular polysaccharides of Porphyridium sp. remains
the purification of these fractions to obtain polysaccharide extracts with low
protein and salt contents.
PMID- 29805011
TI - Consequences of chitosan decomposition by nitrous acid: Approach to non-branched
oligochitosan oxime.
AB - It is well known that chitosan degradation by nitrous acid leads to oligochitosan
(oligoCHIt-ahm) bearing reactive 2,5-anhydromannose (3,4-dihydroxy-5
hydroxymethyl-tetrahydrofuran-2-aldehyde) units at the new reducing ends of
macromolecules. Standard protocol requires reduction of oligoCHIt-ahm with NaBH4
to corresponding oligoCHIt-hml bearing unreactive hydroxymethyl group instead of
reactive aldehyde group. For the first time, HP SEC as well as UV and CD
spectroscopy methods have revealed that the reduction leads to an indefinite side
modification and the formation of a branched oligoCHIt-hml with increased
molecular weight. Here, it is shown that the branching and modification can be
prevented by means of the simple and reproducible reaction of oligoCHIt-ahm with
hydroxylamine that allows preparation of a stable linear oligochitosan oxime,
oligoCHIt-oxm. Cytotoxicity tests show that oligoCHIt-ahm, oligoCHIt-hml and
oligoCHIt-oxm are non-toxic at concentration below 2.5 mg/ml, and the
cytotoxicity is concentration dependent and decreases in the order oligoCHIt-ahm
> oligoCHIt-hml > oligoCHIt-oxm at higher concentrations both before and after
long shelf-storage. The elaborated approach and cytotoxicity data give an
opportunity to use the non-branched oligoCHIt-oxm for biomedical applications.
PMID- 29805012
TI - An effective and recyclable deproteinization method for polysaccharide from
oyster by magnetic chitosan microspheres.
AB - The aim of this study was to synthesize magnetic chitosan microspheres (MCM) for
the deproteinization of crude polysaccharides from Ostrea rivularis Gould (ORP),
and evaluate their adsorption properties. Firstly, MCM were synthesized by
microemulsion process. Then they were characterized by Fourier transform infrared
spectroscopy (FT-IR), scanning electron microscopy (SEM), energy dispersive
spectroscopy (EDS), X-ray diffraction (XRD) and vibrating-sample magnetometer
(VSM). At last, MCM was applied as a magnetic separable adsorbent for
deproteinization of ORP. The results showed that MCM had smooth surface with
particle diameter of 2-6 MUm. The adsorption kinetics and adsorption isotherms
were well fitted by the pseudo-second order equation and the Freundlich equation,
respectively. Comparing with the Sevag method, MCM exhibited higher
deproteinization ratio, higher polysaccharides recovery, and miner pollution. In
addition, the deprotenaization capacity can be regenerated. Therefore, MCM would
be used as promising adsorbents for the deproteinization of polysaccharides.
PMID- 29805013
TI - Characterization of untreated and alkali treated new cellulosic fiber from an
Areca palm leaf stalk as potential reinforcement in polymer composites.
AB - The research article addresses, a new natural fibers namely Areca Palm Leaf Stalk
Fibers (APLSF) were extracted from an Areca palm tree (Dypsis lutescens) and
subjected to various concentrations of alkali treatment (NaOH) such as 5%, 10%
and 15% by weight. The effects of this treatment on various properties of the
fibers such as physical, chemical and mechanical properties were studied.
However, the density of the fibers increased and diameter of the fibers reduced.
The chemical result showed that the cellulose content was increased while
hemicellulose, lignin, wax and ash contents were reduced. The chemical functional
groups present in the fibers were confirmed by FTIR. The 5% alkali treated APLSF
exhibited a highest tensile strength of 486.41 +/- 35.57 MPa, tensile modulus of
9.89 +/- 1.46 GPa and elongation at break of 4.91 +/- 1.82%. The surface
morphology, surface roughness and thermal stability of fibers were examined by
SEM, AFM and TGA/DTG.
PMID- 29805014
TI - Preparation, characteristics and antioxidant activity of polysaccharides and
proteins-capped selenium nanoparticles synthesized by Lactobacillus casei ATCC
393.
AB - Selenium (Se) is an essential element nutrient for human and animal health.
Biogenic selenium nanoparticles (SeNPs) by microorganism possesses unique
physical and chemical properties and biological activities compared to inorganic
selenium and organic selenium. The study was conducted to establish a green,
efficient and low-cost biotechnology for biogenic synthesis of SeNPs by
Lactobacillus casei ATCC 393 (L. casei 393), and investigate its characteristics
and antioxidant activities in vitro. The results showed that L. casei 393
transforms sodium selenite to SeNPs under anaerobic conditions. Moreover, 50-80
nm SeNPs were accumulated in the intracellular L. casei 393. The whole bacteria
present distinct bright red color. SeNPs were isolated and characterized by
transmission electron microscopy (TEM), scanning electron microscopy (SEM),
energy dispersive X-ray spectrometry (EDX), X-ray photoelectron spectroscopy
(XPS), and fourier transform infrared spectroscopy (FT-IR). The results showed
that the extracted SeNPs were capped by proteins and polysaccharides. Extracted
biogenic SeNPs by L. casei 393 at a concentration less than 25 MUg Se/mL had no
cytotoxicity on the growth and proliferation of human normal epithelial cell
(NCM460). The toxicity order of different selenium forms was: Sodium selenite >
Selenium methionine > SeNPs synthesized by L. casei 393. Moreover, biogenic SeNPs
by L. casei 393 induced HepG2 cells apoptosis via caspase cascade signaling and
endocytosis of SeNPs. Moreover, SeNPs alleviated diquat or hydrogen peroxide
(H2O2)-caused oxidative damage in intestinal epithelial cells, and reduced
malondialdehyde (MDA) concentration and increased glutathione peroxidase (GPx)
activity in culture medium. The findings suggest that biomolecules capped-SeNPs
synthesized by probiotic L. casei 393 possess significant antioxidant and
anticancer activities, and probiotic bacteria can provide a better alternative to
synthesize biogenic elemental selenium particles with potential applications as
anticancer and antioxidant agents.
PMID- 29805015
TI - Cyclodextrin based nanosponge of norfloxacin: Intestinal permeation enhancement
and improved antibacterial activity.
AB - Nanosponges are a novel class of hyperbranched cyclodextrin-based nanostructures
that exhibits remarkable potential as a drug host system for the improvement in
biopharmaceutical properties. This work aims the development of cyclodextrin
based nanosponge of norfloxacin to improve its physicochemical characteristics.
beta-cyclodextrin was used as base and diphenyl carbonate as crosslinker agent at
different proportions to produce nanosponges that were evaluated by in vitro and
in vivo techniques. The proportion cyclodextrin:crosslinker 1:2 M/M was chosen
due to its higher encapsulation efficiency (80%), revealing an average diameter
size of 40 nm with zeta potential of -19 mV. Norfloxacin-loaded nanosponges
exhibited higher passage of norfloxacin in comparison to norfloxacin drug alone
by Ussing chamber method. The nanosponge formulation also revealed a mucoadhesive
property that could increase norfloxacin absorption thus improving its antibiotic
activity in an in vivo sepsis model. Therefore, nanosponges may be suitable
carrier of norfloxacin to maximize and facilitate oral absorption.
PMID- 29805016
TI - Self-assembly of flexible graphene hydrogel electrode based on crosslinked pectin
cations.
AB - Pectin, natural polysaccharide biopolymer, was chelated with cations (Mg2+/Ca2+)
to form an interwoven framework. Herein, the graphene hydrogel electrodes were
self-assembled by the synergistic effects of pectin-cations. The optimum
combination proportion was determined, the Mg2+/Ca2+-pectin matrix cross-linked
graphene hydrogel (Mg2+/Ca2+-PGH) electrodes exhibited a large specific
capacitance of about 839.2 F g-1 with high coulombic efficiency of 191.8% at a
current density of 1 A g-1. The assembled flexible supercapacitor displayed
excellent stability (capacitance retention of 98.5% after 2000 charge/discharge
cycles) and flexibility (the specific capacitance remained 98.4% of its original
value after 500 folding/unfolding cycles). Such flexible and high-performance
Mg2+/Ca2+-PGH electrodes are attractive in the field of lightweight, miniature
and wearable energy storage devices.
PMID- 29805017
TI - Marine polysaccharides attenuate metabolic syndrome by fermentation products and
altering gut microbiota: An overview.
AB - Marine polysaccharides (MPs), including plant, animal, and microbial-derived
polysaccharides, can alleviate metabolic syndrome (MetS) by different regulation
mechanisms. MPs and their derivatives can attenuate MetS by vary cellular signal
pathways, such as peroxisome proliferator-activated receptor, 5' adenosine
monophosphate-activated protein kinase, and CCAAT/enhancer binding protein-alpha.
Also, most of MPs cannot be degraded by human innate enzymes, but they can be
degraded and fermented by human gut microbiota. The final metabolic products of
these polysaccharides are usually short-chain fatty acids (SCFAs), which can
change the gut microbiota ecology by altering the existing percentage of special
microorganisms. In addition, the SCFAs and changed gut microbiota can regulate
enteroendocrine hormone secretion, blood glucose, lipid metabolism levels, and
other MetS symptoms. Here, we summarize the up-to-date findings on the effects of
MPs, particularly marine microbial-derived polysaccharides, and their metabolites
on attenuating MetS.
PMID- 29805018
TI - Influence of pH and temperature of dip-coating solution on the properties of
cellulose acetate-ceramic composite membrane for ultrafiltration.
AB - Polymer-ceramic composite membranes were prepared by dip coating technique using
5 wt.% cellulose acetate (CA) solution at different temperatures (15 degrees C,
25 degrees C and 40 degrees C). The effect of pH (2-12) of the polymeric
solution on the properties of the membranes was studied using SEM, EDAX, FTIR,
gas and liquid permeation. The thickness of the polymeric layer depended on the
interaction of CA solution with the surface of ceramic support. Membrane
permeability decreased with increase in pH because of decrease in pore size and
porosity resulting from strong interaction of the polymer layer with the ceramic
support. The porosity and mean pore size of the prepared membranes were found to
be 28-60% and 30-47 nm (ultrafiltration range), respectively. The optimized
membrane (pH 7) was used for ultrafiltration of oil in water emulsions (100 and
200 mg/L). Oil rejection of 99.61% was obtained for 100 mg/L of oil concentration
in water.
PMID- 29805019
TI - Deep eutectic solvent-based extraction and fabrication of chitin films from
crustacean waste.
AB - In this study, chitin was exclusively extracted from shrimp shells (Marsupenaeus
japonicas) through a green solvent called deep eutectic solvent (DES), and
various types of DES were utilized to extract chitin. The physicochemical
properties of the obtained chitin were compared with the conventional method. A
high purity of chitin was obtained while using DES-8 (choline chloride-malonic
acid) with a yield of 19.41% +/- 1.35%, and purity was confirmed using 13C
nuclear magnetic resonance. The DES-produced chitin was utilized to produce
chitin films and was compared with standard chitin films. The obtained films were
characterized by SEM, AFM, TGA, DSC, FTIR, mechanical properties, moisture
sorption, swelling behavior, and biodegradation. The DES film showed similar
properties to the standard film, while the mechanical properties, swelling
behavior, and biodegradation of the DES chitin films proved to be similar to
standard chitin film. These chitin films can be used as wound healing resources.
PMID- 29805021
TI - Effect of pH and TPP concentration on chemico-physical properties, release
kinetics and antifungal activity of Chitosan-TPP-Ungeremine microbeads.
AB - In this study, chitosan based microbeads containing Ungeremine, an antimicrobial
alkaloid particularly active against Penicillium roqueforti, a filamentous fungus
responsible of the bakery products deterioration, were prepared by external
gelation by using sodium tripolyphosphate (TPP) as crosslinking agent. The
stability of the beads, as well as the loading efficiency of the bioactive
molecule, were assessed at different pH and TPP concentrations resulting
particularly enhanced at low pH. All the microbeads evidenced antimicrobial
activity against Penicillium roqueforti. The release kinetics of Ungeremine was
tailored by opportunely modulating pH and TPP concentrations. Morphological
analysis evidenced the improvement of the structural crosslinking density of
microbeads including Ungeremine and spectroscopic analysis emphasized the active
participation of Ungeremine to the crosslinking process occurring between
chitosan and TPP. Finally, thermogravimetric analysis confirmed the increasing of
free volume in three-dimensional networks and their liability to thermal
degradation.
PMID- 29805022
TI - Preface.
PMID- 29805020
TI - Antibacterial and hemostatic hydrogel via nanocomposite from cellulose
nanofibers.
AB - Bacterial infection and uncontrolled bleeding are the major challenges facing the
wound treatment. In order to solve these problems, we have devised a green
nanocomposite hydrogel by introducing the aminated silver nanoparticles (Ag-NH2
NPs) and gelatin (G) to carboxylated cellulose nanofibers (CNF). Interpenetrating
polymeric network (IPN) was formed by interaction of multicomponent, leading to
the non-covalent (dynamic ionic bridges) crosslinked hydrogel CNF/G/Ag. The
produced hydrogel dressing with 0.5 mg/mL Ag-NH2 NPs (CNF/G/Ag0.5) demonstrated
stronger mechanical, self-recovery, antibacterial properties, satisfactory
hemostatic performance, and appropriate balance of fluids on the wound bed
(2093.9 g/m2 per day). More importantly, the wound healing model evaluation in
vitro and in vivo of CNF/G/Ag0.5 showed an outstanding biocompatibility (~100%
infected cell viability) and wound healing efficacy (~90% healed and 83.3%
survival after 14 days). Our study paved a highly promising approach to improve
the performance of cellulose-based hydrogel dressing and would also be useful for
developing ideal skin wound dressings by other green materials.
PMID- 29805023
TI - An arabinogalactan from fruits of Lycium barbarum L. inhibits production and
aggregation of Abeta42.
AB - The beta amyloid (Abeta) induced neurodegeneration is believed to be one of
pathological mechanisms of Alzheimer's disease (AD). The inhibition of Abeta
production or aggregation is one of the promising therapeutic strategies for anti
AD drug discovery. Here, a homogeneous neutral polysaccharide designated LBP1A1-1
with an average molecular weight of 45.0 kDa was purified from fruits of Lycium
barbarum L. Its structure was characterized to possess a backbone of 1, 3-linked
beta-Galp, 1, 6-linked beta-Galp, 1, 4-linked alpha-Glcp with branches
substituted at C-3 position of 1, 6-linked beta-Galp or C-6 position of 1, 3
linked beta-Galp. The branches contained terminal (T)-linked beta-Galp, T-linked
alpha-Araf, T-linked beta-Araf, 1, 5-linked alpha-Araf and T-linked beta-Rhap.
The in vitro experiments revealed that LBP1A1-1 could inhibit Abeta42 production
and impede Abeta42 aggregation in a dose-dependent-manner without cytotoxicity.
These results suggested that LBP1A1-1 might have the multiple potential for the
treatment of AD.
PMID- 29805024
TI - Surface molecularly imprinted amino-functionalized alginate microspheres for
enantio-selective extraction of l-ascorbic acid.
AB - A surface molecular imprinting technique was utilized in the fabrication of an
enantio-selective adsorbent based on amino-functionalized alginate microspheres
for chiral resolution of ascorbic acid. Alginate microspheres were first
strengthened via epichlorohydrin (ECH) covalent cross-linking then functionalized
with amino groups through graft copolymerization of polyacrylamide (PAm) followed
by Hofmann degradation. Surface molecular imprinting was then performed under
mild conditions by ionic interaction between the surface incorporated amine
groups and the template l-ascorbic acid enantiomers followed by cross-linking
with glyoxal. l-Ascorbic acid enantio-selective adsorbent (LA-Alg) was finally
obtained by removing the template molecules out of the cross-linked network
formed on the surface of the modified alginate particles. The synthetic steps
were monitored using elemental analysis and FTIR spectra. Also, the surface
morphologies of the native unmodified alginate along with both imprinted and non
imprinted adsorbent particles were examined by SEM. Moreover, the crystalline
profile and thermal properties of both native and modified samples were
investigated using XRD spectra and thermogravimetric analysis (TGA),
respectively. The effect of pH on the extraction process was studied and
indicated that the maximum adsorption was obtained at pH 4. Also, adsorption
isotherms over LA-Alg adsorbent displayed the best fit with Langmuir model with
maximum adsorption capacity 116 +/- 1 and 67 +/- 1 mg/g with respect to both l-
and d-ascorbic acid, respectively. Moreover, the chiral resolution experiment
using batch technique indicated 72% enantiomeric excess.
PMID- 29805025
TI - Effect of the molecular weight of chitosan on its antifungal activity against
Candida spp. in planktonic cells and biofilm.
AB - Difficulties in the treatment of Candida spp. invasive infections are usually
related to the formation of biofilms. The aim of this study was to determine the
effects of molecular weight (MW) of chitosan (using high (HMW), medium (MMW) and
low (LMW) molecular weight chitosan) on Candida albicans, Candida tropicalis and
Candida parapsilosis sensu stricto. The deacetylation degree (DD) and molecular
weight M were measured by potentiometric titration and viscosimetry,
respectively. The planktonic shape activity was quantified by broth
microdilution, and the activity against biofilm was quantified by metabolic
activity through XTT 2,3-bis(2-methoxy-4-nitro-5-sulfophenyl)-5
[(phenylamino)carbonyl]- 2H-tetrazolium hydroxide and biomass formation (crystal
violet). The influence of chitosan MW on the planktonic form of Candida spp. was
strain dependent. Fungal growth decreased with increasing chitosan MW for C.
tropicalis and C. parapsilosis, while chitosan MW did not modulate the effect for
C. albicans. With regard to the formation of biofilms, in both the adhesion and
mature phases, the biomass and metabolic activities of Candida spp. were reduced
by about 70% and 80%, respectively for each phase.
PMID- 29805027
TI - Green poly(beta-hydroxybutyrate)/starch nanocrystal composites: Tuning the
nucleation and spherulite morphology through surface acetylation of starch
nanocrystal.
AB - Starch nanocrystal (SNC) particles were used as the filler to prepare green
composites with biodegradable poly(beta-hydroxybutyrate) (PHB). An interesting
way to tune the nucleation and banded morphology of composites by the surface
acetylation of SNC was proposed. Pristine SNC acts as the nucleating agent, while
acetylated SNC as the antinucleation one to PHB. This role switching is due to
improved polymer-particle compatibility after surface acetylation of SNC
particles. The banded structure of PHB spherulites degrades evidently in the
presence of two kinds of SNC particles, showing decreased ring-band space, with
deteriorated periodicity and increased flocculation of peak-to-valley height. But
the two kinds of composites have different mechanisms on the degradation of their
ring-bands because the two kinds of SNC particles, pristine SNC and acetylated
one, have different influences on the PHB spherulite growth rates and system
undercooling. This work also opens a new window for the applications of SNC
particles.
PMID- 29805026
TI - Nano-fibrillated cellulose-hydroxyapatite based composite foams with excellent
fire resistance.
AB - Thermally insulating materials made from renewable resources are desirable for
energy efficient buildings. Traditional petroleum-derived insulating materials
such as rigid polyurethane foam and expanded polystyrene display poor flame
retardancy and inorganic insulating materials such as silica aerogel are fragile.
Herein, we reported a facile approach to prepare cellulose nanofiber (CNF)
hydroxyapatite (HAP) composite foam by a simple freeze-drying process. The
resultant HAP-CNF composite foams showed a thermal conductivity in the range of
38.5-39.1 mW/(m K) and very low peak heat release rate (20.4 kW/m2) and total
heat release (1.21 MJ/m2). Vertical burning tests also manifested excellent fire
resistance and self-extinguishing behaviours. Considering the excellent fire
resistance of this composite foam, it is of significance to fire safety solution
for buildings insulating materials.
PMID- 29805028
TI - Stress transfer and matrix-cohesive fracture mechanism in microfibrillated
cellulose-gelatin nanocomposite films.
AB - Microfibrillated cellulose (MFC) obtained from eucalyptus was embedded in gelatin
from two sources; namely bovine and salmon gelatin. Raman spectroscopy revealed
that stress is transferred more efficiently from bovine gelatin to the MFC when
compared to salmon gelatin. Young's modulus, tensile strength, strain at failure
and work of fracture of the nanocomposite films were improved by ~67, 131, 43 y
243% respectively when using salmon gelatin as matrix material instead of bovine
gelatin. Imaging of the tensile fracture surface of the MFC-gelatin
nanocomposites revealed that crack formation occurs predominantly within bovine
and salmon gelatin matrices rather than within the MFC or at the MFC/gelatin
interface. This suggests that the mechanical failure mechanism in these
nanocomposite materials is predominantly governed by a matrix-cohesive fracture
mechanism. Both strength and flexibility are desirable properties for composite
coatings made from gelatin-based materials, and so the findings of this study
could assist in their utilization in the food and pharmaceutical industry.
PMID- 29805029
TI - Starch molecular structure: The basis for an improved understanding of cooked
rice texture.
AB - Much is known about factors affecting rice texture, but the underlying molecular
reasons for the observations are less well understood. Cooked rice displays
multiple textural attributes, of which the major ones are hardness and
stickiness. A unified molecular mechanistic description of the cause of these two
textural attributes is summarized. Amylose molecules may entangle and/or co
crystallize with amylopectin chains in the crystalline lamellae, thereby causing
limited starch swelling during rice cooking and a harder texture. An increase of
the amount of amylopectin, the proportion of short amylopectin chains, and
amylopectin molecular size in the leachate during cooking, create a greater
opportunity for bonding and molecular interaction, causing more force to be
needed to make the grains come apart, i.e. a higher stickiness. This improved
understanding of rice texture will help rice breeders, rice industry and
consumers to manage and improve the cooking and eating quality of cooked white
rice.
PMID- 29805031
TI - [How I do... trachelorraphy in patients with history of second trimester loss or
recurring preterm birth].
PMID- 29805030
TI - Cross-linked and hydrophobized hyaluronic acid-based controlled drug release
systems.
AB - This work demonstrates the preparation, structural characterization, and the
kinetics of the drug release of hyaluronic acid (HyA)-based colloidal drug
delivery systems which contain hydrophobic ketoprofen (KP) as model molecule.
Because of the highly hydrophilic character of HyA the cross-linked derivatives
at different cross-linking ratio have been synthesized. The hydrophobized
variants of HyA have also been produced by modifying the polymer chains with
cetyltrimethylammonium bromide (CTAB) at various HyA/CTAB ratios. Due to
modifications the coherent structure of HyA changes into an incoherent colloidal
system that were verified by rheological investigations. Nearly 70% of the
encapsulated KP dissolve from the totally cross-linked HyA carrier but the
release rate of KP is about 20% (after 8 h) from the CTAB-modified colloidal
system at HyA monomer/CTAB 1:0.8 mass ratio. It has been verified that the
modified HyA may be a potential candidate for controlled drug release of
hydrophobic KP molecules.
PMID- 29805032
TI - [How I do...the correction of inverted nipples].
PMID- 29805033
TI - Field demonstration of foam injection to confine a chlorinated solvent source
zone.
AB - A novel approach using foam to manage hazardous waste was successfully
demonstrated under active site conditions. The purpose of the foam was to divert
groundwater flow, that would normally enter the source zone area, to reduce
dissolved contaminant release to the aquifer. During the demonstration, foam was
pre generated and directly injected surrounding the chlorinated solvent source
zone. Despite the constraints related to the industrial activities and non
optimal position of the injection points, the applicability and effectiveness of
the approach have been highlighted using multiple metrics. A combination of
measurements and modelling allowed definition of the foam extent surrounding each
injection point, and this appears to be the critical metric to define the success
of the foam injection approach. Information on the transport of chlorinated
solvents in groundwater showed a decrease of contaminant flux by a factor of 4.4
downstream of the confined area. The effective permeability reduction was
maintained over a period of three months. The successful containment provides
evidence for consideration of the use of foam to improve traditional flushing
techniques, by increasing the targeting of contaminants by remedial agents.
PMID- 29805034
TI - Reading outcomes of children with delayed early vocabulary: A follow-up from age
2-16.
AB - BACKGROUND: Delays in expressive vocabulary have been associated with lower
outcomes in reading. AIM: The aim is to conduct a long-term follow-up study to
investigate if early expressive vocabulary delay (late talking) predicts reading
development in participants age 16 and under. We examine further if the
prediction is different in the presence of family risk for dyslexia (FR) and
early receptive vocabulary delay. METHODS: Expressive and receptive vocabulary
skills were assessed at the age of 2-2.5 years, and reading skills in Grades 2,
3, 8 and 9 (age 8-16). The longitudinal sample consisted of 200 Finnish-speaking
children, of which 108 had FR for dyslexia and 92 came from families without
reading difficulties. We compared the reading development of five subgroups: 1)
FR and no vocabulary delay; 2) FR and late talkers, 3) FR, late talkers and co
existing receptive vocabulary delay; 4) no FR and late talkers; and 5) no FR and
no vocabulary delay. RESULTS: The group with FR and expressive and receptive
vocabulary delay had difficulties in reading comprehension, but not in reading
fluency. The late talkers without receptive vocabulary difficulties tended to
become typical readers. CONCLUSIONS AND IMPLICATIONS: Delays in early vocabulary
can lead to a reading comprehension deficit, with the specification that
expressive vocabulary deficit alone can alleviate in time, whereas the combined
deficit is a stronger risk marker.
PMID- 29805035
TI - Structure-based Engineering of a Plant-Fungal Hybrid Peroxidase for Enhanced
Temperature and pH Tolerance.
AB - In an age of ever-increasing biotechnological and industrial demand for new and
specialized biocatalysts, rational protein engineering offers a direct approach
to enzyme design and innovation. Heme peroxidases, as indispensable oxidative
biocatalysts, provide a relatively mild alternative to the traditional harsh, and
often toxic, chemical catalysts, and subsequently, have found widespread
application throughout industry. However, the potential for these enzymes is far
greater than their present use, as processes are currently restricted to the more
stable, but less catalytically powerful, subset of peroxidases. Here we describe
the structure-guided, rational engineering of a plant-fungal hybrid peroxidase
built to overcome the application barrier of these high-reduction potential
peroxidases. This engineered enzyme has the catalytic versatility and oxidative
ability of a high-reduction potential versatile peroxidase, with enhanced
temperature and pH tolerance similar to that of a highly stable plant peroxidase.
PMID- 29805036
TI - PDn-3 DPA Pathway Regulates Human Monocyte Differentiation and Macrophage
Function.
AB - Macrophages are central in orchestrating the clearance of apoptotic cells and
cellular debris during inflammation, with the mechanism(s) regulating this
process remaining of interest. Herein, we found that the n-3 docosapentaenoic
acid-derived protectin (PDn-3 DPA) biosynthetic pathway regulated the
differentiation of human monocytes, altering macrophage phenotype, efferocytosis,
and bacterial phagocytosis. Using lipid mediator profiling, human primary cells
and recombinant enzymes we found that human 15-lipoxygenases initiate the PDn-3
DPA pathway catalyzing the formation of an allylic epoxide. The complete
stereochemistry of this epoxide was determined using stereocontrolled total
organic synthesis as 16S,17S-epoxy-7Z,10Z,12E,14E,19Z-docosapentaenoic acid
(16S,17S-ePDn-3 DPA). This intermediate was enzymatically converted by epoxide
hydrolases to PD1n-3 DPA and PD2n-3 DPA, with epoxide hydrolase 2 converting
16S,17S-ePDn-3 DPA to PD2n-3 DPA in human monocytes. Taken together these results
establish the PDn-3 DPA biosynthetic pathway in human monocytes and macrophages
and its role in regulating macrophage resolution responses.
PMID- 29805038
TI - Addressable Cholesterol Analogs for Live Imaging of Cellular Membranes.
AB - Cholesterol is an essential component of most biological membranes and serves
important functions in controlling membrane integrity, organization, and
signaling. However, probes to follow the dynamic distribution of cholesterol in
live cells are scarce and so far show only limited applicability. Herein, we
addressed this problem by synthesizing and characterizing a class of versatile
and clickable cholesterol-based imidazolium salts. We show that these cholesterol
analogs faithfully mimic the biophysical properties of natural cholesterol in
phospholipid mono- and bilayers, and that they integrate into the plasma membrane
of cultured and primary human cells. The membrane-incorporated cholesterol
analogs can be specifically labeled by click chemistry and visualized in live
cell imaging experiments that show a distribution and behavior comparable with
that of endogenous membrane cholesterol. These results indicate that the
cholesterol analogs can be used to reveal the dynamic distribution of cholesterol
in live cells.
PMID- 29805037
TI - Convergent Use of Heptacoordination for Cation Selectivity by RNA and Protein
Metalloregulators.
AB - The large yybP-ykoY family of bacterial riboswitches is broadly distributed
phylogenetically. Previously, these gene-regulatory RNAs were proposed to respond
to Mn2+. X-ray crystallography revealed a binuclear cation-binding pocket. This
comprises one hexacoordinate site, with six oxygen ligands, which preorganizes
the second, with five oxygen and one nitrogen ligands. The relatively soft
nitrogen ligand was proposed to confer affinity for Mn2+, but how this excludes
other soft cations remained enigmatic. By subjecting representative yybP-ykoY
riboswitches to diverse cations in vitro, we now find that these RNAs exhibit
limited transition metal ion selectivity. Among the cations tested, Cd2+ and Mn2+
bind most tightly, and comparison of three new Cd2+-bound crystal structures
suggests that these riboswitches achieve selectivity by enforcing
heptacoordination (favored by high-spin Cd2+ and Mn2+, but otherwise uncommon) in
the softer site. Remarkably, the Cd2+- and Mn2+-selective bacterial transcription
factor MntR also uses heptacoordination within a binuclear site to achieve
selectivity.
PMID- 29805040
TI - Secondary Sjogren syndrome: A case report using silver diamine fluoride and glass
ionomer cement.
AB - BACKGROUND AND OVERVIEW: The authors describe dental treatment for a patient with
a complex medical history of secondary Sjogren syndrome with systemic lupus
erythematosus and rheumatoid arthritis. CASE DESCRIPTION: An 18-year-old woman's
rheumatology group referred her for oral evaluation; she had secondary Sjogren
syndrome, systemic lupus erythematosus, and rheumatoid arthritis. The patient had
multiple advanced carious lesions, extreme sensitivity, and hyposalivation. The
patient selected a minimally invasive treatment plan that focused on silver
diamine fluoride (SDF), partial caries removal, and glass ionomer cement (GIC)
restorations. The SDF treatment and GIC restorations were successful in arresting
carious lesions and restoring form and function but may not completely prevent
new carious lesions from forming in the future. CONCLUSIONS AND PRACTICAL
IMPLICATIONS: The case shows that using less invasive treatments, such as SDF and
GIC restorations can be used to manage complex cases involving extreme caries
risk and be preferable to endodontic treatment and extractions.
PMID- 29805039
TI - Controlling caries in exposed root surfaces with silver diamine fluoride: A
systematic review with meta-analysis.
AB - BACKGROUND: In this systematic review, the authors aim to assess the effect of
silver diamine fluoride (SDF) in preventing and arresting caries in exposed root
surfaces of adults. TYPES OF STUDIES REVIEWED: Two reviewers independently
searched for controlled clinical trials with at least 12 months of follow-up,
without language or date of publication restraints, in 8 electronic databases, 5
registries of ongoing trials, and reference lists of narrative reviews. RESULTS:
The authors found 2,356 unique records and included 3 trials in which the
investigators randomly assigned 895 older adults. Investigators in all studies
compared SDF with placebo; investigators in 1 also compared 38% SDF with
chlorhexidine and sodium fluoride varnishes. The primary effect measures were the
weighted mean differences (WMDs) in decayed or filled root surfaces (DFRS) and
the mean differences in arrested carious lesions between SDF and control groups.
The studies had low risk of bias in most domains. SDF applications had a
significantly better preventive effect in comparison with placebo (WMD DFRS: 24
months, -0.56; 95% confidence interval, -0.77 to -0.36; 30 months or more, -0.80;
95% confidence interval, -1.19 to -0.42), and they were as effective as either
chlorhexidine or sodium fluoride varnish in preventing new root carious lesions.
SDF also provided a significantly higher caries arrest effect than did placebo
(pooled results not calculated). Complaints about black staining of the carious
lesions by SDF were rare among older adults. CONCLUSIONS AND PRACTICAL
IMPLICATIONS: Yearly 38% SDF applications to exposed root surfaces of older
adults are a simple, inexpensive, and effective way of preventing caries
initiation and progression.
PMID- 29805041
TI - Recessive Spondylocarpotarsal Synostosis Syndrome Due to Compound Heterozygosity
for Variants in MYH3.
AB - Spondylocarpotarsal synostosis syndrome (SCTS) is characterized by intervertebral
fusions and fusion of the carpal and tarsal bones. Biallelic mutations in FLNB
cause this condition in some families, whereas monoallelic variants in MYH3,
encoding embryonic heavy chain myosin 3, have been implicated in dominantly
inherited forms of the disorder. Here, five individuals without FLNB mutations
from three families were hypothesized to be affected by recessive SCTS on account
of sibling recurrence of the phenotype. Initial whole-exome sequencing (WES)
showed that all five were heterozygous for one of two independent splice-site
variants in MYH3. Despite evidence indicating that three of the five individuals
shared two allelic haplotypes encompassing MYH3, no second variant could be
located in the WES datasets. Subsequent genome sequencing of these three
individuals demonstrated a variant altering a 5' UTR splice donor site
(rs557849165 in MYH3) not represented by exome-capture platforms. When the cohort
was expanded to 16 SCTS-affected individuals without FLNB mutations, nine had
truncating mutations transmitted by unaffected parents, and six inherited the
rs557849165 variant in trans, an observation at odds with the population allele
frequency for this variant. The rs557849165 variant disrupts splicing in the 5'
UTR but is still permissive of MYH3 translational initiation, albeit with reduced
efficiency. Although some MYH3 variants cause dominant SCTS, these data indicate
that others (notably truncating variants) do not, except in the context of
compound heterozygosity for a second hypomorphic allele. These observations make
genetic diagnosis challenging in the context of simplex presentations of the
disorder.
PMID- 29805042
TI - Mutations in the Epithelial Cadherin-p120-Catenin Complex Cause Mendelian Non
Syndromic Cleft Lip with or without Cleft Palate.
AB - Non-syndromic cleft lip with or without cleft palate (NS-CL/P) is one of the most
common human birth defects and is generally considered a complex trait. Despite
numerous loci identified by genome-wide association studies, the effect sizes of
common variants are relatively small, with much of the presumed genetic
contribution remaining elusive. We report exome-sequencing results in 209 people
from 72 multi-affected families with pedigree structures consistent with
autosomal-dominant inheritance and variable penetrance. Herein, pathogenic
variants are described in four genes encoding components of the p120-catenin
complex (CTNND1, PLEKHA7, PLEKHA5) and an epithelial splicing regulator (ESRP2),
in addition to the known CL/P-associated gene, CDH1, which encodes E-cadherin.
The findings were also validated in a second cohort of 497 people with NS-CL/P,
comprising small families and singletons with pathogenic variants in these genes
identified in 14% of multi-affected families and 2% of the replication cohort of
smaller families. Enriched expression of each gene/protein in human and mouse
embryonic oro-palatal epithelia, demonstration of functional impact of CTNND1 and
ESRP2 variants, and recapitulation of the CL/P spectrum in Ctnnd1 knockout mice
support a causative role in CL/P pathogenesis. These data show that primary
defects in regulators of epithelial cell adhesion are the most significant
contributors to NS-CL/P identified to date and that inherited and de novo single
gene variants explain a substantial proportion of NS-CL/P.
PMID- 29805043
TI - Heterozygous Truncating Variants in POMP Escape Nonsense-Mediated Decay and Cause
a Unique Immune Dysregulatory Syndrome.
AB - The proteasome processes proteins to facilitate immune recognition and host
defense. When inherently defective, it can lead to aberrant immunity resulting in
a dysregulated response that can cause autoimmunity and/or autoinflammation.
Biallelic or digenic loss-of-function variants in some of the proteasome subunits
have been described as causing a primary immunodeficiency disease that manifests
as a severe dysregulatory syndrome: chronic atypical neutrophilic dermatosis with
lipodystrophy and elevated temperature (CANDLE). Proteasome maturation protein
(POMP) is a chaperone for proteasome assembly and is critical for the
incorporation of catalytic subunits into the proteasome. Here, we characterize
and describe POMP-related autoinflammation and immune dysregulation disease
(PRAID) discovered in two unrelated individuals with a unique constellation of
early-onset combined immunodeficiency, inflammatory neutrophilic dermatosis, and
autoimmunity. We also begin to delineate a complex genetic mechanism whereby de
novo heterozygous frameshift variants in the penultimate exon of POMP escape
nonsense-mediated mRNA decay (NMD) and result in a truncated protein that
perturbs proteasome assembly by a dominant-negative mechanism. To our knowledge,
this mechanism has not been reported in any primary immunodeficiencies,
autoinflammatory syndromes, or autoimmune diseases. Here, we define a unique hypo
and hyper-immune phenotype and report an immune dysregulation syndrome caused by
frameshift mutations that escape NMD.
PMID- 29805044
TI - Risks and Recommendations in Prenatally Detected De Novo Balanced Chromosomal
Rearrangements from Assessment of Long-Term Outcomes.
AB - The 6%-9% risk of an untoward outcome previously established by Warburton for
prenatally detected de novo balanced chromosomal rearrangements (BCRs) does not
account for long-term morbidity. We performed long-term follow-up (mean 17 years)
of a registry-based nationwide cohort of 41 individuals carrying a prenatally
detected de novo BCR with normal first trimester screening/ultrasound scan. We
observed a significantly higher frequency of neurodevelopmental and/or
neuropsychiatric disorders than in a matched control group (19.5% versus 8.3%, p
= 0.04), which was increased to 26.8% upon clinical follow-up. Chromosomal
microarray of 32 carriers revealed no pathogenic imbalances, illustrating a low
prognostic value when fetal ultrasound scan is normal. In contrast, mate-pair
sequencing revealed disrupted genes (ARID1B, NPAS3, CELF4), regulatory domains of
known developmental genes (ZEB2, HOXC), and complex BCRs associated with adverse
outcomes. Seven unmappable autosomal-autosomal BCRs with breakpoints involving
pericentromeric/heterochromatic regions may represent a low-risk group. We
performed independent phenotype-aware and blinded interpretation, which
accurately predicted benign outcomes (specificity = 100%) but demonstrated
relatively low sensitivity for prediction of the clinical outcome in affected
carriers (sensitivity = 45%-55%). This sensitivity emphasizes the challenges
associated with prenatal risk prediction for long-term morbidity in the absence
of phenotypic data given the still immature annotation of the morbidity genome
and poorly understood long-range regulatory mechanisms. In conclusion, we
upwardly revise the previous estimates of Warburton to a morbidity risk of 27%
and recommend sequencing of the chromosomal breakpoints as the first-tier
diagnostic test in pregnancies with a de novo BCR.
PMID- 29805047
TI - Corrigendum to "Measuring liquid meniscus velocity to determine size of
nanopipette aperture" [J. Colloid Interface Sci. 392 (2013) 465-469].
PMID- 29805048
TI - "Home-made slime": A novel cause for paediatric burns' referrals; do we need to
raise awareness?
PMID- 29805049
TI - Of ignorance and blindness: The Lyme disease paradigm.
PMID- 29805046
TI - Functional Assays Are Essential for Interpretation of Missense Variants
Associated with Variable Expressivity.
AB - Missense DNA variants have variable effects upon protein function. Consequently,
interpreting their pathogenicity is challenging, especially when they are
associated with disease variability. To determine the degree to which functional
assays inform interpretation, we analyzed 48 CFTR missense variants associated
with variable expressivity of cystic fibrosis (CF). We assessed function in a
native isogenic context by evaluating CFTR mutants that were stably expressed in
the genome of a human airway cell line devoid of endogenous CFTR expression. 21
of 29 variants associated with full expressivity of the CF phenotype generated
<10% wild-type CFTR (WT-CFTR) function, a conservative threshold for the
development of life-limiting CF lung disease, and five variants had moderately
decreased function (10% to ~25% WT-CFTR). The remaining three variants in this
group unexpectedly had >25% WT-CFTR function; two were higher than 75% WT-CFTR.
As expected, 14 of 19 variants associated with partial expressivity of CF had
>25% WT-CFTR function; however, four had minimal to no effect on CFTR function
(>75% WT-CFTR). Thus, 6 of 48 (13%) missense variants believed to be disease
causing did not alter CFTR function. Functional studies substantially refined
pathogenicity assignment with expert annotation and criteria from the American
College of Medical Genetics and Genomics and Association for Molecular Pathology.
However, four algorithms (CADD, REVEL, SIFT, and PolyPhen-2) could not
differentiate between variants that caused severe, moderate, or minimal reduction
in function. In the setting of variable expressivity, these results indicate that
functional assays are essential for accurate interpretation of missense variants
and that current prediction tools should be used with caution.
PMID- 29805050
TI - Multicentre standardisation of chest MRI as radiation-free outcome measure of
lung disease in young children with cystic fibrosis.
AB - BACKGROUND: A recent single-centre study demonstrated that MRI is sensitive to
detect early abnormalities in the lung and response to therapy in infants and
preschool children with cystic fibrosis (CF) supporting MRI as an outcome measure
of early CF lung disease. However, the feasibility of multicentre standardisation
remains unknown. OBJECTIVE: To determine the feasibility of multicentre
standardisation of chest MRI in infants and preschool children with CF. METHODS:
A standardised chest 1.5T MRI protocol was implemented across four specialised CF
centres. Following training and initiation visits, 42 infants and preschool
children (mean age 3.2+/-1.5years, range 0-6years) with clinically stable CF
underwent MRI and chest X-ray (CXR). Image quality and lung abnormalities were
assessed using a standardised questionnaire and an established CF MRI and CXR
score. RESULTS: MRI was successfully performed with diagnostic quality in all
patients (100%). Incomplete lung coverage was observed in 6% and artefacts also
in 6% of sequence acquisitions, but these were compensated by remaining sequences
in all patients. The range of the MRI score in CF patients was similar across
centres with a mean global MRI score of 13.3+/-5.8. Cross-validation of the MRI
against the CXR score revealed a moderate correlation (r=0.43-0.50, p<0.01).
CONCLUSION: Our results demonstrate that multicentre standardisation of chest MRI
is feasible and support its use as radiation-free outcome measure of lung disease
in infants and preschool children with CF.
PMID- 29805045
TI - Landscape of Conditional eQTL in Dorsolateral Prefrontal Cortex and Co
localization with Schizophrenia GWAS.
AB - Causal genes and variants within genome-wide association study (GWAS) loci can be
identified by integrating GWAS statistics with expression quantitative trait loci
(eQTL) and determining which variants underlie both GWAS and eQTL signals. Most
analyses, however, consider only the marginal eQTL signal, rather than dissect
this signal into multiple conditionally independent signals for each gene. Here
we show that analyzing conditional eQTL signatures, which could be important
under specific cellular or temporal contexts, leads to improved fine mapping of
GWAS associations. Using genotypes and gene expression levels from post-mortem
human brain samples (n = 467) reported by the CommonMind Consortium (CMC), we
find that conditional eQTL are widespread; 63% of genes with primary eQTL also
have conditional eQTL. In addition, genomic features associated with conditional
eQTL are consistent with context-specific (e.g., tissue-, cell type-, or
developmental time point-specific) regulation of gene expression. Integrating the
2014 Psychiatric Genomics Consortium schizophrenia (SCZ) GWAS and CMC primary and
conditional eQTL data reveals 40 loci with strong evidence for co-localization
(posterior probability > 0.8), including six loci with co-localization of
conditional eQTL. Our co-localization analyses support previously reported genes,
identify novel genes associated with schizophrenia risk, and provide specific
hypotheses for their functional follow-up.
PMID- 29805051
TI - Emergence of livestock-associated MRSA isolated from cystic fibrosis patients:
Result of a Belgian national survey.
AB - BACKGROUND: This study aims to determine the prevalence and characteristics of
Staphylococcus aureus in Belgian cystic fibrosis (CF) patients. METHODS: Non
duplicate respiratory samples from 510 CF-patients (2012-2013) were examined. One
isolate per patient was analysed unless different phenotypes were recovered.
Isolates were investigated for mecA/mecC, toxins presence, spa-typing, MLST and
SCCmec-typing. Potential livestock-associated (LA) isolates were examined for
their immune-evasion-cluster (IEC) genes. RESULTS: S. aureus (n = 380), including
41 small-colony variants (SCVs), were isolated from 66.7% patients. The
prevalence of methicillin-resistant S. aureus (MRSA) colonization was 4.9%. Two
MRSA isolates carried toxic shock syndrome toxin 1 (TSST-1). Most MRSA (65%)
belonged to two nosocomial epidemic clones (CC5, CC8) widespread in Belgium.
Methicillin susceptible S. aureus (MSSA) showed great genetic diversity. Five of
33 isolates belonging to potential LA-lineages were IEC negative, including three
methicillin-resistant isolates, suggesting an animal origin. CONCLUSIONS: The
MRSA-prevalence in Belgian CF-patients remained constant (2001-2013), but SCV
prevalence increased. Most MRSA belonged to health-care-associated clones. Three
patients carrying LA-MRSA were found, requiring further investigation to
determine the risk factors for LA-MRSA acquisition.
PMID- 29805053
TI - Letter to the Editors.
PMID- 29805052
TI - [ISO 9001certification of a quality management system in a clinical investigation
center].
AB - Beyond the application of legal requirements, clinical trials must have a
permanent approach of quality control. The clinical investigation centers (CICs)
are academic structures of clinical research certified by the French National
institute of health and medical research (Inserm) and whose functioning relies on
recommendations of good practice. It is important to accompany this
standardization of practices by the implementation of a quality management
system. This article presents the process that enabled the CIC of Rennes to
become certified ISO 9001 by French standards association (Afnor) certification
in May, 2016. The application of the fundamental principles of the standard ISO
9001 in the domain of clinical research is approached. The problem of the
perimeter for the certification and the related process mapping are exposed. The
activities of methodology, management and analysis of clinical studies were
chosen for the initial certification of the CIC of Rennes. The perspectives for
the extension of the perimeter of certification are also approached at the end of
article.
PMID- 29805054
TI - Correction of coagulopathy in thrombocytopenia and Glanzmann thrombasthenia
models by fibrinogen and factor XIII as assessed by thromboelastometry.
AB - Thrombocytopenia (TCP) and Glanzmann thrombasthenia (GT) are typical platelet
disorders characterized by mild to severe bleeding. This study aims to create in
vitro models of TCP and GT and to correct the impaired clot formation by
fibrinogen and coagulation factor XIII. The TCP model (mean platelet count, 16 *
109 L-1) was produced by differential centrifugation of normal blood followed by
mixing plasma with packed cells. The GT model was created by treating normal
blood with 50 MUg/mL eptifibatide, an inhibitor of platelet integrin
alphaIIbbeta3. Clot formation was evaluated in whole blood by rotation
thromboelastometry. In both models, the extent of clot strength was two-three
times lower compared to normal blood. Fibrinogen and, to a lesser extent, factor
XIII stimulated the propagation phase of clot formation both in TCP and GT
models. Clot strength in TCP was increased by both agents, while in GT by
fibrinogen only. Similar results were obtained in blood from patients with
primary immune thrombocytopenia and Glanzmann thrombasthenia. In conclusion, the
created models may be useful in the development of new ways to correct the
impaired coagulation potential in TCP and GT.
PMID- 29805055
TI - Pyrrolinone derivatives as a new class of P2X3 receptor antagonists. Part 1:
Initial structure-activity relationship studies of a hit from a high throughput
screening.
AB - The P2X3 receptor is primarily expressed in the peripheral sensory nerves, and
therefore, antagonists of this receptor may be useful for the treatment of
chronic pain. Pyrrolinone derivatives have been identified as a novel class of
P2X3 receptor antagonists. A lead structure with moderate activity was discovered
through a high-throughput screening assay. A structure-activity study led to the
discovery of several P2X3 receptor antagonists. Compound 34 showed potent and
specific antagonistic activity and analgesic efficacy.
PMID- 29805057
TI - Achieving balance between implementing effective infection prevention and control
practices and maintaining a home-like setting in U.S. Department of Veterans
Affairs nursing homes.
AB - Nursing homes present a unique challenge for implementing infection prevention
and control practices while striving to maintain a home-like environment. Medical
devices such as urinary catheters and central venous catheters have become a part
of nursing home care but can predispose residents to associated infections.
Because evidence-based prevention bundles were implemented, catheter-associated
urinary tract infections (CAUTIs) and central line-associated bloodstream
infections (CLABSIs) were monitored in all U.S. Department of Veterans Affairs
(VA) nursing homes, and outcomes were evaluated. Bundle components for CLABSIs
focused on insertion technique, site selection, and routine assessment of central
line necessity, while the CAUTI bundle focused on insertion technique,
appropriate indication, and routine assessment of urinary catheter necessity.
From October 2010 through September 2016, VA nursing homes reported nationwide
reductions of CAUTIs (51.2%; P < .0001) and CLABSIs (25.0%; P = .0009).
PMID- 29805056
TI - Working Memory Load and Negative Picture Processing: Neural and Behavioral
Associations With Panic, Social Anxiety, and Positive Affect.
AB - BACKGROUND: Internalizing disorders such as anxiety may be characterized by an
imbalance between bottom-up (stimulus-driven) and top-down (goal-directed)
attention. The late positive potential (LPP) can be used to assess these
processes when task-irrelevant negative and neutral pictures are presented within
a working memory paradigm. Prior work using this paradigm has found that working
memory load reduces the picture-elicited LPP across participants; however,
anxious individuals showed a reduced effect of working memory load on the LPP,
suggesting increased distractibility. METHODS: The current study assessed
transdiagnostic associations between specific symptom dimensions of anxiety, the
LPP, and behavior in a clinically representative, heterogeneous group of 76
treatment-seeking patients with internalizing disorders, who performed a working
memory task interspersed with negative and neutral pictures. RESULTS: As
expected, negative pictures enhanced the LPP, and working memory load reduced the
LPP. Participants with higher social anxiety showed increased LPPs to negative
stimuli during early and late portions of picture presentation. Panic symptoms
were associated with reduced LPPs to negative pictures compared with neutral
pictures as well as a reduced effect of working memory load on the LPP during the
late time window. Reduced positive affect was associated with greater behavioral
interference from negative pictures. CONCLUSIONS: Hypervigilance for negative
stimuli was uniquely explained by social anxiety symptoms, whereas panic symptoms
were associated with the opposing effect-blunted processing/avoidance of these
stimuli. Panic symptoms were uniquely associated with reduced top-down control.
Results reveal distinct associations between neural reactivity and anxiety
symptom dimensions that transcend traditional diagnostic boundaries.
PMID- 29805058
TI - Investigating the effect of preparation for and participation in a hand
sanitizing relay on nursing students' ability to recall the WHO 6-step hand
hygiene technique.
AB - This study explored whether preparation for a hand-sanitizing relay affected
nursing students' ability to recall, 12 months later, the World Health
Organization 6-step hand hygiene technique. No significant difference was
observed in recall between those who participated in the relay and those who did
not (P = .736). The most frequently missed step was Step 3 (palm to palm with
fingers interlaced). Our results suggest that regular feedback may be an
important additional component in future interventions.
PMID- 29805059
TI - Septic arthritis due to oral streptococci following intra-articular injection: A
case series.
AB - Oral streptococcal species are a rare cause of septic arthritis. We describe 4
cases of septic arthritis due to oral streptococcal species following joint
injection. The routine use of face masks during joint injection may prevent this
rare but serious complication.
PMID- 29805060
TI - Effect of paracetamol/prednisolone versus paracetamol/ibuprofen on post-operative
recovery after adult tonsillectomy.
AB - OBJECTIVE: To compare the effect of Paracetamol/Prednisolone versus
Paracetamol/Ibuprofen on post-operative recovery after adult tonsillectomy.
BACKGROUND: Various analgesic protocols have been proposed for the control of
post-tonsillectomy morbidity with need for better control in adult population for
having higher severity of post-operative pain and risk of secondary post
tonsillectomy bleeding. METHODS: This is a prospective cohort study conducted on
248 patients with age of 12 years or older distributed as two equal groups; the
first one receiving Paracetamol/Prednisolone and the second one receiving
Paracetamol/Ibuprofen. Both groups were compared at 7 days post-operative
regarding pain at rest, tiredness of speech, dietary intake, and decrease in
sleep duration. Both groups were compared regarding incidence of nausea and
vomiting at 2 days post-operative. The incidence and severity of secondary post
tonsillectomy hemorrhage was compared between the two groups. RESULTS: Pain at
rest (no swallowing - no talking) was less in group I but not reaching
statistical significance (p = 0.36). In addition, dietary intake was better in
group I but not reaching statistical significance (P = 0.17). However, talking
ability was better with statistically significant difference (P = 0.03) in group
I. Impairment of sleep was less with group II but not reaching statistical
significance (p = 0.31). The incidence of vomiting at second post-operative day
was less in group I with statistical significance (p = 0.049). The incidence of
secondary post-tonsillectomy bleeding was significantly higher in group II with
statistical significance (p = 0.046). The severity of bleeding episodes was also
significantly higher in group II (p = 0.045). CONCLUSION: Both ibuprofen and
prednisolone were effective as a part of post-operative medication regimen after
adult tonsillectomy. However, prednisolone was superior to ibuprofen regarding
improvement of pain at rest, dietary intake, tiredness of speech and post
operative nausea and vomiting. However, ibuprofen had a better impact on sleep.
The incidence and severity of secondary post-tonsillectomy hemorrhage were
significantly higher with ibuprofen favoring the selection of prednisolone to be
combined with paracetamol in the post-operative medication protocol following
tonsillectomy.
PMID- 29805061
TI - Cochleostomy and facial recess packing alter cochlear implant electrode location
in a human cochlea model.
AB - PURPOSE: Determine the effect of cochleostomy and facial recess packing on
cochlear implant electrode distance from the modiolus. MATERIALS AND METHODS: Two
otolaryngology residents (PGY5 and PGY6) and one attending ear surgeon performed
electrode insertions on a human cochlea model using perimodiolar (Cochlear(r)
Slim Modiolar 532TM, CI532) and lateral wall electrodes (Cochlear(r) Slim
Straight 522TM, CI522) via a cochleostomy. Packing material was simulated using
cotton and placed in the cochleostomy and facial recess under the following
conditions: 1) inferior to the electrode, 2) superior, 3) both inferior and
superior, and 4) no packing. Distance of the electrode from the modiolus at the
proximal, middle, and distal basal turn of the cochlea were measured by
photomicrograph analysis. RESULTS: Packing superior to the CI532 resulted in a
significant decrease in distance from the modiolus at the middle and distal basal
turn compared to the inferior condition, with the largest effect in the middle
basal turn (0.25 mm vs. 1.92 mm, respectively, p < 0.001). For the CI522, packing
superior similarly resulted in decreased distance to the modiolus when compared
to the inferior packing condition at the middle and distal basal turn regions,
with the largest effect in the middle basal turn (1.25 mm vs. 1.75 mm,
respectively, p = 0.002). CONCLUSIONS: Packing of the cochleostomy site and
facial recess has a significant effect on electrode distance from the modiolus in
the middle and distal basal turn using a model of a human cochlea. Effects were
more pronounced when using the perimodiolar (CI532) electrode.
PMID- 29805062
TI - The effect of desiccation on water sorption, solubility and hygroscopic
volumetric expansion of dentine replacement materials.
AB - OBJECTIVE: To evaluate water sorption, solubility and hygroscopic expansion and
the effect of desiccation for a calcium silicate-based material, a conventional
glass ionomer, and a resin-modified glass ionomer. METHODS: Water sorption,
solubility and hygroscopic expansion of BiodentineTM (BD), GC Fuji IX GP(r) FAST
(FJ), and Ionolux (IO) were tested under two pre-storage conditions: with
desiccation and without desiccation. Disc-shaped samples (n=5) were immersed in
water and weighed at different time intervals (1h, 24h, 3d, 7d and 30d) and
hygroscopic expansion was recorded at 7d and 30d. Data were analysed using
Factorial repeated measures ANOVA, one-way/two-way ANOVA, Independent samples t
test and Tukey's post hoc test (alpha=0.05). RESULTS: With desiccation, sorption
of IO and FJ was 124.33MUg/mm3 and 79.97MUg/mm3 respectively. Solubility was
12.36MUg/mm3 for IO and -20.19MUg/mm3 for FJ. Hygroscopic expansion was 3.01% for
IO and -2.35% for FJ. Without desiccation, sorption was in the order: IO?BD?FJ
(130.35MUg/mm3, 122.07MUg/mm3, and 107.21MUg/mm3 respectively), while solubility
order was: BD?FJ?IO (154.83MUg/mm3, 88.82MUg/mm3, and 25.67MUg/mm3 respectively).
IO and FJ showed significant difference in sorption and solubility between the
two pre-storage treatment groups (p?0.005). Hygroscopic expansion was in the
order: IO?BD?FJ. SIGNIFICANCE: BD had the highest solubility while IO had the
least. The relatively stable polymeric resin in IO may contribute to its low
solubility but high hygroscopic expansion. Desiccation had significant effect on
sorption, solubility and volumetric expansion of water-based materials.
PMID- 29805063
TI - Cultivating Physician Character in Diagnostic Radiology Through Virtuous Caring
and Collaborative Professionalism.
AB - In the contemporary environment of patient- and value-centered care, it is no
longer sufficient to limit the definition of an "excellent radiologist" to
someone who is skilled at image interpretation. Since diagnostic radiologists are
physicians, they are held to a certain character standard expected of a
physician, whose primary objective is to serve the best interest of patients. An
"excellent radiologist," then, is better defined as one who is both skilled at
interpreting medical imaging and embodies the attributes of physician character.
The concept of physician character can be understood as the interplay between the
practice of the care-related virtues, such as empathy, compassion, and kindness,
and cooperative efforts with nonradiologist health care team members, termed
collaborative professionalism. The very nature of the work of diagnostic
radiology, aided by advances in technology, increasingly isolates the radiologist
from both patients and other care providers, making it difficult to find
opportunities for virtuous care and collaborative professionalism. Using the
moral intuitionist model of character development as a conceptual framework, we
first delineate the challenges that diagnostic radiologists face in demonstrating
virtuous caring and collaborative professionalism. Then, we explore strategies
that diagnostic radiologists can employ to overcome these barriers, thereby
cultivating their own physician character and setting an example for other
radiologists, medical students, and trainees. Finally, we will examine some of
the limitations of applying this theoretical model to the real world.
PMID- 29805064
TI - Preparations based on minerals extracts of Calicotome villosa roots and bovine
butyrate matter: Evaluation in vitro of their antibacterial and antifungal
activities.
AB - OBJECTIVE: The use of preparations based on minerals extracts of Calicotome
villosa and butter is born from the misuse of drugs without specific
microbiological analyzes. Seventeen different preparations were performed. The
antibacterial and antifungal activities were determined on five bacteria and two
fungi strains respectively. MATERIAL AND METHODS: C.villosa ashes are obtained by
incineration of roots plant at 498 degrees C for 4hours. They are analyzed to
determine the shape of the particles and the mineral constituents by scanning
electronic microscopy (SEM) and energy dispersive X-ray spectrometry (EDX)
techniques respectively. The effectiveness of preparations or tablets is measured
in solid medium. It allows to calculating the diameter of the inhibition zone for
the antibacterial activity as well as the diameter of mycelia growth and the
critical values (MIC, MFC, IC50 and IC90) for the antifungal activity. Finally,
the results are compared to the activity of a commercial positive control aiming
to give value of the observed activity. RESULTS: SEM observations reveal the
presence of nanoparticles agglomerated with size of about 50nm. The EDX analyzes
indicate the presence of Fe, Na, Al, Mg, Si, K, Ca, O2 and C. Among all the
results, the preparation (Bs+A) or (Bsd+A) can completely inhibit the growth of
two fungal pathogens. The activity of the preparation is faced with the activity
of the synthetic fungicide nystatin. CONCLUSION: The efficacy of the preparation
(Bs+A) or (Bsd+A) is larger than that of nystatin against Aspergillus sp. and
Fusarium sp. The preparation could serve as natural antifungal for the
pharmaceutical industry.
PMID- 29805065
TI - Molecular identification and antifungal susceptibility testing of Candida species
isolated from dental plaques.
AB - OBJECTIVE: The aim of the present study was to provide insight into the
prevalence and susceptibility profiles of Candida species isolated from the
dental plaque of Iranian immunocompetent patients. As a biofilm, Candida species
are responsible for several disorders common to the oral cavity including
gingivitis, dental caries, periodontitis, and the less common severe systemic
infections specifically in immunosuppressed individuals. METHOD: PCR-RFLP was
performed to identify yeasts isolated from the dental plaques of 40
immunocompetent patients. Moreover, antifungal susceptibility testing was
performed in according to CLSI guidelines (M27-A3). RESULTS: Among 40 yeasts
isolated from the dental plaques of immunocompetent patients, Candida albicans
was the most common species (92.5%), followed by P. kudriavzevii (7.5%). It is
the first isolation of P. kudriavzevii from dental plaques and the first
evaluation of antifungal effect of the new imidazole, luliconazole and
echinocandins against these samples worldwide. Luliconazole, voriconazole,
amphotericin B and anidulafungin showed the best activity with the lowest
geometric mean (GM) 0.03, 0.06, 0.08 and 0.09MUg/ml, respectively, followed by
miconazole (0.14MUg/mL), caspofungin (0.24MUg/mL) fluconazole (0.38MUg/mL) and
itraconazole (0.5MUg/mL). CONCLUSION: The current study demonstrated luliconazole
and echinocandins displayed excellent activity against all Candida isolates from
dental plaques, presenting promising and potent alternative for all oral
Candidiasis.
PMID- 29805066
TI - Anatomy of the Le Fort I segment: Are arterial variations a potential risk factor
for avascular bone necrosis in Le Fort I osteotomies?
AB - PURPOSE: Osteotomies of the Le Fort I segment are routine operations with low
complication rates. Ischemic complications are rare, but can have severe
consequences that may lead to avascular bone necrosis of the Le Fort I segment.
Therefore the aim of this study was to investigate the blood supply and special
arterial variants of the Le Fort I segment responsible for arterial hypoperfusion
or ischemic avascular necrosis after surgery. MATERIAL AND METHODS: The arterial
anatomy of the Le Fort I segment's blood supply using 30 halved human cadaver
head specimens was analyzed after complete dissection until the submicroscopic
level. In all specimens the arterial variants of the Le Fort I segment and also
the arterial diameters measured at two points were evaluated. RESULTS: The
typical known vascularization pattern was apparent in 90% of all specimens, in
which the ascending palatine (D1: 1,2 mm +/- 0,34 mm; D2: 0,8 mm +/- 0,34 mm) and
ascending pharyngeal artery (D1: 1,3 mm +/- 0,58 mm; D2: <0,4 mm) were both
supplying the Le Fort I segment. However in 10% of all specimens, the Le Fort I
segment was dependent on the ascending pharyngeal artery alone and the missing
ascending palatine artery was replaced with the anterior branch of the ascending
pharyngeal artery (D1: 1,9 mm +/- 0,32; D2: 1,0 mm +/- 0,3 mm). CONCLUSION: This
study is the first description of a special type of arterial variation of the Le
Fort I segment. The type of this arterial variation, its clinical relevance and
potential consequences are explained. Individuals with this special arterial
anatomy may clinically be at a high risk for hypoperfusion and avascular segment
necrosis after surgery. An individualized operation plan may prevent ischemic
complications in at-risk patients.
PMID- 29805067
TI - The synergistic effects of IL-6/IL-17A promote osteogenic differentiation by
improving OPG/RANKL ratio and adhesion of MC3T3-E1 cells on hydroxyapatite.
AB - OBJECTIVE: In this study, we evaluated the potential role of IL-6 and/or IL-17A
in regulating the OPG/RANKL (osteoprotegerin/receptor activator of nuclear factor
kappa b ligand) system of murine osteoblast cell line (MC3T3-E1) cultured on
hydroxyapatite (HA). METHODS: MC3T3-E1 cells were seeded on HA and treated with
recombinant IL-6 or rIL-17A or combination of the two cytokines. Cell
proliferation and differentiation activity were measured by MTS and alkaline
phosphatase assays respectively. Observation of cell adhesion and proliferation
was examined by scanning electron microscopy. Gene and protein expressions were
performed on RANKL and OPG using qPCR, Western blot and ELISA. RESULTS: We
demonstrated that treatment with recombinant IL-17A (rIL-17A) and the combination
rIL-6/rIL-17A promoted better adhesion and higher proliferation of cells on HA.
Cells treated with rIL-17A and the combination cytokines showed a significant
increase in differentiation activity on day 7, 10 and 14 as indicated by ALP
activity (p < 0.001). Gene and protein expressions showed significant up
regulation of OPG and ALP (p < 0.001) and down-regulation of RANKL (p < 0.001)
expression by all the treated groups. Interestingly, the combination of the two
cytokines resulted in a significant increase of OPG/RANKL ratio (p < 0.001).
CONCLUSION: These findings indicated that treatment with the combination of the
two cytokines (IL-6/IL-17A) has synergistic effects to promote osteoblastic
differentiation but suppress osteoclastogenesis by altering the OPG/RANKL ratio.
PMID- 29805068
TI - Comparative evaluation of various low-level laser therapies on bone healing
following tooth extraction: An experimental animal study.
AB - PURPOSE: The aim of this study was to evaluate the effects of helium-neon and
gallium-aluminum-arsenide lasers with various doses on bone healing following
tooth extraction. MATERIALS AND METHODS: Maxillary right incisor teeth of 30
female albino Wistar rats were extracted. Five groups were established: four
groups treated with helium-neon or gallium-aluminum-arsenide lasers and a control
group. Both laser groups' rats received energy doses of 6 J/cm2 and 10 J/cm2 for
7 days. At the end of 30 days, all subjects were sacrificed for histological and
morphological evaluations. RESULTS: Laser groups showed faster bone healing and
gallium-aluminum-arsenide lasers increased vascular immunoreactivity. The most
widespread organized bone formation in the extraction socket was observed in the
gallium-aluminum-arsenide laser group with the energy dose of 10 J/cm2 (p <
0.05). CONCLUSION: This study demonstrated that low-level laser therapies were
effective on alveolar bone healing and that an energy dose of 10 J/cm2 did not
have an inhibition effect on bone regeneration.
PMID- 29805069
TI - Treatment of Angioedema Induced by Angiotensin-Converting Enzyme Inhibitor.
PMID- 29805070
TI - Systematic Review: Rectal Administration of Medications for Pediatric Procedural
Sedation.
AB - BACKGROUND: Per rectum (PR) medication delivery is an alternative to traditional
oral (PO), intravenous (IV), or intramuscular (IM) administration of medication
for procedural sedation of pediatric emergency department patients. However, many
emergency physicians are unfamiliar with its use, and there are no widely adopted
guidelines or reviews dedicated to this topic. OBJECTIVE: Our aim was to provide
emergency physicians with an overview of PR procedural sedation medications in
pediatric patients. METHODS: We performed a PubMed literature search of relevant
keywords limited to studies of human subjects published in English between
January 1, 1990 and December 31, 2017. We excluded case reports, general review
articles, editorial/opinion pieces, correspondence, and abstracts. Two of the
authors then conducted a structured review of the selected studies. RESULTS: A
total of 315 PubMed citations meeting the search criteria were found. Twenty
eight articles were included for final detailed review. Only 4 of the 28 included
studies were conducted in the emergency department setting. A total of 9
different medications have been studied for PR procedural sedation. Sedation
effectiveness ranged from 40% to 98%. No life-threatening complications were
reported in any of the included clinical trials. Hypoxia was found to occur in up
to 10% of those receiving PR sedation. CONCLUSIONS: Pediatric procedural sedation
with PR medications appears to be feasible, moderately effective, and safe based
on our review of the current literature. However, further studies on its
applicability in the emergency department setting are needed.
PMID- 29805071
TI - Validation of a combined health literacy and numeracy instrument for patients
with type 2 diabetes.
AB - OBJECTIVES: This study aimed to validate a new consolidated measure of health
literacy and numeracy (health literacy scale [HLS] plus the subjective numeracy
scale [SNS]) in patients with type 2 diabetes (T2DM). METHODS: A convenience
sample (N = 102) of patients with T2DM was recruited from an academic family
medicine center in the southeastern US between September-December 2017.
Participants completed a questionnaire that included the composite HLS/SNS (22
questions) and a commonly used objective measure of health literacy-S-TOFHLA (40
questions). Internal reliability of the HLS/SNS was assessed using Cronbach's
alpha. Criterion and construct validity was assessed against the S-TOFHLA.
RESULTS: The composite HLS/SNS had good internal reliability (Cronbach's alpha =
0.83). A confirmatory factor analysis revealed there were four factors in the new
instrument. Model fit indices showed good model-data fit (RMSEA = 0.08). The
Spearman's rank order correlation coefficient between the HLS/SNS and the S
TOFHLA was 0.45 (p < 0.01). CONCLUSIONS: Our study suggests that the composite
HLS/SNS is a reliable, valid instrument.
PMID- 29805072
TI - Evaluation of a structured pharmacist-led inhalation technique assessment service
for patients with asthma and COPD in Norwegian pharmacies.
AB - OBJECTIVE: To investigate whether the inhalation technique improved among
patients with asthma and chronic obstructive pulmonary disease after an
Inhalation Technique Assessment Service (ITAS), and to assess the patients' and
pharmacists' perceptions of ITAS. METHODS: This uncontrolled, pre-post study
included 405 patients recruited from 42 Norwegian pharmacies. Inhalation
technique was assessed by trained pharmacists before ITAS (baseline), directly
after (follow-up 1) and three months after ITAS (follow-up 2), and analyzed
statistically using SPSS. Perceptions of ITAS were assessed using a
questionnaire. RESULTS: 488 ITAS were performed. At baseline, 8% of the
inhalation technique demonstrations were rated as optimal and 31% as acceptable.
Following ITAS, this increased to 72% (optimal) and 86% (acceptable). At follow
up 2 inhalation technique remained significantly higher than baseline (optimal:
52%, acceptable: 75%). The median rate of wrong steps decreased from 25%
(baseline) to 0% (follow-ups). The usefulness of ITAS was rated 4 on a 5-point
Likert scale. CONCLUSION: Inhalation technique improved significantly after ITAS
for both new and experienced users and all assessed devices. The technique
remained significantly improved at follow-up 2. ITAS was well accepted by
pharmacists and patients. PRACTICE IMPLICATIONS: ITAS can contribute to
significant improvements in inhalation technique among patients using inhaler
devices.
PMID- 29805073
TI - Polycyclic aromatic hydrocarbons degradation by marine-derived basidiomycetes:
optimization of the degradation process.
AB - Pyrene and benzo[a]pyrene (BaP) are high molecular weight polycyclic aromatic
hydrocarbons (PAHs) recalcitrant to microbial attack. Although studies related to
the microbial degradation of PAHs have been carried out in the last decades,
little is known about degradation of these environmental pollutants by fungi from
marine origin. Therefore, this study aimed to select one PAHs degrader among
three marine-derived basidiomycete fungi and to study its pyrene
detoxification/degradation. Marasmiellus sp. CBMAI 1062 showed higher levels of
pyrene and BaP degradation and was subjected to studies related to pyrene
degradation optimization using experimental design, acute toxicity, organic
carbon removal (TOC), and metabolite evaluation. The experimental design resulted
in an efficient pyrene degradation, reducing the experiment time while the PAH
concentration applied in the assays was increased. The selected fungus was able
to degrade almost 100% of pyrene (0.08mgmL-1) after 48h of incubation under
saline condition, without generating toxic compounds and with a TOC reduction of
17%. Intermediate metabolites of pyrene degradation were identified, suggesting
that the fungus degraded the compound via the cytochrome P450 system and epoxide
hydrolases. These results highlight the relevance of marine-derived fungi in the
field of PAH bioremediation, adding value to the blue biotechnology.
PMID- 29805075
TI - Discovery and synthesis of 6,7,8,9-tetrahydro-5H-pyrido[4,3-c]azepin-5-one-based
novel chemotype CCR2 antagonists via scaffold hopping strategy.
AB - The chemokine CC receptor subtype 2 (CCR2) has attracted intensive interest for
drug development in diverse therapeutic areas, including chronic inflammatory
diseases, diabetes, neuropathic pain, atherogenesis and cancer. By employing a
cut-and-sew scaffold hopping strategy, we identified an active scaffold of 3,4
dihydro-2,6-naphthyridin-1(2H)-one as the central pharmacophore to derive novel
CCR2 antagonists. Systematic structure-activity relationship study with respect
to the ring size and the substitution on the naphthyridinone ring gave birth to 1
arylamino-6-alkylheterocycle-6,7,8,9-tetrahydro-5H-pyrido[4,3-c]azepin-5-ones as
a brand new chemotype of CCR2 antagonists with nanomolar inhibitory activity. The
best antagonism activity in this series was exemplified by compound 13a, which
combined the optimal substitutions of 3,4-dichlorophenylamino at C-1 and 3-(4-(N
methylmethylsulfonamido)piperidin-1-yl)propyl at N-6 position, leading to an IC50
value of 61 nM and 10-fold selectivity for CCR2 over CCR5. Efficient and general
synthesis was established to construct the innovative core structure and derive
the compound collections. This is the first report on our designed 6,7,8,9
tetrahydro-5H-pyrido[4,3-c]azepin-5-one as novel CCR2 antagonist scaffold and its
synthesis.
PMID- 29805074
TI - Elucidating the inhibition of peptidoglycan biosynthesis in Staphylococcus aureus
by albocycline, a macrolactone isolated from Streptomyces maizeus.
AB - Antibiotic resistance is a serious threat to global public health, and
methicillin-resistant Staphylococcus aureus (MRSA) is a poignant example. The
macrolactone natural product albocycline, derived from various Streptomyces
strains, was recently identified as a promising antibiotic candidate for the
treatment of both MRSA and vancomycin-resistant S. aureus (VRSA), which is
another clinically relevant and antibiotic resistant strain. Moreover, it was
hypothesized that albocycline's antimicrobial activity was derived from the
inhibition of peptidoglycan (i.e., bacterial cell wall) biosynthesis. Herein,
preliminary mechanistic studies are performed to test the hypothesis that
albocycline inhibits MurA, the enzyme that catalyzes the first step of
peptidoglycan biosynthesis, using a combination of biological assays alongside
molecular modeling and simulation studies. Computational modeling suggests
albocycline exists as two conformations in solution, and computational docking of
these conformations to an ensemble of simulated receptor structures correctly
predicted preferential binding to S. aureus MurA-the enzyme that catalyzes the
first step of peptidoglycan biosynthesis-over Escherichia coli (E. coli) MurA.
Albocycline isolated from the producing organism (Streptomyces maizeus) weakly
inhibited S. aureus MurA (IC50 of 480 MUM) but did not inhibit E. coli MurA. The
antimicrobial activity of albocycline against resistant S. aureus strains was
superior to that of vancomycin, preferentially inhibiting Gram-positive
organisms. Albocycline was not toxic to human HepG2 cells in MTT assays. While
these studies demonstrate that albocycline is a promising lead candidate against
resistant S. aureus, taken together they suggest that MurA is not the primary
target, and further work is necessary to identify the major biological target.
PMID- 29805078
TI - Targeting p38alpha Increases DNA Damage, Chromosome Instability, and the Anti
tumoral Response to Taxanes in Breast Cancer Cells.
AB - Breast cancer is the second leading cause of cancer-related death among women.
Here we report a role for the protein kinase p38alpha in coordinating the DNA
damage response and limiting chromosome instability during breast tumor
progression, and identify the DNA repair regulator CtIP as a p38alpha substrate.
Accordingly, decreased p38alpha signaling results in impaired ATR activation and
homologous recombination repair, with concomitant increases in replication
stress, DNA damage, and chromosome instability, leading to cancer cell death and
tumor regression. Moreover, we show that pharmacological inhibition of p38alpha
potentiates the effects of taxanes by boosting chromosome instability in murine
models and patient-derived xenografts, suggesting the potential interest of
combining p38alpha inhibitors with chemotherapeutic drugs that induce chromosome
instability.
PMID- 29805076
TI - Biological Role and Therapeutic Potential of IDH Mutations in Cancer.
AB - Hotspot mutations in isocitrate dehydrogenase 1 (IDH1) and isocitrate
dehydrogenase 2 (IDH2) occur in a variety of myeloid malignancies and solid
tumors. Mutant IDH proteins acquire a neomorphic enzyme activity to produce the
putative oncometabolite D-2-hydroxyglutarate, which is thought to block cellular
differentiation by competitively inhibiting alpha-ketoglutarate-dependent
dioxygenases involved in histone and DNA demethylation. Small-molecule inhibitors
of mutant IDH1 and IDH2 have been developed and are progressing through pre
clinical and clinical development. In this review, we provide an overview of
mutant IDH-targeted therapy and discuss a number of important recent pre-clinical
studies using models of IDH-mutant solid tumors.
PMID- 29805077
TI - Cancer Cells Co-opt the Neuronal Redox-Sensing Channel TRPA1 to Promote Oxidative
Stress Tolerance.
AB - Cancer cell survival is dependent on oxidative-stress defenses against reactive
oxygen species (ROS) that accumulate during tumorigenesis. Here, we show a non
canonical oxidative-stress defense mechanism through TRPA1, a neuronal redox
sensing Ca2+-influx channel. In TRPA1-enriched breast and lung cancer spheroids,
TRPA1 is critical for survival of inner cells that exhibit ROS accumulation.
Moreover, TRPA1 promotes resistance to ROS-producing chemotherapies, and TRPA1
inhibition suppresses xenograft tumor growth and enhances chemosensitivity. TRPA1
does not affect redox status but upregulates Ca2+-dependent anti-apoptotic
pathways. NRF2, an oxidant-defense transcription factor, directly controls TRPA1
expression, thus providing an orthogonal mechanism for protection against
oxidative stress together with canonical ROS-neutralizing mechanisms. These
findings reveal an oxidative-stress defense program involving TRPA1 that could be
exploited for targeted cancer therapies.
PMID- 29805079
TI - Fusiform dilatation of the internal carotid artery following childhood
craniopharyngioma resection treated by endovascular flow diversion-A case report
and literature review.
AB - Fusiform dilatation of the internal carotid artery (FDICA) is a well-described
radiographic finding following resection of childhood craniopharyngioma (CP). A
39-year-old woman with right-sided FDICA was successfully treated for lesion
enlargement with endovascular flow diversion, which has not been described in the
literature.
PMID- 29805080
TI - A simulation study on the relation between the motor unit depth and action
potential from multi-channel surface electromyography recordings.
AB - To investigate the spatial information of individual motor unit (MUs) using multi
channel surface electromyography (EMG) decomposition. The K-means clustering
convolution kernel compensation (KmCKC) approach was employed to detect the
innervation pulse trains (IPTs) from the simulated surface EMG signals, and the
motor unit action potentials (MUAPs) were evaluated using the spike-triggered
average (STA) technique. The relationships between the features of MUAP and MU
depth were determinated with a least square fitting method. The errors of peak-to
peak (PTP) amplitude of reconstructed MUAPs were less than 5.73%, even with 0 dB
signal-to-noise (SNR). The fitting errors with nonlinear model were less than
5.55% for SNRs higher than 20 dB. The results show that it is possible to provide
a useful method for estimating MU depth from surface EMG recordings. It is
expected to extend the applicability of surface EMG technique to more challenging
clinical applications.
PMID- 29805081
TI - Bilateral Caudate Nucleus Infarctions Following Upper Gastrointestinal Bleeding.
AB - A 75-year-old woman presented with consciousness disturbance accompanied by
hematemesis. Brain imaging revealed ischemia in the bilateral caudate nuclei and
right cerebral watershed area due to stenosis of the right anterior cerebral
artery (ACA) and bilateral internal carotid arteries (ICA), and hypoperfusion in
the right caudate nucleus. The patient's only symptom was abulia, which gradually
resolved. Further brain scans showed that the ICA stenosis had improved, although
the right ACA stenosis persisted. This was a rare case of bilateral caudate
nucleus infarctions with a hemodynamic etiology.
PMID- 29805082
TI - Stroke Literacy in a South Brazilian City: A Community Based Survey.
AB - BACKGROUND: This community-based and cross-sectional study was performed in a
Brazilian city and aimed to evaluate stroke literacy. METHODS: A stroke knowledge
survey was administered to passersby of a public square from December 2015 to
October 2016, in Santa Maria, Rio Grande do Sul. Convenience sampling was
performed. RESULTS: A total of 633 respondents completed the survey. Of the
respondents, 33% knew the meaning of "AVC"; 29.5% incorrectly localized stroke in
the heart. Any warning sign of stroke (open-ended question) could not be
remembered by 50.7% of the respondents; individuals with a higher level of
schooling (>7 years of education) and those who localized stroke in the brain
were more likely to call an emergency in the case of a stroke (adjusted odds
ratio [OR] 1.040, 95% confidence interval [CI] 1.004-1.078 for years of
schooling; adjusted OR 1.542, 95% CI 1.102-2.156 for replying "yes" to brain as
the organ affected in stroke). CONCLUSIONS: Brazilian public knowledge about
stroke is still scarce, even in a population with levels of formal education
above the national average or in a city where annual promotion of Stroke
Awareness Campaigns have taken place for almost a decade. Thus, it might be
necessary to design different informative strategies targeted to our study's
population to improve stroke campaigns in Brazil. In Brazil, increasing awareness
of stroke focused on stroke prevention and recognition of its warning signs
should be a national priority in public health as the access to stroke treatment
remains limited.
PMID- 29805083
TI - Neovascularization in Vertebral Artery Atheroma-A Dynamic Contrast-Enhanced
Magnetic Resonance Imaging-Based Comparative Study in Patients with Symptomatic
and Asymptomatic Carotid Artery Disease.
AB - BACKGROUND: Atherosclerosis is a systemic inflammatory disease intertwined with
neovascularization. Dynamic contrast-enhanced magnetic resonance imaging (DCE
MRI) enables the assessment of plaque neovascularization. This study aimed to
explore the systemic nature of atherosclerosis by assessing difference in
severity of neovascularization as quantified by DCE-MRI of vertebral arteries
(VAs) between patients with symptomatic and asymptomatic carotid artery disease.
METHODS: Ten consecutive patients with asymptomatic VA stenosis and concomitant
symptomatic carotid artery disease (group 1) and 10 consecutive patients with
asymptomatic VA stenosis and concomitant asymptomatic carotid artery disease
(group 2) underwent 3-dimensional DCE-MRI of their cervical segment of VAs. A
previously validated pharmacokinetic modeling approach was used for DCE-MRI
analysis. Ktrans was calculated in the adventitia and plaque as a measure of
neovessel permeability. RESULTS: Both patient groups were comparable for
demographics and comorbidities. Mean luminal stenosis was comparable for both
groups (54.4% versus 52.27%, P = .32). Group 1 had higher adventitial Ktrans and
plaque Ktrans (.08 +/- .01 min-1, .07 +/- .01 min-1) compared with Group 2 (.06
+/- .01 min-1, .06 +/- .01 min-1) (P = .004 and .03, respectively). Good
correlation was present among the two image analysts (intraclass correlation
coefficient = .78). CONCLUSIONS: Vertebral Artery atheroma of patients with
symptomatic carotid artery disease had increased neovessel permeability compared
with the patients with asymptomatic carotid artery disease. These findings are
consistent with the hypothesis that atherosclerosis is a systemic inflammatory
disease. The VA atherosclerosis is likely to have increased severity of
neovascularization if another arterial territory is symptomatic in the same
patient cohort.
PMID- 29805084
TI - Patent Foramen Ovale Closure in the Setting of Cryptogenic Stroke: A Meta
Analysis of Five Randomized Trials.
AB - BACKGROUND: The clinical benefit of patent foramen ovale (PFO) closure after
cryptogenic stroke has been a topic of debate for decades. Recently, 3 randomized
controlled trials of PFO closure in patients with cryptogenic stroke demonstrated
a significantly reduced risk of recurrent stroke compared with standard medical
therapy alone. This meta-analysis was performed to clarify the efficacy of PFO
closure for future stroke prevention in this population. METHODS: A systematic
literature search was undertaken. Published pooled data from 5 large randomized
clinical trials (CLOSE, RESPECT, Gore REDUCE, CLOSURE I, and PC) were combined
and then subsequently analyzed. Enrolled patients with cryptogenic stroke were
assigned to receive standard medical care or to undergo endovascular PFO closure,
with a primary outcome of reduction in stroke recurrence rate. Secondary outcomes
included rates of transient ischemic attack (TIA), composite outcome of stroke,
TIA, and death from all causes, and rates of atrial fibrillation events. RESULTS:
We analyzed data for 3412 patients. Transcatheter PFO closure resulted in a
statistically significant reduced rate of recurrent stroke, compared with
medication alone. Patients undergoing closure were 58% less likely to have
another stroke. The number needed to treat with PFO closure to reduce recurrent
stroke for 1 patient was 40. CONCLUSIONS: Endovascular PFO closure was associated
with a reduced risk of recurrent stroke in patients with a prior cryptogenic
cerebral infarct. Although the absolute stroke reduction was small, these
findings are clinically significant, given the young age of this patient
population and the patients' lifetime risk of recurrent stroke.
PMID- 29805085
TI - Current status of sublingual immunotherapy for allergic rhinitis in Japan.
AB - Japanese cedar pollen (JCP) and house dust mite (HDM) are two major allergens
that cause allergic rhinitis (AR) in Japan and the prevalence of AR is
increasing. Pharmacothearpy is a commonly used treatment, but the level of
patient satisfaction is very low. Allergen immunotherapy (AIT) is the only
therapeutic modality that provides not only symptom relief but also quality of
life improvement that leads to a high rate of satisfaction. In particular,
sublingual immunotherapy (SLIT) is a safe and effective treatment for AR. Here we
introduce a large-scale double-blind, placebo-controlled trial of SLIT in
Japanese patients using JCP droplets or HDM tablets conducted in Japan. The
immediate future of SLIT in Japan is also discussed.
PMID- 29805086
TI - A new three-step procedure for pancreatic head carcinoma with invasion of
superior mesenteric artery.
PMID- 29805087
TI - Hemobilia due to hepatocellular carcinoma: cholangioscopic findings and novel
endoscopic hemostasis.
PMID- 29805088
TI - Smoking Cessation Care in Cardiothoracic Surgery: A Qualitative Study Exploring
the Views of Australian Clinicians.
AB - BACKGROUND: Smoking cessation (SC) care in the perioperative period of
cardiothoracic surgery is important to reduce surgical risk and help achieve long
term smoking abstinence in patients who continue to smoke. The implementation of
clinical guidelines for SC care in the perioperative period has proved
challenging, yet little is known of what influences the inter-disciplinary team
involved in the cardiothoracic area. This qualitative study explored the views of
the clinicians involved in perioperative period of cardiothoracic surgery in
Australia on their SC advice and support. METHODS: Semi-structured interviews
were conducted with 52 cardiothoracic surgeons, anaesthetists, nurses and
physiotherapists in three public tertiary referral hospitals and three private
hospitals in New South Wales (NSW). Data was thematically analysed, and
categorised using the Behaviour Change Wheel "Capabilities, Opportunity,
Motivation & Behaviour" (COM-B) analysis framework to understand the factors that
influence clinicians' views and perceived abilities to provide SC care. RESULTS:
Barriers and facilitators to providing SC care were identified. The most commonly
identified barriers in capability were the lack of knowledge, training and
institutional engagement. Opportunity was hindered by lack of time, hospital
support and resources, yet facilitated by the existence of a collaborative,
multidisciplinary team and the ability to follow-up patients long term. In
motivation, clinicians' attitudes and experience negatively influenced the
initiation of the cessation conversation, while intrinsic attributes of empathy
and positivity were drivers to provide SC care. CONCLUSIONS: Clinicians' views,
together with inadequate SC training, resources and engagement to implement
clinical guidelines, contribute to inconsistent SC care. There is a need for
hospitals to provide adequate SC resources and training to all clinicians to
improve SC care to cardiothoracic surgery patients throughout the perioperative
period.
PMID- 29805089
TI - Improvement in insulin resistance after gastric bypass surgery is correlated with
a decline in plasma 2-hydroxybutyric acid.
AB - BACKGROUND: Gastric bypass surgery for weight reduction often corrects
dysglycemia in diabetic patients, but a full understanding of the underlying
biochemical pathways continues to be investigated. OBJECTIVES: To explore the
effects of weight loss by surgical and dietary interventions on plasma
metabolites using both targeted and discovery-oriented metabolomics platforms.
SETTING: An academic medical center in the United States. METHODS: Improvement in
homeostatic model assessment for insulin resistance (HOMA-IR), as an index of
insulin resistance, was compared at 6 months in 11 patients that underwent Roux
en-Y gastric bypass against 11 patients that were matched for weight loss in the
Weight Loss Maintenance (WLM) program. Metabolites in plasma were evaluated by
nontargeted gas chromatography/mass spectrometry for the potential detection of
>1100 biochemical markers. RESULTS: Among multiple metabolites detected, 2
hydroxybutyric acid (2-HBA) declined most significantly after 6 months in
comparing patients that underwent Roux-en-Y gastric bypass with those in WLM (P <
.001), corresponding with declines in HOMA-IR (P = .025). Baseline levels of 2
HBA for all patients were correlated with preintervention levels of HOMA-IR (R2 =
.565, P < .001). Moreover, the changes in 2-HBA after 6 months were correlated
with changes in HOMA-IR (R2 = .399, P = .0016). CONCLUSIONS: Correlation between
insulin resistance and 2-HBA suggests the utility of the latter as an excellent
biomarker for tracking glycemic improvement, and offers further insight into the
pathways that control diabetes. This is the first report of a decline in 2-HBA in
response to bariatric surgery.
PMID- 29805090
TI - Feasibility of a pilot intervention to reduce pain and syncope during adolescent
vaccination.
AB - PURPOSE: Vaccines recommended for adolescents are considered safe and effective,
however administration may occasionally result in acute pain at the injection
site or syncope (fainting). These adverse effects pose a risk to patient safety
and are potential barriers to adherence to future vaccinations. We assessed a
novel intervention designed to help prevent acute pain and syncope associated
with adolescent vaccinations. METHODS: We conducted a 3-month pilot study to
assess the feasibility and acceptability of a vaccination comfort menu within two
Kaiser Permanente Northwest pediatric clinics. The menu offered a variety of
comfort items (e.g., cold packs, squeeze balls) that children could select prior
to their vaccination. We surveyed parents of recently vaccinated adolescents and
interviewed providers to assess the implementation and effectiveness of the
intervention. RESULTS: Response rate for the parent survey was 33% (378/1136).
Only 20% of the parents reported that their provider offered the comfort menu
during the vaccination visit. Approximately 50% of the adolescents who were
offered the menu selected a comfort item and most of these participants reported
that the item was very (35%) or somewhat (38%) helpful in improving their
vaccination experience. Per provider interviews, common barriers to implementing
the intervention included lack of time and convenience, and the brevity of the
pilot period. CONCLUSIONS: The comfort menu may improve the vaccination
experience of youth and increase the likelihood of adherence with future
vaccinations. However, only 20% of the parents reported that their provider
offered the menu during the vaccination visit. Additional research is needed to
determine the feasibility of implementing this intervention on a larger scale, as
well as assessing whether the intervention has a significant impact on reducing
adverse events.
PMID- 29805092
TI - Diagnostic Yield and Economic Assessment of a Diagnostic Protocol With Systematic
Use of an External Loop Recorder for Patients With Palpitations.
AB - INTRODUCTION AND OBJECTIVES: To assess the diagnostic yield and cost
effectiveness of a diagnostic protocol based on the systematic use of latest
generation external loop recorders (ELRs) compared with the classic diagnostic
strategy for patients with recurrent unexplained palpitations. METHODS: Two
cohorts of consecutive patients referred for diagnosis of unexplained
palpitations to the outpatient clinic of the arrhythmia unit were compared: a
prospective cohort after the implementation of a new diagnostic protocol based on
the systematic use of ELRs, and another, retrospective, cohort before the
implementation of the protocol. The cost of diagnosis was calculated based on the
number of complementary examinations, visits to outpatient clinics, or emergency
department visits required to reach a diagnosis, and its costs according the
prices published for the local health system. RESULTS: One hundred and forty-nine
patients were included (91 in the ELR group, 58 in the control group). The
diagnostic yield was higher in the ELR group (79 [86.8%] definitive diagnoses in
the ELR group vs 12 [20.7%] in the control group, P < .001). The cost per
diagnosis was ?375.13 in the ELR group and ?5184.75 in the control group (P <
.001). The cost-effectiveness study revealed that the systematic use of ELR
resulted in a cost reduction of ?11.30 for each percentage point of increase in
diagnosis yield. CONCLUSIONS: In patients with recurrent unexplained
palpitations, evaluation by means of a study protocol that considers the
systematic use of a latest-generation ELR increases diagnostic yield while
reducing the cost per diagnosis.
PMID- 29805091
TI - Combination therapy of rabies-infected mice with inhibitors of pro-inflammatory
host response, antiviral compounds and human rabies immunoglobulin.
AB - Recent studies demonstrated that inhibitors of pro-inflammatory molecular
cascades triggered by rabies infection in the central nervous system (CNS) can
enhance survival in mouse model and that certain antiviral compounds interfere
with rabies virus replication in vitro. In this study different combinations of
therapeutics were tested to evaluate their effect on survival in rabies-infected
mice, as well as on viral load in the CNS. C57Bl/6 mice were infected with Silver
haired bat rabies virus (SHBRV)-18 at virus dose approaching LD50 and LD100. In
one experimental group daily treatments were initiated 4 h before-, in other
groups 48 or 96 h after challenge. In the first experiment therapeutic
combination contained inhibitors of tumour necrosis factor-alpha (infliximab),
caspase-1 (Ac-YVAD-cmk), and a multikinase inhibitor (sorafenib). In the treated
groups there was a notable but not significant increase of survival compared to
the virus infected, non-treated mice. The addition of human rabies
immunoglobulins (HRIG) to the combination in the second experiment almost
completely prevented mortality in the pre-exposure treatment group along with a
significant reduction of viral titres in the CNS. Post-exposure treatments also
greatly improved survival rates. As part of the combination with immunomodulatory
compounds, HRIG had a higher impact on survival than alone. In the third
experiment the combination was further supplemented with type-I interferons,
ribavirin and favipiravir (T-705). As a blood-brain barrier opener, mannitol was
also administered. This treatment was unable to prevent lethal consequences of
SHBRV-18 infection; furthermore, it caused toxicity in treated mice, presumably
due to interaction among the components. In all experiments, viral loads in the
CNS were similar in mice that succumbed to rabies regardless of treatment.
According to the findings, inhibitors of detrimental host response to rabies
combined with antibodies can be considered among the possible therapeutic and
post-exposure options in human rabies cases.
PMID- 29805093
TI - Globular amyloidosis of the colon.
AB - Gastrointestinal involvement is frequent in systemic amyloidosis. However,
amyloidosis can rarely be confined to the gastrointestinal tract or appear as a
tumour mass. There have been few reports describing amyloid globular deposits in
a variety of locations, as opposed to the usual linear ones. We herein report a
rare case of globular amyloidosis involving the large bowel, which to the best of
our knowledge is the second reported in the world literature. A 74-year-old man
consulted on anaemia. Endoscopy showed ulcerative lesions in the left colon,
which were biopsied and diagnosed as ischemic colitis. Under light microscopy, we
found globular discrete deposits in the lamina propria which were Congo red
positive and resistant to permanganate digestion. Histopathological diagnosis was
globular amyloidosis with AL deposits. The patient underwent further studies,
including a haematologic evaluation that discarded systemic involvement. Globular
amyloidosis seems to be a rare morphologic type of amyloidosis, but not a
distinct entity. Its etiology, pathogenesis and relationship with patient
prognosis and disease severity remain largely unknown. When amyloid deposits are
confined to the gastrointestinal tract, systemic therapy can be avoided and
patients should only be followed periodically. Immunohistochemical classification
and clinical correlation are essential to rule out systemic amyloidosis.
PMID- 29805096
TI - Early relapse (ER) transcranial magnetic stimulation (TMS) in treatment resistant
major depression.
AB - BACKGROUND: There is interest in using TMS to keep patients with severe relapsing
depression as well as possible, once remission has been achieved. This has been
conceptualized as 'maintaining' the remission. One protocol employs series of 5
TMS sessions over 3 or 5 days, at about monthly intervals. We have suggested this
practice is better conceptualized as early relapse (ER) TMS. AIM: To determine
whether 5 TMS sessions at about monthly intervals are effective in keeping
patients relatively well, and whether the concept of ER-TMS can be supported.
METHOD: Prospective, naturalistic, 10-month study, administering pre- and post
TMS series, HAMD6, visual analogue scale for mood, and CGI-S. RESULTS: Thirty
nine patients (72% female) received 168 series of 5 TMS sessions and remained in
the program for 21 weeks on average. Pre-post-treatment scores showed significant
reductions on all measures. Post-series HAMD6 score 3.30 (2.28) indicates
remission has been achieved. Pre-series scores of 6.24 (2.78) indicate a post
series decline in mood, in the direction of relapse. Before TMS series 70% were
no longer in remission (being in partial remission or relapse), and after TMS
series, 79% were in remission. CONCLUSION: In severe relapsing depression,
monthly series of TMS move mood from the relapse/partial remission range in the
direction or remission and is appropriately termed early relapse ER-TMS. Long
term availability of ER-TMS to patients with severe relapsing depression deserves
consideration.
PMID- 29805097
TI - Report of one confirmed generalized seizure and one suspected partial seizure
induced by deep continuous theta burst stimulation of the right operculo-insular
cortex.
PMID- 29805094
TI - Daily Rhythms of TNFalpha Expression and Food Intake Regulate Synchrony of
Plasmodium Stages with the Host Circadian Cycle.
AB - The Plasmodium cell cycle, wherein millions of parasites differentiate and
proliferate, occurs in synchrony with the vertebrate host's circadian cycle. The
underlying mechanisms are unknown. Here we addressed this question in a mouse
model of Plasmodium chabaudi infection. Inflammatory gene expression and
carbohydrate metabolism are both enhanced in interferon-gamma (IFNgamma)-primed
leukocytes and liver cells from P. chabaudi-infected mice. Tumor necrosis factor
alpha (TNFalpha) expression oscillates across the host circadian cycle, and
increased TNFalpha correlates with hypoglycemia and a higher frequency of non
replicative ring forms of trophozoites. Conversely, parasites proliferate and
acquire biomass during food intake by the host. Importantly, cyclic hypoglycemia
is attenuated and synchronization of P. chabaudi stages is disrupted in IFNgamma
/-, TNF receptor-/-, or diabetic mice. Hence, the daily rhythm of systemic
TNFalpha production and host food intake set the pace for Plasmodium
synchronization with the host's circadian cycle. This mechanism indicates that
Plasmodium parasites take advantage of the host's feeding habits.
PMID- 29805095
TI - Genomic Epidemiology Reconstructs the Introduction and Spread of Zika Virus in
Central America and Mexico.
AB - The Zika virus (ZIKV) epidemic in the Americas established ZIKV as a major public
health threat and uncovered its association with severe diseases, including
microcephaly. However, genetic epidemiology in some at-risk regions, particularly
Central America and Mexico, remains limited. We report 61 ZIKV genomes from this
region, generated using metagenomic sequencing with ZIKV-specific enrichment, and
combine phylogenetic, epidemiological, and environmental data to reconstruct ZIKV
transmission. These analyses revealed multiple independent ZIKV introductions to
Central America and Mexico. One introduction, likely from Brazil via Honduras,
led to most infections and the undetected spread of ZIKV through the region from
late 2014. Multiple lines of evidence indicate biannual peaks of ZIKV
transmission in the region, likely driven by varying local environmental
conditions for mosquito vectors and herd immunity. The spatial and temporal
heterogeneity of ZIKV transmission in Central America and Mexico challenges
arbovirus surveillance and disease control measures.
PMID- 29805098
TI - Substantial Metabolic Activity of Human Brown Adipose Tissue during Warm
Conditions and Cold-Induced Lipolysis of Local Triglycerides.
AB - Current understanding of in vivo human brown adipose tissue (BAT) physiology is
limited by a reliance on positron emission tomography (PET)/computed tomography
(CT) scanning, which has measured exogenous glucose and fatty acid uptake but not
quantified endogenous substrate utilization by BAT. Six lean, healthy men
underwent 18fluorodeoxyglucose-PET/CT scanning to localize BAT so microdialysis
catheters could be inserted in supraclavicular BAT under CT guidance and in
abdominal subcutaneous white adipose tissue (WAT). Arterial and dialysate samples
were collected during warm (~25 degrees C) and cold exposure (~17 degrees C), and
blood flow was measured by 133xenon washout. During warm conditions, there was
increased glucose uptake and lactate release and decreased glycerol release by
BAT compared with WAT. Cold exposure increased blood flow, glycerol release, and
glucose and glutamate uptake only by BAT. This novel use of microdialysis reveals
that human BAT is metabolically active during warm conditions. BAT activation
substantially increases local lipolysis but also utilization of other substrates
such as glutamate.
PMID- 29805101
TI - Comparison of fractal dimension analysis and panoramic-based radiomorphometric
indices in the assessment of mandibular bone changes in patients with type 1 and
type 2 diabetes mellitus.
AB - OBJECTIVES: This study compared the fractal dimension (FD) and radiomorphometric
indices in the assessment of mandibular bone of patients with type 1 (T1 DM) and
type 2 diabetes mellitus (T2 DM). STUDY DESIGN: Panoramic radiographs of 104
patients were evaluated to calculate FD, mandibular cortical width (MCW),
panoramic mandibular index (PMI), and mandibular cortical index (MCI) in the
mandible. RESULTS: No statistically significant differences were found in FD when
T1 DM and T2 DM groups were compared with controls (P >= .168). Patients with T1
DM had significantly lower MCW (P < .001) and PMI (P = .030) compared with
controls. Patients with T2 DM had no significant differences in MCW (P = .228) or
PMI (P = .137) compared with controls. No significant differences were observed
between patients with T1 DM and those with T2 DM for FD, MCW, and PMI (P > .05).
In the T1 DM and T2 DM groups, there was a significant correlation between MCW
and FD (P <= .011). No correlation was observed between FD and PMI in either the
T1 DM group or the T2 DM group (P >= .142). No significant differences in MCI
were observed between the DM groups and controls (P = .740) or between the T1 DM
and T2 DM groups (P = 1.000). CONCLUSIONS: The cortical and trabecular bone
architectures of patients with T1 DM and T2 DM were not different. Patients with
T1 DM had lower cortical measurements compared with controls.
PMID- 29805102
TI - The Effectiveness of Medial Femoral Epicondyle Up-Sliding Osteotomy to Correct
Severe Valgus Deformity in Primary Total Knee Arthroplasty.
AB - BACKGROUND: While many surgical techniques can achieve neutral limb alignment and
soft tissue balance in severe valgus deformity during total knee arthroplasty
(TKA), few published reports concern medial femoral epicondyle up-sliding
osteotomy. METHODS: A prospective investigation was conducted of patients with
severe valgus deformities who underwent medial femoral epicondyle up-sliding
osteotomy. Clinical measurements, radiological evaluation, and complication data
were recorded. RESULTS: Using posterior-stabilized prostheses, 26 patients
underwent 28 TKAs performed by the same surgeon using medial femoral epicondyle
up-sliding osteotomy to balance the soft tissue. On average, the follow-up was 54
+/- 18 months, and the patient age was 63 +/- 11 years. All knees were type II
according to Krackow's classification. Varus-valgus knee motion was prohibited
with the protection of long-leg knee brace for 3 months. At the last follow-up,
the Knee Society function score, Hospital for Special Surgery knee-rating scale,
and range of motion were 94 +/- 6, 91 +/- 4, and 116 degrees +/- 8 degrees ,
respectively. All knees were stable laterally, whereas 2 knees had mild medial
laxity and the others were stable. The hip-knee-ankle angle, femorotibial angle,
condylar-hip angle, plateau-ankle angle, and valgus angle were 179.9 degrees +/-
3.4 degrees , 172.9 degrees +/- 3.6 degrees , 89.8 degrees +/- 2.5 degrees ,
90.2 degrees +/- 1.1 degrees , and 7.3 degrees +/- 3.5 degrees , respectively.
CONCLUSION: Medial femoral epicondyle up-sliding osteotomy during TKA in patients
with severe valgus deformities facilitates the restoration of lower limb
alignment, soft tissue balance, and knee stability.
PMID- 29805100
TI - A Role for Hypocretin/Orexin in Metabolic and Sleep Abnormalities in a Mouse
Model of Non-metastatic Breast Cancer.
AB - We investigated relationships among immune, metabolic, and sleep abnormalities in
mice with non-metastatic mammary cancer. Tumor-bearing mice displayed interleukin
6 (IL-6)-mediated peripheral inflammation, coincident with altered hepatic
glucose processing and sleep. Tumor-bearing mice were hyperphagic, had reduced
serum leptin concentrations, and enhanced sensitivity to exogenous ghrelin. We
tested whether these phenotypes were driven by inflammation using neutralizing
monoclonal antibodies against IL-6; despite the reduction in IL-6 signaling,
metabolic and sleep abnormalities persisted. We next investigated neural
populations coupling metabolism and sleep, and observed altered activity within
lateral-hypothalamic hypocretin/orexin (HO) neurons. We used a dual HO-receptor
antagonist to test whether increased HO signaling was causing metabolic
abnormalities. This approach rescued metabolic abnormalities and enhanced sleep
quality in tumor-bearing mice. Peripheral sympathetic denervation prevented tumor
induced increases in serum glucose. Our results link metabolic and sleep
abnormalities via the HO system, and provide evidence that central
neuromodulators contribute to tumor-induced changes in metabolism.
PMID- 29805103
TI - Posterior Reference Position Affects Intraoperative Kinematic and Soft Tissue
Balance in Navigated Posterior-Stabilized Total Knee Arthroplasty.
AB - BACKGROUND: The importance of medial compartment stability is recognized in total
knee arthroplasty (TKA). To manage the medial extension-flexion gap, the
posterior reference position can be changed from conventional posterior center to
posterior medial in measured resection techniques. This study aimed to compare
the intraoperative soft tissue balance and rotational kinematics between the
posterior medial and posterior center reference groups. METHODS: We enrolled 57
consecutive patients with varus osteoarthritis undergoing posterior-stabilized
(PS) TKA using an image-free navigation system. The detailed surgical plan in
both groups and intraoperative kinematics were recorded using navigation, and
soft tissue balance measured with an offset-type tensor was statistically
compared between groups. RESULTS: Patients were divided into the posterior center
reference group (n = 32) and posterior medial reference group (n = 25). The
posterior medial and posterior lateral condyles were significantly thicker in the
posterior center reference group (P < .05). Although preoperative rotational
kinematics were comparable between groups, the tibial rotational position was
significantly more externally rotated in the posterior center reference group
than in the posterior medial reference group at 45 degrees , 60 degrees , and 90
degrees of flexion (P < .05). The varus angle and joint component gap were
significantly smaller in the posterior medial reference group than in the
posterior center reference group at 60 degrees and 90 degrees of flexion (P <
.05). CONCLUSION: The posterior reference position affects intraoperative
kinematics and soft tissue balance in navigated PS TKA. Posterior medial
reference PS TKA decreases the excessive tibial external rotation during
midflexion and increases the flexion stability compared with conventional
posterior center reference PS TKA.
PMID- 29805099
TI - Aerobic Glycolysis Controls Myeloid-Derived Suppressor Cells and Tumor Immunity
via a Specific CEBPB Isoform in Triple-Negative Breast Cancer.
AB - Myeloid-derived suppressor cells (MDSCs) inhibit anti-tumor immunity. Aerobic
glycolysis is a hallmark of cancer. However, the link between MDSCs and
glycolysis is unknown in patients with triple-negative breast cancer (TNBC).
Here, we detect abundant glycolytic activities in human TNBC. In two TNBC mouse
models, 4T1 and Py8119, glycolysis restriction inhibits tumor granulocyte colony
stimulating factor (G-CSF) and granulocyte macrophage colony-stimulating factor
(GM-CSF) expression and reduces MDSCs. These are accompanied with enhanced T cell
immunity, reduced tumor growth and metastasis, and prolonged mouse survival.
Mechanistically, glycolysis restriction represses the expression of a specific
CCAAT/enhancer-binding protein beta (CEBPB) isoform, liver-enriched activator
protein (LAP), via the AMP-activated protein kinase (AMPK)-ULK1 and autophagy
pathways, whereas LAP controls G-CSF and GM-CSF expression to support MDSC
development. Glycolytic signatures that include lactate dehydrogenase A correlate
with high MDSCs and low T cells, and are associated with poor human TNBC outcome.
Collectively, tumor glycolysis orchestrates a molecular network of the AMPK-ULK1,
autophagy, and CEBPB pathways to affect MDSCs and maintain tumor
immunosuppression.
PMID- 29805104
TI - Isolated Polyethylene Exchange With Increased Constraint Is Comparable to
Component Revision TKA for Instability in Properly Selected Patients.
AB - BACKGROUND: Symptomatic instability following total knee arthroplasty (TKA) is a
leading cause of early failure. Most reports recommend component revision as the
preferred treatment because of poor outcomes and high failure rates with isolated
tibial polyethylene insert exchange (ITPIE). However, these ideas have not been
tested in modern implant systems that allow insert constraint to be increased.
METHODS: We retrospectively reviewed 90 consecutive patients with minimum 2-year
(mean 3.7 years) follow-up who underwent revision TKA for instability at a single
institution. Mean age was 62.0 years (range, 41 to 83 years), and 73% of patients
were women. Forty percent of patients were treated with ITPIE when standardized
preoperative and intraoperative criteria were met; 60% underwent revision of one
or both components when these criteria were not met. RESULTS: Patients
experienced significant improvements in Knee Society (KS) knee (48.4 to 82.6; P <
.001) and function (49.0 to 81.0; P < .001) scores. There were no significant
differences in improvements in KS knee scores (38.1 vs 33.1; P = .18), KS
function scores (36.0 vs 34.0; P = .63), or arc of motion (5 degrees vs 6
degrees ; P = .88) between those treated with ITPIE and component revision.
Failure rates were 19.4% in the ITPIE group vs 18.5% in the component revision
group (odds ratio, 1.06; P = .91). Re-revision rates were significantly lower
(6.3% vs 30.8%; odds ratio, 0.15; P = .004) when polyethylene insert constraint
was increased. CONCLUSION: In selected patients, ITPIE is not inferior to
component revision at addressing symptomatic instability following TKA. Degree of
constraint should be increased whenever possible during revision surgery for
instability.
PMID- 29805105
TI - Postdischarge Unscheduled Care Burden After Lower Limb Arthroplasty.
AB - BACKGROUND: In contrast to postdischarge arthroplasty readmission rates, the
unscheduled reattendance burden to primary care is under-reported. Understanding
reasons for reattendance would allow for implementation of strategies to reduce
this burden. The present study aims to quantify the out-of-hours (OOH) general
practitioner and emergency department (ED) service reattendance burden and
readmission rate after primary total hip arthroplasty and total knee
arthroplasty, with estimation of the associated costs. METHODS: This is a
prospective consecutive cohort study. A prospective audit of all total hip
arthroplasty and total knee arthroplasty patients in 2016 in a single high-volume
UK arthroplasty unit was performed. Incidence and reasons for reattendance to OOH
and ED service, as well as readmission rates, at both 30 and 90 days following
discharge are reported. A multivariate analysis was performed to determine
patient characteristics, which results in increased reattendance and readmission
rates. RESULTS: A total of 2351 procedures resulted in 374 attendances of OOH
service and 665 to ED with a total estimated cost of L190,000 within 90 days. The
readmission rate was 6.8%. Risk factors for reattendance and readmission were
increasing age and a prolonged length of stay. The use of a 5-day postdischarge
phone call and a dedicated Arthroplasty Care Practitioner favors reduced
reattendances but not the readmission rate, with the additional benefit of being
cost-effective. CONCLUSION: The postdischarge arthroplasty reattendance burden is
associated with significant costs, and strategies to reduce this should be
developed. Further research is required to assess the effectiveness and cost
effectiveness of multicomponent strategies to reduce reattendance operating at
scale.
PMID- 29805106
TI - The Efficacy of Tranexamic Acid in Total Knee Arthroplasty: A Network Meta
Analysis.
AB - BACKGROUND: A growing body of published research on tranexamic acid (TXA)
suggests that it is effective in reducing blood loss and the risk for transfusion
in total knee arthroplasty (TKA). The purpose of this network meta-analysis was
to evaluate TXA in primary TKA as the basis for the efficacy recommendations of
the combined clinical practice guidelines of the American Association of Hip and
Knee Surgeons, American Academy of Orthopaedic Surgeons, Hip Society, Knee
Society, and American Society of Regional Anesthesia and Pain Medicine on the use
of TXA in primary total joint arthroplasty. METHODS: We searched Ovid MEDLINE,
Embase, Cochrane Reviews, Scopus, and Web of Science databases for publications
before July 2017 on TXA in primary total joint arthroplasty. All included studies
underwent qualitative and quantitative homogeneity testing. Direct and indirect
comparisons were performed as a network meta-analysis, and results were tested
for consistency. RESULTS: After critical appraisal of the available 2113
publications, 67 articles were identified as representing the best available
evidence. Topical, intravenous (IV), and oral TXA formulations were all superior
to placebo in terms of decreasing blood loss and risk of transfusion, while no
formulation was clearly superior. Use of repeat IV and oral TXA dosing and higher
doses of IV and topical TXA did not significantly reduce blood loss or risk of
transfusion. Preincision administration of IV TXA had inconsistent findings with
a reduced risk of transfusion but no effect on volume of blood loss. CONCLUSIONS:
Strong evidence supports the efficacy of TXA to decrease blood loss and the risk
of transfusion after primary TKA. No TXA formulation, dosage, or number of doses
provided clearly improved blood-sparing properties for TKA. Moderate evidence
supports preincision administration of IV TXA to improve efficacy.
PMID- 29805107
TI - Mouse Intestinal Krt15+ Crypt Cells Are Radio-Resistant and Tumor Initiating.
AB - Two principal stem cell pools orchestrate the rapid cell turnover in the
intestinal epithelium. Rapidly cycling Lgr5+ stem cells are intercalated between
the Paneth cells at the crypt base (CBCs) and injury-resistant reserve stem cells
reside above the crypt base. The intermediate filament Keratin 15 (Krt15) marks
either stem cells or long-lived progenitor cells that contribute to tissue repair
in the hair follicle or the esophageal epithelium. Herein, we demonstrate that
Krt15 labels long-lived and multipotent cells in the small intestinal crypt by
lineage tracing. Krt15+ crypt cells display self-renewal potential in vivo and in
3D organoid cultures. Krt15+ crypt cells are resistant to high-dose radiation and
contribute to epithelial regeneration following injury. Notably, loss of the
tumor suppressor Apc in Krt15+ cells leads to adenoma and adenocarcinoma
formation. These results indicate that Krt15 marks long-lived, multipotent, and
injury-resistant crypt cells that may function as a cell of origin in intestinal
cancer.
PMID- 29805110
TI - Prasugrel or Ticagrelor for Patients With Acute Coronary Syndrome Undergoing
Percutaneous Coronary Intervention: Does it Matter?
PMID- 29805108
TI - Retinoic Acid Is Required for Neural Stem and Progenitor Cell Proliferation in
the Adult Hippocampus.
AB - Neural stem and precursor cell (NSPC) proliferation in the rodent adult
hippocampus is essential to maintain stem cell populations and produce new
neurons. Retinoic acid (RA) signaling is implicated in regulation of adult
hippocampal neurogenesis, but its exact role in control of NSPC behavior has not
been examined. We show RA signaling in all hippocampal NSPC subtypes and that
inhibition of RA synthesis or signaling significantly decreases NSPC
proliferation via abrogation of cell-cycle kinetics and cell-cycle regulators. RA
signaling controls NSPC proliferation through hypoxia inducible factor-1alpha
(HIF1alpha), where stabilization of HIF1alpha concurrent with disruption of RA
signaling can prevent NSPC defects. These studies demonstrate a cell-autonomous
role for RA signaling in hippocampal NSPCs that substantially broadens RA's
function beyond its well-described role in neuronal differentiation.
PMID- 29805109
TI - Generation of TCR-Expressing Innate Lymphoid-like Helper Cells that Induce
Cytotoxic T Cell-Mediated Anti-leukemic Cell Response.
AB - CD4+ T helper (Th) cell activation is essential for inducing cytotoxic T
lymphocyte (CTL) responses against malignancy. We reprogrammed a Th clone
specific for chronic myelogenous leukemia (CML)-derived b3a2 peptide to
pluripotency and re-differentiated the cells into original TCR-expressing T
lineage cells (iPS-T cells) with gene expression patterns resembling those of
group 1 innate lymphoid cells. CD4 gene transduction into iPS-T cells enhanced
b3a2 peptide-specific responses via b3a2 peptide-specific TCR. iPS-T cells
upregulated CD40 ligand (CD40L) expression in response to interleukin-2 and
interleukin-15. In the presence of Wilms tumor 1 (WT1) peptide, antigen-specific
dendritic cells (DCs) conditioned by CD4-modified CD40Lhigh iPS-T cells
stimulated WT1-specific CTL priming, which eliminated WT1 peptide-expressing CML
cells in vitro and in vivo. Thus, CD4 modification of CD40Lhigh iPS-T cells
generates innate lymphoid helper-like cells inducing bcr-abl-specific TCR
signaling that mediates effectiveanti-leukemic CTL responses via DC maturation,
showing potential for adjuvant immunotherapy against leukemia.
PMID- 29805113
TI - Characterization of two fungal lipoxygenases expressed in Aspergillus oryzae.
AB - Two fungal lipoxygenase genes were cloned from a rice pathogen, Magnaporthe
salvinii, and the take-all fungus, Gaeumannomyces graminis var. tritici, and
successfully expressed in Aspergillus oryzae in secreted form. The lipoxygenases
expressed, termed MLOX and GLOX, were purified and characterized to evaluate
suitability for industrial applications. Both enzymes were active broadly at pH 4
11 and had optimum temperatures around 60 degrees C, but they were largely
different in substrate specificity. Where MLOX was active broadly on arachidonic
acid, EPA and DHA, and even on derivatives of fatty acids, such as methyl
linoleate or linoleoyl alcohol, GLOX was more specific to linoleic acid and
linolenic acid. The most remarkable difference between the two fungal LOXs was
the positional and stereo-specificity of oxygenation reactions on polyunsaturated
fatty acids. When using linoleic acid as the substrate, the product of MLOX was
9S-hydroperoxy-(E,Z)-octadecadienoic acid (9S(E,Z)-HPODE), on the other hand, the
product of GLOX was 13R(E,Z)-HPODE. The enzymes were evaluated for a couple of
potential applications and found to be effective on bleaching colored compounds
such as carotenoids.
PMID- 29805111
TI - Long-Term Coronary Functional Assessment of the Infarct-Related Artery Treated
With Everolimus-Eluting Bioresorbable Scaffolds or Everolimus-Eluting Metallic
Stents: Insights of the TROFI II Trial.
AB - OBJECTIVES: The study sought to compare the vasomotor and microcirculatory
function of the infarct-related artery (IRA) between bioresorbable vascular
scaffolds (BVS) and everolimus-eluting stents (EES) at 3 years. BACKGROUND: The
ABSORB STEMI TROFI II study showed similar outcomes between BVS and EES in the
context of ST-segment elevation myocardial infarction at 3 years. METHODS: Sixty
three consecutive event-free patients of the randomized TROFI II study were
screened to undergo coronary angiography with vasomotor, microcirculatory, and
optical coherence tomography (OCT) examination at 3 years. Vasomotion was defined
as >4% change in mean lumen diameter to acetylcholine (ACH) and nitroglycerin as
assessed by quantitative angiography. Microcirculatory examination was performed
with pressure or thermodilution techniques. RESULTS: A total of 38 patients (20
BVS and 18 EES) were included. At 3 years, >=60% of patients exhibited
paradoxical vasoconstriction to ACH in the periscaffold or stent segments.
Vasoconstriction to ACH and vasodilatation to nitroglycerin were more often
observed in the scaffold or stent segment with BVS than with EES (77.8% vs.
25.0%; p = 0.008 and 61.1% vs. 18.8%; p = 0.018). The IRA-depending
microcirculation showed similar index of resistance (23.8 vs. 22.4; p = 0.781),
coronary flow reserve (2.4 vs. 1.9; p = 0.523), fractional flow reserve (0.91 vs.
0.93; p = 0.317), and absolute flow (135.5 ml/min vs. 147.3 ml/min; p = 0.791).
OCT showed remaining strut footprints and larger number of intraluminal scaffold
dismantling (26.3% vs. 0%; p = 0.049) in the BVS group. CONCLUSIONS: Both
endothelium-dependent and -independent vasomotion of the IRA were more evident
with BVS, as compared with EES, at 3 years. Functional microcirculatory
parameters were mostly adequate and similar between BVS and EES. Clinical
implications of these findings warrant further investigations.
PMID- 29805112
TI - Effects of Ticagrelor, Prasugrel, or Clopidogrel on Endothelial Function and
Other Vascular Biomarkers: A Randomized Crossover Study.
AB - OBJECTIVES: The study sought to assess whether treatment with ticagrelor, as
compared with prasugrel and clopidogrel, improves endothelium-dependent dilation
throughout the course of the treatment and other vascular biomarkers, including
systemic adenosine plasma levels. BACKGROUND: The in vivo off-target effects of
ticagrelor in post-acute coronary syndrome (ACS) patients remain poorly
characterized. METHODS: Fifty-four stable post-ACS patients were sequentially
exposed to each of the 3 oral P2Y12 inhibitors following a 3-period balanced
Latin square crossover design with 4 weeks per treatment in 5 European centers.
The primary endpoint was the assessment of endothelial function with pulse
amplitude tonometry and expressed as reactive hyperemia index at treatment steady
state. Secondary endpoints included reactive hyperemia index after loading or
before maintenance regimen, systemic adenosine plasma levels, a wide set of
vascular biomarkers, and ticagrelor or AR-C124910XX plasma levels throughout each
ticagrelor period. In 9 patients, the evaluation of endothelial function was
performed simultaneously by pulse amplitude tonometry and flow-mediated dilation.
RESULTS: Reactive hyperemia index did not differ after ticagrelor (1.970 +/-
0.535) as compared with prasugrel (2.007 +/- 0.640; p = 0.557) or clopidogrel
(2.072 +/- 0.646; p = 0.685), nor did systemic adenosine plasma levels or
vascular biomarkers at any time points. P2Y12 platelet reactivity units were
lower after ticagrelor as compared with clopidogrel at all time points and after
maintenance dose as compared with prasugrel. Flow-mediated dilation did not
differ after the maintenance dose of ticagrelor as compared with clopidogrel and
prasugrel. CONCLUSIONS: Ticagrelor did not improve endothelial function or
increased systemic adenosine plasma levels as compared with prasugrel and
clopidogrel in stabilized patients who suffered from an ACS. (Hunting for the Off
Target Properties of Ticagrelor on Endothelial Function in Humans [HI-TECH];
NCT02587260).
PMID- 29805115
TI - Efficient production of trans-4-hydroxy-l-proline from glucose using a new trans
proline 4-hydroxylase in Escherichia coli.
AB - trans-4-Hydroxy-l-proline (trans-4Hyp) is widely used as a valuable building
block for the organic synthesis of many pharmaceuticals such as carbapenem
antibiotics. The major limitation for industrial bioproduction of trans-4Hyp is
the low titer and productivity by using the existing trans-proline 4-hydroxylases
(trans-P4Hs). Herein, three new trans-P4Hs from Alteromonas mediterranea (AlP4H),
Micromonospora sp. CNB394 (MiP4H) and Sorangium cellulosum (ScP4H) were
discovered through genome mining and enzymatic determination. These trans-P4Hs
were introduced into an l-proline-producing chassis cell, and the recombinant
strain overexpressing AlP4H produced the highest concentration of trans-4Hyp
(3.57 g/L) from glucose in a shake flask. In a fed-batch fermentation with a 5 L
bioreactor, the best strain SEcH (pTc-B74A-alp4h) accumulated 45.83 g/L of trans
4Hyp within 36 h, with the highest productivity (1.27 g/L/h) in trans-4Hyp
fermentation from glucose, to the best of our knowledge. This study provides a
promising hydroxylase candidate for efficient industrial production of trans
4Hyp.
PMID- 29805114
TI - Cloning and sequencing of the gene encoding the enzyme for the reductive cleavage
of diaryl ether bonds of 2,3,7,8-tetrachlorodibenzo-p-dioxin in Geobacillus
thermodenitrificans UZO 3.
AB - We have previously reported that a cell-free extract prepared from Geobacillus
thermodenitrificans UZO 3 reductively cleaves diaryl ether bonds of 2,3,7,8
tetrachlorodibenzo-p-dioxin (2,3,7,8-TCDD), a dioxin with the highest toxicity,
in a sequential fashion producing 3',4',4,5-tetrachloro-2-hydroxydiphenyl ether
(TCDE) as the intermediate, and 3,4-dichlorophenol (DCP) as the final reaction
product. The detection of TCDE implicated the discovery of an unprecedented
dioxin-degrading enzyme that reductively cleaves the diaryl ether bonds. In this
study, we report the cloning and sequencing of the dioxin reductive etherase gene
dreE which codes for the 2,3,7,8-TCDD-degrading enzyme. We showed that dreE was
expressed in Escherichia coli and that the product of the expression could
reductively cleave diaryl ether bonds of 2,3,7,8-TCDD to produce TCDE.
Furthermore, we established that the amino acid sequence encoded by dreE was
homologous to an enzyme with yet unknown function that is encoded by a gene
located in the riboflavin (vitamin B2) biosynthesis operon in Bacillus subtilis.
We also showed that the amino acid sequence possesses a coenzyme A (CoA) binding
site that is conserved in the N-acyltransferase superfamily. For the first time,
the degradation of 2,3,7,8-TCDD at the molecular level using a enzyme of
bacterial origin has been demonstrated. A novel mechanism model for the reductive
cleavage of diaryl ether bond of 2,3,7,8-TCDD was also proposed.
PMID- 29805116
TI - Safety and efficacy of elobixibat for chronic constipation: results from a
randomised, double-blind, placebo-controlled, phase 3 trial and an open-label,
single-arm, phase 3 trial.
AB - BACKGROUND: A subset of patients with constipation has reduced colonic bile acid
concentrations, which are associated with slow colonic transit. In a previous
study, elobixibat, a locally acting ileal bile acid transporter inhibitor,
accelerated colonic transit in Japanese patients with functional constipation. In
this study, we aimed to determine the efficacy of elobixibat for short-term
treatment of chronic constipation, and safety, patient satisfaction, and quality
of life with long-term treatment. METHODS: We did two phase 3 studies of patients
aged 20-80 years in Japan with at least 6 months of chronic constipation, who
satisfied Rome III criteria for functional constipation, including fewer than
three spontaneous bowel movements per week. The first trial, including patients
enrolled at 16 clinics, was a 2-week, randomised, double-blind, placebo
controlled study in which (after a 2-week run-in period) patients were randomly
assigned (1:1) to either elobixibat 10 mg/day for 2 weeks or placebo.
Randomisation was done with permuted block method (block size six) without
stratification. Masking to treatment allocation was achieved with identical
appearances of elobixibat and placebo, which were supplied in sealed, opaque
containers. Group assignment was concealed from patients, investigators, and
analysts. The second trial, including patients enrolled at 34 clinics or
hospitals, was an open-label, 1-year study in which all patients received
elobixibat; participants could titrate the dose to 5 mg/day or 15 mg/day, or
maintain the 10 mg/day dose. In both studies, participants took the study drug as
an oral tablet once per day before breakfast. The primary outcome of the 2-week
randomised trial was the change from baseline (ie, last week of the 2-week run
in) in the frequency of spontaneous bowel movements during week 1 of treatment.
The primary outcome of the 52-week open-label trial was safety (type, severity,
and incidence of adverse drug reactions) at all times from treatment initiation.
All efficacy analyses were based on the modified intention-to-treat (ITT)
population without imputation for any missing data. Safety analyses included all
patients who received at least one dose of study drug. These trials are
registered with the Japan Pharmaceutical Information Center (numbers JapicCTI
153061 and JapicCTI-153062) and have been completed. FINDINGS: Between Nov 4,
2015, and June 11, 2016, we assigned 133 patients to treatment in the 2-week
randomised trial: 70 to elobixibat (69 included in the modified ITT and safety
populations) and 63 to placebo. The frequency of spontaneous bowel movements per
week during week 1 of treatment was greater with elobixibat (least-squares mean
6.4, 95% CI 5.3-7.6) than with placebo (1.7, 1.2-2.2), p<0.0001). Between Oct 31,
2015, and March 15, 2017, we allocated 341 patients to 52 weeks of elobixibat
(340 included in the modified ITT and safety populations). 163 (48%) patients in
the 52-week trial had an adverse drug reaction, the most common of which were
mild gastrointestinal disorders (in 135 [40%] patients). Inguinal hernia was
reported in one patient with elobixibat in the 52-week study as a moderate
adverse drug reaction. The most common adverse drug reactions in both trials were
mild abdominal pain (13 [19%] patients with elobixibat and one [2%] with placebo
in the 2-week randomised trial, and 82 [24%] patients in the 52-week trial) and
diarrhoea (nine [13%] patients with elobixibat and none with placebo in the 2
week randomised trial and 50 [15%] in the 52-week trial). INTERPRETATION:
Elobixibat resolved constipation in the short-term, and was well tolerated with
both short-term and long-term treatment. The evidence supports the use of this
novel approach to increase intracolonic concentrations of endogenous bile acid
for the treatment of chronic constipation. FUNDING: EA Pharma and Mochida
Pharmaceutical.
PMID- 29805117
TI - Treating constipation with bile: a new target.
PMID- 29805118
TI - 5-HT6 receptor agonist and memory-enhancing properties of hypidone hydrochloride
(YL-0919), a novel 5-HT1A receptor partial agonist and SSRI.
AB - Most current antidepressants are lacking a pro-cognition effect or even impair
cognition as a side effect, and there are few effective psychopharmacological
options that improve cognitive dysfunction in depression. Our previous studies
revealed that hypidone hydrochloride (YL-0919), a novel 5-HT1A receptor partial
agonist and SSRI, has antidepressant- and anxiolytic-like effects. Here, further
studies found that YL-0919, but not vilazodone (a 5-HT1A receptor partial agonist
and SSRI), exerted a significant memory-enhancing effect in the Morris water
maze, object recognition test and step-down passive avoidance task. Because the 5
HT6 receptor has emerged as an interesting drug target to improve cognition, we
investigated the target profile of YL-0919 using radioligand binding assays,
[35S]-GTPgammaS binding and cAMP stimulation assays. YL-0919 was found to act as
a highly effective, full agonist of 5-HT6 receptors. Finally, we observed that
the memory-enhancing activities of YL-0919 were completely reversed after co
administration of SB271046 (a selective 5-HT6 receptor antagonist) at a dose that
does not alter cognition. In summary, the findings of the current study suggest
that YL-0919 has clear memory-enhancing effects, which might be at least
partially mediated by 5-HT6 receptor activation.
PMID- 29805119
TI - Students' perception of the learning environment and its relation to their study
year and performance in Sudan.
AB - Objectives: To evaluate students' perceptions of the learning environment and to
assess any differences in perception related to students' performance and their
year of study. Methods: A descriptive cross-sectional study was performed of 638
students from the second, sixth and tenth semesters at the Faculty of Medicine at
Gezira University, Sudan. This study employed the Arabic-translated Dundee Ready
Education Environment Measure. The main predictor variables were the study year
and academic performance. Descriptive statistics and one-way analysis of variance
with a post hoc Tukey-Kramer multiple comparisons test were used for data
analysis. Results: The overall score for this study was 122/200 (SD=16.6),
indicating a positive perception of the learning environment. The overall mean
score was 109.94/200 (SD=21.2) for Semester 2 students, 122.9/200 (SD=20.29) for
Semester 6 students, and 116.53 (SD=20.12) for Semester 10 students, reflecting a
significant difference in students' perceptions in different years of study (F
(2,2422) = 3.21, p=0.04). There was also a significant difference between the
mean overall scores with respect to academic performance. High-achieving
students' mean DREEM score was 126 (SD=24.4); while low-achieving students' mean
DREEM score was 102 (SD=26.25) (F(2,2453) = 3.53, p=0.029). Conclusions: High
achievers' perceptions of the learning environment are significantly better than
those of low achievers. A significant difference was observed between students in
different years of study. The differences in students' academic performance
should be further investigated, targeting specific domains. A large-scale study
is required to differentiate between the weakness and the strength of each
academic level.
PMID- 29805122
TI - A Community Health Center Blueprint for Responding to the Needs of the Displaced
after a Natural Disaster: The Hurricane Maria Experience.
PMID- 29805121
TI - Note from the Editor.
PMID- 29805120
TI - International medical electives in selected African countries: a phenomenological
study on host experience.
AB - Objectives: To explore the host experience on international medical electives at
a selection of hospitals in low- and middle-income countries in Africa. Outcomes
of the study may inform and improve the preparation of global health curriculum,
pre-elective training and debriefing for international medical electives.
Methods: A descriptive phenomenological study was undertaken, involving semi
structured interviews with ten elective hosts at seven study sites in three
African countries. Purposive convenience sampling augmented by snowballing was
utilised to recruit study participants. The data were thematically analysed and
interpreted with reflexivity to generate an accurate aggregate of the experience
of participants in hosting international medical electives. Results: Six main
themes emerged from the thematic analysis of interview data: international
medical student contribution to host hospitals, host professional and personal
fulfiment, barriers to student learning experience, international medical student
preparedness, hope for reciprocity and barriers to cultural immersion and patient
care. Conclusions: Study participants described the experience of hosting
international medical elective students as overwhelmingly positive. However, they
highlighted issues such as barriers to students' learning experience and the lack
of reciprocity between host and sending institutions as areas which could be
addressed to optimize the experience for both hosts and international medical
students. An understanding of the host experience provides stakeholders with a
clearer idea of what is important in preparation, organisation and evaluation of
the elective experience. This study provides the impetus for further research to
examine the effectiveness of introducing appropriate pre-departure training and
post-elective debriefing to students embarking on international medical
electives.
PMID- 29805123
TI - Integration of an Oral Health Team into a Student-Faculty Collaborative Clinic:
Successes and Challenges.
AB - Vulnerable populations are more likely to access medical care than visit a
dentist. We introduced a dental team into a student-faculty collaborative clinic
that serves a low-income Latino population. Documentation of oral exam findings
rose from 11.88% to 50.50% in the year following integration of dental students
into the clinic.
PMID- 29805124
TI - A Community Health Worker-Led Rotation to Train Medical Students in the Social
Determinants of Health.
AB - Medical students often lack training in understanding and addressing the social
determinants that shape the health of high-risk populations. We describe a novel
clinical elective rotation in which fourth-year medical students served as
apprentices to community health workers in order to develop community engagement
skills and cultural humility.
PMID- 29805125
TI - A Cooperative Education Model for Promoting Oral Health and Primary Care
Integration within a Health Care for the Homeless Program.
AB - This report describes an innovative cooperative education model for promoting the
integration of oral health and primary care in a safety-net setting for homeless
populations. The model situates health sciences students as change agents within
the health care team to facilitate interprofessional collaboration and medical
dental integration.
PMID- 29805127
TI - Rapid Assessment for Establishing Evidence of an Underground Cigarette Market in
Oakland Chinatown: A Dual Approach.
AB - We conducted a preliminary study to rapidly assess (1) whether an underground
cigarette market exists in the area known as Oakland Chinatown in Oakland,
California; and if so, (2) who consumes untaxed cigarettes in this ethnic enclave
to provide a basis for further investigation.
PMID- 29805126
TI - Initiating Dialogue in Community-Partnered Participatory Research to Address
Obesity in South Los Angeles.
AB - In South Los Angeles, a community-engaged research project on obesity was
initiated between a translational research institute seeking to build community
based or partnered participatory research (CBPR/CPPR) capacity, and a community
partner with extensive experience. This manuscript describes the partnership
building process and discusses results from a bi-directional knowledge transfer
event.
PMID- 29805128
TI - Testimonios, A Mental Health Support Group for Latino Immigrants in an
Emergent Latino Community.
AB - Latino immigrants face many stressors before, during, and after migration to the
U.S., but there are few mental health services available to help them cope with
this transition. We established free group sessions moderated by bilingual
therapists to address the unmet mental health needs of Latino immigrants.
PMID- 29805129
TI - Street-Connected Youth: A Priority for Global HIV Prevention.
AB - During the 69th UN General Assembly world leaders agreed that ending the AIDS
epidemic as a public health threat by 2030 was possible. An AIDS-free generation
is not achievable without addressing youth vulnerability globally. Street
connected youths are one of the groups most at risk.
PMID- 29805130
TI - Undocumented Latino Immigrants and Research: New Challenges in Changing Times.
AB - Latinos are the largest immigrant group in the United States, representing 17.6%
of the total U.S. population, and are therefore critical to include in research.
However, Latino immigrants-and particularly those who are undocumented residents
may be increasingly wary of participating in research amidst hostile anti
immigrant rhetoric and high profile cases of deportation. In this commentary we
discuss challenges of conducting research with undocumented Latino immigrants in
the current sociopolitical climate. We provide suggestions for data collection,
data protection, and research recruitment techniques that may mitigate some of
these challenges.
PMID- 29805131
TI - Perceived Neighborhood Quality and HIV-related Stigma among African Diasporic
Youth; Results from the African, Caribbean, and Black Youth (ACBY) Study.
AB - Socio-environmental factors such as neighborhood quality are increasingly
recognized drivers of HIV disparities. Additionally, HIV- related stigma
heightens HIV vulnerability among youth in the African Diaspora. However, little
research examines the intersection of neighborhood quality and HIV- related
stigma. This study uses survey data (N=495) from African, Caribbean, and Black
youth in a midsized city in Ontario, Canada to address this research deficit.
Analysis of variance and multivariate ordinary least squares regressions were
conducted to determine differences in HIV- related stigma by neighborhood
quality, experiences of discrimination, HIV- knowledge, and demographic factors.
Residents in more socially disordered neighborhoods (p<.05), males (p<.0001),
African- Muslim youth (p<.01), and individuals with lower HIV- knowledge
(p<.0001) endorsed stigmatizing beliefs more often. Addressing neighborhood
disadvantage may have implications for HIV- related stigma. More research should
be conducted to understand the impact of socio- environmental disadvantage and
HIV- related stigma.
PMID- 29805132
TI - Self-reported Animal and Ectoparasite Exposure among Urban Homeless People.
AB - Homeless people in the United States may experience poor hygiene and spend
extended periods of time outdoors, which increases exposure to animal and insect
vectors of disease. Despite these risks, efforts to understand frequency and risk
factors for zoonotic and vector-borne infections among homeless people have been
limited. We queried homeless people in Boston, Massachusetts (n=194) to evaluate
exposure to urban wildlife and ectoparasites associated with infection. Thirty
percent of participants reported seeing rodents daily, and 25% reported daily
sightings of cats. Body lice and fleas were reported by 4% and 11% of
participants, respectively. Sleeping outdoors and heavy drinking were positively
associated with rodent and ectoparasite exposure. Frequent sightings of rodents
and rodent feces among homeless people in particular areas may indicate human
exposure risk to urban rodent-borne pathogens, including Leptospira spp, Seoul
hantavirus, and Rickettsia akari. Epidemiologic studies of zoonotic and vector
borne infections in this population are warranted.
PMID- 29805133
TI - Using Enhanced Primary Care Services in High-risk Latino Populations to Reduce
Disparities in Glycemic Control.
AB - OBJECTIVE: To determine whether enhanced primary care services delivered
preferentially to high-risk patients with diabetes (HbA1c >9%) can effectively
reduce racial/ethnic disparities in glycemic control. METHODS: This retrospective
study analyzed electronic health records to examine changes in pre-vs. post
intervention disparities in HbA1c among Hispanics/Latinos relative to non
Hispanic Whites. RESULTS: Mean HbA1c and prevalence of poorly controlled diabetes
improved in both racial/ethnic groups, but to a greater extent among
Hispanics/Latinos. Mean HbA1c dropped 0.43 points further among Hispanics/Latinos
than non-Hispanic Whites (95% CI, - 0.57 to - 0.29, p<.001). The change in
disparity in prevalence of poorly controlled diabetes, measured as the ratio of
post-vs. pre-intervention prevalence ratios, was 0.89 (95% CI, 0.84 to 0.94,
p<.001). Both measures indicated greater reduction among Hispanics/Latinos
relative to non-Hispanic Whites. DISCUSSION: Enhanced primary care services
directed at high-risk patients may improve glycemic control and reduce
racial/ethnic disparities in diabetes-related outcomes in medically underserved
communities.
PMID- 29805134
TI - Access to Prenatal Care for Pregnant Refugee Women in Toronto, Ontario, Canada:
An Audit Study.
AB - : We assessed whether eligible refugee claimants faced barriers to accessing
prenatal care in the context of changes to Canadian health care policy that
generated multiple categories of refugee health care eligibility. METHODS:
Prenatal care providers in Toronto were contacted twice using standardized
scripts to book appointments for a pregnant non-refugee and refugee claimant,
both eligible for prenatal care. PRIMARY OUTCOME: unequivocal offer of
appointment. Secondary outcome: reasons for refusal of prenatal care. RESULTS:
There was a statistically significantly lower rate of offering prenatal care
(34%) to refugee claimants compared with non-refugees (95%) (p < .001). Lack of
knowledge, confusion about policies, time-consuming administrative requirements,
and slow reimbursement processes were cited as reasons for refusal of care.
CONCLUSIONS: Our results highlighted barriers to accessing prenatal care for
refugee women. There are important future policy implications when considering
the numerous changes to refugee health care policy in the last five years.
PMID- 29805135
TI - The Effect of a Student-Run Free Clinic on Hospital Utilization.
AB - Student-run free clinics (SRFCs) serve uninsured patients and offer unique
educational opportunities. However, the impact of these clinics on hospital
utilization is unclear. In this pre-post observational study, we used
multivariable modeling to test the hypothesis that patients of Shade Tree Clinic,
the SRFC affiliated with Vanderbilt University Medical Center (VUMC), would have
decreased hospital utilization after joining the clinic. To evaluate the
relationship between STC and VUMC, we conducted a sub-analysis of patients
referred to Shade Tree from VUMC using univariate Wilcoxon signed-rank tests.
Multivariable analysis showed patients were less likely to be hospitalized after
joining Shade Tree (p=.04). Univariate analysis showed differences in
hospitalizations among patients referred from VUMC (p=.02). These results suggest
that Shade Tree does not result in an additional burden on the health care system
and may reduce hospital utilization. Additional research with control populations
may further highlight the effect of SRFCs on health care utilization.
PMID- 29805136
TI - Distributional Differences between Family Physicians and General Internists.
AB - While differences in practice style and costs between family medicine and
internal medicine are relatively well known, the differences in geographic
distribution between the two remain underexplored. This study aims to examine
differences in distribution of family physicians and general internists by
geographic and demographic characteristics. Data sources are the 2016 American
Medical Association Physician Master File and 2015 American Community Survey.
Results show that internists are more dominant in urban areas, especially in the
Northeast; they are also more likely to practice in the West and South. Family
physicians are more likely to practice in rural areas and primary care Health
Professional Shortage Areas than internists; their distribution also varies
significantly with respect to areas whose residents are members of racial/ethnic
minority groups, live in poverty, and are uninsured. Such differences should be a
consideration for planning to address regional and national primary care
workforce shortages.
PMID- 29805137
TI - Perspectives of American Indians in Eastern North Carolina on Socio-cultural
Factors that Influence Colorectal Cancer Screening Decisions.
AB - American Indians (AI) have disproportionately high rates of colorectal cancer
(CRC), but there is relatively little research focused on developing AI CRC
screening interventions. We held six focus groups with AIs in rural Eastern U.S.
(n=74) and analyzed the qualitative data from them in order to identify
individual and socio-cultural factors that influence AI CRC screening decisions.
Screening fear and anxiety was a central theme of the focus groups. For example,
participants told stories about how late-stage cancer diagnoses and deaths in the
community contributed to their fears and cancer fatalism. Furthermore, CRC
screening fears were reinforced by health communication norms that limited
productive conversations about CRC screening. Our findings indicate that
culturally adapted interventions, such as CRC screening decision aids, are needed
to help AIs communicate and make informed decisions about CRC screening with
support from family and health care providers. More research is needed on the
influence of these interventions on CRC screening intentions, social norms, and
shared decision-making.
PMID- 29805138
TI - Predictors of Willingness to Participate in Biospecimen Donation and Biobanking
among Appalachian Adults.
AB - BACKGROUND: Rural residents in the U.S., particularly residents of Appalachia,
are underrepresented in biomedical research, limiting the generalizability of
research findings. OBJECTIVE: To examine factors associated with Appalachian
adults' willingness to participate in biospecimen donation and banking. METHODS:
A survey assessing willingness to donate blood, saliva, and buccal specimens and
to have these biospecimens stored for future use in genetic studies was conducted
among 493 Appalachian adults. RESULTS: Most participants 73% (358/493) were
willing to donate one or more biospecimen type; among them, 75% (268/358) were
willing to donate blood, saliva, and buccal specimens. Approximately 61%
(300/493) were willing to have their biospecimens banked and 97% (290/300) of
these were willing to have their samples used for genetic studies. Appalachian
self-identity predicted willingness to donate biospecimens, to have them stored,
and used in genetic studies (OR1.52, 95% CI 1.03-2.24). CONCLUSIONS: Appalachian
adults were generally willing to participate in biobanking research.
PMID- 29805139
TI - How are States Measuring It?: National Survey Findings on State Access Monitoring
Efforts for Fee-for-Service Medicaid Enrollees.
AB - For many years, barriers to access have been a significant concern in the
Medicaid program. In November 2015, the Centers for Medicare & Medicaid Services
issued a Final Rule that requires states to submit access monitoring plans every
three years beginning in October 2016. State access monitoring plans must focus
on five categories of service and three domains for measuring and monitoring
access to care. This article presents findings from the first national survey
that examines what states were doing to measure access to fee-for-service
Medicaid care prior to the Final Rule. Our findings show states generally
collected some type of access to care measure, relied on a multitude of data
sources to assess access, and most commonly used these measures to assess
adequacy of access. Data from this survey provide a basis for understanding how
close states are to complying with the new federal regulation's access monitoring
requirements.
PMID- 29805140
TI - Racial Disparities in PAD-Related Amputation Rates among Native Americans and non
Hispanic Whites: An HCUP Analysis.
AB - INTRODUCTION: This study analyzed the impact of sociodemographic characteristics,
patient comorbidities, risk factors for critical limb ischemia and hospital
characteristics on racial disparities in amputation rates for Native American
patients with peripheral artery disease (PAD). METHODS: The study used the
Healthcare Cost and Utilization Program inpatient discharge data from 2006-2013
for patients with a primary diagnosis of PAD. Multivariable models using the
Blinder-Oaxaca decomposition method were estimated to isolate the impact of
individual covariates to identify determinants of amputation rates for Native
Americans compared with non-Hispanic Whites. RESULTS: Region of the country made
a difference in this analysis with Native Americans residing in the West Census
Region being twice as likely to undergo amputation as non-Hispanic Whites.
CONCLUSIONS: After adjusting for sociodemographic characteristics, patient
comorbidities, and hospital characteristics, Native Americans with PAD who reside
in the West Census Region are substantially more likely to undergo amputation
than are non-Hispanic Whites.
PMID- 29805141
TI - Medical Respite for People Experiencing Homelessness: Financial Impacts with
Alternative Levels of Medicaid Coverage.
AB - Medical respite (MR) programs provide medical care, social services, and a safe
place to recuperate for people experiencing homelessness after hospital
discharge. We examined the financial impact of MR on hospitals and insurers in
states with varying Medicaid coverage. Urban case-study hospitals were selected
from a state with Medicaid expansion under the Affordable Care Act (Connecticut)
and without expansion (Florida). We calculated costs and savings from MR to
hospitals and payers from the hospitals' financial data. These hospitals
currently incur losses of 26% (Conn.) to 48% (Fla.) on inpatient care costs of
patients experiencing homelessness. Medical respite would reduce these losses by
reducing the index length of stay by two days, subsequent emergency department
visits by 45%, and subsequent inpatient admissions by 35%, offsetting $1.81 in
hospital costs for each dollar invested in MR. With appropriate sharing of costs
between hospitals and payers, both would save money from MR.
PMID- 29805142
TI - Social and Behavioral Factors in Sickle Cell Disease: Employment Predicts
Decreased Health Care Utilization.
AB - THE PURPOSE: to determine frequency of health care encounters among people with
sickle cell disease (SCD) seeking treatment for a vaso-occlusive crisis (VOC).
Health care encounters are categorized by visit type (day hospital, ED visit,
hospitalization), prevalence of self-reported behavioral and social factors, and
any associations between behavioral and social factors and health care
encounters. Ninety-five people with SCD were enrolled in a prospective
descriptive study in North Carolina. Patients were interviewed concerning
behavioral-social factors, and a report of health care encounters was produced,
generated by ICD codes associated with VOCs between October 2011 and March 2014.
Among 95 patients, there were a total of 839 day hospital and 1,990 ED visits,
and 1,101 hospital admissions. Prevalent behavioral and social factors were
depression (29%), anxiety (34%), illicit drug use (6%); unstable home situation
(17%); and unemployment (81%). Employment and stable home were significantly
associated with decreased frequency of health care encounters.
PMID- 29805144
TI - Editors' Introduction to the Special Section on Ethics, Policy, and Autologous
Cellular Therapies.
PMID- 29805145
TI - The US Direct-to-Consumer Marketplace for Autologous Stem Cell Interventions.
AB - Hundreds of businesses and clinics in the United States are engaged in direct-to
consumer marketing of unproven and unlicensed stem cell-based interventions. This
essay provides an overview of this marketplace, examines advertising techniques
companies use to draw clients and legitimate marketing claims, and summarizes the
roles the Food and Drug Administration (FDA) and other agencies are supposed to
play in regulating the direct-to-consumer marketplace for stem cell
interventions. The essay also reviews federal regulations, describes how many
businesses selling purported "stem cell treatments" appear to violate these
standards, and considers ethical issues and harms associated with widespread
promotion of unapproved stem cell products.
PMID- 29805146
TI - Challenges in the Regulation of Autologous Stem Cell Interventions in the United
States.
AB - The direct-to-consumer marketing of stem cells for unproven therapeutic uses has
grown rapidly in the United States in recent years. This development is
surprising since the marketing and distribution of human cell-based medical
products is stringently regulated in the US. This essay describes ambiguities,
gaps, and inconsistencies in the current regulatory system that have enabled such
businesses to thrive. In addition to directly challenging the authority of the
Food and Drug Administration (FDA) over autologous cell-based products in the
courts, stem cell marketing firms have also identified and exploited regulatory
loopholes, such as the same surgical procedure exception, which exempts from FDA
oversight human cell-based products that are harvested and reimplanted in a
single procedure. Many businesses also advertise stem cell clinical studies on a
pay-to-participate basis, which requires patients to pay large sums to enroll in
clinical research. This business model not only shifts many of the cost and risks
of medical experimentation from providers to patients but may also indemnify
sellers from fraud litigation. Lastly, stem cell advertisers borrow heavily from
the language and concepts of science-based medicine in their marketing. The
inaccurate promotion of autologous stem cell injections as a form of
"personalized" medicine lends a veneer of credibility and precision that may
encourage patients to undergo procedures of uncertain effectiveness and to
sympathize with stem cell businesses in their efforts to evade oversight.
PMID- 29805147
TI - Between the Local and the Global: Evaluating European regulation of stem cell
regenerative medicine.
AB - Current European regulations hinder the compilation of the evidence that would be
required to bring safe and effective autologous stem cell-based interventions
(SCBIs) into standard clinical care. European agencies have expanded their
regulations to cover all new SCBIs and research. They establish demanding
conditions for cell retrieval, processing, and application. Drawing on empirical
sociological findings from the implementation of the first phase III stem cell
clinical trial in Europe, this article examines ethical problems effected by that
policy, such as that the costs of bringing treatments to market means new
autologous SCBIs may remain untested and that this plays in favor of the growing
direct-to-consumer market, and that the research pathways in regenerative
medicine and the role of clinician-scientists in developing new treatments are
restricted, because the regulations are biased to enable specific SCBIs that are
of interest to industry. This situation contradicts the moral and social concerns
in favor of new treatments and patient interests, which the regulations
supposedly safeguard. To align the aims and effects of policy better, European
regulatory authorities should reconfigure their regulations to advance a fair and
effective governance regime that allows pursuit of all promising SCBIs.
PMID- 29805148
TI - Conditional Approvals for Autologous Stem Cell-Based Interventions:
Conflicting norms and institutional legitimacy.
AB - Demands from patients, health-care professionals, and industry to streamline the
market approval process for promising new therapies has prompted the introduction
of programs that can provide more rapid access to stem cell-based products before
evidence of safety and efficacy has been demonstrated in clinical trials. These
products may be approved for marketing under "conditional authorizations," while
uncertainty around safety and efficacy is reduced through the collection of
clinical data in observational trials or registries. The rationale for
conditional approval programs assumes that patients with unmet medical needs will
benefit with rapid access to novel stem cell therapies. It also assumes that data
gathered in actual clinical contexts is inherently better at reducing uncertainty
than conventional clinical trial methods of demonstrating safety and efficacy.
These assumptions may be overly optimistic and do not account for the broader
societal burdens of prematurely releasing high-cost therapies with uncertain
safety risks and benefits on to health-care markets. This essay focuses on the
introduction of conditional approval programs for autologous somatic stem cell
therapies and argues that these programs may conflict with, and potentially
undermine, the normative commitments of regulatory agencies charged with
promoting population health and protecting vulnerable groups from harm and
exploitation. It concludes with suggestions of how programs designed to
accelerate access to potentially helpful but experimental interventions could be
reconfigured to be more equitable.
PMID- 29805149
TI - Vulnerabilities and the Use of Autologous Stem Cells for Medical Conditions in
Australia.
AB - Australia has a booming market of unproven autologous stem cell- based
interventions (SCBIs) for a wide range of medical conditions. Multiple SCBIs are
provided in private practices outside of formal clinical trials. Some defend the
provision of unproven SCBIs on grounds of patient choice. This essay interrogates
this argument for patient choice and explores patients' vulnerabilities in
clinical practice with autologous SCBIs. While all patients are inherently
vulnerable, the regulatory framework for autologous stem cells in Australia
exacerbates the problems associated with inherent vulnerabilities and generates
situational and pathogenic vulnerabilities. A just state ought to implement
regulatory measures that mitigate vulnerabilities and foster patients' autonomy.
PMID- 29805150
TI - The Need for Beneficence and Prudence in Clinical Innovation with Autologous Stem
Cells.
AB - The term innovation is frequently used as a justification for allowing clinicians
to offer unproven autologous stem cell-based interventions (SCBIs) to their
patients. Proponents of this kind of innovation (which we refer to as "clinical
innovation") argue that physicians should be free to administer whatever
interventions they choose, and informed consumers should be free to receive them.
This article refutes the notion that clinician autonomy and consumer demand are a
sufficient justification for offering patients unproven autologous SCBIs. We
argue that, while clinician and consumer preferences need to be taken seriously,
access to unproven SCBIs can only be fully justified when it is based on a
commitment to beneficence and prudence. We also argue that there is a need for a
clearer distinction between the definition of clinical innovation with autologous
stem cells, which is morally neutral, and its justification, which entails a
commitment to beneficence and prudence. Finally, we argue that regulation of
clinical innovation with autologous stem cells needs to be based on a bioethics
of innovation that attends to beneficence and prudence alongside other ethical
principles.
PMID- 29805151
TI - "I Sleep, But My Heart Is Awake": Negotiating marginal states in life and
death.
AB - This article compares a six-week fetus to a brain-dead boy to illustrate multiple
inconsistencies and flaws in various prominent frameworks for determination of
death by neurological criteria ("brain death"). The authors critically examine
the biological and normative assumptions that distinguish these ethically
ambiguous "marginal states" at the beginning and end of life and find no
consistent biological or ethical criteria that coherently define the fetus as
alive and the boy as dead. The authors note important contradictions in how
medicine, bioethics, and society treat these marginal states, despite their
striking biological and philosophical similarities, and conclude that these
contradictions are ultimately untenable. They propose that rigid societal policy
regarding brain death be abandoned in favor of more permissive policy that
resembles those governing actions at the beginning of life, such as around
abortion and embryonic stem cell research.
PMID- 29805152
TI - Off the Charts: Medical documentation and selective redaction in the age of
transparency.
AB - A growing demand for transparency in medicine has the potential to strain the
doctor-patient relationship. While information can empower patients, unrestricted
patient access to the electronic medical record may have unintended consequences.
Medical documentation is often written in language that is inaccessible to people
without medical training, and without guidance, patients have no way to interpret
the constellation of acronyms, diagnoses, treatments, impressions, and arguments
that appear throughout their own chart. Additionally, full transparency may not
allow physicians the intellectual or clinical freedom they need to authentically
express questions, problematic impressions, and concerns about the patient's
clinical and psychosocial issues. This article examines the ethical challenges of
transparency in the digital era and suggests that selective redaction may serve
as a means to maintain transparency, affirm physician's discretion, and uphold
the core values of the doctor-patient relationship amidst disruptive
technological change.
PMID- 29805153
TI - Virtue, Vice, and "Voracious" Science: How should we approach the ethics of
primate research?.
AB - Philosophical approaches to animal research have typically asked whether nonhuman
animals have rights that would prohibit such research or whether the benefit of
such research on the whole balances out the harms to animals. The professional
ethics approach instead promotes compliance with regulatory norms that aim to
support science progress. In Voracious Science and Vulnerable Animals: A Primate
Scientist's Ethical Journey (2016), John Gluck struggles with issues that relate
to each of these ethical frameworks, but the notion of an ethical "journey" also
raises questions of character that are underdeveloped in animal research ethics.
This essay considers how virtue ethics may allow us to revisit the ethical
significance of the research of one of Gluck's mentors, Harry F. Harlow. Harlow's
torturous, but highly influential, experiments with infant macaques made him one
of the most controversial figures in animal research in the second half of the
20th century. A virtue ethical approach to his case poses a unique set of
questions, including: Was Harlow compassionate or cruel? Why are human-animal
bonds important in ethical primate research? And what is a good life for a
research monkey?
PMID- 29805154
TI - The Futurist and Historian Will See You Now.
AB - Eric Topol's The Patient Will See You Now: The Future of Medicine is in Your
Hands (2015) depicts a medical future in which the patient-doctor relationship is
upended in the context of easily acquired and shared big data and the increasing
computing power necessary to analyze such data. A chief obstacle to this future,
in Topol's rendering, is the entrenched paternalism of the medical profession.
But Topol's thought-provoking assessment misses other key potential obstacles to
the rational and equitable implementation of this (or any) medical future and
would benefit from a more nuanced telling of the history of attempts to empower
patients in this country. Nancy Tomes's Remaking the American Patient: How
Madison Avenue and Modern Medicine Turned Patients into Consumers (2016) traces
the long history of patient consumerism in America. She points out that the
history of attempts to inform and empower patients has often been characterized
by the conflation of advertising with information, the inequitable distribution
of access to information and care, and the prioritization of commercial over
medical utility in the implementation of care. These remain critical obstacles to
an ideal medical future, Topol's or otherwise.
PMID- 29805155
TI - Imanaga's First Method for Reconstruction with Preservation of Mesojejunal
Autonomic Nerves During Pylorus-Preserving Pancreatoduodenectomy.
AB - BACKGROUND Pancreatic surgeries have undergone substantial development.
Pancreaticoduodenectomy and pylorus-preserving pancreatoduodenectomy inherently
require reconstruction. In 1960, Professor Imanaga introduced a reconstructive
technique performed in the order of the gastric remnant, pancreatic duct, and
biliary tree from the viewpoint of physiologic function after
pancreaticoduodenectomy. We herein report our experience with Imanaga's first
method during pylorus-preserving pancreatoduodenectomy and retrospectively
evaluate the short- and long-term outcomes. Technicalities and pitfalls are also
discussed. CASE REPORT Eight patients were evaluated (mean follow-up period, 16.7
+/- 1.0 years). Mesojejunal autonomic nerves were preserved without tension to
the greatest extent possible for reconstruction. Intentional dissection of
regional lymph nodes and nerves was performed in five and two patients,
respectively. During the short-term postoperative period, one patient developed
pancreatic leakage resulting in an intraperitoneal abscess, and endoscopic
transgastric drainage was required. Two patients developed delayed gastric
emptying. In three patients, passage from the duodenojejunostomy to
pancreaticojejunostomy was mechanically disturbed, and endoscopic dilations with
a balloon bougie were repeated. Repeated cholangitis was observed in three
patients. During the long-term postoperative period, neither cachexia nor
sarcopenia was observed, although two patients had diabetes. Two patients were
free from all medications. Three patients who did not undergo intentional
dissection of lymph nodes and nerves showed acceptable short- and long-term
outcomes, although one each developed repeated cholangitis and adhesive ileus
during the short-term period. CONCLUSIONS Imanaga's first reconstruction may have
potential benefits, especially for diseases that do not require intentional
dissection. Adequate mobilization of the pancreatic remnant is important for
successful reconstruction.
PMID- 29805157
TI - Brain inflammatory cascade controlled by gut-derived molecules.
PMID- 29805156
TI - Overexpression of G Protein-Coupled Receptor Kinase 6 (GRK6) Is Associated with
Progression and Poor Prognosis of Papillary Thyroid Carcinoma.
AB - BACKGROUND Approximately 20% of patients with papillary thyroid carcinoma (PTC)
will develop cancer recurrence, but no clinically available biomarker has been
identified. Our study aimed to evaluate the prognostic value of G protein-coupled
receptor kinase 6 (GRK6) in PTCs. MATERIAL AND METHODS We retrospectively
enrolled 108 PTC patients in this study, and explored the expression of GRK6 in
resected tumor samples by RT-qPCR and immunohistochemistry (IHC). The clinical
data were interpreted by chi-square test, univariate analysis, and multivariate
analysis. To investigate the functional mechanisms of GRK6 in regulating PTC
progression, we also performed overexpression and silencing experiments in TPC-1
cells, a cell line generated from PTC tissues. RESULTS RT-qPCR results showed a
higher level of GRK6-mRNA in PTCs than in adjacent thyroid tissues. IHC revealed
a distinct protein expression pattern of GRK6 among PTCs. Accordingly, we
classified patients into low-GRK6 and high-GRK6 groups. The chi-square test
showed that a higher GRK6 was associated with larger tumor size (P=0.045) and
advanced TNM stage (P=0.001). Kaplan-Meier survival curve and log rank test
demonstrated that higher GRK6 predicted poor disease-free survival (DFS) in PTC
patients (P=0.002). Furthermore, Cox regression analysis confirmed that GRK6 was
an independent prognostic factor for a higher recurrence risk of PTCs (P=0.047).
MTT assay and Transwell assay demonstrated that GRK6 overexpression can
significantly enhance tumor proliferation and invasion, which was consistent with
clinical findings. CONCLUSIONS Our data show the oncogenic effects of GRK6 in
promoting PTC progression.
PMID- 29805158
TI - HP1 proteins safeguard embryonic stem cells.
PMID- 29805159
TI - A liquid crystal that could make your television screen brighter and clearer.
PMID- 29805160
TI - Ants' route-finding abilities put mapping software to shame.
PMID- 29805161
TI - The giant rock that wiped out tree-dwelling birds.
PMID- 29805163
TI - The brain waves that make frogs pitch perfect.
PMID- 29805162
TI - Synthetic yeast genome reveals its versatility.
PMID- 29805164
TI - Sticky tape on historic artworks comes clean.
PMID- 29805165
TI - The Galaxy's full glory revealed.
PMID- 29805166
TI - Streams may skew carbon cycle as climate warms.
PMID- 29805167
TI - 'Thank you' has little currency worldwide.
PMID- 29805168
TI - Tracing Zika's uncharted spread.
PMID- 29805172
TI - Molecular dynamics simulated by photons.
PMID- 29805174
TI - Spinning on the edge of graphene.
PMID- 29805175
TI - Deciphering the catalytic mechanism of bacterial ubiquitination.
PMID- 29805176
TI - Beyond the tip of the iceberg; a new view of the diversity of sulfite- and
sulfate-reducing microorganisms.
AB - Sulfite-reducing and sulfate-reducing microorganisms (SRM) play important roles
in anoxic environments, linking the sulfur and carbon cycles. With climate
warming, the distribution of anoxic habitats conductive to dissimilatory SRM is
expanding. Consequently, we hypothesize that novel SRM are likely to emerge from
the rare biosphere triggered by environmental changes. Using the dsrB gene as a
molecular marker of sulfite-reducers and sulfate-reducers, we analyzed the
diversity, community composition, and abundance of SRM in 200 samples
representing 14 different ecosystems, including marine and freshwater
environments, oil reservoirs, and engineered infrastructure. Up to 167,397
species-level OTUs affiliated with 47 different families were identified. Up to
96% of these can be considered as "rare biosphere SRM". One third of the dsrB
genes identified belonged to uncharacterized lineages. The dsrB sequences
exhibited a strong pattern of selection in different ecosystems. These results
expand our knowledge of the biodiversity and distribution of SRM, with
implications for carbon and sulfur cycling in anoxic ecosystems.
PMID- 29805177
TI - Counting America's First Peoples.
AB - The descendants of the First Peoples of the Americas (labeled "American Indians
and Alaska Natives" in the federal definition) are a particularly challenging
group to count in censuses. In this review, I describe some enumeration issues
and then outline what we have learned about American Indians and Alaska Natives
from efforts that rely on individuals' answers to census questions on race,
ancestry, ethnicity, and tribe. Those who do not report a tribe and those who
change their race response from one census to another complicate these efforts.
Tribal self-enumeration and indigenous data sovereignty may improve data about
some portions of the population. Census and survey enumeration efforts should
continue to separate the concepts of race, ancestry, and tribe lest the various
sub-populations become indistinguishable in the data, making the data much less
useful and possibly misleading.
PMID- 29805178
TI - Do Schools Reinforce or Reduce Learning Gaps between Advantaged and Disadvantaged
Students? Evidence from Vietnam and Peru.
AB - This paper examines - for two developing countries, Vietnam and Peru - whether
disadvantaged children learn less than advantaged children when both types of
children are enrolled in the same school. This is done by estimating education
production functions that contain two school fixed effects for each school, one
for advantaged children and one for disadvantaged children. The paper examines
six different definitions of advantage, based on household wealth, cognitive
skills at age 5, gender, ethnicity (Peru only), maternal education, and
nutritional status. The results show no sign that schools are less effective for
disadvantaged groups in Vietnam; indeed if anything one traditionally advantaged
group, males, seems to do worse in school than the corresponding disadvantaged
group, females. In contrast, in Peru ethnic minority students and students who
enter primary school with low cognitive skills appear to learn less in school
than ethnic majority students and students with relatively high cognitive skills,
respectively, who are enrolled in the same school.
PMID- 29805179
TI - Performing Contrast Analysis in Factorial Designs: From NHST to Confidence
Intervals and Beyond.
AB - Because of the continuing debates about statistics, many researchers may feel
confused about how to analyze and interpret data. Current guidelines in
psychology advocate the use of effect sizes and confidence intervals (CIs).
However, researchers may be unsure about how to extract effect sizes from
factorial designs. Contrast analysis is helpful because it can be used to test
specific questions of central interest in studies with factorial designs. It
weighs several means and combines them into one or two sets that can be tested
with t tests. The effect size produced by a contrast analysis is simply the
difference between means. The CI of the effect size informs directly about
direction, hypothesis exclusion, and the relevance of the effects of interest.
However, any interpretation in terms of precision or likelihood requires the use
of likelihood intervals or credible intervals (Bayesian). These various intervals
and even a Bayesian t test can be obtained easily with free software. This
tutorial reviews these methods to guide researchers in answering the following
questions: When I analyze mean differences in factorial designs, where can I find
the effects of central interest, and what can I learn about their effect sizes?
PMID- 29805181
TI - Developing Hope Among Impoverished Children: Using Child Self-Portraits to
Measure Poverty Program Impacts.
AB - The role of psychological attributes such as hope in escaping poverty has
attracted increasing attention. Crucial questions include the impact of early
development of positive psychological attributes on socioeconomic outcomes, and
whether interventions to reduce poverty increase such attributes. We examine the
impact of international child sponsorship on the psychology of Indonesian
children by employing a novel program evaluation technique-a quantified analysis
of children's self-portraits. To identify causal effects, we exploit an
eligibility rule that established a maximum age for participation. We find that
international sponsorship significantly raises sponsored children's levels of
happiness (0.42sigma), self-efficacy (0.29sigma), and hope (0.66sigma).
PMID- 29805180
TI - Acyclic Cucurbit[n]uril-type Receptors: Preparation, Molecular Recognition
Properties and Biological Applications.
AB - This article traces the development of acyclic cucurbit[n]uril-type receptors
with a focus on work from the Isaacs group. First, we describe the synthesis of
methylene bridged glycoluril dimers capped with aromatic sidewalls which allowed
us to probe the interconversion of the S- and C-shaped dimers which is a
fundamental step in CB[n] formation. The C-shaped compounds were found to undergo
discrete self-assembly (dimerization) in both water and organic solvents which
lead us to investigate multicomponent self-sorting systems. We supressed the self
association of 8 by electrostatic repulsion in the putative dimer which allowed
expression of its innate molecular recognition properties toward methylene blue
and related planar cationic dyes. Longer glycoluril oligomers (trimer - hexamer,
acyclic decamer) were prepared by starving the CB[n]-forming reaction of
formaldehyde. The longer oligomers (e.g. 15 and 16) bind to alkylammonium ions in
water ~ 100-fold weaker than macrocyclic CB[n] highlighting the high
preorganization of the acyclic but polycyclic framework. We prepared a wide
variety of acyclic CB[n] compounds (wall variants, solubilizing group variants,
linker variants) based on glycoluril trimer and tetramer. In particular, 26 and
27 have been shown to possess a wide variety of chemically and biologically
interesting functions. For example, 26 was used to formulate the insoluble drug
Albendazole and treat mice bearing SK-OV-3 xenograft tumors. Compound 27 binds
tightly to the neuromuscular blocking agents rocuronium, vecuronium, and
cisatracurium and acts as an in vivo reversal agent for these compounds in
anesthetized rats. Container 27 was also found to modulate the hyperlocomotive
effect of rats that had been treated with methamphetamine. Finally, 38 has been
used as a cross reactive component of sensor arrays that are capable of
classifying and quantifying cancer related nitroamines and a range of over the
counter drugs. Overall, the work demonstrates that acyclic CB[n]-type compounds
are nicely pre-organized and therefore retain the essential aspects of the
recognition properties of macrocyclic CB[n] but allow for more straightforward
tailoring of structure and solubility that enables a variety of chemically and
biologically important applications.
PMID- 29805182
TI - Impact of Adult Sons' Incarceration on African American Mothers' Psychological
Distress.
AB - This longitudinal study examines the effect of sons' incarceration on their
mothers' psychological distress. Interviews were conducted over the life course
with a community cohort of African American mothers who had children in first
grade in 1966 - 1967 when the study began (N = 615). Thirty years later, their
sons had significant rates of incarceration (22.4%). Structural equation modeling
showed that the more recent the incarceration, the greater the mothers'
psychological distress, even controlling for earlier socioeconomic status and
psychological well-being. Financial difficulties and greater burden of
grandparenting are associated with having a son incarcerated and they mediate the
relationship between the incarceration and a mother's psychological distress.
Results suggest that incarceration has important effects on family members' well
being.
PMID- 29805183
TI - Understanding the Mechanisms of Desistance at the Intersection of Race, Gender,
and Neighborhood Context.
AB - Objectives: This study tests theorized mechanisms of desistance, and whether the
process of desistance is conditioned by social structural position. Methods: We
investigate how marriage promotes desistance from crime among urban African
American males raised in the Woodlawn community, a disadvantaged neighborhood in
Chicago. Using hierarchical linear modeling, we test the resiliency of the
marriage effect by observing offending trajectories following marital
dissolution; is the marriage effect conditional upon staying married, indicating
situational effects? or does the effect persist when marriage is taken away,
indicating enduring effects? Further, we test if the process of desistance is
conditional upon contextual disadvantage. Results: While initial findings show an
increase in violent and property offending upon divorce, further analysis shows
evidence that this effect differs by neighborhood structural context; the
increase in offending upon divorce is apparent only for African American men who
experience continued disadvantage across the life course. Those who moved to
relatively more advantaged areas by adulthood show no increase in offending upon
marital dissolution. Conclusions: How marriage matters for desistance is
partially influenced by social structural position; context matters. These
findings invigorate criminological research on the mechanisms driving the
marriage effect and provide insight into the interactive nature of person and
context.
PMID- 29805184
TI - Synthesis of High Molecular Weight Poly(glycerol monomethacrylate) via RAFT
Emulsion Polymerization of Isopropylideneglycerol Methacrylate.
AB - High molecular weight water-soluble polymers are widely used as flocculants or
thickeners. However, synthesis of such polymers via solution polymerization
invariably results in highly viscous fluids, which makes subsequent processing
somewhat problematic. Alternatively, such polymers can be prepared as colloidal
dispersions; in principle, this is advantageous because the particulate nature of
the polymer chains ensures a much lower fluid viscosity. Herein we exemplify the
latter approach by reporting the convenient one-pot synthesis of high molecular
weight poly(glycerol monomethacrylate) (PGMA) via the reversible addition
fragmentation chain transfer (RAFT) aqueous emulsion polymerization of a water
immiscible protected monomer precursor, isopropylideneglycerol methacrylate
(IPGMA) at 70 degrees C, using a water-soluble poly(glycerol monomethacrylate)
(PGMA) chain transfer agent as a steric stabilizer. This formulation produces a
low-viscosity aqueous dispersion of PGMA-PIPGMA diblock copolymer nanoparticles
at 20% solids. Subsequent acid deprotection of the hydrophobic core-forming
PIPGMA block leads to particle dissolution and affords a viscous aqueous solution
comprising high molecular weight PGMA homopolymer chains with a relatively narrow
molecular weight distribution. Moreover, it is shown that this latex precursor
route offers an important advantage compared to the RAFT aqueous solution
polymerization of glycerol monomethacrylate since it provides a significantly
faster rate of polymerization (and hence higher monomer conversion) under
comparable conditions.
PMID- 29805186
TI - HIGH-DIMENSIONAL A-LEARNING FOR OPTIMAL DYNAMIC TREATMENT REGIMES.
AB - Precision medicine is a medical paradigm that focuses on finding the most
effective treatment decision based on individual patient information. For many
complex diseases, such as cancer, treatment decisions need to be tailored over
time according to patients' responses to previous treatments. Such an adaptive
strategy is referred as a dynamic treatment regime. A major challenge in deriving
an optimal dynamic treatment regime arises when an extraordinary large number of
prognostic factors, such as patient's genetic information, demographic
characteristics, medical history and clinical measurements over time are
available, but not all of them are necessary for making treatment decision. This
makes variable selection an emerging need in precision medicine. In this paper,
we propose a penalized multi-stage A-learning for deriving the optimal dynamic
treatment regime when the number of covariates is of the non-polynomial (NP)
order of the sample size. To preserve the double robustness property of the A
learning method, we adopt the Dantzig selector which directly penalizes the A
leaning estimating equations. Oracle inequalities of the proposed estimators for
the parameters in the optimal dynamic treatment regime and error bounds on the
difference between the value functions of the estimated optimal dynamic treatment
regime and the true optimal dynamic treatment regime are established. Empirical
performance of the proposed approach is evaluated by simulations and illustrated
with an application to data from the STAR*D study.
PMID- 29805187
TI - New anatomical data and taxonomical notes on Metafruticicolinae (Pulmonata,
Hygromiidae).
AB - Based on the study of the reproductive tract of Metafruticicola occidentalis
Subai, 1999, it is shown that the species belongs to a new subgenus ( Elbasania
subgen. nov.). For comparison, the anatomy of seven species of the genus
Metafruticicola (including type species) has been studied. It is shown that the
species of this genus are clearly distinguished from one another by the structure
of the copulative apparatus (mainly of penial papilla). Comparison of the genus
Metafruticicola with the representatives of other genera of Hygromiidae that have
no accessory organs on the vagina is conducted. We suggest that the genus
Cyrnotheba Germain, 1929 may belong to the subfamily Metafruticicolinae while the
genus Caucasocressa does not belong to this subfamily and might be included in
the subfamily Monachainae. Problems of taxonomic structure of the genus
Metafruticicola are briefly discussed.
PMID- 29805185
TI - Pathophysiology of avian intestinal ion transport.
AB - The gut has great importance for the commercial success of poultry production.
Numerous ion transporters, exchangers, and channels are present on both the
apical and the basolateral membrane of intestinal epithelial cells, and their
differential expression along the crypt-villus axis within the various intestinal
segments ensures efficient intestinal absorption and effective barrier function.
Recent studies have shown that intensive production systems, microbial exposure,
and nutritional management significantly affect intestinal physiology and
intestinal ion transport. Dysregulation of normal intestinal ion transport is
manifested as diarrhoea, malabsorption, and intestinal inflammation resulting
into poor production efficiency. This review discusses the basic mechanisms
involved in avian intestinal ion transport and the impact of development during
growth, nutritional and environmental alterations, and intestinal microbial
infections on it. The effect of intestinal microbial infections on avian
intestinal ion transport depends on factors such as host immunity, pathogen
virulence, and the mucosal organisation of the particular intestinal segment.
PMID- 29805188
TI - Gender and Country Differences in Alcohol-Aggression Expectancy and Alcohol
Related Intimate Partner Violence.
AB - Alcohol use is associated with a higher rate of violent offending among males and
a higher rate of violent victimization among females, especially for Intimate
Partner Violence (IPV). Using comparable self-reported data from the GENACIS
Project, the present study examines between the United States (n=2,363) and Japan
(n=1,660) whether the expected gender difference in alcohol-related IPV is
explained by alcohol-aggression expectancy. The results indicate that though
males are more likely than females to expect that alcohol would make them more
aggressive, alcohol-aggression expectancy has a very little to do with the gender
difference in alcohol-related IPV. In both countries, overall, alcohol use of
males, irrespective of their alcohol-aggression expectancy, most strongly and
directly accounted for the gender difference in alcohol-related IPV.
PMID- 29805189
TI - Parent-Adolescent Closeness, Family Belonging, and Adolescent Well-Being Across
Family Structures.
AB - Adolescents in stepfamilies and single-parent families tend to report lower
levels of well-being than adolescents who live with two biological parents. Using
data from Add Health (n = 16,684), the present study builds upon this literature
by examining family-level predictors of adolescent depressive symptoms,
delinquency, failing a class, heavy alcohol use, tobacco use, and marijuana use.
We focus on feelings of family belonging as a predictor of adolescent well-being
and find that this measure is significantly associated with well-being in all
family types, and particularly in two-biological-parent families. In addition,
results indicate that family belonging mediates associations between parent
adolescent closeness and well-being for most outcomes.
PMID- 29805190
TI - Gay-Straight Alliance Involvement and Youths' Participation in Civic Engagement,
Advocacy, and Awareness-Raising.
AB - Civic engagement among lesbian, gay, bisexual, transgender, or questioning
(LGBTQ) youth and heterosexual cisgender allies can challenge oppressive systems.
Among 295 youth in 33 Gay-Straight Alliances (GSAs; 69% LGBQ, 68% cisgender
female, 68% white, Mage = 16.07), we examined whether greater GSA involvement was
associated with greater general civic engagement, as well as participation in
greater LGBTQ-specific advocacy and awareness-raising efforts. Further, we tested
whether these associations were partly mediated through members' sense of agency.
Greater GSA involvement was associated with greater civic engagement, advocacy,
and awareness-raising; associations did not differ based on sexual orientation or
gender identity. Finally, the association between GSA involvement and civic
engagement was partially mediated through youths' greater sense of agency. Agency
did not mediate the association between GSA involvement and engagement in
advocacy or awareness-raising efforts. The results suggest GSAs are settings with
potential to foster students' capacity to be active and engaged citizens.
PMID- 29805191
TI - Clinicians' Perspectives of Patient Engagement in Post-Acute Care: A Social
Ecological Approach.
AB - Aims: To identify rehabilitation providers' perspectives on barriers and
facilitators of patient engagement in hip fracture patients in skilled nursing
facilities (SNFs) within the social ecological model. Methods: We conducted 13
focus groups in SNFs throughout Los Angeles County comprised of rehabilitation
staff (n=99). Focus groups were audio-recorded and transcribed. A secondary
analysis of themes related to patient engagement were identified and organized
within the social ecological model. Results: Clinicians identified barriers and
facilitators of patient engagement across all levels of the social ecological
model: public policy (e.g., insurance), organizational (e.g., facility culture),
interpersonal (e.g., clinicians fostering self-reflection), and intrapersonal
(e.g., patients' anxiety). Conclusions: Examining barriers and facilitators to
patient engagement has highlighted areas which need to be sustained and improved.
Thus, these findings future efforts to enhance patient engagement in order can to
optimize patient healthcare decisions.
PMID- 29805193
TI - Determination of optical properties, drug concentration, and tissue oxygenation
in human pleural tissue before and after Photofrin-mediated photodynamic therapy.
AB - PDT efficacy depends on the concentration of photosensitizer, oxygen, and light
delivery in patient tissues. In this study, we measure the in-vivo distribution
of important dosimetric parameters, namely the tissue optical properties
(absorption MUa (lambda) and scattering MUs ' (lambda) coefficients), photofrin
concentration (cphotofrin), blood oxygen saturation (%StO2), and total hemoglobin
concentration (THC), before and after PDT. We characterize the inter- and intra
patient heterogeneity of these quantities and explore how these properties change
as a result of PDT treatment. The result suggests the need for real-time
dosimetry during PDT to optimize the treatment condition depending on the optical
and physiological properties.
PMID- 29805192
TI - DFT Investigation of Suzuki-Miyaura Reactions with Aryl Sulfamates Using a
Dialkylbiarylphosphine-Ligated Palladium Catalyst.
AB - Aryl sulfamates are valuable electrophiles for cross-coupling reactions because
they can easily be synthesized from phenols and can act as directing groups for C
H bond functionalization prior to cross-coupling. Recently, it was demonstrated
that (1-tBu-Indenyl)Pd(XPhos)Cl (XPhos = 2-dicyclohexylphosphino-2',4',6'
triisopropylbiphenyl) is a highly active precatalyst for room-temperature Suzuki
Miyaura couplings of a variety of aryl sulfamates. Herein, we report an in-depth
computational investigation into the mechanism of Suzuki-Miyaura reactions with
aryl sulfamates using an XPhos-ligated palladium catalyst. Particular emphasis is
placed on the turnover-limiting oxidative addition of the aryl sulfamate C-O
bond, which has not been studied in detail previously. We show that bidentate
coordination of the XPhos ligand via an additional interaction between the biaryl
ring and palladium plays a key role in lowering the barrier to oxidative
addition. This result is supported by NBO and NCI-Plot analysis on the transition
states for oxidative addition. After oxidative addition, the catalytic cycle is
completed by transmetalation and reductive elimination, which are both calculated
to be facile processes. Our computational findings explain a number of
experimental results, including why elevated temperatures are required for the
coupling of phenyl sulfamates without electron-withdrawing groups and why aryl
carbamate electrophiles are not reactive with this catalyst.
PMID- 29805194
TI - The influence of muscle pennation angle and cross-sectional area on contact
forces in the ankle joint.
AB - Data about a muscle's fibre pennation angle and physiological cross-sectional
area are used in musculoskeletal modelling to estimate muscle forces, which are
used to calculate joint contact forces. For the leg, muscle architecture data are
derived from studies that measured pennation angle at the muscle surface, but not
deep within it. Musculoskeletal models developed to estimate joint contact loads
have usually been based on the mean values of pennation angle and physiological
cross-sectional area. Therefore, the first aim of this study was to investigate
differences between superficial and deep pennation angles within each muscle
acting over the ankle and predict how differences may influence muscle forces
calculated in musculoskeletal modelling. The second aim was to investigate how
inter-subject variability in physiological cross-sectional area and pennation
angle affects calculated ankle contact forces. Eight cadaveric legs were
dissected to excise the muscles acting over the ankle. The mean surface and deep
pennation angles, fibre length and physiological cross-sectional area were
measured. Cluster analysis was applied to group the muscles according to their
architectural characteristics. A previously validated OpenSim model was used to
estimate ankle muscle forces and contact loads using architecture data from all
eight limbs. The mean surface pennation angle for soleus was significantly
greater (54%) than the mean deep pennation angle. Cluster analysis revealed three
groups of muscles with similar architecture and function: deep plantarflexors and
peroneals, superficial plantarflexors and dorsiflexors. Peak ankle contact force
was predicted to occur before toe-off, with magnitude greater than five times
bodyweight. Inter-specimen variability in contact force was smallest at peak
force. These findings will help improve the development of experimental and
computational musculoskeletal models by providing data to estimate force based on
both surface and deep pennation angles. Inter-subject variability in muscle
architecture affected ankle muscle and contact loads only slightly. The link
between muscle architecture and function contributes to the understanding of the
relationship between muscle structure and function.
PMID- 29805195
TI - Use of an End-to-End-Simulator to Analyze CYGNSS.
AB - Tropical convection during the onset of two Madden-Julian oscillation (MJO)
events, in October and December of 2011, was simulated using the Weather Research
and Forecasting (WRF) Model. Observations from the Dynamics of the MJO (DYNAMO)
field campaign were assimilated into the WRF Model for an improved simulation of
the mesoscale features of tropical convection. The WRF simulations with the
assimilation of DYNAMO data produced realistic representations of mesoscale
convection related to westerly wind bursts (WWBs) as well as downdraft-induced
gust fronts. An end-to-end simulator (E2ES) for the Cyclone Global Navigation
Satellite System (CYGNSS) mission was then applied to the WRF dataset, producing
simulated CYGNSS near-surface wind speed data. The results indicated that CYGNSS
could detect mesoscale wind features such as WWBs and gust fronts even in the
presence of simulated heavy precipitation. This study has two primary conclusions
as a consequence: 1) satellite simulators could be used to examine a mission's
capabilities for accomplishing secondary tasks and 2) CYGNSS likely will provide
benefits to future tropical oceanic field campaigns that should be considered
during their planning processes.
PMID- 29805196
TI - Pulsed operation of a miniature scalar optically pumped magnetometer.
AB - A scalar magnetic field sensor based on a millimeter-size 87Rb vapor cell is
described. The magnetometer uses nearly copropagating pump and probe laser beams,
amplitude modulation of the pump beam, and detection through monitoring the
polarization rotation of the detuned probe beam. The circularly polarized pump
laser resonantly drives a spin precession in the alkali atoms at the Larmor
frequency. A modulation signal on the probe laser polarization is detected with a
lock-in amplifier. Since the Larmor precession is driven all-optically, potential
cross talk between sensors is minimized. And since the pump light is turned off
during most of the precession cycle, large offsets of the resonance, typically
present in a single-beam Bell-Bloom scheme, are avoided. At the same time,
relatively high sensitivities can be reached even in millimeter-size vapor cells:
The magnetometer achieves a sensitivity of 1 pT/Hz1/2 in a sensitive volume of 16
mm3, limited by environmental noise. When a gradiometer configuration is used to
cancel the environmental noise, the magnetometer sensitivity reaches 300
fT/Hz1/2. We systematically study the dependence of the magnetometer performance
on the optical duty cycles of the pump light and find that better performance is
achieved with shorter duty cycles, with the highest values measured at 1.25% duty
cycle.
PMID- 29805198
TI - The Measure of Verbally Expressed Emotion: Development and factor structure of a
scale designed to assess comfort expressing feelings to others.
AB - Emotional expressiveness, which refers to the extent to which people outwardly
display their emotions, is associated with various indices of well-being. This
study presents findings on the Measure of Verbally Expressed Emotion (MoVEE), an
instrument designed to assess comfort expressing both positive and negative
emotions to others. A series of studies is described in this paper: 1) pilot
study which included 60 undergraduates (69.4% female) from a small college, 2)
exploratory factor analytic study which included 835 undergraduates (68% female)
from a large university, and 3) confirmatory factor analytic and validity study
which included 449 undergraduates (73.3% female). The initial MoVEE included 57
items assessing comfort expressing seven emotional states; the final MoVEE,
supported by both an EFA and CFA, is a 19-item measure assessing comfort
expressing love, happiness, anger, and sadness. Analyses suggest that the MoVEE
is a valid measure that may be a useful tool in clinical settings.
PMID- 29805199
TI - The role of independence and stationarity in probabilistic models of binary
choice.
AB - After more then 50 years of probabilistic choice modeling in Economics,
Marketing, Political Science, Psychology, and related disciplines, theoretical
and computational advances give scholars access to a sophisticated array of
modeling and inference resources. We review some important, but perhaps often
overlooked, properties of major classes of probabilistic choice models. For
within-respondent applications, we discuss which models require repeated choices
by an individual to be independent and response probabilities to be stationary.
We show how some model classes, but not others, are invariant over variable
preferences, variable utilities, or variable choice probabilities. These models,
but not others, accommodate pooling of responses or averaging of choice
proportions within participant when underlying parameters vary across
observations. These, but not others, permit pooling/averaging across respondents
in the presence of individual differences. We also review the role of
independence and stationarity in statistical inference, including for
probabilistic choice models that, themselves, do not require those properties.
PMID- 29805197
TI - Syndromes of Self-Reported Psychopathology for Ages 18-59 in 29 Societies.
AB - This study tested the multi-society generalizability of an eight-syndrome
assessment model derived from factor analyses of American adults' self-ratings of
120 behavioral, emotional, and social problems. The Adult Self-Report (ASR;
Achenbach and Rescorla 2003) was completed by 17,152 18-59-year-olds in 29
societies. Confirmatory factor analyses tested the fit of self-ratings in each
sample to the eight-syndrome model. The primary model fit index (Root Mean Square
Error of Approximation) showed good model fit for all samples, while secondary
indices showed acceptable to good fit. Only 5 (0.06%) of the 8,598 estimated
parameters were outside the admissible parameter space. Confidence intervals
indicated that sampling fluctuations could account for the deviant parameters.
Results thus supported the tested model in societies differing widely in social,
political, and economic systems, languages, ethnicities, religions, and
geographical regions. Although other items, societies, and analytic methods might
yield different results, the findings indicate that adults in very diverse
societies were willing and able to rate themselves on the same standardized set
of 120 problem items. Moreover, their self-ratings fit an eight-syndrome model
previously derived from self-ratings by American adults. The support for the
statistically derived syndrome model is consistent with previous findings for
parent, teacher, and self-ratings of 11/2-18-year-olds in many societies. The ASR
and its parallel collateral-report instrument, the Adult Behavior Checklist
(ABCL), may offer mental health professionals practical tools for the multi
informant assessment of clinical constructs of adult psychopathology that appear
to be meaningful across diverse societies.
PMID- 29805200
TI - A new Mumford-Shah total variation minimization based model for sparse-view x-ray
computed tomography image reconstruction.
AB - Total variation (TV) minimization for the sparse-view x-ray computer tomography
(CT) reconstruction has been widely explored to reduce radiation dose. However,
due to the piecewise constant assumption for the TV model, the reconstructed
images often suffer from over-smoothness on the image edges. To mitigate this
drawback of TV minimization, we present a Mumford-Shah total variation (MSTV)
minimization algorithm in this paper. The presented MSTV model is derived by
integrating TV minimization and Mumford-Shah segmentation. Subsequently, a
penalized weighted least-squares (PWLS) scheme with MSTV is developed for the
sparse-view CT reconstruction. For simplicity, the proposed algorithm is named as
'PWLS-MSTV.' To evaluate the performance of the present PWLS-MSTV algorithm, both
qualitative and quantitative studies were conducted by using a digital XCAT
phantom and a physical phantom. Experimental results show that the present PWLS
MSTV algorithm has noticeable gains over the existing algorithms in terms of
noise reduction, contrast-to-ratio measure and edge-preservation.
PMID- 29805201
TI - Saturation recovery EPR spin-labeling method for quantification of lipids in
biological membrane domains.
AB - The presence of integral membrane proteins induces the formation of distinct
domains in the lipid bilayer portion of biological membranes. Qualitative
application of both continuous wave (CW) and saturation recovery (SR) electron
paramagnetic resonance (EPR) spin-labeling methods allowed discrimination of the
bulk, boundary, and trapped lipid domains. A recently developed method, which is
based on the CW EPR spectra of phospholipid (PL) and cholesterol (Chol) analog
spin labels, allows evaluation of the relative amount of PLs (% of total PLs) in
the boundary plus trapped lipid domain and the relative amount of Chol (% of
total Chol) in the trapped lipid domain [M. Raguz, L. Mainali, W. J. O'Brien, and
W. K. Subczynski (2015), Exp. Eye Res., 140:179-186]. Here, a new method is
presented that, based on SR EPR spin-labeling, allows quantitative evaluation of
the relative amounts of PLs and Chol in the trapped lipid domain of intact
membranes. This new method complements the existing one, allowing acquisition of
more detailed information about the distribution of lipids between domains in
intact membranes. The methodological transition of the SR EPR spin-labeling
approach from qualitative to quantitative is demonstrated. The abilities of this
method are illustrated for intact cortical and nuclear fiber cell plasma
membranes from porcine eye lenses. Statistical analysis (Student's t-test) of the
data allowed determination of the separations of mean values above which
differences can be treated as statistically significant (P <= 0.05) and can be
attributed to sources other than preparation/technique.
PMID- 29805202
TI - Visuospatial Working Memory as a Fundamental Component of the Eye Movement
System.
AB - Humans make frequent movements of the eyes (saccades) to explore the visual
environment. Here we argue that visuo-spatial working memory (VSWM) is a
fundamental component of the eye movement system. Memory representations in VSWM
are functionally integrated at all stages of orienting, from selection of the
target, to maintenance of visual features across the saccade, to processes
supporting the experience of perceptual continuity after the saccade, to the
correction of gaze when the eyes fail to land on the intended object. VSWM is
finely tuned to meet the challenges of active vision.
PMID- 29805203
TI - Immunohistochemical, histopathological study and chemoprotective effect of
Solanum nigrum in N-nitrosodiethylamine-induced hepatocellular carcinoma in
Wistar rats.
AB - Background and Aim: Cancer is a devastating disease with a severe impact on the
physical and psychological well-being of patients. Hepatocellular carcinoma (HCC)
has been reported in various species of animals including dogs, cats, sheep, and
pigs. The present study aimed to study the immunohistochemical and
histopathological changes andchemoprotective effect of aqueous and alcoholic
extracts of Solanum nigrum on N-nitrosodiethylamine (NDEA)-induced HCC rat model.
Materials and Methods: Eighty-two male Wistar rats of 15 weeks of age weighing
200-250 g were selected for the experiment. They were randomly divided into ten
groups. Group I served as normal control consisted of healthy rats. HCC was
induced in Group II, IV, V, VI, VII, and X rats using NDEA as inducing agent
followed by phenobarbitone as a promoter for 16 weeks. Group II rats were kept
untreated as HCC control. Group III rats were kept as vehicle control (0.05%
Sodium bicarbonate). Group IV and V rats were treated with aqueous extract of S.
nigrum at 200 mg/kg and 400 mg/kg, respectively, and Group VI and VII rats were
treated with an alcoholic extract of S. nigrum at 200 mg/kg and 400 mg/kg,
respectively, daily orally for 28 days. Group X rats were treated withsorafenib
as reference drug at a dose of 11.4 mg/kg daily orally for 28 days. Group VIII
and IX rats were kept as aqueous and alcoholic extract control for studying the
effect of the same on normal rats. Liver samples were collected to study the
gross and histopathological lesions and the activity of cleaved caspase-3 and
chemopreventive effect of aqueous and alcoholic extracts of S. nigrum on HCC.
Results: The liver sections of rats from HCC control (Group II) showed loss of
lobular architecture, necrosis, fatty change, enlarged and darkened nuclei with
variable size, dilatation of hepatic sinusoids with Kupffer cell hyperplasia,
dilatation and proliferation of bile duct, and intranuclear vacuoles and also
showed the presence of more than one nucleolus. Administration of alcoholic
extract of S. nigrum and sorafenib to NDEA/phenobarbital-treated rats reduced the
severity of lesions in the liver. Immunohistochemical analysis of liver sections
for caspase-3-positive cells of hepatic cancer-induced group showed
immunoreactivity to rarely few. The immunoreactivity of the hepatocytes treated
with a higher dose of alcoholic extract of S. nigrum was limited and was
comparable to a standard drug, sorafenib. Conclusion: Oral administration of
aqueous and alcoholic extracts of S. nigrum for 28 days showed significant
rejuvenation in the structure of the liver in the histopathological section in a
dose-dependent manner in rats.
PMID- 29805205
TI - Molecular typing of Staphylococcus aureus based on coagulase gene.
AB - Aim: This study was conducted to study the coagulase gene-based genetic diversity
of Staphylococcus aureus, isolated from different samples of cattle using
restriction fragment length polymorphism (RFLP) and their sequence-based
phylogenetic analysis. Materials and Methods: A total of 192 different samples
from mastitic milk, nasal cavity, and pus from skin wounds of cattle from
Military Dairy Farm, Jammu, India, were screened for the presence of S. aureus.
The presumptive isolates were confirmed by nuc gene-based polymerase chain
reaction (PCR). The confirmed S. aureus isolates were subjected to coagulase
(coa) gene PCR. Different coa genotypes observed were subjected to RFLP using
restriction enzymes Hae111 and Alu1, to obtain the different restriction
patterns. One isolate from each restriction pattern was sequenced. These
sequences were aligned for maximum homology using the Bioedit
softwareandsimilarity in the sequences was inferred with the help of sequence
identity matrix. Results: Of 192 different samples,39 (20.31%) isolates of S.
aureus were confirmed by targeting nuc gene using PCR. Of 39 S. aureus isolates,
25 (64.10%) isolates carried coa gene. Four different genotypes of coa gene,
i.e., 514 bp, 595 bp, 757 bp, and 802 bp were obtained. Two coa genotypes, 595 bp
(15 isolates) and 802 bp (4 isolates), were observed in mastitic milk. 514 bp (2
isolates) and 757 bp (4 isolates) coa genotypes were observed from nasal cavity
and pus from skin wounds, respectively. On RFLP using both restriction enzymes,
four different restriction patterns P1, P2, P3, and P4 were observed. On
sequencing, four different sequences having unique restriction patterns were
obtained. The most identical sequences with the value of 0.810 were found between
isolate S. aureus 514 (nasal cavity) and S. aureus 595 (mastitic milk), and thus,
they are most closely related. While as the most distant sequences with the value
of 0.483 were found between S. aureus 514 and S. aureus 802 isolates. Conclusion:
The study, being localized to only one farm, yielded different RFLP patterns as
observed from different sampling sites, which indicates that different S. aureus
coagulase typeshave a site-specific predilection. Two coa patterns were observed
in mastitic milk indicating multiple origins of infection, with 595 bp coa
genotype being predominant in mastitic milk. The coa genotypes and their
restriction patterns observed in the present study are novel, not published
earlier. 514 and 595 coa variants of S. aureus are genetically most related.
PMID- 29805204
TI - Comparative occurrence of diabetes in canine, feline, and few wild animals and
their association with pancreatic diseases and ketoacidosis with therapeutic
approach.
AB - Diabetes mellitus (DM) is a chronic metabolic disorder in which blood glucose
level raises that can result in severe complications. However, the incidence
increased mostly by obesity, pregnancy, persistent corpus luteum, and diestrus
phase in humans and animals. This review has focused on addressing the possible
understanding and pathogenesis of spontaneous DM in canine, feline, and few wild
animals. Furthermore, pancreatic associated disorders, diabetic ketoacidosis,
hormonal and drug interaction with diabetes, and herbal remedies associated with
DM are elucidated. Bibliographic search for the present review was done using
PubMed, Scopus, and Google Scholar for articles on concurrent DM in small and
wild animals. Persistent corpus luteal and pseudopregnancy in female dogs
generate gestational DM (GDM). GDM can also be caused by extensive use of
drugs/hormones such as glucocorticosteroids. Although many similarities are
present between diabetic cats and diabetic humans which present islet
amyloidosis, there was a progressive loss of beta- and alpha-cells and the normal
number of delta-cells. The most prominent similarity is the occurrence of islet
amyloidosis in all cases of diabetic cat and over 90% of human non-insulin
dependent DM Type-2. Acute pancreatic necrosis (APN) occurs due to predisposing
factors such as insulin antagonism, insulin resistance, alteration in glucose
tolerance, obesity, hyperadrenocorticism, and persistent usage of
glucocorticoids, as these play a vital role in the progression of APN. To manage
such conditions, it is important to deal with the etiological agent, risk
factors, diagnosis of diabetes, and hormonal and drug interaction along with its
termination with suitable therapy (herbal) protocols. It should be noted that the
protocols used for the diagnosis and treatment of human DM are not appropriate
for animals. Further investigations regarding diabetic conditions of pets and
wild animals are required, which will benefit the health status of all animals
health worldwide.
PMID- 29805206
TI - Molecular detection ofserotype groups of Listeria monocytogenes isolated from
gallbladder of cattle and sheep in Iraq.
AB - Aim: This study was designed to investigate the occurrence of serotypes of
Listeria monocytogenes, an important food-borne pathogen, in gallbladder samples
from cattle and sheep. Materials and Methods: Three hundred samples were
collected and screened for the presence of L. monocytogenes. The identification
of the isolates was confirmed by API-Listeria system and by the presence of
hemolysin (hyl) gene. The isolates were subjected to polymerase chain reaction
based serotype identification with d1 (division 1), d2 (division 2), glt, mama
(mismatch amplification mutation assay), and flaA (flagellin protein) genes.
Results: A total of 8 (2.7%) L. monocytogenes were recovered from 6 (4.0%)
samples of sheep and 2 (1.3%) samples of cattle. All isolates showed positive
results with Hly primers. Four isolates carried d1 gene, did not possess glt gene
and harbored mama gene. The serotypes of these isolates were identified as 4a or
4c. The other 4 isolates carried d2 gene, 3 of them were positive with the FlaA
primers, and hence, determined to be a 1/2a or 3a serotype, and 1 isolate was
determined to be 1/2c or 3c serotype. Conclusion: This study concluded that the
presence of 1/2a serotype in gallbladder samples indicates public health risk
through cross-contamination of meat at slaughterhouses.
PMID- 29805207
TI - Validation of immunomodulatory effects of lipopolysaccharide through expression
profiling of Th1 and Th2 biased genes in Newcastle disease virus vaccinated
indigenous chicken.
AB - Background and Aim: Newcastle disease (ND) is considered one of the most
important poultry diseases with chicken morbidity and mortality rates up to 100%.
Current vaccination programs allow the use of live attenuated vaccines in the
field to protect against the disease, which alone is inefficient and requires
repeat booster doses. Toll-like receptor agonists (e.g., lipopolysaccharide
[LPS]) as adjuvants are the ones, most extensively studied and have shown to be
very promising in delivering a robust balanced immune response. In the present
study, we have evaluated the potential of LPS to elicit a strong immune response
with respect to the elicitation of both Th1 (cell-mediated) and Th2 (humoral)
immune arms. Materials and Methods: A total of 72 apparently healthy 1-day-old
indigenous unvaccinated chicks were randomly divided into six experimental Groups
A to F (n=12). At 8-week of age chicks in Group A, C, and E were vaccinated with
live attenuated La Sota strain ND vaccine along with LPS, bovine serum albumin,
and normal saline solution, respectively, and those in Group B, D, and E were
kept separately without vaccination. Sampling was done on days 0, 1, 3, 7, 14,
21, 35, and 60 after vaccination. After vaccination and respective adjuvant
application, Th1 and Th2 cytokine expression were measured in mRNA of both blood
and tissue samples. Results: The results were validated by, hemagglutination
inhibition and enzyme-linked immunosorbent assay tests, to check for the humoral
as well as cell-mediated immune response in blood serum levels. The results
showed an increase in mRNA expression of the Th1 biased cytokines in Group A
(LPS+NDV) as compared to the control groups. Similar mRNA expression pattern was
seen in blood as well as tissue samples. Validation of results also indicates an
increase in Cell-mediated Immunity as well as a humoral immune response in Group
A (LPS+NDV). Conclusion: The results of the study provided enough evidence to
consider LPS as a potential vaccine adjuvants candidate against ND in chicken.
PMID- 29805208
TI - Effects of lipid extraction on nutritive composition of winged bean (Psophocarpus
tetragonolobus), rubber seed (Hevea brasiliensis), and tropical almond
(Terminalia catappa).
AB - Aim: This experiment aimed to evaluate the nutritive composition and in vitro
rumen fermentability and digestibility of intact and lipid-extracted winged bean,
rubber seed, and tropical almond. Materials and Methods: Soybean, winged bean,
rubber seed, and tropical almond were subjected to lipid extraction and chemical
composition determination. Lipid extraction was performed through solvent
extraction by Soxhlet procedure. Non-extracted and extracted samples of these
materials were evaluated for in vitro rumen fermentation and digestibility assay
using rumen: Buffer mixture. Parameters measured were gas production kinetics,
total volatile fatty acid (VFA) concentration, ammonia, in vitro dry matter
(IVDMD) and in vitro organic matter digestibility (IVOMD). Data were analyzed by
analysis of variance and Duncan's multiple range test. Results: Soybean, winged
bean, rubber seed, and tropical almond contained high amounts of ether extract,
i.e., above 20% DM. Crude protein contents of soybean, winged bean, rubber seed,
and tropical almond increased by 17.7, 4.7, 55.2, and 126.5% after lipid
extraction, respectively. In vitro gas production of intact winged bean was the
highest among other materials at various time point intervals (p<0.05), followed
by soybean > rubber seed > tropical almond. Extraction of lipid increased in
vitro gas production, total VFA concentration, IVDMD, and IVOMD of soybean,
winged bean, rubber seed, and tropical almond (p<0.05). After lipid extraction,
all feed materials had similar IVDMD and IVOMD values. Conclusion: Lipid
extraction improved the nutritional quality of winged bean, rubber seed, and
tropical almond.
PMID- 29805209
TI - Standardization and application of real-time polymerase chain reaction for rapid
detection of bluetongue virus.
AB - Aim: The present study was designed to standardize real-time polymerase chain
reaction (PCR) for detecting the bluetongue virus from blood samples of sheep
collected during outbreaks of bluetongue disease in the year 2014 in Andhra
Pradesh and Telangana states of India. Materials and Methods: A 10-fold serial
dilution of Plasmid PUC59 with bluetongue virus (BTV) NS3 insert was used to plot
the standard curve. BHK-21 and KC cells were used for in vitro propagation of
virus BTV-9 at a TCID50/ml of 105 ml and RNA was isolated by the Trizol method.
Both reverse transcription-PCR and real-time PCR using TaqMan probe were carried
out with RNA extracted from virus-spiked culture medium and blood to compare the
sensitivity by means of finding out the limit of detection (LoD). The results
were verified by inoculating the detected and undetected dilutions onto cell
cultures with further cytological (cytopathic effect) and molecular confirmation
(by BTV-NS1 group-specific PCR). The standardized technique was then applied to
field samples (blood) for detecting BTV. Results: The slope of the standard curve
obtained was -3.23, and the efficiency was 103%. The LoD with RT-PCR was
8.269E*103 number of copies of plasmid, whereas it was 13 with real-time PCR for
plasmid dilutions. Similarly, LoD was determined for virus-spiked culture medium,
and blood with both the types of PCR and the values were 103 TCID 50/ml and 104
TCID 50/ml with RT-PCR and 10 degrees TCID 50/ml and 102 TCID 50/ml with real
time PCR, respectively. The standardized technique was applied to blood samples
collected from BTV suspected animals; 10 among 20 samples were found positive
with Cq values ranging from 27 to 39. The Cq value exhibiting samples were
further processed in cell cultures and were confirmed to be BT positive.
Likewise, Cq undetected samples on processing in cell cultures turned out to be
BTV negative. Conclusion: Real-time PCR was found to be a very sensitive as well
as reliable method to detect BTV present in different types of samples, including
blood samples collected from BTV-infected sheep, compared to RT-PCR. The LoD of
BTV is likely influenced by sample type, possibly by the interference by the
other components present in the sample.
PMID- 29805210
TI - Effect of Azolla feeding on the growth, feed conversion ratio, blood biochemical
attributes and immune competence traits of growing turkeys.
AB - Background and Aim: In the recent past,few studies have been carried out in
chicken to assess the effect of Azolla meal and raw Azolla feeding on the
performance of chicken. If turkeys effectively use unconventional feedstuffs like
Azolla without reducing the performance, it will increase the profitability of
turkey business. Hence, a study was carried out to evaluate the effect of dried
Azolla pinnata vis-a-vis raw Azolla as choice feeding on the growth, feed
conversion ratio (FCR), blood biochemical attributes, and immune competence
traits of growing turkeys under intensive system. Materials and Methods: A total
of 72, 8-week-old grower turkey poults of black variety were randomly distributed
into three dietary treatments having three replicates each with eight birds. The
birds of the control group (T1) were fed a basal diet (CP - 19.71% and ME -
2789.79 Kcal/kg), while the other group (T2) and choice-feeding group (T3) were
fed 5% of basal diet replaced by dry Azolla powder on DM basis and ad
libitumAzolla along with basal diet, respectively. Results: There was no
significant difference among the different groups in the average weekly weight
gain during the entire experiment. FCR was significantly better (p<0.05) in the
choice-feeding group compared to the other two experimental groups during 8-16
weeks of age. There was no significant difference among the treatment groups in
any of the blood biochemical indices except plasma uric acid, which was
significantly decreased (p<0.01) in T2 compared to T1 at 16 weeks of age. HA and
IgM response to 1% sheep red blood cells (log2 titer) were numerically better in
T2 and T3 compared to the T1. Conclusion: Thus, it may be inferred that choice
feeding with Azolla, and basal diet may improve FCR without any adverse effect on
blood biochemical attributes and immune competence traits.
PMID- 29805211
TI - Prevalence and magnitude of acidosis sequelae to rice-based feeding regimen
followed in Tamil Nadu, India.
AB - Background and Aim: In Tamil Nadu, a southern state of India, rice is readily
available at a low cost, hence, is cooked (cooking akin to human consumption) and
fed irrationally to cross-bred dairy cattle with poor productivity. Hence, a
study was carried out with the objective to examine the prevalence of acidosis
sequelae to rice-based feeding regimen and assess its magnitude. Materials and
Methods: A survey was conducted in all the 32 districts of Tamil Nadu, by
randomly selecting two blocks per districts and from each block five villages
were randomly selected. From each of the selected village, 10 dairy farmers
belonging to the unorganized sector, owning one or two cross-bred dairy cows in
early and mid-lactation were randomly selected so that a sample size of 100
farmers per district was maintained. The feeding regimen, milk yield was
recorded, and occurrence of acidosis and incidence of laminitis were ascertained
by the veterinarian with the confirmative test to determine the impact of feeding
cooked rice to cows. Results: It is observed that 71.5% of farmers in unorganized
sector feed cooked rice to their cattle. The incidence of acidosis progressively
increased significantly (p<0.05) from 29.00% in cows fed with 0.5 kg of cooked
rice to 69.23% in cows fed with more than 2.5 kg of cooked rice. However, the
incidence of acidosis remained significantly (p<0.05) as low as 9.9% in cows fed
feeding regimen without cooked rice which is suggestive of a correlation between
excessive feeding cooked rice and onset of acidosis. Further, the noticeable
difference in the incidence of acidosis observed between feeding cooked rice and
those fed without rice and limited intake of oil cake indicates that there is a
mismatch between energy and protein supply to these cattle. Among cooked rice
based diet, the incidence of laminitis increased progressively (p<0.05) from 9.2%
to 37.9% with the increase in the quantum of cooked rice in the diet. Conclusion:
The study points out the importance of protein supplementation in rice-based
feeding regimen to set right the mismatched supply between nitrogen and
fermentable organic matter in the rumen. This research has practical implications
for animal health, welfare, nutrition, and management.
PMID- 29805213
TI - Evaluation of multiplex polymerase chain reaction as an alternative to
conventional antibiotic sensitivity test.
AB - Aim: This study was designed to evaluate the potential of the use of multiplex
polymerase chain reaction (PCR) as an alternative to conventional antibiotic
sensitivity test. Materials and Methods: Isolates of Staphylococcus aureus (total
= 36) from clinical cases presented to Teaching Veterinary Clinical Complex of
College of Veterinary and Animal Sciences (CVAS), Navania, Udaipur, were
characterized by morphological, cultural, and biochemical methods. Then, the
isolates were further subjected to molecular characterization by PCR targeting S.
aureus-specific sequence (107 bp). Phenotypic antibiotic sensitivity pattern was
analyzed by Kirby-Bauer disc diffusion method against 11 commonly used
antibiotics in veterinary medicine in and around Udaipur region. The genotypic
antibiotic sensitivity pattern was studied against methicillin, aminoglycosides,
and tetracycline targeting the gene mecA, aacA-aphD, and tetK by multiplex PCR.
Results: There was 100% correlation between the phenotype and genotype of
aminoglycoside resistance, more than 90% correlation for methicillin resistance,
and 58.3% in the case tetracycline resistance. Conclusion: As there is a good
correlation between phenotype and genotype of antibiotic resistance, multiplex
PCR can be used as an alternative to the conventional antibiotic susceptibility
testing, as it can give a rapid and true prediction of antibiotic sensitivity
pattern.
PMID- 29805212
TI - Characterization of quinolone-resistant Enterobacteriaceae strains isolated from
poultry in Western Algeria: First report of qnrS in an Enterobacter cloacae.
AB - Aim: Multidrug-resistant (MDR) Enterobacteriaceae have frequently been reported,
in both human and veterinary medicine, from different parts of the world as a
consequence of antibiotic usage. However, there is a lack of published data
regarding antimicrobial resistance in non-Escherichia coli (E. coli)
Enterobacteriaceae from animals in Algeria. This study aimed to evaluate the
frequency of resistance to antibiotics with a focus on quinolones and to
investigate the presence of qnr genes inEnterobacteriaceaeof poultry origin.
Materials and Methods: A total of 310 samples of poultry origin were collected
from 2010 to 2014 from broiler and layer farms and hatcheries located in
different geographic areas of Western Algeria (including Mostaganem, Oran,
Mascara, Relizane, Chlef, Tiaret, and Tissemsilt). Antimicrobial susceptibility
testing was performed using disc diffusion assay. Polymerase chain reaction and
sequencing accomplished the characterization of qnr genes (qnrA, qnrB, and qnrS).
Results: A total of 253 Enterobacteriaceaestrains were isolated in this study.
These isolates exhibited high levels of resistance to quinolones and other
families of antibiotics. All the strains isolated in this study were resistant to
at least one antibiotic. Among them, 233 (92.09%) were considered MDR. Among the
18 randomly selected nalidixic acid (NA)-resistant Enterobacteriaceaeisolates,
one E. coli and one Enterobacter cloacae were carrying qnrS1. By contrast, qnrA
and qnrB were not detected in this study. Conclusion: This is the first report on
the identification of the qnrS gene in E. cloacae isolated from animal source in
Algeria. Further studies have to be conducted to determine the real prevalence of
qnr genes.
PMID- 29805215
TI - Radiographic prediction of metallic foreign body penetration in the reticulum of
cows and buffaloes.
AB - Aim: This study aimed to evaluate the role of radiography in the standing (right
and left) and recumbent (right) lateral positions for the detection and
prediction of metallic foreign body penetration in the reticular wall. Materials
and Methods: A total of 41 bovines (23 cows and 18 buffaloes) having at least one
sharp metallic foreign body (>1 cm) detected on reticular radiographs were
investigated, and their extent of penetration in the reticular wall was confirmed
on the left flank laparorumenotomy. Results: Of total sharp metallic foreign
bodies retrieved on rumenotomy, the maximum percent were detected on the right
recumbent radiographic view (75.00% in cows and 57.14% in buffaloes) compared to
the right standing (54.38% in cows and 40.42% in buffaloes) and left standing
(51.06% in cows and 27.08% in buffaloes) radiographic views. The presence of gas
pocket or nodule adjoining a foreign body, faintly visible foreign body, foreign
body that appeared partially or completely out of the reticulum, and foreign body
that appeared parallel, into, or directed toward the diaphragm indicated a high
probability in the prediction of penetrating foreign body in the left standing
(100%) followed by the right recumbent (85.71% in cattle and 90% in buffaloes)
and right standing (94.74% in cattle and 55.56% in buffaloes) radiographic views.
Conclusion: The right recumbent radiographic view is most reliable to detect
sharp metallic foreign bodies in bovine. Buffaloes engulf more number of foreign
bodies; however, comparatively, the number of completely or partially penetrating
foreign bodies is high in cattle. The hypothesized radiographic parameters for
the prediction of penetrability of the metallic foreign body were 100% reliable
in the left standing radiographic view in both the species.
PMID- 29805214
TI - Molecular characterization of canine parvovirus variants (CPV-2a, CPV-2b, and CPV
2c) based on the VP2 gene in affected domestic dogs in Ecuador.
AB - Aim: The objective of this study was to determine the presence of the variants of
canine parvovirus (CPV)-2 in the city of Quito, Ecuador, due to the high domestic
and street-type canine population, and to identify possible mutations at a
genetic level that could be causing structural changes in the virus with a
consequent influence on the immune response of the hosts. Materials and Methods:
Thirty-five stool samples from different puppies with characteristic signs of the
disease and positives for CPV through immunochromatography kits were collected
from different veterinarian clinics of the city. Polymerase chain reaction and
DNA sequencing were used to determine the mutations in residue 426 of the VP2
gene, which determines the variants of CPV-2; in addition, four samples were
chosen for complete sequencing of the VP2 gene to identify all possible mutations
in the circulating strains in this region of the country. Results: The results
revealed the presence of the three variants of CPV-2 with a prevalence of 57.1%
(20/35) for CPV-2a, 8.5% (3/35) for CPV-2b, and 34.3% (12/35) for CPV-2c. In
addition, complete sequencing of the VP2 gene showed amino acid substitutions in
residues 87, 101, 139, 219, 297, 300, 305, 322, 324, 375, 386, 426, 440, and 514
of the three Ecuadorian variants when compared with the original CPV-2 sequence.
Conclusion: This study describes the detection of CPV variants in the city of
Quito, Ecuador. Variants of CPV-2 (2a, 2b, and 2c) have been reported in South
America, and there are cases in Ecuador where CVP-2 is affecting even vaccinated
puppies.
PMID- 29805216
TI - Genetic characterization of 11 microsatellite loci in Egyptian pigeons (Columba
livia domestica) and their cross-species amplification in other Columbidae
populations.
AB - Aim: This study aimed to analyze the genetic diversity and relationships of 10
Egyptian pigeon populations belonging to Columba livia domestica speciesusing 11
microsatellite markers and to investigate the success of these markers
amplification across another eight pigeon species. Methods: Genomic DNA was
isolated from feather samples of179 pigeon samples from 10 Egyptian breeds: Asfer
Weraq (n=14), Austoraly (n=20), Reehani (n=21), Messawed (n=17), Nemssawy (n=27),
Otatti (n=12), Morasla (n=17), Tumbler (n=22), Halaby Asfer (n=10), and Karakandy
(n=19) in addition to Japanese feral pigeons (n=30). Genotyping was done using 11
specific polymorphic microsatellite makers. Moreover, 37 samples not belonging to
C. livia domestica but belonging to another eight pigeon species were genotyped.
The polymerase chain reaction (PCR) products were electrophoresed on an ABI
3130xl DNA Sequencer. The basic measures of genetic diversity and phylogenetic
trees were computed using bioinformatics software. Results: Across the 10 studied
Egyptian populations, the number of alleles per locus ranged from 3 to 19 and the
average number of alleles observed was 9.091. The lowest value of expected
heterozygosity (0.373) was obtained for the Reehani breed, and the highest value
(0.706) was found for Morasla breed. The overall expected heterozygosity of
Egyptian pigeons was 0.548. The FST coefficient which indicates fixation
coefficients of subpopulations within the total population for the 11 loci varied
from 0.318 to 0.114 with a relatively high mean (0.226). In our study, the FIS
showed a relatively high average(0.037). The pairwise Reynolds's genetic distance
between the11 studied pigeon populations recorded lower values between Otatti and
Austoraly (0.025) and between Morasla and Japanese feral pigeons (0.054). These
results are supported by clustering pattern either by the neighbor-joining
phylogenetic tree or by a Bayesian clustering of STRUCTURE with the admixture
method. Conclusions: We confirm the applicability of the CliuD17, CliuT17,
CliuD16, CliuD32, CliuT13, CliuD01, PG1, PG2, PG4, PG6, and PG7 microsatellite
markers among Egyptian domestic pigeons and across other pigeon species using
cross-species amplification method. The information from this study should be
useful for genetic characterization and for developing conservation programs of
this important species.
PMID- 29805217
TI - Viability test of fish scale collagen (Oshpronemus gouramy) on baby hamster
kidney fibroblasts-21 fibroblast cell culture.
AB - Aim: This study aims to examine the toxicity of collagen extracted from gouramy
fish scales (Oshpronemus gouramy) by evaluating its viability against baby
hamster kidney fibroblasts-21. Materials and Methods: Collagen was extracted from
gouramy fish scales (O. gouramy) with 6% acetic acid. Its results were analyzed
using Fourier-transform infrared spectroscopy and freeze-dried technique. Its
morphology then was analyzed with scanning electron microscope. Afterward, 3-(4.5
dimethylthiazole-2-yl)2.5-diphenyl tetrazolium bromide assay was conducted to
compare cells with and without fish scale collagen treatment. Results: Collagen
extracted from gouramy fish scales had no influence statistically on cultured
fibroblast cells with a statistical significance (2-tailed) value of0.754
(p>00025). Conclusion: Collagen extracted from gouramy fish scales has high
viability against BHK21 fibroblast cells.
PMID- 29805218
TI - Seroprevalence and risk factors of caprine brucellosis in Khartoum state, Sudan.
AB - Aim: This cross-sectional study was conducted from April to July 2012 in Khartoum
state, Sudan, to determine the seroprevalence of brucellosis in goats and to
investigate potential risk factors associated with this disease. Materials and
Methods: A total of 307 serum samples were collected from both sexes of goats in
four different localities and were subjected to testing for brucellosis using
rose bengal plate test (RBPT), serum agglutination test (SAT), and competitive
enzyme-linked immunosorbent assay (cELISA). Results: The overall seroprevalence
was 11.4% (n=35) with a 95% confidence interval (CI) ranging from 7.80 to 15.0.
Out of these 35 RBPT-positive samples, the positivity of 18 and 17 were confirmed
by SAT and cELISA, respectively. A significant statistical variation was observed
between brucellosis seroprevalences in goats purchased from local animal markets
and goats that were raised at the farm. Conversely, such significant variations
were not observed among the categories of other risk factors with seroprevalences
ranging from 3.0% (95% CI between 0.40 and 7.20) to 16.3% (95% CI between 10.4
and 22.3). Location (chi2=9.33, df=3, p=0.02), breed (chi2=3.52, df=1, p=0.05),
herd size (chi2=6.59, df=2, p=0.03), and herd expansion (chi2=5.39, df=1, p=0.02)
were associated with RBPT-positive status for brucella in the two-tailed Chi
square test. In addition, Sharq an-Nil locality and goats raised at the farm had
increased odds of being RBPT positive. Conclusion: Brucellosis was detected in
goats in all surveyed localities. An effort should be made to educate goat
owners/herders about brucellosis as well as about the importance of vaccination.
PMID- 29805219
TI - Isolation, identification, and serotyping of Avibacterium paragallinarum from
quails in Indonesia with typical infectious coryza disease symptoms.
AB - Background and Aim: Infectious coryza (IC) or snot is an infectious upper
respiratory disease affecting chickens and birds, including quails, and it is
caused by Avibacterium paragallinarum. The symptoms of IC are facial swelling,
malodorous nasal discharge, and lacrimation. This study aimed to isolate,
identify, and serotype the A. paragallinarum of snot in quails and to determine
the sensitivity and resistance to several antibiotics. Materials and Methods:
Nine quails from Yogyakarta, Indonesia with typical snot disease symptoms were
used in this study. The nasal swab was obtained and directly streaked onto a
chocolate agar plate and blood agar plate (BAP), then incubated in 5% CO2 at 37
degrees C for 24-48 h. Staphylococcus spp. was cross-streaked onto the BAP to
show the satellite growth. The observation of the morphology of the suspected
colony, Gram staining, and biochemical tests (catalase test, oxidase test, urease
test, peptone test, and carbohydrate fermentation such as maltose, mannitol,
lactose, and sorbitol) are done to identify the species of bacteria. This
research also detects the serovar of A. paragallinarum using hemagglutination
inhibition test.The antibiotic sensitivity tests were also performed using
several antibiotics against five A. paragallinarum isolates that were cultured on
Mueller-Hinton Agar and added with antibiotic discs, then incubated in 5% CO2 at
37 degrees C for 24-48 h. Results: Five isolates out of nine suspected isolates
(55.5%) were A. paragallinarum. The growth of isolates from quails did not depend
on the nicotinamide adenine dinucleotide (NAD) (NAD-independent). Sensitivity
test was done using the five identified A. paragallinarum isolates, results
showed that they were 100% sensitive to amoxicillin (AMC) and ampicillin (AMP);
100% resistant toward amikacin (AK), erythromycin (E), gentamycin (CN), and
tetracycline (TE); 80% resistant toward kanamycin (K) and trimethoprim (W); 60%
resistant toward chloramphenicol (C); and 20% toward enrofloxacin (ENR). The
antibiotics that have an intermediate sensitivity (in between sensitive and
resistant) were ENR and K, 80% and 20%, respectively. Three out of five A.
paragallinarum isolates were identified as serovar B of A. paragallinarum using
HI test. Conclusion: Five out of nine isolates (55.5%) from quails with typical
IC disease symptoms identified as A. paragallinarum and sensitive toward AMC and
AMP. Three out of five A. paragallinarum isolates were identified as serovar B.
PMID- 29805220
TI - Pseudopregnancy in goats: Sonographic prevalence and associated risk factors in
Khartoum State, Sudan.
AB - Aim: This study was conducted to estimate the prevalence of pseudopregnancy in
goats and to investigate potential risk factors associated with the condition in
Khartoum State. Materials and Methods: A cross-sectional study was carried out
from March 2015 to February 2016. A total of 378 female goats which presented to
the Veterinary Teaching Hospital, College of Veterinary Medicine, Sudan
University of Science and Technology, for routine ultrasonographic pregnancy
diagnosis were examined. Ultrasound scanning was performed using a real-time
scanner equipped with dual-frequency (3.5-5 MHz) curvilinear transducer. Results:
The results showed that the prevalence of pseudopregnancy in goats in Khartoum
State was 10.6%. Risk factors such as general body condition (chi2=5.974;
p=0.05), age (chi2=11.760; p=0.0129), type of estrus (chi2=12.794; p=0.000), and
previous reproductive performance (chi2=13.397; p=0.020) showed significant
association (p<=0.05) with the occurrence of pseudopregnancy in the univariate
analysis. Breed (chi2=12.627; p=0.082), milk yield (chi2=5.951; p=0.114), type of
feeding (chi2=1.721; p=0.190), season (chi2=2.661; p=0.264), locality (chi2=7.66;
p=0.264), parity number (chi2=0.451; p=0.767), and rearing system (chi2=1.593;
p=0.451) were not significantly associated with pseudopregnancy. Conclusion: The
prevalence of pseudopregnancy in goats in Khartoum State was 10.6%.
Pseudopregnancy in goats is significantly associated with age, type of estrus,
general body condition, and previous reproductive performance. This study showed
for the first time that pseudopregnancy is a real reproductive problem in goats
in Khartoum State.
PMID- 29805221
TI - Molecular detection of Leptospira spp. from canine kidney tissues and its
association with renal lesions.
AB - Aim: The study aimed to detect the prevalence of Leptospira spp. in kidney
tissues collected during necropsy and to establish its association with renal
lesions in dogs of Mumbai region. Materials and Methods: Kidney tissues from 40
dogs were collected during necropsy after gross examination and then fixed in
neutral buffered formalin and Bouin's fluid for histopathology and
histochemistry, respectively. Kidney tissues were also collected for the
detection of Leptospira spp. by polymerase chain reaction (PCR) in a sterile
container and stored at -80 degrees C until further processing. Results: Of 40
cases studied, 13 (32.5%) cases showed lesions of nephritis of varying histotype
and severity. Glomerulonephritis was reported as the most common type of
nephritis in 9 (69.23%) cases, and interstitial nephritis was recorded in 4
(30.76%) cases. Chronic and acute interstitial nephritis was observed in two
cases each. Renal failure as a cause of death was found in 7 (17.5%) dogs. Of a
total of 40 cases, 9 were found positive for pathogenic Leptospira spp. genome by
PCR. However, of nine PCR-positive cases, only four cases showed lesions in
kidneys as glomerulonephritis and interstitial nephritis in two cases each. The
rest five cases positive for Leptospira spp. by PCR did not show any appreciable
lesions in the kidneys. Conclusion: Leptospiral DNA was detected in 9 (22.5%)
cases by PCR. Of these nine cases, only four cases showed renal lesions. Other
five cases which were positive for Leptospira spp. by PCR did not show any
appreciable gross and microscopic lesions in the kidneys which might be carriers
for Leptospira spp. Considering variable reports on types of nephritis in
Leptospira spp. infection and also the prevalence of non-pathogenic Leptospira
spp., it is important to conduct an extensive study on the prevalence of
Leptospira spp. and its association with renal lesions involving batteries of
tests.
PMID- 29805223
TI - Effect of Doublesynch and Estradoublesynch protocols on estrus induction,
conception rate, plasma progesterone, protein, and cholesterol profile in
anestrus Gir heifers.
AB - Aim: This study aimed to evaluate the efficacy of Doublesynch and
Estradoublesynch protocols on estrus induction, conception rates, plasma
progesterone, protein, and cholesterol profile in anestrus Gir heifers. Materials
and Methods: In this study, 50 pubertal anestrus Gir heifers were selected from
the field and farm conditions. The heifers were dewormed (injection ivermectin,
100 mg, s/c) and supplemented with minerals and vitamins (injection organic
phosphorus 800 mg and injection Vitamin AD3E and Biotin 10 ml i/m) and multi
mineral bolus at 1 bolus daily for 7 days. The heifers were randomly divided into
three groups: Doublesynch (n=20), Estradoublesynch (n=20), and control (n=10).
The animals were monitored for estrus response, estrus interval, behavioral
signs, and conception rates after induced/first, second, and third cycle post
treatment. Blood samples were obtained on day 0, day 9, day 12, and on day 12
post-artificial insemination (AI) for determination of plasma progesterone,
protein, and cholesterol profile. Results: The estrus response rate between
Doublesynch and Estradoublesynch protocols was similar between treated heifers
(85% and 95%). The interval from the second prostaglandin F2alpha (PGF2alpha)
injection to estrus induction did not differ between the groups (63.87+/-4.19 vs.
58.27+/-3.83 h). The conception rates following induced estrus (20% vs. 30%), at
the second cycle (23.07% vs. 16.66%), at the third cycle (22.22% vs. 30.00%), and
the overall conception rate (45% and 55%) within 27.89+/-5.75 and 26.45+/-5.48
days were the same across the treatment groups. The mean plasma progesterone
concentrations were significantly (p<0.01) higher on day 9 (second PGF2alpha
injection) and day 12 post-AI compared to day 0 (first PGF2alpha injection) and
the day of fixed-timed artificial insemination. The concentrations were also
significantly (p<0.05) higher in conceived than non-conceived heifers on day 9 of
treatment and day 12 post-AI in both the protocols. The mean plasma cholesterol
concentrations were significantly higher during peak follicular and luteal phases
compared to the initial anestrus phase in both the protocols. The values were
also higher in non-conceived than conceived animals in both the protocols. The
plasma protein profile was not influenced by the sampling days or conceived and
non-conceived status. Conclusion: The results showed that both Doublesynch and
Estradoublesynch protocols resulted in similar estrus induction and conception
rates with modulation of plasma progesterone and cholesterol profile in anestrus
Gir heifers.
PMID- 29805222
TI - Copy number variation in livestock: A mini review.
AB - Copy number variation (CNV) is a phenomenon in which sections of the genome,
ranging from one kilo base pair (Kb) to several million base pairs (Mb), are
repeated and the number of repeats vary between the individuals in a population.
It is an important source of genetic variation in an individual which is now
being utilized rather than single nucleotide polymorphisms (SNPs), as it covers
the more genomic region. CNVs alter the gene expression and change the phenotype
of an individual due to deletion and duplication of genes in the copy number
variation regions (CNVRs). Earlier, researchers extensively utilized SNPs as the
main source of genetic variation. But now, the focus is on identification of CNVs
associated with complex traits. With the recent advances and reduction in the
cost of sequencing, arrays are developed for genotyping which cover the maximum
number of SNPs at a time that can be used for detection of CNVRs and underlying
quantitative trait loci (QTL) for the complex traits to accelerate genetic
improvement. CNV studies are also being carried out to understand the
evolutionary mechanism in the domestication of livestock and their adaptation to
the different environmental conditions. The main aim of the study is to review
the available data on CNV and its role in genetic variation among the livestock.
PMID- 29805224
TI - Prevalence and diversity of gastrointestinal helminths in free-ranging Asian
house shrew (Suncus murinus) in Bangladesh.
AB - Background and Aim: Asian house shrew (Suncus murinus), a widely distributed
small mammal in the South Asian region, can carry helminths of zoonotic
importance. The aim of the study was to know the prevalence and diversity of
gastrointestinal (GI) helminths in free-ranging Asian house shrew (S. murinus) in
Bangladesh. Materials and Methods: A total of 86 Asian house shrews were captured
from forest areas and other habitats of Bangladesh in 2015. Gross examination of
the whole GI tract was performed for gross helminth detection, and coproscopy was
done for identification of specific eggs or larvae. Results: The overall
prevalence of GI helminth was 77.9% (67/86), with six species including nematodes
(3), cestodes (2), and trematodes (1). Of the detected helminths, the dominant
parasitic group was from the genus Hymenolepis spp.(59%), followed by
Strongyloides spp.(17%), Capillaria spp. (10%), Physaloptera spp. (3%), and
Echinostoma spp.(3%). Conclusion: The finding shows that the presence of
potential zoonotic parasites (Hymenolepis spp. and Capillaria spp.) in Asian
house shrew is ubiquitous in all types of habitat (forest land, cropland and
dwelling) in Bangladesh. Therefore, further investigation is crucial to examine
their role in the transmission of human helminthiasis.
PMID- 29805225
TI - Cloning and sequence analysis of a partial CDS of leptospiral ligA gene in pET
32a - Escherichia coli DH5alpha system.
AB - Aim: This study aims at cloning, sequencing, and phylogenetic analysis of a
partial CDS of ligA gene in pET-32a - Escherichia coli DH5alpha system, with the
objective of identifying the conserved nature of the ligA gene in the genus
Leptospira. Materials and Methods: A partial CDS (nucleotide 1873 to nucleotide
3363) of the ligA gene was amplified from genomic DNA of Leptospira interrogans
serovar Canicola by polymerase chain reaction (PCR). The PCR-amplified DNA was
cloned into pET-32a vector and transformed into competent E. coli DH5alpha
bacterial cells. The partial ligA gene insert was sequenced and the nucleotide
sequences obtained were aligned with the published ligA gene sequences of other
Leptospira serovars, using nucleotide BLAST, NCBI. Phylogenetic analysis of the
gene sequence was done by maximum likelihood method using Mega 6.06 software.
Results: The PCR could amplify the 1491 nucleotide sequence spanning from
nucleotide 1873 to nucleotide 3363 of the ligA gene and the partial ligA gene
could be successfully cloned in E. coli DH5alpha cells. The nucleotide sequence
when analyzed for homology with the reported gene sequences of other Leptospira
serovars was found to have 100% homology to the 1910 bp to 3320 bp sequence of
ligA gene of L. interrogans strain Kito serogroup Canicola. The predicted protein
consisted of 470 aminoacids. Phylogenetic analysis revealed that the ligA gene
was conserved in L.interrogans species. Conclusion: The partial ligA gene could
be successfully cloned and sequenced from E. coli DH5alpha cells. The sequence
showed 100% homology to the published ligA gene sequences. The phylogenetic
analysis revealed the conserved nature of the ligA gene. Further studies on the
expression and immunogenicity of the partial LigA protein need to be carried out
to determine its competence as a subunit vaccine candidate.
PMID- 29805226
TI - Digit Ratio and Dental Caries: A Sexually Dimorphic Trait.
AB - Dental caries is the most common oral health disease affecting all age groups,
races, and geographic locations. The need for the study was to determine the
anatomical marker that could predict the taste perception and caries at an early
stage. Aim of the study was to determine the correlation between digit ratio and
caries experience in school-going children of south Canara region. An
observational and cross-sectional pattern was adopted for the present study. The
study was then evaluated to find out the correlation between the digit ratio that
is thought to be predetermined with caries experience in children of age group 6
to 16 years. In the total sample of 2,037 children, the total population was
divided into two categories, i.e., high digit ratio and low digit ratio. Of the
total population, 1,112 had low digit ratio and 925 had high digit ratio. Caries
experience was highest in low-risk group, followed by moderate, high risk, low
risk, and very high risk groups. In all the categories, low digit ratio was
affected more than high digit ratio. The study clearly states a positive
correlation between digit ratio, taste, social behavior, and dental caries. How
to cite this article: Verma P, Hegde AM. Digit Ratio and Dental Caries: A
Sexually Dimorphic Trait. Int J Clin Pediatr Dent 2018;11(1):1-6.
PMID- 29805227
TI - Evaluation of Remineralization Potential of Beverages modified with Casein
Phosphopeptide-Amorphous Calcium Phosphate on Primary and Permanent Enamel: A
Laser Profiler Study.
AB - Purpose: To assess the erosive potential of carbonated beverages and the
remineralization potential of beverages with added casein phosphopeptide
amorphous calcium phosphate (CPP-ACP) paste on primary and permanent enamel.
Materials and methods: A total of 32 primary and 32 permanent enamel specimens
were immersed for 5, 10, and 30 minutes, respectively, in beverages, i.e., Coke,
Sprite, Mirinda, and Mountain Dew, with and without added 0.2% CPP-ACP. Samples
were profiled before immersion and after immersion under laser profiler. Results:
Coke was found to be highly erosive at it caused significant enamel erosion at
both 10 and 30 minutes of immersion (p < 0.05) for both primary and permanent
enamel. The 30-minute immersion caused significant amount of reminerali-zation
over primary enamel in all groups, whereas permanent enamel remineralization was
significant in Sprite and Mountain Dew at 30 minutes in all the groups (p <
0.05). Conclusion: This study demonstrated that enamel erosion occurred after
immersion in carbonated beverages. Remineralization of enamel was observed after
immersion in beverages modified with CPP-ACP paste. Primary enamel was
susceptible to remineralization compared with permanent enamel. Within the
limitations of this in vitro study, the application of CPP-ACP paste may enhance
the remineralization after an erosive challenge and thus offer some protection
for patients who are at risk for erosion.How to cite this article: Rai N, Sandhu
M, Sachdev V, Sharma R. Evaluation of Remineralization Potential of Beverages
modified with Casein Phosphopeptide-Amorphous Calcium Phosphate on Primary and
Permanent Enamel: A Laser Profiler Study. Int J Clin Pediatr Dent 2018;11(1):7
12.
PMID- 29805228
TI - Evaluating the Efficacy of Xylitol Wipes on Cariogenic Bacteria in 19- to 35
month-old Children: A Double-blind Randomized Controlled Trial.
AB - Introduction: Dental caries is an infectious disease with Streptococcus mutans as
the main cariogenic bacteria. Children with early S. mutans colonization have a
higher risk of developing dental caries than those with later colonization.
Therefore, prevention or delay of S. mutans colonization may be advantageous for
the prevention of early childhood caries (ECC). Aim: To evaluate and compare the
effectiveness of xylitol and placebo wipes on S. mutans count in 19- to 35-month
old children. Materials and methods: Forty-four children were randomly selected
from a daycare center and divided into two groups. Allocation concealment was
done and both (placebo and xylitol) wipes were distributed to their parents.
Instructions were given regarding their use, to be used twice daily for 2 weeks
and the S. mutans levels in the saliva were enumerated before and after wipes
usage. The collected data were tabulated and statistically analyzed using paired
and unpaired t-tests. Results: A clinically significant decrease in the S. mutans
count was observed in the xylitol wipes group than the placebo wipes group.
Intergroup comparison results were found to be statistically insignificant.
Conclusion: Xylitol wipes usage could serve as a useful adjunct in reducing the
cariogenic bacteria, especially S. mutans, and thus can be considered as an
adjunct oral hygiene tool for caries prevention in young childrenHow to cite this
article: Kayalvizhi G, Nivedha D, Sajeev R, Prathima GS, Suganya M, Ramesh V.
Evaluating the Efficacy of Xylitol Wipes on Cariogenic Bacteria in 19- to 35
month-old Children: A Double-blind Randomized Controlled Trial. Int J Clin
Pediatr Dent 2018;11(1):13-17.
PMID- 29805229
TI - Association of Breastfeeding Duration, Nonnutritive Sucking Habits, and
Malocclusion.
AB - Aim: This study aimed to investigate the associations between breastfeeding,
nonnutritive sucking habits (NNSHs), and malocclusion in deciduous, mixed, and
permanent dentition. Materials and methods: A sample of 50 children between 3 and
12 years, enrolled in a pediatric dentistry dental care program, underwent
orthodontic examination for detection of occlusal patterns and malocclusion. In
addition, data regarding breastfeeding duration and NNSH acquisition were
obtained from standardized questionnaires responded by the children's parents or
legal guardians. Results: Regardless of a long period of breastfeeding, a high
incidence of NNSH in the evaluated sample was observed. Nevertheless, the
presence of NNSHs was not significantly associated with malocclusion. Conclusion:
The findings could not indicate a statistically significant association between
breastfeeding duration, acquisition of NNSHs, and malocclusion. Longitudinal
studies with larger samples are still needed to better support clinical
decisions.How to cite this article: Roscoe MG, da Silva Bonifacio SV, da Silva
TB, Pingueiro JMS, Lemos MM, Feres MFN. Association of Breastfeeding Duration,
Nonnutritive Sucking Habits, and Malocclusion. Int J Clin Pediatr Dent
2018;11(1):18-22.
PMID- 29805230
TI - Measurement Reliability of the Remaining Dentin Thickness below Deep Carious
Lesions in Primary Molars.
AB - Aim: This study was carried out to assess the reliability of measurements of the
remaining dentin thickness under deep carious lesions as estimated from digital
radiographs. The goal is to allow clinicians to correlate the radiographic
measurement to the exact value of the remaining dentin thickness. The results
obtained will be tested further in a study that will evaluate the histopathologic
pulpal state according to the caries' lesion depth. Materials and methods: The
study was conducted in the Pediatric Dentistry Department at the Lebanese
University, in collaboration with the research platform of the same university.
Fifty deciduous molars with deep caries on proximal surfaces liable to extraction
were collected. Before extraction, a digital in vivo periapical radiograph was
taken, followed by manual excavation of the caries. After excavation, another
radiograph was taken before the tooth was sectioned through the deepest site of
the lesion. Another radiograph was then obtained for each tooth fragment. To
evaluate the exact thickness of the remaining dentin, each fragment was measured
on a histologic macropho-tograph. The measurements were then compared
statistically using a paired-samples t-test, and a correlation was sought.
Results: No significant difference was observed in the radiographs between the
measurement of the remaining dentin thickness before and after the excavation of
caries. In contrast, the radiographic measurements of remaining dentin thickness
were underestimated by an average of 20% compared with those made with
macrophotographs. Limitations: Interpretation of radiographs varies from one
practitioner to another and is a function of the operator's visual acuity.
Conclusion: Measuring the residual dentin thickness on a radiograph
underestimates the actual thickness by about 20%. Further studies are needed to
confirm these results. Clinical significance: Our results indicate that remaining
dentin thickness is greater in reality than is shown on a radiograph. This
information can help clinicians to refine their diagnoses and treatment plans.How
to cite this article: Berbari R, Khairallah A, Kazan HF, Ezzedine M, Bandon D,
Sfeir E. Measurement Reliability of the Remaining Dentin Thickness below Deep
Carious Lesions in Primary Molars. Int J Clin Pediatr Dent 2018;11(1):23-28.
PMID- 29805231
TI - Factors influencing Patients' Utilization of Dental Health Services in Jazan,
Kingdom of Saudi Arabia.
AB - Introduction: One way of prevention and early detection of oral diseases is by
utilizing the dental health care services on a regular basis. The current study
aims to know the factors that play a role in influencing the dental service
utilization in Jazan, Kingdom of Saudi Arabia. Materials and methods: A cross
sectional survey using a self-administered questionnaire was designed and
implemented. Study subjects comprised of patients visiting the dental clinics at
Jazan University and the primary dental centers of five different suburbs in
Jazan region of Kingdom of Saudi Arabia. Items in the questionnaire were grouped
into three sections; "demographic details," "self-reported dental visits," and
"potential factors" contributing to dental visits. Chi-square p-value of 0.05 or
less was considered as significant and logistic regression with 95% confidence
interval (CI) was performed to get more precise results. Results: The sample size
was 395 (N) of which 44.8% were males and 53.4% were females. Less than half
(45.8%) of the studied sample reported that their last visit to a dentist was
within a span of one year and 33% of them think that a dentist should only be
visited if they experience pain. Patients following instructions given by a
dentist were 7 times [odds ratio (OR) = 0.13; CI = 0.04, 0.40] less likely to
miss their regular dental appointments. Following this, patients receiving
knowledge on their dental problems were seen to be twice (OR = 0.50; CI = 0.25,
0.98) less likely to be irregular with their dental visits. Finally, the patients
who are better educated and literate were also 2 times (OR = 2.21; CI = 1.14,
4.28) more likely to be regular with their dental appointments in comparison with
the patients who completed just their primary level education. Conclusion:
Findings of this study will facilitate future oral health prevention programs to
be more focused, thereby reducing the gap between high and low educated sectors
of the population residing in Jazan.How to cite this article: Quadri FA, Jafari
FAM, Albeshri ATS, Zailai AM. Factors influencing Patients' Utilization of Dental
Health Services in Jazan, Kingdom of Saudi Arabia. Int J Clin Pediatr Dent
2018;11(1):29-33.
PMID- 29805232
TI - Effect of Diet Modification on Salivary Parameters and Oratest in High-caries
risk Individuals.
AB - Aim: This study was aimed to assess the salivary parameters and caries activity
test (Oratest) in high-caries-risk individuals and effect of diet modification
and parental education on salivary parameters and Oratest. Materials and methods:
Forty-five children aged between 5 and 8 years and decayed, extracted due to
caries, filled teeth (deft)/decayed, missing, filled teeth (DMFT) scores >5 were
selected and evaluated for salivary parameters, such as hydration status of oral
mucosa, resting pH, unstimulated salivary flow rate (USFR) and stimulated
salivary flow rate (SSFR), buffering capacity of stimulated saliva (BCSS) before
and after diet counseling using GC India Saliva Check Kit. Oratest was performed
to assess the caries activity. Children's parents were asked to record 5 to 7
days diet chart. Diet charts were collected and based on the evaluation of
specific diet charts, diet counseling was provided. After 6 weeks, salivary
parameters and Oratest were reevaluated. Baseline and postdiet counseling
salivary parameters were subjected to statistical analysis using Student's t-test
(paired) and Wilcoxon signed rank test. Results: From baseline to 6 weeks, USFR
and SSFR were increased which were statistically significant. Buffering capacity
and resting pH increased slightly but was not statistically significant. The
reading of Oratest increased significantly, indicating a decreased caries
activity in individuals. Conclusion: It can be concluded that diet counseling,
parental education, and regular motivation can positively alter salivary
parameters, such as USFR and SSFR.How to cite this article: Jain S, Bansal K,
Marwaha M, Sehrawat N, Singla S. Effect of Diet Modification on Salivary
Parameters and Oratest in High-caries-risk Individuals. Int J Clin Pediatr Dent
2018;11(1):34-39.
PMID- 29805233
TI - Impact of General and Oral Complications of Diabetes Mellitus Type I on Lebanese
Children's Quality of Life.
AB - Introduction: Diabetes mellitus type I (DM1) has been increasing at an alarming
rate worldwide. Children suffering from this chronic disease are subject to a
high risk of systemic and oral complications, due to their young age and the lack
of awareness of the relation between diabetes and oral health. Objective: The aim
of this study is to evaluate the impact of oral and general complications of DM1
on the Lebanese children's quality of life. The goal was to assess the child's
behavioral issues on the one hand and the oral issues on the other. Materials and
methods: About 37 diabetic Lebanese children aged between 6 and 12 years,
recruited from the Chronic Care Center (CCC), answered two questionnaires, one
related to the disease and the second related to the oral complications. Results:
A majority of the participants (81.1%) are aware of their disease, 73% know the
importance of their treatment and 54.1% are able to control their glycemia; 45.9%
are not annoyed with constantly carrying a monitor and 67.5% are bothered by
their restricted diet. Only 5.4% of children isolate themselves. Concerning the
oral complications: About 83.8% of the children do not suffer from oral ulcers,
56.8% are caries-free, and 64.9% have completed their dental treatment; 89.2% do
not complain while eating and 94.6% are not able to brush their teeth properly.
Conclusion: Diabetic patients are found to have good knowledge of the disease and
its systemic complications but a little on their increased risk for oral
diseases. In order to ensure a good quality of life for the diabetic children and
their families, optimal control of diabetes, appropriate oral hygiene, and
regular visits to the dentist must be respected.How to cite this article: Noueiri
B, Nassif N, Ollek A. Impact of General and Oral Complications of Diabetes
Mellitus Type I on Lebanese Children's Quality of Life. Int J Clin Pediatr Dent
2018;11(1):40-45.
PMID- 29805234
TI - Giant Cell Granuloma: Two Expressions in Pediatric Population.
AB - A granuloma is a collection of epithelioid histiocytes that is often associated
with multinucleated giant cells, and is considered widely to be a non-neoplastic
lesion, although some lesions demonstrate aggressive behavior similar to that of
a neoplasm. The diagnosis of giant cell granulomas (central and peripheral) is
confirmed by histopathologic examination. Early detection and excision are
important to minimize potential dentoalveolar complications. The following
article consists of case reports of central and peripheral giant cell granuloma
(PGCG), and discussion about the diagnosis and management of such lesions. How to
cite this article: Mukherjee CG, Mukherjee U, Bansal A, Mukhopadhyay M. Giant
Cell Granuloma: Two Expressions in Pediatric Population. Int J Clin Pediatr Dent
2018;11(1):46-49.
PMID- 29805235
TI - Dentigerous Cyst associated with Impacted Maxillary Premolar: A Rare Site
Occurrence and a Rare Coincidence.
AB - A dentigerous cyst is a developmental odontogenic cyst occurring most commonly in
the third molar region of mandible and maxilla and maxillary canine region
followed by mandibular premolar areas. However, dentigerous cyst occurring in
association with impacted maxillary premolars is a very rare presentation
accounting for less than 0.5% of total dentigerous cyst cases. In the following
case report, a dentigerous cyst was reported in maxillary premolar region in a
nonsyndromic patient having one missing premolar and another malformed one
associated with the dentigerous cyst. How to cite this article: Jain N, Gaur G,
Chaturvedy V, Verma A. Dentigerous Cyst associated with Impacted Maxillary
Premolar: A Rare Site Occurrence and a Rare Coincidence. Int J Clin Pediatr Dent
2018;11(1):50-52.
PMID- 29805236
TI - A Novel Approach to extrude Subgingivally Fractured Tooth using Customized
Removable Appliance.
AB - Traumatic dental injuries (TDIs) are common in growing children. Among all the
dental injuries, complicated crown root fractures (Andreasen Class VI) of
maxillary permanent anterior teeth are relatively widespread. Such fractured
teeth are often considered as hopeless and are extracted. However, if the tooth
is to be retained, various treatment strategies have been proposed. The aim of
the present case report is to suggest a new technique to treat a complicated
crown root fracture. The management of this case included endodontic procedure
and orthodontic extrusion to move the fracture line above the supragingival
level. A customized removable Hawley's appliance with a modified single
cantilever spring was fabricated and an anchoring Begg bracket was bonded on the
residual crown of the tooth. This method is useful in the mixed dentition when
there is insufficient anchorage of adjacent teeth because of preshedding mobility
and trauma. How to cite this article: Mohammad Z, Penmetcha S, Bagalkotkar A,
Namineni S. A Novel Approach to extrude Subgingivally Fractured Tooth using
Customized Removable Appliance. Int J Clin Pediatr Dent 2018;11(1):53-57.
PMID- 29805237
TI - Eruption Cyst in the Neonate.
AB - The pediatric dental approach to the oral cavity of newborns requires special
attention, as many aspects are unique and peculiar to this period of life. It is
important that pediatricians and pediatric dentists be aware of the
characteristics within normal newborn patterns and prepared to make a correct
diagnosis of abnormalities at early stages. Congenital eruption cysts (ECs) are
rarely observed in newborns, as at this stage of a child's life, tooth eruption
is unusual. This study reports a case of EC treated successfully by monitoring of
the lesion, without any surgical procedure. In the 4th month, the lesion had
completely regressed, and the deciduous central incisors had erupted without
problems. The clinical and radiographic monitoring of ECs in newborns seems to be
a satisfactory management procedure, similar to what is recommended for older
children. How to cite this article: de Oliveira AJ, Silveira MLG, Duarte DA,
Diniz MB. Eruption Cyst in the Neonate. Int J Clin Pediatr Dent 2018;11(1):58-60.
PMID- 29805238
TI - Optimal bounds for the generalized Euler-Mascheroni constant.
AB - We provide several sharp upper and lower bounds for the generalized Euler
Mascheroni constant. As consequences, some previous bounds for the Euler
Mascheroni constant are improved.
PMID- 29805239
TI - Hadamard and Fejer-Hadamard inequalities for extended generalized fractional
integrals involving special functions.
AB - In this paper we prove the Hadamard and the Fejer-Hadamard inequalities for the
extended generalized fractional integral operator involving the extended
generalized Mittag-Leffler function. The extended generalized Mittag-Leffler
function includes many known special functions. We have several such inequalities
corresponding to special cases of the extended generalized Mittag-Leffler
function. Also there we note the known results that can be obtained.
PMID- 29805240
TI - Gradient projection method with a new step size for the split feasibility
problem.
AB - In this paper, we introduce an iterative scheme using the gradient projection
method with a new step size, which is not depend on the related matrix inverses
and the largest eigenvalue (or the spectral radius of the self-adjoint operator)
of the related matrix, based on Moudafi's viscosity approximation method for
solving the split feasibility problem (SFP), which is to find a point in a given
closed convex subset of a real Hilbert space such that its image under a bounded
linear operator belongs to a given closed convex subset of another real Hilbert
space. We suggest and analyze this iterative scheme under some appropriate
conditions imposed on the parameters such that another strong convergence
theorems for the SFP are obtained. The results presented in this paper improve
and extend the main results of Tian and Zhang (J. Inequal. Appl. 2017:Article ID
13, 2017), and Tang et al. (Acta Math. Sci. 36B(2):602-613, 2016) (in a single
step regularized method) with a new step size, and many others. The examples of
the proposed SFP are also shown through numerical results.
PMID- 29805242
TI - ANOTHER LOOK AT THE FAST ITERATIVE SHRINKAGE/THRESHOLDING ALGORITHM (FISTA).
AB - This paper provides a new way of developing the "Fast Iterative
Shrinkage/Thresholding Algorithm (FISTA)" [3] that is widely used for minimizing
composite convex functions with a nonsmooth term such as the l1 regularizer. In
particular, this paper shows that FISTA corresponds to an optimized approach to
accelerating the proximal gradient method with respect to a worst-case bound of
the cost function. This paper then proposes a new algorithm that is derived by
instead optimizing the step coefficients of the proximal gradient method with
respect to a worst-case bound of the composite gradient mapping. The proof is
based on the worst-case analysis called Performance Estimation Problem in [11].
PMID- 29805241
TI - A Comparison of the Structure and Bonding in the Aliphatic Boronic R-B(OH)2 and
Borinic R-BH(OH) acids (R=H; NH2, OH, and F): A Computational Investigation.
AB - Boronic acids, R-B(OH)2, play an important role in synthetic, biological,
medicinal, and materials chemistry. This investigation compares the structure and
bonding surrounding the boron atoms in the simple aliphatic boronic acids, R
B(OH)2 (R = H; NH2, OH, and F) and the analogous borinic acids, R-BH(OH).
Geometry optimizations were performed using second-order Moller-Plesset
perturbation theory (MP2) with the Dunning-Woon aug-cc-pVTZ, aug-cc-pVQZ and aug
cc-pV5Z basis sets; single-point CCSD(FC)/aug-cc-pVTZ//MP2(FC)/aug-cc-pVTZ level
calculations were used to generate a QCI density for Natural Bond Orbital
analyses of the bonding. The optimized boron-oxygen bond lengths for the X-B-Ot-H
trans-branch of the endo-exo form of the boronic acids and for the X-B-O-H cis
branch of the boronic and borinic acids (X = N, O, and F respectively) decrease
as the electronegativity of X increases. The boron-oxygen bond lengths are
generally longer in the endo-exo or anti forms of the boronic acids than in the
corresponding borinic acids. NBO analyses suggest the boron-oxygen bond in H2BOH
is a double bond; the boron-oxygen bonding in the remaining boronic and borinic
acids in this study have a significant contribution from dative ppi-ppi bonding.
Values for [Formula: see text] for the highly balanced reaction, R-B(OH)2 + R-BH2
-> 2 R-BH(OH), suggest that the bonding surrounding the boron atom is stronger in
the borinic acid than in the corresponding boronic acid.
PMID- 29805243
TI - Clinical Consensus Strategies to Repair Ruptures in the Therapeutic Alliance.
AB - Objective: The alliance been recognized as an essential common factor and robust
predictor of outcome. The present study sought to further our knowledge of the
alliance and to promote the integration of research and practice by assessing
consensus among peer-nominated expert therapists of varying theoretical
orientations on the effectiveness of clinical strategies to repair alliance
ruptures. Method: This study drew on the behavioral-analytic model (Goldfried &
D'Zurilla, 1969) and the methodology of the Expert Consensus Guideline Series
(Frances, Docherty, & Kahn 1997). In Phase I, 69 therapists submitted clinical
situations describing alliance ruptures. In Phase II, 177 therapists generated
responses to the situations, and clinical strategies underlying the responses
were identified. In Phase III, 134 peer-nominated experts (a mean of 22.3
therapists per situation) rated the effectiveness of these clinical strategies.
Results: The experts reached consensus on the use of strategies that validated
the client's experience and explored the rupture during the rupture session.
Change-oriented interventions (e.g., changing interpersonal interactions;
highlighting patterns of behavior, thought, or emotions) were generally rated as
less effective to use during the rupture, but effective for use in future
sessions. Conclusions: The findings are consistent with the growing literature on
the value of using certain alliance-focused interventions during a rupture. The
findings point to the importance of therapists' awareness of the state of the
alliance so that they can identify when ruptures are occurring.
PMID- 29805244
TI - Bispectrum Inversion with Application to Multireference Alignment.
AB - We consider the problem of estimating a signal from noisy circularly-translated
versions of itself, called multireference alignment (MRA). One natural approach
to MRA could be to estimate the shifts of the observations first, and infer the
signal by aligning and averaging the data. In contrast, we consider a method
based on estimating the signal directly, using features of the signal that are
invariant under translations. Specifically, we estimate the power spectrum and
the bispectrum of the signal from the observations. Under mild assumptions, these
invariant features contain enough information to infer the signal. In particular,
the bispectrum can be used to estimate the Fourier phases. To this end, we
propose and analyze a few algorithms. Our main methods consist of non-convex
optimization over the smooth manifold of phases. Empirically, in the absence of
noise, these non-convex algorithms appear to converge to the target signal with
random initialization. The algorithms are also robust to noise. We then suggest
three additional methods. These methods are based on frequency marching,
semidefinite relaxation and integer programming. The first two methods provably
recover the phases exactly in the absence of noise. In the high noise level
regime, the invariant features approach for MRA results in stable estimation if
the number of measurements scales like the cube of the noise variance, which is
the information-theoretic rate. Additionally, it requires only one pass over the
data which is important at low signal-to-noise ratio when the number of
observations must be large.
PMID- 29805245
TI - Mental Health Symptoms and Parenting Stress of Parents of Court-Involved Youth.
AB - Youth involved in the juvenile justice system are at risk for emotional and
behavioral problems. However, research with court-involved adolescents has
neglected to examine the mental health of their parents, who may also have
significant personal and parenting stress. This sample consisted of 144 parent
adolescent dyads. Adolescents (aged 11 to 17 years) identified by court officials
were referred to the study to receive mental health treatment. Parents and
adolescents completed surveys about their mental health diagnoses, treatment, and
family relationships. Using the clinical cut-off for the global severity index of
the Symptom Checklist-90-Revised, bivariate and multiple logistic regression
analyses were performed to examine group differences between parents with and
without significant mental health symptoms. Results indicated that 35% of parents
endorsed clinically significant mental health symptoms. Parents with clinically
significant symptoms, compared to those without, reported significantly greater
parenting stress (p < .05), and were more likely to have received prior mental
health treatment (54% vs. 25%; p < .05) and a psychiatric diagnosis (52% vs. 19%;
p < .05). Our findings revealed that more than one in three parents of court
involved adolescents are currently experiencing significant mental health
symptoms. Improved mental health screening and intervention that incorporates the
unique needs of families is recommended, including the possible use of family
based approaches as well as individualized treatment for the parents of court
involved youth.
PMID- 29805247
TI - Local competition increases people's willingness to harm others.
AB - Why should organisms incur a cost in order to inflict a (usually greater) cost on
others? Such costly harming behavior may be favored when competition for
resources occurs locally, because it increases individuals' fitness relative to
close competitors. However, there is no explicit experimental evidence supporting
the prediction that people are more willing to harm others under local versus
global competition. We illustrate this prediction with a game theoretic model,
and then test it in a series of economic games. In these experiments, players
could spend money to make others lose more. We manipulated the scale of
competition by awarding cash prizes to the players with the highest payoffs per
set of social partners (local competition) or in all the participants in a
session (global competition). We found that, as predicted, people were more
harmful to others when competition was local (Study 1). This result still held
when people "earned" (rather than were simply given) their money (Study 2). In
addition, when competition was local, people were more willing to harm ingroup
members than outgroup members (Study 3), because ingroup members were the
relevant competitive targets. Together, our results suggest that local
competition in human groups not only promotes willingness to harm others in
general, but also causes ingroup hostility.
PMID- 29805246
TI - A Genomically Informed Education System? Challenges for Behavioral Genetics.
AB - The exponential growth of genetic knowledge and precision medicine research
raises hopes for improved prevention, diagnosis, and treatment options for
children with behavioral and psychiatric conditions. Although well-intended, this
prospect also raise the possibility-and concern-that behavioral, including
psychiatric genetic data would be increasingly used-or misused-outside the
clinical context, such as educational settings. Indeed, there are ongoing calls
to endorse a "personalized education" model that would tailor educational
interventions to children's behavioral and psychiatric genetic makeup. This
article explores the justifications for, and prospects and pitfalls of such
endeavors. It considers the scientific challenges and highlights the ethical,
legal, and social issues that will likely arise should behavioral genetic data
become available (or be perceived as such) and are routinely incorporated in
student education records. These include: when to disclose students' behavioral
and psychiatric genetic profile; whose genomic privacy is protected and by whom;
and how students' genetic data may affect education-related decisions. I argue
that the introduction of behavioral genetics in schools may overshadow the need
to address underlying structural and environmental factors that increase the risk
for psychiatric conditions of all students, and that the unregulated use of
student behavioral genetic profiles may lead to unintended consequences that are
detrimental for individuals, families and communities. Relevant stakeholders-from
parents and students to health professionals, educators, and policy-makers-ought
to consider these issues before we forge ahead with a genomically informed
education system.
PMID- 29805248
TI - Hepatitis B Virus Testing and Care among Pregnant Women Using Commercial Claims
Data, United States, 2011-2014.
AB - Introduction: Pregnant women should receive hepatitis B virus (HBV) testing with
hepatitis B surface antigen (HBsAg), but it is unclear whether HBV-infected
pregnant women are linked to care. Methods: We analyzed MarketScanTM commercial
insurance claims. We included pregnant women, aged 10-50 years, with 42 weeks of
continuous enrollment before (predelivery) and 6 months after (postdelivery) the
first delivery claim for each unique pregnancy between 1/1/2011 and 6/30/2014. We
identified claims for HBsAg testing by CPT code and described the care continuum
among pregnancies with an associated ICD-9 HBV diagnosis code by demographic and
clinical characteristics, including HBV-directed care ([HBV DNA or hepatitis B e
antigen] and ALT test codes) and antiviral treatment (claims for tenofovir,
entecavir, lamivudine, adefovir, or telbivudine) pre- and postdelivery. Results:
There were 870,888 unique pregnancies (819,752 women) included. Before delivery,
714,830 (82%) pregnancies had HBsAg test claims, but this proportion decreased
with subsequent pregnancies (p < 0.0001): second (80%), third (71%), and fourth
(61%). We identified 1,190 (0.14%) pregnancies with an associated HBV diagnosis
code: most were among women aged >= 30 years (76%) residing in the Pacific (34%)
or Middle Atlantic (18%) regions. Forty-two percent of pregnancies with an HBV
diagnosis received HBV-directed care (42% predelivery and 39% postdelivery).
Antiviral treatment was initiated before delivery in 128 (13%) of 975 pregnancies
and postdelivery in 16 (1.6%) pregnancies. Conclusions: While most of these
commercially insured pregnant women received predelivery HBV screening, we
identified gaps in HBV testing and the HBV care continuum which highlight
potential targets for public health interventions.
PMID- 29805249
TI - Efficacy of intra-meibomian gland injection of the anti-VEGF agent bevacizumab
for the treatment of meibomian gland dysfunction with lid-margin vascularity.
AB - Purpose: To investigate the efficacy of a novel treatment - intra-meibomian gland
(MG) injection of the anti-VEGF agent bevacizumab - for MG dysfunction (MGD) with
eyelid-margin vascularity. Methods: A total of 26 eyes from 13 patients diagnosed
with MGD and eyelid-margin vascularity were included in our study. Patients
received intra-meibomian gland injections of bevacizumab (150 MUL, 2.5 mg/0.1 mL)
at multiple sites with a 29 G needle where telangiectasia was severe. The Ocular
Surface Disease Index (OSDI), tear film, tear-breakup time (TBUT), eyelid-margin
features, MG features, conjunctiva, and corneal staining were assessed at 1 day
before injection and 1 week, 1 month, and 3 months after injection. Blood
pressure, best-corrected visual acuity, intraocular pressure, and slit lamp
examinations were performed to assure the safety of patients at 1 day before and
1 day, 1 week, 1 month, and 3 months after injection. Results: Lid-margin
vascularity, conjunctival injection, expressed secretion quality, expressivity of
the MG, TBUT, corneal staining, and OSDI were significantly improved 1 week, 1
month, and 3 months after injection compared to baseline values. Lid-margin
vascularity, conjunctival injection, meibomian gland expressivity, TBUT, and OSDI
continued to improve; the greatest improvements were observed at 1 month and
sustained for 3 months. Spearman's correlation analysis indicated that age and
sex significantly influenced TBUT improvement. Females and older patients tended
to have shorter baseline TBUT that followed a different trend from that of males
and younger patients during postinjection visits, revealed by subgroup analysis.
No local or systemic side effects were observed at follow-up visits. Conclusion:
This study is the first to explore a novel therapy for MGD - intra-MG injection
of the anti-VEGF agent bevacizumab - and it demonstrates that the treatment is
effective and safe in eliminating eyelid-margin vascularity, improving MG
function and relieving clinical signs and symptoms of MGD.
PMID- 29805250
TI - Choosing the appropriate treatment setting: which information and decision-making
needs do adult inpatients with mental disorders have? A qualitative interview
study.
AB - Background: Decisions on medical treatment setting are perceived as important but
often difficult to make for patients with mental disorders. Shared decision
making as a strategy to decrease decisional conflict has been recommended, but is
not yet widely implemented. This study aimed to investigate the information needs
and the decision-making preferences of patients with mental disorders prior to
the decision for a certain treatment setting. The results will serve as a
prerequisite for the development of a high-quality patient decision aid (PtDA)
regarding the treatment setting decision. Methods: We conducted retrospective
individual semi-structured interviews with n=24 patients with mental disorders in
three psychotherapeutic inpatient care units. The interviews were audiotaped,
transcribed, coded, and content-analyzed. Results: The majority of the patients
wanted to be involved in the decision-making process. They reported high
information needs regarding treatment options in order to feel empowered to
participate adequately in the decision for a certain treatment setting. However,
some patients did not want to participate or receive information, for example,
because of their high burden of mental disorder. Whereas the majority were
satisfied with the extent they were involved in the decision, few participants
felt sufficiently informed about treatment options. Most patients reported that a
decision aid regarding an appropriate treatment setting would have been helpful
for them. Important information that should be included in a PtDA was general
information about mental illness, effective treatment options, specific
information about the different treatment settings, and access to treatment.
Discussion: The identified information and decision-making needs provide a
valuable basis for the development of a PtDA aiming to support patients and
caregivers regarding the decision for an adequate treatment setting. As
preferences for participation vary among patients and also depend on the current
mental state, a flexible approach is needed to meet patients' individual wishes
and needs.
PMID- 29805251
TI - Prior experience with cardiovascular medicines predicted longer persistence in
people initiated to combinations of antihypertensive and lipid-lowering
therapies: findings from two Australian cohorts.
AB - Purpose: Many studies of persistence involving fixed dose combinations (FDCs) of
cardiovascular medicines have not adequately accounted for a user's prior
experience with similar medicines. The aim of this research was to assess the
effect of prior medicine experience on persistence to combination therapy.
Patients and methods: Two retrospective cohort studies were conducted in the
complete Pharmaceutical Benefits Scheme prescription claims dataset. Initiation
and cessation rates were determined for combinations of: ezetimibe/statin; and
amlodipine/statin. Initiators to combinations of these medicines between April
and September 2013 were classified according to prescriptions dispensed in the
prior 12 months as either: experienced to statin or calcium channel blocker
(CCB); or naive to both classes of medicines. Cohorts were stratified according
to formulation initiated: FDC or separate pill combinations (SPC). Cessation of
therapy over 12 months was determined using Kaplan-Meier survival analysis. Risk
of cessation, adjusted for differences in patient characteristics was assessed
using Cox proportional hazard models. Results: There were 12,169 people who
initiated combinations of ezetimibe/statin; and 26,848 initiated combinations of
amlodipine/statin. A significant proportion of each cohort were naive initiators:
ezetimibe/statin cohort, 1,964 (16.1%) of whom 81.9% initiated a FDC; and
amlodipine/statin cohort, 5,022 (18.7%) of whom 55.4% initiated a FDC. Naive
initiators had a significantly higher risk of ceasing therapy than experienced
initiators regardless of formulation initiated: ezetimibe/statin cohort, naive
FDC versus experienced FDC HR=3.0 (95% CI 2.8, 3.3) and naive SPC versus
experienced SPC HR=4.4 (95% CI 3.8, 5.2); and amlodipine/statin cohort naive FDC
versus experienced FDC HR=2.0 (95% CI 1.8, 2.2) and naive SPC versus experienced
SPC HR=1.5 (95% CI 1.4, 1.6). Conclusion: Prescribers are initiating people to
combinations of two cardiovascular medicines without prior experience to at least
one medicine in the combination. This is associated with a higher risk of ceasing
therapy than when combination therapy is initiated following experience with one
component medicine. The use of FDC products does not overcome this risk.
PMID- 29805252
TI - Tolerability of buprenorphine transdermal system in nursing home patients with
advanced dementia: a randomized, placebo-controlled trial (DEP.PAIN.DEM).
AB - Purpose: Buprenorphine transdermal system is increasingly prescribed in people
with advanced dementia, but no clinical trial has investigated the safety and
factors associated with discontinuation due to adverse events in this population.
Patients and methods: One hundred sixty-two people with advanced dementia and
significant depression from 47 nursing homes were included and randomized to
active analgesic treatment (acetaminophen/buprenorphine) or identical placebo for
13 weeks. In this secondary analysis, the main outcomes were time to and reasons
for discontinuation of buprenorphine due to adverse events. Change in daytime
activity as measured by actigraphy was a secondary outcome. Results: Of the 44
patients who received active buprenorphine 5 ug/hour, 52.3% (n=23) discontinued
treatment due to adverse events compared to 13.3% (6 of 45) in the placebo group
(p<0.001). Psychiatric and neurological adverse events were the most frequently
reported causes of discontinuation (69.6%, n=16). Concomitant use of
antidepressants significantly increased the risk of discontinuation (HR 23.2, 95%
CI: 2.95-182, p=0.003). Adjusted for age, sex, cognitive function, pain and
depression at baseline, active buprenorphine was associated with 24.0 times
increased risk of discontinuation (Cox model, 95% CI: 2.45-235, p=0.006). Daytime
activity dropped significantly during the second day of active treatment (-21.4%,
p=0.005) and decreased by 12.9% during the first week (p=0.053). Conclusion:
Active buprenorphine had significantly higher risk of discontinuation compared
with placebo in people with advanced dementia and depression, mainly due to
psychiatric and neurological adverse events. Daytime activity dropped
significantly during the first week of treatment. Concomitant use of
antidepressants further reduced the tolerability of buprenorphine.
PMID- 29805253
TI - Frailty transitions and types of death in Chinese older adults: a population
based cohort study.
AB - Background: Little is known about the adverse effects of frailty transitions. In
this study, we aimed to characterize the transitions between frailty states and
examine their associations with the type of death among older adults in China, a
developing country with a rapidly growing aging population. Methods: We used data
of 11,165 older adults (aged 65-99 years) from the 2002 and 2005 waves of the
Chinese Longitudinal Healthy Longevity Survey (CLHLS). Overall, 44 health
deficits were used to construct frailty index (FI; range: 0-1), which was then
categorized into a three-level variable: nonfrail (FI <=0.10), prefrail (0.10< FI
<=0.21), and frail (FI >0.21). Outcome was four types of death based on bedridden
days and suffering state (assessed in the 2008 wave of CLHLS). Results: During
the 3-year period, 3,394 (30.4%) participants had transitioned between different
frailty states (nonfrail, prefrail, and frail), one-third transitioned to death,
and one-third remained in previous frailty states. Transitions to greater frailty
(ie, "worsening") were more common than transitions to lesser frailty (ie,
"improvement"). Among four categories of frailty transitions, "worsening" and
"remaining frail" had increased risks of painful death, eg, with odds ratios of
1.92 (95% confidence interval [CI] =1.41, 2.62) and 4.75 (95% CI =3.32, 6.80),
respectively, for type 4 death (ie, >=30 bedridden days with suffering before
death). Conclusion: This large sample of older adults in China supports that
frailty is a dynamic process, characterized by frequent types of transitions.
Furthermore, those who remained frail had the highest likelihood of experiencing
painful death, which raises concerns about the quality of life in frail
populations.
PMID- 29805254
TI - The impacts of morning, daytime, and nighttime symptoms on disease burden in real
world patients with COPD.
AB - Background: Respiratory symptoms are increasingly recognized as an important
consideration in COPD management. Understanding the links between the time(s) of
day symptoms are experienced and overall symptom burden could support
personalized management strategies. This real-world study aimed to establish the
association between the time of day of symptoms and the burden on patients using
validated patient-reported outcomes, health care resource utilization, and
physician-perceived impact of COPD on patients' lives. Materials and methods:
Analyses used data from four waves (2012, 2013, 2014, and 2016) of the
Respiratory Disease Specific Programme: cross-sectional surveys of patients with
COPD in Germany, Italy, Spain, and the UK. Patients were classified by their
physicians as having symptoms in the morning (M), daytime (D), and/or nighttime
(N) in the 4 weeks before entering the Disease Specific Programme. Outcomes
included health care resource utilization, work productivity and activity
impairment, COPD Assessment Test, EuroQol 5-dimension 3-level questionnaire with
visual analog scale, and Jenkins Sleep Evaluation Questionnaire. Results: In
total, 8,844 patients were included, and 8,185 had evaluable time-of-day symptom
data. Physicians reported that in the previous 4 weeks, 25% of patients
experienced no symptoms, 16% D only, 17% M/D only, 6% D/N only, 4% M, N, or M/N
only, and 32% M/D/N. In general, patients with M/D/N symptoms utilized more
health care resources in the previous 12 months, had more prior exacerbations,
and reported worse activity impairment, health status, and sleep than other
symptom groups, whereas patients with symptoms at any time of the day utilized
more resources, experienced more exacerbations, and reported worse health status
than patients with no symptoms during the 4 weeks before entering the survey.
Conclusion: Patients experiencing morning, daytime, and nighttime symptoms
experience a greater disease burden than those in other groups. An individualized
approach to COPD treatment based on the timing and persistence of symptoms may
improve outcomes for these patients.
PMID- 29805255
TI - Reliability and validity of the test of incremental respiratory endurance
measures of inspiratory muscle performance in COPD.
AB - Purpose: The Test of Incremental Respiratory Endurance (TIRE) provides a
comprehensive assessment of inspiratory muscle performance by measuring maximal
inspiratory pressure (MIP) over time. The integration of MIP over inspiratory
duration (ID) provides the sustained maximal inspiratory pressure (SMIP).
Evidence on the reliability and validity of these measurements in COPD is not
currently available. Therefore, we assessed the reliability, responsiveness and
construct validity of the TIRE measures of inspiratory muscle performance in
subjects with COPD. Patients and methods: Test-retest reliability, known-groups
and convergent validity assessments were implemented simultaneously in 81 male
subjects with mild to very severe COPD. TIRE measures were obtained using the
portable PrO2 device, following standard guidelines. Results: All TIRE measures
were found to be highly reliable, with SMIP demonstrating the strongest test
retest reliability with a nearly perfect intraclass correlation coefficient (ICC)
of 0.99, while MIP and ID clustered closely together behind SMIP with ICC values
of about 0.97. Our findings also demonstrated known-groups validity of all TIRE
measures, with SMIP and ID yielding larger effect sizes when compared to MIP in
distinguishing between subjects of different COPD status. Finally, our analyses
confirmed convergent validity for both SMIP and ID, but not MIP. Conclusion: The
TIRE measures of MIP, SMIP and ID have excellent test-retest reliability and
demonstrated known-groups validity in subjects with COPD. SMIP and ID also
demonstrated evidence of moderate convergent validity and appear to be more
stable measures in this patient population than the traditional MIP.
PMID- 29805256
TI - The effects of home oxygen therapy on energy metabolism in patients with COPD.
AB - Background: COPD is preventable and treatable and is characterized by completely
nonreversible airflow obstruction. In this study, we aimed to investigate the
effect of long-term oxygen therapy on patients with stage 4 COPD who were
followed up and treated at the polyclinic or clinic service. We evaluated the
effects of oxygen therapy on energy metabolism and physical activity in patients
with COPD. Methods: Nineteen patients with COPD (16 male/3 female), treated with
oxygen therapy for the first time, were included in this study. Analysis of
arterial blood gases and pulmonary function test was performed. Metabolic Holter
device (SenseWear(r) Armband) was placed pre- and post-oxygen therapy on the
patients' arm for at least 3 days. This device captures Holter data in a
digitized electronic system, and the daily average value was calculated from the
data. Results: Post-oxygen treatment showed a significant increase in energy
expenditure by patients with COPD (pretreatment, 1,497+/-596 joule;
posttreatment, 2,977+/-5,985 joule; P=0.044). Moreover, number of steps during
walking (pretreatment, 2,056+/-256; posttreatment, 2,120+/-195; P=0.03), resting
(pretreatment, 6.36+/-3.31 hours; posttreatment, 3.47+/-2.19 hours; P<0.03), and
sleeping (pretreatment, 4.23+/-2.13 hours; posttreatment, 2.33+/-1.42 hours;
P<0.00) showed significant differences. Increased daily energy expenditure in
patients with respiratory failure was detected with long-term oxygen therapy. In
addition, the immobility of patients decreased and duration of physical activity
increased in patients with COPD. Conclusion: In this study, positive effects of
long-term oxygen therapy have been demonstrated with respect to energy metabolism
and physical activity of patients with COPD. Thus, we recommend that medication
adherence and long-term oxygen therapy should begin early in patients with COPD.
PMID- 29805257
TI - Clinical characteristics and related risk factors of depression in patients with
early COPD.
AB - Background and objective: Although depression is considered one of the
comorbidities of COPD, the clinical characteristics of depression in patients
with early COPD remain unknown. We aimed to use national-level data to identify
the clinical features and risk factors of depression in patients with early COPD.
Methods: We examined 7,550 subjects who were registered in the Korean National
Health and Nutrition Examination Survey database of 2014 because that was the
only year in which the Patient Health Questionnaire-9 for depression status was
administered. Spirometry was used to identify patients with COPD whose forced
expiratory volume in 1 second was 50% or more, and these patients were included
in the analysis. Results: Of the 211 subjects with early COPD, 14.2% also had
depression, whereas 85.8% did not. The patients with depression were
predominantly living alone and had a greater prevalence of diabetes compared with
the patients without depression. The overall quality of life of the subjects with
depression was lower than that of those without depression, and only the quality
of life index correlated significantly with depression severity. In the
multivariate regression analysis, female sex (adjusted OR, 1.79; 95% CI, 1.38
2.31; p<0.01), living alone (adjusted OR, 1.86; 95% CI, 1.37-2.51; p<0.01), and
low income (adjusted OR, 2.17; 95% CI, 1.55-3.04; p<0.01) were identified as
significant risk factors for depression. Conclusion: In patients with early COPD,
depression was associated with a low quality of life, and female sex, living
alone and low income were significant risk factors for depression.
PMID- 29805258
TI - Effectiveness of a perioperative pulmonary rehabilitation program following
coronary artery bypass graft surgery in patients with and without COPD.
AB - Purpose: It is unclear whether the effectiveness of pulmonary rehabilitation
program (PRP) after cardiac surgery differs between patients with and without
COPD. This study aimed to compare the effectiveness of PRP between patients with
and without COPD undergoing coronary artery bypass graft (CABG) surgery. Patients
and methods: We retrospectively included patients who underwent CABG surgery and
received 3-week PRP from January 2009 to December 2013. We excluded patients who
underwent emergency surgery, had an unstable hemodynamic status, were ventilator
dependent or did not complete the PRP. Demographics, muscle strength, degree of
dyspnea, pulmonary function and postoperative complications were compared.
Results: Seventy-eight patients were enrolled (COPD group, n=40; non-COPD group,
n=38). Maximal inspiratory pressure (MIP; -34.52 cmH2O vs -43.25 cmH2O, P<0.01;
34.67 cmH2O vs -48.18 cmH2O, P<0.01), maximal expiratory pressure (MEP; 32.15
cmH2O vs 46.05 cmH2O, P<0.01; 37.78 cmH2O vs 45.72 cmH2O, P<0.01) and respiratory
rate (RR; 20.65 breath/minute vs 17.02 breath/minute, P<0.01; 20.65 breath/minute
vs 17.34 breath/minute, P<0.01) in COPD and non-COPD groups, respectively, showed
significant improvement, but were not significantly different between the two
groups. Forced vital capacity (FVC; 0.85 L vs 1.25 L, P<0.01), forced expiratory
volume in 1 second (FEV1; 0.75 L vs 1.08 L, P<0.01), peak expiratory flow (PEF;
0.99 L vs 1.79 L, P<0.01) and forced expiratory flow between 25% and 75% of vital
capacity (FEF25-75; 0.68 L vs 1.15 L, P<0.01) showed significant improvement
between postoperative Days 1 and 14 in the COPD group. FVC (1.11 L vs 1.36 L,
P<0.05), FEV1 (96 L vs 1.09 L, P<0.05) and FEF25-75 (1.03 L vs 1.26 L, P<0.05)
were significantly improved in the non-COPD group. However, only PEF (80.8% vs
10.1%, P<0.01) and FEF25-75 (67.6% vs 22.3%, P<0.05) were more significantly
improved in the COPD group than in the non-COPD group. Conclusion: PRP
significantly improved respiratory muscle strength and lung function in patients
with and without COPD who underwent CABG surgery. However, PRP is more effective
in improving PEF and FEF25-75 in COPD patients.
PMID- 29805259
TI - Hemoglobin and mortality in patients with COPD: a nationwide population-based
cohort study.
AB - Purpose: Previous studies have reported that anemia increased mortality in
patients with COPD. However, it is unclear whether anemia is associated with
increased COPD mortality in the general population. The purpose of our study is
to identify whether anemia is related to long-term mortality in COPD using a
large population-based database. Patients and methods: Using the National Health
Insurance Service-Health Screening Cohort, we identified COPD patients with
available hemoglobin level. We analyzed mortality among patients with COPD from
2003 to 2013 according to hemoglobin level. Results: A total of 7,114 patients
with COPD were identified. Mean age was 65.0+/-9.3 years, and 62.9% were male.
Anemia was present in 469 patients (6.6%). The overall mortality rate was 46.5%
in anemia and 32.1% in non-anemia groups (p<0.001). The hazard ratio of anemia
for mortality was 1.31 (95% CI, 1.11-1.54). Among patients with anemia, the
hemoglobin level correlated well with mortality. Conclusion: Anemia was
associated with increased long-term mortality of COPD, and even mild anemia was
related to a significantly increased risk.
PMID- 29805261
TI - Effect of serum inflammatory markers on the prognosis of adult and pediatric
patients with Guillain-Barre syndrome.
AB - Objective: The aim of this study was to evaluate blood neutrophil-lymphocyte
ratio (NLR), platelet-lymphocyte ratio (PLR), C-reactive protein (CRP), and
albumin levels for their prognostic value in adult Guillain-Barre syndrome (GBS
A) and pediatric Guillain-Barre syndrome (GBS-P) patients. Patients and methods:
We retrospectively analyzed the medical records of 68 Guillain-Barre syndrome
(GBS) patients (36 adults, 32 children) who were treated as inpatients at Harran
University Faculty of Medicine, Neurology and Pediatric Neurology Departments.
The pretreatment NLR, PLR, CRP, and albumin levels and Hughes scores at hospital
admission, discharge, and third-month control were documented. Results: In GBS-A
patients, the mean CRP and NLR levels at admission/discharge and third-month
control were significantly higher, and the mean albumin level was significantly
lower in the Hughes disability scale (HDS)>=3 group. In GBS-P group, the mean NLR
level at third month was significantly higher in the HDS>=3 group. GBS-A patients
had higher mean NLR, PLR, and CRP levels and lower mean albumin values than GBS-P
patients. Both GBS-A and GBS-P patients had higher mean NLR, PLR, and CRP levels
and lower mean albumin values than healthy controls. Only the albumin level of
the GBS-A group was found to be a significant predictor of prognosis at discharge
from hospital. Conclusion: NLR, CRP, and albumin levels in the GBS-A group and
NLR levels in the GBS-P group may be helpful in predicting the prognosis of the
disease. The albumin level of GBS-A patients is an independent risk factor for
prognosis at discharge from hospital.
PMID- 29805260
TI - Mechanistic insight into the interaction of gastrointestinal mucus with oral
diblock copolymers synthesized via ATRP method.
AB - Introduction: Nanoparticles are increasingly used as drug carriers for oral
administration. The delivery of drug molecules is largely dependent on the
interaction of nanocarriers and gastrointestinal (GI) mucus, a critical barrier
that regulates drug absorption. It is therefore important to understand the
effects of physical and chemical properties of nanocarriers on the interaction
with GI mucus. Unfortunately, most of the nanoparticles are unable to be prepared
with satisfactory structural monodispersity to comprehensively investigate the
interaction. With controlled size, shape, and surface chemistry, copolymers are
ideal candidates for such purpose. Materials and methods: We synthesized a series
of diblock copolymers via the atom transfer radical polymerization method and
investigated the GI mucus permeability in vitro and in vivo. Results: Our results
indicated that uncharged and hydrophobic copolymers exhibited enhanced GI
absorption. Conclusion: These results provide insights into developing optimal
nanocarriers for oral administration.
PMID- 29805262
TI - The association between rs12901499 polymorphism in SMAD3 gene and risk of
osteoarthritis: a meta-analysis.
AB - Purpose: This study was conducted to assess and synthesize the current evidence
on the association between rs12901499 polymorphism in SMAD3 gene and risk of
osteoarthritis (OA). Materials and methods: Four electronic databases, including
PubMed, Embase, ISI Web of Science, and CENTRAL were systematically searched for
potential studies. Summary odds ratio and corresponding 95% CI were calculated to
evaluate the association. Risk of bias was assessed through the Newcastle-Ottawa
Scale. Subgroups and sensitivity analyses were performed using the RevMan 5.3
software. Publication bias was evaluated by Egger's and Begg's tests. Power
analysis was conducted using the Power and Sample Size Calculation program.
Results: Eight case-control studies containing 5,625 patients with OA and 5,600
healthy controls were obtained for the meta-analysis. After excluding cohorts
with inadequate power, the pooled data supported that G allele carriers of
rs12901499 had a significantly increased risk of OA (odds ratio 1.31, 95% CI:
1.21 to 1.43, P<0.00001). When stratified by OA site and ethnicity, the
association remained statistically significant. Conclusion: The combined results
evidently supported that rs12901499 polymorphism in SMAD3 gene is significantly
associated with OA vulnerability across both Caucasian and Asian populations.
PMID- 29805263
TI - Laparoscopic spleen-preserving pancreatic resection for epidermoid cyst in an
intrapancreatic accessory spleen: case report and literature review.
AB - Introduction: An epidermoid cyst in an intrapancreatic accessory spleen (ECIPAS)
is a rare non-neoplastic cyst, typically occurring in the pancreatic tail. It is
difficult to preoperatively differentiate ECIPAS from other types of pancreatic
neoplastic cysts. Case presentation: We herein report a case of a 32-year-old man
with a cystic tumor in the tail of the pancreas. The patient underwent a
laparoscopic spleen-preserving distal pancreatectomy, and histological
examination revealed the presence of ECIPAS. In addition, we also performed a
literature review of 42 case reports of ECIPAS. Conclusion: Although the
preoperative diagnosis of ECIPAS is relatively difficult, familiarity with the
imaging features, the clinical presentation and the location of the cyst could
lead to a correct preoperative diagnosis of ECIPAS, which might thereby reduce
the number of unnecessary resections.
PMID- 29805264
TI - Coordination of services for dual diagnosis clients in the interface between
specialist and community care.
AB - Purpose: The aim of this article is to study the coordination of comprehensive
services for clients with concurrent substance abuse and mental health disorders
(dual diagnosis), which is a very complex client group. In order to achieve
comprehensive care and treatment, the service providers need to cooperate and
coordinate, but the questions here, are how this is done and how appropriate the
coordination is. Data and methods: Data were collected from group interviews
during a 1-day workshop with clients, relatives, and employees from the various
services involved. Results: Information exchange between the services was
generally in writing. Coordination between substance abuse and mental health
services was experienced as fragmented. Employees had an unclear perception of
the work and expertise of the other service providers involved. There were
examples of disparity between the services a municipality could offer and client
needs. A coordinator, if available, was emphasized by both clients and service
providers as serving an important function in coordination and relationship
building. Conclusion: Predominantly written communication and unclear division of
responsibilities and duties resulted in employees creating stereotypes of each
other, both within specialist health services and between specialist and
municipal health services. A coordinator was able to coordinate various inputs,
often through informal contact, with a view to establishing appropriate services
for individual clients. Coordination in interagency meeting points, such as
"responsibility teams", was the most successful solution, but this will involve a
greater degree of networking than is common today.
PMID- 29805265
TI - Expression patterns of oxyR induced by oxidative stress from Porphyromonas
gingivalis in response to photo-activated disinfection.
AB - Introduction: Porphyromonas gingivalis, an important endodontic pathogen, may be
exposed to sublethal doses of photo-activated disinfection (sPAD) during root
canal therapy. Such an exposure can affect bacterial survival and virulence
features. In this study, we evaluated the effect of sPAD-related oxidative
stresses on the expression of oxidative stress response gene (oxyR) in P.
gingivalis clinical isolates surviving in vitro photodynamic treatment. Materials
and methods: To determine the sPAD, 16 clinical P. gingivalis isolates
photosensitized with toluidine blue O (TBO), methylene blue (MB), and indocyanine
green (ICG) were irradiated with specific wavelength and energy density of diode
laser corresponding to the photosensitizers following bacterial viability
measurements. The effects of sPAD on the expression ratio of oxyR of 16 clinical
P. gingivalis isolates were then assessed using quantitative real-time PCR (qRT
PCR) assay. Results: Maximum values of sPAD against P. gingivalis were 6.25,
15.6, and 25 MUg/mL at fluencies of 171.87, 15.6, and 93.75 J/cm2, respectively,
for TBO-, ICG-, and MB-sPAD (P>0.05). ICG-, MB-, and TBO-sPAD could increase the
oxyR gene expression of the clinical P. gingivalis isolates 12.3-, 5.6-, and 8.5
fold, respectively. ICG-sPAD increased the expression of oxyR gene in clinical
isolates of P. gingivalis ~1.5- and 2-fold higher than TBO- and MB-sPAD,
respectively. Conclusion: Our results showed that upregulation of oxyR during
sPAD may lead to better survival and increased pathogenicity of P. gingivalis
isolates. Therefore, selection of appropriate photo-activated disinfection dosage
should be considered for the successful treatment of endodontic infection.
PMID- 29805268
TI - Generalized peritonitis after spontaneous rupture of pyonephrosis: a case report.
AB - Background: Peritoneal fistulization of a pyonephrosis is an extremely rare event
which invariably leads to generalized peritonitis. This is a very rare case
report on generalized peritonitis after spontaneous rupture of pyonephrosis. Case
presentation: A 28-year-old male patient from the rural part of Bale zone,
Ethiopia, was admitted to Goba Referral Hospital with high-grade fever, diffused
abdominal pain and abdominal distension. Initially, he experienced colicky and
intermittent pain that made him stay at home for 2-3 days. He then started to
develop constant left flank pain which gradually got worse and was associated
with urinary frequency of approximately 5-6 times/day, high-grade intermittent
fever, chills, rigors and loss of appetite. With the diagnosis of generalized
peritonitis, we resuscitated him with two bags of normal saline and one bag of
ringer lactate intravenously. During an abdominal ultrasound examination we
identified that the left kidney was replaced by an abscess containing sac, and
there was a huge intraperitoneal loculated abscess with internal septation and an
associated free inter-loop and pelvic echo debris abscess. When we performed an
exploratory laparotomy, 1 L-thick abscess from the general peritoneum was
aspirated and early fibrinous inter-loop adhesion was identified. In addition,
there was a large retroperitoneal cystic abscess containing sac extended from the
spleen up to the pelvic brim crossing the midline to the right side and bulged
intraperitoneally. Furthermore, a 1.5 cm wide perforation that pour abscess in to
peritoneal cavity was found. A total of 4 L of puss was removed from the left
kidney. As treatment, since the left kidney lost all function and became a pus
contacting sac, we performed a left-sided nephrectomy and abdominal lavage.
Postoperatively, the patient had an uneventful recovery and was discharged from
the hospital on the eighth day. We followed him for 6 months, and kidney function
tests were normal and he did not develop any complications. Conclusion: This case
report highlighted the importance of recognizing the possibility of underlying
kidney rupture in a patient with generalized peritonitis. Uretero-pelvic junction
obstruction (UPJO) might be the possible cause of pyonephrosis in our case. As a
treatment, nephrectomy is a preferable option when the affected kidney is not
fully functional and the contralateral kidney is normal.
PMID- 29805269
TI - Photoleukocoria with smartphone photographs.
AB - A 3-year-old boy was referred with suspected leukocoria in the right eye,
detected in all smartphone photographs taken by his parents. His medical and
family history was unremarkable. The visual acuity was 20/20 in both eyes. Eye
examination revealed full motility and normal pupils. The ocular fundi and
ultrasonography appeared normal. The child was looking to the left side in his
photographs, away from the camera, and illuminating the nasal retina. In this
circumstance, the optic nerve head acts as a diffuse reflector, reflecting the
light out of the eye through the pupil. In the case of normal clinical findings
in a child presenting leukocoria in smartphone photographs (photoleukocoria), the
ophthalmologist should suspect the possibility of the described phenomenon
avoiding other studies.
PMID- 29805267
TI - A comparison of cotton and flocked swabs for vaginal self-sample collection.
AB - Objective: Vaginal self-sampling for human papillomavirus (HPV) testing has
recently been proposed to optimize cervical cancer screening coverage. The
objective of this study was to compare the performance of self-taken samples
using flocked and cotton swabs for HPV detection and cellular retrieval. Methods:
We recruited women aged 21-65 years, referred to colposcopy at the Division of
Gynecology of the Geneva University Hospitals between May and September 2016.
Each participant collected 2 vaginal samples: 1 with a cotton swab and 1 with a
flocked swab. A 1:1 randomization determined the order in which the 2 samples
were taken. The swabs were introduced into a 20 mL PreservCyt(r) vial. Real-time
polymerase chain reaction analysis using the AnyplexTM II HPV HR assay,
cytofluorometric analysis and cytological cell counting were performed on each
sample. Results: A total of 119 participants were recruited in the study. Their
mean +/- standard deviation age was 35.1+/-8.9 years. The HPV prevalence was
29.7% and 38.1% according to the cotton and flocked swab, respectively (p=0.006).
The mean number of cells collected per milliliter according to cytofluorometry
was 96,726.6 with the cotton swab and 425,544.3 with the flocked swab (p<0.001).
The mean number of cells detected at cytological cell count was 13,130.42 using
the cotton swab and 17,503.6 using the flocked swab (p<0.001). Conclusion: The
flocked swab achieved a greater cellular retrieval and showed an improved
performance in HPV detection. Further studies are needed to assess the usability
and cost-effectiveness of the 2 self-sampling devices.
PMID- 29805266
TI - Oxidative stress and metabolic markers in pre- and postnatal polycystic ovary
syndrome rat protocols.
AB - Background: Several studies have described an enhanced inflammatory status and
oxidative stress balance disruption in women with polycystic ovary syndrome
(PCOS). However, there is scarce information about redox markers in the blood of
androgenized animal models. Here, we evaluated the serum/plasma oxidative stress
marker and metabolic parameter characteristics of prenatal (PreN) and postnatal
(PostN) androgenized rat models of PCOS. Materials and methods: For PreN
androgenization (n=8), 2.5 mg of testosterone propionate was subcutaneously
administered to dams at embryonic days 16, 17, and 18, whereas PostN
androgenization (n=7) was accomplished by subcutaneously injecting 1.25 mg of
testosterone propionate to animals at PostN day 5. A unique control group (n=8)
was constituted for comparison. Results: Our results indicate that PostN group
rats exhibited particular modifications in the oxidative stress marker, an
increased plasma ferric-reducing ability of plasma, and an increased antioxidant
capacity reflected by higher albumin serum levels. PostN animals also presented
increased total cholesterol and triglyceride-glucose levels, suggesting severe
metabolic disarrangement. Conclusion: Study findings indicate that changes in
oxidative stress could be promoted by testosterone propionate exposure after
birth, which is likely associated with anovulation and/or lipid disarrangement.
PMID- 29805270
TI - Design and preliminary validation of a mobile application-based expert system to
facilitate repair of medical equipment in resource-limited health settings.
AB - Background: One of the greatest barriers to safe surgery is the availability of
functional biomedical equipment. Biomedical technicians play a major role in
ensuring that equipment is functional. Following in-field observations and an
online survey, a mobile application was developed to aid technicians in
troubleshooting biomedical equipment. It was hypothesized that this application
could be used to aid technicians in equipment repair, as modeled by repair of a
pulse oximeter. Methods: To identify specific barriers to equipment repair and
maintenance for biomedical technicians, an online survey was conducted to
determine current practices and challenges. These findings were used to guide the
development of a mobile application system that guides technicians through
maintenance and repair tasks. A convenience sample of technicians in Ethiopia
tested the application using a broken pulse oximeter task and following this
completed usability and content validity surveys. Results: Fifty-three
technicians from 13 countries responded to the initial survey. The results of the
survey showed that technicians find equipment manuals most useful, but these are
not easily accessible. Many do not know how to or are uncomfortable reaching out
to human resources. Thirty-three technicians completed the broken pulse oximeter
task using the application. All were able to appropriately identify and repair
the equipment, and post-task surveys of usability and content validity
demonstrated highly positive scores (Agree to Strongly Agree) on both scales.
Discussion: This research demonstrates the need for improved access to resources
for technicians and shows that a mobile application can be used to address a gap
in the access to knowledge and resources in low- and middle-income countries.
Further research will include prospective studies to determine the impact of an
application on the availability of functional equipment in a hospital and the
effect on the provision and safety of surgical care.
PMID- 29805271
TI - Strategies for improving outcomes in the acute management of ischemic stroke in
rural emergency departments: a quality improvement initiative in the Stroke Belt.
AB - Background: The timely evaluation and initiation of treatment for acute ischemic
stroke (AIS) is critical to optimal patient outcomes. However, clinical practice
often falls short of guideline-established goals. Hospitals in rural regions of
the USA, and notably those in the Stroke Belt, are particularly challenged to
meet timing goals since the vast majority of primary stroke centers (PSCs) are
concentrated in urban academic institutions. Methods: Between May 2015 and May
2017, emergency department (ED) teams from 5 non-PSC hospitals in the Stroke Belt
participated in a quality improvement (QI) initiative. The intervention included
a baseline practice assessment survey, repeat audit-and-feedback cycles with
patient data on AIS treatment timing, personalized Continuing Medical
Education/Continuing Education-certified grand rounds sessions at each
participating site with expert study faculty, targeted reinforcement of best
practices, and follow-up to evaluate the benefits and limitations of the
intervention. Results: At the start of the initiative, clinical staff from
participating EDs overestimated the proportion of patients with AIS who received
alteplase within the guideline-recommended 60-minute door-to-needle window at
their facility. At the end of the 6-month intervention period, significantly more
patients were treated with alteplase within 60 minutes of ED arrival compared to
baseline across the entire sample (1.9% of patients at baseline vs. 5.2% at 6
months; P < 0.01). Similarly, there was a trend toward a decrease in the
percentage of patients whose alteplase treatment was initiated more than 60
minutes after their arrival at the ED (67.3% at baseline vs. 22.2% at 6 months).
Conclusion: Structured QI interventions that engage ED care teams to reflect on
processes related to AIS diagnosis and treatment and deploy repeat audit-and
feedback cycles with real-time patient data have the potential to support an
increase in the number of patients who receive alteplase within the guideline
recommended timeframe of 60 minutes from hospital arrival.
PMID- 29805273
TI - New Approaches to Production of Turkish-type Dry-cured Meat Product "Pastirma":
Salt Reduction and Different Drying Techniques.
AB - In this study, the possible changes in the quality characteristics of pastirma,
Turkish-type dry-cured meat product, produced by using two different salts (NaCl
KCl) in a curing mixture and two different production techniques (natural and
controlled condition) were examined. Moisture, pH, salt, sodium, potassium, TBA,
fat, water activity, instrumental colour, texture, and sensory analyses were
implemented in order to determine the possible effects of these applications.
Fat, aw, pH, colour, tiobarbituric acid (TBA), texture, salt, Na and K values may
allow these desired modifications in pastirma production to be limited. The
substitution of 15% KCl instead of NaCl was acceptable in terms of the sensorial
properties of the pastirma. However, the sensory analyses did not allow for using
a higher KCl instead of NaCl because both the hardness and chewiness in the
texture of the pastirma samples salted with 30% of KCl were not scored
positively. Besides this, negative effects, which may occur during the pastirma
production under natural conditions, can be eliminated by the production being
under controlled conditions.
PMID- 29805272
TI - Association between Egg Consumption and Metabolic Disease.
AB - The effect of high egg intake on metabolic syndrome (MetS), a major risk factor
for cardiovascular disease (CVD), has not been clearly elucidated. This study was
conducted to review the literature related to egg consumption and the risk of
metabolic disease as well as to examine the association between high egg intake
and MetS in Korean adults. A literature review was conducted using published
papers in PubMed and EMBASE through December 2017. We have reviewed 26 articles,
which were associated with egg consumption and metabolic diseases, and found that
the results were controversial. Therefore, we analyzed data from 23,993 Korean
adults aged 19 yrs and older. MetS was defined based on criteria from the Adult
Treatment Panel III. Egg consumption of 4-6 times/wk and 1 time/day were
significantly associated with reduced prevalence of MetS (Odds ratio (OR)=0.82;
95% Confidence interval (CI)=0.71-0.95 for 4-6 times/wk, OR=0.83; 95% CI=0.69
0.99 for 1 time/day) compared to those who consumed eggs less than once monthly.
However, consuming two or more eggs per day was not associated with MetS. As for
the components of MetS, an egg intake of once daily decreased the prevalence of
abdominal obesity and an intake of 2-7 eggs weekly was shown to prevent a
reduction in the high-density lipoprotein cholesterol levels. This study suggests
that while consuming eggs 4-7 times weekly is associated with a lower prevalence
of MetS, consuming two or more eggs daily is not associated with a reduced risk
for MetS.
PMID- 29805274
TI - Optimizing Medium Components for the Maximum Growth of Lactobacillus plantarum
JNU 2116 Using Response Surface Methodology.
AB - This study was undertaken to find the optimum soy-peptone, glucose, yeast
extract, and magnesium sulfate amounts for the maximum growth of Lactobacillus
plantarum JNU 2116 and to assess the effects of these medium factors through the
use of response surface methodology. A central composite design was used as the
experimental design for the allocation of treatment combinations. In the analysis
of the experiment, due to a significant lack of fit of the second-order
polynomial regression model that was used at first, cubic terms were added to the
model, and then two-way interaction terms were deleted from the model since they
were found to be all statistically insignificant. A relative comparison among the
four factors showed that the growth of L. plantarum JNU 2116 was affected
strongly by yeast extract, moderately by glucose and peptone, and slightly by
magnesium sulfate. The estimated optimum amounts of the medium factors for the
growth of L. plantarum JNU 2116 are as follows: soy-peptone 0.213%, glucose
1.232%, yeast extract 1.97%, and magnesium sulfate 0.08%. These results may
contribute to the production of L. plantarum L67 as a starter culture that may
have potential application in yogurt and fermented meat products.
PMID- 29805275
TI - Determination of Salable Shelf-life for Wrap-packaged Dry-aged Beef during Cold
Storage.
AB - We investigated microbial and quality changes in wrap-packaged dry-aged beef
after completion of aging and subsequent storage in a refrigerator. After 28 days
of dry aging (temperature, 4 degrees C; RH, approximately 75%; air flow velocity,
2.5 m/s), sirloins were trimmed, wrap-packaged, and stored at 4 degrees C for 7
days. Analyses of microbial growth, pH, volatile basic nitrogen (VBN), 2
thiobarbituric acid-reactive substance (TABRS), and instrumental color,
myoglobin, and sensory evaluation were conducted on days 0, 3, 5, and 7. The
results show that the number of total aerobic bacteria (TAB), yeast, and lactic
acid bacteria increased with an increase in storage days, whereas no change in
the growth of mold was observed during 7 days of storage. Based on the legal
standard for TAB count, the estimated shelf-life of wrap-packaged dry-aged beef
was predicted to be less than 12.2 days. However, the shelf-life should be less
than 6.3 days, considering the result of sensory quality (odor, taste, and
overall acceptance). No significant change in visible appearance was also
observed during 7 days of storage. The results suggest that the present quality
indicators for meat spoilage (pH, VBN, and TBARS) should be re-considered for dry
aged beef, as its characteristics are different from those of fresh and/or wet
aged beef.
PMID- 29805277
TI - Buffering Capacity of Dairy Powders and Their Effect on Yoghurt Quality.
AB - Preheating conditions (low-, medium-, and high heat-) did not significantly
affect the buffering capacity (BC) of skim milk powder (SMP), whereas the level
of demineralization significantly affected the BC of whey powders (WP). Heat
treatment (85 degrees C for 30 min) of both SMP and WP (90% demineralized)
mixtures (88:12, 76:24, 64:36 and 52:48; SMP:WP) resulted in a reduced BC, and
the extent of this reduction increased with the proportion of WP increased in the
samples. High-buffering milk prepared by the addition of phosphate salts (40 mM
NaH2PO4 and 60 mM Na2HPO4) delayed the rate of pH decline during yoghurt
fermentation. The high-buffering yoghurt showed a significantly higher water
holding capacity (WHC) than that of control yoghurt (p<0.05), as well as a more
uniform and interconnected microstructure with small pore sizes than those of
control yoghurt. No significant differences were found between high-buffering and
control yoghurt regarding the viable bacterial counts of starter. The
manipulation BC can potentially improve the quality characteristics of yoghurts,
such as WHC and texture.
PMID- 29805276
TI - Investigation of the Protective Effect of Kefir against Isoproterenol Induced
Myocardial Infarction in Rats.
AB - This study aims to investigate the protective effects of kefir against myocardial
infarction induced by isoproterenol (ISO). The rats were randomly divided into 4
groups, each group consisting of 8 rats. The control group, the kefir group (5
mL/kg/d kefir administered to rats as intra-gastric gavage for 60 d), the ISO
group (100 mg/kg ISO was administered to rats, s.c. on 61. and 62. d), and
kefir+ISO group (5 mL/kg/d kefir was administered to rats intra gastric gavage
for 60 days prior to ISO, 100 mg/kg in two doses on day 61 and 62). 12 h after
the last ISO dose, all rats were decapitated and their blood samples were
collected. Cardiac tissue was reserved for histopathological examination.
creatine kinase (CK), alanine aminotransferase (ALT), aspartate aminotransferase
(AST), lactate dehydrogenase (LDH), triglycerides, total cholesterol,very low
density lipoprotein (VLDL), low density lipoprotein (LDL), high density
lipoprotein (HDL) and glucose were measured by autoanalyzer, whole blood
malondialdehyde (MDA), glutathione (GSH) and plasma advanced oxidation protein
products (AOPP) levels were measured spectrophotometrically. It was determined
that in the group of kefir+ISO, the levels of AST (p<0.001), CK (p<0.001), LDH
(p<0.001), MDA (p<0.001) and AOPP (p<0.001) were decreased, while the GSH
(p<0.05) increased, compared to ISO group. There were no significant changes in
lipid profile and glucose levels between these two groups. In conclusion, by
examining cardiac enzymes and histopathological changes in cardiac tissue, it can
be concluded that the administration of kefir in myocardial infarction induced by
ISO can protect the heart with its antioxidant characteristic and minimize the
toxic damage created by ISO.
PMID- 29805278
TI - Monitoring of Microbial Contaminants of Beef, Pork, and Chicken in HACCP
Implemented Meat Processing Plants of Korea.
AB - This research was to evaluate microbial contamination levels in meat samples at
hazard analysis critical control point (HACCP)-implemented processing plants that
produce beef, pork, and chicken. During a period of about a year, a total of 178
samples (76 from beef, 89 from pork, and 13 from chicken) were obtained from raw
materials (21.3%) and final products (78.7%). All samples were determined for
each 25 g homogenized one. Samples were analyzed to determine the total aerobic
plate count (APC), coliform count (CC), and E. coli count (ECC). By month, APC
levels were the highest in September and the lowest in February (p<0.001). In
comparison among season, APC levels in meat samples were the highest in the
summer and the lowest in winter (p<0.001). By month, the highest CC prevalence
was found in August, followed by October and then July (p<0.001). By season, the
highest CC was obtained in summer, followed by autumn and then spring (p<0.001).
All samples were negative for ECC. There was a direct correlation between the
product form and coliform presence (p<0.001). In addition, there was a positive
correlation between the APC and CC (r=0.261). The APCs in analyzed samples ranged
from below <101 CFU/g to <107 CFU/g. In conclusion, the month and season had
significant effects on microbial contamination levels at HACCP implemented
processing plants. Interrelationships between (i) the product form and coliform,
(ii) the APC and CC were revealed.
PMID- 29805279
TI - Physical, Chemical Properties and Structural Changes of Zaodan Pickled by Vacuum
Decompression Technology.
AB - To shorten the production cycle of Zaodan, this study first pickled Zaodan by a
novel technology - vacuum decompression technology. Vacuum decompression
technology could reduce the pickling time of Zaodan from 20 wk to about 9 wk. The
protein content, moisture and pH of the Zaodan egg white gradually decreased with
a concomitant increase in salt during the pickling process. The total sulfhydryl
group (SH) group content of the egg white proteins was increased to 2.43*10-3
mol/L after being pickled for 30 d, whereas the content of disulphide bonds (SS)
was reduced to 23.35*10-3 mol/L. The surface hydrophobicity was lowest after
pickling for 30 d. In addition, great changes occurred in the secondary structure
of the egg white proteins after pickling for 20 d. The disappearance of ovomucin
was noticeable based on sodium dodecyl sulfate-polyacrylamide gel electrophoresis
analysis.
PMID- 29805281
TI - Efficacy of Alkali-treated Sugarcane Fiber for Improving Physicochemical and
Textural Properties of Meat Emulsions with Different Fat Levels.
AB - The objective of this study was to evaluate the efficacy of alkaline-treated
sugarcane bagasse fiber on physicochemical and textural properties of meat
emulsion with different fat levels. Crude sugarcane bagasse fiber (CSF) was
treated with calcium hydroxide (Ca(OH2)) to obtain alkaline-treated sugarcane
bagasse fiber (ASF). The two types of sugarcane bagasse fiber (CSF and ASF) were
incorporated at 2% levels in pork meat emulsions prepared with 5%, 10% and 20%
fat levels. Alkaline-treatment markedly increased acid detergent fiber content
(p=0.002), but significantly decreased protein, fat, ash and other carbohydrate
contents. ASF exhibited significantly higher water-binding capacity, but lower
oil-binding and emulsifying capacities than CSF. Meat emulsions formulated with
10% fat and 2% sugarcane bagasse fiber had equivalent cooking loss and textural
properties to control meat emulsion (20% fat without sugarcane bagasse fiber).
The two types of sugarcane bagasse fiber had similar impacts on proximate
composition, cooking yield and texture of meat emulsion at the same fat level,
respectively (p>0.05). Our results confirm that sugarcane bagasse fiber could be
a functional food ingredient for improving physicochemical and textural
properties of meat emulsion, at 2% addition level. Further, the altered
functional properties of alkaline-treated sugarcane bagasse fiber had no impacts
on physicochemical and textural properties of meat emulsions, regardless of fat
level at 5%, 10% and 20%.
PMID- 29805280
TI - Effect of Rice Bran and Wheat Fibers on Microbiological and Physicochemical
Properties of Fermented Sausages during Ripening and Storage.
AB - This study investigated the effect of rice bran fiber (RBF) and wheat fibers (WF)
on microbiological and physicochemical properties of fermented sausages during
ripening and storage. The experimental design included three treatments: Control,
no addition; RBF, 1.5%; and WF, 1.5%. During the ripening periods, the addition
of dietary fibers rapidly decreased pH and maintained high water activity values
of fermented sausages (p<0.05). Lactic acid bacteria were more prevalent in
fermented sausages with rice bran fiber than control and sausages with added
wheat fiber. During cold storage, lower pH was observed in sausages with dietary
fibers (p<0.05), and the water activity and color values were reduced as the
storage period lengthened. Fermented sausages containing dietary fibers were
higher in lactic acid bacteria counts, volatile basic nitrogen and 2
thiobarbituric acid reactive substance values compared to the control (p<0.05).
The results indicate that, the addition of dietary fibers in the fermented
sausages promotes the growth of lactic bacteria and fermentation, and suggests
that development of functional fermented sausages is possible.
PMID- 29805282
TI - Effects of Pre and Post-Rigor Marinade Injection on Some Quality Parameters of
Longissimus Dorsi Muscles.
AB - This study was conducted to evaluate the effects of pre and post-rigor marinade
injections on some quality parameters of Longissimus dorsi (LD) muscles. Three
marinade formulations were prepared with 2% NaCl, 2% NaCl+0.5 M lactic acid and
2% NaCl+0.5 M sodium lactate. In this study marinade uptake, pH, free water,
cooking loss, drip loss and color properties were analyzed. Injection time had
significant effect on marinade uptake levels of samples. Regardless of marinate
formulation, marinade uptake of pre-rigor samples injected with marinade
solutions were higher than post rigor samples. Injection of sodium lactate
increased pH values of samples whereas lactic acid injection decreased pH.
Marinade treatment and storage period had significant effect on cooking loss. At
each evaluation period interaction between marinade treatment and injection time
showed different effect on free water content. Storage period and marinade
application had significant effect on drip loss values. Drip loss in all samples
increased during the storage. During all storage days, lowest CIE L* value was
found in pre-rigor samples injected with sodium lactate. Lactic acid injection
caused color fade in pre-rigor and post-rigor samples. Interaction between
marinade treatment and storage period was found statistically significant
(p<0.05). At day 0 and 3, the lowest CIE b* values obtained pre-rigor samples
injected with sodium lactate and there were no differences were found in other
samples. At day 6, no significant differences were found in CIE b* values of all
samples.
PMID- 29805283
TI - Effect of Various Packaging Methods on Small-Scale Hanwoo (Korean Native Cattle)
during Refrigerated Storage.
AB - The objective of this study was to investigate comparison of physicochemical,
microbiological, and sensory characteristics of Hanwoo eye of round by various
packaging methods [wrapped packaging (WP), modified atmosphere packaging (MAP),
vacuum packaging (VP) with three different vacuum films, and vacuum skin
packaging (VSP)] at a small scale. Packaged Hanwoo beef samples were stored in
refrigerated conditions (4+/-1 degrees C) for 28 days. Packaged beef was sampled
on days 0, 7, 14, 21, and 28. Physicochemical [pH, surface color, thiobarbituric
acid reactive substances (TBARS), and volatile basic nitrogen (VBN) values],
microbiological, and sensory analysis of packaged beef samples were performed. VP
and VSP samples showed low TBARS and VBN values, and pH and surface color did not
change substantially during the 28-day period. For VSP, total viable bacteria,
psychrotrophic bacteria, lactic acid bacteria, and coliform counts were lower
than those for other packaging systems. Salmonella spp. and Escherichia coli
O157:H7 were not detected in any packaged beef samples. A sensory analysis showed
that the scores for appearance, flavor, color, and overall acceptability did not
change significantly until day 7. In total, VSP was effective with respect to
significantly higher a* values, physicochemical stability, and microbial safety
in Hanwoo packaging (p<0.05).
PMID- 29805284
TI - Lactobacillus plantarum DR7 Reduces Cholesterol via Phosphorylation of AMPK That
Down-regulated the mRNA Expression of HMG-CoA Reductase.
AB - Hypercholesterolemia is one of the primary risk factors for cardiovascular
diseases. The use of lactobacilli probiotics to reduce blood cholesterol levels
have been extensively reported. However, more information is needed to evaluate
the possible mechanisms involved and to identify possible targets for further
therapeutic development. In this study, strains of lactobacilli were screened
based on the ability to assimilate cholesterol, and prevention of cholesterol
accumulation in hepatic (HepG2) and intestinal (HT-29) cells. Cell free
supernatant (CFS) from Lactobacillus plantarum DR7 showed a higher ability to
assimilate cholesterol, reduction in cholesterol accumulation in both HepG2 and
HT-29 cells, accompanied by reduced mRNA expression of HMG-CoA reductase (HMGCR)
in HepG2 (p<0.05), compared to other lactobacilli. The reduction of HMGCR
expression was also diminished in the presence of an AMPK inhibitor (Compound C),
suggesting that L. plantarum DR7 exerted its effect via the AMPK pathway,
typically via the phosphorylation of AMPK instead of the AMPK mRNA expression in
HepG2 (p<0.05). Altogether, our present study illustrated that lactobacilli could
exert cholesterol lowering properties along the AMPK pathway, specifically via
phosphorylation of AMPK that led to reduced expression of HMGCR.
PMID- 29805285
TI - A Novel Hyperspectral Microscopic Imaging System for Evaluating Fresh Degree of
Pork.
AB - This study proposed a rapid microscopic examination method for pork freshness
evaluation by using the self-assembled hyperspectral microscopic imaging (HMI)
system with the help of feature extraction algorithm and pattern recognition
methods. Pork samples were stored for different days ranging from 0 to 5 days and
the freshness of samples was divided into three levels which were determined by
total volatile basic nitrogen (TVB-N) content. Meanwhile, hyperspectral
microscopic images of samples were acquired by HMI system and processed by the
following steps for the further analysis. Firstly, characteristic hyperspectral
microscopic images were extracted by using principal component analysis (PCA) and
then texture features were selected based on the gray level co-occurrence matrix
(GLCM). Next, features data were reduced dimensionality by fisher discriminant
analysis (FDA) for further building classification model. Finally, compared with
linear discriminant analysis (LDA) model and support vector machine (SVM) model,
good back propagation artificial neural network (BP-ANN) model obtained the best
freshness classification with a 100 % accuracy rating based on the extracted
data. The results confirm that the fabricated HMI system combined with
multivariate algorithms has ability to evaluate the fresh degree of pork
accurately in the microscopic level, which plays an important role in animal food
quality control.
PMID- 29805286
TI - Cronobacter Species in Powdered Infant Formula and Their Detection Methods.
AB - Cronobacter species have been associated with disease outbreaks and sporadic
infections, particularly in premature and immunocompromised infants. Cronobacter
species can cause foodborne infections such as neonatal meningitis, septicaemia
and necrotising enterocolitis. Accordingly, there is an urgent need to control
and monitor the Cronobacter species in food, especially in powdered infant
formula (PIF) and other baby foods. Therefore, in this review, the isolation and
prevalence of Cronobacter species in infant food including PIF and the recent
advance of detection methods are discussed for the better understanding on the
current research status of Cronobacter species.
PMID- 29805287
TI - Antimicrobial Resistance of Enterococcus Species Isolated from Chicken in Turkey.
AB - The aim of the present work was to provide information about Enterococcus strains
isolated from pre-packaged chicken samples in Ankara (Turkey), focusing on their
prevalence, phenotypic and genotypic characteristics, and antibiotic resistance.
We report the first study on the occurrence of antibiotic resistant enterococci
in pre-packaged chicken samples in Ankara. A total of 97 suspicious enterococcal
isolates were identified from 122 chicken samples. All isolates were identified
to species level by phenotypic and molecular methods. In the 16S rDNA sequence
analysis, Enterococcus faecium (61.85%) and Enterococcus faecalis (38.15%) were
found to be the most frequently detected Enterococcus spp. Of the 97 isolates
tested for hemolytic activity, 12.37% enterococcal strains were beta-hemolytic.
beta-Hemolysin was most prevalent among E. faecium (58.33%) compared to E.
faecalis (41.66%). Disk diffusion method was used for determining of antibiotic
resistance. The analysis of the antimicrobial resistance of the 97 Enterococcus
isolates revealed that the resistance to kanamycin (98.96%), rifampicin (80.41%)
and ampicillin (60.82%) was most frequent. Furthermore, resistance to
erythromycin (38.14%) and ciprofloxacin (34.02%) was also observed. The
frequencies of resistance to tetracycline (9.27%), penicillin G (8.24%), and
chloramphenicol (3.09%), gentamicin (2.06%) and streptomycin (1.03%) were low.
None of the isolates was resistant to vancomycin. Multi-drug resistance was found
in 97.93% of Enterococcus strains. E. faecium strains showed a more resistant
phenotype than E. faecalis strains according to the antibiotic resistance levels.
The results of this study indicated that chicken meat is a potential reservoir
for the transmission of antibiotic resistance from animals to humans.
PMID- 29805290
TI - The Unseen Deja-Vu: From Erkki Huhtamo's Topoi to Ken Jacobs' Remakes: Commentary
to Edwin Carels "Revisiting Tom Tom: Performative anamnesis and autonomous vision
in Ken Jacobs' appropriations of Tom Tom the Piper's Son".
AB - This commentary on Edwin Carels' essay "Revisiting Tom Tom: Performative
anamnesis and autonomous vision in Ken Jacobs' appropriations of Tom Tom the
Piper's Son" broadens up the media-archaeological framework in which Carels
places his text. Notions such as Huhtamo's topos and Zielinski's "deep time" are
brought into the discussion in order to point out the difficulty to see what
there is to see and to question the position of the viewer in front of
experimental films like Tom Tom the Piper's Son and its remakes.
PMID- 29805291
TI - Approximation, Mad Men and the Death of JFK.
AB - In this article I take the US television series Mad Men (2007-present) as an
exemplary 'approximation', a term I adopt to signal the way in which certain
texts construct a changeable, fluid 'truth' resulting from collisions, exchange
and dialectical argument. Approximations are layered, their formal layerings
mirroring a layered, multifaceted argument. Mad Men integrates and represents
real historical events within a fictional setting, and act that suggests that an
event or action can never be finished, fixed and not open to reassessment.
Specifically, this article examines 'The Grown Ups', Episode 12 of Season 3,
which charts the events of 22 November 1963, the day Kennedy was assassinated.
Although we might be able to bring to mind the images and conspiracy theories
that have been made available since (such Abraham Zapruder's 8 mm home movie
footage of the assassination), these images were not available at the time. Mad
Men as a series always strives to represent its historical milieu as
authentically as possible, so the characters re-enact 22 November 1963 as
authentically as possible by watching only what was on television that day (the
news bulletin, Walter Kronkite's announcement that Kennedy is dead). The
contemporary backdrop to these events, including the resonances of '9/11' through
Mad Men, inform and collide with the authenticity on the screen.
PMID- 29805289
TI - Effect of NaCl Concentration and Cooking Temperature on the Color and Pigment
Characteristics of Presalted Ground Chicken Breasts.
AB - This study was conducted to determine the effects of NaCl concentration and
cooking temperature on the color and pigment characteristics of presalted ground
chicken breasts. Four treatments with different salt concentrations (0%, 1%, 2%,
and 3%) were prepared and stored for 7 d prior to cooking. Each sample was cooked
to four endpoint temperatures (70 degrees C, 75 degrees C, 80 degrees C, and 85
degrees C). The salt concentration affected the color and pigment properties of
the cooked ground chicken breasts. As the salt concentration increased, the
cooking yield and residual nitrite content also increased. However, the samples
with 1%, 2%, and 3% NaCl showed similar nitrosyl hemochrome and total pigment
contents. Among the products containing salt, the samples with 3% NaCl showed the
lowest percentage myoglobin denaturation (PMD) and the lowest CIE a* values. The
cooking temperature had limited effects on the pigment properties of cooked
ground chicken breasts. The oxidation-reduction potential and residual nitrite
contents increased with cooking temperature, while the PMD, nitrosyl hemochrome,
total pigment contents and CIE a* values were similar in the samples cooked at
different temperatures. These results indicated that the addition of up to 2%
salt to ground chicken breasts and storage for 7 d could cause the pink color
defect of cooked products. However, the addition of 3% NaCl could reduce the
redness of the cooked products.
PMID- 29805288
TI - Influence of Probiotics-Friendly Pig Production on Meat Quality and
Physicochemical Characteristics.
AB - In this study, the dietary effects of probiotics with a liquid application system
on meat quality and physicochemical characteristics of pigs were evaluated. A
total of 80 Landrace*Yorkshire*Duroc (LYD) 3-way crossbred pigs (average age
175+/-5 d) were assigned to a conventional farm and a probiotics farm equipped
with a liquid probiotics application system (40 pigs in each farm). The two
treatments were: CON (diet without probiotics) and PRO (diet with probiotics).
Dietary probiotics decreased shear force in the longissimus muscle compared to
the control group (p<0.05). The treatment diet did not affect backfat thickness,
carcass weight, meat color, cooking loss, water holding capacity (WHC), and drip
loss. Dietary probiotics significantly reduced ash, salinity, and pH (at 5 and 15
d) (p<0.05). There was no significant effect on thiobarbituric acid reactive
substance (TBARS) values. Polyunsaturated fatty acid (PUFA) and omega fatty acids
(omega3 and omega6) were significantly (p<0.05) higher in the PRO group, whereas
monounsaturated fatty acid (MUFA) was decreased. The free amino acid composition,
serine, lysine, histidine, and arginine levels were significantly lower in the
PRO than in the control group. The treatment group exhibited higher nucleotide
compounds (hypoxanthine, inosine, GMP, IMP) than the controls. Also, levels of
ascorbic acid and thiamin were significantly different (p<0.05), while minerals
were not significantly different between the groups. In conclusion, feeding of
probiotics had effects on shear force, ash, salinity, pH, PUFA, and some amino
acids which related to taste and flavor without any negative effects on the pigs'
carcass traits.
PMID- 29805292
TI - The Tacit 'Quantum' of Meeting the Aesthetic Sign; Contextualize, Entangle,
Superpose, Collapse or Decohere.
AB - The semantically ambiguous nature of the sign and aspects of non-classicality of
elementary matter as described by quantum theory show remarkable coherent
analogy. We focus on how the ambiguous nature of the image, text and art work
bears functional resemblance to the dynamics of contextuality, entanglement,
superposition, collapse and decoherence as these phenomena are known in quantum
theory. These quantumlike properties in linguistic signs have previously been
identified in formal descritions of e.g. concept combinations and mental lexicon
representations and have been reported on in the literature. In this approach the
informationalized, communicated, mediatized conceptual configuration-of e.g. the
art work-in the personal reflected mind behaves like a quantum state function in
a higher dimensional complex space, in which it is time and again contextually
collapsed and further cognitively entangled (Aerts et al. in Found Sci 4:115-132,
1999; in Lect Notes Comput Sci 7620:36-47, 2012). The observer-consumer of signs
becomes the empowered 'produmer' (Floridi in The philosophy of information,
Oxford University Press, Oxford, 2011) creating the cognitive outcome of the
interaction, while loosing most of any 'classical givenness' of the sign (Bal and
Bryson in Art Bull 73:174-208, 1991). These quantum-like descriptions are now
developed here in four example aesthetic signs; the installation Mist room by Ann
Veronica Janssens (2010), the installation Sections of a happy moment by David
Claerbout (2010), the photograph The Falling Man by Richard Drew (New York Times,
p. 7, September 12, 2001) and the documentary Huicholes. The Last Peyote
Guardians by Vilchez and Stefani (2014). Our present work develops further the
use of a previously developed quantum model for concept representation in natural
language. In our present approach of the aesthetic sign, we extend to individual
idiosyncratic-observer contexts instead of socially shared group contexts, and as
such also include multiple idiosyncratic creation of meaning and experience. This
irreducible superposition emerges as the core feature of the aesthetic sign and
is most critically embedded in the 'no-interpretation' interpretation of the
documentary signal.
PMID- 29805293
TI - Spin and Wind Directions I: Identifying Entanglement in Nature and Cognition.
AB - We present a cognitive psychology experiment where participants were asked to
select pairs of spatial directions that they considered to be the best example of
Two different wind directions. Data are shown to violate the CHSH version of
Bell's inequality with the same magnitude as in typical Bell-test experiments
with entangled spins. Wind directions thus appear to be conceptual entities
connected through meaning, in human cognition, in a similar way as spins appear
to be entangled in experiments conducted in physics laboratories. This is the
first part of a two-part article. In the second part (Aerts et al. in Found Sci,
2017) we present a symmetrized version of the same experiment for which we
provide a quantum modeling of the collected data in Hilbert space.
PMID- 29805294
TI - Spin and Wind Directions II: A Bell State Quantum Model.
AB - In the first half of this two-part article (Aerts et al. in Found Sci.
doi:10.1007/s10699-017-9528-9, 2017b), we analyzed a cognitive psychology
experiment where participants were asked to select pairs of directions that they
considered to be the best example of Two Different Wind Directions, and showed
that the data violate the CHSH version of Bell's inequality, with same magnitude
as in typical Bell-test experiments in physics. In this second part, we complete
our analysis by presenting a symmetrized version of the experiment, still
violating the CHSH inequality but now also obeying the marginal law, for which we
provide a full quantum modeling in Hilbert space, using a singlet state and
suitably chosen product measurements. We also address some of the criticisms that
have been recently directed at experiments of this kind, according to which they
would not highlight the presence of genuine forms of entanglement. We explain
that these criticisms are based on a view of entanglement that is too
restrictive, thus unable to capture all possible ways physical and conceptual
entities can connect and form systems behaving as a whole. We also provide an
example of a mechanical model showing that the violations of the marginal law and
Bell inequalities are generally to be associated with different mechanisms.
PMID- 29805295
TI - Using community-based, ethnographic methods to examine risk perceptions and
actions of low-income, first-time mothers in a post-spill environment.
AB - Following the 2010 Gulf Oil Spill, area pregnant women were thought to be at-risk
for poor health outcomes from the stress of managing health actions in this post
disaster environment. Research directed by an ongoing community- academic
partnership sought to explore the specific role of culture in environmental risk
protection actions among low-income pregnant women. As a part of the first-phase
of a mixed-methods cultural study, community health workers (CHWs) used
freelisting methods to survey low-income, first-time mother (n = 20) for the
threats in the environment and relevant protective actions. Then, a separate pile
sort activity (n = 31) was used to further investigate these cultural topics.
Results elicited a diverse range of threats, protective actions, and sources of
support across socio-demographic groups. Results also showed a culturally
tailored conceptualization of threats in the environment. Exploring beliefs among
a diverse population helps to uncover cultural differences in a population.
Results will aid in developing culturally tailored policies and interventions,
and increase the relevance of such interventions to address community concerns.
Moreover, incorporating CHWs into the research process enhanced researcher
literacy, and fostered mutual trust between the community and researchers.
PMID- 29805296
TI - Historical ecology of a biological invasion: the interplay of eutrophication and
pollution determines time lags in establishment and detection.
AB - Human disturbance modifies selection regimes, depressing native species fitness
and enabling the establishment of non-indigenous species with suitable traits. A
major impediment to test the effect of disturbance on invasion success is the
lack of long-term data on the history of invasions. Here, we overcome this
problem and reconstruct the effect of disturbance on the invasion of the bivalve
Anadara transversa from sediment cores in the Adriatic Sea. We show that (1) the
onset of major eutrophication in the 1970s shifted communities towards species
tolerating hypoxia, and (2) A. transversa was introduced in the 1970s but failed
to reach reproductive size until the late 1990s because of metal contamination,
resulting in an establishment and detection lag of ~25 years. Subfossil
assemblages enabled us to (1) disentangle the distinct stages of invasion, (2)
quantify time-lags and (3) finely reconstruct the interaction between
environmental factors and the invasion process, showing that while disturbance
does promote invasions, a synergism of multiple disturbances can shift selection
regimes beyond tolerance limits and induce significant time lags in
establishment. The quantification of these time lags enabled us to reject the
hypothesis that aquaculture was an initial vector of introduction, making
shipping the most probable source.
PMID- 29805299
TI - Changing neighborhoods and occupations: Experiences of older African-Americans in
Detroit.
AB - Neighborhood characteristics are relevant to understanding occupations and
associated outcomes, yet few empirical studies have focused on neighborhood as
the unit of analysis when examining person-environment-occupation relationships.
The purpose of this report is to begin addressing that gap. We present findings
from a qualitative investigation conducted as part of a larger mixed methods
study of 100 African-Americans aged 55 and older living in a variety of
neighborhood contexts. With a subsample of older adults (n = 20), we utilized
participant-generated photos and photo-elicitation interviews to examine the
question of how participation in everyday occupations changes (or not) for older
African-Americans residing in urban neighborhoods that have undergone significant
physical and socio-demographic changes. Data were analyzed using a thematic
analysis approach in combination with a constant comparative method. Occupational
change was conceptualized as four primary types: (a) spatio-temporal changes to
participation in occupation, (b) changes to social participation, (c) heightened
vigilance during daily occupations, and (d) actions to preserve and protect the
neighborhood. The findings provide insights about the ways that neighborhood
deterioration is related to occupational change. We discuss the potential impact
of participants' occupational changes on health and well-being, and we assess the
issue of neighborhood and occupation as relevant to occupational justice in urban
contexts.
PMID- 29805298
TI - Reconstructed historical distribution and phylogeography unravels non-steppic
origin of Caucasotachea vindobonensis (Gastropoda: Helicidae).
AB - Existing data on the phylogeography of European taxa of steppic provenance
suggests that species were widely distributed during glacial periods but
underwent range contraction and fragmentation during interglacials into "warm
stage refugia." Among the steppe-related invertebrates that have been examined,
the majority has been insects, but data on the phylogeography of snails is wholly
missing. To begin to fill this gap, phylogeographic and niche modeling studies on
the presumed steppic snail Caucasotachea vindobonensis were conducted.
Surprisingly, reconstruction of ancestral areas suggests that extant C.
vindobonensis probably originated in the Balkans and survived there during the
Late Pleistocene glaciations, with a more recent colonization of the Carpatho
Pannonian and the Ponto-Caspian regions. In the Holocene, C. vindobonensis
colonized between the Sudetes and the Carpathians to the north, where its recent
and current distribution may have been facilitated by anthropogenic
translocations. Together, these data suggest a possible non-steppic origin of C.
vindobonensis. Further investigation may reveal the extent to which the steppic
snail assemblages consist partly of Holocene newcomers.
PMID- 29805297
TI - Pre-activation Based Stereoselective Glycosylations.
AB - Due to the wide presence of carbohydrates in nature and their crucial roles in
numerous important biological processes, oligosaccharides have attracted a lot of
attention in synthetic organic chemistry community. Many innovative synthetic
methods have been developed for oligosaccharide synthesis, among which the pre
activation based glycosylation is particularly noteworthy. Traditionally,
glycosylation reactions are carried out when the glycosyl donor and the acceptor
are both present when the promoter is added. In comparison, the pre-activation
based glycosylation is unique, where the glycosyl donor is activated by the
promoter in the absence of the acceptor. Upon complete donor activation, the
acceptor is added to the reaction mixture enabling glycosylation. The key step in
any oligosaccharide synthesis is the stereoselective formation of the glycosidic
bond. As donor activation and acceptor glycosylation are temporally separated,
pre-activation based glycosylation can bestow unique stereochemical control. This
review systematically discusses factors impacting the stereochemical outcome of a
pre-activation based glycosylation reaction including substituents on the
glycosyl donor, reaction solvent, and additives. Applications of pre-activation
based stereoselective glycosylation in assembly of complex oligosaccharides are
also discussed.
PMID- 29805300
TI - The Suppression of Medium Acidosis Improves the Maintenance and Differentiation
of Human Pluripotent Stem Cells at High Density in Defined Cell Culture Medium.
AB - Cell density has profound impacts on the cell culture practices of human
pluripotent stem cells. The regulation of cell growth, cell death, pluripotency
and differentiation converge at high density, but it is largely unknown how
different regulatory mechanisms act at this stage. We use a chemically defined
medium to systemically examine cellular activities and the impact of medium
components in high-density culture. We show that medium acidosis is the main
factor that alters cell cycle, gene expression and cellular metabolism at high
cell density. The low medium pH leads to inhibition of glucose consumption, cell
cycle arrest, and subsequent cell death. At high cell density, the suppression of
medium acidosis with sodium bicarbonate (NaHCO3) significantly increases culture
capacity for stem cell survival, derivation, maintenance and differentiation. Our
study provides a simple and effective tool to improve stem cell maintenance and
applications.
PMID- 29805301
TI - Low-intensity pulsed ultrasound promotes Schwann cell viability and proliferation
via the GSK-3beta/beta-catenin signaling pathway.
AB - Background: It has been reported that ultrasound enhances peripheral nerve
regeneration, but the mechanism remains elusive. Low-intensity pulsed ultrasound
(LIPUS) has been reported to enhance proliferation and alter protein production
in various types of cells. In this study, we detected the effects of LIPUS on
Schwann cells. Material and methods: Schwann cells were separated from new natal
Sprague-Dawley rat sciatic nerves and were cultured and purified. The Schwann
cells were treated by LIPUS for 10 minutes every day, with an intensity of 27.37
mW/cm2. After treatment for 5 days, MTT, EdU staining, and flow cytometry were
performed to examine cell viability and proliferation. Neurotrophic factors,
including FGF, NGF, BDNF, and GDNF, were measured by western blot and real-time
PCR. GSK-3beta, p-GSK-3beta, beta-catenin and Cyclin D1 protein levels were
detected using a western blot analysis. The expression of Cyclin D1 was also
detected by immunofluorescence. Results: MTT and EdU staining showed that LIPUS
increased the Schwann cells viability and proliferation. Compared to the control
group, LIPUS increased the expression of growth factors and neurotrophic factors,
including FGF, NGF, BDNF, GDNF, and Cyclin D1. Meanwhile, GSK-3beta activity was
inhibited in the LIPUS group as demonstrated by the increased level of p-GSK
3beta and the ratio of the p-GSK-3beta/GSK-3beta level. The mRNA and protein
expressions of beta-catenin were increased in the LIPUS group. However, SB216763,
a GSK-3beta inhibitor, reversed the effects of LIPUS on Schwann cells.
Conclusion: LIPUS promotes Schwann cell viability and proliferation by increasing
Cyclin D1 expression via enhancing the GSK-3beta/beta-catenin signaling pathway.
PMID- 29805302
TI - DNA damage checkpoint pathway modulates the regulation of skeletal growth and
osteoblastic bone formation by parathyroid hormone-related peptide.
AB - We previously demonstrated that parathyroid hormone-related peptide (PTHrP) 1-84
knockin (Pthrp KI) mice, which lacked a PTHrP nuclear localization sequence (NLS)
and C-terminus, displayed early senescence, defective osteoblastic bone
formation, and skeletal growth retardation. However, the mechanism of action of
the PTHrP NLS and C-terminus in regulating development of skeleton is still
unclear. In this study, we examined alterations of oxidative stress and DNA
damage response-related molecules in Pthrp KI skeletal tissue. We found that ROS
levels, protein expression levels of gamma-H2AX, a DNA damage marker, and the DNA
damage response markers p-Chk2 and p53 were up-regulated, whereas gene expression
levels of anti-oxidative enzymes were down-regulated significantly. We therefore
further disrupted the DNA damage response pathway by deleting the Chk2 in Pthrp
KI (Chk2-/-KI) mice and did comparison with WT, Chk2-/- and Pthrp KI littermates.
The Pthrp KI mice with Chk2 deletion exhibited a longer lifespan, improvement in
osteoblastic bone formation and skeletal growth including width of growth plates
and length of long bones, trabecular and epiphyseal bone volume, BMD, osteoblast
numbers, type I collagen and ALP positive bone areas, the numbers of total colony
forming unit fibroblasts (CFU-f), ALP+ CFU-f and the expression levels of
osteogenic genes. In addition, the genes associated with anti-oxidative enzymes
were up-regulated significantly, whereas the tumor suppressor genes related to
senescence were down-regulated in Chk2-/- KI mice compared to Pthrp KI mice. Our
results suggest that Chk2 deletion in Pthrp KI mice can somewhat rescue defects
in osteoblastic bone formation and skeletal growth by enhancing endochondral bone
formation and osteogenesis. These studies therefore indicate that the DNA damage
checkpoint pathway may be a target for the nuclear action of PTHrP to regulate
skeletal development and growth.
PMID- 29805304
TI - PARI functions as a new transcriptional target of FOXM1 involved in gastric
cancer development.
AB - PARI, an element of the homologous recombination pathway of DNA repair,is
involved in the regulation of cell cycle and carcinogenesis in pancreatic cancer.
However, little is known about the function and regulatory mechanism of PARI in
other cancers. In the present study, we evaluated the expression of PARI in
gastric cancer (GC) by immunohistochemical analysis in a tissue microarray and
characterized its functions using in vitro assays and in vivo animal models. We
found higher expression of PARI protein was shown in GC tissues compared with
related adjacent normal gastric mucosa tissues. Knockdown of PARI by RNA
inference decreased cell proliferation, migration, and invasion of GC cells in
vitro, as well as reduced the xenograft tumor growth and lung metastasis
formation in vivo. Quantitative real-time PCR and western blot results revealed
that PARI expression was activated by a well-known oncogene FOXM1 and positively
correlated with FOXM1 expression at mRNA level in 38 paired of GC samples.
Luciferase reporter assay and chromatin immunoprecipitation assay further
demonstrated that FOXM1 directly regulated PARI transcription by binding to the
specific sequences of PARI promoter. In addition, PARI knockdown blocked the
effect of FOXM1 on GC cell migration. Taken together, our results suggest that
PARI plays potential oncogenic roles and functions as a transcriptional target
and effector of FOXM1 in GC development.
PMID- 29805303
TI - Elongator promotes the migration and invasion of hepatocellular carcinoma cell by
the phosphorylation of AKT.
AB - The Elongator is a complex with multiple subunits (Elp1-Elp6) which promotes
transcript elongation and protein translation. In this study, we investigated the
effects of Elongator on the migration and invasion of HCC cells as well as the
underlying mechanisms. We showed that overexpression of Elp3 or Elp4 promoted the
migration and invasion of HCC cells, which was abolished when either Elp3 or Elp4
was silenced. The expression of matrix metalloproteinase-2 (MMP-2) and MMP-9 were
enhanced by phosphorylation of AKT. Elongator-driven migration and invasion and
the expression of MMP-2 and MMP-9 were reduced in HCC cells treated with AKT
inhibitor LY294002. Depletion of Elp3 also reduced the phosphorylation of AKT
induced by growth factors. In vivo assay of lung metastasis in mice demonstrated
that overexpression of Elp3 increased tumor nodules metastatic to lung.
Importantly, Elp3 was up-regulated in human HCC tissues, which was correlated
with the phosphorylation of AKT and expression of MMP-2. Collectively, these
results suggested that Elongator activated migration and invasion of HCC cells by
promoting the expression of MMP-2 and MMP-9 through the PI3K/AKT signaling
pathway. Our work suggests that Elongator might be a potential marker which
promotes the metastasis of HCC.
PMID- 29805305
TI - Generation of Smurf2 Conditional Knockout Mice.
AB - Smad ubiquitin regulatory factor 2 (Smurf2) is a HECT domain-containing E3
ubiquitin ligase. Together with its closely related homolog Smurf1, Smurf2 was
initially recognized as a negative regulator of transforming growth factor-beta
(TGF-beta) and bone morphogenetic protein (BMP) signaling, but subsequent studies
have expanded its function to regulate many different signaling pathways and play
important roles in genomic stability, cell polarity, tissue homeostasis and
carcinogenesis. Mice with conventional knockout of Smurf1 or Smurf2 alleles are
viable, but conventional Smurf1 and Smurf2 double knockout mice were early
embryonic lethal. In order to study the physiological function of Smurfs during
late stage of embryonic development or in adult animals, we generated
Smurf2flox/flox mice carrying a targeted mutation for conditional Smurf2 gene
inactivation. We demonstrated that Cre-mediated recombination using Alb-Cre, a
Cre line expressed in hepatocyte, results in specific deletion of the gene in
liver tissue. We also showed that Cre-mediated recombination in mouse embryonic
fibroblasts (MEFs) with Smurf2flox/flox genotype resulted in generation of Smurf2
knockout MEFs, and Smurf2 deficiency affects multiple signaling pathways.
Therefore, this animal model will be useful to study the distinct roles of Smurf2
in different tissues at different ages.
PMID- 29805306
TI - Prognostic nomogram for patients with Nasopharyngeal Carcinoma incorporating
hematological biomarkers and clinical characteristics.
AB - Predictive models for survival prediction in individual cancer patients following
the tumor, node, and metastasis (TNM) staging system are limited. The survival
rates of patients who share TNM stage diseases are diversified. Therefore, we
established a nomogram in which hematological biomarkers and clinical
characteristics for predicting the overall survival (OS) of nasopharyngeal
carcinoma (NPC) patients were incorporated. The clinicopathological and follow-up
data of 690 NPC patients who were histologically diagnosed histologically at the
Sun Yat-sen University Cancer Center between July 2007 and December 2011 were
retrospectively reviewed. Data was randomly divided into primary (n = 460) and
validation groups (n = 230). Cox regression analysis was used to identify
prognostic factors for building the nomogram in primary cohorts. The predictive
accuracy and discriminative ability of the nomogram were measured by the
concordance index (C-index) and decision curve, and were compared with the TNM
staging system, Epstein-Barr virus DNA copy numbers (EBV DNA), or TMN stage plus
EBV DNA. The results were internally validated by assessment of discrimination
and calibration using the validation cohorts at the same institution. Independent
factors selected into the nomogram for OS included age [hazard ratio (HR): 1.765;
95% confidence interval (CI): 1.008-3.090)], TNM stage (HR: 1.899; 95% CI: 1.023
3.525), EBV DNA (HR: 1.322; 95% CI: 1.087-1.607), lactate dehydrogenase level
(LDH) (HR: 1.784; 95% CI: 1.032-3.086), high sensitivity C-reactive protein (hs
CRP) (HR: 1.840; 95% CI: 1.039-3.258), high-density lipoprotein cholesterol (HDL
C) (HR: 0.503; 95% CI: 0.282-0.896), hemoglobin (HGB) (HR: 0.539; 95% CI: 0.309
0.939) and lymphocyte to lymphocyte ratio (LMR) (HR:0.531; 95% CI: 0.293-0.962).
The C-index in the primary cohort and validation cohort were 0.800 and 0.831,
respectively, and were statistically higher when compared to C-index values for
TNM stage (0.672 and 0. 716), EBV DNA (0.668 and 0.688), and TNM stage+ EBV DNA
(0. 732 and 0. 760), P < 0.001 for all. Moreover, the decision curve analyses
demonstrated that the nomogram model had a higher overall net benefit compared to
the TNM staging system, EBV DNA and TNM stage+ EBV DNA. Next, patients were
divided into three distinct risk groups for OS based on total points (TPs) of the
nomogram: a low-risk group (TPs <= 19.0), an intermediate risk group (19.0 < TPs
<= 25.5) and a high risk group (TPs > 25.5), respectively. The nomogram
predicting prognosis generated for NPC patients had a higher predictive power
compared to the TNM staging system, EBV DNA, and TNM stage+ EBV DNA.
PMID- 29805307
TI - PM2.5 induces autophagy-mediated cell death via NOS2 signaling in human bronchial
epithelium cells.
AB - The biggest victim of ambient air pollution is the respiratory system. Mainly
because of the harmful components, especially the particulate matters with an
aerodynamic diameter of <= 2.5um (PM2.5), can be directly inhaled and deeply
penetrate into the lung alveoli, thus causing severe lung dysfunction, including
chronic cough, bronchitis and asthma, even lung cancer. Unfortunately, the
toxicological mechanisms of PM2.5 associations with these adverse respiratory
outcomes have still not been clearly unveiled. Here, we found that PM2.5 rapidly
induced inflammatory responses, oxidative injure and cell death in human
bronchial epithelium cells through upregulation of IL-6 expression, ROS
production and apoptosis. Furthermore, PM2.5 specifically induced nitric oxide
synthase 2 (NOS2) expression and NO generation to elevate excessive autophagy.
Finally, disruption of NOS2 signaling effectively blocked autophayosome formation
and the subsequent cell death. Our novel findings systemically reveled the role
of autophagy-mediated cell death in PM2.5-treated human bronchial epithelium
cells and provided potential strategy for future clinic intervention.
PMID- 29805309
TI - Chemical and genetic inhibition of STAT3 sensitizes hepatocellular carcinoma
cells to sorafenib induced cell death.
AB - Hepatocellular carcinoma (HCC) has become the second leading cause of cancer
related death, with an increasing death rate in recent years. For advanced HCC,
sorafenib is the first-line FDA approved drug, with no more than 3 months'
overall survival advantage. Recently, a novel strategy has been proposed to
improve sorafenib efficacy through enhancing the ability of sorafenib to induce
cell death. STAT3 plays a key role in cancer development and recurrence by
promoting cell proliferation, survival and immune evasion through its well
established function as a transcription factor in cancer. Notably, STAT3
transcription activity, indicated by its phosphorylation on Y705 is heterogeneous
in different liver cancer cell lines. And sorafenib attenuates STAT3
phosphorylation on Y705. However, the role of STAT3 in sorafenib induced cell
death is still largely unknown. Here, we show that liver cancer cells also
exhibit heterogeneous sensitivities to sorafenib induced cell death, which co
relates with the STAT3-Y705 phosphorylation levels and JAK1/2 expression levels
in Hep3B, Huh7 and HepG2 cells. Furthermore, overexpression or knockdown of STAT3
could switch HCC cells between resistant and sensitive to sorafenib induced cell
death, which could be partially due to its regulation on Mcl-1, an anti-apoptotic
protein. Finally, both inhibitors of STAT3 SH2 domain (S3i-201) or STAT3 upstream
kinases JAKs (JAK inhibitor I) could synergistically enhance sorafenib induced
cell death. Taken together, these data strongly suggest that STAT3 is not only a
downstream effector of sorafenib, but also a key regulator of cellular
sensitivity to sorafenib induced cell death, which provide support for the notion
to develop STAT3-targeting drugs to improve clinical efficacy of sorafenib in
liver cancer.
PMID- 29805310
TI - Effects of Transcutaneous Electrical Nerve Stimulation on Proinflammatory
Cytokines: Systematic Review and Meta-Analysis.
AB - The mechanism of pain reduction involves bidirectional processes of pain blocking
(nociception) and reductions in the levels of proinflammatory cytokines in the
blood. Does transcutaneous electrical nerve stimulation (TENS) reduce blood
levels of proinflammatory cytokines? For this systematic review, we searched in
six databases to identify randomized controlled trials with the criteria: humans
older than 18 years (adults), use of TENS in the experimental group, and having
at least one pre- and postintervention blood level of at least one
proinflammatory cytokine. The risk of bias and the level of evidence were
assessed. Five studies were included involving 240 participants. The
heterogeneity of the studies was high (I2: 85%); therefore, we used a random
effects meta-analysis. It was observed through the meta-analysis synthesis
measures that there were statistically significant differences following the use
of TENS to reduce the general group of cytokines. When grouped by chronic
disease, by postoperative settings, or by individual studies in the case of IL-6,
it was observed that the significant reduction of cytokines related to the use of
TENS was maintained. The use of TENS reduced the blood levels of proinflammatory
cytokines (we observed a protective factor of TENS in relation to inflammation).
The protocol of the systematic review was registered in PROSPERO, CRD42017060379.
PMID- 29805308
TI - Epstein-Barr virus-encoded microRNAs as regulators in host immune responses.
AB - Epstein-Barr virus (EBV) is an oncogenic virus that infects over 90% of the
world's adult population. EBV can establish life-long latent infection in host
due to the balance between EBV and host immune system. EBV latency is associated
with various malignancies such as nasopharyngeal carcinoma, gastric carcinoma and
Burkitt's lymphoma. EBV is the first human virus that has the capability to
encode microRNAs (miRNAs). Remarkably, EBV-encoded miRNAs are abundantly
expressed in latently-infected cells and serve important function in viral
infection and pathogenesis. Increasing evidence indicates that EBV miRNAs target
the host mRNAs involved in cell proliferation, apoptosis and transformation. EBV
miRNAs also inhibit the expression of viral antigens, thereby enabling infected
cells to escape immune recognition. Intriguingly, EBV miRNAs directly suppress
host antiviral immunity by interfering with antigen presentation and immune cell
activation. This review will update the current knowledge about EBV miRNAs
implicated in host immune responses. An in-depth understanding of the functions
of EBV miRNAs in host antiviral immunity will shed light on the EBV-host
interactions and provide potential therapeutic targets for the treatment of EBV
associated malignancies.
PMID- 29805311
TI - Selenoprotein S Attenuates Tumor Necrosis Factor-alpha-Induced Dysfunction in
Endothelial Cells.
AB - Endothelial dysfunction, partly induced by inflammatory mediators, is known to
initiate and promote several cardiovascular diseases. Selenoprotein S (SelS) has
been identified in endothelial cells and is associated with inflammation;
however, its function in inflammation-induced endothelial dysfunction has not
been described. We first demonstrated that the upregulation of SelS enhances the
levels of nitric oxide and endothelial nitric oxide synthase in tumor necrosis
factor- (TNF-) alpha-treated human umbilical vein endothelial cells (HUVECs). The
levels of TNF-alpha-induced endothelin-1 and reactive oxygen species are also
reduced by the upregulation of SelS. Furthermore, SelS overexpression blocks the
TNF-alpha-induced adhesion of THP-1 cells to HUVECs and inhibits the increase in
intercellular adhesion molecule-1 and vascular cell adhesion molecule-1.
Moreover, SelS overexpression regulates TNF-alpha-induced inflammatory factors
including interleukin-1beta, interleukin-6, interleukin-8, and monocyte
chemotactic protein-1 and attenuates the TNF-alpha-induced activation of p38
mitogen-activated protein kinase (MAPK) and nuclear factor-kappaB (NF-kappaB)
pathways. Conversely, the knockdown of SelS with siRNA results in an enhancement
of TNF-alpha-induced injury in HUVECs. These findings suggest that SelS protects
endothelial cells against TNF-alpha-induced dysfunction by inhibiting the
activation of p38 MAPK and NF-kappaB pathways and implicates it as a possible
modulator of vascular inflammatory diseases.
PMID- 29805312
TI - Therapeutic Effects of Medicinal Plants on Cutaneous Wound Healing in Humans: A
Systematic Review.
AB - The pharmaceutical industry has made great strides in providing drugs that are
able to stimulate the healing process, but only 1-3% of all drugs that are listed
in Western pharmacopoeias are intended for use on the skin or cutaneous wounds.
Of these, at least one-third are obtained from plants. We sought to review the
therapeutic effects of medicinal plants on human skin lesions. For this
systematic review, we searched the PubMed, Scopus, and Web of Science databases
to identify clinical trials that were published from 1997 to 2017. We reviewed
studies that described the use of medicinal plants for the treatment of skin
lesions in humans. Ten studies were selected, eight of which were published from
2007 to 2016, with a total of 503 patients. Among the plant species that were
used for the treatment of human skin lesions, 12 belonged to 11 families and were
included in the analysis. All of the plant species that were studied presented
high therapeutic potential for the treatment of cutaneous lesions.
PMID- 29805313
TI - Regulatory T Lymphocytes in Periodontitis: A Translational View.
AB - Periodontitis is a chronic immuno-inflammatory disease in which the disruption of
the balance between host and microbiota interactions is key to the onset and
progression of the disease. The immune homeostasis associated with periodontal
health requires a regulated immuno-inflammatory response, during which the
presence of regulatory T cells (Tregs) is essential to ensure a controlled
response that minimizes collateral tissue damage. Since Tregs modulate both
innate and adaptive immunity, pathological conditions that may resolve by the
acquisition of immuno-tolerance, such as periodontitis, may benefit by the use of
Treg immunotherapy. In recent years, many strategies have been proposed to take
advantage of the immuno-suppressive capabilities of Tregs as immunotherapy,
including the ex vivo and in vivo manipulation of the Treg compartment. Ongoing
research in both basic and translational studies let us gain a better
understanding of the diversity of Treg subsets, their phenotypic plasticity, and
suppressive functions, which can be used as a substrate for new immunotherapies.
Certainly, as our knowledge of Treg biology increases, we will be capable to
develop new therapies designed to enhance the stability and function of Tregs
during periodontitis.
PMID- 29805316
TI - Epidemiological accountability: philanthropists, global health and the audit of
saving lives.
AB - There have been concerns about the recent private turn and re-emergence of
philanthropies in world health, with many worrying about philanthropies'
perceived lack of transparency and accountability. In contrast, I argue that
while the private turn might have led to a decline in democratic or public
accountability, it did not bring an end to all forms of accountability.
Specifically, I suggest that philanthropists' involvement in global health has
led to the spread of another, new form of accountability: epidemiological
accountability. The latter is a combination of two regimes of expertise and
practices hitherto kept separate: audit and epidemiology. To substantiate this
argument, I draw on my research on the Bloomberg Initiative - a global effort to
reduce tobacco use spearheaded by the Bloomberg and Gates foundations.
PMID- 29805318
TI - Democratising qualitative research methods: Reflections on Hong Kong, Taiwan and
China.
PMID- 29805317
TI - Stable isotopes of algae and macroinvertebrates in streams respond to watershed
urbanization, inform management goals, and indicate food web relationships.
AB - Watershed development and anthropogenic sources of nitrogen are among leading
causes of negative impacts to aquatic ecosystems around the world. The delta15N
of aquatic biota can be used as indicators of anthropogenic sources of nitrogen
enriched in 15N, but this mostly has been done at small spatial extents or to
document effects of point sources. In this study, we sampled 77 sites along a
forest to urban land cover gradient to examine food webs and the use of delta15N
of periphyton and macroinvertebrate functional feeding groups (FFGs) as
indicators of watershed development and nitrogen effects on streams. Functional
feeding groups had low delta15N variability among taxa within sites. Mean
absolute differences between individual taxa and their respective site FFG means
were < 0.550/00, whereas site means of delta15N of FFGs had ranges of
approximately 7-120/00 among sites. The delta15N of periphyton and
macroinvertebrate FFGs distinguished least disturbed streams from those with
greater watershed urbanization, and they were strongly correlated with increasing
nitrogen concentrations and watershed impervious cover. Nonmetric
multidimensional scaling, using delta15N of taxa, showed that changes in
macroinvertebrate assemblages as a whole were associated with forest-to-urban and
increasing nitrogen gradients. Assuming an average +3.40/00 per trophic level
increase, delta15N of biota indicated that detrital pathways likely were
important to food web structure, even in streams with highly developed
watersheds. We used periphyton and macroinvertebrate FFG delta15N to identify
possible management goals that can inform decisions affecting nutrients and
watershed land use. Overall, the delta15N of periphyton and macroinvertebrates
were strong indicators of watershed urban development effects on stream
ecosystems, and thus, also could make them useful for quantifying the
effectiveness of nitrogen, stream, and watershed management efforts.
PMID- 29805315
TI - Predictive Role of Serum Cytokine Profiles in Acute Kidney Injury after Living
Donor Liver Transplantation.
AB - Introduction: Previous studies have shown that a higher serum interleukin- (IL-)
6 level is associated with a higher risk of acute kidney injury (AKI) development
after major nontransplant surgery. Our study investigated the potential
association of preoperative serum cytokine profiles with new AKI development in
patients who underwent living donor liver transplantation (LDLT). Methods: Serum
levels of cytokines IL-2, IL-6, IL-10, IL-12, and IL-17, interferon-gamma, and
tumor necrosis factor- (TNF-) alpha were measured in 226 LDLT recipients
preoperatively and analyzed retrospectively. Recipients with a preoperative
functional impairment of the kidney were excluded. AKI was defined according to
Kidney Disease: Improving Global Outcomes (KDIGO) criteria. Results: In a
univariate regression model, IL-6, IL-17, and TNF-alpha levels showed an
association with AKI development after LDLT. Multivariate analysis showed an
independent association of the preoperative serum IL-6 level with AKI development
after LDLT and a significant relationship between higher serum IL-6 levels and a
greater likelihood of developing AKI. Serum IL-6 levels were higher in patients
with stage 3 AKI than in patients who did not develop AKI. Conclusions: Our
results support the need for further investigations of IL-6 as a predictor of AKI
development in patients undergoing LDLT.
PMID- 29805319
TI - Rethinking one of criminology's 'brute facts': The age-crime curve and the crime
drop in Scotland.
AB - Examining annual variation in the age-crime curve as a way to better understand
the recent crime drop, this paper explores how the age distribution of convicted
offending changed for men and women in Scotland between 1989 and 2011. This
analysis employs shaded contour plots as a method of visualizing annual change in
the age-crime curve. Similar to recent findings from the USA, we observed falling
rates of convicted offending for young people, primarily owing to lower rates of
convicted offending for young men. In contrast to the US literature we also find
increases in the rate of convicted offending for those in their mid-twenties to
mid-forties, which are relatively greater for women than men. Analysis of annual
change shows different phases in the progression of these trends, with falls in
prevalence during the 1990s reflecting lower rates of convictions for acquisitive
crime, but falls between 2007 and 2011 being spread across multiple crime types.
Explanations of the crime drop in Scotland and elsewhere must be able to account
for different patterns of change across age, sex, crime type and time.
PMID- 29805320
TI - Treatment of Hepatitis C Virus (HCV) Genotype 1 Disease.
AB - The landscape of therapeutic options for HCV infection has dramatically changed
with the approval of all-oral direct-acting antiviral (DAA) regimens. DAAs target
important steps in the HCV viral life cycle, resulting in higher response rates
and fewer adverse events than were afforded with interferon and ribavirin, the
prior standard of care. The achievement of sustained virologic response (SVR)
rates in excess of 90% with use of DAA regimens has not only translated into HCV
eradication for the hundreds of thousands treated but is also anticipated to
decrease the incidence of major complications associated with chronic HCV
infection. Additionally, the favorable side effect profile of DAAs has made HCV
therapy feasible in difficult-to-treat populations, including those with previous
exposure to interferon and ribavirin, cirrhosis, decompensated liver disease, HIV
and HCV co-infection, and severe renal dysfunction/end stage renal disease. Given
this tremendous progress, all patients infected with HCV infection should be
treated.
PMID- 29805322
TI - Argument Strength and the Persuasiveness of Stories.
AB - Stories are a powerful means to change people's attitudes and beliefs. The aim of
the current work was to shed light on the role of argument strength (argument
quality) in narrative persuasion. The present study examined the influence of
strong versus weak arguments on attitudes in a low or high narrative context.
Moreover, baseline attitudes, interindividual differences in working memory
capacity, and recipients' transportation were examined. Stories with strong
arguments were more persuasive than stories with weak arguments. This main effect
was qualified by a two-way interaction with baseline attitude, revealing that
argument strength had a greater impact on individuals who initially were
particularly doubtful toward the story claim. Furthermore, we identified a three
way interaction showing that argument strength mattered most for recipients who
were deeply transported into the story world in stories that followed a typical
narrative structure. These findings provide an important specification of
narrative persuasion theory.
PMID- 29805321
TI - The Cellosaurus, a Cell-Line Knowledge Resource.
AB - The Cellosaurus is a knowledge resource on cell lines. It aims to describe all
cell lines used in biomedical research. Its scope encompasses both vertebrates
and invertebrates. Currently, information for >100,000 cell lines is provided.
For each cell line, it provides a wealth of information, cross-references, and
literature citations. The Cellosaurus is available on the ExPASy server
(https://web.expasy.org/cellosaurus/) and can be downloaded in a variety of
formats. Among its many uses, the Cellosaurus is a key resource to help
researchers identify potentially contaminated/misidentified cell lines, thus
contributing to improving the quality of research in the life sciences.
PMID- 29805314
TI - Gut Microbiota in Multiple Sclerosis and Experimental Autoimmune
Encephalomyelitis: Current Applications and Future Perspectives.
AB - The gut environment and gut microbiome dysbiosis have been demonstrated to
significantly influence a range of disorders in humans, including obesity,
diabetes, rheumatoid arthritis, and multiple sclerosis (MS). MS is an autoimmune
disease affecting the central nervous system (CNS). The etiology of MS is not
clear, and it should involve both genetic and extrinsic factors. The extrinsic
factors responsible for predisposition to MS remain elusive. Recent studies on MS
and its animal model, experimental autoimmune encephalomyelitis (EAE), have found
that gastrointestinal microbiota may play an important role in the pathogenesis
of MS/EAE. Thus, gut microbiome adjustment may be a future direction of treatment
in MS. In this review, we discuss the characteristics of the gut microbiota, the
connection between the brain and the gut, and the changes in gut microbiota in
MS/EAE, and we explore the possibility of applying microbiota therapies in
patients with MS.
PMID- 29805323
TI - Electronic Cigarettes and Communication: An Examination of College Students'
Perceptions of Safety and Use.
AB - Electronic cigarettes (e-cigs) have increased in popularity over the last few
years, especially with youth and young adults. However, little is known about the
health effects of using these devices. Additionally, relatively few studies have
explored college students' e-cig use and perceptions of safety. In this study,
perceptions of e-cig safety were compared among three groups of college students
those who had never tried, had tried, and currently use e-cigs. Study findings
suggest interesting differences between the three groups, with participants who
had tried as well as those who currently use e-cigs having more positive views of
the devices. For example, current users were more likely to view e-cigs as safe
and healthy choices and less likely to view them as tobacco products. Further,
compared to participants who had not tried e-cigs, individuals who had tried or
currently use e-cigs were more likely to believe that vapor was safe to others
(i.e., no second- or third-hand effects). Understanding the perceptions and use
of e-cigs among college students is important in order to develop communication
strategies for anti-tobacco campaigns that effectively relate safety concerns to
these audiences.
PMID- 29805324
TI - Factors Influencing Hemoglobin Variability and Its Association with Mortality in
Hemodialysis Patients.
AB - Purpose: We aimed to investigate the factors influencing hemoglobin variability
with inflammatory and nutritional parameters and its associations with all-cause
mortality among hemodialysis patients. Methods: One hundred and sixty-nine
patients during the entire 12 months were enrolled into the study. Fasting plasma
glucose, creatinine, calcium, phosphorus, alkaline phosphatase, parathyroid
hormone (PTH), C-reactive protein (CRP), serum iron, serum iron-binding capacity,
and transferrin saturation were analyzed. We defined six groups: low, target
range, high, low-amplitude fluctuation with low hemoglobin levels, low-amplitude
fluctuation with high hemoglobin levels, and high-amplitude fluctuation. Body
mass index (BMI), malnutrition-inflammation score (MIS), and Charlson Comorbidity
Index were evaluated. Results: Hemoglobin variability was significantly
correlated with age, platelet count, and number of hospitalization instances and
inversely correlated with erythropoietin dose per body surface area. The
coefficient of variation of hemoglobin showed a correlation with MIS and
ferritin. The absolute level of hemoglobin showed a negative correlation between
PTH, CRP, MIS, number of hospitalization instances and a positive correlation
with albumin and BMI. High, low, and target-range groups showed survival
advantage compared to the other three groups. In regression analysis, age, CRP
levels, MIS, and BMI were the predictors of mortality. Conclusion: Inflammation
and duration of anemia were the major predictors of hemoglobin variability. High
amplitude fluctuation predicts high mortality; on the contrary low-amplitude
fluctuations is related to better survival. MIS was independently associated with
mortality. This trial is registered with NCT03454906.
PMID- 29805325
TI - A Pacific Islander Organization's Approach Towards Increasing Community
Colorectal Cancer Knowledge and Beliefs.
AB - Purpose: Evaluate the educational intervention and determine if changes in
knowledge and beliefs are associated with positive intentions to screen among
Pacific Islanders (PIs). Design: Pre- and post-test surveys were utilized to
evaluate the intervention. Educational materials included an in-language
presentation, educational videos, and bookmarks with screening re-enforcement
messages for community-based PI groups. Methods: One hundred and ninety-six PIs
aged 50 years and older residing in Orange County completed the educational
workshop. The dependent variable is intention and the independent variables are
demographic, enabling, and predisposing characteristics. Statistical analyses
included paired samples t-tests, chi-square tests, and two logistic regression
models. Results: Knowledge and belief scores increased pre- to post-test. A
majority intended to (1) talk to a doctor about colorectal cancer (CRC) screening
(74.5%), and (2) participate in CRC screening (73.5%). Positive change in
knowledge score was a significant predictor for intending to talk to a doctor
about CRC screening; female gender and positive change in knowledge score were
significant predictors for intending to participate in screening. Conclusion: The
study highlighted the effectiveness of tailored education in addressing cultural
and linguistic needs of the community. Moreover, it demonstrated the education's
potential for moving participants with limited CRC screening knowledge to intend
to screen.
PMID- 29805327
TI - Community Perspectives on Cultural Considerations for Breast and Cervical Cancer
Education among Marshallese Women in Orange County, California.
AB - The Marshallese community of Orange County California is a part of a highly
mobile population that migrates between Hawai'i, Arkansas, Washington, and
California. In Orange County, the Marshallese community is primarily centered on
faith-based organization in the city of Costa Mesa. Culture and language
strengthen the bonds between different Marshallese communities across the U.S.,
and churches serve as conduits for communication between groups. Culture also
places an important role in guiding behavior pertaining to health and social
interaction. For instance, as in many other cultures, Marshallese men and women
do not speak to each other about health, particularly reproductive health, in an
open social setting. In Orange County, one female Marshallese health educator
promotes breast and cervical cancer screening by talking informally with women,
usually in faith-based settings and in-home visits. This community commentary
describes the key cultural considerations and strategies used by the health
educator to reach and educate the community.
PMID- 29805326
TI - Reservations to Participate in Biospecimen Research among Pacific Islanders.
AB - Background and Significance: Despite high rates of chronic diseases like cancer,
diabetes and cardiovascular disease, Pacific Islanders (PIs) are underrepresented
in clinical and genetic studies designed to identify the physiological causes of
poor health outcomes. There are limited genetic data and biospecimen samples from
PIs under study. This paper described why PIs have reservations about donating
their biospecimen samples for research. Methods: Data were drawn from a pilot
study designed to assess the knowledge, attitudes and beliefs surrounding
biospecimen research among PIs in southern California. Utilizing a community
based participatory research approach, community and academic partners collected
quantitative and qualitative data from a total of 60 PI adults with a mean age of
61 years (SD 13 years). Results: "Fear", "God or Spirituality" and "Lack of
Information or Knowledge" were the most cited reasons for not participating in
biospecimen research. Respondents younger than age 65 years expressed more
concerns about donating their biospecimen samples than those older than age 65
years (p<0.012). No significant gender differences were found (p=0.84).
Conclusion: Our results emphasize the need to conduct relevant and appropriate
biospecimen education among minority communities in order to address
misconceptions and build support to increase PI and other minority participation
in biospecimen-related studies.
PMID- 29805328
TI - Promoting Breast Cancer Screening through Storytelling by Chamorro Cancer
Survivors.
AB - The largest Chamorro population outside of Guam and the Mariana Islands reside in
California. Cancer health disparities disproportionally affect Pacific Islander
communities, including the Chamorro, and breast cancer is the most common cancer
affecting women. To address health concerns such as cancer, Pacific Islander
women frequently utilize storytelling to initiate conversations about health and
to address sensitive topics such as breast health and cancer. One form of
storytelling used in San Diego is a play that conveys the message of breast
cancer screening to the community in a culturally and linguistically appropriate
way. This play, Nan Nena's Mammogram, tells the story of an older woman in the
community who learns about breast cancer screening from her young niece. The
story builds upon the underpinnings of Chamorro culture - family, community,
support, and humor - to portray discussing breast health, getting support for
breast screening, and visiting the doctor. The story of Nan Nena's Mammogram
reflects the willingness of a few pioneering Chamorro women to use their personal
experiences of cancer survivorship to promote screening for others. Through the
support of a Chamorro community-based organization, these Chamorro breast cancer
survivors have used the success of Nan Nena's Mammogram to expand their education
activities and to form a new cancer survivor organization for Chamorro women in
San Diego.
PMID- 29805329
TI - Talking Story: Using Culture to Educate Pacific Islander Men about Health and
Aging.
AB - Relatively little attention has been paid in the literature to strategies
promoting the health of Native Hawaiian and other Pacific Islander (NHPI) men. To
fill this void, a Native Hawaiian cancer survivor and a Marshallese minister in
Orange County, California, founded the Kane Group to promote men's health
information and support. This group is comprised of 10 to 15 NHPI men, ages 35 to
83, with a diverse background of experiences in the U.S. healthcare system and
health conditions, including multiple site cancer survivors and/or co-morbidity
and chronic condition, like high blood pressure, diabetes. The Kane Group
provides social support and engages in discussions, using the island tradition of
"talk story", to relate a variety of men's health issues from prostate cancer to
physical fitness to end-of-life decision making in a supportive and safe
environment. The group weaves Pacific Islander culture and values into the
process and conduct of the support groups. This community commentary describes
the innovative strategies, successes, and challenges that emerged with the
development of the group that were designed to celebrate Pacific Islander men's
health, provide information, fellowship, and support for the many who are facing
health crises.
PMID- 29805331
TI - Reducing Cancer Health Disparities among Pacific Islanders in the U.S.
PMID- 29805330
TI - Identifying the Causes of Cancer Health Disparities: Biologic and Non Biologic
Determinants.
AB - The causes of cancer health disparities amongst Pacific Islanders and other
racial groups are complex and multifactorial. Both biologic and non biologic
determinants have been identified as causal factors. Racial/ethnic classification
can be used as a surrogate for non biologic determinants such as place of
geographic origin, socioeconomic status, cultural practices, and diet. Given that
non biologic and biologic determinants are not mutually exclusive, using
racial/ethnic classification may be hypothesis generating and assist in the
identification of biologic determinants such as infections, toxins, and/or
environmental exposures that lead to carcinogenesis. This commentary provides
several examples of cancer specific biologic determinants that may lead to cancer
health disparities. It also discusses specific non biologic determinants of
cancer health disparities that must be overcome in order to increase
participation of underserved populations in clinical trial research. Taken
together, these examples demonstrate the need to further our understanding of the
determinants of cancer health disparities that can lead to the enactment of
preventive measures and/or targeted therapies.
PMID- 29805332
TI - Development and Application of Nanoparticles in Biomedical Imaging.
PMID- 29805334
TI - Seasonal oxygen dynamics in a warm temperate estuary: effects of hydrologic
variability on measurements of primary production, respiration, and net
metabolism.
AB - Seasonal responses in estuarine metabolism (primary production, respiration, and
net metabolism) were examined using two complementary approaches. Total ecosystem
metabolism rates were calculated from dissolved oxygen time series using Odum's
open water method. Water column rates were calculated from oxygen-based bottle
experiments. The study was conducted over a spring-summer season in the Pensacola
Bay estuary at a shallow seagrass-dominated site and a deeper bare-bottomed site.
Water column integrated gross production rates more than doubled (58.7 to 130.9
mmol O2 m-2 d-1) from spring to summer, coinciding with a sharp increase in water
column chlorophyll-a, and a decrease in surface salinity. As expected, ecosystem
gross production rates were consistently higher than water column rates, but
showed a different spring-summer pattern, decreasing at the shoal site from 197
to 168 mmol O2 m-2 d-1 and sharply increasing at the channel site from 93.4 to
197.4 mmol O2 m-2 d-1. The consistency among approaches was evaluated by
calculating residual metabolism rates (ecosystem - water column). At the shoal
site, residual gross production rates decreased from spring to summer from 176.8
to 99.1 mmol O2 m-2 d-1, but were generally consistent with expectations for
seagrass environments, indicating that the open water method captured both water
column and benthic processes. However, at the channel site, where benthic
production was strongly light-limited, residual gross production varied from 15.7
mmol O2 m-2 d-1 in spring to 86.7 mmol O2 m-2 d-1 in summer. The summer rates
were much higher than could be realistically attributed to benthic processes, and
likely reflected a violation of the open water method due to water column
stratification. While the use of sensors for estimating complex ecosystem
processes holds promise for coastal monitoring programs, careful attention to the
sampling design, and to the underlying assumptions of the methods, is critical
for correctly interpreting the results. This study demonstrated how using a
combination of approaches yielded a fuller understanding of the ecosystem
response to hydrologic and seasonal variability.
PMID- 29805333
TI - The Course of Self-Efficacy for Therapeutic Use of Self in Norwegian Occupational
Therapy Students: A 10-Month Follow-Up Study.
AB - Background: Occupational therapy students need to develop self-efficacy for
managing the therapeutic relationship in practice. This study examined the 10
month trajectories of Norwegian students' self-efficacy for use of self. Methods:
Eighty-nine students completed self-efficacy questionnaires related to the use of
self after a workshop and at 3- and 10-month follow-up. Changes on the three
outcome measures (self-efficacy for therapeutic mode use, for recognizing
clients' interpersonal characteristics, and for managing interpersonal events)
were analyzed with repeated measures ANOVA. Results: Across the follow-up period,
the students improved their self-efficacy for therapeutic mode use (partial eta2
= 0.44, p < 0.001), for recognizing clients' interpersonal characteristics
(partial eta2 = 0.81, p < 0.001), and for managing interpersonal events (partial
eta2 = 0.32, p < 0.001). Conclusion: The increased self-efficacy for use of self
that was found at 3-month follow-up was maintained at 10-month follow-up. The
results indicate that students may experience a boost in self-efficacy for
therapeutic use of self after a brief workshop and that these changes can be
sustained over time.
PMID- 29805335
TI - Assessing covariate effects using Jeffreys-type prior in the Cox model in the
presence of a monotone partial likelihood.
AB - In medical studies, the monotone partial likelihood is frequently encountered in
the analysis of time-to-event data using the Cox model. For example, with a
binary covariate, the subjects can be classified into two groups. If the event of
interest does not occur (zero event) for all the subjects in one of the groups,
the resulting partial likelihood is monotone and consequently, the covariate
effects are difficult to estimate. In this article, we develop both Bayesian and
frequentist approaches using a data-dependent Jeffreys-type prior to handle the
monotone partial likelihood problem. We first carry out an in-depth examination
of the conditions of the monotone partial likelihood and then characterize
sufficient and necessary conditions for the propriety of the Jeffreys-type prior.
We further study several theoretical properties of the Jeffreys-type prior for
the Cox model. In addition, we propose two variations of the Jeffreys-type prior:
the shifted Jeffreys-type prior and the Jeffreys-type prior based on the first
risk set. An efficient Markov-chain Monte Carlo algorithm is developed to carry
out posterior computation. We perform extensive simulations to examine the
performance of parameter estimates and demonstrate the applicability of the
proposed method by analyzing real data from the SEER prostate cancer study.
PMID- 29805337
TI - Connecting Technological Innovation in Artificial Intelligence to Real-world
Medical Practice through Rigorous Clinical Validation: What Peer-reviewed Medical
Journals Could Do.
AB - Artificial intelligence (AI) is projected to substantially influence clinical
practice in the foreseeable future. However, despite the excitement around the
technologies, it is yet rare to see examples of robust clinical validation of the
technologies and, as a result, very few are currently in clinical use. A
thorough, systematic validation of AI technologies using adequately designed
clinical research studies before their integration into clinical practice is
critical to ensure patient benefit and safety while avoiding any inadvertent
harms. We would like to suggest several specific points regarding the role that
peer-reviewed medical journals can play, in terms of study design, registration,
and reporting, to help achieve proper and meaningful clinical validation of AI
technologies designed to make medical diagnosis and prediction, focusing on the
evaluation of diagnostic accuracy efficacy. Peer-reviewed medical journals can
encourage investigators who wish to validate the performance of AI systems for
medical diagnosis and prediction to pay closer attention to the factors listed in
this article by emphasizing their importance. Thereby, peer-reviewed medical
journals can ultimately facilitate translating the technological innovations into
real-world practice while securing patient safety and benefit.
PMID- 29805336
TI - Guidelines and considerations for the use of system suitability and quality
control samples in mass spectrometry assays applied in untargeted clinical
metabolomic studies.
AB - Background: Quality assurance (QA) and quality control (QC) are two quality
management processes that are integral to the success of metabolomics including
their application for the acquisition of high quality data in any high-throughput
analytical chemistry laboratory. QA defines all the planned and systematic
activities implemented before samples are collected, to provide confidence that a
subsequent analytical process will fulfil predetermined requirements for quality.
QC can be defined as the operational techniques and activities used to measure
and report these quality requirements after data acquisition. Aim of review: This
tutorial review will guide the reader through the use of system suitability and
QC samples, why these samples should be applied and how the quality of data can
be reported. Key scientific concepts of review: System suitability samples are
applied to assess the operation and lack of contamination of the analytical
platform prior to sample analysis. Isotopically-labelled internal standards are
applied to assess system stability for each sample analysed. Pooled QC samples
are applied to condition the analytical platform, perform intra-study
reproducibility measurements (QC) and to correct mathematically for systematic
errors. Standard reference materials and long-term reference QC samples are
applied for inter-study and inter-laboratory assessment of data.
PMID- 29805338
TI - Chronological Echocardiographic Changes in Healthy Term Neonates within Postnatal
72 Hours Using Doppler Studies.
AB - Background: This study evaluated echocardiographic changes in full-term healthy
neonates during early transitional period from postnatal 0-72 hours at 12-hour
intervals by echocardiography. Methods: This was a prospective, observational,
and longitudinal single-center cohort study. Morphometric, functional, systolic,
diastolic, and tissue Doppler imaging (TDI) parameters (patent ductus arteriosus
[PDA], aorta, superior vena cava [SVC], stroke volume [SV], cardiac output [CO],
cardiac index [CI], early diastolic flow velocity [E], late diastolic flow
velocity [A], early filling in TDI [E'], peak systolic annular velocity in TDI
[S'], late velocity peak in TDI [A'], and myocardial performance index [MPI])
were evaluated in left ventricle (LV) and right ventricle (RV) with 56 newborns.
Results: Sizes and peak velocities of PDA before postnatal 24 hours were
significantly changed than those after postnatal 24 hours. Aortic velocity time
integral (VTI), systolic blood pressure (BP), LV SV/kg, LV CO/kg, LV CI, and SVC
flow/LV CO before 24 hours showed significantly changes than those after 24
hours. Also, LV and RV MPI before 24 hours were significantly higher than those
after 24 hours. LV E/E' was significantly higher than RV E/E'. Conclusion:
Postnatal 24 hours is critical time for hemodynamic closure of PDA because aortic
VTI, systolic BP, LV SV, LV CO, LV CI, and SVC flow/LV CO showed simultaneously
significant changes after 24 hours at the same time as 24 hours of physiological
closure of PDA. Chronological and dramatic changes of systolic, diastolic, and
TDI parameters during early postnatal period can be used to compile normal
baseline data of healthy full-term neonates.
PMID- 29805339
TI - Low Positive Predictive Value of Bone Scan to Predict Impending Complete Fracture
among Incomplete Atypical Femoral Fracture.
AB - Background: Although bone scan might be useful to detect incomplete atypical
femoral fractures (AFFs) earlier than radiographs, there is no study on
predicting further progression to a complete fracture among incomplete AFFs. Our
purposes are to determine whether bone scan detects impending complete fracture
among incomplete AFFs. Methods: We reviewed 18 patients (20 AFFs) who underwent
bone scan at the diagnosis of incomplete AFF and were not treated with
prophylactic fixation. A diagnosis of impending complete fracture was made, when
the femur completely fractured within 6 months after the scan. We correlated
radioisotope uptake with the impending complete fracture to calculate
sensitivity, specificity, positive predictive value and negative predictive value
of bone scan. Results: Thirteen AFFs (65%, 13/20) showed a positive uptake in
bone scan. Among the 13, only one femur was completely fractured within 6 months.
None of the 7 femurs without uptake in bone scan fractured. In diagnosing
impending complete fracture, the sensitivity of bone scan was 100% and negative
predictive value was 100%. However, the specificity (36.8%) and positive
predictive value (7.7%) were quite low. Conclusion: Bone scan has no significant
role in detecting the impending complete fracture, and a positive uptake does not
mean the necessity of prophylactic fixation of incomplete AFF.
PMID- 29805340
TI - Pediatric Case Report on an Interstitial Lung Disease with a Novel Mutation of
SFTPC Successfully Treated with Lung Transplantation.
AB - Mutations of the surfactant protein (SP)-C gene (SFTPC) have been associated with
neonatal respiratory distress syndrome (RDS) and childhood interstitial lung
disease (ILD). If accurate diagnosis and proper management are delayed,
irreversible respiratory failure demanding lung transplantation may ensue. A girl
was born at term but was intubated and given exogenous surfactant due to RDS.
Cough and tachypnea persisted, and symptoms rapidly progressed at 16 months of
age despite treatment with antibiotics, oral prednisolone, methylprednisolone
pulse therapy, and intravenous immunoglobulin. At 20 months, she visited our
hospital for a second opinion. A computed tomography scan showed a diffuse mosaic
pattern with ground-glass opacity and subpleural cysts compatible with ILD. A
video-assisted thoracoscopic lung biopsy revealed ILD with eosinophilic
proteinaceous material and macrophages in the alveolar space. Bilateral lung
transplant from a 30-month-old child was done, and she was discharged in room air
without acute complications. Genetic analysis revealed a novel c.203T>A,
p.Val68Asp mutation of SP-C, based on the same exon as a known pathogenic
mutation, p.Glu66Lys.
PMID- 29805341
TI - Increased Risk of Atrial Fibrillation in the Early Period after Herpes Zoster
Infection: a Nationwide Population-based Case-control Study.
AB - Background: Herpes zoster (HZ) is a chronic inflammatory disease that could
result in autonomic dysfunction, often leading to atrial fibrillation (AF).
Methods: From the Korean National Health Insurance Service database of 738,559
subjects, patients newly diagnosed with HZ (n = 30,685) between 2004 and 2011,
with no history of HZ or AF were identified. For the non-HZ control group,
122,740 age- and sex-matched subjects were selected. AF development in the first
two-years following HZ diagnosis, and during the overall follow-up period were
compared among severe (requiring hospitalization, n = 2,213), mild (n = 28,472),
and non-HZ (n = 122,740) groups. Results: There were 2,204 (1.4%) patients
diagnosed with AF during follow-up, and 825 (0.5%) were diagnosed within the
first two years after HZ. The severe HZ group showed higher rates of AF
development (6.4 per 1,000 patient-years [PTPY]) compared to mild-HZ group (2.9
PTPY) and non-HZ group (2.7 PTPY). The risk of developing AF was higher in the
first two-years after HZ diagnosis in the severe HZ group (10.6 PTPY vs. 2.7 PTPY
in mild-HZ group and 2.6 PTPY in non-HZ group). Conclusion: Severe HZ that
requires hospitalization shows an increased risk of incident AF, and the risk is
higher in the first two-years following HZ diagnosis.
PMID- 29805342
TI - The Risk of Atrial Fibrillation after Herpes Zoster.
PMID- 29805343
TI - Proteomic and bioinformatic discovery of biomarkers for diabetic nephropathy.
AB - Diabetes is associated with numerous metabolic and vascular risk factors that
contribute to a high rate of micro-vascular and macro-vascular disorders leading
to mortality and morbidity from diabetic complications. In this case, the major
cause of death in overall diabetic patients results from diabetic nephropathy
(DN) or renal failure. The risk factors and mechanisms that correspond to the
development of DN are not fully understood and so far, no specific and sufficient
diagnostic biomarkers are currently available other than micro- or
macroalbuminuria. Therefore, this review describes current and novel protein
biomarkers in the context of DN as well as probable proteins biomarkers
associated with pathological processes for the early stage of DN via proteomics
data together with bioinformatics. In addition, the mechanisms involved in early
development of diabetic vascular disorders and complications resulting from
glucose induced oxidative stress will also be explored.
PMID- 29805344
TI - Quantitation of zolpidem in biological fluids by electro-driven microextraction
combined with HPLC-UV analysis.
AB - In this study, for the first time, an electro-driven microextraction method named
electromembrane extraction combined with a simple high performance liquid
chromatography and ultraviolet detection was developed and validated for the
quantitation of zolpidem in biological samples. Parameters influencing
electromembrane extraction were evaluated and optimized. The membrane consisted
of 2-ethylhexanol immobilized in the pores of a hollow fiber. As a driving force,
a 150 V electric field was applied to facilitate the analyte migration from the
sample matrix to an acceptor solution through a supported liquid membrane. The
pHs of donor and acceptor solutions were optimized to 6.0 and 2.0, respectively.
The enrichment factor was obtained >75 within 15 minutes. The effect of carbon
nanotubes (as solid nano-sorbents) on the membrane performance and EME efficiency
was evaluated. The method was linear over the range of 10-1000 ng/mL for zolpidem
(R2 >0.9991) with repeatability ( %RSD) between 0.3 % and 7.3 % (n = 3). The
limits of detection and quantitation were 3 and 10 ng/mL, respectively. The
sensitivity of HPLC-UV for the determination of zolpidem was enhanced by
electromembrane extraction. Finally, the method was employed for the quantitation
of zolpidem in biological samples with relative recoveries in the range of 60-79
%.
PMID- 29805346
TI - Determination of the relationship between doxorubicin resistance and Wnt
signaling pathway in HeLa and K562 cell lines.
AB - Activation of the Wnt signaling in some types of cancer and its relation with
chemotherapy resistance is a very interesting issue that has been emphasized in
recent years. Although, it is known that increase in the activity of beta-catenin
is important in blast transformation and drug resistance, the underlying
mechanisms are still unclear. In this study, changes in the expression levels of
186 genes that are thought to be important in drug resistance and Wnt signaling
pathways were determined by using qPCR method in doxorubicin-sensitive and
resistant HeLa and K562 cell lines. It has been observed that the genes involved
in the Wnt signaling pathways are involved in more changes in HeLa/Dox cells (36
genes) than in the K562/Dox cells (17 genes). Genes important for the development
of cancer resistance have been found to be significantly different in expression
levels of 18 genes in HeLa/Dox cells and 20 genes in K562/Dox cells. In both cell
lines, the expression of ABCB1 gene was significantly increased to 160 and 103
fold, respectively. However, despite the resistance to same drug in HeLa and K562
cell lines, it appears that the expression levels of different oncogenes and
genes involved in Wnt signaling pathways have been altered. It has been found
that although resistance develops to the same drug in both cell lines, the
expression levels of different genes have changed. If functional analysis of
these genes is performed on patient population groups, these molecules may become
candidates for novel therapeutic target molecules.
PMID- 29805345
TI - Extended compilation of autopsy-material measurements on lumbar ultimate
compressive strength for deriving reference values in ergonomic work design: The
Revised Dortmund Recommendations.
AB - Measures of human physical capacity are required in ergonomic work design. To
avoid biomechanical low-back overload, criteria are needed to differentiate load
and overload. With respect to the evaluation of manual materials handling and
similar physical exposures regarding potential overload, the compression
component of the forces transferred via lumbar discs or vertebrae are compared
with the ultimate compressive strength of lumbar-spine segments in a common
biomechanical approach. As mechanical load-bearing capacity cannot be quantified
directly in vivo, forces are applied to dissected spinal elements up to failure,
which is interpreted as a measure of ultimate strength or tolerance to
compression. Corresponding autopsy-material measurements were collected from
literature and examined regarding several conditions: At the very minimum, a
specimen consists of a complete vertebra or a disc including the adjacent
endplates; failure is identified definitely as lumbar; compressive-force
application is quasi-static; results are given as single values etc. This study
continues previous collations, the latest is dated on 2001 including 25 usable
out of 47 investigations totally. Currently, 66 newly discovered seemingly
appropriate studies were collected via a systematic literature search, 11 of them
were added for subsequent analysis. Nearly 4,000 values were compiled, 1,192
remained for analysis. Human lumbar ultimate compressive strength varies between
0.6 and 15.6 kN, mean and standard deviation are 4.84 +/- 2.50 kN. For data
originating from donors of specified gender and aged 20 years or more, the
distributions are characterised by 6.09 +/- 2.69 kN for male adults (n=305) and
3.95 +/- 1.79 kN for female adults (n=205). According to a linear regression
model for donors aged 20 years or more, strength significantly decreases with
age: 10.43 kN minus 0.923 kN per 10 years of age for males and 7.65 kN minus
0.685 kN per decade for females. Based on these gendered age relations, the
"Revised Dortmund Recommendations" were derived ranging between 5.4 kN for males
aged 20 years and 2.2 kN for males of 60 years or more. The corresponding
recommended limits for females amount to 4.1 and 1.8 kN, respectively. A specific
safety margin was implemented for young adults up to 25 years of age as skeletal
strength may not be fully developed. Due to the compression-related and
biomechanical nature of this approach, other influences like shear or torsion as
well as psychological or psychosocial risk factors remain unconsidered despite
their undoubted importance for initiating complaints, disorders and diseases at
the low-back region.
PMID- 29805347
TI - Hesperidin, a plant flavonoid accelerated the cutaneous wound healing in
streptozotocin-induced diabetic rats: Role of TGF-beta/Smads and Ang-1/Tie-2
signaling pathways.
AB - Background: Delayed wound healing is a diverse, multifactorial, complex and inter
related complication of diabetes resulting in significant clinical morbidity.
Hesperidin possesses potent antidiabetic and wound healing activity. Aim: To
evaluate the potential of hesperidin against experimentally induced diabetes foot
ulcers. Methods: Diabetes was induced experimentally by streptozotocin (STZ, 55
mg/kg, i.p.) in Sprague Dawley rats (180-220 g) and wounds were created on the
dorsal surface of the hind paw of rats. Hesperidin (25, 50 and 100 mg/kg, p.o.)
was administered for 21 days after wound stabilization. Various biochemical,
molecular and histopathological parameters were evaluated in wound tissue.
Results: STZ-induced decrease in body weight and increase in blood glucose, food,
and water intake was significantly (p < 0.05) inhibited by hesperidin (50 and 100
mg/kg) treatment. It showed a significant increase (p < 0.05) in percent wound
closure and serum insulin level. The STZ-induced decrease in SOD and GSH level,
as well as elevated MDA and NO levels, were significantly (p < 0.05) attenuated
by hesperidin (50 and 100 mg/kg) treatment. Intraperitoneal administration of STZ
caused significant down-regulation in VEGF-c, Ang-1, Tie-2, TGF-beta and Smad 2/3
mRNA expression in wound tissues whereas hesperidin (50 and 100 mg/kg) treatment
showed significant up-regulation in these mRNA expressions. STZ-induced
alteration in would architecture was also attenuated by hesperidin (50 and 100
mg/kg) treatment. Conclusion: Together, treatment with hesperidin accelerate
angiogenesis and vasculogenesis via up-regulation of VEGF-c, Ang-1/Tie-2, TGF
beta and Smad-2/3 mRNA expression to enhance wound healing in chronic diabetic
foot ulcers.
PMID- 29805348
TI - Natural products as reservoirs of novel therapeutic agents.
AB - Since ancient times, natural products from plants, animals, microbial and marine
sources have been exploited for treatment of several diseases. The knowledge of
our ancestors is the base of modern drug discovery process. However, due to the
presence of extensive biodiversity in natural sources, the percentage of
secondary metabolites screened for bioactivity is low. This review aims to
provide a brief overview of historically significant natural therapeutic agents
along with some current potential drug candidates. It will also provide an
insight into pros and cons of natural product discovery and how development of
recent approaches has answered the challenges associated with it.
PMID- 29805349
TI - A focused air-pulse system for optical-coherence-tomography-based measurements of
tissue elasticity.
AB - Accurate non-invasive assessment of tissue elasticity in vivo is required for
early diagnostics of many tissue abnormalities. We have developed a focused air
pulse system that produces a low-pressure and short-duration air stream, which
can be used to excite transient surface waves (SWs) in soft tissues. System
characteristics were studied using a high-resolution analog pressure transducer
to describe the excitation pressure. Results indicate that the excitation
pressure provided by the air-pulse system can be easily controlled by the air
source pressure, the angle of delivery, and the distance between the tissue
surface and the port of the air-pulse system. Furthermore, we integrated this
focused air-pulse system with phase-sensitive optical coherence tomography (PhS
OCT) to make non-contact measurements of tissue elasticity. The PhS-OCT system is
used to assess the group velocity of SW propagation, which can be used to
determine Young's modulus. Pilot experiments were performed on gelatin phantoms
with different concentrations (10%, 12% and 14% w/w). The results demonstrate the
feasibility of using this focused air-pulse system combined with PhS-OCT to
estimate tissue elasticity. This easily controlled non-contact technique is
potentially useful to study the biomechanical properties of ocular and other
tissues in vivo.
PMID- 29805351
TI - Access to parks and physical activity: an eight country comparison.
AB - Several systematic reviews have reported mixed associations between access to
parks and physical activity, and suggest that this is due to inconsistencies in
the study methods or differences across countries. An international study using
consistent methods is needed to investigate the association between access to
parks and physical activity. The International Physical Activity and Environment
Network (IPEN) Adult Study is a multi-country cross-sectional study using a
common design and consistent methods. Accelerometer, survey and Geographic
Information Systems (GIS) data for 6,181 participants from 12 cities in 8
countries (Belgium, Brazil, Czech Republic, Denmark, Mexico, New Zealand, UK,
USA) were used to estimate the strength and shape of associations of 11 measures
of park access (1 perceived and 10 GIS-based measures) with accelerometer-based
moderate-to-vigorous physical activity (MVPA) and four types of self-reported
leisure-time physical activity. Associations were estimated using generalized
additive mixed models. More parks within 1 km from participants' homes were
associated with greater leisure-time physical activity and accelerometer-measured
MVPA. Respondents who lived in the neighborhoods with the most parks did on
average 24 minutes more MVPA per week than those living in the neighborhoods with
the lowest number of parks. Perceived proximity to a park was positively
associated with multiple leisure-time physical activity outcomes. Associations
were homogeneous across all cities studied. Living in neighborhoods with many
parks could contribute with up to 1/6 of the recommended weekly Having multiple
parks nearby was the strongest positive correlate of PA. To increase
comparability and validity of park access measures, we recommend that
researchers, planners and policy makers use the number of parks within 1 km
travel distance of homes as an objective indicator for park access in relation to
physical activity.
PMID- 29805352
TI - Hemophagocytic Lymphohistiocytosis Secondary to Unknown Underlying Hodgkin
Lymphoma Presenting with a Cholestatic Pattern of Liver Injury.
AB - Hemophagocytic lymphohistiocytosis (HLH) is an uncommon disease that often
presents with nonspecific findings. A high index of suspicion is necessary to
make a prompt diagnosis and prevent fatal disease. A 45-year-old man presented
with fever, hypotension, abdominal pain, nausea, and vomiting. Imaging showed
hepatosplenomegaly and laboratory tests revealed pancytopenia, increased
ferritin, and a cholestatic pattern of injury with elevated alkaline phosphatase
and total bilirubin. Due to a history of Crohn disease, systemic lupus
erythematous, and rheumatoid arthritis, the patient was on immunosuppressants,
including infliximab. After multiple negative cultures, persistent fever, and
days of empiric broad spectrum antibiotics, our differential shifted to fever of
unknown origin. A liver wedge biopsy revealed areas of sinusoidal dilatation with
enlarged, activated macrophages containing erythrocytes and intracytoplasmic
iron, consistent with hemophagocytosis due to HLH. The portal tracts showed mixed
lymphoplasmacytic inflammation, a prominent bile ductular reaction, periportal
fibrosis, and scattered large cells with occasional binucleation and prominent
nucleoli. These cells stained positive for Epstein-Barr virus encoding region in
situ hybridization, PAX5, CD15, and CD30, and hepatic involvement by classic
Hodgkin lymphoma was diagnosed and determined to be the cause of the HLH and
cholestatic pattern of injury. Simultaneously, a bone marrow biopsy showed
diffuse involvement by Hodgkin lymphoma with a similar staining pattern.
Aggressive treatment failed and the patient succumbed to multiorgan failure. HLH
is a rare, potentially fatal disease, with nonspecific signs and symptoms, and
should be considered in any patient presenting with fever and pancytopenia,
especially if they are immune compromised.
PMID- 29805353
TI - Cronkhite-Canada Syndrome Associated with Metastatic Colon Cancer.
AB - Cronkhite-Canada syndrome is characterized by gastrointestinal and ectodermal
manifestations. In this paper, we describe a 64-year-old Iranian male, presenting
with Cronkhite-Canada syndrome with metastatic colon cancer. The patient was
suffering from hair loss, which occurred on the scalp at first and then, during 5
months, extended to the whole body. After that, his sense of taste was impaired,
and 2 months later, gastrointestinal symptoms gradually started, with weight loss
of 20 kg over 2 months with an initial weight of 100 kg. Finally, he was admitted
to our center 10 months after the onset of symptoms. On skin examination,
generalized hair loss and hyperpigmentation and dysmorphic nail changes were
observed. Multiple polyps within the colon and sigmoid were observed on
colonoscopy. According to biopsies, a serrated adenoma and an invasive
adenocarcinoma were reported in the ascending colon and sigmoid, respectively.
Other polyps were pseudopolyps, and their characteristics were not significant.
Computed tomography of the lungs and abdomen showed multiple adenopathies. On
biopsy, metastatic adenocarcinoma was reported. The patient underwent
chemotherapy with FOLFIRI and ERBITUX. Finally, after 5 courses of chemotherapy,
his regimen was changed to FOLFOX and Avastin because of evidence of progression
on computed tomography. The etiology of Cronkhite-Canada syndrome is currently
unknown, and the optimal therapy has not been reported so far. This syndrome has
many complications; the major of them is malignancy, and the prognosis is poor
with a mortality rate of 50%. Therefore, annual monitoring is necessary in these
patients.
PMID- 29805354
TI - Colorectal Adenocarcinoma with an Alternative Serrated Pathway.
AB - In a 64-year-old woman, we identified a flat, elevated lesion that was located at
the caecum and was composed of 3 different areas (areas A, B, and C). We
diagnosed it as "carcinoma with sessile serrated adenoma/polyp (SSA/P)"
histologically. Although area A was diagnosed as classical SSA/P, area B was
regarded as a high-grade SSA/P. In contrast, area C showed a differentiated-type
adenocarcinoma that invaded the submucosa. The patient had a recurrence of cancer
1.5 years after endoscopic resection. Overexpression of TP53 was detected in area
C. Although BRAF mutation was detected in all areas, CpG island methylator
phenotype-high cancer was found only in area C. The genomic phenotype of the
cancerous tissue was classified as microsatellite stable (MLH1 gene not
methylated). In the present case, we showed that a lesion with genetic
alterations based on the histological sequence SSA/P -> high-grade SSA/P ->
cancer in SSA/P and an alternative serrated pathway may exhibit aggressive
behavior.
PMID- 29805356
TI - Exclusive Phlebosclerosis of Submucosal Veins Leading to Ischemic Necrosis and
Perforation of the Large Bowel: First European Case.
AB - Phlebosclerotic colitis (PC) is a rare, potentially life-threatening disease of
unclear pathogenesis almost exclusively reported in Asian patients of both
genders. A fibrous degeneration of venous walls leads to threadlike
calcifications along mesenteric vessels and colonic wall thickening, detectable
by CT. This causes disturbed blood drainage and hemorrhagic infarction of the
right-sided colonic wall. This is a report of PC in a Caucasian woman in Europe
without Asian background and no history of herbal medications, a suspected cause
in Asian patients. CT revealed no calcification of the mesenteric vein or its
tributaries. Instead, submucosal veins of the left-sided colonic wall were
calcified, leading to subsequent transmural necrosis. Clinically, the patient
developed a paralytic ileus and sigmoidal perforation during a 2-week
hospitalization due to a bleeding cerebral vascular aneurysm. This case of a
European woman with PC is unique in its course as well as its radiologic,
clinical, and pathologic presentation.
PMID- 29805357
TI - Giant Symptomatic Rectal Mucocele following Subtotal Colectomy.
AB - Introduction: Rectal mucoceles rarely occur and only a few cases are described in
the literature. They usually appear after subtotal colectomy or Hartmann
procedure originating from persisting rectal mucus production and simultaneous
stenosis of the anal canal. Case Presentation: A 74-year-old female patient
presented with the feeling of an abdominal growing mass. Complex medical history
included a subtotal colectomy with an end ileostomy and a mucous fistula at the
descending colon due to Crohn disease at the age of 16 years. MRI showed a
massive dilatation of the remaining colon and the rectum. Endoscopy failed due to
complete anal stenosis and stenosis of the descending colon at the stoma site. A
total proctocolectomy was performed. The pathology report showed a dilated rectum
and sigma with large amounts of partly calcified mucus. There was no evidence of
dysplasia, malignancy, or Crohn manifestation in the completely obliterated
proximal colon and the anus. Conclusion: Our case report underlines the
importance of active endoscopic surveillance of the remaining colon and rectum in
patients with diverting stomas and inflammatory bowel disease in order to detect
stenosis. If endoscopic control is not possible due to obliteration, surgical
therapy must be discussed due to the risk of developing cancer.
PMID- 29805355
TI - Epinephrine in the Prevention of Post-Endoscopic Retrograde
Cholangiopancreatography Pancreatitis: A Preliminary Study.
AB - Background: Acute pancreatitis is the most common complication of endoscopic
retrograde cholangiopancreatography (ERCP). The incidence of post-ERCP
pancreatitis (PEP) ranges between 15 and 20% among patients at high risk of
developing PEP. The efficacy of indomethacin administration in the prevention of
PEP is rather debatable. In the present randomized trial study, we evaluated
whether or not the combination of indomethacin and epinephrine in comparison to
the single administration of indomethacin differs in the pathogenesis and
prevention of post-ERCP pancreatitis. Patients and Methods: One hundred and
ninety-two patients were randomized in a double-blinded manner into 3 groups: the
epinephrine group (group A), the indomethacin group (group B), and the combined
epinephrine and indomethacin group (group C). After the procedure, patients were
evaluated for the PEP development. Results: During the procedure, 66 patients
were randomized to the epinephrine group (group A), 68 cases to the indomethacin
group (group B), and 58 individuals to the indomethacin-epinephrine group (group
C). The mean age of patients in the epinephrine group was 59.59 +/- 15.680 years,
in the indomethacin group it was 58.06 +/- 17.125 years, and in the combination
group it was 59.62 +/- 15.369 years. In the present study, we did not observe a
significant difference between the 3 groups in sex, age, pre-ERCP amylase,
lipase, and patient and procedure risk factors including pancreatic duct (PD)
dilation (p = 0.404), PD cannulation (p = 0.329), and difficult cannulation (p =
0.076) among others. PEP developed in 7 of the 192 individuals (3.6%), 6 PEP
cases occurred in the indomethacin group and 1 in the epinephrine group (p =
0.016). Univariate analysis of risk factors for PEP in patients with and without
pancreatitis revealed no significant difference between the pancreatitis group
and the non-pancreatitis group. Conclusion: In comparison to the administration
of indomethacin alone, a single application of epinephrine and the combination of
epinephrine and indomethacin seem to be effective in reducing the cases of PEP. A
further randomized clinical trial with a larger sample size is required to
confirm the efficacy of our medication in the prevention of pancreatitis after
ERCP.
PMID- 29805358
TI - Small Bowel Obstruction Caused by Dried Persimmon.
AB - Dried persimmon is a well-known dried fruit in Asian countries such as Japan,
Korea, and China. Small bowel obstruction caused by phytobezoar is a rare but
interesting pathogenesis that accounts for 2-4% of all small bowel obstructions.
We present the case of an 87-year-old female who suffered from small bowel
obstruction caused by ingestion of a huge, dried astringent persimmon. She was
initially treated conservatively, but removal by enterotomy was performed after
relief failed to be achieved with conservative therapy.
PMID- 29805359
TI - Ascaris lumbricoides Discharge from the Mouth.
AB - A 68-year-old Japanese man presented with Ascaris lumbricoides discharge from his
mouth. The infection was suspected to have occurred while the patient was in the
Philippines. This A. lumbricoides migration occurred because a proton pump
inhibitor was used and Billroth I resection had been performed, which reduced
gastric acid secretion and increased gastric pH. In addition, the pylorus had
been removed during Billroth I resection, enabling easy access to the stomach.
The number of imported foods, of infected migrants and refugees, and of overseas
travels is increasing, and these factors may lead to an increase in A.
lumbricoides infection even in countries with a typically low incidence of such
infections. Clinicians should bear in mind that parasitic infections may occur in
nonendemic areas.
PMID- 29805360
TI - Neoterminal Ileal Polyposis and Ulceration after Restorative Proctocolectomy with
a Current Review of the Literature.
AB - After ileal pouch anal anastomosis, one of the frequently encountered
complications is polyposis of the pouch. We describe a case of proximal
neoterminal ileal polyposis associated with deep ulceration suggestive of Crohn's
disease and review the available literature. A 36-year-old male presented with
resistant pouchitis 11 years after surgery for ulcerative colitis. With all
negative initial workup, pouchoscopy showed multiple deep ulcers in the proximal
ileum with some polyps. Biopsy of polyps showed inflammatory polyps with negative
immunohistological staining for IgG pouchitis. With no treatable etiology for
pouchitis and the presence of inflammatory polyps, there are no guidelines for
surveillance of this condition. Definitive diagnosis is challenging and there is
no consensus or recommended guidelines on the management.
PMID- 29805361
TI - Renal Infarction during Anticoagulant Therapy after Living Donor Liver
Transplantation.
AB - Introduction: Liver transplant recipients are at risk for complications of
vascular thrombosis. The reconstructed hepatic artery and portal vein thrombosis
potentially result in hepatic failure and graft loss. Renal infarction is a rare
clinical condition, but in severe cases, it may lead to renal failure. We herein
report a case of renal infarction after living donor liver transplantation (LDLT)
during anticoagulant therapy. Case Presentation: A 60-year-old woman with end
stage liver disease due to primary biliary cholangitis underwent LDLT with
splenectomy. Postoperatively, tacrolimus, mycophenolate mofetil, and steroid were
used for initial immunosuppression therapy. On postoperative day (POD) 5,
enhanced computed tomography (CT) revealed splenic vein thrombosis, and
anticoagulant therapy with heparin followed by warfarin was given. Follow-up
enhanced CT on POD 20 incidentally demonstrated right renal infarction. The
patient's renal function was unchanged and the arterial flow was good, and the
splenic vein thrombosis resolved. At 4 months postoperatively, warfarin was
discontinued, but she developed recurrent splenic vein thrombosis 11 months
later, and warfarin was resumed. As of 40 months after transplantation, she
discontinued warfarin and remains well without recurrence of splenic vein
thrombosis or renal infarction. Conclusion: Renal infarction is a rare
complication of LDLT. In this case, renal infarction was incidentally diagnosed
during anticoagulant therapy and was successfully treated.
PMID- 29805362
TI - Ectopic Pancreatic Tissue Adherent to the External Gallbladder Wall.
AB - Heterotopic pancreatic tissue can be found in the gastrointestinal tract, with
the stomach and small bowel being the most common sites of localization. The
gallbladder is seldom affected. Here, we report 2 cases of ectopic pancreas
within the fatty tissue adherent to the organ wall. Both cases concerned young
women (31 and 36 years old) who were treated with a laparoscopic cholecystectomy
due to persistent abdominal symptoms thought to be related to chronic
cholecystitis. Pathological examination revealed the presence of ectopic
pancreatic tissue type 1.
PMID- 29805364
TI - Possibility of Interstitial Lung Disease as a Phlebosclerotic Colitis
Manifestation.
AB - Phlebosclerotic colitis presents with ischemic bowels and calcification of the
mesenteric veins. Owing to its rarity, we have little information on the
complications of this disease. Herein, we report on a 77-year-old woman with
phlebosclerotic colitis and interstitial lung disease. She was diagnosed as
having phlebosclerotic colitis by CT and colonoscopy. At the same time, chest CT
also showed interstitial lung disease. After 4 years, she experienced
exacerbation of interstitial lung disease. She recovered without treatment. The
occurrence of interstitial lung disease may have been associated with her
phlebosclerotic colitis.
PMID- 29805363
TI - Athlete's Hepatitis in a Young Healthy Marathon Runner.
AB - Athlete's hepatitis is an uncommon clinical condition characterized by a
significant ischemic insult to the liver. The most likely pathogenesis is
decreased blood supply to the liver resulting in significant hypoxic hepatocyte
injury. We report a case of a 48-year-old healthy young male who presented with
athlete's hepatitis confirmed by liver biopsy after a 14-mile marathon run. To
our knowledge, this is the second case of athlete's ischemic hepatitis reported
in the literature. It is a diagnosis worth considering in patients involved in
extreme exercise programs.
PMID- 29805365
TI - Rectal Foreign Body of Eggplant Treated Successfully by Endoscopic Transanal
Removal.
AB - Transanal rectal foreign body implies that a foreign body has been inserted
transanally due to sexual orientation or other reasons and cannot be removed.
Such cases require emergency measures because foreign bodies often present
difficulties in manual removal or endoscopic removal and may even require surgery
when peritonitis due to gastrointestinal perforation occurs. We report a patient
in our hospital who had a rectal foreign body inserted into the deep part of the
proctosigmoid that could be removed endoscopically. A 66-year-old man visited our
hospital because of an eggplant which had been inserted into his rectum by his
friend and could not be removed. Since plain abdominal computed tomography showed
a foreign body thought to be an eggplant in the proctosigmoid, the foreign body
was captured and removed with a snare under lower gastrointestinal endoscope
guidance.
PMID- 29805366
TI - Signet Ring Cell Carcinoma of the Ampulla of Vater: A Rare Histopathological
Variant.
AB - Signet ring cell carcinoma (SRCC) of the ampulla of Vater is an extremely rare
tumor. Our case describes a 45-year-old female presenting with jaundice and
pruritus. Computed tomography, endoscopy, and endoscopic retrograde
cholangiopancreatography showed a tumor of the ampulla of Vater without distant
metastasis. Histological biopsy confirmed a malignant tumor with SRCC
characteristics and immunohistochemical staining revealed a mixed type profile
(both intestinal and pancreatobiliary characteristics). A pylorus-preserving
pancreatoduodenectomy was performed and the patient recovered without
complications. Pathology results concluded a pT2N0 ampullary SRCC. SRCC of the
ampulla of Vater is known to be highly malignant. After 13 months of follow-up,
our patient showed no signs of recurrence.
PMID- 29805350
TI - A Materials Roadmap to Functional Neural Interface Design.
AB - Advancement in neurotechnologies for electrophysiology, neurochemical sensing,
neuromodulation, and optogenetics are revolutionizing scientific understanding of
the brain while enabling treatments, cures, and preventative measures for a
variety of neurological disorders. The grand challenge in neural interface
engineering is to seamlessly integrate the interface between neurobiology and
engineered technology, to record from and modulate neurons over chronic
timescales. However, the biological inflammatory response to implants, neural
degeneration, and long-term material stability diminish the quality of interface
overtime. Recent advances in functional materials have been aimed at engineering
solutions for chronic neural interfaces. Yet, the development and deployment of
neural interfaces designed from novel materials have introduced new challenges
that have largely avoided being addressed. Many engineering efforts that solely
focus on optimizing individual probe design parameters, such as softness or
flexibility, downplay critical multi-dimensional interactions between different
physical properties of the device that contribute to overall performance and
biocompatibility. Moreover, the use of these new materials present substantial
new difficulties that must be addressed before regulatory approval for use in
human patients will be achievable. In this review, the interdependence of
different electrode components are highlighted to demonstrate the current
materials-based challenges facing the field of neural interface engineering.
PMID- 29805368
TI - Adenolipoma of the Skin: A Report of 11 Cases.
AB - Introduction: Adenolipoma is a relatively recently described lesion representing
a rare variant of lipoma in which eccrine glands and ducts are found interspersed
amongst mature adipose tissue. This benign lesion was first described by
Hitchcock et al. in 1993 [J Am Acad Dermatol 1993; 29: 82-85]. The next case
series was written by Ait-Ourhrouil and Grosshans [Ann Dermatol Venerol 1997;
124: 845-848] in which they deemed adenolipoma a misnomer based on the
pathophysiology of the lesion and suggested the name peri-sudoral lipoma instead.
According to our knowledge, this case series would be the third in the literature
to report cases of adenolipoma in an attempt to increase awareness of this
entity. Methods: We performed a retrospective review of adenolipoma cases from
2004 to 2014 at our institute. Results and Conclusions: Upon review of all
adenolipomas diagnosed at our institute between 2004 and 2014, 11 cases in total
were identified. Histologically, these lesions consisted of an admixture of adult
like adipose tissue and scattered eccrine glands and ducts. 4 cases also showed
areas of myxoid changes; 2 showed scattered mast cells amongst the adipose
tissue, and only 1 showed the presence of apocrine glands in addition to the
eccrine glands and ducts. The female to male ratio was 6: 5. The typical age
ranged from 41 to 53 years. Adenolipomas were seen arising from various locations
including the thighs, gluteal region, lower leg, shoulder, chest, and trunk. The
majority of cases were not fully encapsulated and the size varied from 0.7 to 5.8
cm.
PMID- 29805367
TI - Multidetector-Row Computed Tomography and Colonoscopy for Detecting a Rectal
Dieulafoy Lesion as a Source of Lower Gastrointestinal Hemorrhage.
AB - A Dieulafoy lesion of the rectum is a very rare entity that can cause massive
lower gastrointestinal (GI) hemorrhage. Identifying the bleeding point is
sometimes difficult because these lesions are very small. We herein describe an
82-year-old man with active hemorrhage due to a rectal Dieulafoy lesion. He was
referred to our hospital because of persistent hematochezia from the morning of
that day. He had a history of atrial fibrillation, chronic heart failure,
diabetes mellitus, and hyperuricemia. Prompt multidetector-row computed
tomography (MDCT) enabled us to detect active bleeding from the lower rectum.
Subsequently, colonoscopy revealed an exposed vessel in the lower rectum without
surrounding ulceration, and hemostasis was successfully achieved using a
hemostatic forceps with soft coagulation. After hemostasis had been achieved, the
patient recovered and was discharged without complications and rebleeding. He is
doing well 6 months after discharge from the hospital. Sequential examination by
MDCT and colonoscopy is considered an efficient treatment strategy for patients
with active lower GI bleeding.
PMID- 29805369
TI - Hereditary Leukonychia Totalis: A Case Report and Review of the Literature.
AB - Leukonychia is defined as white discoloration of the nails caused by an abnormal
keratinization of the nail matrix. Congenital leukonychia totalis is a rare nail
disorder, which is typically inherited in an autosomal dominant pattern. This
condition can be presented as an isolated condition or in association with
systemic diseases. We report a case of a 7-year-old Thai boy who developed
asymptomatic white discoloration of all the nails since birth, with an absence of
any predisposing factors or associated conditions.
PMID- 29805370
TI - Juvenile Localized Scleroderma with Hyaline Deposits in the Renal Arteriole.
AB - We report a 10-year-old boy with localized scleroderma of the linear and plaque
type, who showed proteinuria and hematuria. In this patient, skin, articular, and
renal manifestations appeared successively and then began to resolve in the same
order. A renal biopsy specimen demonstrated mild mesangial cell proliferation,
exudate of immunoglobulin in the glomerular capillary, and large electron-dense
deposits in the afferent arteriole. We consider that there were some transient
factors that had caused the skin and articular manifestations, which also induced
renal vascular inflammatory responses.
PMID- 29805371
TI - Radiation-Associated Angiosarcoma of the Breast: A Case Report and Literature
Review.
AB - In the last couple of decades, breast conservation therapy, which utilizes a
combination of surgery, radiotherapy, and endocrine or chemotherapy, has become
the standard of care for treating early-stage breast cancer. This practice has
been greatly beneficial in the improvement of the patient's quality of life but
has also led to the increased use of radiotherapy and associated soft-tissue
sarcomas, with angiosarcoma being the most common malignancy. Radiation
associated angiosarcoma (RAS) of the breast is a rare phenomenon, which has been
reported to occur in approximately 0.9 out of 1,000 cases, with a reported onset
as late as 23 years following radiotherapy. Here we report 2 cases of RAS that
occurred within 6 and 13 years following radiotherapy of their primary breast
lesion. We discuss the diagnostic and therapeutic challenges regarding this
disease and review the current literature. This case report serves as cautionary
lessons on the importance of considering RAS of the breast in the differential
diagnosis during evaluation for recurrent breast neoplasms. Ongoing clinical
trials using combinations of vascular endothelial growth factor inhibitors and
chemotherapy may provide future avenues of treatment for this difficult-to-treat
disease.
PMID- 29805372
TI - Gemcitabine-Induced Cardiotoxicity in Patients Receiving Adjuvant Chemotherapy
for Pancreatic Cancer: A Case Series.
AB - Gemcitabine is not considered a cardiotoxic agent generally; so far only very few
case reports have been reported in the literature on different aspects of cardiac
side effects. Here we report a case series of 3 patients who developed congestive
cardiac failure, when treated with gemcitabine monotherapy in the adjuvant
setting for pancreatic cancers. Adjuvant chemotherapy with gemcitabine has been
the standard of care for pancreatic cancer patients after successful surgery
since the results of the CONKO-001 and ESPAC3 study were published. Gemcitabine
was administered on days 1, 8, and 15 of a 28-day cycle at 1,000 mg/m2. All 3
patients developed symptoms suggestive of cardiac failure with a drop in ejection
fraction on echocardiography, and responded to conservative treatment for heart
failure after withdrawal of gemcitabine therapy. Early withdrawal of gemcitabine
chemotherapy is recommended in addition to a need for studies required to
evaluate the mechanism of cardiotoxicity. As per available literature, patients
with diabetes and having received a total dose greater than 15,000 mg/m2 are
generally at a higher risk and require close surveillance.
PMID- 29805373
TI - Tuberculous Meningitis during Chemotherapy for Advanced Gastric Cancer.
AB - Introduction: Tuberculous meningitis is rare but one of the most severe forms of
tuberculosis infection. Case Report: A 78-year-old woman was diagnosed with
advanced gastric cancer with multiple lymph node metastases. Four months after
the beginning of second-line chemotherapy with weekly paclitaxel, she was
admitted to our hospital because of fever and mild drowsiness. She had no other
symptoms and no abnormalities in physical examinations. Her blood tests,
urinalysis, and blood culture revealed no remarkable abnormal findings. Although
her symptoms relieved, her disturbance of consciousness gradually progressed
during 2 weeks thereafter. Finally, we diagnosed tuberculous meningitis on the
22nd day of hospitalization by a positive acid-fast bacilli test of the
cerebrospinal fluid and tuberculosis-polymerase chain reaction. Although anti
tuberculosis therapy was started, she died on the 37th day of hospitalization
because of tumor bleeding. Conclusion: To the best of our knowledge, this is the
first report of tuberculous meningitis during chemotherapy for advanced gastric
cancer, suggesting that subacute onset of fever followed by disturbance of
consciousness may indicate the possibility of tuberculous meningitis even without
typical signs of meningitis including headache or meningeal irritation.
PMID- 29805374
TI - Bexarotene-Induced Hypertriglyceridemia: A Case Report.
AB - We present a case of a patient with cutaneous T-cell lymphoma started on
bexarotene 300 mg/m2 due to progressing disease. The patient experienced good
clinical response, but unfortunately, she developed rapid and profound
hypertriglyceridemia. Although hypertriglyceridemia occurs in high incidence with
bexarotene therapy, management recommendations are scarce. Due to the rise in
triglycerides, atorvastatin 10 mg daily was initiated in combination with
fenofibrate 120 mg daily. Triglycerides continued to increase, so the patient was
instructed to take atorvastatin 40 mg, fenofibrate 120 mg, and to hold bexarotene
for 2 weeks. After the 2-week break, bexarotene was restarted at 150 mg/m2.
PMID- 29805375
TI - Successful Clarithromycin Monotherapy in a Patient with Primary Follicular
Lymphoma of the Duodenum.
AB - Primary follicular lymphoma of the duodenum (FL-D) constitutes a rare subtype of
extranodal follicular lymphoma with a usually indolent course. To date, no
distinct treatment recommendations have been defined for those patients. We
report the case of a 58-year-old male patient presenting with endoscopically
assessed, symptomatic FL-D who was treated with clarithromycin monotherapy in
analogy to recent data for mucosa-associated lymphoid tissue lymphoma. Each
treatment cycle consisted of clarithromycin 500 mg twice daily for 3 weeks
followed by a 2-week break. After four cycles of treatment, the patient showed a
very good response with normal macroscopic findings confirmed by endosonographic
examination and only focal minimal residual disease of lymphoma persisting in the
histological assessment. The patient is currently asymptomatic and without
treatment for 24+ months. As clarithromycin combines antimicrobial and direct
antiproliferative effects mediated through a variety of pleiotropic mechanisms,
this appears to be an interesting treatment approach for indolent lymphoma,
particularly in those where a chronic infectious background cannot be completely
ruled out, i.e., gastrointestinal manifestations. We suggest further
investigation of this treatment approach.
PMID- 29805376
TI - Long-Term Progression-Free Survival in a Patient with Metastatic Leiomyosarcoma
of the Inguinal Region Treated with Trabectedin.
AB - Presented here is the case of an elderly leiomyosarcoma patient with multiple
comorbidities and relapses from prior lines of treatment, who experienced a long
lasting progression-free survival. After initial diagnosis, standard treatment
protocols with surgery and subsequent adjuvant radiochemotherapy were
administered, followed by a short course of oral pazopanib at the patient's
request, which led to a rapid relapse. Afterwards, the patient received
trabectedin for 22 months, achieving disease control with good quality of life
over an extended period of time. After progression from trabectedin, the patient
was switched to eribulin. Future clinical trials are needed to investigate the
efficacy of trabectedin maintenance treatment and to identify predictive criteria
for response to trabectedin among patients with advanced sarcoma.
PMID- 29805378
TI - Adult-Onset Orbital Sinus Pericranii with T2 Hyperintensity Lesion: A Case
Report.
AB - Sinus pericranii is a rare vascular anomaly, and most cases occur in children and
develop at the midline. In previous reports of sinus pericranii, T2
hyperintensity lesion has not been regarded as a common sequela. We report an
extremely rare case of orbital sinus pericranii with associated T2 hyperintensity
lesion. A 50-year-old man was admitted to our hospital with a history of right
upper eyelid swelling that had been present for several years. Computed
tomography, magnetic resonance imaging, and digital subtraction angiography
demonstrated a connection between the lesion and normal cerebral venous system.
Thus, we diagnosed the lesion as a sinus pericranii despite its atypical
features. We elected to observe the patient, and the lesion had remained the same
size without any adverse events, such as hemorrhage, occurring throughout the 5
year follow-up. An atypical sinus pericranii should be considered in patients
with a soft compressible swelling on the head, even if the lesion is located off
the midline.
PMID- 29805377
TI - Autologous Bone Marrow Transplantation for Polymyositis Combined with Myasthenia
Gravis and Aplastic Anemia: A Case Report.
AB - This is the first report about a patient with concomitant polymyositis (PM),
myasthenia gravis (MG), and aplastic anemia (AA). A 54-year-old male developed
myalgia and muscle weakness, which gradually progressed over 2 months. He was
persistently affected by MG and AA. Brachium magnetic resonance imaging showed
increased signal intensity in the left triceps and deltoid muscles on short tau
inversion recovery images. A muscle biopsy examination revealed perifascicular
atrophication and inflammatory myopathy. We diagnosed the patient with PM
combined with MG and AA. He was successfully treated with an autologous bone
marrow transplantation (BMT). The present case suggests that BMT is a therapeutic
option for PM, MG, and AA.
PMID- 29805379
TI - Genetic Background of a Recurrent Unusual Combined Form of Retinal Vein
Occlusion: A Case Report.
AB - The authors report a rare case of nonischemic branch retinal vein occlusion and
nonischemic hemiretinal vein occlusion in a patient with impaired fibrinolysis. A
61-year-old woman presented to the Department of Ophthalmology, Clinical Hospital
Center Split, Croatia, with acute blurring of vision in the right eye (RE) due to
branch retinal vein occlusion. Ophthalmologic evaluation revealed a best
corrected visual acuity (BCVA) of 0.02 in the RE and of 1.0 in the left eye.
Ophthalmoscopy and fluorescein angiography of the RE demonstrated signs of
nonischemic branch retinal vein occlusion. She was otherwise healthy and had no
other ocular and systemic diseases. She was treated with 3 consecutive
intravitreal applications of anti-vascular endothelial growth factor (anti-VEGF;
bevacizumab) due to cystoid macular edema with full resolution of the
intraretinal fluid and improvement of the BCVA to 0.9. After 8 months, she
presented again with acute blurring of vision in the same (right) eye with a BCVA
of 0.5. Ophthalmoscopy and fluorescein angiography of the RE indicated
nonischemic hemiretinal vein occlusion. She was treated with a single
intravitreal application of anti-VEGF (ranibizumab) due to macular edema. Full
resolution of the intraretinal fluid and improvement of the BCVA to 0.9 were
achieved. A laboratory workup was performed to rule out all known causes of
retinal venous occlusive disease, which showed negative results. A molecular
analysis showed the gen of thrombophilia - plasminogen activator inhibitor (PAI)
1 4G/5G polymorphism genotype - as the only risk factor for retinal venous
occlusive disease in our patient.
PMID- 29805381
TI - The Link between Potassium and Mild Cognitive Impairment in Mexican-Americans.
AB - Background: Recent evidence suggests that increasing dietary intake of minerals
reduces the risk of dementia. This study aimed to examine the relationship
between potassium and diagnosis of mild cognitive impairment (MCI) in a sample of
older Mexican-Americans from rural and urban populations. Methods: The sample was
formed of a total of 139 participants with MCI and 371 normal controls from two
independent cohorts: a rural cohort (Facing Rural Obstacles to Healthcare Now
through Intervention, Education and Research [Project FRONTIER]) and an urban
cohort (the Health and Aging Brain among Latino Elders [HABLE] study). Serum
electrolytes examined were sodium and potassium. Age and education were entered
in the model as covariates. Results: Across both cohorts, the Project FRONTIER
(OR = 3.1; p = 0.01) and the HABLE Project (OR = 2.0; p = 0.04), the results
indicated that serum potassium levels significantly increased the risk of
diagnosis of MCI. Conclusion: Our finding suggested a link between serum
potassium levels and a diagnosis of MCI in Mexican-Americans. The results of this
study support a previous research which has suggested that the risk factors for
MCI may vary by ethnicity.
PMID- 29805380
TI - Frontal White Matter Hyperintensity Is Associated with Verbal Aggressiveness in
Elderly Women with Alzheimer Disease and Amnestic Mild Cognitive Impairment.
AB - Background/Aims: Behavioral and psychological symptoms of dementia (BPSD) are
exhibited in most patients with Alzheimer disease (AD). Although white matter
hyperintensity (WMH) is often observed with AD, the precise role of WMH in BPSD
remains unclear. The current study aimed to identify the impact of regional WMH
on specific features of BPSD in persons with mild to moderate AD and amnestic
mild cognitive impairment (aMCI). Methods: A sample of 256 female outpatients
with AD (n = 217) and aMCI (n = 39) were recruited. We assessed BPSD using the
Dementia Behavior Disturbance Scale. WMH and brain atrophy were evaluated using
an automatic segmentation program. Regional WMH was evaluated as periventricular
hyperintensity (PVH) and deep WMH in frontal, temporal, occipital, and parietal
lobes. Results: Whole-brain WMH was associated with verbal aggressiveness. In
multivariate analysis, PVH in the frontal lobe was independently associated with
verbal aggressiveness after adjustment for brain atrophy and clinical
confounders. Conclusion: The current results indicated that PVH in the frontal
lobe was independently associated with verbal aggressiveness.
PMID- 29805382
TI - The Economic Impact of New Therapeutic Interventions on Neuropsychiatric
Inventory (NPI) Symptom Scores in Patients with Alzheimer Disease.
AB - Background/Aims: Few studies have modeled individual Neuropsychiatric Inventory
(NPI) symptom scores for Alzheimer disease (AD) patients and assessed the value
of therapeutic interventions that can potentially impact them. The main objective
of this study was to evaluate the impact of new AD symptomatic treatments on
relevant health economic outcomes via their potential effects on cognition and
neuropsychiatric symptoms such as depression, irritability, anxiety, and sleep
disorder. Methods: We enhanced the previously published AHEAD model (Assessment
of Health Economics in Alzheimer's Disease) by including new variables and
functional relations to capture the NPI's individual neuropsychiatric symptoms in
addition to the total NPI score. This update allowed us to study the longitudinal
effect of improvements in specific NPI subscale scores and the downstream impact
on outcomes such as psychiatric medication use, survival, and institutional
placement. Results: The model base-case results showed that a hypothetical
treatment with symptomatic effects on anxiety, depression, and irritability NPI
subscales was not cost-effective; however, the treatment's cost-effectiveness was
improved once a direct link between NPI subscales and mortality was explored or
under relatively stronger treatment effects. Conclusion: Treatments that
influence specific symptoms within the overall NPI have the potential to improve
patient outcomes in a cost-effective way. This model is a useful tool for
evaluating target product profiles of drugs with effect on NPI symptoms in early
stages of development.
PMID- 29805383
TI - Efficacy and Safety of MLC601 in Patients with Mild to Moderate Alzheimer
Disease: An Extension 4-Year Follow-Up Study.
AB - Background and Aim: Alzheimer disease (AD) is the most common cause of dementia.
Currently, there is no disease-modifying therapy for AD. We aimed to evaluate the
long-term efficacy and safety of MLC601 in the treatment of AD. Methods: In this
open-label extension study, patients with mild to moderate AD according to DSM-IV
criteria were recruited. Patients received MLC601 capsules 3 times a day for 4
years. Cognitive function was assessed every 6 months using Mini-Mental State
Examination (MMSE) and Alzheimer's Disease Assessment Scale-Cognitive Subscale
(ADAS-Cog) scores. Safety profiles, including adverse events (AEs), and treatment
related abnormality in laboratory tests were also reported. Results: Of a total
of 122 patients, 105 completed the study. The mean age was 66.8 +/- 6.3 years at
the beginning of the study. Sixty-five (61.9%) were female. The mean (+/-SD)
change in MMSE and ADAS-Cog scores at the end of the study was 2.1 (+/-3.8) and
5.1 (+/-8.7), respectively. Repeated measure analysis revealed a statistically
significant change in both scores (p < 0.001). No patient left the study due to
an AE. No abnormality was noted in lab tests. Gastrointestinal symptoms were the
most commonly reported AEs. Conclusion: The efficacy of treating AD patients with
MLC601 over 4 years has been demonstrated in the present study. Overall, it seems
that the safety and efficacy of MLC601 is promising compared to currently
prescribed treatments.
PMID- 29805384
TI - Imaging Care Requirements: Use of Functional Neuroimaging to Predict Dementia
Caregiver Burden.
AB - Background: Dementia caregivers frequently report high stress, with increased
burden associated with worse outcomes for both patients and caregivers. Although
many studies relate clinical phenotypes to burden, the relationship between
imaging pathology and burden, irrespective of diagnosis, is unknown. This study
investigated the relationship between caregiver burden and patient regional
cerebral blood flow in dementia. Methods: Seventy-sev en patients with cognitive
impairment undergoing brain perfusion single-photon emission computed tomography
imaging in normal clinical care and their caregivers were recruited. Caregiver
burden was ranked from "little" to "severe" using the Zarit Burden Interview and
perfusion values extracted from the patient images for predefined regions of
interest. The associations between burden score and regional function on imaging
were tested. Results: Burden score was significantly higher for caregivers of
patients with abnormal perfusion compared to those with normal perfusion in the
left and right frontal, right parietal, and right temporal lobes. No difference
in burden was found in the left parietal or temporal groups. Correlations showed
that a higher caregiver burden was associated with lower patient perfusion scores
in the same regions. Conclusion: Caregiver burden is strongly related to the
extent of frontal or right-predominant parietal or temporal lobe dysfunction.
Regional abnormality on perfusion imaging can be used to facilitate
identification of individuals who are likely to create a high burden on
caregivers.
PMID- 29805386
TI - Short term clinical outcomes of Everolimus-eluting stents in patients with stable
angina pectoris.
AB - Background & Objective: Everolimus-eluting stents, compared with bare metal
stents, reduced the risk of restenosis in clinical trials with strict inclusion
and exclusion criteria. The objective of this study was to determine the three
months clinical outcomes of Everolimus Eluting Stents in patients with stable
angina pectoris in Pakistani population. Methods: It was a descriptive cross
sectional study and the data was collected from Catheterization Laboratory
Cardiology Department Lady Readings Hospital Peshawar. Our study included all the
patients with stable coronary artery disease who had received Everolimus eluting
stents from August, 2013, to April, 2014. Total study duration was 09 months. The
primary end points were the rate of target vessel revascularization, myocardial
infarction at three months. All those patients who received Everolimus coronary
stents were recalled after three months from the index procedure and enquired
about target vessel revascularization (TVR), myocardial infarction and
hospitalization over the last three months. Data analysis was done using SPSS
version 16. Results: Our study included 378 patients with stable ischemic heart
disease who underwent revascularization with Everolimus eluting stent. These
patients were followed up for a period of 3 months for target vessel
revascularization(TVR) and myocardial infarction(MI). Mean age was 57.04+/-9.307,
males were (72%). Left Anterior Descending (LAD) and Left circumflex (LCx) were
the predominant vessels vascularized. Mean length of Everolimus eluting stent was
21.91+/- 4.6 while mean diameter of stent was 2.90+/-0.248. Thirteen (3.4%)
patients had TVR and 14 (3.7%) patients had MI during three months follow up
after PCI. TVR and MI were prevalent in patients who received longer Everolimus
stents as compared to those who received shorter stents at three months, and the
difference between the two was statistically significant. Conclusion: Short-term
results from this study suggest that real-world outcomes among 378 patients are
comparable to those reported in other registries and trials, and safety outcomes
as measured by rates of TVR, MI were low. The long-term safety of Everolimus
eluting stents needs to be ascertained in large, randomized trials.
PMID- 29805387
TI - Effects of structured patient education on knowledge level and INR control of
patients receiving warfarin: Randomized Controlled Trial.
AB - Objective: To determine the effects of patient education about the safety of
warfarin therapy on related-knowledge levels and on International Normalized
Ratio (INR) control. Methods: In the study, randomized controlled experimental
study design was used. It was conducted between September 2014-March 2015 with 63
patients who use warfarin at least two months at cardiology and cardiovascular
surgery outpatient departments of two different hospitals in Manisa. Participants
in the intervention group received one-to-one education about the safety of
warfarin therapy and a booklet. Participants in the control group received usual
care. Patients' warfarin knowledge levels in both groups were measured three
times at monthly intervals. Results: Before education warfarin knowledge levels
were inadequate in intervention group, but it was higher after education and
reached a good level. No significant difference was found between the
International Normalized Ratio controls of the two groups. No significant
relationship was found between pre- and post-education warfarin knowledge levels
and the INR number in the therapeutic range. Conclusion: One-to-one education
supported by written and visual material was effective in increasing patients'
warfarin knowledge levels.
PMID- 29805385
TI - Driving Cessation in Patients Attending a Young-Onset Dementia Clinic: A
Retrospective Cohort Study.
AB - Background: Although driving by persons with dementia is an important public
health concern, little is known about driving cessation in younger people with
dementia. We aimed to determine the prevalence and factors affecting driving
cessation in individuals with and without dementia aged under 65 years attending
a memory clinic in a European setting. Methods: Subjects were consecutive
patients assessed at a specialist memory service at a university teaching
hospital between 2000 and 2010. The data collected included demographic,
clinical, standardized cognitive assessments as well as information on driving.
Dementia diagnosis was made using ICD-10 criteria. Results: Of the 225 people who
were or had been drivers, 32/79 (41%) with young-onset dementia (YOD) stopped
driving compared to 25/146 (17%) patients who had cognitive impairment due to
other causes. Women were more likely to cease driving and voluntarily than men (p
< 0.001). Diagnosis of YOD was associated with driving cessation (1.193, 95% CI
0.570-1.815, p <= 0.001), and was mediated by impairment in praxis with the
highest indirect mediation effect (0.754, 95% CI 0.183-1.401, p = 0.009).
Conclusions: YOD diagnosis, female gender, and impairment in praxis have a higher
probability for driving cessation in those under 65 years of age with cognitive
impairment.
PMID- 29805388
TI - Nonfasting Apo-lipoprotein B and Triglyceride levels as a predictor of coronary
heart disease in Type II diabetic patients.
AB - Background & Objectives: Lipoprotein-A has been recognized as a risk factor for
ischemic heart diseases. Myocardial infarction (MI) is common complication of
ischemic heart disease. Diabetes play an incremental role in the development of
coronary artery disease (CAD), however still there are conflicting data regarding
the relationship of Lipoprotein-B and MI. We therefore wanted to evaluate the
relationship of Lipo-B, MI and diabetes mellitus. Our objective was to determine
the non-fasting Apo-lipoprotein B and triglycerides level among type II diabetic
patients with ischemic heart disease and to compare with type II diabetic without
ischemic heart disease. Methods: This was cross sectional study where two groups
of patients were recruited in the study, Group-I included patient with Ischemic
Heart Disease and diabetes while Group-II comprised of diabetes without Ischemic
Heart Disease. Age, sex and basic demographic matching was done between the two
groups. Data were collected using random sample. The comparative approach was
used to see the role of diabetes in the elevation of Apo-lipoprotein B level,
which is a risk factor for Ischemic heart diseases. Results: Two hundred forty
eight patients (Cases: 123 Diabetic with myocardial infarction and (Control: 125
Diabetic without myocardial infarction) were included in the study. Mean Apo-B
among diabetic patients with myocardial infarction was high (68.3+/-24.23 ng/ml)
compared to non-cardiac patients (49.97+/-33.880 ng/ml) with a p <0.000. Marked
difference was also observed in triglycerides levels where it was found very high
(301.4+/-55.1 mg/dL) in patients of diabetes with myocardial infarction as
compared to subjects without MI (137.7+/-84.7 mg/dL). There was positive
correlation between Apo-lipoprotein and Triglycerides (P value=039). Conclusion:
Based on the study result it was concluded that Apo-lipoprotein and triglycerides
in diabetic patients with myocardial infarction, had higher levels compared to
diabetic patients without Myocardial infarction and this could be a consequence
of increase in age, insulin resistance and deficiency of insulin in the body. We
also found positive correlation between Apo-lipoprotein and Triglycerides.
PMID- 29805389
TI - Degree of conversion and depth of cure of Ivocerin containing photo-polymerized
resin luting cement in comparison to conventional luting agents.
AB - Objective: To evaluate the degree of conversion (DC) and depth (extent) of cure
of four resin cements (Variolink E, Calibra, NX3 and Variolink N) using Fourier
transform infrared (FTIR) and Vickers Micro hardness (MH). Methods: Ten disks
(1mmx2mm) of each resin cement were light cured through a ceramic disk for 40
seconds prior to assessment. The ATR spectra of the uncured resin were collected
in absorbance mode from 16 scans at 4 wave number resolutions. Degree of
conversion was calculated by estimating the changes in peak height ratio of the
absorbance intensities of aliphatic C=C peak at 1638 cm-1 and that of an internal
standard peak of aromatic C=C at 1608 cm-1 during polymerization. For Vickers
microhardness testing 10 disks of each cement specimen was exposed to 100 grams
of load for 15 seconds. Three indentations were made 0.5mm apart and an average
Vickers micro-hardness (MH) for each specimen. Two way ANOVA and multiple
comparison tests were performed to assess data. Results: The highest degree of
conversion by peak area was shown by Variolink-Esthetic [light-cure (87.18+/
2.90%)]; however the lowest was observed in samples of Variolink-N [Dual cure
(44.55+/-4.33%)]. Similarly, Variolink-Esthetic and NX3 cement showed
significantly higher MH as compared to other groups. Conclusion: Ivocerin
containing Variolink-E cement showed high degree of conversion and extent of
polymerization when compared to conventional light and dual cure luting cements.
PMID- 29805390
TI - Determinants of severe acute malnutrition among children under five years in a
rural remote setting: A hospital based study from district Tharparkar-Sindh,
Pakistan.
AB - Objectives: To understand and catalogue the specific determinants of this
alarming rate of malnutrition among children of Tharparkar district, Sindh
Pakistan. Methods: This was a hospital based analytical survey. Data was
collected through a semi-structured questionnaire by interviewing mothers of the
children (age 6-59 months), admitted in the hospital. Following WHO guidelines,
weight and length/ height of 105 children were recorded. Study was conducted in
District Headquarters Hospital, Tharparkar district of Sindh province. Results:
Almost 48% children admitted in the hospital were identified with severe acute
malnutrition. More males (55%) were malnourished as compared to females (45%).
Maternal education, household income, family size, breastfeeding, vaccination
status, and frequent infections were found to be significantly associated with
the severe acute malnutrition. Conclusion: Specific interventions on promoting
exclusive breastfeeding, vaccination, and timely health care seeking behaviors
would definitely improve the outcomes. Nevertheless, sector wide approaches would
be needed on girls' education, poverty, and food security in the district in
order to address the issue of malnutrition.
PMID- 29805391
TI - Expression of Estrogen Receptors (ER), Progesterone Receptors (PR) and HER-2/neu
receptors in Endometrial Carcinoma and their associations with histological
types, grades and stages of the tumor.
AB - Objective: To study and detect immunohistochemical expression of Estrogen
Receptors, Progestrone Receptors and HER-2/neu Receptors in Endometrial Carcinoma
(EC) and to find their associations with histological types, grades and stages of
the tumor. Methods: A cross sectional study of one year duration from January
2016 to January 2017 was conducted at Histopathology department of Army Medical
College, Rawalpindi. A non-probability purposive sampling technique was used to
include 56 cases of EC. The specimens were tested for ER, PR and HER-2/neu
expression using immunohistochemical analysis. Data was analyzed in SPSS and the
significance of association of expression of the receptors with histological
types, grades and stages of the tumor was assessed. Results: Significant
association of Her-2/neu overexpression with histological types and grades of EC
was seen, whereas the association of ER and PR expression with histological
types, grades and stage of EC was statistically insignificant. Conclusion: It is
suggested that EC showing over expression of HER2/neu with immunohistochemistry
may be treated with anti HER-2/neu treatment with better chances of survival and
decreased post-treatment morbidity.
PMID- 29805392
TI - First aid facilities in the school settings: Are schools able to manage
adequately?
AB - Background and Objective: Children spend most of their time in schools and are
vulnerable to injuries and mild ailments, hence requiring first-aid care. School
teacher can provide immediate first-aid care in the absence of any health
professional. This study assesses first-aid facilities within school premises and
assessment of teachers on first aid training. Methods: A cross sectional study
was conducted from July-December 2017, participants were full time school
teachers of both public and private sectors at both primary and secondary levels,
having a minimum of one year experience. Questionnaire was filled on one to one
basis by taking oral interview. Results: Out of 209 teachers, 72.7% were from
private sector. Stomachache was the most common medical incident (82.29%)
requiring first-aid care in schools. First aid box was available in all schools
but its contents were not satisfactory. Sick bay was not found in any school.
68.42% of teachers were not trained in first-aid management because of lack of
opportunity, however 56% were willing to enroll in any first aid training and
majority (91.38%) considered it essential for their professional life.
Conclusion: First aid facilities at various schools of Karachi and availability
of trained teachers who can provide first aid care is unsatisfactory.
PMID- 29805393
TI - Pleomorphic Xanthoastrocytoma; Clinicopathological spectrum of An Intriguing
neoplasm.
AB - Background & Objective: Pleomorphic xanthoastrocytoma (PXA) is a rare primary WHO
Grade II astrocytic tumor comprising of < 1% of all astrocytomas. It is generally
benign and slow growing however disease progression and malignant transformation
with anaplastic features have been infrequently reported. Our objective was to
assess clinicopathological characteristics of this rare tumor at our center.
Methods: A retrospective study was conducted at Aga Khan University Hospital from
January 1992 till January 2016. Data was entered on a proforma including patient
demographics, clinical features, tumor location, histological features and follow
up, where available. Results: Forty Seven cases of PXA were retrieved during the
study period. The mean age was 23.8 years (SD=15.1) and median age was 19 years.
The most frequent symptom was head ache (n=31). Male were more frequently
affected (n=26). The commonest location was temporal lobe. On microscopic
examination, tumors were pleomorphic without mitoses or necrosis, however two
cases showed increased mitotic activity, and one case revealed associated
gliosarcoma. Follow-up of only 29 cases was available for a period ranging
between 2 and 184 months (85 months +/- 56 months). Outcome was good in 27
patients with the last follow up showing no radiographic or clinical evidence of
tumor recurrence. Conclusions: PXA is an infrequent tumor in our population also,
with less than 50 cases identified in two decades study period. Due to its rarity
and its bizarre histomorphology, it should be diagnosed correctly, as it has got
better prognosis than other astrocytic tumors.
PMID- 29805394
TI - Comparison of Lumbosacral Alignment in Geriatric and Non-Geriatric patients
suffering low back pain.
AB - Objective: Lumbosacral alignment is a crucial factor for an appropriate spinal
function. Changes in spinal alignment lead to diminished body biomechanics.
Additionally, lumbosacral alignment may affect quality of life, sagittal balance
and fall risk in elderly. In this study, we aimed to compare lumbosacral
alignment in geriatric and non-geriatric patients suffering from low back pain.
Methods: A total of 202 (120 male and 82 female) patients who visited to physical
medicine and rehabilitation clinic with low back pain between January 2017 and
August 2017 were enrolled in this study. Standing lateral lumbar radiographs were
obtained from the electronic hospital database. Lumbar lordosis angle, sacral
tilt, lumbosacral angle and lumbosacral disc angle were calculated on lateral
standing lumbar radiographs. Results: The mean age of the non-geriatric group was
43.02 +/- 13.20 years, the geriatric group was 71.61 +/- 6.42 years. In geriatric
patients, lumbar lordosis angle, sacral tilt and lumbosacral disc angle were
significantly smaller (p = 0.042, p = 0.017 and p = 0.017). No significant
differences were observed in lumbosacral angle between the groups (p = 0.508).
Conclusion: Our study indicates the specific changes in lumbosacral alignment
with aging. Identifying these changes in lumbosacral alignment in the geriatric
population will enable to create proper rehabilitation strategies.
PMID- 29805395
TI - Surgical outcomes of nephrectomy for elderly patients with renal cell carcinoma.
AB - Objective: The feasibility of curative surgery for elderly patients with renal
cell carcinoma (RCC) remains controversial and under discussion. The main aim of
this study was to evaluate the long-term benefits of curative surgery as a
treatment for RCC in elderly patients. Methods: We retrospectively considered 672
patients with RCC who underwent partial nephrectomy or radical nephrectomy
between January 2004 and July 2014. X-tile program was used to determine the
optimal age cutoff values with CSS as endpoint. Results: Patients were divided
into the following groups according to their age using the method of X-tile
program: a young group (< 40 years), a young-old group (40-75) and an old-old
group (>= 75). Following multivariate analysis age >= 75 years was determined to
be an independent risk factor for overall survival (HR=4.36; 95% CI: 1.31-14.48;
P=0.016); interestingly, this was not the case for cancer-specific survival (HR =
2.65; 95%CI: 0.77-9.16; P=0.124). Furthermore, an age of 40 to 75 years was not a
risk factor according to univariate and multivariate analysis. Conclusion: After
determining the age cutoff values, there was no significant difference in
prognosis between young and old patients with RCC.
PMID- 29805396
TI - Effectiveness of training on de-escalation of violence and management of
aggressive behavior faced by health care providers in a public sector hospital of
Karachi.
AB - Background & Objective: Considering high burden of violence against healthcare
workers in Pakistan APPNA Institute of Public Health developed a training to
prevent reactive violence among healthcare providers. The purpose of this
training was to equip healthcare providers with skills essential to control
aggressive behaviors and prevent verbal and non-verbal violence in workplace
settings. This study assesses the effectiveness of training in prevention, de
escalation and management of violence in healthcare settings. Methods: A quasi
experimental study was conducted in October, 2016 using mixed method concurrent
embedded design. The study assessed effectiveness of de-escalation trainings
among health care providers working in emergency and gynecology and obstetrics
departments of two teaching hospitals in Karachi. Quantitative assessment was
done through structured interviews and qualitative through Focus Group
Discussions. Healthcare providers' confidence in coping with patient aggression
was also measured using a standard validated tool". Results: The overall self
perceived mean score of Confidence in Coping with Patient Aggression Instrument
"(CCPAI)" scale was significantly higher in intervention group (Mean= 27.49,
SD=3.53) as compared to control group (Mean= 23.92, SD=4.52) (p<0.001). No
statistically significant difference was observed between intervention and
control groups with regard to frequency of violence faced by HCPs post training
and major perpetrators of violence.. Conclusion: De-escalation of violence
training was effective in improving confidence of healthcare providers in coping
with patient aggression.
PMID- 29805398
TI - Patient safety awareness among Undergraduate Medical Students in Pakistani
Medical School.
AB - Objective: To measure the level of awareness of patient safety among
undergraduate medical students in Pakistani Medical School and to find the
difference with respect to gender and prior experience with medical error.
Methods: This cross-sectional study was conducted at the University of Lahore
(UOL), Pakistan from January to March 2017, and comprised final year medical
students. Data was collected using a questionnaire 'APSQ- III' on 7 point Likert
scale. Eight questions were reverse coded. Survey was anonymous. SPSS package 20
was used for statistical analysis. Results: Questionnaire was filled by 122
students, with 81% response rate. The best score 6.17 was given for the 'team
functioning', followed by 6.04 for 'long working hours as a cause of medical
error'. The domains regarding involvement of patient, confidence to report
medical errors and role of training and learning on patient safety scored high in
the agreed range of >5. Reverse coded questions about 'professional incompetence
as an error cause' and 'disclosure of errors' showed negative perception. No
significant differences of perceptions were found with respect to gender and
prior experience with medical error (p= >0.05). Conclusion: Undergraduate medical
students at UOL had a positive attitude towards patient safety. However, there
were misconceptions about causes of medical errors and error disclosure among
students and patient safety education needs to be incorporated in medical
curriculum of Pakistan.
PMID- 29805397
TI - Lack of uniformity in screening, diagnosis and management of gestational diabetes
mellitus among health practitioners across major cities of Pakistan.
AB - Objective: To determine knowledge, attitude and practice (KAP) regarding
management of Gestational Diabetes Mellitus (GDM) among Health Care Providers in
major cities of Pakistan. Methods: A knowledge, attitude and practice (KAP)
questionnaire based study was conducted in major cities in Pakistan from health
care providers in public and private hospitals and clinics. Questionnaires were
provided to the health care providers regarding screening, diagnosis and
management of patients with GDM. Data analysis was done using IBM SPSS 20.
Results: A total of 210 doctors took part in the study. 55 (26%) reported using
fasting blood glucose as screening test for GDM whereas 129(61.4%) respondents
used Oral Glucose Tolerance based WHO criteria for diagnosing GDM. Thirty six
(17%) and 98(46.7%) doctors referred their patients to Gynecologists. For
treating GDM, 64(30.5%) doctors prescribed insulin (NPH/Regular, 70/30 Mix). 112
(53.5) doctors used combination of capillary glucose by glucometer and plasma
blood glucose tests for monitoring of glycemic control of patients with GDM.
Conclusion: There is lack of agreed screening tests and criteria for diagnosis
and management of GDM patients. Doctors need to be educated to follow evidence
based diagnostic and management guidelines so that GDM patients can be
effectively managed. Recently released South Asian Federation Societies and
Pakistan Endocrine Society guidelines could be much needed consensus guidelines
for doctors to apply in their daily practice to improve GDM diagnosis and
treatment.
PMID- 29805399
TI - Effect of intracanal medicaments used in endodontic regeneration on the push-out
bond strength of a calcium-phosphate-silicate-based cement to dentin.
AB - Objective: To evaluate the effects of various endodontic regeneration agents on
the push-out bond strength of Endosequence Root Repair Material (ERRM) to root
canal dentin. Methods: Fifty single-rooted human teeth were selected and
instrumented to obtain a standard internal diameter of 1.5 mm. Specimens were
randomly divided into four experimental groups and treated with an intracanal
medicament [calcium hydroxide (CH), double antibiotic paste (DAP), triple
antibiotic paste (TAP), TAP with amoxicillin (mTAP)] and a non-treated control
group. Medicaments were removed after three weeks, and ERRM was applied to all
specimens. The coronal portion of each root was then sliced into 2-mm-thick
parallel transverse sections (2 slices per tooth, n=20 slices per group), and a
push-out test was used to measure the bond strength of ERRM to dentin. Data were
analyzed using Bonferroni-corrected Mann-Whitney tests, with the level of
significance set at p<0.05. Results: The push-out bond strength of the CH group
was significantly higher than that of the TAP, DAP and mTAP groups (p< 0.005).
Furthermore, the bond strength of the control group was higher than the bond
strength of both the DAP and mTAP groups. Conclusion: The use of CH in clinical
practice may help improve the adhesion of ERRM to dentin.
PMID- 29805400
TI - Prevalence of Hepatitis B and C virus infection and their co-relation with
hematological and hepatic parameters in subjects undergoing Premarital Screening
in the Jazan Region, Kingdom of Saudi Arabia.
AB - Objective: Hepatitis is a serious health concern with a high rate of mortality
and morbidity world over. Saudi Arabia also has its course of the disease
incidence. The data on the prevalence of the disease is still limiting. This
study aimed to estimate the prevalence of hepatitis B virus [HBV] and hepatitis C
virus [HCV] infection in the Jazan region and study its effects on hematological
and hepatic parameters. Methods: This cross-sectional study was conducted at
premarital screening centre located in King Fahd Central Hospital, Jazan, Kingdom
of Saudi Arabia. A total of 7,826, Saudi couples undertaking premarital screening
from Jazan region, were enrolled in the study and screened between January 2014
and June 2015 for hepatitis B virus and hepatitis C virus. Complete blood counts
and hepatic profile were carried out for individuals who were Hepatitis B and or
C virus positive. Results: A higher prevalence of hepatitis virus infection in
male participants [HBV 1.9%; HCV 0.4%] than in females [HBV 1.43%; HCV 0.2%] was
seen. The neutrophil-to-lymphocyte (NLR) and platelet-to-lymphocyte (PLR) ratios
were significantly decreased among HBV- and HCV-infected patients. The
concentration of hepatic enzymes showed a statistically significant increase in
seropositive individuals. The levels of albumin were significantly decreased in
individuals with hepatitis B and C when compared with the control group.
Conclusions: The study concludes that the prevalence of HBV infection among Saudi
subjects in Jazan was higher than the prevalence of HCV infection, and both HBV
and HCV were higher in men than in women.
PMID- 29805401
TI - Evaluation of the anterior segment parameters after Nd: YAG laser Capsulotomy:
Effect the design of intraocular lens Haptic.
AB - Objective: To evaluate the changes in anterior segment parameters after neodymium
yttrium-aluminum-garnet (Nd:YAG) laser capsulotomy in 1-piece and 3-piece IOLs.
Methods: In an institution, 65 eyes of 65 consecutive pseudophakic patients with
posterior capsule opacification underwent Nd:YAG laser capsulotomy. The patients
were divided into two groups according to the IOL type. Group-1 consisted of 35
subjects with 1-piece IOL and Group-2 consisted of 30 subjects with 3-piece IOL.
Anterior segment parameters were measured with the Sirius rotating camera before,
one week and one month after Nd:YAG laser capsulotomy. Results: Mean age was
72.3+/-5.2 years in 1-piece IOL and 72.3+/-6.8 years in 3-piece IOL. There were
no statistically significant differences before capsulotomy for IOP, axial
length, spherical equivalent, anterior chamber depth, central corneal thickness,
anterior chamber angle and anterior chamber volume between two IOL groups. BCVA
improved after capsulotomy in both groups (p=0.001). Both IOL groups had
statistically significant myopic shift compared with the baseline values (P= 0.03
and P=0.01 resp.). Both IOL groups had statistically significant decrease in ACD,
from baseline to the 1st week and 1st month (p=0.04 and 0.03 resp.). Conclusion:
To achieve the highest percentage of refractive and anterior segment stability
surgeons may prefer to implant the 1-piece IOL design.
PMID- 29805402
TI - Comparison of 23 Gauge Transconjunctival releasable Suture Vitrectomy with
standard 20 gauge Vitrectomy.
AB - Objective: To compare effectiveness of releasable transconjunctival sutures in 23
gauge vitrectomy and standard 20 gauge vitrectomy. Methods: This prospective
comparative study was conducted in Department of Vitreoretinal Surgery, Al Ehsan
Eye Hospital, Lahore from June 2016 to March 2017. It included 84 patients in
total (Group-A: 42 patients underwent 23 gauge releasable suture vitrectomy;
Group-B: 42 patients who underwent standard 20 gauge vitrectomy). Pre operative
and post operative best corrected visual acuity, surgical duration, pre and post
operative intraocular pressure and complication profile was compared between two
groups. Results: The leading cause for vitrectomy was vitreous haemorrhage.
(Group-A; n=15 ;35.71%; Group-B; n=17; 40.47%). There was statistically
significant improvement in preoperative and postoperative BCVA in both groups
(Group A: P-value < 0.05; Group B P-value < 0.05) but there was no significant
difference in post operative BCVA between two groups at 3 months (P-value >
0.05). Surgical time for 23G vitrectomy Group was statistically less than 20 G
vitrectomy Group (51 +/-18 minutes for Group-A versus 78 +/- 13 minutes for Group
B; p-value < 0.05). Visual analog score for pain / discomfort was also
significantly less for Group-A than Group-B. There was no significant difference
in intraocular pressures between the two groups. Conclusions: Releasable suture
technique for small gauge vitrectomy is a safe and easily adaptable technique
that has certain significant advantages over 20G absorbable suture vitrectomy.
PMID- 29805403
TI - Role of Intravitreal Bevacizumab in Management of Eale's Disease.
AB - Objective: To investigate the role of Intravitreal Bevacizumab (IVB), in
preventing vitreo-retinal complications in patients of Eale's Disease (ED).
Methods: This randomized control trial was conducted at Armed Forces Institute of
Ophthalmology (AFIO), Rawalpindi from May 2015 to December 2016. A total of 52
eyes of 26 patients, diagnosed with stage I or II of ED were randomly divided in
two groups. Group A received monthly injections of IVB for 3 months, with
steroids and laser photocoagulation. Group B received only steroids and laser
treatment. Patients were followed for three months, and were analyzed for
different clinical parameters. Results: Mean age of study population was 28.5+/
2.64 years. Difference in frequency of patients requiring PPV and showing
regression in neovascularization was statistically significant between both
groups (p=0.005 for both). However, difference in frequency of patients showing
progression in stage of ED, regression of vasculitis and best corrected visual
acuity at 12 weeks between two groups was not statistically significant (p=
0.012, 0.579, 0.046 respectively). Conclusion: Intravitreal Bevacizumab
injection, given monthly in patients of ED results in significantly more
regression in neovascularization, and less requirement for PPV, as compared to
those receiving standard steroids and laser photocoagulation treatment.
PMID- 29805404
TI - Interstitial Lung Diseases Misdiagnosed as Tuberculosis.
AB - Objective: To determine the frequency of misdiagnosis of tuberculosis in
interstitial lung disease cases. Methods: This is a prospective study including
patients registered in the interstitial lung disease clinic, Jinnah Postgraduate
Medical Center, Karachi, during May-June 2017. Diagnosis of tuberculosis was only
confirmed if there was any bacteriological evidence of tuberculosis at the time
of diagnosis or if there was improvement in symptoms after treatment in patients
diagnosed as having tuberculosis on clinical grounds. Results: Seventy-three
patients were included in the study, out of which 53 (72.60%) were females and 20
(27.39%) were males. Tuberculosis was treated before presentation in 28 (38.35%)
of interstitial lung disease patients. Except for two silicosis patients who had
smear positive tuberculosis, rest of the patients were misdiagnosed as having
tuberculosis. Conclusion: Interstitial lung diseases are the disorders that are
frequently unrecognized and misdiagnosed. More commonly the confusion is with
tuberculosis. Thorough knowledge about interstitial lung diseases should be
provided to the primary care physicians, especially in countries with high
tuberculosis burden, so that to limit maltreatment with anti-tuberculous drugs
when they are not needed and early referral to interstitial lung disease clinic.
PMID- 29805405
TI - Cross talk between serum Kisspeptin-Leptin during assisted reproduction
techniques.
AB - Background & objective: Leptin facilitates onset of puberty by impact on
hypothalamic Kisspeptin, gonadotropin releasing hormone, follicle stimulating and
luteinizing hormone. The link of peripheral Leptin-Kisspeptin in regulating the
ovarian and endometrial tissue in relation to adiposity is unknown. Therefore, we
wanted to identify Kisspeptin-Leptin association with body mass index (BMI) and
success of assisted reproductive treatments (ART) in infertile females. Methods:
A cross sectional study was carried from August 2014 till May 2016 after
receiving ethical approval at Australian Concept Infertility Medical Centre, and
Aga Khan University. The study group comprised of females with an age range of 25
37 year who had duration of unexplained infertility for more than two years. They
were grouped as; underweight (<18 kg/m2), normal weight (18-22.9 kg/m2),
overweight 23-24.99 kg/m2 and obese (>25 kg/m2). Kisspeptin and Leptin levels
were measured by enzyme linked immune sorbent assay before down regulation of
ovaries and initiation of treatment protocol of ART. Failure of procedure was
detected by beta human chorionic gonadotropin <25mIU/ml (non-pregnant) whereas
females with levels >25mIU/ml and cardiac activity on trans-vaginal scan were
declared pregnant. Results: Highest Kisspeptin and Leptin levels were seen in
normal weight group (374.80 +/- 185.08ng/L; 12.78 +/- 6.8 pg/ml) respectively,
yet the highest number of clinical pregnancy was observed in overweight group
(42%).A strong correlation of Kisspeptin with Leptin (r=0.794, p=0.001) was
observed in the overweight females. Conclusion: Leptin-Kisspeptin-fertility link
is expressed by maximum number of clinical pregnancies in the female group that
showed strongest relationship between serum Leptin and Kisspeptin levels,
irrespective of their BMI.
PMID- 29805406
TI - Use of a chlorhexidine-impregnated dressing reduced catheter-related bloodstream
infections caused by Gram-positive microorganisms.
AB - Objective: We compared the protective effects of secure Chlorhexidine Gluconate
(CHG)-containing dressings with those of non-antimicrobial transparent dressings.
Methods: This prospective, comparative, single-center clinical study was
conducted in a tertiary pediatric intensive care unit from October 2014 to March
2017. The inclusion criterion was catheterization of the jugular vein for >=48
hour. The study was conducted in two phases. Non-antimicrobial standard dressings
were applied both before and after the CHG- dressing phase to negate any
coincidental temporal effect. During the standard-dressing phases, the dressings
did not include any antimicrobial; transparent CHG-impregnated dressings were
applied during the test phase. All patients were divided into two groups by the
type of dressing applied (standard and CHG-containing dressings). Results: The
standard- and CHG-dressing groups contained 68 and 63 patients, respectively. The
median durations of catheterization were 13 (8-22) and 14 (2-28) days,
respectively (p>0.05). The Catheter-Related Bloodstream Infection (CRBSI) rate
was somewhat lower in the CHG-dressing group (20.6 vs. 26.5%), but the difference
was not statistically significant (p>0.05). In the CHG-dressing group, CRBSIs
caused by Gram-positive microorganisms totaled 0%, but the figure was 8.8% in the
control group (p=0.028). Conclusions: CHG dressings reduced CRBSIs caused by Gram
positive microorganisms.
PMID- 29805407
TI - Comparing the efficacy of Morphine alone with Morphine and mgso4 in pain
management after coronary artery bypass surgery.
AB - Objective: To compare the effectiveness of Morphine alone and Morphine with MgSo4
in pain management after CABG surgery. Methods: This randomized control trial was
conducted in the department of anesthesia and critical care Choudhary Pervaiz
Ellahi Institute of Cardiology, Multan from November 2016 to June 2017. All
collected data was entered and analyzed by using computer software SPSS version
23.1. Quantitative data like age, VAS score was analyzed and presented as mean
and standard deviation. Similarly qualitative data like gender and ASA status was
calculated and presented as frequency and percentages. Independent sample T-test
was applied for significance of VAS score. P value <=0.05 was considered as
significant. Results: A total number of 150 patients of both genders were
included in this study. The main outcome variables of our study were VAS score.
It was observed that, in group (M), the mean VAS score after 4, 12 and 24 hours
of operation was 5.24+/-1.61, 5.8+/-2.27 and 5.44+/-2.27 respectively. And in
group (MM), the mean VAS score after 4, 12 and 24 hours of operation was 4.36+/
2.58, 3.48+/-2.10 and 4.12+/-1.05 respectively. It was noted that both groups had
statically significant difference of VAS score, as group (M) had higher VAS score
than group (MM). Conclusion: Morphine with Mgso4 has better efficacy as compared
to morphine alone when used as analgesic agent after CABG surgery.
PMID- 29805408
TI - Association of metabolic risks with subclinical hypothyroidism: A cross-sectional
analysis.
AB - Objective: To compare lipid parameters, HbA1c, uric acid and albumin creatinine
ratio (UACR) among subjects having euthyroidism, Sub-Clinical Hypothyroidism
(SCH) and overt hypothyroidism. Methods: This comparative cross-sectional
analysis was carried out from Dec-2015 to Oct-2016 in collaboration between PNS
HAFEEZ hospital and department of chemical pathology and endocrinology, Armed
Forces Institute of Pathology, Rawalpindi. Biochemical parameters including lipid
indices, HbA1c and UACR were compared between euthyroidism (TSH: 0.5 to 4.0
mIU/L, n=163), subclinical hypothyroidism (TSH: 4.0 to 10 mIU/L, n=16) and overt
hypothyroidism (TSH:>= 10.0 mIU/L, n=9). Results: LDL-cholesterol, non-HDL
cholesterol and UACR results were as: [(Euthyroid: 2.66 +/- 0.73), (SCH: 2.68 +/-
0.51) and (Overt hypothyroidism: 3.23 +/- 0.59), p-value=0.063], [(Euthyroid:
3.49 +/- 0.64), (SCH: 3.35 +/- 0.59) and (Overt hypothyroidism: 4.01 +/- 0.30), p
value=0.033] and [{Euthyroid: 2.48 (95% CI: 1.63-3.33)}, {SCH: 2.27 (95% CI: 0.37
4.90)} and {Overt hypothyroidism: 14.95 (95% CI: 10.71-19.14){, (p-value< 0.001)]
Results for total cholesterol, triglycerides and HDL-cholesterol though increased
in overt hypothyroid group were not found to be statistically significant.
Conclusion: LDL-cholesterol, non-HDL-cholesterol and UACR increased from
euthyroid subjects to overt hypothyroidism group. However, these changes were
found to be more subtle in the subclinical hypothyroid subjects than cases with
overt hypothyroidism.
PMID- 29805409
TI - Frequency of Acute Kidney Injury in tetanus patients of Paedriatic Intensive Care
Unit: A Public Hospital Experience.
AB - Background and Objective: Tetanus is a potentially fatal but preventable disease.
Mortality is related to severity of the disease, cardiovascular, pulmonary and
renal complications. Acute kidney injury (AKI) is a frequent and lethal
complication of tetanus. The objective was to determine the frequency of AKI in
tetanus patients managed in a public hospital. Methods: Children aged 1-12 years
admitted in Paediatric Intensive Care Unit (PICU) with the clinical diagnosis of
tetanus over three and half years were recruited for the retrospective study.
pRIFLE (Pediatric Risk, Injury, Failure, Loss, End) criteria was applied to all
cases of tetanus to categorize them as having AKI or not, on the basis of
estimated creatinine clearance (ECCL). Comparison was done between AKI and non
AKI cases, as well as between AKI survivors and AKI non-survivors. The study was
conducted at PICU of Dr. Ruth K.M. PFau Civil Hospital Karachi for tetanus cases
admitted during July 2013 to December 2016. Results: During the study period, 44
patients of tetanus were enrolled. Nearly 32 % of tetanus patients developed
acute renal dysfunction according to PRIFLE criteria. There were overall 15
(34.09%) expiries among tetanus patients among which nine (60%) had AKI. Oliguria
was observed in five (35.71%) cases. All the AKI non-survivors had ECCL below 50%
and all had autonomic instability. AKI developed towards the end of first week in
three cases, mid of second week in four cases and third week in seven cases.
Renal replacement therapy (RRT) i.e. peritoneal dialysis (PD) was done in four
AKI cases but it did not improve the outcome. CRP was more than 50 in 24 (54.54%)
cases. Ventilatory support was given to 85.71% with AKI as compared to 66.66% of
non AKI patients. Conclusion: Development of AKI in tetanus is multifactorial.
Major contributors are severity of the tetanus itself, presence of autonomic
instability, ventilator dependency, and sepsis. Presence of AKI worsens the
outcome of tetanus in terms of survival, length of stay, hospital cost and
ventilator days.
PMID- 29805411
TI - Exploring practices of Dermatologists in Ethical Dilemmas in Pakistan: A
narrative analysis.
AB - Background and Objective: Specialists in dermatology come across ethical issues
in their practice. The topic is subjective so dialogue and reflection is
required. The main objective of this study was to explore how dermatologists deal
with ethical dilemmas in their clinical practice. Methods: This was a qualitative
narrative analysis. It was conducted by involving dermatologists working in
tertiary care hospitals across Pakistan from January to June 2017. Open ended
semi structured in-depth interviews of twelve dermatologists were recorded and
transcribed manually through transcribers verbatim. Thematic interactional
analysis was done by NVivo 11. Results: Ethical dilemmas were narrated. Thematic
analysis showed that compromises in standard medical and ethical practices were
made on academics and training. Ethics were left to individual choice.
Consultation of patients suffered due to quality of patient physician
relationships and breeched patient's confidentiality. In cosmetic dermatology
unrestrained role of media, injudicious procedures and improper counseling
created difficulties. Pharmaceuticals relation revolved around conflict of
interest. In sexually transmitted diseases disclosure were difficult due to
sociocultural limitations. In teledermatology practices patient's confidentiality
and consent were compromised while consultation remained challenging for
dermatologists being visual only. Conclusion: Dilemmas appearing in everyday life
needs peer discussion, reflections and protocols that should be role modeled.
PMID- 29805410
TI - Exploring variability of teaching & supervision at clinical clerkship teaching
sites.
AB - Objective: To explore undergraduate medical students' perception of variation in
teaching and supervision at different clinical teaching sites. Methods: This
descriptive cross-sectional study was conducted at the College of Medicine &
Health Sciences, United Arab Emirates University, UAE during 2017. Four clinical
teaching sites affiliated with CMHS were evaluated namely Shaikh Khalifa Medical
City (SKMC), Ambulatory Care Clinics (AC), Tawam Hospital (TH) and Al-Ain
Hospital (AH). An online questionnaire was administered to year five and six
students. Results: The response rate was 84.4%. Overall perception of the
students about their clinical clerkship experience was positive. SKMC was rated
as the best teaching site with mean rating of 3.79+/-0.97-4.79+/-0.43. The
highest rated item was clinical teacher's promotion of critical thinking in
students while the lowest rated item was the opportunity to take responsibility
for patient care. Ambulatory Care site had a mean rating of 2.33+/-1.23-4.13+/
1.19. The highest rated item at this site was the clinical teacher encouraging
students to ask questions and participate actively. At Tawam Hospital, the mean
ratings ranged between 2.65+/-1.64-4.31+/-0.86 with highest rated item being
ability of the students to see cases with positive clinical findings. At the Al
Ain Hospital, the mean rating was in the range of 2.79+/-1.45-3.81+/-1.11. The
item rated highest here was the ability of students to see cases with positive
clinical findings. The lowest rated item at all three sites was the availability
of on-call rooms and lockers. Significant variability was seen across training
sites in the clinical teacher's ability to act as professional role models, the
opportunity for students to apply their previous knowledge to patient care and to
independently assess patients before discussion with teachers. Conclusion: This
study tool highlights variation in clinical teaching and supervision at four
clinical teaching sites. It provides specific, actionable information which can
be utilized to deliver equitable learning experiences across clinical clerkships
and teaching sites. It places emphasis on the fact that lack of physical
facilities hampers clinical teaching and supervision, hence, on call rooms,
lockers and separate rooms for independent student interaction with patients
should be provided at all clinical teaching sites.
PMID- 29805412
TI - Outcomes of cochlear implantation in children with and without inner ear
malformations.
AB - Objective: To evaluate the auditory functions and progress of speech development
in children with and without cochlear anomalies who underwent cochlear
implantation due to prelingual profound sensorineural hearing loss (SNHL).
Methods: This study was conducted at Gaziantep University Faculty of Medicine Ear
Nose-Throat Department, between October 2006 and December 2007. A total of 69
children (aged 6 to 24 months) diagnosed with profound SNHL were included.
Patients were divided into two groups with respect to the presence of inner ear
anomalies: Group-1 consisted of 41 children without inner ear anomaly, whereas
Group-2 was composed of 28 patients with inner ear anomalies. The auditory
performance was assessed using Listening Progress Profile Test (LPPT) and
Monosyllabic Trochee Polysyllabic Test (MTP), the subsections of Evaluation of
Auditory Responses to Speech (EARS) test battery. Results: Preoperative LPPT
scores were 5 (12%) in both groups. Mean LPPT values after fitting in Group-1 and
Group-2 on 1st, 3rd and 6th months were 18.5 (44.1%) and 19 (45.6%); 27 (64.2%)
and 28 (67.3%); 31 (75%) and 34 (83%), respectively. Postoperatively, MTP scores
in Group-1 and Group-2 were 7.5 (62%) and 7.7 (64%) for 3-words set; 10.4 (58%)
and 10.6 (59%) for 6-words set; 14.3 (60%) and 14 (59%) for 12-words set,
respectively. The rate of stimulation for electrodes was 1345 q/u (quick/unit) in
Group-1 and 1310 q/u in Group-2. No statistically significant difference was
detected between groups for variables under investigation. Conclusion: Cochlear
implantation is an effective treatment in children with prelingual profound SNHL.
Auditory performance and advancement of speech are similar for children with and
without inner ear anomalies.
PMID- 29805413
TI - Detection and allergen analysis of serum IgE in pediatric patients with chronic
urticaria.
AB - Objective: To detect the serum IgE and allergen-specific IgE levels of pediatric
patients with chronic urticaria, and to analyze the distribution characteristics
of allergens. Methods: Ninety-six patients with chronic urticaria admitted in our
hospital, which were not administered antihistamine 10 days before detection or
glucocorticoid 20 days before detection, were selected. Their serum IgE levels
were measured and 34 antigens were analyzed. Results: Ninety-two of the ninety
six patients were detected as serum IgE positive (positive rate: 95.83%). The
positive serum IgE levels did not significantly change along with season
(P>0.05). The positive detection rate of antigens was 95.83% (92/96), and the top
five potent antigens included house dust mite, flour mite, histamine, egg yolk
and egg white. Conclusion: Dust mite, as the most common antigen for pediatric
patients with chronic urticaria, is prone to variations of specific IgE positive
rate along with season. The results may be associated with the persistent warm
and humid climate in this region.
PMID- 29805414
TI - Intraventricular Dyssynchrony among patients with left bundle branch block.
AB - Objective: To determine the frequency of intraventricular dyssynchrony among
patients with left bundle branch block. Methods: The study was conducted at
Hayatabad Medical Complex, Peshawar, from January, 2017 to July, 2017. All
patients aged 18 years and above with Left Bundle Branch Block (LBBB) on ECG with
or without heart failure were included in the study. Patients with valvular heart
disease, predominant diastolic heart failure, acute coronary syndromes or
coronary revascularization in last three months and atrial fibrillation were
excluded. Tissue Doppler Imaging (TDI) parameters were measured from 2-D images
in apical 4-chamber and 2-chamber views. Consecutive non-probability sampling
technique was used for sample collection. Results: Our study included 159
patients. Mean age was 52 years with SD +/- 2.74. Ninety-nine (62%) patients were
male and 60 (38%) patients were female. One hundred and three (65%) patients had
heart failure while 56 (35%) patients didn't have heart failure. More over in our
study 124 (78%) patients had Intraventricular dyssynchrony while 35(22%) patients
didn't have Intraventricular dyssynchrony. Conclusion: The incidence of
Intraventricular dyssynchrony is high among patients with heart failure and left
bundle branch block.
PMID- 29805415
TI - Efficacy of Tamsulosin alone versus Tamsulosin Phloroglucinol combination therapy
for medical expulsion of lower Ureteral calculi.
AB - Objective: To see whether phloroglucinol-added tamsulosin therapy exhibits better
efficacy than tamsulosin alone in medical expulsion of lower ureteral stone
(LUS). Methods: Sixty four consecutive adult patients presented in a urological
setting at Sialkot, Pakistan between January 2015 and December 2016 with
solitary, unilateral 3-8mm sized lower ureteral stone (reported by noncontrast
computed tomography of the kidney-ureter-bladder) were documented. Group either
study or control was allotted, randomly. Same 0.4 mg tamsulosin, once daily was
given to all the participants. However, additional 40 mg phloroglucinol, thrice
daily was advised for study group (n = 32). The therapy terminated on
confirmation of stone expulsion otherwise continued for 6 weeks. Patients were
asked to use 50 mg diclophenac Na on colic episode. Results: Demographic
characteristics revealed 81.2% (n = 52) male patients while age statistics as M =
42.3, SD = 5.93 (range 32-60) years. The study group showed higher stone
expulsion rate (100%) and time to expulsion (M = 10.34 days) than control. The
values were statistically significant (p = .02 and p = .0001; chi2 test in SPSS).
Similarly, combination therapy had advantage on mono therapy for reporting
statistically lesser numbers of colic episode (p = .03) and consumption of
analgesic (p = .02). A marked difference in rate of adverse effects i.e. 68.8 vs.
90.6% was observed in study and control groups. Conclusion: Phloroglucinol-added
therapy is a better choice for expulsion of LUS than tamsulosin alone with
reference to stone expulsion rate and medication time.
PMID- 29805416
TI - Precautions Taken by Nurses about the Prevention of Hospital-Acquired Infections
in Intensive Care Units.
AB - Objective: To determine the precautions that nurses take for avoiding hospital
acquired infections in intensive care units of a State University Medical Faculty
Hospital in Istanbul. Methods: The research data were collected by a
questionnaire developed by the authors. The study was conducted in intensive care
units of a medical faculty hospital of a state university in Istanbul province.
85 nurses working in different various intensive care units and providing
informed consent participated in the study. Results: Intravenous catheterization,
urinary catheterization, ventilator-associated infections and surgical site
infections were assessed. The questionnaire was scored by applying a conversion
of 100 to the total scores obtained, with the highest score being 100 and lowest
score being 0. The percentage of nurses that practised all of the approaches
about preventing hospital-acquired infections was estimated to be 8.2% for
catheter-related bloodstream infections, 67.1% for surgical site infections,
72.9% for catheter-associated urinary tract infections, 27.1% for ventilator
associated infections, 29.4% for isolation preventions and 62.5% for attempts
related to sterilization/disinfection of the medical devices. Conclusion: It was
seen that nurses use most of the effective measures in order to prevent hospital
acquired infections. The guidelines generated for intensive care units should be
updated according to international standards as needed. These guidelines should
be used effectively; the differences between intensive care units should be
resolved and all nurses should be trained at certain intervals.
PMID- 29805417
TI - Educational impact of Mini-Clinical Evaluation Exercise: A game changer.
AB - Background and objective: Workplace based assessment has a strong educational
impact in terms of student's clinical performance by steering their learning
towards the desired learning outcomes. Educational impact is hardly measured in
the sphere of medical education and this study is an attempt to measure
educational impact of post graduate residents. The aim of this study was "To
explore educational impact of Minicex (Mini-clinical evaluation exercise) on
residents with respect to their learning". Methods: A mixed convergent parallel
method was selected, participants were identified through non-probability
convenience sampling, total 10 participants were chosen for data collection, all
of them experienced four minicex encounters which generated their scores (the
quantitative data), after first two Minicex encounters each participant was
interviewed using a structured interview technique, similarly, after 3rd and 4th
Minicex encounters. Data was entered in the SPSS version -21 to calculate
descriptive statistics. Inferential statistics were determined using ANOVA to
calculate improvement in score over time and P-value to report statistical
significance. Qualitative analysis was done using thematic analysis approach with
the help of themes based on interview questions: priori theme. NVIVO was used for
triangulation of themes accordingly. Results: The results indicate statistically
significant improvement in scores and p values were considered significant at
0.05. Also, qualitative analysis provided reasons for improvement in scores and
residents' satisfaction such as feedback, motivation, self-directed learning,
peer assisted learning. Conclusion: The study concluded that residents learning
behavior and, their satisfaction from assessment method can be enhanced through
work place based assessment particularly in context of minicex (mini-clinical
evaluation exercise) so encouraging its use in similar situations. However, the
scope for generalization of results remains limited owing to a small sample size.
PMID- 29805418
TI - Endoscopic Trans-Sphenoidal surgery; Efficacy and response in Pituitary Adenoma.
AB - Objectives: The purpose of the study was to access the efficacy and response of
the endoscopic transsphenoidal surgery in pituitary adenomas. Methods: It was
descriptive case study, conducted at Neurosurgery Department in collaboration
with the Endocrine Unit (Medical Unit-II) Of Jinnah Post Graduate Medical Center
Karachi from January 2015 to July 2017. Patients with sellar, supra sellar and
para sellar tumors were enrolled in the study. Patients with prolactinoma and
recurrent pituitary tumors were excluded. Data was analyzed using SPPS 17.
Results: Sixty three patients were included in the study with mean age of 42+/
8.34 years. There were 40(63.5%) male patients and 23(36.5%) female patients with
pituitary adenoma. Headache and visual impairment were the main presentation
55(87.3%) and 56 (88.8%) respectively. Out of all these patients the pituitary
adenomas, 51(81%) patients had non secretory and 12 (19%) patients had secretory
tumor. Out of these pituitary adenomas 53(84.1%) were macroadenomas and 10(15.9%)
were microadenoma. Post operatively marked improvement in the headache was in all
100% patients and vision improved in 54 (96.4%). The most common post operative
complication was cerebrospinal fluid (CSF) leak in 10 (15.9%) with 44 (69.8%)
having no post complications at all. Mortality was reported to be just 1.6% i-e
one patient. Conclusion: The endoscopic transsphenoidal approach for pituitary
adenoma is the safest procedure with marked improvement in complications and
reduction in patient's hospital stay.
PMID- 29805419
TI - Which is more effective for the treatment of Acute Migraine Attack:
Dexketoprofen, Ibuprofen or Metoclopramide?
AB - Objective: The aim of this study was head-to-head comparison of the efficacy and
rate of adverse events of metoclopramide, ibuprofen and dexketoprofen for the
acute treatment of migraine attack in the real-life conditions of a busy
emergency department (ED). Methods: This was a prospective, observational, cross
sectional study. All patients who presented to the ED with a headache fulfilling
the inclusion criteria were enrolled. All patients were treated by the attending
emergency physicians in their daily routine. If an IV treatment in the ED was
found indicated by the EP, they selected one of the options in the written
departmental migraine treatment protocol. Results: During the study period, 54
patients met the inclusion criteria. The median change in the pain score was
significantly different among treatment options (p<0.0001). The median pain score
change at the end of the 30 minutes for treatment groups were 7.5 mm (IQR: 7.0
8.0), 5.0 mm (IQR: 4.75-7.0), and 7.0 mm (IQR: 6.0-7.25), respectively
(p=0.0002). All three groups were found to be significantly different from each
other in the post-hoc analysis. Conclusion: All drugs compared in this study are
effective in the relief of migraine headache. However, IV dexketoprofen seems to
be faster and more effective than metoclopramide and ibuprofen.
PMID- 29805420
TI - Magnetic resonance imaging findings in newly diagnosed epileptic children.
AB - Objectives: Epilepsy is one of the most common chronic neurologic disorders in
childhood and it affects 0.5-1% of children. The purpose of the study was to
determine the prevalence and types of structural abnormalities in the epileptic
children. Methods: The study was performed in Near East University and Dr. Suat
Gunsel University in North Cyprus. It was conducted at pediatric neurology
outpatient clinic of the hospital. The records of 1 to 18 years old epileptic
children in whom Magnetic Resonance Imaging (MRI) performed within 6 months after
diagnosis were enrolled to the study between the dates of October 2011 and June
2017. Results: Among 220 children; 131 (59.55%) had no abnormality and 89
(45.45%) had at least one abnormality in the MRI. Most commonly documented
lesions were generally encephalomalacia, hydrocephaly and brain atrophy with a
percent of 5.90 (13 cases), 5.45 (12 cases) and 4.55 (10 cases) respectively.
Sixty nine (31.06%) of the patients had one abnormality whereas 20 (9.09%) had
two or more lesion. Conclusion: Abnormality in MRI examination in newly diagnosed
epileptic children was high. These high rates may be due to enrollment of
children with new emerging epilepsy on a chronical neurologic disorder.
Additionally 20 (9.09%) of patients had a concomitant lesion. Secondary lesions
were detected in cases with corpus callosum abnormality, atrophy,
encephalomalacia and hydrocephaly. Primarily formed lesions are unknown; further
studies are needed to confirm these findings.
PMID- 29805421
TI - Ethical climate as a moderator between organizational trust and whistle-blowing
among nurses and secretaries.
AB - Objectives: To reveal the effect of perception of ethical climate by nurses and
secretaries and their level of organizational trust on their whistleblowing
intention. Methods: Nurses and secretaries working in a University Hospital in
Ankara, Turkey, were enrolled in the study conducted in 2016. Responses were
received from 369 nurses and secretaries working at Clinics and Polyclinics. Path
analysis, investigation of structural equation models used while multi-regression
analysis was also applied. Results: According to the regression model, ethical
climate dimensions, profession, gender, and work place had significant impact on
the whistleblowing intention. According to Path analysis, ethical climate had
direct impact of 69% on whistleblowing intention. It was seen that organizational
trust had an indirect impact of 27% on the whistleblowing score when ethical
climate had a moderator role. Conclusion: In order to promote whistleblowing in
organizations, it is important to keep the ethical climate perception of
employees and the level of their organizational trust at high levels.
PMID- 29805422
TI - Role of posterior-anterior vertebral mobilization versus thermotherapy in non
specific lower back pain.
AB - Background & Objective: Low back pain (LBP) is the foremost cause to hamper an
individual's functional activities in Pakistan. Its impact on the quality of life
and work routine makes it a major reason for therapeutic consultations. About 90%
of the cases with LBP are non-specific. Various options are available for the
treatment of LBP. Posterior-anterior vertebral mobilization, a manual therapy
technique; and thermotherapy are used in clinical practice, however evidence to
gauge their relative efficacy is yet to be synthesised. This study aimed to
compare the effectiveness of posterior-anterior vertebral mobilization versus
thermotherapy in the management of non-specific low back pain along with general
stretching exercises. Methods: A randomised controlled trial with two-group
pretest-posttest design was conducted at IPM&R, Dow University of Health Sciences
(DUHS). A total of 60 Non-specific low back pain (NSLBP) patients with ages from
18 to 35 years were inducted through non-probability and purposive sampling
technique. Baseline screening was done using an assessment form (Appendix-I).
Subjects were allocated into two groups through systematic random sampling. Group
A (experimental group) received posterior-anterior vertebral mobilization with
general stretching exercises while group B (control group) received thermotherapy
with general stretching exercises. Pain and functional disability were assessed
using NPRS and RMDQ respectively. Pre & post treatment scores were documented. A
maximum drop-out rate of 20% was assumed. Recorded data were entered into SPSS V
19. Frequency and percentages were calculated for categorical variables.
Intragroup and intergroup analyses were done using Wilcoxon signed ranked test
and Mann-Whitney Test respectively. A P-value of 0.05 was considered
statistically significant. Results: Pre and post treatment analysis revealed that
P-values for both pain and disability were less than 0.05, suggesting significant
difference in NPRS and RMDQ scores. Whereas, median scores for both pain and
disability were decreased by 75% in experimental group and 50% in control group.
For inter group analysis p-values for both pain and disability were found to be
less than 0.05. Conclusion: Both physiotherapeutic interventions, the PAVMs and
thermotherapy, have significant effects on NSLBP in terms of relieving pain and
improving functional disability. However PAVMs appeared to be more effective than
thermotherapy.
PMID- 29805423
TI - Effects of Taylor Spatial Frame on tumors and tumor-like lesions with
pathological fractures of lower extremities.
AB - Objective: We aimed to evaluate the clinical effects of Taylor spatial frame
(TSF) on tumors and tumor-like lesions complicated with pathological fractures of
the lower extremities. Methods: Eighty-two patients admitted from September 2013
to January 2015 were selected. Forty-two cases were included in Group-A to
receive TSF fixation and forty were included in Group-B to receive locking plate
fixation. The surgical time, intraoperative blood loss, postoperative healing
rate of primary incision, incidence rate of complications, hospitalization stay
length, and fracture healing time as well as rate of excellent and good Enneking
scores one year after surgery were compared. Results: The intraoperative blood
losses of Group-A and Group-B were (150.0+/-6.5) ml and (201.9+/-7.4) ml
respectively (P<0.05). The surgical times were (77.3+/-8.9) minutes and (96.5+/
5.9) minutes respectively (P<0.05). The postoperative rates of complications in
the two groups (4.76% vs. 10.00%) were similar (P>0.05). The primary incision
healing rates of Group-A and Group-B were 97.62% and 82.50% respectively. The
hospitalization stays were (15.7+/-0.9) days and (15.2+/-0.7) days respectively
(P>0.05). The fracture healing times were (30.1+/-2.1) weeks and (32.4+/-2.2)
weeks respectively (P<0.05). The rate of excellent and good Enneking scores one
year after surgery was 97.61% in Group-A and 95.00% in Group-B (P>0.05).
Conclusions: Tumors and tumor-like lesions complicated with pathological
fractures of the lower extremities can be effectively treated by TSF.
PMID- 29805424
TI - Evaluation of Nutritional Status of Elderly Patients Presenting to the Family
Health Center.
AB - Objective: Nutritional problems are one of the most common disorders encountered
in aging, and malnutrition, secondary to insufficient food consumption. Failure
to diagnose malnutrition can increase the risk of illnesses and death. Our
objective was to evaluate the nutritional status of elderly patients aged 65
years and older through a Mini Nutritional Assessment (MNA) scale and to
determine its relationship with laboratory parameters. Methods: This is a single
center cross-sectional study which included 102 patients aged 65 years and older
who presented to the Family Health Center (FHS) in the Cobanlar District in the
Province of Afyon, Turkey. Anthropometric and biochemical measurements of the
patients were obtained, and the MNA scale was administered to the patients using
a face-to-face interview technique. In the statistical analysis of the data,
categorical variables were analyzed using a Chi-square test, normally distributed
data were analyzed using an analysis of variance (ANOVA), continuous variables
with normal distribution comprising independent measurements were analyzed using
an Independent Samples T-test, and data without normal distribution were analyzed
using a Mann-Whitney Rank Sum test. Results: Of the 102 patients included in this
study, 45 (44.1%) were male and 57 (55.9%) were female. The mean age was 74.06+/
7.89 years (min-max: 65-92). Of these patients, 39 (38.2%) were found to have
malnutrition, 19 (18.6%) were at high risk of malnutrition and 44 (43.1%) had a
normal nutritional status. Conclusions: The findings suggest evaluating
nutritional status in individuals aged 65 years and older in the population on a
regular basis to reduce disease risk and mortality.
PMID- 29805425
TI - How good is the obesity associated with blood groups in a cohort of female
university going students?
AB - Objective: To find out frequency of obesity in female University students in
Faisalabad and to investigate its association with blood groups of ABO system.
Methods: A cross sectional study was conducted with a sample size of 200 female
University students, recruited from the Faisalabad based institutes from May 2017
to July 2017. Relevant information was taken by administering questionnaire.
Height in meters and weight in kg were taken by stadiometer. BMI was calculated
using formula BMI=weight in kg/height m2. Blood groups were determined by classic
(antigen-antibody agglutination test). The data was analyzed through SPSS 20.
Descriptive were presented as mean+/- SD and association of BMI with blood groups
was assessed by regression analysis. P value <=0.05 deemed statistically
significant. Results: Out of students, 192 attempted the questionnaire and
participated in study (96% response rate), 30% of the 192 females were obese,
distribution of ABO blood group showed 43%, followed by O, A and AB. 90% were Rh
positive and 10% were Rh negative. Blood group O showed a trend towards obesity
and blood group AB showed a trend towards lean body. Conclusion: The blood group
O showed the significant positive association with obesity. Population with blood
group O showed greatest susceptibility to be overweight and obese.
PMID- 29805426
TI - Expression of aberrant antigens in hematological malignancies: A single center
experience.
AB - Background and Objective: Aberrant phenotype is a phenomenon of abnormal
expression or loss of expression of cell specific lineage marker not associated
with specific cell type. Aberrant phenotype expression due to genetic defects may
be associated with unfavorable outcome. It can be used to determine minimal
residual disease status. The purpose of the study was to find out the occurrence
of aberrant phenotypes in leukemia/lymphoma patients. Methods: One milliliter
peripheral blood or bone marrow samples were analyzed on FACS Calibur
flowcytometer. The cells were lysed and stained following standard protocol. Data
was acquired and analyzed by CellQuest-Pro software. The Antigenic expression was
rated as positive when the percentage of positive blast cells was >= 20%. In that
manner, aberrant phenotype was considered positive when 20% of blast cells show
expression of markers. Results: Of a total 145 cases analyzed, 26 were acute
myeloid leukemia, 71 of acute lymphoblastic leukaemia, 48 were of Chronic
Lymphoid leukemia on the basis of morphological features and confirmed by flow
cytometry. Overall, 19% (28) cases showed aberrant expression of antigens. In 32%
(9/28) AML patients, CD5, CD7, CD64dim, CD10, CD117, CD25 and TdT were expressed
while in 25% (7/28) ALL patients CD33, CD13, HLA-DR and CD3 were detected. Among
chronic leukemia, all aberrant expressions were seen in cases of B-CLL (10/28)
only; with CD11c, CD3 and CD10 as the aberrantly expressed markers. Conclusion:
Variability in aberrant phenotype expression was observed in different types of
acute and chronic leukemia patients with no prognostic implications on treatment
response.
PMID- 29805428
TI - Frequency of depression, anxiety and stress among the undergraduate physiotherapy
students.
AB - Objectives: To assess the frequency of Depression, Anxiety and Stress (DAS) among
the undergraduate physiotherapy students. Methods: A descriptive cross sectional
study was conducted in various Physiotherapy Institutes in Sindh, Pakistan among
undergraduate physiotherapy students. The total duration of this study was 4
months from September, 2016 to January, 2017. Data was collected from 267
students with no physical and mental illness; more than half were female students
75.3%. They were selected through Non probability purposive sampling technique. A
self-administered standardized DASS (depression, anxiety and stress scale) was
used to collect data and result was analyzed using its severity rating index.
Data was entered and analyzed by using SPSS version 21. Descriptive statistics
including the frequency of depression, anxiety, stress and demographic
characteristic of the participant was collected. Results: The mean age of
students was 19.3371+/-1.18839 years. The Frequency of depression, anxiety and
stress found among undergraduates Physiotherapy students was 48.0%, 68.54% and
53.2%, respectively. Conclusions: It was observed that the frequency of
depression, anxiety and stress among physiotherapy undergraduates students were
high. It suggests the urgent need of carrying out evidence based Psychological
health promotion for undergraduate Physiotherapy students to control this growing
problem.
PMID- 29805427
TI - TGFB1 and LAMA1 gene polymorphisms in children with high myopia.
AB - Objective: To investigate TGFB1 and LAMA1 gene polymorphisms in children with
high myopia in order to determine the genetic basis of large myopic shifts
causing severe visual impairment and complications. Methods: Seventy-four
children with high myopia (>=6 diopters [D]; study group) and 77 emmetropic
children (+/-0.5D; control group) were included. Genetic and polymorphism
analyses were performed in the Medical Genetics Laboratory using DNA purified
from the patients' blood samples. Results: Mean ages of the patients were 7.1+/-3
(3-13) and 9.6+/-1.8 (6-13) years in the study and control groups, respectively.
Mean refraction in the high myopia group were -10.1+/-4.3D in the right and
8.9+/-3.6D in the left eye. LAMA1 gene analysis of the study group revealed
heterozygous mutations in 34 patients (45.9%), homozygous mutations in 25
patients (33.8%), and no mutations in the remaining 15 patients (20.3%). In the
control group, there were 31 subjects (40.3%) with heterozygous, 27 (35.1%) with
homozygous LAMA1 mutations, and no mutations in 19 (24.7%) (p=0.73). TGFB1 gene
analysis showed heterozygous mutations in 32 (43.2%) and homozygous mutations in
10 patients (13.5%) in the study group, while 32 patients (43.2%) had no
mutations. In the control group, 35 subjects (45.5%) had heterozygous, 8 (10.4%)
had homozygous, and 34 (44.1%) had no TGFB1 mutations (p=0.36). Conclusion: This
is the first study to simultaneously examine two genes in high myopia in a
Turkish population. However, we observed no significant differences in TGFB1 and
LAMA1 gene polymorphisms in patients with high myopia compared to healthy
subjects.
PMID- 29805429
TI - Cost analysis of medical device spare parts.
AB - Objective: To establish estimation method on budget management of medical device
spare parts and to evaluate the cost of medical device spare parts in affiliated
hospitals of Istanbul Public Hospital Unions (PHUs). Methods: While this
evaluation was performed, the relationship between paid cost for spare parts
according to technological development level of device groups and total inventory
value was used. Spare part cost analysis was carried out by using the normalized
weighted arithmetic average method. Cost analysis of medical equipment spare
parts of Istanbul PHUs was performed by using the data retrieved from Ministry of
Health Business Intelligence Decision Support System for spending of spare parts
in 2015. Results: The medical device spare part groups were categorized based on
technological development. Among 1 to 6 PHUs, the cost ratios were acquired for
high, middle, low and simple technology group as 17.31 - 40.08%, 29.14 - 43.36%,
22.62 - 27.44% and 8.16 - 11.89%, respectively. The ratio between the spare part
and total inventory costs for 1-6 PHUs were calculated as 1.66%, 2.87%, 3.03%,
3.31%, 2.57% and 4.69% respectively. Expected rates based on normalized weighted
method were obtained as follows; 5.76%, 4.67%, 5.31%, 4.87%, 4.34% and 4.27%.
Conclusion: The expenditure analysis and budget planning for medical device spare
parts in PHU could be predicted more accurately by taking into consideration the
expected rate calculated by the normal weight method. In additon, the importance
of Clinical Engineering Service Units in management of medical devices has been
determined.
PMID- 29805430
TI - Zinc level assessment in patients having Viral Cirrhosis.
AB - Objective: Zinc is a vital trace element and its deficiency in cirrhosis might
potentiate the development of hepatic encephalopathy. The objective of this study
was to assess the zinc levels in serum of patients having viral cirrhosis and
compare it with normal healthy controls. Methods: This study was conducted in
Department of Gastroenterology, Liaquat National hospital and medical college,
Karachi, Pakistan; from January 2014 to December 2014. Total of 45 patients with
the mean age of 52.44+/-8.7 years were included. The three groups of patients
were made including Child Pugh Class Score B (Group-1), Child Pugh Class C (Group
2) and healthy controls (Group-3) having 15 patients in each group. Zinc levels
in serum were evaluated by the help of atomic absorption spectrometry (Normal
range50-150 ug/dl). Results: Total of 45 subjects was enrolled in this study.
Overall prevalence of zinc deficiency was noted in 13(28.9%) patients. Mean value
of zinc levels in group 1,2 and 3 were 68.09+/-20.85, 50.69+/-15.86 and 92.91+/
17.18ug/dL respectively. Highly statistical difference was observed in the mean
zinc level between three groups p=0.0001. An inverse correlation was observed
between Child Pugh Score and the zinc level in serum r=-0.498. Conclusion:
Patients suffering from advanced cirrhosis appeared to have lower serum zinc
levels. In patients suffering from viral cirrhosis having hepatic encephalopathy,
zinc supplementation might improve clinical outcome.
PMID- 29805431
TI - Evaluation of the effects of digital play addiction on eating attitudes.
AB - Objective: To evaluate the impact of digital game addiction on the eating habits
of adolescents aged between 9 and 15. Methods: This cross-sectional study was
conducted as a survey of 972 middle school students. All data was evaluated with
SPSS 22 software, and p<0.05 was accepted as statistically significant. Results:
The mean age of the students was 12.0+/-1.29. It was found that male students
spent more time playing digital games than the females and the more they played,
the higher the risk level of their Eating Habit Index became, and the difference
for both groups was statistically significant (p<0.001). It was also determined
that students from the houses with easy access to internet tend to play digital
games for longer periods of time (p<0.001). Conclusion: As a result, children at
adolescence age need to be taught which games, how long and when to play and be
able to limit them instead of getting banned from playing. We are of the opinion
that subjects of digital game addiction and appropriate and efficient use of
computers need to be included in the curriculum within the scope of Media
Literacy and Information Technologies and Software lessons in order to raise the
children's awareness.
PMID- 29805432
TI - Collating Spirometry reference values in Asian children and Adolescents; puzzle
out the reasons for variations.
AB - Lung function tests are essential for the diagnosis and management of different
respiratory tract diseases; among them the spirometry is the gold standard
technique. The accurate diagnosis, management and monitoring require proper
interpretation of the results which depends upon the availability of spirometry
reference data for that particular region to differentiate the diseased condition
from the normal ones. Multiple studies had been done to find out their own area
specific reference ranges but it is still lacking. This need was fulfilled by the
Global Lung Function Initiative (GLI) in 2012, which reported the first global
spirometry equation for all of the age groups. But some of the studies reported
difference among GLI reference range and the measured range for that particular
region. So here is the review of the reference ranges among 35,603 Asian children
and adolescents from the 32 studies done specifically in Asia. The aim was to
compare them with the study done by GLI team, along with these, tried to rule out
the causal factor that are responsible for the variations in the reference ranges
among the children and adolescents of different population. The literature was
searched by using Google scholar and PubMed during the month of March up to July
2017. The review of all the articles published in Asia, specifically accounting
for normal reference ranges in children and adolescent exhibit a wide variation
among the reference ranges. This also suggest involvement of multiple modifiable
and non-modifiable risk factors. So it's necessary to update the reference ranges
for spirometry and its prediction equation as well.
PMID- 29805433
TI - Prevalence of diabetic retinopathy in Pakistan; A systematic review.
AB - Objectives: Primary aim was to review the literature on the prevalence of
diabetic retinopathy (DR) and Vision threatening diabetic retinopathy (VTDR) in
Pakistan. Methods: A search of the bibliographic databases (Medline, Pub med, and
Google scholar) was conducted from 1990 to March 2017. Articles about prevalence
of DR and VTDR in Pakistan were retrieved and scrutinized. The studies satisfying
the inclusion/exclusion criteria were considered for detail review. Results:
Forty one articles on prevalence of DR were traced out. Exclusion and inclusion
criteria were met in 29 studies. In selected studies (29), pooled Prevalence of
DR was found to be 28.78% with a variation of 10.6% to 91.3%. Out of 29 studies,
DR was classified in 19 studies. Pooled Prevalence of VTDR in these 19 studies
was found to be 28.2% (variation of 4% to 46.3%) of patient with retinopathy and
8.6% of all diabetics. Conclusion: A great variation in the values of DR and VTDR
was observed in this study. Researchers suggest a community based study with
uniform methodology to find out a comparable value of prevalence of DR and VTDR
in all provinces of Pakistan.
PMID- 29805434
TI - Orthodontic management of a patient with Schwartz-Jampel Syndrome.
AB - The orthodontic diagnosis and comprehensive management of a 12.5-year-old Saudi
girl, diagnosed with Schwartz-Jampel Syndrome (SJS) is presented. SJS is a rare
autosomal recessive disorder that affects musculoskeletal structures of the body
with clinical manifestations in the maxillofacial region. While few reports in
the literature have discussed the dental aspects of the syndrome, this paper
attempts to describe the full course of a two-phase comprehensive orthodontic
treatment, and discuss its findings.
PMID- 29805435
TI - Acquired Labial Adhesion in a Reproductive-Aged woman secondary to Systemic Lupus
Erythematosus.
AB - Labial adhesion is a rare condition in reproductive-aged women. There are only a
few reported cases of labial adhesion in this period of woman's life. We herein,
present a case of a 22-year-old G1P1 woman with a thick and fibrous labial
adhesion. The labial adhesion was excised, and the labial mucosa was sutured
under local anaesthesia. Her hormonal profile (FSH, LH and estrogen levels) was
found to be normal. The patient was later diagnosed with systemic lupus
erythematosus (SLE) by a rheumatologist. It is the first case report that shows a
relationship between SLE and labial adhesion.
PMID- 29805436
TI - Planned Second look laparotomy in neonatal volvulus - A safe approach for bowel
salvage.
AB - Midgut volvulus can result in gangrene and loss of large segments of intestine.
After correction of volvulus the viability of intestine may improve and if given
sufficient time a large portion of intestine may be saved. A planned second look
laparotomy in babies with volvulus and doubtful gut viability can be helpful in
saving large bowel segment. We present a case of a newborn baby admitted with
bilious vomiting, abdominal distension and melena. An urgent exploratory
laparotomy showed midgut volvulus with near gangrene of about 35 cm of proximal
jejunum and bluish discoloration of the rest of the small bowel. After de
rotation and warm packs, the vascularity of ileum & distal jejunum returned to
normal, however the proximal jejunum remained dusky and bruised. Ladd's procedure
was done and a decision was made to close the abdomen with a plan for a 2nd look
laparotomy after 24 hours. A relook laparotomy performed after 24 hours showed, a
well vascularized small bowel. No bowel resection was required and abdomen was
closed. The baby improved and was discharged in stable condition with no long
term ischemic complications. This case indicates that a second look laparotomy
may provide time for revascularization of the intestine with doubtful vascularity
and avoid unnecessary bowel resection.
PMID- 29805437
TI - Proceedings of 4th National Conference of Pakistan Association of Medical Editors
held at Khyber Medical University, Peshawar (March 3-4, 2018).
PMID- 29805438
TI - Cryo-Imaging and Software Platform for Analysis of Molecular MR Imaging of
Micrometastases.
AB - We created and evaluated a preclinical, multimodality imaging, and software
platform to assess molecular imaging of small metastases. This included
experimental methods (e.g., GFP-labeled tumor and high resolution multispectral
cryo-imaging), nonrigid image registration, and interactive visualization of
imaging agent targeting. We describe technological details earlier applied to GFP
labeled metastatic tumor targeting by molecular MR (CREKA-Gd) and red fluorescent
(CREKA-Cy5) imaging agents. Optimized nonrigid cryo-MRI registration enabled
nonambiguous association of MR signals to GFP tumors. Interactive visualization
of out-of-RAM volumetric image data allowed one to zoom to a GFP-labeled
micrometastasis, determine its anatomical location from color cryo-images, and
establish the presence/absence of targeted CREKA-Gd and CREKA-Cy5. In a mouse
with >160 GFP-labeled tumors, we determined that in the MR images every tumor in
the lung >0.3 mm2 had visible signal and that some metastases as small as 0.1 mm2
were also visible. More tumors were visible in CREKA-Cy5 than in CREKA-Gd MRI.
Tape transfer method and nonrigid registration allowed accurate (<11 MUm error)
registration of whole mouse histology to corresponding cryo-images. Histology
showed inflammation and necrotic regions not labeled by imaging agents. This
mouse-to-cells multiscale and multimodality platform should uniquely enable more
informative and accurate studies of metastatic cancer imaging and therapy.
PMID- 29805440
TI - Image-Guided Rendering with an Evolutionary Algorithm Based on Cloud Model.
AB - The process of creating nonphotorealistic rendering images and animations can be
enjoyable if a useful method is involved. We use an evolutionary algorithm to
generate painterly styles of images. Given an input image as the reference
target, a cloud model-based evolutionary algorithm that will rerender the target
image with nonphotorealistic effects is evolved. The resulting animations have an
interesting characteristic in which the target slowly emerges from a set of
strokes. A number of experiments are performed, as well as visual comparisons,
quantitative comparisons, and user studies. The average scores in normalized
feature similarity of standard pixel-wise peak signal-to-noise ratio, mean
structural similarity, feature similarity, and gradient similarity based metric
are 0.486, 0.628, 0.579, and 0.640, respectively. The average scores in
normalized aesthetic measures of Benford's law, fractal dimension, global
contrast factor, and Shannon's entropy are 0.630, 0.397, 0.418, and 0.708,
respectively. Compared with those of similar method, the average score of the
proposed method, except peak signal-to-noise ratio, is higher by approximately
10%. The results suggest that the proposed method can generate appealing images
and animations with different styles by choosing different strokes, and it would
inspire graphic designers who may be interested in computer-based evolutionary
art.
PMID- 29805439
TI - The Hepatoprotection by Oleanolic Acid Preconditioning: Focusing on PPARalpha
Activation.
AB - Objective: Previous studies have characterized the hepatoprotective and anti
inflammatory properties of oleanolic acid (OA). This study aimed to investigate
the molecular mechanisms of OA hepatoprotection in concanavalin A- (ConA-)
induced acute liver injury. Materials and Methods: ConA (20 mg/kg) was
intravenously injected to induce acute liver injury in Balb/C mice. OA
pretreatment (20, 40, and 80 mg/kg) was administered subcutaneously once daily
for 3 consecutive days prior to treatment with ConA; 2, 8, and 24 h after ConA
injection, the levels of serum liver enzymes and the histopathology of major
factors and inflammatory cytokines were determined. Results: OA reduced the
release of serum liver enzymes and inflammatory factors and prevented ConA
mediated damage to the liver. OA elevated the expression levels of peroxisome
proliferator-activated receptor alpha (PPARalpha) and decreased the
phosphorylation of c-Jun NH2-terminal kinase (JNK). Conclusion: OA exhibits anti
inflammatory properties during ConA-induced acute liver injury by attenuating
apoptosis and autophagy through activation of PPARalpha and downregulation of JNK
signaling.
PMID- 29805442
TI - Endoscopic Band Ligation Is Able to Close Perforations Caused by Colonoscopy: A
Porcine Model Study.
AB - Objective: Diagnostic colonoscopy is important for diagnosing colorectal
diseases, including inflammatory bowel disease and colorectal tumours.
Perforation during diagnostic colonoscopy, a rare but serious complication, is a
considerable factor before performing the procedure. Immediate endoluminal
closure of a perforation could prevent the adverse consequences associated with
general anaesthesia and surgery. This study is aimed at assessing the potential
effectiveness and safety of endoscopic band ligation (EBL) in closing a colon
perforation during endoscopy in a porcine model. Methods: Colon perforations were
created and then subsequently closed with EBL in six porcine models. After 28
days of careful follow-up, pigs were euthanized for clinical and pathologic
evaluations. Results: All colon perforations were successfully closed using EBL
in pigs. The mean time of perforation closure with EBL was 244.3 seconds with one
to two bands, and there were no immediate complications or clinical
manifestations of peritonitis or sepsis in any animals. No pericolonic abscess or
peritonitis was found during necropsy. Histopathology demonstrated
reepithelialization of the mucosa at the perforation site. Conclusions: Immediate
closure of perforations caused during colonoscopy with EBL is feasible and safe
in a porcine model.
PMID- 29805441
TI - Role of "Second Look" Lymph Node Search in Harvesting Optimal Number of Lymph
Nodes for Staging of Colorectal Carcinoma.
AB - As with other malignancies, lymph node metastasis is an important staging element
and prognostic factor in colorectal carcinomas. The number of involved lymph
nodes is directly related to decreased 5-year overall survival for all pT stages
according to United States Surveillance, Epidemiology, and End Results (SEER)
cancer registry database. The National Quality Forum specifies that the presence
of at least 12 lymph nodes in a surgical resection is one of the key quality
measures for the evaluation of colorectal cancer. Therefore, the harvesting of a
minimum of twelve lymph nodes is the most widely accepted standard for evaluating
colorectal cancer. Since this is an accepted quality standard, a second attempt
at lymph node dissection in the gross specimen is often performed when the
initial lymph node count is less than 12, incurring a delay in reporting and
additional expense. However, this is an arbitrary number and not based on any
hard scientific evidence. We decided to investigate whether the additional effort
and expense of submitting additional lymph nodes had any effect on pathologic
lymph node staging (pN). We identified a total of 99 colectomies for colorectal
cancer in which the prosector subsequently submitted additional lymph nodes
following initial review. The mean lymph node count increased from 8.3 +/- 7.5 on
initial search to 14.6 +/- 8.0 following submission of additional sections. The
number of cases meeting the target of 12 lymph nodes increased from 14 to 69.
Examination of the additional lymph nodes resulted in pathologic upstaging (pN)
of five cases. Gross reexamination and submission of additional lymph nodes may
provide more accurate staging in a limited number of cases. Whether exhaustive
submission of mesenteric fat or fat-clearing methods is justified will need to be
further investigated.
PMID- 29805443
TI - Can Preoperative Examination Help Choose the Best Surgical Procedure in Gastric
Cancer?
AB - Aim: Gastrectomy with lymph node dissection is standard treatment in gastric
cancer. This study aimed to explore whether preoperative investigation finds
could predict lymph node metastatic scope in gastric carcinoma so that the
optimal surgical procedure could be selected. Materials and Methods: Radical
gastrectomy patients (n = 378) were separated into two groups according to the
lymph node metastatic scope. Univariate and multivariate analyses of preoperative
examination results were performed to identify the predictors of metastatic
scope. ROC curves were constructed, and the area under the curve (AUC) was
calculated to estimate diagnostic values. Results: Serum CEA (OR: 3.73; 95% CI:
1.84-7.56; P <= 0.001), tumor size (OR: 2.07; 95% CI: 1.08-3.98; P = 0.03), and
CT examination results (OR: 17.81; 95% CI: 9.18-34.55; P <= 0.001) were
identified as independent predictors. The AUC proved that they possessed
significant diagnostic value. When CT examination was negative, the combination
of serum CEA and tumor size showed high specificity (95.3%; 164/172), negative
predictive value (92.7%; 164/177), and accuracy (89.0%; 170/191). Conclusions:
Preoperative serum CEA, tumor size, and CT examination are independent predictors
of lymph node metastatic scope and can be used for selecting the appropriate
lymphadenectomy pattern in gastric cancer patients.
PMID- 29805444
TI - Efficacy of Transarterial Chemoembolisation with or without Antiviral Therapy for
Patients with Hepatocellular Carcinoma after Radical Hepatectomy.
AB - Objective: This study aimed at assessing the effects of transcatheter arterial
chemoembolisation (TACE) and antiviral therapy on improving the prognosis of
patients with hepatocellular carcinoma (HCC) after radical hepatectomy. Methods:
This study reviewed the data of 120 patients with HCC who received either radical
hepatectomy alone (control group), radical hepatectomy with postoperative TACE
(TACE group) or radical hepatectomy with combined postoperative TACE, and
antiviral therapy (combined group) from January 2000 to May 2015. To reduce the
impact of the possible biases on the conclusion of this study to the minimum, the
cases with similar demographic and clinicopathological characteristics were
collected and 40 cases were assigned into each group. Recurrence, disease-free
survival (DFS), and overall survival (OS) rates were compared. Results: Median
follow-up period was 54.26 +/- 22.65 months with a range of 17-110 months.
Recurrence after radical surgery was observed for 39 (97.5%) patients in the TACE
group, 32 (80%) in the combined group, and 40 (100%) in the control group with
median recurrence duration of 33, 43, and 16.5 months, respectively.
Postoperative TACE with or without antiviral therapy significantly prolonged the
DFS rate compared with radical hepatectomy alone (P = 0.000). TACE combined with
antiviral therapy significantly extended the DFS rate compared with TACE alone (P
= 0.008). Postoperative TACE with or without antiviral therapy also significantly
prolonged the OS rate compared with radical hepatectomy alone (P = 0.000). In
addition, antiviral therapy combined with TACE significantly extended the 5-year
OS rate of patients compared with individual TACE and radical hepatectomy (67.5%
versus 55% and 2.5%; P = 0.032). Conclusion: TACE is an appropriate therapy for
HCC patients after radical hepatectomy. When combined with antiviral therapy,
this treatment may further prolong the recurrence time and thus lead to high DFS
and OS rates.
PMID- 29805445
TI - Colonic Abnormalities in Manitoban Children with Helicobacter pylori Gastritis.
AB - Objectives: Association between Helicobacter pylori (H. pylori) and colonic
pathology is underinvestigated. The aim of this work was to examine the
prevalence and nature of colonic changes in children diagnosed with H. pylori
gastritis. Methods: A comprehensive retrospective review of the medical records
for all Manitoban children (<=17 years) diagnosed with H. pylori gastritis from
January 1996 to May 2015 was conducted. Children with H. pylori gastritis who had
colonoscopy were identified. Patients' demographics, indications for colonoscopy,
laboratory and endoscopic findings, and colonic histopathological abnormalities
were documented. Results: A total of 231 children were found to have H. pylori
gastritis. The mean age at diagnosis was 12.3 +/- 4.1 years; 108 (46.6%) were
girls. Of the 231 patients, 37 (16%) patients were found to have colonoscopy
performed. Indications for colonoscopy included bleeding per rectum, significant
weight loss, and hypoalbuminemia. Twenty-two (59%) of 37 children who had
colonoscopy had significant endoscopic and histopathological findings on
colonoscopy including polyposis and colitis. Boys with colonic changes were
diagnosed at an earlier age compared to those without (11.5 +/- 7.0 versus 15.0
+/- 2.0, p < 0.049). Conclusions: Our study may suggest a possible association
between H. pylori and a subset of colonic changes in children.
PMID- 29805446
TI - The Development of Endoscopic Techniques for Treatment of Walled-Off Pancreatic
Necrosis: A Single-Center Experience.
AB - Background: Endotherapy is a common method of treatment in patients with
symptomatic walled-off pancreatic necrosis (WOPN). The aim of this study is to
indicate the potential therapeutic possibilities created by the combination of
several new endoscopic techniques and the evaluation of their efficacy in the
treatment of WOPN. Methods: The retrospective analysis of results and
complications in the group of 101 patients, who underwent endoscopic treatment of
symptomatic WOPN between years 2011 and 2015. Results: Endoscopic treatment was
started in 101 patients (71 men, 30 women; mean age 50.97 years) with symptomatic
WOPN. Single transluminal gateway technique (SGT) was used in 93/101 (92.08%)
patients. SGT in combination with multiple transluminal gateway technique (MTGT)
was exploited in 4/93 (4.30%) patients, while in combination with single
transluminal gateway transcystic multiple drainage (SGTMD) in 22/93 (23.66%)
patients. Transpapillary access was used in 11/101 (10.89%) patients. 20/101
(19.80%) patients underwent percutaneous drainage. Fluoroscopy-guided endoscopic
necrosectomy was performed in 19/101 (18.81%) patients. The combinations of
endoscopic techniques depended on the extent of necrosis. Procedure-related
complications occurred in 16/101 (15.84%) patients. The mortality rate was 0.99%
(1/101 patient). Therapeutic success was achieved in 99/101 (98.02%) patients.
The long-term success of endoscopic treatment was achieved in 97/101 (96.04%)
patients with symptomatic WOPN. Conclusions: Application of new endoscopic
techniques in the treatment of the patients with symptomatic WOPN significantly
improves the efficiency of endotherapy with an acceptable amount of
complications.
PMID- 29805448
TI - Studies on the Dual Cytotoxicity and Antioxidant Properties of Berberis vulgaris
Extracts and Its Main Constituent Berberine.
AB - The present study attempts to investigate the cytotoxic activity of ethanol and
ethyl acetate extracts of the Moroccan Berberis vulgaris and its major component
berberine, together with exploring their antioxidant properties. It also consists
of studying the combination effect of berberine and S-nitroso-N
acetylpenicillamine (SNAP), a nitric oxide (NO) donor, against the human breast
adenocarcinoma cell line (MCF-7). Using the MTT assay, we report a differential
cytotoxic effect of ethanol and ethyl acetate extracts since the ethanol extract
is more cytotoxic than the ethyl acetate one, with IC50 = 3.54 MUg/mL and 596.71
MUg/mL, respectively. Interestingly, no cytotoxic effect was observed against
normal cells. Furthermore, these extracts showed a remarkable antioxidant
activity as measured by the DPPH free radicals scavenging assay. In fact, the
IC50 values are 69.65 MUg/mL and 77.75 MUg/mL for the ethanol and ethyl acetate
extracts, respectively. In addition, several concentrations of berberine, when
combined with the NO donor used at IC30, induced a synergistic cytotoxic activity
at concentrations ranging from 8.40 MUM to 33.60 MUM, as revealed by the
combination index values, using the Chou-Talalay method. However, at the other
concentrations tested, an antagonistic effect was observed. The observed
cytotoxicity was related to apoptosis induction as demonstrated by the annexin-V
streptavidin FITC-staining analysis.
PMID- 29805447
TI - Effects of Late Evening Snack on Cirrhotic Patients: A Systematic Review and Meta
Analysis.
AB - Background: Energetic effects of late evening snack (LES) on cirrhotic patients
were reported recently, but there was no quantitative analysis. In this meta
analysis, we reviewed and quantified the effects of LES on energy metabolism and
substrate oxidation in the patients with cirrhosis, which will be of benefit for
liver cirrhosis nutritional therapy. Methods: A systematic search was conducted
in PubMed, Embase, Web of Science, Elsevier, China National Knowledge
Infrastructure, and Wanfang Database for relevant trials published until July
2017. These studies statistically were combined and analyzed by RevMan 5.3.
Results: Fourteen trials comprising 478 cases were eligible for analysis. The
results showed that the respiratory quotient value (MD = 11.09) and carbohydrate
oxidation value (MD = 0.05) significantly elevated with one week or with up to
three weeks of LES treatment in cirrhotic patients (P < 0.05). Meanwhile, the
levels of serum albumin (MD = 2.98) and cholinesterase (SMD = 1.09) were
increased with LES administration for three weeks or that lasting twelve weeks (P
< 0.05). However, there was no significant improvement for the levels of alanine
aminotransferase (ALT) (P = 0.53), aspartate aminotransferase (AST) (P = 0.96),
and total bilirubin (TB) (P = 0.32). Conclusions: LES could improve the energy
malnutrition state of cirrhotic patients. However, it may have little effect on
reducing liver parenchymal injury indexes such as serum aminotransferase.
PMID- 29805449
TI - Comparison of Postoperative Short-Term Outcomes between Tension-Free Vaginal Mesh
Surgery Using the CapioTM SLIM Suture Capturing Device and Conventional TVM
Surgery for Pelvic Organ Prolapse.
AB - Aim: We compared the short-term effectiveness of tension-free vaginal mesh (TVM)
surgery using the Capio SLIM suture capturing device and conventional TVM surgery
for treatment of pelvic organ prolapse. Methods: We retrospectively compared
postoperative pain, urinary function, and length of hospital stay between 7
patients who underwent TVM surgery using the Capio device and 9 patients who
underwent conventional TVM surgery. Results: There was no significant between
group difference in mean age between the Capio TVM group and the conventional TVM
group (76.0 +/- 5.6 years and 72.5 +/- 11.5 years) or in mean operating time
(86.56 +/- 23.33 min and 95.28 +/- 23.88 min). Four of the 7 patients in the
Capio TVM group could not sense the urge to urinate after removal of the urethral
catheter, but all patients in the conventional TVM group did so. The volume of
the first voluntary urination was significantly smaller in the Capio TVM group
than that in the conventional TVM group (102.14 +/- 80.57 mL versus 472.22 +/-
459.43 mL). The mean residual urine volume after the first voluntary urination
was greater in the Capio TVM group than that in the conventional TVM group
(285.70 +/- 233.82 mL versus 34.56 +/- 73.31 mL). The number of catheter days and
mean maximal volume of residual urine were significantly greater in the Capio TVM
group. The mean postoperative hospital stay was 6.57 +/- 1.83 days in the Capio
TVM group and 3.2 +/- 0.42 days in the conventional TVM group. Six patients who
underwent Capio TVM surgery complained of deep-seated pain in the hip region.
Conclusion: Urinary function may worsen postoperatively when the Capio TVM device
is used in patients with pelvic organ prolapse.
PMID- 29805450
TI - Cancer Antigen 125 during Pregnancy in Women without Ovarian Tumor Is Not Often
Rising.
AB - Objective: To determine the percentage of rising serum cancer antigen (CA-125) in
singleton pregnant women whose ultrasonographical findings were normal. Methods:
Singleton pregnant women who received antenatal care at our institute with a
normal ultrasonographical examination in their first and/or second trimester were
invited to participate in blood testing for CA-125. The conditions that might
affect the CA-125 level were excluded. The normal level of CA-125 was defined as
<=35 U/ml. Results: 136 pregnant women met the inclusion criteria. Of these
cases, 87 cases received a blood test for CA-125 in both their first and second
trimesters while 46 and 3 cases received a blood test for CA-125 in only the
first and second trimester, respectively. The median serum CA-125 levels in the
first and second trimester were 16.44 (range 5.94-77.54) U/ml and 16.76 (range
5.26-35.81) U/ml, respectively. Only 9.1% of the studied patients showed an
abnormal CA-125 level in the first trimester period and only one case showed an
abnormal CA-125 level in the second trimester period. Conclusion: Few of normal
pregnancies showed rising CA-125. Therefore, when it elevated in pregnant women,
other causes such as the adnexal lesion should be investigated.
PMID- 29805451
TI - Effect of Japanese Kampo Medicine Therapy for Menopausal Symptoms after Treatment
of Gynecological Malignancy.
AB - Loss of ovarian function by the treatment for gynecological malignancy results in
a drastic decrease of estrogen causing physical and mental symptoms. The purpose
of this study is to evaluate the effect of Japanese Kampo Kamikihito (KKT) and
Kamishoyosan (KSS) on menopausal symptoms in gynecological cancer patients.
Patients who had menopausal symptoms after gynecologic malignancy treatment were
enrolled and randomly divided into a KKT or a KSS group. Kupperman Menopausal
Index (KI) questionnaires were obtained before tumor treatment, at baseline, and
at 4 and 8 weeks. Changes in KI scores and severity of each symptom were
evaluated. A total of 33 patients were enrolled: 18 in the KKT group and 15 in
the KSS group. The KI scores significantly decreased at 4 and 8 weeks compared
with baseline in both groups. Although no significant difference was found in
change in KI scores between the KKT and KSS groups, efficacy showed some
differences. Both KKT and KSS were effective for insomnia, vertigo, and
palpitation. KSS was also effective for vasomotor symptoms and
arthralgia/myalgia. In conclusion, both KKT and KSS were effective for menopausal
symptoms in patients after gynecological tumor treatment. Tailor-made Kampo
therapy may contribute to improve patients' physical and mental symptoms.
PMID- 29805452
TI - Prevalence and Factors Associated with Hydatidiform Mole among Patients
Undergoing Uterine Evacuation at Mbarara Regional Referral Hospital.
AB - Objective: We sought to determine the prevalence of and factors associated with
hydatidiform molar gestations amongst patients undergoing uterine evacuation at
Mbarara Regional Referral Hospital (MRRH), Mbarara, Uganda. Methods: This was a
cross-sectional study carried out from November 2016 to February 2017. All
patients admitted for uterine evacuation for nonviable pregnancy were included.
The study registered 181 patients. Data were collected on sociodemographics,
medical conditions, obstetrics, and gynecological factors. The evacuated tissue
received a full gross and histopathologic examination. Cases of pathologically
suspected complete hydatidiform mole were confirmed by p57 immunohistochemistry.
Data were analyzed using STATA 13. Results: The prevalence of hydatidiform mole
was 6.1% (11/181). All detected moles were complete hydatidiform moles, and there
were no diagnosed partial hydatidiform moles. Clinical diagnosis of molar
pregnancy was suspected in 13 patients, but only 69.2% (9/13) were confirmed as
molar pregnancies histologically. Two cases were clinically unsuspected. Factors
that had a significant relationship with complete hydatidiform mole included
maternal age of 35 years and above (aOR 13.5; CI: 1.46-125.31; p=0.00),
gestational age beyond the first trimester at the time of uterine evacuation (aOR
6.2; CI: 1.07-36.14; p=0.04), and history of previous abortion (aOR 4.3; CI: 1.00
18.57; p=0.05). Conclusion: The prevalence of complete hydatidiform mole was high
at 6.1%. Associated risk factors included advanced maternal age (35 years and
above), history of previous abortions, and gestational age beyond the first
trimester at the time of evacuations. Recommendations: We recommend putting in
place capacity to do routine histopathological examination of all products of
conception especially those at high risk for a molar gestation either by clinical
suspicion or by risk factors including advanced maternal age, advanced
gestational age, and history of previous abortion because of high prevalence of
complete mole.
PMID- 29805453
TI - Factitious Hypoglycemia Caused by a Unique Pattern of Drug Use: A Case Report.
AB - Introduction: Factitious hypoglycemia, caused by the surreptitious use of insulin
and sulfonylureas, is one of the most challenging differential diagnoses of
hypoglycemia. Diagnosis is usually established via exclusion with respect to the
special patterns of plasma insulin and C-peptide during hypoglycemic episodes.
Case Presentation: We report a case of recurrent hypoglycemic episodes and
confusing patterns of insulin and C-peptide levels. In the primary evaluations,
insulinoma was suspected considering the high plasma concentrations of insulin
and C-peptide, besides negative urine and plasma sulfonylureas during
hypoglycemic episodes. Considering the normal imaging studies and refractory
hypoglycemia to medical therapy, distal pancreatectomy was performed. The patient
had no episodes of hypoglycemia after the surgery. Five months later, similar
episodes recurred. Further investigations revealed different plasma
concentrations of insulin and C-peptide in each hypoglycemic episode. Regarding
various biochemical patterns during hypoglycemia and absence of evidence
supporting other differential diagnoses, we suspected factitious causes. Close
observation revealed that the patient had a history of intermittent glyburide
consumption and analog insulin injection. Discussion: Most commercial insulin
immunoassays can only detect human insulin and lack the ability to identify
synthetic analog insulin. In addition, common detection methods for sulfonylureas
and meglitinides are of low diagnostic value in the human plasma and urine. These
laboratory defects can lead to the misdiagnosis of insulinoma or noninsulin
mediated hypoglycemia due to the surreptitious use of insulin secretagogues or
analog insulin, respectively. Therefore, due to the lack of any definitive
laboratory findings, clinical suspicion is the best strategy for diagnosis.
PMID- 29805454
TI - 3-D computed tomography reconstruction: another tool to teach anatomy in the
veterinary colleges.
PMID- 29805455
TI - Correlation between neonatal calf diarrhea and the level of maternally derived
antibodies.
AB - Passively acquired antibodies through colostrum will protect calves against
etiological agents of neonatal calf diarrhea. Among them enteric diseases due to
strains of Enterotoxigenic Escherichia coli (ETEC) are the most commonly
occurring form of colibacillosis in newborn calves. Specific antibodies against
whole ETEC cells and total immunoglobulin G in dam serum, colostrum and calf
serum were determined. There were significant differences (P=0.0005) between
antibody titers in normal and diarrheic groups, in which diarrheic group had a
higher titer. Total IgG concentration in diarrheic calves (20.86 +/- 0.49), their
dams (23.48 +/- 0.54) and colostrum (33.40 +/- 0.50) was less than normal group
(P=0.0005). There was a highly significant positive correlation between dam total
IgG with calf total IgG (r=0.022; ratio=52.11). Colostral anti-E. coli antibody
had a highly significant positive correlation with anti-E. coli in calf serum
(r=0.345; ratio=0.62). Anti-E. coli antibody in calf serum had a highly
significant negative correlati with total IgG of dam serum, colostrum and calf
serum. While the level of anti-E. coli antibodies in diarrheic group was
considerably higher than normal group, our findings reported here are in
agreement that immunity to diarrhea also might be correlated with maternal cells
or cellular components as well as cytokines which are transferred by colostrum to
neonatal calves. Nevertheless, the level of maternally derived antibodies is a
promising indicator for passive immunity and protection against diarrhea in
neonatal calves.
PMID- 29805456
TI - The roles of cytochrome P450 and P-glycoprotein in the pharmacokinetics of
florfenicol in chickens.
AB - The effects of three selective oral inhibitors, fluvoxamine (FLU), ketoconazole
(KET), and verapamil (VER), on the pharmacokinetics (PK) of florfenicol (FFC)
were investigated in chickens. The chickens were administered orally with saline
solution (SAL), FLU (60 mg/kg), KET (25 mg/kg), or VER (9 mg/kg) for 7
consecutive days. Florfenicol was given to the chickens at a single dose of 30
mg/kg orally. Blood samples were collected from each chicken at 0 to 12 h post
administration of FFC. The plasma concentration of FFC was analyzed by high
performance liquid chromatography (HPLC). The AUC of FFC increased and the CLs of
FFC decreased with oral co-administration of KET in chickens, and the Cmax of FFC
increased with VER. While the AUC, the CLs and the Cmax of FFC were all
invariable with FLU. These data suggested that CYP 3A played a key role in the PK
of FFC in chickens, however, P-glycoprotein (P-gp) and CYP 1A did not. The
results imply that the adverse drug-drug interaction may occur in the use of FFC
if the co-administrated drugs are the substrates, inducers or inhibitors of CYP
3A or/and P-gp.
PMID- 29805457
TI - Effect of time of eCG administration on the fate of ovarian follicle in Holstein
heifers.
AB - The objective of this study was to investigate the effect of equine chorionic
gonadotropin (eCG) on ovarian follicles at three stages of development
(emergence, dominance and early static phases) during the first follicular wave
(FFW) in Holstein heifers. Heifers (n=20) were randomly assigned into four
experimental groups (n=5 in each group). Heifers received eCG (500 IU;
Folligon(r); Intervet, Holland; i.m) a) on the day of follicle emergence (day of
ovulation; group 1), b) on the dominant phase (dominant follicle (DF): the first
day in which follicle was observed at >=10 mm; group 2, and c) on the early
static phase (group 3) of the FFW. Control group heifers did not receive any
treatment. Daily ultrasonography was conducted to monitor ovarian structure
throughout estrous cycle. All treatment group heifers, regardless of the stage of
follicle development, displayed follicle growth after eCG injection.
Administration of eCG, in group 1, hastened DF detection and induced co-dominant
follicles; whereas, in groups 2 and 3, it delayed DF regression, and increased
cycle length compared to control. In all treatment group heifers, DF was present
84 h after eCG injection. Maximum diameter of corpus luteum was larger in eCG
treated groups compared to control (P<0.05). In conclusion, depending on the time
of eCG administration throughout the FFW (emergence, dominant and early static
phases), co-dominancy, maintenance of DF, enhancement of follicle and corpus
luteum growth and increase in estrous cycle length could be observed in Holstein
heifers.
PMID- 29805458
TI - Molecular detection and characterization of beak and feather disease virus in
psittacine birds in Tehran, Iran.
AB - Beak and feather disease virus (BFDV), a member of genus circovirus, is a small,
non-enveloped, single stranded DNA virus. Although BFDVs are among the most well
studied circoviruses, there is little to no information about BFDVs in Iran. The
aim of the present study was to detect and identify BFDV molecules from the birds
referred to the avian clinic of The Faculty of Veterinary Medicine, Tehran
University, Iran. A total of 55 DNA samples were extracted from birds from nine
different species of the order psittaciformes. A robust conventional polymerase
chain reaction (PCR) was applied to detect the rep gene of the virus. Ten out of
55 samples, from four different species, were tested positive for BFDVs in PCR
(Melopsittacus undulates (4), Psittacula Krameri (3), Psittacus erithacus (2),
Platycercus eximius (1)). Molecular identification of the detected BFDVs was
performed based on their rep gene sequences. The phylogenetic analysis revealed
that the Iranian BFDVs from this study were clustered into four genetically
distinct clades belonging to different genetic subtypes of BFDVs (L1, N1, T1, and
I4). Although the relation between the samples and their related subtypes in the
tree are discussed, further studies are needed to elucidate the host specificity
and incidence of the BFDVs from different genetic subtypes.
PMID- 29805459
TI - Histological and biochemical evaluation of supplementing broiler diet with beta
hydroxy-methyl butyrate calcium (beta-HMB-Ca).
AB - Two hundred and sixteen day-old Ross-308 broiler chicks were allocated into 4
groups to study the impacts of different concentrations (0.0, 0.1, 0.15 and 0.2%)
of beta-hydroxy-methyl butyrate calcium (beta-HMB-Ca), on values of tri
iodothyronin (T3) and tetra-iodothyronin (T4) hormones, liver enzymes [aspartate
aminotransferase (AST) and alanine aminotransferase (ALT)], uric acid, peroxide,
malondialdihyde (MDA), fatty acids and some histological parameters of small
intestine (thickness of mucosa, height of villi, thickness of villi, depth of
epithelial crypts and epithelial height). The biochemical results did not show
any significant effect on T3 and T4 hormones and ALT while there was significant
(P<0.01) decrease of AST in groups 2 and 3 and significant (P<0.05) decrease in
uric acid in groups 2, 3 and 4 in comparison to control. In the liver, peroxide
value (PV) and free fatty acids (FFA) were significantly (P<0.05 and P<0.01
respectively) decreased in groups 2 and 3 compared to control. The histological
changes indicate significant values (P<0.05) in all parameters of duodenum in
group 2 and 3, while those parameters of jejunum showed significant values
(P<0.05) in most parameters of groups 2 and 4. In conclusion, the addition of
beta-HMB-Ca to the broiler diet from age 1 to 35 days has improved the levels of
liver function enzymes and uric acid in the serum and lowered the parameters of
oxidation in the liver with improved the maturity, performance and secretory
activities of the small intestine in broiler chickens.
PMID- 29805461
TI - Optimization of protein in supplementary feeds for pond raised cyprinids.
AB - Labeo rohita, Gibelion catla, Cirrhinus mrigala, Ctenopharyngodon idella and
Hypophthalmichthys molitrix were grown together for 365 days in triplicate ponds.
Six iso-caloric feeds having varying protein levels i.e. 22, 24, 26, 28, 30 and
32% were prepared and supplemented to fish at 2% fish weight daily.
Significantly, higher final average weight (793 +/- 197 g) and total length gain
(398 +/- 40 mm) was recorded for Ctenopharyndodon idella. Net fish yield enhanced
as the level of digestible protein (DP) in the supplementary diets increased and
plateaued at 28% DP level, thereafter significant reduction (P<0.05) in fish
weight increments was experienced at any surplus protein level. Among the
supplementary feeds, 28% DP level resulted in maximum net fish yield (4304 +/- 33
kg ha-1 year-1) and a significantly higher overall nitrogen conversion ratio
(NCR) of 1:5.2 +/- 0.14. Higher level of DP in supplementary feeds resulted in
reduced fish growth.
PMID- 29805460
TI - Enterotoxigenic Escherichia coli infection induces tight junction proteins
expression in mice.
AB - Enterotoxigenic Escherichia coli (ETEC) causes diarrhea in travelers, young
children and piglets, but the precise pathogenesis of ETEC induced diarrhea is
not fully known. Recent investigations have shown that tight junction (TJ)
proteins and aquaporin 3 (AQP 3) are contributing factors in bacterial diarrhea.
In this study, using immunoblotting and immunohistochemistry analyses, we found
that ETEC increases the protein abundance of TJ proteins (occludin, claudin-1,
zonula occludens-1) in mice. Enterotoxigenic Escherichia coli induced the
expression of TJ proteins in mice through pathways by involving myosin light
chain kinase (MLCK)-myosin II regulatory light chain (MLC20) pathways; however,
ETEC has little effect on the activation of the phosphatidylinositol 3-kinase
(PI3K)-Akt pathway. Enterotoxigenic Escherichia coli infection has little effect
on the protein abundance of AQP 3. Collectively, ETEC infection affects the
abundance of intestinal TJ protein, which suggests the importance of TJ proteins
in ETEC induced diarrhea.
PMID- 29805462
TI - Using PCR-PIRA based genotyping for identifying complex vertebral malformation
allele in Frieswal young bulls in India.
AB - Complex vertebral malformation (CVM) has considerable economic impact on dairy
cattle breeding due to extensive use of artificial insemination (AI). Identifying
the carrier is an important factor to reduce the incidence of the genetic
disorder. The study was conducted to identify the carriers of CVM in Frieswal
cattle by polymerase chain reaction-primer-introduced restriction analysis (PCR
PIRA) method, which was further confirmed by sequencing. Carrier prevalence of 1%
was observed in the Frieswal cattle. The results of the study clearly
demonstrated the existence of carriers of CVM among Frieswal bull calves. Due to
the widespread use of AI it is recommended to screen young bulls at early stages
for this defective allele in order to avoid its rapid spread within the
population.
PMID- 29805463
TI - Comparison of virulence genes in Proteus species isolated from human and pet
turtle.
AB - The current study was aimed to investigate the prevalence of ureC, rsbA, zapA and
mrpA virulence genes using polymerase chain reaction (PCR) in Proteus spp.
isolated from 5 commercially popular species of pet turtles and comparison of the
mrpA gene sequences of Proteus mirabilis isolates with human clinical isolates. A
total of 24 isolates in pet turtles were identified, comprised of P. mirabilis
(15), Proteus vulgaris (7) and Proteus hauseri (2). The prevalence of ureC, rsbA,
zapA and mrpA genes among all identified Proteus spp. isolates were 91.7%, 50%,
45.8% and 45.8%, respectively. The average percentage similarities of mrpA gene
sequence of pet turtle P. mirabilis isolates to human urinary and respiratory
isolates were 96.35% and 94.85%, respectively. The prevalence of virulence genes
and high similarity of mrpA gene sequences between pet turtles and human P.
mirabilis isolates revealed that though pet turtles are healthy, these animals
may pose a potential risk of urinary and respiratory infections to humans.
PMID- 29805464
TI - Determination of antibiotic resistance of lactic acid bacteria isolated from
traditional Turkish fermented dairy products.
AB - In this study, the antibiotic resistance (AR) of lactic acid bacteria (LAB)
isolated from traditional Turkish fermented dairy products was investigated.
Yogurt, white cheese, tulum cheese, cokelek, camiz cream and kefir as dairy
products were collected from various supermarkets. Lactic acid bacteria such as
Lactobacillus spp., Streptococcus spp., Bifidobacterium spp., and Enterecoccus
spp. were isolated from these dairy products. Lactobacillus spp. were resistant
to vancomycin (58%), erythromycin (10.8%), tetracycline (4.3%), gentamicin (28%),
and ciprofloxacin (26%). Streptococcus spp. were resistant to vancomycin (40%),
erythromycin (10%), chloramphenicol (10%), gentamicin (20%), and ciprofloxacin
(30%). Bifidobacterium spp. were resistant to vancomycin (60%), E 15 (6.6%),
gentamicin (20%), and ciprofloxacin (33%). Enterococcus spp. were resistant to
vancomycin (100%), erythromycin (100%), rifampin (100%), and ciprofloxacin
(100%). As a result, LAB islated from dairy products in this study showed mostly
resistance to vancomycin.
PMID- 29805465
TI - Surgical correction of urethral diverticulum in a female pseudo-hermaphrodite
crossbred calf.
AB - A 40 kg crossbred calf of one month age was presented to the Institute Referral
Polyclinic, with a history of dysuria, stranguria along with a fluctuant swelling
in the mid-perineal region. On physical examination, a diverticulum was observed
which on aspiration revealed urine. It was reported that urine outflow was from a
tiny orifice at the proposed natural site of the vulva. Dissection of the skin
over the diverticulum revealed hypoplastic penis with adhesion of the preputeal
sheath along with penile hypospadia. Permanent perineal urethrostomy and
diverticulectomy was performed in the region of the defect. The urethral layer
was sutured along with the skin using 1-0 Polyamide sutures in a simple
interrupted pattern. No postoperative complication was reported till one year of
surgery and animal was urinating properly.
PMID- 29805466
TI - Heart Protective Effects of Electroacupuncture in an Animal Experimental Study
with Delayed Fluid Resuscitation after Hemorrhagic Shock.
AB - Fluid resuscitation could hardly be performed immediately after fatal hemorrhagic
shock in outpatients. We investigated whether electroacupuncture (EA) at Zusanli
(ST36) could prevent fatal hemorrhagic shock induced heart failure with delayed
fluid resuscitation and whether the protective role of EA is related to the
autonomic nervous system. Sixty Sprague Dawley rats were randomly divided into
five groups (n = 12 each): group of sham hemorrhagic shock (SHAM), group of EA,
group of sham EA (SEA), group of delayed fluid resuscitation with EA (EA + DR),
and group of delayed fluid resuscitation with SEA (SEA + DR). After blood loss
for 6 hours, caspase-3 activity and positive rate of TUNEL in EA + DR group were
significantly lower than in other hemorrhagic shock groups (e.g., versus SEA +
DR: 0.156 +/- 0.039 versus 0.301 +/- 0.042; P < 0.05). Immediately EA treatment
after the blood loss enhanced the protective effect of delayed resuscitation on
the cardiac tissue of hemorrhagic shock rats. Considering the significant changes
of epinephrine (137.8 +/- 6.9 ng/L versus 98.6 +/- 7.4 ng/L; P < 0.05) and
acetylcholine (405 +/- 8.6 pmol/L versus 341 +/- 10.1 pmol/L; P < 0.05) after EA
treatment (SEA + DR versus EA + DR), this cardiac protective effect may be
related to regulation of the autonomic nervous system.
PMID- 29805468
TI - Natural Products for the Prevention and Treatment of Chronic Inflammatory
Diseases: Integrating Traditional Medicine into Modern Chronic Diseases Care.
PMID- 29805467
TI - Acute Physiological and Psychological Effects of Qigong Exercise in Older
Practitioners.
AB - Qigong is a gentle exercise that promotes health and well-being. This study
evaluated the acute physiological and psychological effects of one session of
qigong exercise in older practitioners. A total of 45 participants (mean age,
65.14 years) were recruited. Meridian electrical conductance, State-Trait Anxiety
Inventory (STAI), heart rate variability (HRV), and Short Form 36 (SF-36) were
evaluated and compared before and after one session of qigong exercise. The
results revealed that the electrical conductance of all meridians, except spleen
and bladder meridians, increased significantly (p < 0.05). Compared with baseline
values, upper to lower body ratio and sympathetic/vagal index were significantly
improved and closer to 1 (p = 0.011 and p = 0.007, resp.). STAI-S and STAI-T
scores decreased significantly (p < 0.001 and p = 0.001, resp.). The RR interval
of HRV decreased significantly (p = 0.035), a significant positive correlation
was observed between kidney meridian electrical conductance and SF-36 physical
scores (r = 0.74, p = 0.018), and a positive correlation was observed between
pericardium meridian electrical conductance and SF-36 mental scores (r = 0.50, p
= 0.06). In conclusion, one session of qigong exercise increased meridian
electrical conductance, reduced anxiety, and improved body and autonomic nervous
system balance. These findings provide scientific evidence for acute
physiological and psychological effects of qigong exercise in older
practitioners.
PMID- 29805469
TI - BODIES DON'T JUST TELL STORIES, THEY TELL HISTORIES: Embodiment of Historical
Trauma among American Indians and Alaska Natives.
AB - Increasingly, understanding how the role of historical events and context affect
present-day health inequities has become a dominant narrative among Native
American communities. Historical trauma, which consists of traumatic events
targeting a community (e.g., forced relocation) that cause catastrophic upheaval,
has been posited by Native communities and some researchers to have pernicious
effects that persist across generations through a myriad of mechanisms from
biological to behavioral. Consistent with contemporary societal determinants of
health approaches, the impact of historical trauma calls upon researchers to
explicitly examine theoretically and empirically how historical processes and
contexts become embodied. Scholarship that theoretically engages how historically
traumatic events become embodied and affect the magnitude and distribution of
health inequities is clearly needed. However, the scholarship on historical
trauma is limited. Some scholars have focused on these events as etiological
agents to social and psychological distress; others have focused on events as an
outcome (e.g., historical trauma response); others still have focused on these
events as mechanisms or pathwaysby which historical trauma is transmitted; and
others have focused on historical trauma-related factors (e.g., collective loss)
that interact with proximal stressors. These varied conceptualizations of
historical trauma have hindered the ability to cogently theorize it and its
impact on Native health. The purpose of this article is to explicate the link
between historical trauma and the concept of embodiment. After an
interdisciplinary review of the "state of the discipline," we utilize ecosocial
theory and the indigenist stress-coping model to argue that contemporary physical
health reflects, in part, the embodiment of historical trauma. Future research
directions are discussed.
PMID- 29805470
TI - Photocrosslinkable chitosan based hydrogels for neural tissue engineering.
AB - Hydrogel based scaffolds for neural tissue engineering can provide appropriate
physico-chemical and mechanical properties to support neurite extension and
facilitate transplantation of cells by acting as 'cell delivery vehicles'.
Specifically, in situ gelling systems such as photocrosslinkable hydrogels can
potentially conformally fill irregular neural tissue defects and serve as stem
cell delivery systems. Here, we report the development of a novel chitosan based
photocrosslinkable hydrogel system with tunable mechanical properties and
degradation rates. A two-step synthesis of amino-ethyl methacrylate derivitized,
degradable, photocrosslinkable chitosan hydrogels is described. When human
mesenchymal stem cells were cultured in photocrosslinkable chitosan hydrogels,
negligible cytotoxicity was observed. Photocrosslinkable chitosan hydrogels
facilitated enhanced neurite differentiation from primary cortical neurons and
enhanced neurite extension from dorsal root ganglia (DRG) as compared to agarose
based hydrogels with similar storage moduli. Neural stem cells (NSCs) cultured
within photocrosslinkable chitosan hydrogels facilitated differentiation into
tubulin positive neurons and astrocytes. These data demonstrate the potential of
photocrosslinked chitosan hydrogels, and contribute to an increasing repertoire
of hydrogels designed for neural tissue engineering.
PMID- 29805471
TI - Working in small-scale, homelike dementia care: effects on staff burnout symptoms
and job characteristics. A quasi-experimental, longitudinal study.
AB - Aim: This paper reports on a quasi-experimental, longitudinal study on the
effects of working in a new type of dementia care facility (i.e. small-scale
living facilities) on staff burnout symptoms and job characteristics (job
autonomy, social support, physical demands and workload). Methods: It is
hypothesised that nursing staff working in small-scale facilities experience
fewer burnout symptoms, more autonomy and social support, and fewer symptoms of
physical demands and workload compared with staff in regular wards. Two types of
long-term institutional nursing care settings were included: 28 houses in small
scale living facilities and 21 regular psychogeriatric wards in nursing homes. At
baseline and at follow-ups after 6 and 12 months nursing staff were assessed by
means of self-report questionnaires. In total, 305 nursing staff members were
included in the study, 114 working in small-scale living facilities (intervention
group) and 191 in regular wards (control group). Results: No overall effects on
burnout symptoms were detected. Significantly fewer physical demands and lower
workload were experienced by staff working in small-scale living facilities
compared with staff in regular wards. They also experienced more job autonomy. No
significant effect was found for overall social support in the total group.
Conclusions: This study suggests positive effects of the work environment on
several work characteristics. Organisational climate differs in the two
conditions, which might account for our results. This may influence nursing staff
well-being and has important implications for nursing home managers and policy
makers. Future studies should enhance our understanding of the influence of job
characteristics on outcomes.
PMID- 29805472
TI - Bilingual Development in Children of Immigrant Families.
AB - Early exposure to two languages is widely thought to guarantee successful
bilingual development. Contradicting that belief, children in bilingual immigrant
families who grow up hearing a heritage language and a majority language from
birth often reach school age with low levels of skill in both languages. This
outcome cannot be explained fully by influences of socioeconomic status. In this
article, I summarize research that helps explain the trajectories of observed
dual language growth among children in immigrant families in terms of the amount
and quality of their language exposure as well as their own language use.
PMID- 29805473
TI - How Will Higher Minimum Wages Affect Family Life and Children's Well-Being?
AB - In recent years, new national and regional minimum wage laws have been passed in
the United States and other countries. The laws assume that benefits flow not
only to workers but also to their children. Adolescent workers will most likely
be affected directly given their concentration in low-paying jobs, but younger
children may be affected indirectly by changes in parents' work conditions,
family income, and the quality of nonparental child care. Research on minimum
wages suggests modest and mixed economic effects: Decreases in employment can
offset, partly or fully, wage increases, and modest reductions in poverty rates
may fade over time. Few studies have examined the effects of minimum wage
increases on the well-being of families, adults, and children. In this article,
we use theoretical frameworks and empirical evidence concerning the effects on
children of parental work and family income to suggest hypotheses about the
effects of minimum wage increases on family life and children's well-being.
PMID- 29805474
TI - Transfusion of fresh vs. older red blood cells in the context of infection.
AB - The red blood cell (RBC) storage interval has been extended from less than a week
to the current storage interval of 6-8 weeks. Regulatory criteria for extending
storage rely upon a minimal degree of hemolysis and acceptable in vivo 24-h post
transfusion recovery. Clinical studies of safety and efficacy have never been
required. Concerns have arisen that RBC toward the end of storage develop a
'storage lesion' with previously unrecognized toxicity. Of the several mechanisms
proposed, the bolus of iron delivered to macrophages as a result of hemolysis of
stored RBC might pose a particular risk to patients with existing infections. We
developed a canine model of pneumonia to compare the toxicity of stored RBC
transfusion. We described increased mortality after transfusion of old RBC. We
found that transfused older RBC increased mortality, in vivo hemolysis,
circulating cell-free hemoglobin that scavenges nitric oxide, and elevations of
non-transferrin bound and plasma labile iron. Disappearance of circulating iron
correlated with increased mortality, worsening pulmonary function, and bacterial
proliferation. Washing decreased the mortality associated with transfusing older
RBC, but had the opposite effect on fresher blood. With low doses of bacteria,
survival was unaffected by the age of blood, whereas high bacteria doses masked
any effect of RBC age on mortality. Older RBC may have adverse effects, but the
patient's clinical status, the age, volume and method of preparation of the RBC
may be critical variables. Several mechanisms may account for this toxicity, but
in the presence of bacterial infection, availability of iron likely plays a major
role.
PMID- 29805475
TI - Chitosan-Mangafodipir nanoparticles designed for intranasal delivery of siRNA and
DNA to brain.
AB - The overall objective of the present research was to develop a nanocarrier system
for non-invasive delivery to brain of molecules useful for gene therapy.
Manganese-containing nanoparticles (mNPs) carrying anti-eGFP siRNA were tested in
cell cultures of eGFP-expressing cell line of mouse fibroblasts (NIH3T3). The
optimal mNPs were then tested in vivo in mice. Following intranasal instillation,
mNPs were visualized by 7T MRI throughout brain at 24 and 48 hrs. mNPs were
effective in significantly reducing GFP mRNA expression in Tg GFP+ mice in
olfactory bulb, striatum, hippocampus and cortex. Intranasal instillation of mNPS
loaded with dsDNA encoding RFP also resulted in expression of the RFP in multiple
brain regions. In conclusion, mNPs carrying siRNA, or dsDNA were capable of
delivering the payload from nose to brain. This approach for delivery of gene
therapies to humans, if successful, will have a significant impact on disease
modifying therapeutics of neurodegenerative diseases.
PMID- 29805476
TI - Salidroside mediates apoptosis and autophagy inhibition in concanavalin A-induced
liver injury.
AB - Salidroside (Sal) is a glycoside extract from Rhodiola rosea L. with anti
inflammatory, antioxidant, anticancer and cardioprotective properties. The
present study explored the protective effects and the possible mechanisms of Sal
on concanavalin A (ConA)-induced liver injury in mice. Balb/C mice were divided
into five groups: Normal control (injected with normal saline), ConA (25 mg/kg),
Sal (10 mg/kg) +ConA, Sal (20 mg/kg) + ConA (Sal injected 2 h prior to ConA
injection) and Sal (20 mg/kg) only. The serum levels of liver enzymes, pro
inflammatory cytokines, and apoptosis- and autophagy-associated marker proteins
were determined at 2, 8 and 24 h after ConA injection. LY294002 was further used
to verify whether the phosphoinositide 3-kinase (PI3K)/Akt pathway was activated.
Primary hepatocytes were isolated to verify the effect of Sal in vitro. The
results indicated that Sal was a safe agent to reduce pathological damage and
serum liver enzymes in ConA-induced liver injury. Sal suppressed inflammatory
reactions in serum and liver tissues, and activated the PI3K/Akt signaling
pathway to inhibit apoptosis and autophagy in vivo and in vitro, which could be
reversed by LY294002. In conclusion, Sal attenuated ConA-induced liver injury by
modulating PI3K/Akt pathway-mediated apoptosis and autophagy in mice.
PMID- 29805477
TI - High expression of CXCR4 and stem cell markers in a monocrotaline and chronic
hypoxia-induced rat model of pulmonary arterial hypertension.
AB - Pulmonary arterial hypertension (PAH) is a severe and fatal clinical syndrome. C
X-C chemokine receptor type 4 (CXCR4) is known to serve a key role in recruiting
mesenchymal stem cells (MSCs) from the bone marrow. In the present study, a rat
model of PAH induced by 5 weeks of chronic hypoxia and treatment with a single
injection of monocrotaline (60 mg/kg) was used to investigate the involvement of
CXCR4 in PAH. Successful establishment of the PAH model was confirmed by
significant differences between the PAH and control groups in right ventricular
systolic pressure, Fulton index, wall thickness, vascular occlusion score
determined by immunohistochemical staining and the expression of inflammatory
markers measured by reverse transcription-quantitative polymerase chain reaction
(RT-qPCR). The expression of CXCR4 and other stem cell markers were compared in
the PAH and control groups. RT-qPCR showed that the expression of CXCR4, SCF, c
Kit, and CD29, which are expressed in MSCs, was significantly higher in the PAH
group. Immunohistochemical staining also showed that the numbers of CXCR4-, c-Kit
and CD90-positive cells were significantly higher in the PAH group. These
results suggest that CXCR4 is involved in the pathogenesis of PAH and that stem
cells may serve an important role in pulmonary vascular remodeling.
PMID- 29805478
TI - A modified immunofluorescence in situ hybridization method to detect long non
coding RNAs and proteins in frozen spinal cord sections.
AB - Immunofluorescence in situ hybridization (immuno-FISH) is widely used to co
detect RNAs and proteins in order to study their spatial distribution in cells.
The present study used a modified immuno-FISH protocol for the detection of long
non-coding RNAs (lncRNAs) and proteins in frozen spinal cord sections. The spinal
cords of Sprague-Dawley rats were harvested, frozen and sectioned (10 um), and
oligonucleotide probes and antibodies were prepared. Following antigen retrieval,
dehydration, prehybridization, hybridization, post-hybridization and
immunofluorescence staining, images were captured. Antigen retrieval was
performed by autoclaving or proteinase K treatment, and their effects on the
hybridization signal were compared. The same sections were successfully stained
by immunofluorescence. Satisfactory fluorescent signals of lncRNA and protein
were obtained. The results of the present study suggest that the modified
protocol of immuno-FISH for the detection of lncRNAs and proteins in frozen
spinal cord sections is effective and time-efficient, and the required reagents
are readily available.
PMID- 29805479
TI - Upregulation of heme oxygenase-1 protected against brain damage induced by
transient cerebral ischemia-reperfusion injury in rats.
AB - The aim of the present study was to identify the effect of heme oxygenase (HO)-1
gene on cerebral ischemia-reperfusion injury. Sprague-Dawley rats were divided
randomly into four groups: Sham group, vehicle group, empty adenovirus vector
(Ad) group and recombinant HO-1 adenovirus (Ad-HO-1) transfection group. Rats in
the vehicle, Ad and Ad-HO-1 groups were respectively injected with saline, Ad or
Ad-HO-1 for 3 days prior to cerebral ischemia-reperfusion injury. Subsequently,
the middle cerebral artery occlusion method was used to establish the model of
cerebral ischemia-reperfusion injury. Following the assessment of neurological
function, rats were sacrificed, and the infarction volume and apoptotic index in
rat brains were measured. Furthermore, the protein expression levels of HO-1 in
brain tissues were detected using western blot analysis. Results indicated that
the neurological score of the Ad-HO-1 group was significantly increased compared
with the Ad or vehicle groups, respectively (P<0.001). The volume of cerebral
infarction and the index score of neuronal apoptosis in the vehicle and Ad groups
was significantly increased compared with the Ad-HO-1 group (P<0.01). The death
of neuronal cells following cerebral ischemia-reperfusion injury reduced
remarkably induced by over-expression of HO-1. These findings suggest a
neuroprotective role of HO-1 against brain injury induced by transient cerebral
ischemia-reperfusion injury.
PMID- 29805480
TI - Systemic bioinformatics analysis of skeletal muscle gene expression profiles of
sepsis.
AB - Sepsis is a type of systemic inflammatory response syndrome with high morbidity
and mortality. Skeletal muscle dysfunction is one of the major complications of
sepsis that may also influence the outcome of sepsis. The aim of the present
study was to explore and identify potential mechanisms and therapeutic targets of
sepsis. Systemic bioinformatics analysis of skeletal muscle gene expression
profiles from the Gene Expression Omnibus was performed. Differentially expressed
genes (DEGs) in samples from patients with sepsis and control samples were
screened out using the limma package. Differential co-expression and coregulation
(DCE and DCR, respectively) analysis was performed based on the Differential Co
expression Analysis package to identify differences in gene co-expression and
coregulation patterns between the control and sepsis groups. Gene Ontology terms
and Kyoto Encyclopedia of Genes and Genomes pathways of DEGs were identified
using the Database for Annotation, Visualization and Integrated Discovery, and
inflammatory, cancer and skeletal muscle development-associated biological
processes and pathways were identified. DCE and DCR analysis revealed several
potential therapeutic targets for sepsis, including genes and transcription
factors. The results of the present study may provide a basis for the development
of novel therapeutic targets and treatment methods for sepsis.
PMID- 29805482
TI - Effect of hyperbaric oxygen combined with nimodipine on treatment of diffuse
brain injury.
AB - The aim of the present study was to was investigate the treatment efficacy of
hyperbaric oxygen (HBO) combined with nimodipine on diffuse brain injury. AA
total of 80 patients with diffuse brain injury were randomly divided into four
groups: Group A, conventional treatment; Group B, conventional treatment +
nimodipine; Group C, conventional treatment + HBO therapy and Group D,
conventional treatment + nimodipine + HBO therapy. The Glasgow Coma Scale (GCS)
score and serum tumor necrosis factor (TNF)-alpha and interleukin (IL)-1beta
levels were assessed before treatment and at 8, 24, 48 and 72 h after treatment.
The bilateral middle cerebral arterial blood flow velocity (VmMCA) was measured
by transcranial Doppler ultrasound. The results indicated that serum TNF-alpha
and IL-1beta were significantly decreased in all groups at 24, 48 and 72 h after
treatment, compared with 8 h after treatment (P<0.05), with Group D exhibiting
the largest decrease. The serum TNF-alpha, IL-1beta and VmMCA peaked at 8 h and
gradually decreased over the treatment period. VmMCA was decreased in Group B and
D compared with Group A and C, and the decrease rate was higher in Group D
compared with Group B (P<0.05). GCS scores were significantly increased in all
groups at 24, 48 and 72 h after treatment compared with 8 h after treatment
(P<0.05), with Group D exhibiting the largest increase. Serum TNF-alpha and IL
1beta levels were positively correlated with VmMCA (P<0.05) and negatively
correlated with GCS (P<0.05). Punctate hemorrhage was observed in all groups on
CT before treatment, with a value of 66+/-3 HU. Punctate hemorrhage was observed
to decrease over time in CT images, and CT values were significantly decreased in
all groups at 8, 24, 48 and 72 h compared with before treatment (P<0.05). CT
values were significantly lower in group D compared with groups A, B and C
(P<0.05) at all time points. Serum TNF-alpha and IL-1beta levels were positively
correlated with CT value (P<0.05). In conclusion, HBO combined with nimodipine
exhibited increased efficacy in the treatment of brain injury compared with
either treatment alone.
PMID- 29805481
TI - Effects of oral cimetidine on the reproductive system of male rats.
AB - Cimetidine is widely used for the treatment of digestive tract ulcers, but it
induces testis injury. To explore the mechanisms underlying cimetidine-induced
toxicity towards the testis, the effects of oral cimetidine on the reproductive
system of male rats were assessed. Cimetidine was orally administered to male
rats at 20, 40 or 120 mg/kg/day for 9 weeks. The rats were then euthanized, and
serum, testis, epididymis, prostate gland, seminal vesicle, preputial gland,
levator ani muscle and sphincter ani samples were collected. Sperm parameters
were obtained by computer-assisted sperm analysis. Serum hormone levels were
measured by ELISA. Protein expression levels were detected by
immunohistochemistry. Apoptosis was assessed with the DeadEndTM Colorimetric
Apoptosis Detection System. The results indicated that the sperm average path
velocity, straight line velocity and curvilinear velocity were significantly
decreased in the 120 mg/kg cimetidine group compared with the control group,
while luteinizing hormone and testosterone levels were significantly higher
compared with the control group. Testicular lesions were observed by
histopathology in the 120 mg/kg cimetidine group. The amounts of cells positive
for cyclooxygenase-2 (COX-2) and nuclear factor kappaB (NF-kappaB) were increased
in the 120 mg/kg cimetidine group compared with the control group. The amounts of
cells positive for iNOS were increased in all cimetidine treatment groups. In
addition, apoptotic cells were significantly more abundant in the 120 mg/kg
cimetidine group compared with the control group, as indicated by terminal
deoxynucleotidyl transferase deoxyuridine triphosphate nick end labeling.
Overall, 9 weeks of oral cimetidine induced pathological changes in the testicles
and hormone secretion disorder in rats. COX-2, iNOS and NF-kappaB upregulation
and induction of apoptosis may be associated with the reproductive toxicity
caused by cimetidine.
PMID- 29805483
TI - AMPKalpha, hs-CRP and FcgammaR in diabetic nephropathy and drug intervention.
AB - The aim of this study was to investigate the roles of AMP-activated protein
kinase alpha subunit (AMPKalpha), hypersensitive C-reactive protein (hs-CRP) and
Fcgamma receptor (FcgammaR) in diabetic nephropathy and drug intervention
effects. Sixty Sprague Dawley male rats were randomly divided into the control
(n=30) and observation (n=30) groups. The model of type 2 diabetic nephropathy
was established by high-fat and high-glucose diet and streptozotocin injection.
The rats in the observation group were treated with baicalein and the rats in
control group did not receive any drug intervention. The pathological changes of
kidneys were observed by hematoxylin and eosin (H&E) staining. The expression of
AMPKalpha mRNA in renal tissue was detected by reverse transcription-polymerase
chain reaction (RT-PCR). The levels of hs-CRP and FcgammaR were measured by
enzyme-linked immunosorbent assay (ELISA) at 1, 4, 6 and 8 weeks after drug
intervention and blood urea nitrogen (BUN) and the 24 h urinary micro-albumin (U
ALB) levels were compared at 1, 4, 6 and 8 weeks after intervention. After 8
weeks of drug intervention, the pathological changes of kidneys in the
observation group were significantly lower than those in the control group
(p<0.05), while the relative expression levels of AMPKalpha mRNA and protein in
the control group were higher than those in the observation group (p<0.05). The
levels of hs-CRP, BUN and 24 h U-ALB in the control group were significantly
higher than those in the observation group at different time-points after drug
intervention and the level of FcgammaR in the control group was significantly
lower than that in the observation group (p<0.05). Baicalein may protect renal
function by inhibiting the expression of AMPKalpha and inflammatory reaction, and
can also decrease BUN and 24 h U-ALB levels and improve the pathological changes
of the kidney.
PMID- 29805484
TI - Effects of ulinastatin combined with mechanical ventilation on oxygen metabolism,
inflammation and stress response and antioxidant capacity of ARDS.
AB - Acute respiratory distress syndrome (ARDS) is a disease that seriously threatens
human life and health. The aim of the study was to investigate the effects of
ulinastatin combined with mechanical ventilation on oxygen metabolism,
inflammation and stress response, as well as the antioxidant capacity of ARDS.
Eighty patients with ARDS treated in Yiwu Central Hospital from January, 2015 to
December, 2016 were enrolled in the present study and divided into the
observation (n=40) and control (n=40) groups, using a random number table. The
control group was treated with mechanical ventilation, while the observation
group, based on treatment of the control group, was treated with ulinastatin for
14 consecutive days as one course of treatment. The changes in the relevant
indexes of oxygen metabolism, lung function, time of ventilator treatment, total
hospital stay, and St. George's Respiratory Questionnaire (SGRQ) score of the two
groups after intervention were compared, and the changes in inflammatory cytokine
levels, dopamine receptor-related hormone levels, superoxide dismutase (SOD),
malondialdehyde (MDA) and total antioxidant capacity of the two groups before
intervention and at 1 and 4 weeks after intervention were compared. After
intervention, the arterial blood lactate in the observation group was
significantly lower than that in the control group (P<0.05), the oxygen uptake
rate was significantly higher than that in the control group (P<0.05) and the
arterial oxygen content was significantly higher than that in the control group
(P<0.05). In the lung function indexes, the FEV1 and FEV1/FVC levels in the
observation group were smaller than those in the control group (P<0.05), the
duration of ventilator treatment was significantly shorter than that in the
control group (P<0.05), and the hospital stay was significantly less than that in
the control group (P<0.05). Prior to intervention, SGRQ scores in the two groups
were not statistically significant (P>0.05). At 1 and 4 weeks after intervention,
the SGRQ scores of the observation group were significantly increased to those of
the control group (P<0.05). The tumor levels of necrosis factor-alpha (TNF
alpha), interleukin-6 (IL-6) and CRP were significantly lower than those of the
control group (P<0.05). The levels of adrenaline and norepinephrine were
significantly lower than those of the control group (P<0.05). The levels of MDA,
SOD and the total antioxidant capacity were significantly increased to those of
control group (P<0.05). The application of ulinastatin combined with mechanical
ventilation in ARDS patients is of great significance in improving the oxygen
delivery-consumption balance of body, increasing the lung function, reducing the
inflammatory and stress response, and improving the antioxidant capacity.
PMID- 29805486
TI - Curative effect and safety of propranolol combined with prednisone in the
treatment of infantile hemangiomas.
AB - The object of this study was to analyze the curative effect and safety of
propranolol combined with prednisone in the treatment of infantile hemangiomas
(IHs). Forty-four children with IHs on the head and face at the proliferative
phase admitted to Jinan Center Hospital Affiliated to Shandong University were
randomly divided into two groups. Children in group A took orally propranolol 2
mg/kg/day in three divided doses combined with prednisone 2 mg/kg/day in two
divided doses in the first two weeks; children in group B took orally propranolol
alone, and the dose was the same as that in group A. The treatment time of the
two groups was up to 6 months, and the clinical curative effect and the incidence
rate of adverse reactions were compared between the two groups. In the comparison
of the curative effect between two groups of children with the tumor size
decrease as the evaluation index, the total effective rate of group A was 100%,
which was better than that of group B (81.82%), and the results were
significantly different (P<0.05). In the same comparison with the surface of
hemangiomas becoming flat and the color becoming light as evaluation indexes, the
total effective rates of group A were 95.45 and 100%, which was not significantly
different (P>0.05) compared with those of group B (86.36 and 77.27%) with a
significant difference. The treatment in group A was superior to that in group B
in terms of the curative effect on IH children younger than 6 months and was
effective for different types of IHs. In group A, adverse reactions included loss
of appetite (n=1) and bronchial and upper respiratory tract infections (n=1); in
group B, adverse reactions included crying at night (n=1), lowered heart rate
(n=1) and loss of appetite (n=2). The incidence rate of adverse reactions was
compared between the two groups, and the difference was not significant (P>0.05),
indicating that the combination therapy did not aggravate adverse reactions, and
adverse reactions in the two groups were less and not severe. In the treatment of
IHs, propranolol combined with prednisone can significantly reduce the tumor
volume at the proliferative phase and significantly improve the tumor color with
a low incidence rate of adverse reactions in a mild degree. Children have high
tolerance to this treatment method, and the treatment method is highly safe and
of great significance in clinical practice.
PMID- 29805485
TI - Expression of autophagy-related genes in cerebrospinal fluid of patients with
tuberculous meningitis.
AB - The expression of autophagy-related genes in cerebrospinal fluid of patients with
tuberculous meningitis (TBM) and their clinical significance in patients with TBM
was investigated. Sixty patients with TBM (observation group) and twenty healthy
volunteers during the same period (control group) were selected and the
cerebrospinal fluid was collected. The expression levels of p62, Beclin1 and LC3
II genes in cerebrospinal fluid were detected via semi-quantitative reverse
transcription-polymerase chain reaction and patients in observation group were
divided into high expression and normal or low expression group on the basis of
LC3-II expression levels. On the other hand, the contents of inflammatory factors
interleukin-6, -10 (IL-6, -10), and tumor necrosis factor-alpha (TNF-alpha) were
detected using the enzyme-linked immunosorbent assay kit. The mRNA levels of p62,
Beclin1 and LC3-II in cerebrospinal fluid of patients in observation were
significantly higher than those in the control group (P<0.01). TUNEL assay showed
that the apoptosis level of cerebro-spinal fluid in high expression was obviously
lower than that in normal or low expression group (P<0.01); the content of IL-6
and TNF-alpha in cerebrospinal fluid in high expression was significantly lower
than those in normal or low expression group (P<0.01); the content of IL-10 in
cerebrospinal fluid in high expression was obviously higher than that in normal
or low expression group (P<0.01). Correlation analysis revealed that LC3-II was
positively correlated with IL-10, but negatively correlated with IL-6 and TNF
alpha. The mRNA levels of p62, Beclin1 and LC3-II in cerebrospinal fluid of
patients with TBM are increased, there is a correlation between expression levels
of autophagy-related genes and inflammatory factors, and the high expression of
autophagy-related genes may have a protective effect on patients with TBM.
PMID- 29805487
TI - Effectiveness and safety of combinational therapy compared with intensified
statin monotherapy in patients with coronary heart disease.
AB - Reducing the plasma levels of low-density lipoprotein-cholesterol (LDL-C) is
critical for patients with coronary heart disease (CHD). Conventional treatment
with statins alone may not achieve the goal of lowering LDL-C due to drug
intolerance or resistance. The present study evaluated the effectiveness and
safety of combining statin with another lipid-lowering agent in the management of
dyslipidemia in CHD patients. A total of 180 patients with CHD were divided into
three therapeutic groups (n=60 in each): Statin/colesevelam group (20 mg
atorvastatin and 10 mg colesevelam daily), statin/ezetimibe group (20 mg
atorvastatin and 10 mg ezetimibe daily) and high-intensity statin monotherapy
group (30 mg atorvastatin daily). The baseline plasma lipid levels were measured.
The duration of the treatment was eight weeks and the side effects were noted at
one year's follow-up. After eight weeks' treatment, the mean plasma level of LDL
C was reduced by 45.2, 44.8 and 30.0% in the statin/colesevelam, statin/ezetimibe
and statin monotherapy group, respectively. The reduction of LDL-C in the
combinational therapy groups was greater than that in the statin monotherapy
group (P<0.05). The proportion of patients achieving the goal of lowering LDL-C
in the combinational therapy groups was higher than that in the statin
monotherapy group. The effectiveness of reducing lipids was similar in the two
combinational statin/colesevelam and statin/ezetimibe groups. Rates of adverse
events were not significantly different among the three groups. In conclusion,
statins combined with colesevelam or ezetimibe were more effective in reducing
plasma LDL-C levels than high-intensity statin monotherapy. This combinational
therapeutic strategy may be an alternative for patients that are resistant or
intolerant to statins.
PMID- 29805489
TI - Ergothioneine prevents endothelial dysfunction induced by mercury chloride.
AB - Exposure to mercury has detrimental effects on the cardiovascular system,
particularly the vascular endothelium. The present study aimed to investigate the
effects of ergothioneine (EGT) on endothelial dysfunction induced by low-dose
mercury chloride (HgCl2). Agonist-induced contractions and relaxations were
evaluated in isolated aortic rings from 3-month-old male Wistar rats treated by
intra-muscular injection to caudal hind leg muscle with HgCl2 (first dose, 4.6
ug/kg; subsequent doses, 0.07 ug/kg/day for 15 days) and optionally with EGT (2
ug/kg for 30 days). Reactive oxygen species (ROS) in aortic rings were measured
by means of lucigenin- and luminol-enhanced chemiluminescence. The protein level
of endothelial nitric oxide synthase was evaluated by ELISA. Blood glutathione
(GSH) and catalase levels, lipid peroxidation and total nitrite were measured
spectrophotometrically. The results indicated that low-dose HgCl2 administration
impaired acetylcholine (ACh)-induced relaxation and potentiated phenylephrine-
and serotonin-induced contractions in rat aortas. In addition, HgCl2
significantly increased the levels of ROS in the aortic tissue. EGT prevented the
loss of ACh-induced relaxations and the increase in contractile responses. These
effects were accompanied by a significant decrease in ROS levels. EGT also
improved the ratio of reduced GSH to oxidized GSH and catalase levels with a
concomitant decrease in lipid peroxidation. In conclusion, to the best of our
knowledge, the present study was the first to report that EGT prevents
endothelial dysfunction induced by low-dose HgCl2 administration. EGT may serve
as a therapeutic tool to reduce mercury-associated cardiovascular complications
via improving the antioxidant status.
PMID- 29805488
TI - Potential mechanism and drug candidates for sepsis-induced acute lung injury.
AB - The present study aimed to explore the mechanisms underlying sepsis-induced acute
lung injury (ALI) and identify more effective therapeutic strategies to treat it.
The gene expression data set GSE10474 was downloaded and assessed to identify
differentially expressed genes (DEGs). Principal component analysis, functional
enrichment analysis and differential co-expression analysis of DEGs were
performed. Furthermore, potential target drugs for key DEGs were assessed. A
total of 209 DEGs, including 107 upregulated and 102 downregulated genes were
screened. A number of DEGs, including zinc finger and BTB domain containing 17
(ZBTB17), heat shock protein 90 kDa beta, member 1 (HSP90B1) and major
histocompatibility complex, class II, DR alpha were identified. Furthermore, gene
ontology terms including antigen processing and presentation, glycerophospholipid
metabolism, transcriptional misregulation in cancer, thyroid hormone synthesis
and pathways associated with diseases, such as asthma were identified. In
addition, a differential co-expression network containing ubiquitin-conjugating
enzyme E2 D4, putative and tubulin, gamma complex associated protein 3 was
constructed. Furthermore, a number of gene-drug interactions, including between
HSP90B1 and adenosine-5'-diphosphate and radicicol, were identified. Therefore,
DEGs, including ZBTB17 and HSP90B1, may be important in the pathogenesis of
sepsis-induced ALI. Furthermore, drugs including adenosine-5'-diphosphate may be
novel drug candidates to treat patients with ALI.
PMID- 29805490
TI - Inhibition of microRNA-155 modulates endotoxin tolerance by upregulating
suppressor of cytokine signaling 1 in microglia.
AB - Endotoxin tolerance is an immunohomeostatic reaction to reiterant
lipopolysaccharide (LPS) exposure that maintains a state of altered
responsiveness in immune cells, resulting in the inhibition of the pro
inflammatory response and the resolution of inflammation. Microglia constitutes
the first line of defense against endogenous and external challenges in the
brain. MicroRNAs (miRs) serve a critical function in the regulation of
inflammation. The aim of the present study was to investigate whether miR-155
regulates endotoxin tolerance. miR-155 and suppressor of cytokine signaling-1
(SOCS1) mRNA expression was measured using RT-qPCR. The expression of SOCS1 was
measured by western blotting and immunofluorescence. TNF-alpha levels were
detected by an enzyme-linked immunosorbent assay. The results indicated that miR
155 expression was significantly downregulated in the microglia and cortex tissue
following the induction of endotoxin tolerance. This was consistent with an
increase in the expression of SOCS1, a predicted target of miR-155 and key
inhibitor of the inflammatory reaction. Transfection with miR-155 inhibitor
significantly enhanced SOCS1 expression in the microglia following the induction
of endotoxin tolerance. SOCS1 knockdown using short hairpin RNA partly inhibited
the anti-inflammatory process and promoted the inflammatory response during
endotoxin tolerance. The results of the current study indicate that miR-155
inhibition contributes to the development of endotoxin tolerance. Understanding
how miRs regulate inflammatory mechanisms may facilitate the development of novel
therapeutic strategies to treat CNS disorders.
PMID- 29805491
TI - Comparison of efficiency of inhaled and intravenous corticosteroid on pregnant
women with COPD and the effects on the expression of PCT and hs-CRP.
AB - The efficiency of inhaled and systemic corticosteroids on pregnant women with
chronic obstructive pulmonary disease (COPD) was investigated. The study also
compared the effects of the administration on the expression of inflammatory
mediator procalcitonin (PCT) and high-sensitivity C-reactive protein (hs-CRP). A
total of 120 pregnant COPD patients were recruited and randomly allocated into
the following three groups: Intravenous corticosteroid treatment group (n=42),
inhaled corticosteroid treatment group (n=38), and control group (without any
corticosteroid treatment, n=40). Patients of the all three groups received
symptomatic supportive treatments including oxygen therapy, anti-infection
therapy, expectorant, and bronchodilator. The serum PCT and hs-CRP expression
levels were measured before treatment and after 7 days of treatment. Moreover,
the clinical parameters such as symptoms, blood gas analysis parameters,
pulmonary function indexes, fasting blood glucose (FBG) and adverse reactions
were recorded. The overall clinical effective rates of the group received
budesonide inhalation and the group receiving systemic methylprednisolone
treatment were comparable. Both treatments were able to reduce the levels of
inflammatory mediators, hs-CRP and PCT. On the other hand, treatments increased
PaO2 of arterial blood gas while reducing PaCO2, thereby improving the lung
function (FEV1% pred and FEV1/FVC) (P>0.05). The study observed that the FBG
levels in COPD patients receiving systemic corticosteroid treatment were
significantly increased, while budesonide inhalation did not significantly affect
the FBG levels. In addition, rates of adverse events (such as mouth dry, oral
ulcers, hoarseness) of systemic corticosteroid treatment group were significantly
higher than those in inhaled corticosteroid treatment group and control group
(38.1% vs. 17.5% vs. 5.0%, comparison between groups: P<0.05). In conclusion,
inhaled and systemic use of corticosteroid both significantly improved dyspnea
and other clinical symptoms of pregnant COPD patients by increasing oxygen
partial pressure, correcting hypoxemia, and enhancing lung function. Moreover,
fewer adverse reactions were observed with inhaled corticosteroid treatment,
suggesting that inhaled administration is a relatively good, safe and effective
treatment for pregnant COPD patients.
PMID- 29805492
TI - Association of hemodynamics during caesarean section, outcomes after caesarean
section and hormone changes with different anesthesia in pregnant women with
severe pulmonary arterial hypertension.
AB - In the present study we aimed to analyze the effects of different anesthesia
methods on the hemodynamics of caesarean section, outcomes after caesarean
section and hormone changes in pregnancy complicated with severe pulmonary
arterial hypertension (PAH). A total of 75 pregnancy complicated with severe PAH
that were treated in Jining First People's Hospital from January 2016 to January
2017 were selected. Three groups were set according to the anesthesia methods,
including the subarachnoid combined epidural anesthesia group (group I, n=25),
the epidural anesthesia group (group II, n=25) and the general anesthesia group
(group III, n=25). Effects on the outcomes of caesarean section of pregnancy
complicated with PAH were observed. Sex hormone levels before and 24, 48 and 72 h
after the operation were measured. There were remarkable changes in the
postoperative hemodynamics compared with those before anesthesia, but changes in
groups I and II were significantly smaller than those in group III (P<0.05). No
significant differences in maternal mortality rate, neonatal mortality rate and
neonatal asphyxia rate among the three groups were found (P>0.05). Time of
postoperative mechanical ventilation, ICU residence and hospitalization in groups
I and II were shorter than those in group III, the differences were statistically
significant (P<0.05). Postoperative levels of sex hormones, including estradiol
(E2), human chorionic gonadotrophin (HCG), prolactin (PRL) and plasma total
testosterone (TT) decreased, while postoperative levels of sex hormones follicle
stimulating hormone (FSH), luteinizing hormone (LH) and progestogen increased,
and differences in the decreased E2 and TT at each time-point were statistically
significant (P<0.05). In conclusion, there is no remarkable difference in the
effects of three anesthesia methods on pregnancy outcomes. However, compared with
general anesthesia, intravertebral anesthesia achieve shorter time of
postoperative mechanical ventilation, ICU residence and hospitalization in
pregnancy complicated with severe PAH, which is preferred in pregnancy without
contraindication of the anesthesia.
PMID- 29805494
TI - Correlation between coronary artery disease and obstructive sleep apnea syndrome
and analysis of risk factors.
AB - The correlation between coronary artery disease (CAD) and obstructive sleep apnea
syndrome (OSAS) was investigated to analyze its risk factors. A total of 84
patients with suspected CAD due to chest tightness and pain or nocturnal angina,
were selected. They were admitted and received coronary angiography in The
Affiliated Hospital of Medical College Qingdao University from March, 2016 to
June, 2017. The vital signs were monitored, and the sleep monitoring was
performed before and after coronary angiography. Before angiography, the fasting
blood was drawn for blood biochemical detection, followed by routine
electrocardiogram and echocardiographic examination. In addition, the body mass
index was calculated and whether patients suffered from hypertension and diabetes
mellitus was observed. The patients were divided into the control group (patients
with a negative coronary angiography) and the CAD group (patients with a positive
coronary angiography). There were 34 cases in the control group, including 21
cases of OSAS (61.76%), and 50 in the CAD group, including 40 cases of OSAS
(80.00%). Statistical analysis revealed that there were statistically significant
differences in the apnea hypopnea index (AHI), lowest oxygen saturation, degree
of coronary stenosis (Gensini score) and triglyceride level between the two
groups (P<0.05). There were no statistically significant differences in the
cholesterol level and prevalence rates of hypertension and diabetes mellitus
between the two groups. Logistic regression analysis revealed that smoking and
AHI >20 were the risk factors of CAD (OR=7.036 and 5.377). Thus, CAD is closely
correlated with OSAS and AHI >20 is one of the risk factors of CAD.
PMID- 29805493
TI - Immunomodulatory effect of Schisandra polysaccharides in cyclophosphamide-induced
immunocompromised mice.
AB - As a strategy to prevent the well-known immunosuppressant effects of
cyclophosphamide (Cyp), the immunomodulatory effects of the polysaccharide
extract of the fruit of Schisandra chinensis (Turcz.) Baill. were investigated in
the present study. The crude Schisandra polysaccharide (SCP) was obtained by
water extraction and alcohol precipitation methods. The total carbohydrate,
uronic acid and protein contents were determined using the phenol-sulfuric acid,
m-hydroxydiphenyl and Bradford method, respectively. The monosaccharide
composition of SCP was determined by high-performance liquid chromatography. ICR
mice were randomly divided into control, model, low-dose SCP (0.4 mg/10 g),
medium-dose SCP (0.8 mg/10 g) and high-dose SCP (1.6 mg/10 g) groups. The mice in
the SCP groups were intragastrically administered SCP once a day for 21 days and
those from the control and model groups were administered the same volume of
distilled water. Subsequently, the mice in the model and SCP groups were
intraperitoneally injected with Cyp (20 mg/kg) once a day for 5 days. The mouse
leukocyte count in the peripheral blood as well as thymus and spleen indexes were
determined, and the phagocytic function of macrophages was estimated using a
carbon clearance test. The thymus and spleen were histomorphologically observed.
The levels of tumor necrosis factor-alpha and interferon-gamma were measured by
ELISA. Furthermore, antibody formation and spleen lymphocyte proliferation were
measured by the serum hemolysin and the MTT method, respectively. The apoptotic
rate of splenic lymphocytes was determined by flow cytometric analysis. The
results indicated that SCP prevents Cyp-induced impairment of the cellular,
humoral and non-specific immunity, and may be an auxiliary immune enhancer for
the prevention of immune hypofunction.
PMID- 29805495
TI - Comparison of specific expression profile in two in vitro hypoxia models.
AB - The microenvironment plays a fundamental role in carcinogenesis: Acidity and
hypoxia are actively involved in this process. It is important to have in vitro
models to study these mechanisms. The models that are most commonly referred to
are the hypoxia chamber and the chemical induction [Cobalt (II) chloride]. It is
not yet defined if these models are interchangeable if the metabolic effect is
the same, and if the results may be compared in these models. In the present
study, the response to the effect of stress (hypoxia and acidity) in both models
was evaluated. The results indicated that in the chemical model, the effect of
hypoxia appeared in an early form at 6 h; whereas in the gas chamber the effect
was slow and gradual and at 72 h there was an overexpression of erythropoietin
(EPO), vascular endothelial growth factor (VEGF), carbonic anhydrase 9 (CA9) and
hypoxia-inducible factor 1alpha (HIF1alpha). In addition to the genes analyzed by
reverse transcription-quantitative polymerase chain reaction, the global
expression analysis between both models revealed the 9 most affected genes in
common. The present study additionally identified 3 potential genes (lysyl
oxidase, ankyrin repeat domain 37, B-cell lymphoma 2 interacting protein 3 like)
previously identified in other studies, which may be considered as universal
hypoxia genes along with HIF1alpha, EPO, VEGF, glucose transporter 1 (GLUT1),
CA9, and LDH. To the best of the author's knowledge, this is the first time that
both hypoxia models have been compared, and it was demonstrated that the effect
of hypoxia induction was time sensitive in each model. These observations must be
considered prior to selecting one of these models to identify selective hypoxia
genes and their effects in cancer.
PMID- 29805496
TI - Effects of blood glucose, blood lipids and blood pressure control on recovery of
patients with gastric cancer complicated with metabolic syndrome after radical
gastrectomy.
AB - This study aimed to investigate the effects of blood glucose, blood lipids and
blood pressure control on recovery of patients with gastric cancer complicated
with metabolic syndrome (MS) after radical gastrectomy. A total of 150 patients
with gastric cancer, who were treated in Daqing Longnan Hospital from November,
2015 to May, 2017, were enrolled in this study. The patients were divided into
the MS group (80 cases) and non-MS group (70 cases). Patients in the MS group
were given corresponding drugs to control blood pressure, blood lipids and blood
glucose, while patients in the non-MS group were not treated with those drugs.
Patients in the MS group were divided into the normal and abnormal groups
according to the levels of blood glucose, blood lipids and blood pressure.
Moreover, occurrences of complications were compared between the normal and
abnormal groups. Before surgery, blood glucose, blood lipids and blood pressure
in the MS group were significantly higher than those in the non-MS group
(p<0.05). One month after operation, blood glucose, blood lipids and blood
pressure of the MS group decreased significantly compared to those before
operation (p<0.05). Incidence of complications at 1 and 3 months after operation
was significantly lower in the normal groups than that in the corresponding
abnormal groups (p<0.05). Postoperative recovery was significantly better in the
normal groups than that in the corresponding abnormal groups (p<0.05). Logistic
regression analysis showed that the incidence of postoperative complications was
related to fasting blood glucose, 2 h postprandial blood glucose, glycosylated
hemoglobin, total triglycerides (TGs), LDL, mean blood pressure and BMI (p<0.05).
The results show that, control of blood glucose, blood lipids and blood pressure
in patients with gastric cancer complicated with MS after radical gastrectomy can
reduce the incidence of postoperative complications and promote postoperative
recovery.
PMID- 29805497
TI - Protective effects of human induced pluripotent stem cell-derived exosomes on
high glucose-induced injury in human endothelial cells.
AB - Exosomes are a family of extracellular vesicles that are secreted from almost all
types of cells and are associated with cell-to-cell communication. The present
study was performed to investigate the effects of human induced pluripotent stem
cell-derived exosomes (hiPSC-exo) on cell viability, capillary-like structure
formation and senescence in endothelial cells exposed to high glucose. Exosomes
were isolated from the conditional medium of hiPSCs and confirmed by transmission
electron microscopy, nanoparticle tracking analysis and western blot analysis
using Alix and cluster of differentiation-63 as markers. hiPSC-exo were labeled
with PKH26 for tracking, and it was determined that spherical exosomes, with a
typical cup-shape, were absorbed by human umbilical vascular endothelial cells
(HUVECs). Cultured HUVECs were treated with high glucose (33 mM) with or without
hiPSC-exo (20 ug/ml) for 48 h, and cell viability, capillary tube formation and
senescence were assessed. When exposed to high glucose, viability and tube
formation in HUVECs was significantly reduced (P<0.0001), whereas the proportion
of senescent cells was higher compared with that in control HUVECs (P<0.0001).
Furthermore, hiPSC-exo restored cell viability and capillary-like structure
formation, and reduced senescence in HUVECs exposed to high glucose (P<0.0001).
However, hiPSC-exo had minimal effects on normal HUVECs. These findings suggest
that stem cell-derived exosomes are able to promote cell proliferation, enhance
capillary-like structure formation and reduce senescence in endothelial cells
exposed to high glucose.
PMID- 29805498
TI - MicroRNA-30a increases the chemosensitivity of U251 glioblastoma cells to
temozolomide by directly targeting beclin 1 and inhibiting autophagy.
AB - Temozolomide (TMZ) is one of the most commonly used drugs for the clinical
treatment of glioblastomas. However, it has been reported that treatment with TMZ
can induce autophagy, which leads to tumor resistance and increases the survival
of tumor cells. MicroRNA-30a (miR-30a) has been found to have inhibitory effects
on autophagy by directly targeting beclin 1. However, the exact role of miR-30a
in TMZ-treated glioblastoma cells has not been studied previously. The present
study aimed to investigate whether miR-30a increased the cytotoxicity of TMZ to
glioblastoma U251 cells, as well as the underlying mechanism. MTT and flow
cytometry assay results showed that treatment with TMZ inhibited the
proliferation of U251 cells while inducing cell apoptosis in a dose-dependent
manner. Western blotting data showed that the expression levels of LC3-II and
beclin 1 as well as the ratio of LC3-II to LC3-I were markedly increased in TMZ
treated U251 cells compared with the untreated control cells, indicating that
treatment with TMZ induced autophagy. Moreover, reverse transcription
quantitative polymerase chain reaction data showed that treatment with TMZ led to
a significant reduction in miR-30a levels in a dose-dependent manner in U251
cells. Elevation of the miR-30a level significantly inhibited TMZ-induced
autophagy, demonstrated by the decreased LC3-II and beclin 1 levels and ratio of
LC3-II to LC3-I, accompanied by the reduced proliferation and increased apoptosis
in TMZ-treated U251 cells. Furthermore, luciferase reporter assay data indicated
that beclin 1 was a direct target of miR-30a in U251 cells. In summary, this
study demonstrated that miR-30a increases the chemosensitivity of glioblastoma
U251 cells to temozolomide by directly targeting beclin 1 and inhibiting
autophagy. Therefore, autophagy may be a promising target for the treatment of
TMZ-resistant tumors.
PMID- 29805500
TI - Identification and bioinformatics analysis of overlapping differentially
expressed genes in depression, papillary thyroid cancer and uterine fibroids.
AB - It is hypothesized that there may be common characteristics between the genetic
regulatory networks of different diseases. To identify these potential
similarities, analysis of overlapping differentially expressed genes (DEGs) in
several diseases, which are believed to be associated in traditional Chinese
medicine (TCM) was performed in the present study. The gene expression profiles
associated with depression, papillary thyroid carcinoma (PTC) and uterine
fibroids (UF) were preliminarily analyzed using Gene Expression Omnibus 2R tools.
Gene Ontology enrichment analysis, Kyoto Encyclopedia of Genes and Genomes
pathway analysis and protein-protein interaction network analysis of the
overlapping DEGs in depression, PTC and UF was performed. The results indicated
that multiple genes, including activating transcription factor 3 and WSC domain
containing 2 and the phosphoinositide 3 kinase/protein kinase b signaling pathway
and its downstream effectors may be common factors associated with depression,
PTC and/or UF. The neuroendocrine functions of the hypothalamic-pituitary-ovarian
axis and hypothalamic-pituitary-thyroid axis were also identified as being
mutually associated with depression, PTC and/or UF. However, due to the
limitations of DNA microassays, it is recommended that future studies take
epigenetics into consideration. Further transcriptomic, methylomic and
metabolomic analyses of depression, PTC and UF are also required to identify and
elucidate the key associated biomarkers. In conclusion, the results of the
current study shed light on the potential genetic interconnections between
depression, PTC and UF, which may be beneficial for understanding their
underlying coregulatory mechanisms and contributing to the development of
homeotherapy based on bioinformatics prediction.
PMID- 29805499
TI - Characterization of respiratory infection viruses in hospitalized children from
Naples province in Southern Italy.
AB - Most acute respiratory infections (ARIs) in children are due to viral etiology,
and represent an important cause of mortality and morbidity in children <5 years
old in developing countries. The pathogens that cause ARIs vary geographically
and by season, and viruses serve a major role. In the present study, the
distribution of the seven respiratory viruses that are more prevalent in Southern
European countries were retrospectively analyzed in a Southern Italy Hospital,
that centralizes pediatric diseases from the Naples province. Viruses were
categorized by a FilmArray Respiratory Panel, and demonstrated no substantial
differences in sex, age and seasonal viruses distribution. However, all the
investigated viruses had a higher detection rate in the surrounding
municipalities than in the metropolitan area of Naples. In recent years, the
association between air pollution and respiratory infections has become an
increasing public health concern. The data in this study support this association
in the surrounding areas of Naples extensively contaminated by environmental
toxic agents. In these areas, characterization of the epidemiology of ARIs is
required to implement a prevention and control program.
PMID- 29805501
TI - Modified Bunnell suture expands the surgical indication of the treatment of
Haglund's syndrome heel pain with endoscope.
AB - The aim of the present study was to develop a method which can solve the problem
of partial tearing of the Achilles tendon insertion caused by the debridement for
Haglund's syndrome using endoscopy-assisted percutaneous repair. Seven patients
with Haglund's syndrome were prospectively recruited. All 7 patients (3 female, 4
male) had intratendinous calcifications. Preoperative diagnosis was made
according to the clinical symptoms and diagnosis, medical examination results,
plain film radiographs, and magnetic resonance imaging. The patients whose
average age was 35.2 years, had experienced symptoms and were treated by
conservative methods for 12-24 months (average 17.1 months). All 7 cases were
treated with debridement of Achilles tendon insertion site with a standard 4.0 mm
bur and underwent repair treatment with a modified Bunnell suture method under
direct visualization using arthroscopy. The American Orthopaedic Foot and Ankle
Society (AOFAS) score and the changes of the patient's parallel pitch lines were
used to evaluate and assess the results. The follow-up period averaged 22 months.
The lateral X-ray film after operation of all the heels of the patients showed
that sufficient osseous planning of all the patients was completed. None of the
patients converted to conventional open surgery. The average AOFAS scores of the
7 cases were improved significantly at final follow-up compared to pretherapy
(P<0.005). The results of 5 of the 7 cases were excellent, 2, were good, and 0
was fair or poor. None of the cases had permanent nerve injuries, wound
infections or Achilles tendon avulsion. Our study is a supplement of endoscopic
repairing and strengthening of the Achilles tendon. The advantages and clinical
significance of endoscopy during the treatment of Haglunds syndrome under the
premise of strict control of operation indications were further verified.
PMID- 29805502
TI - MicroRNA-216b-3p inhibits lung adenocarcinoma cell growth via regulating PDZ
binding kinase/T-LAK-cell-originated protein kinase.
AB - Numerous studies have reported that microRNA (miR)-216b, as a tumor suppressor,
is downregulated in a variety of cancer types. PDZ binding kinase (PBK)/T-LAK
cell-originated protein kinase (TOPK) is highly expressed in various types of
human cancer, including lung cancer. The expression of miR-216b-3p and its
potential roles in lung adenocarcinoma are still unclear and no research has been
conducted into the association between miR-216b-3p and PBK/TOPK. Thus, the
present study aimed to investigate the expression and role of miR-216b-3p in lung
adenocarcinoma and to explore whether PBK/TOPK is involved in the underlying
mechanisms of lung adenocarcinoma. The expression of miR-216b-3p in lung
adenocarcinoma cell lines was detected. PBK/TOPK protein expression levels were
also determined within lung adenocarcinoma cell lines. To investigate the
association between miR-216b-3p and PBK/TOPK, TargetScan analysis was performed;
PBK was predicted to be a potential target gene of miR-216b-3p, and a dual
luciferase reporter assay was applied to confirm this prediction. To investigate
the role of miR-216b-3p in lung adenocarcinoma, a lung adenocarcinoma cell line
(GLC-82) was transfected with miR-216b-3p mimic or its negative control. An MTT
assay was applied to detect cell proliferation, and cell apoptosis was analyzed
by flow cytometry. Western blot analysis was performed to determine the protein
expression levels of associated proteins. The results of the present study
suggested that miR-216b-3p was downregulated in lung adenocarcinoma cell lines
and PBK/TOPK was highly expressed in lung adenocarcinoma cells. miR-216b-3p
directly targets PBK and negatively regulates its expression. miR-216b-3p
overexpression may inhibit GLC-82 cell proliferation and induce cell apoptosis.
In addition, miR-216b-3p overexpression may increase p53 and p21 expression, and
prevent p38 MAPK activation. These effects on GLC-82 cells caused by miR-216b-3p
overexpression may be eliminated by PBK/TOPK overexpression. In conclusion, miR
216b-3p was downregulated in lung adenocarcinoma and may function as a tumor
suppressor by inhibiting cell growth via regulating PBK/TOPK expression.
PMID- 29805503
TI - Long non-coding RNA phosphatase and tensin homolog pseudogene 1 suppresses
osteosarcoma cell growth via the phosphoinositide 3-kinase/protein kinase B
signaling pathway.
AB - Osteosarcoma is a common type of human carcinoma, which exhibits a high
metastasis and recurrence rate. Previous studies have indicated that long non
coding RNA phosphatase and tensin homolog pseudogene 1 (lnPTENP1) has tumor
suppressive action by modulating PTEN expression in different types of tumor
cells. However, the potential mechanism by which lnPTENP1 has an effect in
osteosarcoma cells remains elusive. In the present study, the role of lnPTENP1 in
osteosarcoma cells was investigated and the possible mechanisms by which it
functions were explored. It was revealed that lnPTENP1 transfection significantly
inhibited osteosarcoma cell growth, proliferation, migration and invasion.
LnPTENP1 transfection also significantly promoted apoptosis in Mg63 cells treated
with tunicamycin. Further analysis revealed that lnPTENP1 transfection regulated
osteosarcoma cell growth via the PI3K/AKT signaling pathway. In vivo assays
revealed that lnPTENP1 transfection significantly inhibited osteosarcoma tumor
growth and significantly increased the protein expression and phosphorylation
levels of PI3K and AKT. In conclusion, the results of the present study indicated
that lnPTENP1 may inhibit osteosarcoma cell growth via the PI3K/AKT signaling
pathway, which may be a potential novel target for human osteosarcoma therapy.
PMID- 29805504
TI - Curative effects of GM1 in the treatment of severe ischemic brain injury and its
effects on serum TNF-alpha and NDS.
AB - The curative effects of monosialotetrahexosyl ganglioside (GM1) in the treatment
of severe ischemic brain injury and its effects on tumor necrosis factor-alpha
(TNF-alpha) and neuropathy disability score (NDS). Sixty patients with severe
ischemic brain injury admitted to The First People's Hospital of Jining (Jining,
China) from June 2014 to March 2016 were selected. They were randomly divided
into the control group (n=30) and the experimental group (n=30). The patients in
the control group were treated with routine therapy while those in the
experimental group were treated with GM1. The level of TNF-alpha in the serum was
measured by the enzyme-linked immunosorbent assay. The NDS was used to grade the
two groups; Pearson's correlation coefficient was applied to analyze the
correlation between the content of TNF-alpha and NDS; the content of superoxide
dismutase (SOD) was detected using xanthine oxidase assay, and the content of
malondialdehyde (MDA) was detected by thiobarbituric acid method. The clinical
recovery time of two groups of patients was recorded. At 14 days after GM1
treatment, the serum TNF-alpha content and the NDS in the experimental group were
significantly lower than those in the control group (P<0.05). The content of TNF
alpha in the patients was positively correlated with the NDS. After treatment,
the serum MDA content of patients in the experimental group was lower, while the
SOD content was significantly higher than that in the control group (P<0.05).
After GM1 treatment, hemodynamic parameters of patients in the experimental group
were significantly improved compared with those in the control group. The total
effective rate of GM1 treatment in the experimental group was higher than that in
the control group (P<0.05). GM1 has a good clinical significance in the treatment
of patients with severe ischemic brain injury and is worthy of clinical promotion
and application.
PMID- 29805506
TI - Relationship between HOX gene and pediatric congenital clubfoot.
AB - The relationship between transcription factor homeobox gene (HOX gene) and
pediatric congenital clubfoot (CCF) was studied. The CCF group comprised 35 cases
of children, and the control group compised 34 cases of children without
congenital malformation. The levels of inducible nitric oxide synthase (iNOS) and
nitric oxide (NO) in the serum of the control and CCF groups were measured using
iNOS and NO kits. Interleukin-1beta (IL-1beta), IL-6 and tumor necrosis factor
alpha (TNF-alpha) related to inflammation in the tissues of both groups were
detected by reverse transcription-polymerase chain reaction (RT-PCR). Fatty acid
synthase (Fas), Fas ligand (FasL) and Bcl-2-associated X (Bax) related to
apoptosis as well as the expression of HOX mRNA, the expression of HOX in the
control and CCF groups was detected by western blot analysis, and the
differential expression of HOX in the control and CCF groups was statistically
analyzed. Results of the kit detection showed that the expression of iNOS and NO
in the CCF group were significantly higher than those in the control group,
indicating that severe oxidative damage occurred in the CCF group. The results of
detecting inflammatory factors and apoptosis by RT-PCR showed that the expression
of IL-1beta, IL-6, TNF-alpha, Fas, FasL and Bax mRNA in the CCF group was
significantly higher than that in the control group, indicating pathogenesis of
CCF was related to inflammation and apoptosis. RT-PCR and western blot analysis
revealed HOX was highly expressed in the tissues of CCF, and the expression
quantity was significantly stronger than that in the control group. The result of
analysis of variance showed that the expression differences of HOX in normal and
CCF tissues were statistically significant (P<0.01). Abnormal expression of HOX
was closely related to the occurrence and development of CCF, indicating that HOX
has important research value in CCF and this functional mechanism is related to
oxidative damage, inflammation and apoptosis. Expression of HOX therefore shows
promise as an indicator of CCF diagnosis and treatment.
PMID- 29805505
TI - Effect of dendritic cell immunotherapy on distribution of dendritic cell subsets
in non-small cell lung cancer.
AB - The effect of dendritic cell (DC) immunotherapy on non-small cell lung cancer
(NSCLC) and its influence on the distribution of DC subsets were studied.
Peripheral blood was drawn from 55 patients, and DCs were cultured in vitro and
injected into the patients three times. The changes in DC subsets in NSCLC
patients before treatment and after three treatments were observed using a flow
cytometer, and the difference in DC subsets between patients and healthy controls
was compared. DC subsets in lung cancer tissues, para-carcinoma tissues and
normal tissues were analyzed by indirect immunofluorescence and laser scanning
confocal microscope (LSCM). The BDCA-1+ DC1 and BDCA-3+ DC2 in lung cancer
tissues were significantly increased compared with those in para-carcinoma
tissues and normal tissues (P<0.05). The number of DC1 and DC2 in para-carcinoma
tissues were increased compared with those in normal tissues (P<0.05). The ratio
of DC1 in peripheral blood in the normal control group was obviously higher than
that in NSCLC patients (P<0.01). There were significant differences in DC1 and
DC1/DC2 ratio in NSCLC patients with different tumor staging, and there were also
obvious differences in patients with a different Karnofsky performance status
(KPS) score. Moreover, compared with those before treatment, DC1 and DC1/DC2
ratio were significantly increased after three treatments, and there was a
significant difference in the comparison of DC1/DC2 ratio between the NSCLC
patients with survival time greater than and less than one year. The immune
function of NSCLC patients was improved after DC immunotherapy. The survival time
of NSCLC patients was closely associated with the DC1/DC2 ratio in peripheral
blood. The detection of DC subsets in peripheral blood can help clinicians
understand the immune function of NSCLC patients and provide a basis for the
clinical judgment of prognosis of NSCLC patients.
PMID- 29805508
TI - Effect of miRNA-19a on gastrointestinal motility in rats with functional
dyspepsia.
AB - The effect of microRNA (miRNA)-19a on gastrointestinal motility in rats with
functional dyspepsia was investigated. Fifty adult Sprague-Dawley (SD) rats were
randomly divided into 5 groups, 10 rats in each group, one group as the normal
group, one group as the model group, and the other three groups were divided into
negative control group, miRNA-19a mimic group and miRNA-19a inhibitor group. All
rats were intraperitoneally injected with miRNA-19a scramble, miRNA-19a mimic and
miRNA-19a inhibitor. Except the normal group, the functional dyspepsia model rat
was established by proper clipping tail stimulation. The gastric emptying rate,
intestinal propulsive ratio, serum motilin and vasoactive intestinal peptide of
rats in each group were measured. The level of miRNA-19a expression in each group
was detected by reverse transcription-polymerase chain reaction (RT-PCR). The
gastric emptying rate, intestinal propulsive ratio and serum motilin in model
group were significantly lower than those in normal group, and vasoactive
intestinal peptide was higher in model group than that in normal group (P<0.05).
The expression of miRNA-19a in model group was significantly higher than that in
normal group (P<0.05). After intraperitoneal injection of miRNA-19a mimic, the
expression of miRNA-19a was increased; gastric emptying rate, intestinal
propulsive ratio and serum motilin were significantly reduced in model group, and
vasoactive intestinal peptide was increased (P<0.05). After intraperitoneal
injection of miRNA-19a inhibitor, the expression of miRNA-19a was remarkably
decreased; gastric emptying rate, intestinal propulsive ratio and serum motilin
were further increased in model group, and vasoactive intestinal peptide was
decreased (P<0.05). In conclusion, the expression of miRNA-19a in rats with
functional dyspepsia is higher than that in normal rats, and the reduced miRNA
19a expression can ameliorate the gastrointestinal motility in rats with
functional dyspepsia.
PMID- 29805507
TI - Oral immunization with rotavirus VP7-CTB fusion expressed in transgenic
Arabidopsis thaliana induces antigen-specific IgA and IgG and passive protection
in mice.
AB - Human rotavirus (HRV) is the primary cause of severe gastroenteritis in children.
However, there is currently no protective virus for rotavirus available. In the
present study, an HRVVP7-cholera toxin B subunit (CTB) fusion protein was
expressed in Arabidopsis thaliana. To determine the adjuvant effect of HRVVP7
CTB, HRVVP7 without CTB was expressed in the same manner. HRVVP7-CTB accounted
for 0.39% of the total soluble protein (TSP) in the transgenic seeds and 52.65
ug/g of HRVVP7 protein was expressed in these seeds. Mice were immunized with TSP
from the transformed seeds and produced serum immunoglobulin G (IgG) and mucosal
IgA specifically directed against HRVVP7. Antibody titers were highest in mice
orally immunized with the plant-expressed HRVVP7-CTB protein, whereas HRVVP7-CTB
specific IgG neutralized the rotavirus. Suckling pups born from dams immunized
with the HRVVP7-CTB fusion protein were protected against challenge with virulent
rotavirus. The results of the present study suggest that the HRVVP7-CTB fusion
protein produced in A. thaliana may be a rotaviral-specific candidate subunit
vaccine.
PMID- 29805509
TI - Effect of rosuvastatin on the expression of candidate gene GALNT3 in
atherosclerosis.
AB - The effect of rosuvastatin on the expression of candidate gene polypeptide N
acetylgalactosaminyltrans ferase 3 (GALNT3) in atherosclerosis was studied. Sixty
Wistar rats were randomly divided into the control (n=20), model (atherosclerosis
group, n=20) and administration (rosuvastatin group, n=20) groups. The
atherosclerosis model was established via injecting D3.6 million units of vitamin
per kilogram of body weight and then the rats were fed with high-fat diet for 6
weeks. The total cholesterol, serum triglyceride and nitric oxide contents were
detected using the kits, the morphological changes in thoracic aorta were
observed via hematoxylin and eosin (H&E) staining, the mRNA expression of
candidate gene GALNT3 was detected via reverse transcription-polymerase chain
reaction (RT-PCR), and the protein expression of candidate gene GALNT3 was
detected via western blot analysis. Compared with those in the control group, the
contents of serum triglyceride and total cholesterol in the model group were
significantly increased, and then significantly decreased after drug
administration. Morphological observation showed that the surface of thoracic
aorta was not smooth with endothelial shedding, and the smooth muscle cells were
arranged irregularly and their number was obviously increased. Moreover, RT-PCR
and western blot analysis revealed that the mRNA and protein expressions of
GALNT3 were significantly increased in the administration group. Rosuvastatin can
therefore significantly upregulate the expression of candidate gene GALNT3 in
atherosclerosis, thereby reducing the incidence of atherosclerosis.
PMID- 29805510
TI - The action mechanism of lncRNA-HOTAIR on the drug resistance of non-small cell
lung cancer by regulating Wnt signaling pathway.
AB - The action mechanism of long non-coding ribonucleic acid-homeobox transcript
antisense ribonucleic acid (lncRNA-HOTAIR) in the regulation of the Wnt signaling
pathway on the drug resistance of non-small cell lung cancer was investigated.
Forty eight patients with non-small cell lung cancer, who were treated with
cisplatin (DDP) as neoadjuvant chemotherapy, were selected from the specimen bank
of the Department of Pathology of Peking Union Medical College Hospital. Reverse
transcription-polymerase chain reaction (RT-PCR) was used to detect the messenger
RNA (mRNA) level of lncRNA-HOTAIR in cancer and cancer-adjacent tissues. The
correlation curve of the expression of lncRNA-HOTAIR with the overall survival
(OS) was plotted using the Kaplan-Meier method. NCI-H1299 DDP-resistant cell
lines were constructed, and the half maximal inhibitory concentration (IC50)
value was measured. The expression of lnc-HOTAIR in NCI-H1299/DDP cells was
detected by the target interference of small interfering RNA (siRNA). The effect
of si-HOTAIR on cell resistance was detected by Cell Counting Kit-8 (CCK-8).
Western blot analysis was used to detect the effects of si-HOTAIR on multidrug
resistance proteins, multidrug resistance-associated protein 1 (MRP1) and
multidrug resistance 1 (MDR1), and Wnt signaling pathways, Wnt3a, adenomatous
polyposis coli (APC) and beta-catenin. The mRNA level of lncRNA-HOTAIR in cancer
tissues was significantly higher than that in cancer-adjacent tissues (P<0.05),
and the high expression of lncRNA-HOTAIR indicated that the OS of patients was
shortened (P<0.05). The IC50 of NCI-H1299/DDP cells inhibiting DDP was 127.82 uM,
which was significantly higher than that of parental NCI-H1299 cells (IC50=8.40
uM) (P<0.05). si-HOTAIR interference significantly decreased the sensitivity of
cells to DDP, the IC50 of cells was decreased from 131.85 to 44.34 uM (P<0.05),
the expression levels of MRP1 and MDR1 were significantly decreased, and the
activation of Wnt signaling pathway was significantly inhibited (P<0.05). Thus,
lncRNA-HOTAIR plays an important role in the occurrence and development of non
small cell lung cancer, and it may be an important factor in the clinical
prognosis of patients with non-small cell lung cancer.
PMID- 29805511
TI - Key genes and pathways in measles and their interaction with environmental
chemicals.
AB - The aim of the present study was to explore key genes that may have a role in the
pathology of measles virus infection and to clarify the interaction networks
between environmental factors and differentially expressed genes (DEGs). After
screening the database of the Gene Expression Omnibus of the National Center for
Biotechnology Information, the dataset GSE5808 was downloaded and analyzed. A
global normalization method was performed to minimize data inconsistencies and
heterogeneity. DEGs during different stages of measles virus infection were
explored using R software (v3.4.0). Gene Ontology and Kyoto Encyclopedia of Genes
and Genomes (KEGG) pathway analysis of the DEGs were performed using Cytoscape
3.4.0 software. A protein-protein interaction (PPI) network of the DEGs was
obtained from the STRING database v9.05. A total of 43 DEGs were obtained from
four analyzed sample groups, including 10 highly expressed genes and 33 genes
with decreased expression. The most enriched pathways based on KEGG analysis were
fatty acid elongation, cytokine-cytokine receptor interaction and RNA
degradation. The genes mentioned in the PPI network were mainly associated with
protein binding and chemokine activity. A total of 219 chemicals were identified
that may, jointly or on their own, interact with the 6 DEGs between the control
group and patients with measles (at hospital entry), including benzo(a)pyrene
(BaP) and tetrachlorodibenzodioxin (TCDD). In conclusion, the present study
revealed that chemokines and environmental chemicals, e.g. BaP and TCDD, may
affect the development of measles.
PMID- 29805512
TI - Clinical significance of expression of hsa-mir-1247 and hsa-mir-1269a in ectopic
pregnancy due to salpingitis.
AB - The clinical significance of hsa-mir-1247 and hsa-mir-1269a expression in ectopic
pregnancy due to salpingitis was investigated. Eighty patients with ectopic
pregnancy diagnosed by ultrasonography who were admitted to Jinan Maternity and
Child Care Hospital from January 2012 to May 2012 were enrolled in this study. To
the observation group were assigned 35 patients whose ectopic pregnancy was due
to salpingitis. The remaining 45 patients whose ectopic pregnancy was due to
reasons other than endometriosis were assigned to the control group. Moreover, 32
healthy pregnant women were enrolled in this study at the same time as the
healthy control group. Compared with the healthy control group, hsa-mir-1247 and
hsa-mir-1269a were downregulated and upregulated, respectively, in patients with
ectopic pregnancy (P<0.05). The difference was even more marked in patients with
ectopic pregnancy due to salpingitis (P<0.05). The expression levels of hsa-mir
1247 and hsa-mir-1269a were negatively correlated, and the correlation
coefficient r and P-value was -0.667 and 0.006, respectively. Abnormal expression
of hsa-mir-1247 and hsa-mir-1269a may be risk factors for ectopic pregnancy.
Abnormal expression of hsa-mir-1247 and hsa-mir-1269a found in patients with
ectopic pregnancy due to salpingitis may be used as biomarkers of ectopic
pregnancy.
PMID- 29805513
TI - Effect of mild hypothermia on expression of inflammatory factors in surrounding
tissue after minimally invasive hematoma evacuation in the treatment of
hypertensive intracerebral hemorrhage.
AB - Mild hypothermia combined with minimally invasive hematoma evacuation was
evaluated in the treatment of hypertensive intracerebral hemorrhage to reduce
inflammatory response of brain tissue around hematoma and ameliorate brain
function, and to investigate its safety, effectiveness and feasibility. A total
of 206 patients with acute spontaneous hypertensive intracerebral hemorrhage were
collected clinically and randomly divided into minimally invasive hematoma
evacuation group (group A) and mild hypothermia combined with minimally invasive
hematoma evacuation (group B). The National Institutes of Health Stroke Scale
(NIHSS) score was used before and after treatment. Group A was treated with
minimally invasive intracranial hematoma evacuation using intracranial hematoma
grinding puncture needle while group B received whole body water circulation type
cooling blanket plus local cerebral mild hypothermia therapy with ice cap on the
basis of minimally invasive surgery. Patients brain tissue fragments around
hematoma taken out with rinsing during operation and at postoperative 1, 3 and 7
days were investigated. The contents of tumor necrosis factor-alpha (TNF-alpha)
in serum at postoperative 1, 3 and 7 days were evaluated by enzyme-linked
immunosorbent assay (ELISA). For the degree of nerve function defect of patients
in the two groups, NIHSS score was lower in group B than that in group A at days
3 and 7, and the differences were statistically significant (P<0.05). The serum
TNF-alpha content and expression of nuclear factor-kappaB (NF-kappaB) in brain
tissue around hematoma reached the peak on the 3rd day. The TNF-alpha content and
NF-kappaB expression were lower in group B than those in group A at each time
point (P<0.05). Mild hypothermia combined with minimally invasive hematoma
evacuation can reduce the damage of hematoma to the surrounding brain tissue,
effectively alleviate inflammatory response and decrease brain tissue injury,
thus ameliorating brain function.
PMID- 29805514
TI - Protective mechanism of sulforaphane in Nrf2 and anti-lung injury in ARDS
rabbits.
AB - The effect of sulforaphane on nuclear factor erythroid 2-related factor 2 (Nrf2)
and its protective mechanism for lung injury in rabbits with acute respiratory
distress syndrome (ARDS) were investigated. Thirty rabbits were randomly divided
into control (n=10), model (n=10) and experimental groups (n=10). Rabbits in
model group and experimental group were treated with femoral venous injection of
oleic acid to establish the ARDS model, while those in control group were
injected with the same volume of normal saline. The experimental group received
intravenous injection of sulforaphane. Twelve hours after modeling, the clinical
manifestations and deaths of rabbits in each group were recorded and compared,
including blood gas indexes, lung index (LI), alveolar damage coefficient, serum
Nrf2 expression, as well as messenger ribonucleic acid (mRNA) and protein
expression of Nrf2 in lung tissues. Pink frothy sputum and death were observed in
rabbits in model group and experimental group, but the number of such cases in
experimental group was smaller than that in the model group (p<0.05). Compared
with those in control group, LI and IQA in model group and experimental group
were increased, but LI and IQA in the experimental group were significantly
decreased compared with those in the model group. Compared with those in the
model group, the blood gas indexes (PaO2, PaCO2 and SaO2) in the experimental
group were significantly increased (p<0.05). Nrf2 in serum and lung tissues of
rabbits in experimental group was significantly increased compared with that in
model group (p<0.05). Sulforaphane significantly inhibits ARDS in rabbits and
plays a protective role in ARDS through upregulating Nrf2.
PMID- 29805516
TI - Eukaryotic translation initiation factor 3H suppression inhibits osteocarcinoma
cell growth and tumorigenesis.
AB - Eukaryotic translation initiation factor 3H subunit (EIF3H) is a member of the
EIF3 family and exhibits a central role in translation initiation in higher
eukaryotes. Although EIF3H expression is upregulated in numerous tumour types,
its potential role in human osteosarcoma (OS) has not yet been investigated. In
the present study, it was demonstrated that EIF3H mRNA expression was upregulated
in the human OS cell lines Saos-2 and U2OS. A recombinant lentivirus harbouring
short hairpin RNA targeting EIF3H was constructed and successfully infected human
OS Saos-2 and U2OS cells, resulting in 95% downregulated EIF3H expression
compared with the respective control groups. Knockdown of EIF3H significantly
inhibited the proliferation and colony formation of OS cells in vitro, and tumour
growth in nude mice in vivo. Flow cytometry analysis revealed cell cycle arrest
and promotion of apoptosis in OS cells with EIF3H knocked down. In conclusion,
the results strongly suggested that EIF3H is a critical factor mediating the
growth of OS cells and may represent a novel therapeutic target.
PMID- 29805515
TI - Pseudo-Ginsenoside Rh2 induces A549 cells apoptosis via the Ras/Raf/ERK/p53
pathway.
AB - Ginsenoside Rh2, a major effective constituent of ginseng, has been suggested to
have a pro-apoptotic effect in a variety of cancer cells. Pseudo-Ginsenside-Rh2
(pseudo-G-Rh2) is a novel derivative of ginsenoside Rh2. The aim of the present
study was to evaluate the effect of pseudo-G-Rh2 on the apoptosis of lung
adenocarcinoma A549 cells. The cytotoxicity of pseudo-G-Rh2 on A549 cells was
evaluated using an MTT assay. Apoptosis was detected using DAPI staining and flow
cytometry. The expression of apoptosis associated proteins was identified by
western blot analysis. The results demonstrated that pseudo-G-Rh2 inhibits the
proliferation of A549 cells in a dose-dependent manner. DAPI staining revealed
topical morphological changes in apoptotic bodies following pseudo-G-Rh2
treatment. Flow cytometric analysis revealed that the percentage of Annexin V
fluorescein isothiocyanate-positive cells, which are apoptotic, increased with
pseudo-G-Rh2 treatment in a dose-dependent manner. Furthermore, treatment with
pseudo-G-Rh2 increased the level of reactive oxygen species in A549 cells as well
as the activation of caspase-9, caspase-3 and poly ADP-ribose polymerase. Pseudo
G-Rh2 treatment was observed to induce mitochondrial membrane potential loss.
Furthermore, the results of western blotting revealed that B-cell lymphoma 2 (Bcl
2) expression was significantly decreased while Bcl-2-associated X protein
expression was significantly upregulated in A549 cells with pseudo-G-Rh2
treatment. Pseudo-G-Rh2-induced apoptosis was accompanied by sustained
phosphorylation of Ras, Raf, extracellular signal-regulated kinase (ERK) and p53.
In conclusion, the results of the present study suggest that pseudo-G-Rh2 induces
mitochondrial apoptosis in A549 cells and is responsible for excessive activation
of the Ras/Raf/ERK/p53 pathway.
PMID- 29805517
TI - Identification of key candidate genes and pathways in hepatocellular carcinoma by
integrated bioinformatical analysis.
AB - Hepatocellular carcinoma (HCC) is one of the most common malignant neoplasms
worldwide, however the underlying mechanisms and gene signatures of HCC are
unknown. In the present study the profile datasets of four cohorts were
integrated to elucidate the pathways and candidate genes of HCC. The expression
profiles GSE25097, GSE45267, GSE57957 and GSE62232 were downloaded from the Gene
Expression Omnibus database, including 436 HCC and 94 normal liver tissues. A
total of 185 differentially expressed genes (DEGs) were identified in HCC,
including 92 upregulated genes and 92 downregulated genes. Gene ontology (GO) was
performed, which revealed that the upregulated DEGs were primarily enriched in
cell division, mitotic nuclear division, mitotic cytokinesis and G1/S transition
of the mitotic cell cycle. Pathway enrichment was analyzed based on the Kyoto
Encyclopedia of Genes and Genomes database to assess the functional relevance of
DEGs. The most significant module was selected from protein-protein interactions
and 15 important hub genes were identified. The sub-networks of hub genes were
involved in cell division, p53 signaling, and T lymphotropic virus type I
infection signaling pathways. In conclusion, the present study revealed that the
identified DEG candidate genes may promote the understanding of the cause and
molecular mechanisms underlying the development of HCC and that these candidates
and signal pathways may be potential targets of clinical therapy for HCC.
PMID- 29805518
TI - Expression and prognostic value of CLIC1 in epithelial ovarian cancer.
AB - The clinical significance of the chloride intracellular channel 1 (CLIC1) protein
in ovarian cancer is yet to be determined. The present study aimed to investigate
the association between CLIC1 expression, and clinicopathological features and
prognosis of patients with epithelial ovarian cancer. In this retrospective
study, CLIC1 level was determined by reverse transcription-quantitative
polymerase chain reaction, western blotting and immunohistochemical staining. The
association between CLIC1 expression and clinicopathological characteristics were
evaluated. Progression-free survival and overall survival were assessed by
univariate, and multivariate analyses. mRNA and protein levels of CLIC1 were
significantly higher in cancerous tissues than in healthy ovarian tissues
(P<0.001). CLIC1 signals in epithelial ovarian cancer tissues were significantly
higher than that in healthy tissues (P<0.001). CLIC1 expression was significantly
higher in higher-grade tumors than in low-grade tumors (P<0.001). Moreover,
overexpression of CLIC1 was associated with cisplatin resistance (P<0.001). CLIC1
expression was an independent factor that predicted shorter progression-free
survival (P=0.006) and overall survival (P=0.002) for patients with epithelial
ovarian cancer. These findings indicate that CLIC1 is overexpressed and is
associated with poor prognosis in patients with epithelial ovarian cancer.
PMID- 29805519
TI - The effects of doxorubicin-loaded liposomes on viability, stem cell surface
marker expression and secretion of vascular endothelial growth factor of three
dimensional stem cell spheroids.
AB - The aim of the present study was to evaluate the effects of anionic, cationic and
neutral liposomes containing doxorubicin on the cellular viability and osteogenic
differentiation of three-dimensional stem cell spheroids. Doxorubicin-loaded
liposomes were prepared using the traditional thin-lipid-film-hydration method
and were characterized using transmission electron microscopy and a zeta
potential analyzer. The doxorubicin release profile from these liposomes was also
analyzed in vitro. Three-dimensional cell spheroids were fabricated using silicon
elastomer-based concave microwells. Qualitative results of cellular viability
were observed using a confocal microscope and quantitative cellular viability was
evaluated using a Cell-Counting Kit-8 (CCK-8) assay. Furthermore, the secretion
of vascular endothelial growth factor was evaluated. Western blot analysis was
performed to assess the expression of collagen I and glyceraldehyde 3-phosphate.
Results indicated that the spheroids were well formed in silicon elastomer-based
concave microwells on day 1. In general, the shapes of the cells in the in the
doxorubicin-loaded anionic, cationic and neutral liposome groups were similar to
the control group except for the 10 ug/ml groups on days 3, 5, and 7. No
significant changes in cellular viability were noted with the addition of
doxorubicin at day 1 but significant decreases in cellular viability were noted
with application of doxorubicin at day 5. Notably, higher concentrations of
doxorubicin reduced the secretion of vascular endothelial growth factor and stem
cell marker expression. To conclude, the present study indicated that doxorubicin
loaded anionic liposomes produced the most sustained release profile and cationic
liposomes produced the highest uptake of the stem cell spheroids. These findings
suggested that higher concentrations of doxorubicin-loaded liposomes affected
cellular viability, the secretion of vascular endothelial growth factor and stem
cell marker expression.
PMID- 29805520
TI - Analysis of the in vitro effects of di-(2-ethylhexyl) phthalate exposure on human
uterine leiomyoma cells.
AB - Uterine leiomyoma is the most common benign tumor type of the female reproductive
tract. Despite its high prevalence, the exact pathogenesis of the benign tumor
remains unknown. In the present study, the effects of di-(2-ethylhexyl) phthalate
(DEHP) on the proliferation and apoptosis rates and expression of inflammatory
proteins in human leiomyoma cells were evaluated. The effects of DEHP on cell
viability were determined by 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium
bromide assay. The effects on apoptosis were evaluated by western blotting, TUNEL
assay and Annexin V staining. Western blotting was also performed to evaluate the
expression of inflammatory proteins. It was observed that DEHP-treated leiomyoma
cells had higher viability, as well as proliferating cell nuclear antigen and B
cell lymphoma 2 protein expression, and lower apoptosis rates compared with the
untreated controls. Additionally, hypoxia inducible factor 1alpha (HIF-1alpha)
and cyclooxygenase-2 (COX-2) expression increased in human leiomyoma cells
following DEHP treatment. In conclusion, DEHP promoted cell viability and anti
apoptotic protein expression and induced HIF-1alpha and COX-2 expression in human
leiomyoma cells. These results suggested that DEHP may disrupt mechanisms
underlying various processes in human leiomyoma cells. Furthermore, the current
study revealed a basic mechanism of action of DEHP in human leiomyoma cells.
Further research on the effects of various endocrine disruptors on the
pathogenesis of uterine leiomyoma during early development may reveal strategies
to prevent this disease.
PMID- 29805521
TI - Prognostic and therapeutic value of CD103+ cells in renal cell carcinoma.
AB - Immune checkpoint blockade therapy (ICBT) uses drugs to interrupt signaling
pathways that inhibit antitumor immune responses. Although ICBT has provided
clinical benefits in certain cancer patients, a large number of patients do not
respond to ICBT. Therefore, it is necessary to find other efficient targets to
promote the effects of ICBT. Renal cell carcinoma (RCC) is one of the leading
causes of cancer-associated mortality worldwide. To date, there is no efficient
treatment for patients with advanced RCC. The present study aimed to evaluate the
prognostic value of CD103+ cells in patients with RCC and their potential role in
enhancing the effect of ICBT in RCC. A total of 200 tumor tissue samples were
collected from patients with RCC. The CD103+ cell count and survival of these
patients was assessed, and the role of CD103+ cells in combination with ICBT was
evaluated in an RCC mouse model. It was identified that a high CD103+ cell count
was an independent favorable prognosticator in patients with RCC. The expansion
of CD103+ cells promoted the effects of ICBT in the RCC xenograft mouse model,
while depletion of CD103+ cells had the opposite effect. Furthermore, the
expansion of CD103+ cells enhanced the count and activation of tumor infiltrating
CD8+ T cells in RCC tumor tissue. These results indicate that a high CD103+ cell
count is an independent favorable prognosticator in RCC patients. Thus, the
expansion of CD103+ cells may increase the efficacy of ICBT in patients with RCC.
PMID- 29805522
TI - Blocking of the EGFR-STAT3 signaling pathway through afatinib treatment inhibited
the intrahepatic cholangiocarcinoma.
AB - Epidermal growth factor receptor (EGFR) and downstream signal transducer and
activator of transcription 3 (STAT3) signaling have been extensively implicated
in various human neoplasms. Recently, a novel EGFR inhibitor, known as afatinib,
has exhibited broad antitumor activities in a variety of tumors. Therefore, the
present study attempted to investigate the impact of this agent on intrahepatic
cholangiocarcinoma (ICC). Initially, immunohistochemical assays were performed on
15 human ICC specimens and their adjacent tissues in order to assess the protein
levels of phosphorylated EGFR (pEGFR) and pSTAT3. Subsequently, the human ICC
cell lines JCK and OZ were exposed to different doses of afatinib, and then cell
viability and apoptosis were determined by MTT assay and flow cytometry,
respectively. Furthermore, immunoblotting was applied to detect any variations in
the phosphorylated protein levels of EGFR and STAT3 in afatinib-treated ICC
cells. The results of the current study demonstrated that ICC specimens had
evidently increased pEGFR and pSTAT3 protein levels as compared with the adjacent
noncancerous tissues. Further in vitro experiments indicated that afatinib
evidently blocked ICC cell growth and induced cell apoptosis. At the protein
level, pEGFR and pSTAT3 were evidently attenuated by afatinib-administration. In
conclusion, the present study clearly determined that afatinib exerts an
antitumor effect on ICC cells by silencing the EGFR-STAT3 signaling pathway. This
novel agent deserves further investigation as a potential therapeutic strategy
for ICC.
PMID- 29805523
TI - microRNA-124-3p inhibits the progression of congenital hypothyroidism via
targeting programmed cell death protein 6.
AB - The incidence of congenital hypothyroidism (CH) in newborn infants ranges from 1
in 3,000 to 1 in 4,000. Previous studies have indicated the neuroprotective role
of microRNA (miR)-124-3p, however the expression and role of miR-124-3p in CH
remain unclear. Therefore, the present study was performed to investigate the
role and precise molecular mechanism of miR-124-3p in CH. Propylthiouracil (50
mg/day) was injected into the stomach of pregnant rats from gestational day 15
until parturition in order to establish a thyroid hypofunction model. Newborn
rats were divided into the following four groups: The control group; the thyroid
hypofunction group; the miR-124-3p mimic group; and the miR-124-3p negative
control group. Reverse transcription-quantitative polymerase chain reaction
indicated that miR-124-3p was significantly decreased in the hippocampus of the
thyroid hypofunction group compared with the control group. Bioinformatics
software was used to predict mRNA targets recognized by miR-124-3p and the
programmed cell death protein 6 (PDCD6) 3' untranslated region (UTR) was
demonstrated to exhibit the seed sequence of miR-124-3p. The interaction between
miRNA-124-3p and PDCD6 was then verified using a dual-luciferase reporter assay
system. PDCD6 expression was significantly increased in the hippocampus of rats
with CH compared with the control group. Flow cytometry was performed to
investigate the effects of miR-124-3p on neuronal cell apoptosis and the results
indicated that the apoptosis rate in the thyroid hypofunction group was
significantly increased compared with the control group; this increase was
reversed by transfection with miR-124-3p mimics. Western blot analysis was used
to detect the levels of cleaved poly [ADP-ribose] polymerase (PARP), full-length
PARP, caspase-3, B cell lymphoma-2 (Bcl-2) and Bcl-2-associated X protein (Bax)
proteins. The results indicated that the expression of cleaved PARP, caspase-3
and Bax protein were significantly increased and the expression of full-length
PARP and Bcl-2 protein was significantly decreased compared with the control
group. These effects were reversed by miRNA-124-3p mimic transfection. Taken
together, the results of the present study demonstrate that miRNA-124-3p serves a
protective role in CH via targeting PDCD6.
PMID- 29805524
TI - SPHK1 promotes metastasis of thyroid carcinoma through activation of the
S1P/S1PR3/Notch signaling pathway.
AB - Thyroid carcinoma is characterized by an aggressive behavior, lack of effective
targeted therapies and a high rate of relapse. Sphingosine kinase 1 (SPHK1) has
been reported to be a critical regulatory factor in the progression of thyroid
carcinoma, but the correlation between SPHK1 and clinical prognosis of patients
with thyroid carcinoma has remained to be fully elucidated. The present study
aimed to systematically assess the roles of SPHK1 in thyroid carcinoma metastasis
and further investigate the possible underlying mechanisms. First, the expression
of SPHK1 was detected in tissue samples from 53 thyroid carcinoma patients and in
thyroid carcinoma cell lines by reverse transcription-quantitative polymerase
chain reaction analysis. Furthermore, the level of phospho-(p)-SPHK1 was
immunohistochemically detected in human thyroid carcinoma tissue samples. The
activity of SPHK1 was measured with a commercial SPHK1 Activity Assay kit. A
sphingosine-1-phosphate (S1P) competitive ELISA kit was used to determine the
extracellular S1P levels. The metastatic potential was assessed by a Transwell
assay. In addition, the association between SPHK1 and clinicopathological
features of the patients was analyzed. The results indicated that the expression
of SPHK1 in thyroid carcinoma samples was significantly higher than in paired
adjacent normal thyroid tissues. High levels of SPHK1 were positively correlated
with poor overall survival and progression-free survival. Downregulation of SPHK1
by lentiviral vector expressing SPHK1 small interfering (si)RNA evidently
repressed Notch signaling and reduced the migration and invasion of thyroid
carcinoma cells in vitro and in a NOD/SCID mouse model. Furthermore, inhibition
of SPHK1 by siRNA or treatment with SPHK1 inhibitor 5C sensitized thyroid
carcinoma to cisplatin and doxorubicin. In addition, it was demonstrated that
silencing of SPHK1 effectively inhibits processes associated with thyroid
carcinoma metastasis through the Notch signaling pathway, and SPHK1 may therefore
represent a potential therapeutic target in thyroid carcinoma. In conclusion, the
present study indicated that high levels of p-SPHK1 were positively correlated
with high levels of S1P which in turn promoted thyroid carcinoma metastasis via
the S1P/S1P receptor 3/Notch signaling pathway, suggesting possible prognostic
markers and therapeutic targets.
PMID- 29805525
TI - miR-128 induces pancreas cancer cell apoptosis by targeting MDM4.
AB - MicroRNAs (miRNA/miRs) are small, non-coding RNA molecules (19-25 nucleotides in
length), which function to regulate gene expression. It has been reported that
miR-128 serves an important role in regulating cancer cell growth; increasing
evidence has indicated that the expression of miR-128 is decreased in pancreatic
cancer (PC) cells. However, the specific mechanisms of miR-128 in regulating PC
cell growth are unclear. In the present study, it was confirmed that the
expression of miR-128 was significantly decreased within PC tissues compared with
adjacent normal tissues via reverse transcription-quantitative polymerase chain
reaction analysis. In addition, miR-128 mimics inhibited PC MIA-PaCa2 cell growth
by enhancing cell apoptosis in a caspase-dependent manner. Furthermore, the
results of the present study demonstrated that double minute 4 (MDM4) may be a
direct target for miR-128 via a dual luciferase report assay; miR-128 may inhibit
MDM4 expression, and increase p53 and cleaved caspase-3 protein expression
levels. In summary, the present study indicated that miR-128 is downregulated in
PC, and it may be a promising target for future PC diagnosis and treatment.
PMID- 29805526
TI - [18F]Fluorodeoxyglucose positron emission tomography/computed tomography for
diagnosing polymyositis/dermatomyositis.
AB - [18F]fluorodeoxyglucose positron emission tomography/computed tomography
([18F]FDG-PET/CT) is useful for diagnosing cancers and inflammatory diseases. A
polymyositis/dermatomyositis (PM/DM) lesion is an inflammatory heterogeneous
disease of the striated muscle. In the present study, the maximum standardized
uptake value (SUVmax) was compared between 22 cases with definite or probable
PM/DM (PM/DM group) that underwent [18F]FDG-PET/CT examination and the same
number of patients with no myopathy. The average proximal muscle FDG uptake value
(SUVaverage) for each patient was represented by calculating the average of the
SUVmax for these muscles bilaterally. The correlation between creatine kinase
(CK), serum creatine kinase isoenzyme, myodynamia of the proximal limb girdle
muscle and SUVmax of each muscle group were analyzed. The results indicated that
the SUVmax was markedly different between the PM/DM group and the non-myopathy
group. It was demonstrated that [18F]FDG-PET/CT has a diagnostic value for PM/DM.
The serum CK levels and the SUVaverage were negatively correlated with
myodynamia. [18F]FDG-PET/CT may be used for examination to assess the severity of
myositis. Furthermore, it may provide detection sites for muscle biopsy in
patients with myositis.
PMID- 29805527
TI - Evaluation of three-dimensional arterial spin labeling perfusion imaging for the
pathological investigation of musculoskeletal tumors.
AB - The present study aimed to assess the clinical utility of three-dimensional
arterial spin labeling (3D-ASL) perfusion imaging in discriminating between
benign, intermediate and malignant musculoskeletal tumors, as well as to analyze
the correlation between tumor blood flow (TBF) and microvessel density (MVD). 3D
ASL was performed on 44 patients with musculoskeletal tumor using a 3.0-T
magnetic resonance system to obtain TBF values prior to surgery. TBF was
independently measured by two radiologists. The TBF values of different groups
were compared by one-way analysis of variance. A receiver operating
characteristic (ROC) curve was applied to assess the threshold and diagnostic
reliability of TBF. Immunohistochemical staining of tumor specimens was performed
using a cluster of differentiation 34 monoclonal antibody to calculate MVD
counts. The correlation between TBF and MVD counts was analyzed using correlative
analysis. Pathology results for a total of 44 cases were obtained by surgery.
Good interobserver agreement was found for the TBF values independently
determined by the two radiologists (intra-class correlation coefficient test,
0.891; P<0.05). TBF and MVD values in the malignant group were significantly
higher compared with that of the benign, and intermediate groups. No significant
difference was found between the TBF and MVD values of the benign, and
intermediate groups. According to the ROC analysis, the area under the curve was
largest (0.951) when 45.5 ml/min/100 g was considered as the TBF cut-off value in
the diagnosis. The diagnostic sensitivity and specificity were 90.5 and 100%,
respectively. Additionally, a significant positive correlation was found between
TBF and MVD (r, 0.784; P<0.05). The results of the present study suggest that 3D
ASL is valuable in discriminating between benign, intermediate and malignant
musculoskeletal tumors. 3D-ASL may be utilized to evaluate angiogenesis in
musculoskeletal tumors in vivo.
PMID- 29805528
TI - Salidroside promotes human periodontal ligament cell proliferation and
osteocalcin secretion via ERK1/2 and PI3K/Akt signaling pathways.
AB - Salidroside modulates cell proliferation and serves as an anti-inflammatory and
anti-apoptotic agent with efficacy against various diseases. The objective of the
present study was to investigate the efficacy of salidroside in enhancing the
proliferation of human periodontal ligament cells (hPDLCs). hPDLCs were isolated
and the effects of salidroside on cell viability, soluble osteocalcin levels and
activation of proliferation-associated signaling pathways were determined using a
CCK-8 assay, ELISA and Western blotting, respectively. The results indicated that
salidroside induced proliferation of hPDLCs, increased secretion of soluble
osteocalcin and enhanced activation of extracellular signal-regulated kinase
(ERK)1/2 and phosphoinositide-3 kinase (PI3K)/Akt signaling pathways. These
factors were upregulated by salidroside in a dose-dependent manner. The results
of the present study suggested that salidroside mediated hPDLC proliferation via
the ERK1/2 and PI3K/Akt signaling pathways, as well as osteocalcin secretion.
Salidroside may therefore be used as a novel therapeutic agent in the treatment
of the tooth-supporting apparatus, progressive tooth destruction or
periodontitis.
PMID- 29805529
TI - Cinchonine activates endoplasmic reticulum stress-induced apoptosis in human
liver cancer cells.
AB - Cinchonine is a natural compound present in Cinchona bark. It exerts multidrug
resistance reversal activity and synergistic apoptotic effect with paclitaxel in
uterine sarcoma cells. Whether cinchonine is effective against human liver
cancer, however, remains elusive. A total of five liver cancer cell lines
including Bel-7402, MHCC97H, HepG2, Hep3B and SMCC7721 were used. The anti
proliferative effects of cinchonine on these liver cancer cell lines were
assessed by MTT assay. The apoptotic effects of cinchonine on liver cancer cell
lines were assessed by flow cytometry with Annexin V/propidium iodide assay.
Caspase-3 activation, poly (ADP-Ribose) polymerase (PARP) cleavage as well as the
endoplasmic-reticulum (ER) stress response was detected by western blotting.
Balb/c-nude mice bearing HepG2 xenograft tumors were used to evaluate the in vivo
antitumor effect of cinchonine. It was demonstrated that cinchonine inhibited
cell proliferation and promoteed apoptosis in liver cancer cells in a dose
dependent manner. Cinchonine promoted caspase-3 activation and PARP1 cleavage in
liver cancer cells. Furthermore, cinchonine activated the ER stress response by
upregulating GRP78 and promoting PERK and Eukaryotic Translation Initiation
Factor 2 alpha phosphorylation. The Balb/c-nude mice experiment revealed that
cinchonine suppressed HepG2 xenograft tumor growth in mice. The findings
indicated that cinchonine promoted ER stress-induced apoptosis in liver cancer
cells and suggested that cinchonine may have a potential beneficial effect for
liver cancer treatment.
PMID- 29805530
TI - Treatment of recurrent patellar dislocation via knee arthroscopy combined with C
arm fluoroscopy and reconstruction of the medial patellofemoral ligament.
AB - Recurrent patellar dislocations were treated via knee arthroscopy combined with C
arm fluoroscopy, and reconstruction of the medial patellofemoral ligaments.
Between October 2013 and March 2017, 52 cases of recurrent patellar dislocation
[27 males and 25 females; age, 16-47 years (mean, 21.90 years)] were treated.
Arthroscopic exploration was performed and patellofemoral joint cartilage
injuries were repaired. It was subsequently determined whether it was necessary
to release the lateral patellofemoral support belt. Pre-operative measurements
were used to decide whether tibial tubercle osteotomy was required. Medial
patellofemoral ligaments were reconstructed using autologous semitendinosus
tendons. Smith and Nephew model 3.5 line anchors were used to double-anchor the
medial patellofemoral margin. On the femoral side, the medial patellofemoral
ligament was fixed using 7-cm, absorbable, interfacial compression screws. All
cases were followed for 1-40 months (average, 21 months). The Q angle, tibial
tuberosity trochlear groove distance, Insall-Salvati index, patellofemoral angle,
lateral patellofemoral angle and lateral shift were evaluated on X-Ray images
using the picture archiving and communication system. Subjective International
Knee Documentation Committee (IKDC) knee joint functional scores and Lysholm
scores were recorded. Post-operative fear was absent, and no patellar re
dislocation or re-fracture was noted during follow-up. At the end of follow-up,
the patellofemoral angle (0.22+/-4.23 degrees ), lateral patellofemoral angle
(3.44+/-1.30 degrees ), and lateral shift (0.36+0.14 degrees ) differed
significantly from the pre-operative values (all, P<0.05). Furthermore, IKDC and
Lysholm scores (87.84+3.74 and 87.48+3.35, respectively) differed significantly
from the pre-operative values (both, P<0.05). These findings suggest that, in the
short term, recurrent patellar dislocation treatment via knee arthroscopy
combined with C-arm fluoroscopy and reconstruction of the medial patellofemoral
ligament was effective.
PMID- 29805531
TI - MicroRNA-183 suppresses the vitality, invasion and migration of human
osteosarcoma cells by targeting metastasis-associated protein 1.
AB - The aim of the present study was to investigate the effects of microRNA (miR)-183
on vitality, invasion, metastasis and apoptosis in osteosarcoma (OS) cells,
mediated by its binding to metastasis-associated protein 1 (MTA1). A dual
luciferase reporter assay was performed to determine whether MTA1 was a direct
target of miR-183. Cell Counting Kit-8, Transwell, scratch-wound healing,
fluorescence-activated cell sorting andterminal deoxynucleotidyl transferase dUTP
nick end labeling assays were also performed to investigate the effects of miR
183 expression on the proliferation, invasion, migration and apoptosis of MG63
cells. It was demonstrated that that MTA1 expression levels were significantly
higher in OS tissues and MG63 cells compared with corresponding adjacent
noncancerous tissues and normal cells, respectively, while miR-183 expression
levels were significantly lower (both P<0.05). Furthermore, miR-183
overexpression downregulated MTA1 levels and inhibited cell proliferation
(P<0.05), migration (P<0.05) and invasion (P<0.01), as well as promoting
apoptosis (P<0.01) by binding to the 3'-untranslated region of MTA1. These
results indicate that miR-183 inhibits the vitality, invasion, migration and
apoptosis of the OS cell line MG63 by targeting MTA1. These findings may
contribute to the development of novel clinical therapeutic approaches for the
treatment of OS.
PMID- 29805533
TI - Liraglutide exerts a bone-protective effect in ovariectomized rats with
streptozotocin-induced diabetes by inhibiting osteoclastogenesis.
AB - Liraglutide, a glucagon-like peptide-1 receptor agonist, is an anti-diabetic
medicine associated with a reduced risk of fracture in diabetic patients. In the
present study, rats with streptozotocin (STZ)-induced diabetes and/or bilateral
ovariectomy (OVX) were treated with liraglutide for eight weeks. Liraglutide
treatment increased insulin secretion and managed blood glucose levels in the
rats following STZ-induced diabetes. In addition, STZ- and OVX-induced reduction
of femoral bone mineral density and destruction of bone microarchitecture were
alleviated by liraglutide. STZ decreased, whereas OVX increased, serum
osteocalcin (OC) level (a bone formation marker) and osteoblast counts in the
trabecular bone. OVX, however not STZ, markedly increased the level of serum c
terminal telopeptide of type 1 collagen (CTX-1, a bone resorption marker) and
osteoclast counts in the trabecular area. Liraglutide treatment significantly
increased serum OC levels in all three osteoporotic models, however had minimal
effects on osteoblast counts. Furthermore, liraglutide significantly decreased
serum CTX-1 level and osteoclast numbers in OVX and STZ+OVX rats. Furthermore,
the present study examined the mRNA expression and serum concentrations of
osteoprotegerin (OPG) and receptor activator of nuclear factor-kappaB ligand
(RANKL), and liraglutide significantly decreased the RANKL/OPG ratio compared
with the untreated rats, indicating that osteoclastogenesis was inhibited by
liraglutide. In summary, the results suggested that liraglutide ameliorates
STZ+OVX-induced bone deterioration in the rat model, primarily through the
inhibition of osteoclastogenesis. These preliminary findings propose a
potentially beneficial effect of liraglutide on the bone health of postmenopausal
diabetic patients.
PMID- 29805532
TI - Positive correlation between blood reflux in Schlemm's canal and the decrease of
intraocular pressure after selective laser trabeculoplasty in primary open-angle
glaucoma.
AB - The aim of the present study was to investigate the association between blood
reflux in Schlemm's canal (SC) and the decrease of intraocular pressure (IOP)
after selective laser trabeculoplasty (SLT) in primary open-angle glaucoma
(POAG). To verify this, 35 eyes from 25 POAG patients were enrolled. All eyes
underwent 360 degrees whole-circle gonioscopy via a three-mirror lens, prior to
undergoing 360 degrees SLT. The four quadrants of the examined eye were
individually compressed by the lens, and the presence of blood reflux in SC after
removal of the pressure was recorded. Eyes with no blood reflux in any quadrant
were assigned to the negative group, while the others were assigned to the
positive group. Patients were evaluated at baseline, at 1 and 2 weeks, and at 1,
3 and 6 months after SLT. The results indicated that in the reflux-positive (21
eyes) and -negative (14 eyes) group, a significant IOP decrease was seen at 1 and
2 weeks, and at 1 and 3 months after SLT as compared with that pre-SLT IOP
(P<0.01). In the negative group, the IOP at 6 months after SLT was not
significantly different (P>0.05), while the positive group still exhibited a
significant decrease in IOP compared with that at baseline (P<0.01). The positive
group also presented with a greater decline in IOP at 1, 3 and 6 months compared
with that in the negative group (P<0.05). A positive correlation between the
number of quadrants with blood reflux in SC and the percentage decrease in IOP
after SLT was identified in the positive group (P<0.05). In conclusion, blood
reflux in SC was positively correlated with the decrease in IOP after SLT in POAG
(Trialapproval number, K-2014-013).
PMID- 29805534
TI - Sonic hedgehog-c-Jun N-terminal kinase-zinc finger protein Gli1 signaling
protects against high glucose concentration-induced reactive oxygen species
generation in human fibroblasts.
AB - Diabetes mellitus (DM) complications affect patients and cause varying damage.
Skin ulcers exhibit difficulties in wound healing, and the regulatory basis for
this remains unclear. High glucose concentration (HG) was utilized to mimic DM in
cultured cells. Reverse transcription-quantitative polymerase chain reaction,
western blotting and fluorescence dye analyses were performed to analyze the
effects of hedgehog signaling in regulation of HG or diabetes in fibroblasts. HG
stress suppressed hedgehog-signaling gene expression, whereas the apoptosis and
inflammatory response markers, Caspase-3 and plasminogen activator inhibitor-1
(PAI1), respectively, were induced. In addition, HG-stress inhibited the
fibroblast proliferation rate. In parallel, treatment with Sonic hedgehog (Shh),
an activator of hedgehog signaling, together with HG eliminated effects of HG on
expression of hedgehog-signaling genes, Caspase-3 and PAI1, and rescued the cell
proliferation rate in fibroblasts. In addition, Shh application activated c-Jun N
terminal kinase (JNK), which was inhibited by HG stress. sp600125, a JNK specific
inhibitor, treatment inhibited the effect of Shh on fibroblast proliferation and
hedgehog-signaling marker gene expression. Furthermore, zinc finger protein Gli1
(Gli1) overexpression partially eliminated the effect of HG and sp600125 on
fibroblast proliferation, and reduced HG-induced ROS generation in fibroblasts.
Together, these results indicate that HG stress inhibits hedgehog signaling, and
Shh-JNK-Gli1 pathway positively regulates HG-induced damage on fibroblasts.
PMID- 29805535
TI - The therapeutic effects of bee venom on some metabolic and antioxidant parameters
associated with HFD-induced non-alcoholic fatty liver in rats.
AB - The present study was designed to investigate the therapeutic effects of bee
venom (BV) on high-fat diet (HFD)-induced non-alcoholic fatty liver (NAFL) in
rats at different levels. Histological manifestations, hepatic lipid content,
liver function tests, glucose homeostasis, lipid abnormalities, adipocytokines,
lipid peroxidation, disturbed glutathione and antioxidant enzymes systems and
dysregulation of Nrf2 transcription factor were assessed. In the present study,
the NAFL rats were subcutaneously treated with BV with different doses (0.01,
0.05, 0.1 mg/kg). The results indicated that BV treatment completely normalized
the lipid profile values of NAFL rats. Fasting blood sugar, insulin level and
homeostatic model assessment of insulin resistance significantly decreased. BV
treated rats showed a significantly lower level of all liver enzymes and
bilirubin. Moreover, BV treatment significantly increased the levels of active
nuclear erythroid factor 2 like 2, glutathione (GSH) (total and reduced),
GSH/glutathione disulphide ratio and activities of glutathione reductase,
glutathione-S-transferase and glutathione peroxidase (total and Se-dependent).
The level of tumor necrosis factor-alpha was reduced. Treatment showed correction
of adiponectin level, and significant downregulation of hepatic triglycerides and
cholesterol. At the histological level, BV improved the architecture of liver
cells showing normal sinusoids. It may be concluded that BV may represent an
interesting therapeutic alternative for the treatment of NAFL disease.
PMID- 29805536
TI - Vildagliptin, a DPP4 inhibitor, alleviates diabetes-associated cognitive deficits
by decreasing the levels of apoptosis-related proteins in the rat hippocampus.
AB - Cognitive impairment is a prevalent but underestimated complication of diabetes,
which can cause spatial memory and learning deficits. In the present study, a
streptozotocin-induced type 2 diabetic rat model was employed to investigate the
effects of vildagliptin, a new oral hypoglycemic agent that acts by inhibiting
dipeptidyl peptidase-4, on diabetes-associated cognitive impairments, as well as
the molecular mechanisms involved. The present findings demonstrated that
vildagliptin treatment prevented memory impairment and decreased the apoptosis of
hippocampal neurons. It also attenuated the abnormal expression of caspase-3, B
cell lymphoma-2 (Bcl-2) and Bcl-2 associated X protein in the diabetic model.
Vildagliptin treatment also reversed diabetes-induced decreases in phosphorylated
(p)-protein kinase B (Akt) and p-glycogen synthase kinase 3beta (GSK3beta), brain
derived neurotrophic factor and nerve growth factor expression levels. The
results indicated that the administration of vildagliptin exerts a protective
effect against cognitive deficits by decreasing the expression of apoptosis
related proteins in the hippocampus and that this protective effect was mediated
via the Akt/GSK3beta signaling pathway.
PMID- 29805537
TI - Contribution of susceptibility- and diffusion-weighted magnetic resonance imaging
for grading gliomas.
AB - The aim of the present study was to assess the value of susceptibility-weighted
imaging (SWI) and diffusion-weighted imaging (DWI) in the grading of gliomas and
to evaluate the correlation between these quantitative parameters derived from
SWI and DWI. A total of 49 patients with glioma were assessed by DWI and SWI. The
evaluation included the ratio of apparent diffuse coefficient values between the
solid portion of tumors and contralateral normal white matter (rADC) and the
degree of intratumoral susceptibility signal intensity (ITSS) within tumors.
Receiver operating characteristic curve (ROC) analyses were performed and the
area under the ROC curve was calculated to compare the diagnostic performance,
determine optimum thresholds for tumor grading, and calculate the sensitivity,
specificity, positive predictive value (PPV) and negative predictive value (NPV)
for identifying high-grade gliomas. The correlation between DWI- and SWI-derived
parameters was also evaluated. The rADC and the degrees of ITSS within tumors
were significantly higher in high-grade gliomas than those in low-grade gliomas.
ROC curve analysis indicated that the rADC was a better index for grading gliomas
than the ITSS degree. Statistical analysis demonstrated a threshold value of
1.497 for rADC to provide a sensitivity, specificity, PPV and NPV of 86.2, 85.0,
89.3 and 81.0%, respectively, for determining high-grade gliomas. A degree of
ITSS of 1.5 was defined as the threshold to identify high-grade gliomas and
sensitivity, specificity, PPV and NPV of 82.8, 75.0, 82.8 and 75.0% were
obtained, respectively. Furthermore, a moderate inverse correlation between rADC
and the ITSS degree was revealed. Combination of SWI with DWI may provide
valuable information for glioma grading.
PMID- 29805538
TI - Early post-operative cerebrospinal fluid hypovolemia: Report of 7 cases.
AB - Cerebrospinal fluid (CSF) hypovolemia is a common neurosurgical condition, which
may be spontaneous or iatrogenic. At our institution, a substantial number of the
reported cases of early post-operative CSF hypovolemia were identified to have
unintentional or unrecognized post-operative continuous excessive CSF leakage.
Cases who presented with post-operative CSF hypovolemia several days after
uneventful intracranial surgeries without continuous CSF leakage were rarely
reported. A retrospective review of the medical records of these patients was
performed to identify those patients who developed early post-operative CSF
hypovolemia without the presence of post-operative continuous CSF leakage. A
total of 7 patients, 5 of which were males, were identified in this retrospective
study. They experienced CSF hypovolemia between days 1 and 7 after emergency or
scheduled intracranial surgeries. Ventricular collapse, cisternal effacement and
midline shift are the most common radiological observations. With early diagnosis
and management, 4 of the patients achieved a Glasgow Outcome Scale (GOS) score of
5, 1 achieved a GOS score of 4 and the remaining 2 had a GOS score of 3. No
mortality was noted in this series. Although rare in incidence, early post
operative CSF hypovolemia may occur without the existence of post-operative
continuous CSF leakage. When the diagnosis of CSF hypovolemia is reached, factors
that may exacerbate CSF compensation should be promptly terminated. Trendelenburg
position and sufficient intravenous hydration are practical and effective
managements, and CSF hypovolemia may thereby be reversed in a substantial number
of patients.
PMID- 29805539
TI - MicroRNA-30b protects myocardial cell function in patients with acute myocardial
ischemia by targeting plasminogen activator inhibitor-1.
AB - The aim of the present study was to determine the expression of plasminogen
activator inhibitor-1 (PAI-1) and microRNA (miR)-30b in the blood of patients
with acute myocardial ischemia (AMI) and in the blood and myocardial tissue of
mice with AMI. In addition, the present study aimed to identify the mechanism of
action of miR-30b in AMI. A total of 36 patients with AMI were included in the
present study and 28 healthy subjects were included as a control. Peripheral
blood was collected from all subjects. For animal experiments, mice in the AMI
group received an intraperitoneal injection of pituitrin (20 U/kg), whereas mice
in the negative control group received an intraperitoneal injection of the same
volume of saline. Blood and myocardial tissue was collected from all mice for
analysis. Reverse transcription-quantitative polymerase chain reaction was
performed to determine the expression of PAI-1 mRNA and miR-30b in the serum and
myocardial tissue. An enzyme-linked immunosorbent assay was performed to measure
the expression of PAI-1 protein in the serum of humans and mice, whereas western
blotting was performed to determine the expression of PAI-1 protein in mouse
myocardial tissue. Catalase, glutathione peroxidase and superoxide dismutase
activity was measured using an automatic biochemical analyzer. A dual luciferase
assay was performed to identify the interactions between PAI-1 mRNA and miR-30b.
The results indicated that patients with AMI have higher PAI-1 levels and lower
miR-30b expression in the peripheral blood compared with healthy subjects. AMI
damaged the myocardium tissue of mice and reduced catalase, glutathione
peroxidase and superoxide dismutase activity. Mice that have undergone AMI
exhibit increased PAI-1 levels but decreased miR-30b expression in the peripheral
blood and myocardial tissues. It was also demonstrated that miR-30b is able to
bind to the 3'-untranslated region of PAI-1 mRNA to regulate its expression. The
present study demonstrates that patients with AMI exhibit decreased miR-30b
expression and elevated PAI-1 expression in the peripheral blood. miR-30b may
therefore inhibit the damage to myocardial cells that occurs following AMI and
protect myocardial cell function by targeting PAI-1 expression.
PMID- 29805540
TI - Clinical efficacy of implementing Bio Immune(G)ene MEDicine in the treatment of
chronic asthma with the objective of reducing or removing effectively
corticosteroid therapy: A novel approach and promising results.
AB - Asthma is one of the diseases that demonstrates a wide range of variation in its
clinical expression, in addition to an important heterogeneity in the
pathophysiological mechanisms present in each case. The ever-increasing knowledge
of the molecular signalling routes and the development of the Bio Immune(G)ene
Medicine [BI(G)MED] therapy in line with this knowledge has revealed a whole
novel potential set of self-regulation biological molecules, that may be used to
promote the physiological immunogenic self-regulation mechanisms and re-establish
the homeostatic balance at a genomic, proteomic and cellular level. The aim of
the present study is to demonstrate that the sublingual use of a therapeutic
protocol based on BI(G)MED regulatory BIMUREGs in the treatment of chronic asthma
may reduce or suppress corticosteroid therapy and avoid its harmful side effects
which some patients suffer when using this treatment on a long-term basis. The
clinical efficacy of BI(G)MED for chronic asthma was evaluated through a multi
centre study carried out in 2016 implementing a 6-month BI(G)MED treatment
protocol for Bronchial Asthma. A total of 61 patients from private medical
centres and of European countries including Germany, Austria, France, Belgium and
Spain participated. The manuscript describes in detail the clinical efficacy of
Bio Immune(G)ene regulatory BI(G)MED treatment protocol that allows the reduction
or total removal of the corticosteroid dose in patients with chronic asthma. No
adverse reactions were observed. The BI(G)MED regulatory therapy brings novel
therapeutic possibilities as an effective and safe treatment of chronic asthma.
BI(G)MED was demonstrated to significantly reduce asthma severity when parameter
compositions were all analysed by categorical outcomes. Therefore, it is
considered a good therapeutic alternative for patients who respond poorly to
steroids.
PMID- 29805541
TI - Expression and clinical significance of periostin in oral lichen planus.
AB - Oral lichen planus (OLP) is a chronic inflammatory lesion involving the oral
mucosa, which has a high likelihood of progressing to cancer. The present study
investigated the periostin expression in the mucosa and serum of patients with
OLP and its correlation with serum cytokines. A total of 117 patients with OLP
and 110 healthy controls were included in the study. The protein expression of
periostin were measured in the OLP and normal oral mucosa by
immunohistochemistry. ELISA was performed to measure the serum levels of
periostin, tumour necrosis factor-alpha (TNF-alpha), interleukin (IL)-6,
interferon-gamma (IFN-gamma), IL-4 and thymic stromal lymphopoietin (TSLP).
Compared with the control group the OLP group had a significantly increased
number of cases with high periostin expression in the oral mucosa and a
significantly increased serum periostin level (P<0.05). Among all 117 OLP
subjects, high periostin expression was associated with higher serum IL-6, TNF
alpha, TSLP and tissue mast cell density. High periostin expression was also
significantly associated with a lower IFN-gamma/IL-4 ratio (P<0.05). The present
study concluded that periostin expression was increased in the oral mucosa and
serum of patients with OLP and was associated with inflammatory response, T
helper 2 cytokine-predominant immune imbalance, increased mast cell count and
TSLP. The modulation of periostin may represent an attractive novel therapeutic
target.
PMID- 29805542
TI - Increased prevalence of peripheral arterial disease in patients with obese
sarcopenia undergoing hemodialysis.
AB - Background peripheral arterial disease (PAD) is a common complication in patients
undergoing dialysis, which reduces the quality of life and increases the risk of
mortality. Recent literature has documented an association between increased
visceral fat (VF) content and a proatherogenic factors in end-stage renal
disease. The present study investigated the prevalence of PAD in patients
undergoing hemodialysis. PAD was determined as an ankle-brachial index <0.9.
Additionally, VF content was determined using multiple frequency bioelectrical
impendence analysis. The nutritional status of the patients was evaluated by
subjective global assessment and endothelial function was measured by
ultrasonographic brachial artery flow-mediated dilatation. Patients divided into
two groups (malnourished and non-malnourished) with two further subgroups in each
(high VF and low VF content). The prevalence of PAD was identified to be
significantly higher in patients with a high VF mass compared with a low VF mass
in non-malnourished patients. PAD was significantly more common in malnourished
patients compared with non-malnourished patients (P<0.01). The presence of PAD in
patients undergoing hemodialysis was identified to be significantly correlated
with age, diabetes mellitus (DM) status VF content, malnutrition, serum albumin
level, diastolic blood pressure and log C-reactive protein levels. Furthermore,
logistic regression analysis determined that age, DM, VF content and malnutrition
were significant independent risk factors for PAD in patients undergoing
hemodialysis. In conclusion, the results of the present study indicated that
obesity and malnutrition act synergistically to increase the risk of PAD in
patients undergoing dialysis.
PMID- 29805543
TI - Effects of intranasal administration with Bacillus subtilis on immune cells in
the nasal mucosa and tonsils of piglets.
AB - The nasal mucosa is the body's first barrier against pathogens entering through
the respiratory tract. The respiratory immune system of pigs has more
similarities with humans than the mouse respiratory system does, and so was
selected as the animal model in the present study. To evaluate the effects of
Bacillus subtilis as a potential probiotic to stimulate local immune responses,
piglets were intranasally administered with Dylight 488-labeled B. subtilis
(WB800-green fluorescent protein). The results revealed that B. subtilis was able
to reach the lamina propria of the nasal mucosa, nasopharyngeal tonsils and soft
palate tonsils. Piglets were subsequently administered intranasally with B.
subtilis (WB800) at 3, 12 and 28 days. The results revealed that, following
administration with B. subtilis, the number of dendritic cells, immunoglobulin A+
B cells and T cells in the nasal mucosa and tonsils significantly increased
(P<0.05). No obvious differences were observed in the morphological structure
following B. subtilis administration. There were no statistical differences were
observed in the expression of interleukin (IL)-1beta, tumor necrosis factor-alpha
and IL-8 mRNA between the B. subtilis treated group and the control group in the
nasal mucosa, nasopharyngeal tonsil or soft palate tonsil. Toll-like receptor
(TLR)-2 and TLR-9 mRNA expression in the tonsils was significantly increased
following B. subtilis administration compared with the control group (P<0.05).
The results demonstrate that B. subtilis administration increases the number of
immune cells in the nasal mucosa and tonsils of piglets and stimulates nasal
mucosal and tonsillar immunity. The present study lays the foundation for further
study into the intranasal administration of B. subtilis in humans to enhance the
immunity of human nasal mucosa to respiratory diseases.
PMID- 29805544
TI - MRI manifestations of hepatic perfusion disorders.
AB - The present study aimed to analyze the magnetic resonance imaging (MRI) results
from patients with hepatic perfusion disorders (HPD) and liver diseases, in order
to assess the pathogenetic mechanisms. This was completed byanalyzing the causes
of HPD in 35 patients to assess if they were associated with arterioportal shunt,
and classify the patients according to results from the MRI scans. Of the 35
patients, 26 (74.3%) with HPD presented with hepatocellular carcinoma, a major
cause of HPD. The HPD phenomenon in 35 patients was not identified as obvious
abnormal lesions on T2WI and T1WI according to the isointensity on diffusion
weighted images. Enhanced scanning showed hyperintense signals on the arterial
phase images, isointense or hyperintense signals on portal phase and delayed
phase images. According to their MRI findings, hepatic perfusion disorders may be
divided into different types, as follows: Diffuse, lobe or segment type, wedge
type and platy. The HPD phenomenon may herald an underlying abnormality of liver
disease and MRI may accurately diagnose HPDs in liver diseases.
PMID- 29805545
TI - Effect of aromatase inhibitor letrozole on the proliferation of spermatogonia by
regulating the MAPK pathway.
AB - The molecular mechanism of the aromatase inhibitor letrozole was investigated. It
promotes the proliferation of spermatogonia by regulating the mitogen-activated
protein kinase (MAPK) pathway. Six different concentrations were selected for
letrozole in order to incubate mouse spermatogonia [GC-1 spermatogonia (spg)] for
24, 48 and 72 h, respectively. Cell Counting Kit-8 (CCK-8) was used to observe
the effect of letrozole on the proliferation of GC-1 spg cells, and the effect
was further verified by cell plate clone formation assay. Reverse transcription
polymerase chain reaction (RT-PCR) and western blot analysis were used to detect
the effects of letrozole on MAPK signaling pathways [Ras/extracellular signal
regulated kinase 1 (ERK1)/c-Myc], proliferation indexes [Ki-67 and proliferating
cell nuclear antigen (PCNA)]. Bromodeoxyuridine (BrdU) staining was used to study
the effects of letrozole and MAPK signaling pathways on cell proliferation. The
results of CCK-8 showed that the proliferation rate of GC-1 spg cells was
improved. Study results also revealed a significant increase in letrozole
concentration along with the time of action. The results of plate clone formation
assay further indicated that letrozole could significantly promote the
proliferation capacity of GC-1 spg cells (p<0.05). The results of RT-PCR and
western blot analysis confirmed letrozole significantly activated the expression
of Ras/ERK1/c-Myc in the classical MAPK pathway. A significant increase was noted
in the protein levels of Ki-67 and PCNA (p<0.05). By contrast, inhibition of the
MAPK pathway resulted in a significant decrease in the levels of the above
indexes (p<0.05). The number of BrdU cells in the letrozole group was also higher
than that of the control group, while the number of BrdU-stained cells in the
letrozole + MAPK inhibition group showed a significant decrease in comparison to
the letrozole group. In conclusion, letrozole activated the MAPK signaling
pathway and promoted the proliferation of mouse spermatogonia GC-1 spg cells. The
present study provides a theoretical basis for the clinical application of
letrozole.
PMID- 29805546
TI - Sub-pathway analysis for severe burns injury patients: Identification of
potential key lncRNAs by analyzing lncRNA-mRNA profile.
AB - The aim of the study was to identify key long non-coding RNAs (lncRNA) and
related subpathways following severe burn injuries and research their functions.
The miRNA-mRNA and lncRNA-miRNA interactions were downloaded from starBase v2.0
database. In addition, mRNA-miRNA interactions were obtained from TarBase,
mirTarBase, mir2Disease, miRecords (V4.0) databases. The relationships of lncRNA
miRNA-mRNA were constructed. Genes of expression profiling were intersected with
mRNA and lncRNA in lncRNA-mRNA interaction. Screened mRNAs were enriched into
various pathways and screened lncRNAs were embedded into candidate pathways.
Wallenius approximation methods were used to calculate the false discovery rate
value of each sub-pathway. Based on the results of significant sub-pathways, the
related lncRNA-mRNA network was constructed. A total of 18,081 genes were
obtained. The lncRNA-mRNA intersections including 835 lncRNAs, 1,749 mRNAs and
7,693 interacting pairs were constructed. The enriched mRNAs were further
enriched into various candidate pathways such as ribosome biogenesis in
eukaryotes. Several sub-pathways were screened, including ribosome biogenesis in
eukaryotes and MAPK signaling pathway. The network of pathway-lncRNA-mRNA was
constructed. Hub-genes were identified, including C14orf169 and YLPM1. Several
hub-lncRNAs were obtained, including PRKAG2 antisense RNA 1 and LEF1 antisense
RNA 1. Several hub-lncRNAs including C14orf169, YLPM1, TTTY15, and PCBP1-AS1 were
screened. The sub-pathways regulated by these lncRNAs were identified, and
functions were predicted.
PMID- 29805547
TI - Pantoprazole-induced acute kidney injury: A case report.
AB - The present study reports a case of pantoprazole-induced acute kidney disease.
The patient was diagnosed with acute kidney injury with wide interstitial
inflammation and eosinophil infiltration. Following 1 month of glucocorticoid
therapy, the patient's serum creatinine and urea nitrogen decreased to within
normal ranges. The presentation, clinical course, diagnosis and prognosis of
pantoprazole-induced acute kidney injury are discussed herein to highlight the
importance of early and correct diagnosis for good prognosis. Disease
characteristics include short-term increased serum creatinine levels that respond
to glucocorticoid treatment. The patient had no history of chronic kidney disease
or proteinuria and presented with increased serum creatinine following treatment
with pantoprazole. Following the end of pantoprazole treatment, short-term RRT
and long-term prednisolone was administered, then serum creatinine returned to
normal. Pantoprazole-induced acute kidney injury is commonly misdiagnosed and
late diagnosis results in poor patient prognoses. Misdiagnosis leads to the
administration of treatments that may exacerbate the condition, so appropriate
diagnosis and treatment for pantoprazole-induced acute kidney injury is
necessary.
PMID- 29805548
TI - Analysis of mitochondrial A1555G mutation in infants with hearing impairment.
AB - Mutations in the mitochondrial 12S ribosomal RNA gene have been identified to be
associated with deafness. Among these, the A to G transition at position 1555 is
one of the most common pathogenic mutations associated with hearing loss. In
order to evaluate the allele frequency of this mutation in infants with hearing
loss, the A1555G mutation was screened in 300 deaf children and 100 age- and sex
matched healthy subjects. Consequently, 5 patients with this mutation were
identified, whereas the mutation was absent in healthy controls. Among the
patients with the mutation, only one had an obvious family history of hearing
impairment. Notably, this pedigree manifested a high penetrance of deafness. In
particular, the penetrance of deafness was 80 and 40%, when the aminoglycoside
antibiotics (AmAn) was included or excluded, respectively. Clinical evaluation of
this family exhibited a wide degree of hearing loss. Furthermore, screening for
the complete mitochondrial genes revealed the occurrence of A1555G and transfer
(t)RNAThr T15943C mutations, together with other genetic variations belonging to
East Asian haplogroup C. Notably, the T15943C mutation, located at the T arm of
tRNAThr, could disrupt the 63T-55A base-pairing and impair tRNA metabolism.
Therefore, it was hypothesized that the combination of A1555G and T15943C
mutations may result in mitochondrial dysfunction that is responsible for
deafness. Screening for A1555G, as well as other potential pathogenic mutations
in the mitochondrial genome, is critical for clinical diagnosis and prevention of
hearing impairment.
PMID- 29805549
TI - Contrast-enhanced computed tomography combined with Chitosan-Fe3O4 nanoparticles
targeting fibroblast growth factor receptor and vascular endothelial growth
factor receptor in the screening of early esophageal cancer.
AB - Esophageal cancer is a malignant tumor with a relatively high invasiveness,
metastatic potential and worldwide incidence among human cancers. The majority of
patients with esophageal cancer are diagnosed in a late tumor stage due to a lack
of advanced and sensitive protocols for the diagnosis of patients with early
stage esophageal cancer. In the current study, contrast-enhanced computerized
tomography (CECT) combined with Chitosan-Fe3O4 nanoparticles targeting fibroblast
growth factor receptor (FGFR) and vascular endothelial growth factor receptor
(VEGFR; CECT-CNFV) were used to diagnose patients with suspected esophageal
cancer. A Chitosan-Fe3O4-parceled bispecific antibody targeting FGFR and VEGFR
was produced and its affinity to esophageal cancer cells was determined both in
vitro and in vivo. A total of 320 patients with suspected esophageal cancer were
voluntarily recruited to evaluate the efficacy of CECT-CNFV in the diagnosis of
early-stage esophageal cancer. All participants were subjected to CT and CECT
CNFV to detect whether tumors were present in the esophageal area. A Chitosan
Fe3O4 nanoparticles contrast agent was orally administered at 20 min prior to CT
and CECT-CNFV. The results demonstrated that CECT-CNFV improved diagnostic
sensitivity and provided a novel protocol for the diagnosis of tumors in patients
with suspected gastric cancer at an early-stage. Furthermore, the resolution
ratio of images was enhanced by CECT-CNFV, which enabled the visualization of
tiny tumor nodules in esophageal tissue. Clinical data demonstrated that CECT
CNFV diagnosed 200 patients with suspected early-stage esophageal cancer and 120
patients as tumor free. In addition, CECT-CNFV exhibited higher signal
enhancement of tumor nodules than CT, suggesting a higher accuracy and
accumulation of nanoparticle contrast agent within the tumor nodules of
esophageal tissue. Notably, the survival rate of patients with esophageal cancer
diagnosed at an early-stage by CECT-CNFV was higher than the mean five-year
survival rate (P<0.01). In conclusion, CECT-CNFV enhanced the sensitivity and
accuracy of CT in the diagnosis of early-stage esophageal cancer. Thus, CECT-CNFV
may improve the accuracy of CT in the diagnosis of mural enhancement in patients
with esophageal cancer.
PMID- 29805550
TI - Intensity-dependent effect of treadmill running on rat Achilles tendon.
AB - It is understood that mechanical loading may affect tendon properties. However,
how different mechanical loading conditions may affect tendons remains unknown.
The present study aimed to investigate the effect of treadmill running at various
intensities on rat Achilles tendon. A total of 18 male Wistar rats were randomly
assigned to one of three groups: Control (CON), medium-intensity running (MIR),
and high-intensity running (HIR). Following 8 weeks of treadmill running
protocols, all Achilles tendons were harvested for histological observation and
gene expression analysis. Significant morphological changes were observed with
regular and large diameter collagen fibrils in the MIR group, whereas irregular
and small diameter collagen fibrils were observed in the HIR group. Collagen type
I was significantly upregulated in the MIR group compared with the CON group, and
downregulated in the HIR group compared with the CON or MIR groups (P<0.05).
However, collagen type III was significantly upregulated in the HIR group in
comparison with the CON or MIR groups (P<0.05). Furthermore, the expression of
matrix metallopeptidase-13 was significantly increased in the MIR and HIR groups
compared with the CON group (P<0.05). The expression of tissue inhibitor of
metalloproteinases-1 was increased in the MIR group compared with the CON group,
but decreased in the HIR group compared with the CON and MIR groups (P<0.05).
Additionally, decorin expression was significantly higher in the MIR group
compared with the CON group, and significantly decreased in the HIR group
compared with the CON or MIR groups (P<0.05). A converse pattern of changes in
biglycan expression was identified among the three groups. Aggrecan expression
was significantly higher in the HIR group compared with the CON or MIR groups
(P<0.05). These findings indicated that moderate exercise may induce increased
collagen synthesis and organize regular and large collagen fibers, thus
benefiting the Achilles tendon. However, overuse during exercise may result in
collagen degradation and disturbance, which predisposes individuals to injury.
PMID- 29805551
TI - Bone morphogenetic protein and activin membrane-bound inhibitor overexpression
inhibits gastric tumor cell invasion via the transforming growth factor
beta/epithelial-mesenchymal transition signaling pathway.
AB - Gastric carcinoma is one of the most common human malignancies and remains the
second leading cause of cancer-associated mortality worldwide. Gastric carcinoma
is characterized by early-stage metastasis and is typically diagnosed in the
advanced stage. Previous results have indicated that bone morphogenetic protein
and activin membrane-bound inhibitor (BAMBI) overexpression has been demonstrated
to inhibit growth and metastasis of gastric cancer cells. However, the molecular
mechanisms of the BAMBI-mediated signaling pathway in the progression of gastric
cancer are poorly understood. In the present study, to assess whether BAMBI
overexpression inhibited the growth and aggressiveness of gastric carcinoma cells
through regulation of transforming growth factor (TGF)-beta/epithelial
mesenchymal transition (EMT) signaling pathway, the growth and metastasis of
gastric carcinoma cells were analyzed following BAMBI overexpression and
knockdown in vitro and in vivo. Molecular changes in the TGF-beta/EMT signaling
pathway were studied in gastric carcinoma cells following BAMBI overexpression
and knockdown. DNA methylation of the gene regions encoding the TGF-beta/EMT
signaling pathway was investigated in gastric carcinoma cells. Tumor growth in
tumor-bearing mice was analyzed after mice were subjected to endogenous
overexpression of BAMBI. Results indicated that BAMBI overexpression
significantly inhibited gastric carcinoma cell growth and aggressiveness, whereas
knockdown of BAMBI significantly promoted its growth and metastasis compared with
the control (P<0.01). The TGF-beta/EMT signaling pathway was downregulated in
BAMBI-overexpressed gastric carcinoma cells; however, signaling was promoted
following BAMBI knockdown. In addition, it was observed that BAMBI overexpression
significantly downregulated the DNA methylation of the gene regions encoding the
TGF-beta/EMT signaling pathway (P<0.01). Furthermore, RNA interference-mediated
BAMBI overexpression also promoted apoptosis in gastric cancer cells and
significantly inhibited growth of gastric tumors in murine xenografts (P<0.01).
In conclusion, the present findings suggest that BAMBI overexpression inhibited
the TGF-beta/EMT signaling pathway and suppressed the invasiveness of gastric
tumors, suggesting BAMBI may be a potential target for the treatment of gastric
carcinoma via regulation of the TGF-beta/EMT signaling pathway.
PMID- 29805552
TI - MicroRNA-381 protects myocardial cell function in children and mice with viral
myocarditis via targeting cyclooxygenase-2 expression.
AB - The present study aimed to determine the expression of cyclooxygenase (COX)-2 and
microRNA (miRNA/miR)-381 in the blood of children with viral myocarditis (VM),
and investigate the association between COX-2 and miR-381 in the occurrence and
development of the disease using a mouse model. A total of 26 children with VM
(15 boys and 11 girls) were included in the present study. Peripheral blood was
collected from all children. The mouse model of VM was constructed by
coxsackievirus B3 (CVB3) infection. Peripheral blood and myocardial tissues were
collected from all mice for analysis. Reverse transcription-quantitative
polymerase chain reaction was used to determine the expression of COX-2 mRNA and
miR-381 in serum and myocardial tissues. ELISA was used to measure the content of
COX-2 protein in serum from humans and mice, and western blotting was employed to
determine the expression of COX-2 protein in myocardial tissues from mice.
Contents of creatine kinase (CK-MB) and lactate dehydrogenase (LDH) were
evaluated using an automatic biochemical analyzer. A dual luciferase assay was
conducted to identify interactions between COX-2 mRNA and miR-381. Children with
VM had increased COX-2 levels and decreased miR-381 expression in peripheral
blood, compared with those who had recovered from VM. CVB3 infection resulted in
damage in the myocardium of mice, and elevated CK-MB and LDH contents. VM model
mice exhibited increased COX-2 levels and decreased miR-381 expression in
peripheral blood and myocardial tissues compared with normal mice. miR-381 binds
to the 3'-untranslated seed regions of both human and mouse COX-2 mRNA to
regulate their expression. The present study demonstrated that children with VM
have decreased miR-381 expression and elevated COX-2 expression in peripheral
blood. miR-381 may inhibit myocardial cell damage caused by CVB3 infection and
protect myocardial cell function by targeting COX-2 expression.
PMID- 29805554
TI - FGFR1 and HER1 or HER2 co-amplification in breast cancer indicate poor prognosis.
AB - Human epidermal growth factor receptor 1 or 2 (HER1/2), and fibroblast growth
factor receptor 1 (FGFR1) signaling serve critical roles in the progression of
breast cancer; however, cross-talk between HER1/2 and FGFR1 signaling has not
been extensively studied. In the present study, the copy number variation status
of FGFR1 and HER1/2, and the clinical implications and prognostic relevance of
this, were evaluated in invasive ductal breast cancer (IDC) tissue samples.
Quantitative polymerase chain reaction and fluorescence in situ hybridization
were used to assess gene copy number variation in IDC samples, and the clinical
characteristics and survival curves of patients with IDC were analyzed. The
amplification of FGFR1 was identified in 16.0% of the samples (12 of 75), of HER1
in 26.7% (20 of 75), of HER2 in 37.3% (28 of 75), and of FGFR1 and HER1/2
simultaneously in 8.0% (6 of 75). FGFR1 and HER1/2 co-amplification were
significantly correlated with distant metastasis (P=0.035), recurrence (P=0.026)
and decreased disease-free survival time (P=0.042). This was the case for
patients undergoing endocrine therapy (P=0.002) and chemotherapy (P=0.044). Taken
together, the results indicate that patients with FGFR1 and HER1/2 co
amplification may exhibit a less favorable prognosis compared with patients with
either FGFR1, HER1/2 amplification or without amplification.
PMID- 29805555
TI - Hypermethylation of protocadherin gamma subfamily A12 and solute carrier family
19 A 1 promoters contributes to the occurrence and metastasis of colorectal
cancer.
AB - The development of colorectal cancer (CRC) involves genetic and epigenetic
modifications, and aberrant DNA methylation within gene promoters is a primary
mediator of epigenetic inheritance in CRC. The present study evaluated whether
promoter methylation of four CRC candidate genes [protocadherin gamma subfamily
A12 (PCDH-gamma-A12), solute carrier family 19 A 1 (SLC19A1), cAMP responsive
element binding protein (CREB) and cylindromatosis (CYLD) contributed to the risk
and metastasis of CRC by screening a total of 42 CRC and 42 adjacent normal
tissue samples. DNA methylation was measured by methylation-specific polymerase
chain reaction (MSP). Polymerase chain reaction (PCR) products were bisulfite
converted and validated by sequencing. The chi2 test was employed to assess the
association between promoter methylation and a series of clinicopathological
characteristics. The promoters of PCDH-gamma-A12 and SLC19A1 were observed to be
more frequently methylated in CRC tissues than normal tissues. In addition,
significantly higher methylation of the PCDH-gamma-A12 and SLC19A1 promoters was
also observed in CRC tissues with lymph metastasis compared with those without
lymph metastasis. In addition, no association was observed between CREB and CYLD
methylation and the occurrence and metastasis of CRC. These results suggest that
the hypermethylation of the PCDH-gamma-A12 and SLC19A1 promoters may contribute
to the occurrence and metastasis of CRC in the Han Chinese population.
PMID- 29805556
TI - Asiatic acid inhibits proliferation, migration and induces apoptosis by
regulating Pdcd4 via the PI3K/Akt/mTOR/p70S6K signaling pathway in human colon
carcinoma cells.
AB - Previous studies have demonstrated that asiatic acid (AA), the major component of
Centella asiatica, is able to meditate cytotoxic and anticancer effects on
various types of carcinoma cells. In order to investigate the molecular mechanism
that underlies the antitumor effect of AA, the present study investigated the
effects of AA on proliferation, migration and apoptosis of SW480 and HCT116 colon
cancer cells. Viability and changes in cell morphology in the cells were assessed
by MTT assay and transmission electron microscopy, respectively. Colony formation
analysis was used to observe proliferation of the single cell, and migratory
ability of the cells was assessed by performing Transwell migration assay.
Hoechst 33342 nuclear staining and flow cytometry were used to assess apoptosis
in colon carcinoma cells. The expression of proteins associated with the
phosphoinositide 3-kinase (PI3K)/protein kinase B (Akt)/mammalian target of
rapamycin (mTOR)/p70S6K signaling pathway and epithelial-mesenchymal transition
(EMT) marker were analyzed by western blotting. The present study revealed that
proliferation and migration of colon carcinoma cells were inhibited by AA in a
dose-dependent and time-dependent manner. Numerous apoptotic bodies were
observed, and G2/M and S phase progression were delayed in colon cancer cells
treated with AA, but not in the control group. A number of phosphorylated
proteins, including PI3K, Akt (Ser473), mTOR, ribosomal protein S6 kinase
(p70S6K) downregulated, while the expression of Pdcd4 was upregulated following
treatment with AA. Additionally, AA affects expression of EMT markers in a dose
dependent manner. On the basis of these results, it was concluded that AA
inhibited proliferation, migration and induced apoptosis of colon cancer cells by
regulating Pdcd4 via the PI3K/Akt/mTOR/p70S6K signaling pathway. These
observations suggest that AA may be a potential therapeutic agent for the
treatment of colon carcinoma.
PMID- 29805553
TI - Recent advances in CD8+ regulatory T cell research.
AB - Various subgroups of CD8+ T lymphocytes do not only demonstrate cytotoxic
effects, but also serve important regulatory roles in the body's immune response.
In particular, CD8+ regulatory T cells (CD8+ Tregs), which possess important
immunosuppressive functions, are able to effectively block the overreacting
immune response and maintain the body's immune homeostasis. In recent years,
studies have identified a small set of special CD8+ Tregs that can recognize
major histocompatibility complex class Ib molecules, more specifically Qa-1 in
mice and HLA-E in humans, and target the self-reactive CD4+ T ce lls. These
findings have generated broad implications in the scientific community and
attracted general interest to CD8+ Tregs. The present study reviews the recent
research progress on CD8+ Tregs, including their origin, functional
classification, molecular markers and underlying mechanisms of action.
PMID- 29805557
TI - Knockdown of stromal interaction molecule 1 inhibits proliferation of colorectal
cancer cells by inducing apoptosis.
AB - Stromal interaction molecule 1 (STIM1) is an endoplasmic reticulum Ca2+ sensor
which has been reported to be overexpressed in numerous types of cancer, and is
involved in the cell proliferation, invasion, migration and metastasis frequently
observed in cancer. However, the role of STIM1 in colorectal cancer (CRC) remains
unknown. The purpose of the present study was to investigate the effect of STIM1
in human CRC. The expression of STIM1 was specifically knocked down using
lentivirus-mediated small hairpin RNA (shRNA) interference techniques in the CRC
cell lines HCT116 and SW1116. Subsequently, the efficiency of infection was
confirmed using green fluorescent protein (GFP)-positive signals. The knockdown
efficiency was further determined using the reverse transcription-quantitative
polymerase chain reaction and western blotting analysis. As a result, CRC cell
lines with STIM1 silenced were successfully constructed and subsequently employed
in a series of cell function assays. Knockdown of STIM1 significantly suppressed
cell proliferation and colony formation, as revealed by an MTT and colony
formation assay. Furthermore, it was identified that STIM1 silencing may promote
cell apoptosis through the induction of mitochondria-associated apoptosis, as was
identified by increased expression levels of B-cell lymphoma 2 (Bcl-2)-associated
death promoter, Bcl-2-associated X protein and poly(ADP-ribose) polymerase
cleavage. Therefore, STIM1 may serve a critical role in the progression of CRC by
regulating cell proliferation and apoptosis, which may provide a potential
therapeutic target for the treatment of CRC.
PMID- 29805559
TI - TRIM59 induces epithelial-to-mesenchymal transition and promotes migration and
invasion by PI3K/AKT signaling pathway in medulloblastoma.
AB - Medulloblastoma is the most common malignant brain tumor in children. Despite
remarkable advances over previous decades, the long-term survival of patients
with medulloblastoma remains poor due to the frequent metastatic nature of this
malignancy. The aim of the present study was to examine the role of tripartite
motif containing 59 (TRIM59) in cell metastasis in medulloblastoma. It was
initially demonstrated that TRIM59 expression was significantly increased in
clinical medulloblastoma tissues compared with adjacent non-cancerous tissues and
differentially expressed in a series of medulloblastoma cell lines. The knockdown
of TRIM59 in D283 cells resulted in epithelial-to-mesenchymal transition (EMT),
and decreased cell migratory and invasive capacities. By contrast, the
overexpression of TRIM59 in Daoy cells was able to inhibit the EMT process and
increase migratory and invasive capacities of the cells. Notably, the knockdown
of TRIM59 was able to decrease the protein level of matrix metalloproteinase
(MMP)-2 without altering the levels of MMP-9, and conversely the overexpression
of TRIM59 was able to increase the protein level of MMP-2. Importantly, the
downregulation of TRIM59 in D283 cells was able to inhibit the levels of
phosphorylated (p)-AKT (Ser473), glycogen synthase kinase 3 beta(GSK3beta; Ser9)
and phosphoinositide 3-kinase (PI3K) p85 (Tyr458) without altering the levels of
total protein. The data from the present study suggest that TRIM59 induces
epithelial-to-mesenchymal transition and promotes migration and invasion by
PI3K/AKT signaling pathway in medulloblastoma. This data may provide novel
insight into tumor metastasis and pave the way for the development of therapeutic
strategies for the treatment of medulloblastoma in the clinic.
PMID- 29805560
TI - Evaluation of the prognostic and physiological functions of death associated
protein kinase 1 in breast cancer.
AB - Death associated protein kinase 1 (DAPK1) is a notable serine/threonine kinase
involved in the regulation of multiple cellular pathways, including apoptosis and
autophagy. Although DAPK1 is usually considered to be a tumor suppressor, it was
previously reported to promote the viability of p53 mutant cancer cell lines and
possess physiological oncogenic functions in breast cancer. However, the ability
of endogenous DAPK1 to suppress breast cancer cell mobility has not been
assessed. In the present study, the prognostic function of DAPK1 in a Chinese
patient cohort was evaluated, and no significant association was observed between
DAPK1 expression and patient survival or lymph node metastasis. In order to
investigate the physiological function of endogenous DAPK1, stable inducible
DAPK1 knockdown MCF7 and MDA-MB-231 cell lines were established. Consistent with
previous studies, endogenous DAPK1 only regulated cell viability in p53 mutant
MDA-MB-231 cells. However, knockdown of DAPK1 did not significantly affect cell
motility of either MCF7 or MDA-MB-231 cells. Altogether, these results further
explored the function of endogenous DAPK1 in breast cancer and may shed light in
understanding the molecular signaling pathways regulating the physiological
function of DAPK1.
PMID- 29805558
TI - Identification of key genes and pathways in meningioma by bioinformatics
analysis.
AB - Meningioma is the most frequently occurring type of brain tumor. The present
study aimed to conduct a comprehensive bioinformatics analysis of key genes and
relevant pathways involved in meningioma, and acquire further insight into the
underlying molecular mechanisms. Initially, differentially expressed genes (DEGs)
in 47 meningioma samples as compared with 4 normal meninges were identified.
Subsequently, these DEGs were subjected to Gene Ontology (GO) and Kyoto
Encyclopedia of Genes and Genomes (KEGG) pathway enrichment analyses. In
addition, a protein-protein interaction (PPI) network of the identified DEGs was
constructed using the Search Tool for the Retrieval of Interacting Genes and
visualized using Cytoscape. In total, 1,683 DEGs were identified, including 66
upregulated and 1,617 downregulated genes. The GO analysis results revealed that
the DEGs were significantly associated with the 'protein binding', 'cytoplasm',
'extracellular matrix (ECM) organization' and 'cell adhesion' terms. The KEGG
analysis results demonstrated the significant pathways included 'AGE-RAGE
signaling pathway in diabetic complications', 'PI3K-Akt signaling pathway', 'ECM
receptor interaction' and 'cell adhesion molecules'. The top five hub genes
obtained from the PPI network were JUN, PIK3R1, FOS, AGT and MYC, and the most
enriched KEGG pathways associated with the four obtained modules were 'chemokine
signaling pathway', 'cytokine-cytokine receptor interaction', 'allograft
rejection', and 'complement and coagulation cascades'. In conclusion,
bioinformatics analysis identified a number of potential biomarkers and relevant
pathways that may represent key mechanisms involved in the development and
progression of meningioma. However, these findings require verification in future
experimental studies.
PMID- 29805561
TI - Effects of taxol resistance gene 1 on the cisplatin response in gastric cancer.
AB - Cisplatin is used to treat multiple types of solid tumor, including gastric
cancer. Although cisplatin initially exhibits good efficacy, therapeutic failure
often occurs owing to the development of chemoresistance. To the best of our
knowledge, the underlying mechanism of cisplatin resistance remains unknown. The
aim of the present study was to assess whether taxol resistance gene 1 (TXR1) has
a role in cisplatin response in gastric cancer. The expression of TXR1 in fresh
frozen tissues of patients with gastric cancer who were sensitive or resistance
to cisplatin was assessed. The level of TXR1 expression was significantly higher
in cisplatin-resistant specimens than that in cisplatin-sensitive specimens.
Next, the gastric cancer SGC-7901 cell line was exposed to cisplatin to establish
a cisplatin-resistance subline, termed SGC-7901/DDP, which exhibited a 6-fold
increases in the level of resistance. TXR1 expression was elevated in SGC
7901/DDP cells. Overexpression of TXR1 induced cisplatin resistance in SGC-7901
cells. Downregulation of TXR1 reversed the drug resistance caused by elevation of
TXR1 expression in SGC-7901/DDP cells. Animal experiments proved the effect of
TXR1 in inducing cisplatin resistance in vivo. Further investigation revealed
that TXR1 regulated cisplatin resistance via apoptosis. In conclusion, TXR1 is
worthy of further in-depth study as a potential therapeutic target in patients
with gastric cancer.
PMID- 29805562
TI - MicroRNA-206 regulates the epithelial-mesenchymal transition and inhibits the
invasion and metastasis of prostate cancer cells by targeting Annexin A2.
AB - The present study investigated the molecular mechanism by which microRNA-206 (miR
206) targets Annexin A2 (ANXA2) expression and inhibits the invasion and
metastasis of prostatic cancer cells through regulation of the epithelial
mesenchymal transition (EMT). Using bioinformatics analysis, miR-206 was
identified as the most promising candidate miRNA that targeted ANXA2. Prostate
tissue specimens from 60 patients with prostate cancer, 30 patients with
metastatic prostate cancer and 20 patients with benign prostatic hyperplasia
(BPH) were examined for ANXA2 protein expression by immunohistochemistry and
western blotting and for miR-206 expression by reverse transcription-quantitative
polymerase chain reaction. Additionally, human prostate cancer PC-3 cells were
transfected with miR-206 mimics, miR-206 inhibitors or a negative control
sequence, and expression of ANXA2, E-cadherin and N-cadherin was detected by
western blotting. Transwell assays were performed to determine the effect of
altered miR-206 expression on the invasive behavior of PC-3 cells. Bioinformatics
analysis predicted complementary binding between miR-206 and ANXA2 mRNA. ANXA2
protein expression was detected in a significantly higher proportion of BPH
tissues (95%, 19/20) when compared with prostate cancer tissues (51.7%, 31/60;
P<0.05). Similarly, ANXA2 was expressed in a significantly higher proportion of
metastatic prostate cancer samples than that of prostate cancer samples (P<0.05).
Expression of miR-206 was higher than that of ANXA2 in prostate cancer samples,
but lower in BPH samples. Inhibition of miR-206 expression in PC-3 cells
upregulated ANXA2 and E-cadherin protein expression levels, downregulated N
cadherin and vimentin, and promoted cell invasion in vitro. These data suggested
that binding between miRNA-206 and ANXA2 mRNA may regulate EMT signaling, thereby
suppressing the invasion and metastasis of prostatic cancer cells.
PMID- 29805563
TI - miR-133a, directly targeted USP39, suppresses cell proliferation and predicts
prognosis of gastric cancer.
AB - Gastric cancer has high incidence and mortality, and the mortality ranks second
only to lung cancer. Downregulation of miR-133a has been observed in certain
types of tumors, and it is involved in gastric cancer. The aim of the present
study was to explore the molecular mechanisms of miR-133a and ubiquitin-specific
protease 39 (USP39) in gastric cancer. Western blot analysis and RT-PCR were
employed to measure miR-133a and USP39 expression. To confirm whether miR-133a
targeted USP39, we conducted a luciferase reporter assay. We utilized 3-(4,5
dimethyl-2-thiazolyl)-2,5-diphenyl-2H-tetrazolium bromide (MTT) assay to detect
the effects of miR-133a on gastric cell proliferation. miR-133a was significantly
downregulated in cancer tissues and cell lines (HGC-27 and MGC-803), while the
expression level of USP39 was higher in tumor tissues than in paracancerous
tissues. Upregulated expression of miR-133a and/or USP39 downregulation could
inhibit cell proliferation in gastric cancer cells. Furthermore, USP39 was
identified as a direct target of miR-133a and the inverse relationship between
them was also observed. USP39 was a firsthand target of miR-133a and there was a
negative correlation between them. In addition, a low expression of miR-133a or
overexpression of USP39 predicted poor prognosis. In conclusion, miR-133a may be
a novel therapeutic target of microRNA-mediated suppression of cell proliferation
in CC, but the role of the miR-133a/USP39 axis in CC progression needs further
study.
PMID- 29805564
TI - Analysis of differential gene expression caused by cervical intraepithelial
neoplasia based on GEO database.
AB - The aim of the present study was to identify the differentially expressed genes
between cervical intraepithelial neoplasias (CIN) and adjacent normal tissue, and
to construct a protein-protein interaction (PPI) network. A CIN dataset was
obtained from Gene Expression Omnibus, and data of gene expression in CIN and
adjacent normal tissue were extracted from GSE64217. The differentially expressed
genes were selected using software package and heat map was drawn using the
'pheatmap' package. The selected differentially expressed genes were subjected to
PPI, Gene Ontology (GO) and Kyoto Encyclopedia of Genes and Genomes (KEGG)
analysis using Cytoscape, Database for Annotation, Visualization and Integrated
Discovery, STRING and KOBAS. In the present study, 287 genes were differentially
expressed between CIN and adjacent normal tissue, of which 170 were significantly
upregulated and 118 genes were significantly downregulated (P<0.00001, fold
change >6). A differential gene expression network map was constructed to show
the interactions of 30 protein products encoded by differentially expressed genes
using STRING software. In particular, the key gene, EGR1, was identified using
Cytoscape software. The KEGG pathway analysis revealed that the differential
genes were mainly involved in several pathways, including 'glutathione
metabolism', 'arachidonic acid metabolism', and 'pentose phosphate pathway'.
Results of the GO analysis showed that differential genes were enriched in
different subsets. Specifically, small proline-rich protein 2E and 3, distal-less
homeobox 5, epithelial membrane protein 1, cornifelin, periplakin, homeobox
protein Hox-A13, estrogen receptor alpha, transglutaminase 1, small proline-rich
protein 2A, Rh C glycoprotein, tumor protein p63, TGM3, homeobox B5 and small
proline-rich protein 2D were enriched in 'epithelial cell differentiation', which
affected the differentiation of epithelial cells. In conclusion, 287
differentially expressed genes were identified successfully. The key gene was
identified based on the results of PPI, GO and KEGG analyses, and functional
annotation and pathway analysis were also performed. Our study provides the basis
for further studies on the interaction among differentially expressed genes.
PMID- 29805565
TI - Benzo(a)pyrene promotes Hep-G2 cell migration and invasion by upregulating
phosphorylated extracellular signal-regulated kinase expression.
AB - Benzo(a)pyrene (BaP), a carcinogenic component of cigarette smoke, has been
reported to activate extracellular signal-regulated kinase (ERK) in cancer cells.
Furthermore, activated ERK is associated with liver cancer cell invasion and
metastasis. Therefore, the aim of the present study was to investigate the
potential role of phosphorylated (p)-ERK in BaP-induced Hep-G2 cell migration and
invasion. An MTT assay was used to determine the effects of BaP treatment on Hep
G2 cell proliferation. Wound-healing and Transwell invasion assays were employed
to assess the migration and invasion abilities of Hep-G2 cells. Western blot
analysis was applied to detect the expression of proteins. The results of the
present study demonstrated that BaP treatment was able to increase the level of p
ERK protein expression in Hep-G2 cells. BaP treatment promoted Hep-G2 cell
migration and invasion. The ERK inhibitor, U0126, was able to block the migration
and invasion abilities of Hep-G2 cells induced by BaP. The results of the present
study demonstrated that BaP treatment promoted the migration and invasion of Hep
G2 cells by upregulating p-ERK expression.
PMID- 29805566
TI - miR-100 inhibits the migration and invasion of nasopharyngeal carcinoma by
targeting IGF1R.
AB - Nasopharyngeal carcinoma (NPC) is a cancer pattern that often develops in the
epithelial cells of the nasopharynx. miR-100 is a miRNA that has been identified
in a number of cancers. The aim of the present study was to investigate whether
miR-100 can affect cell migration and proliferation of NPC by targeting insulin
like growth factor 1 receptor (IGF1R). Western blot analysis was used to
determine the protein levels of genes. The reverse transcription-quantitative PCR
(RT-qPCR) was used to detect the expression level of miR-100 and IGF1R. Transwell
assay was used to detect the migration and invasion of cell lines. The luciferase
reporter assay was employed to confirm the target gene of miR-100. miR-100
expression was highly reduced in NPC tissues compared with non-cancerous tissues.
Overexpression of miR-100 significantly inhibited the migration and invasion of
NPC cell lines C666-1 and SUNE1. IGF1R was a downstream target of miR-100 and was
downregulated by miR-100. Knockdown of IGF1R by siRNA suppressed cell
proliferation of the C666-1 cell line. The newly identified miR-100/IGF1R axis
offers novel biomarkers for the therapeutic intervention of NPC treatment. As a
result, our findings suggest that miR-100 plays an important role in suppressing
migration and invasion of NPC cells and suppresses IGF1R expression by directly
targeting its 3'-UTR. It is suggested that miR-100 may be a novel therapeutic
target of microRNA-mediated suppression of cell migration and invasion in NPC.
However, the role of the miR-100/IGF1R axis in NPC progression needs further
investigation.
PMID- 29805567
TI - Effects of compound K, an enteric microbiome metabolite of ginseng, in the
treatment of inflammation associated colon cancer.
AB - Ginsenoside Rb1, a major component of different ginseng species, can be
bioconverted into compound K by gut microbiota, and the latter possess much
stronger cancer chemopreventive potential. However, while the initiation and
progression of colorectal cancer is closely associated with gut inflammation, to
date, the effects of compound K on inflammation-linked cancer chemoprevention
have not been reported. In the present study, liquid chromatography quadrupole
time-of-flight mass spectrometry analysis was applied to evaluate the
biotransformation of Rb1 in American ginseng by human enteric microflora. The in
vitro inhibitory effects of Rb1 and compound K were compared using the HCT-116
and HT-19 human colorectal cancer cell lines by a MTS assay. Cell cycle and cell
apoptosis were assayed using flow cytometry. Using ELISA, the anti-inflammatory
effects of Rb1 and compound K were compared for their inhibition of interleukin-8
secretion in HT-29 cells, induced by lipopolysaccharide. The results revealed
that compound K is the major intestinal microbiome metabolite of Rb1. When
compared with Rb1, compound K had significantly stronger anti-proliferative
effects in HCT-116 and HT-29 cell lines (P<0.01). Compound K significantly
arrested HCT-116 and HT-29 cells in the G1 phase, and induced cell apoptosis
(P<0.01). By contrast, Rb1 did not markedly influence the cell cycle or
apoptosis. Furthermore, compound K exerted significant anti-inflammatory effects
even at low concentrations (P<0.05), while Rb1 did not have any distinct effects.
The data obtained from the present study demonstrated that compound K, an
intestinal microbiome metabolite of Rb1, may have a potential clinical value in
the prevention of inflammatory-associated colorectal cancer.
PMID- 29805569
TI - FPOA induces apoptosis in HeLa human cervical cancer cells through a caspase
mediated pathway.
AB - In the present study, the triterpenoid 3-acetoxylanosta-8,24-dien-21-oic acid
(FPOA) was extracted from Fomitopsis pinicola. The aim of the present was to
elucidate the mechanism of action of FPOA in HeLa cervical cancer cells. Cell
viability was examined using an MTT assay and the morphological detection of
apoptosis was conducted using DAPI staining. The rate of apoptosis was examined
via Annexin V-FITC/PI double staining and the expression levels of apoptosis
associated proteins were determined by western blot analysis. FPOA was observed
to inhibit HeLa cell proliferation, with IC50 values of 25.28, 15.30 and 11.79
ug/ml at 24, 48 and 72 h, respectively. Typical apoptotic bodies were observed in
the HeLa cells following treatment with FPOA, as revealed by DAPI staining. The
percentage of apoptotic cells was 3.00, 3.12, 6.18 and 32.28% following treatment
with FPOA at concentrations of 0, 7.5, 15 and 30 ug/ml, respectively. Western
blot analysis showed that caspase-3 and -9 were cleaved more frequently after
treatment with FPOA. Furthermore, the expression of Bax was increased but Bcl-2
expression was decreased after treatment with FPOA. These results suggest that
FPOA can induce HeLa cell apoptosis through a caspase-mediated pathway.
PMID- 29805568
TI - Grading of pancreatic neuroendocrine neoplasms using pharmacokinetic parameters
derived from dynamic contrast-enhanced MRI.
AB - The present study aimed to evaluate the diagnostic efficacy of pharmacokinetic
parameters derived from dynamic contrast-enhanced magnetic resonance imaging (DCE
MRI) in prospective evaluation of pancreatic neuroendocrine neoplasms (pNENs)
grading. A total of 25 histologically proven patients with pNENs (30 lesions in
total) who underwent DCE-MRI were enrolled. Lesions were divided into G1, G2
neuroendocrine tumor (NET) and G3 NET/neuroendocrine carcinoma (NEC) groups based
on their histological findings according to 2017 World Health Organization
Neuroendocrine Tumor Classification Guideline. In addition, the same numbers of
tumor-free regions were selected using as normal control group. For each group,
pharmacokinetic DCE parameters: volume transfer constant (Ktrans); contrast
transfer rate constant (kep); extravascular extracellular space volume fraction
(ve); and plasma volume fraction (vp) were calculated with Extended Tofts Linear
model. Receiver operator characteristics analysis was conducted to assess the
diagnostic efficacy of these parameters in pNENs grading. There were significant
differences of Ktrans, kep, ve and vp between tumor-free areas and G1, G2 NET
(P<0.001). The Ktrans and kep of G1 NET were significantly lower compared with
those of G2 ones (P<0.005). The area under the curve of Ktrans and kep in
differentiating G2 from G1 NET were 0.767 and 0.846, respectively. When Ktrans
was >0.667 and kep >1.644, the sensitivity of diagnosing G2 NET was the lowest
(53.85%), but the specificity was the highest (93.75%). When Ktrans was >0.667 or
kep >1.644, the sensitivity of diagnosing G2 NET was 92.31%, but the specificity
was 75.00%. Pharmacokinetic parameters of DCE-MRI, particularly the quantitative
values of Ktrans and kep, are helpful for differentiating G2 NET from G1 ones.
PMID- 29805570
TI - lncRNAs are novel biomarkers for differentiating between cisplatin-resistant and
cisplatin-sensitive ovarian cancer.
AB - Cisplatin-resistant ovarian cancer occurs in patients with ovarian cancer treated
with cisplatin-based chemotherapy, which results in tumor progression during
treatment, or recurrence of the tumor within 6 months of the treatment. It is
vital that a novel biomarker for diagnosis, or an efficient therapeutic target of
cisplatin-resistant ovarian is identified. Long non-coding (lnc)RNAs were
determined to serve critical functions in a variety of distinct types of cancer,
including ovarian cancer; however, there is limited knowledge regarding the
differential expression levels of lncRNAs in cisplatin-resistant and cisplatin
sensitive ovarian cancer. Therefore, in the present study, the expression levels
were determined for these cancer types. The lncRNA expression profile in
cisplatin-resistant ovarian cancer was analyzed and compared with the results for
cisplatin-sensitive ovarian cancer; gene ontology and pathway analysis
demonstrated that the dysregulated lncRNAs participated in important biological
processes. Subsequently, it was identified that these dysregulated lncRNAs were
present in other ovarian cancer tissues and in SKOV3 ovarian cancer cells, as
well as its cisplatin-resistant clone, SKOV3/CDDP. In addition, it was revealed
that 8 lncRNAs (Enst0000435726, Enst00000585612, Enst00000566734,
Enst00000453783, NR_023915, RP11_697E22.2, uc010jub.1 and tcons_00008505) were
associated with cisplatin-resistant ovarian cancer. The present study may assist
in improving understanding of the initiation and developmental mechanisms
underlying cisplatin-resistant ovarian cancer, which could aid future studies in
discovering potential biomarkers for diagnosis or therapeutic targets that may be
used in clinical treatment.
PMID- 29805571
TI - Gene-gene interaction network analysis of hepatocellular carcinoma using
bioinformatic software.
AB - Information processing tools and bioinformatics software have markedly advanced
the ability of researchers to process and analyze biological data. Data from the
genomes of humans and model organisms aid researchers to identify topics to
study, which in turn improves predictive accuracy, facilitates the identification
of relevant genes and simplifies the validation of laboratory data. The objective
of the present study was to investigate the regulatory network constituted by
long non-coding RNAs (lncRNAs), microRNAs (miRNAs) and mRNA in hepatocellular
carcinoma (HCC). Microarray data from HCC datasets were downloaded from The
Cancer Genome Atlas database, and the Limma package in R was used to identify the
differentially expressed genes (DEGs) between HCC and normal samples. Gene
ontology enrichment analysis of DEGs was conducted using the Database for
Annotation, Visualization, and Integrated Discovery. TargetScan, microcosm,
miRanda, miRDB and PicTar were used to predict target genes. lncRNAs associated
with HCC were probed using the lncRNASNP database, and a lncRNA-miRNA-mRNA
regulatory network was visualized using Cytoscape. The present study identified
114 differentially expressed miRNAs and 2,239 differentially expressed mRNAs; of
these, 725 were downregulated genes that were primarily involved in complement
and coagulation cascades, fatty acid metabolism and butanoate metabolism, among
others. The remaining 1,514 were upregulated genes principally involved in DNA
replication, oocyte meiosis and homologous recombination, among others. Through
the integrated analysis of associations between different types of RNAs and
target gene prediction, the present study identified 203 miRNA-mRNA pairs,
including 28 miRNAs and 170 mRNAs, and identified 348 lncRNA-miRNA pairs,
containing 28 miRNAs. Therefore, owing to the association between lncRNAs-miRNAs
mRNAs, the present study screened out 2,721 regulatory associations. The data in
the present study provide a comprehensive bioinformatic analysis of genes,
functions and pathways that may be involved in the pathogenesis of HCC.
PMID- 29805572
TI - Human corticotrophin releasing factor inhibits cell proliferation and promotes
apoptosis through upregulation of tumor protein p53 in human glioma.
AB - Corticotropin-releasing factor (CRF) and its receptors have been detected in
numerous tumors and have an important role in tumorigenesis and proliferation.
However, the role of these peptides has not been established in human glioma and
malignant glioma cell lines. The present study evaluated for the first time, the
expression of CRF receptor 1 (CRFR1) in 35 human glioma samples, 13 normal brain
tissues and human U87 glioma cells using immunohistochemistry, reverse
transcription-quantitative polymerase chain reaction (RT-qPCR) and western blot
analysis. Levels of CRFR1 were identified to be significantly increased in human
glioma and U87 cells and higher levels of CRFR1 were observed in glioma tissues
of higher grade. The biological functions of human CRF (hCRF) on U87 cells glioma
cells were investigated by cell counting, a bromodeoxyuridine assay and flow
cytometry. The U87 cells under hCRF treatment exhibited reduced proliferation,
increased apoptosis and a cell cycle arrest in S and G2/M phase. The tumor
protein p53 (p53) gene may participate in the activation of hCRF via CRFR1 in U87
cells, therefore p53 mRNA and protein were evaluated using RT-qPCR and western
blot analysis. Finally, the present results suggest that hCRF inhibits
proliferation and induces cell-cycle arrest and apoptosis in U87 cells via the
CRFR1-mediated p53 signaling pathway. Therefore, the present study also suggests
that hCRF may be used therapeutically, and CRFR1 may be a putative therapeutic
target for human glioma.
PMID- 29805573
TI - Elevated peripheral blood B lymphocytes and CD3+CD4-CD8- T lymphocytes in
patients with non-small cell lung cancer: A preliminary study on peripheral
immune profile.
AB - It has been established that the tumor microenvironment (TME) has a crucial role
in enabling tumors to evade from host immune responses. Previous studies
demonstrated that tumor cells are not only able to reshape immune milieu at the
tumor site, but also exert systemic effects, which has been demonstrated to be
important for metastasis. At present, how the peripheral immune environment
change in the tumor-bearing host is unclear. The present study identified a
number of changes in the proportions of lymphocyte subpopulations and the levels
of cytokines in patients with NSCLC, which may provide a preliminary profile of
the immune environment in the peripheral blood of patients harboring a tumor.
These findings expand on the present knowledge on how tumors can alter the immune
system to benefit its growth and metastasis, which may provide a potential novel
strategy for immunotherapy.
PMID- 29805574
TI - Significance of PIVKA-II levels for predicting microvascular invasion and tumor
cell proliferation in Chinese patients with hepatitis B virus-associated
hepatocellular carcinoma.
AB - The present study aimed to determine the levels of prothrombin induced by vitamin
K absence-II (PIVKA-II) according to the Barcelona Clinic Liver Cancer (BCLC)
staging system, to develop an appropriate strategy for managing hepatocellular
carcinoma (HCC), particularly early HCC, and to investigate the value of PIVKA-II
for predicting prognosis-associated pathological parameters. Clinical information
of 117 patients with hepatitis B-associated HCC was retrospectively collected.
Preoperative serum PIVKA-II and alpha-fetoprotein (AFP) levels were measured
using a chemiluminescence method. The efficiency of PIVKA-II levels for
predicting pathological parameters was evaluated using step-wise logistic
regression. The receiver operator characteristic curve was used to evaluate the
predictive performance of PIVKA-II levels. It was demonstrated that except for
the difference between stages B and C HCC (P=0.923), serum PIVKA-II levels
significantly increased according to BCLC stage (P<0.050), however AFP levels did
not. In early HCC (stage 0+A), the correlation between PIVKA-II and AFP levels
(dual-positive, 64.70% in stage 0; 46.97% in stage A) was relatively weak
(r=0.410). PIVKA-II >40 mAU/ml was an independent predictor of microvascular
invasion [hazard ratio (HR), 3.77; 95% confidence interval (CI), 1.31-10.88;
P=0.014; and high Ki67 expression in situ (HR, 2.99; 95% CI, 1.19-7.52; P=0.020).
Combined analysis of PIVKA and AFP levels may contribute to an effective strategy
for the management of patients with early HCC, as high PIVKA-II levels indicated
a more aggressive tumor phenotype. Further investigation of PIVKA-II levels may
provide novel insights into the mechanism underlying the metastasis of HCC cells
and facilitate the development of novel therapeutic strategies for HCC.
PMID- 29805575
TI - Overexpression of dihydrofolate reductase is a factor of poor survival in acute
lymphoblastic leukemia.
AB - Dihydrofolate reductase (DHFR) has an important function in DNA synthesis and is
a target of methotrexate, which is a crucial treatment option for acute
lymphoblastic leukemia (ALL). However, the number of studies conducted to date on
DHFR expression in childhood ALL is limited. The aim of the present study was to
determine whether the expression of DHFR is associated with survival in childhood
ALL. The expression of DHFR in 96 children with ALL and 100 control individuals
was determined using reverse transcription-quantitative polymerase chain
reaction. The results of the present study demonstrated that the expression of
DHFR mRNA in children with ALL was significantly increased (P<0.001), compared
with that in the control group. In addition, increased levels of DHFR mRNA were
observed in patients with B-cell lineage, compared with patients with T-cell
lineage ALL (P<0.05). The Kaplan-Meier estimator analysis revealed that children
with ALL who exhibited increased levels of DHFR mRNA had a decreased overall
survival time (P<0.05). It was observed that certain patient prognostic features
(including age, sex, white blood cell count and high DHFR expression), are
associated with poor survival (log-rank test, P<0.05). Therefore, the results of
the present study indicated that DHFR upregulation is a factor for poor survival
in ALL.
PMID- 29805576
TI - Effects of miR-126 on the STAT3 signaling pathway and the regulation of malignant
behavior in lung cancer cells.
AB - The functions of miR-126-mediated signal transducers and activators of the
transcription 3 (STAT3) signal pathway were investigated in regulating the
behavior of cells in non-small cell lung cancer (NSCLC). Cultured NSCLC A549
cells were transfected with empty, miR-126 overexpression or miR-126 knocked-down
expression plasmids. After transfection efficiency verification by reverse
transcription polymerase chain reaction (RT-PCR) and culture for 24 h, methyl
thiazolyl tetrazolium (MTT) was applied to detect cell proliferation rate,
migration distance was measured in scratch assays, cell cycle was determined
through flow cytometry, the mRNA expression level of caspase-3 in cells was
detected using RT-PCR and protein expression levels of STAT3 were detected using
western blotting. Our results showed the cell proliferation rate was
significantly higher in cells of the overexpression group than that in those of
the control group (p<0.05) and the rate in the cells of the low-expression group
was the lowest among the three groups (p<0.05). The migration distance of the
overexpression group cells was significantly longer than that in the control
group cells and the shortest migration distance was found in the low-expression
group cells (p<0.05). The amount of cells in mitotic phase in the overexpression
group was significantly higher than that in the control group and the same amount
in the low-expression group was the lowest (p<0.05). The mRNA expression level of
caspase-3 of cells in the overexpression group was significantly lower than that
of cells in the control group and the highest expression level was found in the
low-expression group (p<0.05). Finally, the protein expression levels of STAT3 in
cells in the overexpression group were significantly lower than those in the
control group and the highest expression levels were identified in the low
expression group (p<0.05). Based on our findings, the cancer-promoting miR-126
can mediate the activation of the STAT3 signal pathway to regulate the malignant
biological behavior of NSCLC cells affecting their proliferation, migration,
cycle and apoptosis susceptibility.
PMID- 29805577
TI - Functional analysis of gene expression profiling-based prediction in bladder
cancer.
AB - The present study aimed to analyze the modification of gene expression in bladder
cancer (BC) by identifying significant differentially expressed genes (DEGs) and
functionally assess them using bioinformatics analysis. To achieve this, two
microarray datasets, GSE24152 (which included 10 fresh tumor tissue samples from
urothelial bladder carcinoma patients and 7 benign mucosa samples from the
bladder), and GSE42089 (which included 10 tissues samples from urothelial cell
carcinoma patients and 8 tissues samples from the normal bladder), were
downloaded from the Gene Expression Omnibus database for further analysis.
Differentially expressed genes (DEGs) were screened between benign the mucosa and
control groups in GSE24152 and GSE42089 datasets. Gene ontology (GO) and Kyoto
encyclopedia of genes and genomes (KEGG) analysis were performed on overlapping
DEGs identified in GSE24152 and GSE42089. Protein-protein interaction (PPI)
networks and sub-networks were then constructed to identify key genes and main
pathways. GO terms analysis was also performed for the selected clusters. In
total, 1,325 DEGs in GSE24152 and 647 DEGs in GSE42089 were screened, in which
619 common DEGs were identified. The DEGs were mainly enriched in pathways and GO
terms associated with mitotic and chromosome assembly, including nucleosome
assembly, spindle checkpoint and DNA replication. In the interaction network,
progesterone receptor (PGR), MAF bZIP transcription factor G (MAFG), cell
division cycle 6 (CDC6) and members of the minichromosome maintenance family
(MCMs) were identified as key genes. Histones were also considered to be
significant factors in BC. Nucleosome assembly and sequence-specific DNA binding
were the most significant clustered GO terms. In conclusion, the DEGs, including
PGR, MAFG, CDC6 and MCMs, and those encoding the core histone family were closely
associated with the development of BC via pathways associated with mitotic and
chromosome assembly.
PMID- 29805578
TI - Long non-coding RNA H19 regulates viability and metastasis, and is upregulated in
retinoblastoma.
AB - Retinoblastoma is the most common type of intraocular pediatric malignant tumor,
which typically affects children <6 years of age. However, the underlying
molecular mechanisms of retinoblastoma progression remain unclear. The aim of the
present study was to investigate the function of long non-coding RNA (lncRNA) H19
in retinoblastoma clinical samples and cell lines, using reverse transcription
quantitative polymerase chain reaction, western blotting, colony formation, MTT,
fluorescence activated cell sorting, cell invasion and migration, and in vivo
growth assays. The results demonstrated that H19 may serve a critical oncogenic
function in the progression of retinoblastoma, as lncRNA H19 levels were markedly
increased in retinoblastoma cells and tissues compared with corresponding
controls. In addition, patients with retinoblastoma with increased lncRNA H19
expression experienced poorer survival time compared with those with decreased
lncRNA H19 levels. Knockdown of lncRNA H19 significantly suppressed
retinoblastoma cell proliferation, migration and invasion in vitro and in vivo.
Furthermore, lncRNA H19 expression was also associated with multiple proteins,
including cyclin-dependent kinase 1, B-cell lymphoma-associated X protein,
apoptosis regulator, tumor protein p53, vimentin, cadherin 13 and matrix
metallopeptidase 9. In conclusion, lncRNA H19 may serve an important function in
tumorigenesis and may be a potential target for therapy and prognosis in
retinoblastoma.
PMID- 29805579
TI - microRNA-23a promotes cell growth and metastasis in gastric cancer via targeting
SPRY2-mediated ERK signaling.
AB - microRNAs (miRs) serve important roles in various human cancer types. Recently,
miR-23a has been indicated as an oncogene in gastric cancer, but the underlying
mechanism remains unclear. In the present study, reverse transcription
quantitative polymerase chain reaction and western blot analysis was used to
explore the effects of miR-23a in gastric cancer. Additionally, cell
proliferation, migration and invasion were examined using an MTT assay, wound
healing assay and Transwell assay, respectively. Furthermore, a luciferase
reporter gene assay was used to confirm the target association. It was determined
that miR-23a was significantly upregulated in gastric cancer tissues and cell
lines compared with adjacent tissues, and a normal gastric epithelial cell line.
Furthermore, its upregulation was significantly associated with cancer
progression and poor prognosis of patients. Knockdown of miR-23a caused a notable
reduction in the proliferation, migration and invasion of gastric cancer AGS
cells. Sprouty homolog 2 (SPRY2) was then predicted to be target gene of miR-23a.
A luciferase reporter gene assay data demonstrated that miR-23a has the ability
to directly bind to the 3'-untranslational region of SPRY2 mRNA. Further
investigation demonstrated that SPRY2 was significantly downregulated in gastric
cancer tissues and cell lines, and the protein expression of SPRY2 was negatively
regulated by miR-23a in AGS cells. Furthermore, knockdown of SPRY2 reduced the
suppressive effects of miR-23a inhibition in AGS cell proliferation, migration
and invasion. In addition, the activity of extracellular signal-regulated kinase
(ERK) signaling was also inhibited by the miR-23a/SPRY2 knockdown in AGS cells.
The present study indicated that miR-23a serves a promoting role in gastric
cancer via targeting SPRY2 and downstream ERK signaling.
PMID- 29805580
TI - Fisetin inhibits the proliferation of gastric cancer cells and induces apoptosis
through suppression of ERK 1/2 activation.
AB - The present study aimed to investigate the effect of fisetin on proliferation and
apoptosis of gastric cancer cells, as well as the underlying mechanism.
Proliferation in SGC7901 cancer and GES-1 normal cells was analyzed using a CCK-8
assay. Apoptosis was analyzed using an Annexin V/Propidium Iodide apoptosis kit
and phosphorylation of extracellular signal-regulated kinase (ERK) 1/2 was
analyzed by western blot assay. Treatment of SGC7901 cells with various
concentrations (1, 5, 10, 15 and 20 uM) of fisetin for 48 h resulted in a
concentration dependent reduction in proliferation. Flow cytometry revealed a
marked increase in apoptosis from 5 uM concentration of fisetin after 48 h. The
percentage of apoptotic cells increased to 87% following treatment with 15 uM
fisetin for 48 h, compared with 2% in control. Treatment of SGC7901 cells with
fisetin for 48 h resulted in a reduction in the activation of ERK 1/2 in a
concentration-dependent manner. The reduction in activation of ERK 1/2 was
significant following treatment with 15 uM fisetin for 48 h. The inhibitory
effect of fisetin on activation of ERK 1/2 was further demonstrated using the ERK
1/2 inhibitor, PD98059. The results indicated a significant reduction in the
proliferation of SGC7901 cells following treatment with PD98059 (P<0.002). The
reduction by PD98059 administration was comparable to that observed following
fisetin treatment for 48 h. In conclusion, the current study demonstrates that
fisetin inhibits the proliferation of gastric cancer cells and induces apoptosis
through suppression of ERK 1/2 activation. Thus, fisetin may have therapeutic
applications in the treatment of gastric cancer.
PMID- 29805581
TI - Cronkhite-Canada syndrome: A case report.
AB - Cronkhite-Canada syndrome (CCS) is a rare non-inherited condition characterized
by gastrointestinal (GI) hamartomatous polyposis, alopecia, onychodystrophy,
hyperpigmentation, weight loss and diarrhea. The etiology is most likely
autoimmune and diagnosis is based on patient history, physical examination,
endoscopic findings of GI polyposis and histology. The disease is very rare; thus
far more than 500 cases of CCS have been reported globally. A 58-years-old male
with CCS was reported in the present case study. The patient experienced a
history of diarrhea and hematochezia for 4 months, with abdominal pain for 1
month and additional nail and toenail loss for half a month. The clinical,
endoscopic and histological data confirmed the diagnosis.
PMID- 29805582
TI - Effects of aspirin on proliferation, invasion and apoptosis of Hep-2 cells via
the PTEN/AKT/NF-kappaB/survivin signaling pathway.
AB - Aspirin may exhibit antitumor activities, as it is able to inhibit cell
proliferation. However, the ability of aspirin to inhibit cellular proliferation
in Hep-2 cells and its underlying molecular mechanisms have been poorly
determined. The aim of the present study was to investigate whether aspirin may
induce cell apoptosis in the neoplastic cell line Hep-2. The effects of aspirin
on the migratory and invasive abilities of Hep-2 cells were also investigated
using Transwell assays. In the present study, it was demonstrated that aspirin
induced apoptosis and inhibited proliferation, migration and invasion in Hep-2
cells. Aspirin also significantly decreased the expression of B-cell lymphoma 2
(Bcl-2) and caspase-3, and increased the expression of Bcl-2-associated X
protein, suggesting that aspirin induced apoptosis through the intrinsic
apoptotic pathway. Hep-2 cells treated with aspirin exhibited a significant
upregulation of phosphatase and tensin homolog (PTEN) and decreased levels of
phosphorylated protein kinase B (AKT). However, the total amount of AKT protein
was not altered in response to aspirin treatment. Furthermore, the expression of
nuclear factor (NF)-kappaB and survivin, which are the downstream targets of the
PTEN/AKT signaling pathway, was inhibited. These results indicated that the
molecular mechanism underlying the antitumor effects of aspirin may be associated
with the inhibition of tumor invasion and induction of apoptosis by regulating
the activity of the PTEN/AKT/NF-kappaB/survivin signaling pathway.
PMID- 29805583
TI - Aberrant promoter methylation status is associated with upregulation of the E2F4
gene in breast cancer.
AB - E2F4 is an important basal transcription factor with the potential to promote
tumor growth. Its upregulation in various types of cancer has been linked to
numerous genetic factors; however, the nature of the involvement of epigenetic
mechanisms, including DNA methylation, remains elusive. In the present study,
E2F4 expression profiles were determined in 100 paired breast tumor and control
samples, through RT-qPCR using the SYBR(r) green method. Furthermore, the E2F4
promoter methylation status in each of these samples was assessed using
methylation specific PCR, in order to evaluate its impact on gene expression. A
two-fold increase in E2F4 gene expression was observed in the breast tumors
compared with in their respective controls (P=0.022); of these tumors, ~72% were
under-methylated. The change in methylation status was also significantly higher
(P<0.001) in the tumor samples. Methylation status was negatively correlated (r=
30) with E2F4 expression profiles, indicating that a decrease in methylation may
promote higher expression of E2F4. The two study cohorts (>45 and <=45 years) had
comparable methylation profiles, though they had significantly decreased
methylation status compared with controls. Various histo-pathological types also
have different methylation profiles, indicating the presence of a tissue specific
methylation signature. The results of the present study demonstrated that E2F4
methylation status can have a notable influence on its expression, and that it
may have prognostic value in breast carcinogenesis.
PMID- 29805584
TI - Tunicamycin inhibits progression of glioma cells through downregulation of the
MEG-3-regulated wnt/beta-catenin signaling pathway.
AB - Glioma is derived from the oncogenic transformation of brain and spinal cord
glial cells, and is one of the most common primary brain tumors. Tunicamycin
(TUN) can significantly inhibit glioma growth and aggressiveness by promoting
apoptosis in glioma cells. The purpose of the present study was to investigate
the effects of TUN on growth of glioma cells and examine the TUN-mediated
signaling pathway. The inhibitory effects of TUN on apoptosis, growth,
aggressiveness and cell cycle arrest of glioma tumor cells were determined by
western blotting, reverse transcription-quantitative polymerase chain reaction,
apoptotic assays and immunofluorescence. The results demonstrated that treatment
with TUN suppressed growth, migration and invasion of glioma carcinoma cells. In
addition, TUN treatment induced apoptosis of glioma cells through downregulation
of Bcl-2 and P53 expression levels. Findings also indicated that TUN suppressed
proliferation and arrested the glioma cells in the S phase of the cell cycle.
Further analysis of the mechanisms of TUN demonstrated that TUN treatment
upregulated the expression levels of maternally expressed gene (MEG)-3, wnt and
beta-catenin in glioma cells. Furthermore, knockdown of MEG-3 expression reversed
the TUN-decreased wnt/beta-catenin signaling pathway, which subsequently also
reversed the TUN-inhibited growth and aggressiveness of glioma cells. In
conclusion, the findings in the present study indicated that TUN treatment
inhibited growth and aggressiveness through MEG-3-mediated wnt/beta-catenin
signaling, suggesting that TUN may be an efficient anticancer agent for the
treatment of glioma.
PMID- 29805585
TI - Axillary ultrasound and fine needle aspiration biopsy in the preoperative
diagnosis of axillary metastases in early-stage breast cancer.
AB - The efficacy of axillary lymph node dissection (ALND) following sentinel lymph
node biopsy (SLNB) has been questioned. The present study was performed to
determine the sensitivity, specificity and accuracy of axillary ultrasound (US)
and fine needle aspiration biopsy (FNAB) in the diagnosis of axillary metastases
in patients with early breast cancer. A total of 214 patients with stage I and II
breast cancer between June 2015 and January 2017 were included. All of the
patients received axillary US as a primary investigation for lymph node status.
US-guided FNAB was performed on suspicious lymph nodes. Those with non-suspicious
and FNAB-negative axillary nodes proceeded to SLNB at the time of primary breast
surgery. ALND was performed when the result of the US-guided FNAB was positive.
The results of US and cytology were compared to histopathological results to
determine their sensitivity, specificity, positive and negative predictive value
and accuracy. A total of 76 out of 214 patients (35.5%) had axillary lymph node
metastases at final histology. The sensitivity and specificity of axillary US
alone were 59.2% (45/76) and 78.3% (108/138), respectively. Axillary US with FNAB
identified 32 patients with positive lymph node metastases, and increased the
sensitivity and specificity to 71.1% (32/45) and 100.0% (30/30). Combined with
FNAB, the positive and negative predictive values were 100.0% (32/32) and 69.8%
(30/43), respectively. Axillary US-alone or combined US/FNAB had a high accuracy
rate and a satisfactory result as they cost less and it is easy to assess the
status of axillary lymph nodes. Thus, axillary US with FNAB may avoid unnecessary
SLNB in a significant number of patients.
PMID- 29805586
TI - Identification of potential pathogenic biomarkers in clear cell renal cell
carcinoma.
AB - The purpose of the present study was to screen potential pathogenic biomarkers of
clear cell renal cell carcinoma (ccRCC) via microarray analysis. The mRNA and
microRNA (miRNA) expression profiles of GSE96574 and GSE71302 were downloaded
from the Gene Expression Omnibus (GEO) database, as well as the methylation
profile of GSE61441. A total of 5 ccRCC tissue samples and 5 normal kidney tissue
samples were contained in each profile of GSE96574 and GSE71302, and 46 ccRCC
tissue samples and 46 normal kidney tissue samples were involved in GSE61441. The
differentially expressed genes (DEGs) and the differentially expressed miRNAs
(DEMs) were obtained via limma package in ccRCC tissues compared with normal
kidney tissues. The Two Sample t-test and the Beta distribution test were used to
identify the differentially methylated sites (DMSs). The Database for Annotation,
Visualization and Integrated Discovery (DAVID) was used to perform the Gene
Ontology (GO) term and Kyoto Encyclopedia of Genes and Genomes (KEGG) pathway
enrichment analyses of the DEGs. The targets of the DEMs were screened with the
miRWalk database, and the further combination analyses of DEGs, DEMs and DMSs
were conducted. Additionally, reverse transcription PCR (RT-PCR) and methylation
specific PCR (MS-PCR) were performed to detect the mRNA level and methylation
status of HAPLN1. The mRNA levels of hsa-miR-204 and hsa-miR-218 were tested by
RT-PCR. A total of 2,172 DEGs, 202 DEMs and 2,172 DMSs were identified in RCC
samples compared with normal samples. The DEGs were enriched in 1,015 GO terms
and 69 KEGG pathways. A total of 10,601 miRNA-gene pairs were identified in at
least 5 algorithms of the miRWalk database. A total of 143 overlaps were
identified between the DEGs and the differentially methylated genes. Furthermore,
the DEGs were involved in 851 miRNA-gene pairs, including 127 pairs in which the
target genes were negatively associated with their corresponding DEMs and DMSs.
HAPLN1 was lowly expressed and highly methylated in ccRCC tissues, while hsa-miR
204 and hsa-miR-218 were highly expressed. The results of the present study
indicated that HAPLN1, hsa-miR-204 and hsa-miR-218 may be involved in the
pathogenesis of ccRCC.
PMID- 29805587
TI - Application value of CT and MRI in diagnosis of primary brain lymphoma.
AB - This study explored the correlation between computed tomography (CT) and magnetic
resonance imaging (MRI) manifestations and pathological features of primary brain
lymphoma to improve the diagnostic accuracy. A total of 230 patients with primary
brain lymphoma admitted to People's Hospital of Rizhao from July, 2005 to
December, 2016 were selected into the study and their clinical data were analyzed
retrospectively. Among them, 87 patients were examined by CT, 74 patients by MRI,
69 patients by both MRI and CT. Features of MRI and CT scanning figures were
observed with a focus on the density, number and margins of the lesions, and the
diagnostic accuracy was analyzed. A total of 353 lesions were identified from 230
primary brain lymphoma patients, of which 224 were single lesions, and 129 were
multiple lesions. Most lesions were on the upper curtain (81.3%, 187 cases) and
43 cases (18.7%) were on the lower curtain. Lesion signal of CT and MRI plain
scan showed uniform state, and enhanced scan showed significantly enhanced
signal. Diagnostic accuracy of CT was 82.8%, and sensitivity and specificity was
75.5 and 67.4%, respectively. Diagnostic accuracy of MRI was 83.8%, and
sensitivity and specificity was 79.3 and 64.9%, respectively. Diagnostic accuracy
of MRI combined with CT was 89.9%, and sensitivity and specificity was 86.3 and
75.8%, respectively. CT combined with MRI can provide better diagnosis for
primary brain lymphoma compared with CT or MRI alone, but pathological test is
still needed.
PMID- 29805588
TI - In vitro analysis of putative cancer stem cell populations and chemosensitivity
in the SW480 and SW620 colon cancer metastasis model.
AB - The cancer stem cell (CSC) theory implicates a small subpopulation of cells with
stem-like properties, which is responsible for tumour initiation, development and
metastasis. The unique biological and functional characteristics of CSCs, widely
associated with treatment resistance, indicate an association between metastasis
and stemness. It was hypothesised that metastatic cell lines may be enriched in
CSCs and that this would correlate with a more resistant tumour. In the present
study, the SW480 and SW620 paired cell lines derived from a colon adenocarcinoma
and its lymph node metastasis, respectively were compared as an in vitro model of
cancer progression. Their chemosensitivity and CSC properties were investigated.
A range of in vitro assays were performed, including the side population assay,
ALDEFLUOR assay, tumoursphere assay and assessment of CSC-associated surface
phenotypes. It was determined that the SW480 and SW620 cells exhibited similar
growth rates, although the SW480 cells were more migratory in wound healing
assays on collagen and fibronectin matrices. SW480 and SW620 cells displayed
similar CSC profiles, however, SW480 cells demosntrated significantly greater
tumoursphere forming efficiency over SW620 cells. Tumourspheres derived from
SW480 and SW620 cells also displayed differential sensitivity to 5-fluorouracil,
oxaliplatin, geldanamycin and novobiocin that was not apparent when cells were
grown under adherent conditions. Taken together, these results suggest that
although the two cell lines have similar levels of putative CSC populations,
there are differences in their biology that cannot be explained by these CSC
levels. To the best of our knowledge, this is the first study to conduct a
detailed analysis of the CSC populations using multiple in vitro assays in a
paired cell line model. These results have clinical relevance for the
understanding of the differences between primary tumours and their metastatic
counterparts.
PMID- 29805589
TI - ?9-tetrahydrocannabinol inhibits epithelial-mesenchymal transition and metastasis
by targeting matrix metalloproteinase-9 in endometrial cancer.
AB - Limited therapeutic interventions are clinically available for treating
aggressive endometrial cancer (EC). Therefore, effective therapies are urgently
required. Therefore, the present study investigated the role of ?9
tetrahydrocannabinol (THC), which is reported to impact proliferative and
migratory activities during impairment of cancer progression. In the present
study, cell migration in response to THC was measured using transwell assays.
Using western blot analysis, the levels of cannabinoid receptors in EC tissues
were detected and pathways leading to the inhibition of cell migration by THC on
human EC cells were determined. Results suggested that cannabinoid receptors were
highly expressed in EC tissues. Furthermore, THC inhibited EC cell viability and
motility by inhibiting epithelial-mesenchymal transition (EMT) and downregulating
matrix metalloproteinase-9 (MMP-9) gene expression in aggressive human EC cells.
The results have the potential to promote the development of novel compounds for
the treatment of EC metastasis. The presnet findings suggest that THC may inhibit
human EC cell migration through regulating EMT and MMP-9 pathways.
PMID- 29805590
TI - Suppression of tumor cell proliferation and migration by human umbilical cord
mesenchymal stem cells: A possible role for apoptosis and Wnt signaling.
AB - Human umbilical cord-derived mesenchymal stem cells (hUCMSCs) represent potential
therapeutic tools for solid tumors. However, there are numerous inconsistent
results regarding the effects of hUCMSCs on tumors, and the mechanisms underlying
this remain poorly understood. The present study further examined this
controversial issue by analyzing the molecular mechanisms of the inhibitory
effects of hUCMSCs on the proliferation and migration of the human lung cancer
A549 cell line and the human hepatocellular carcinoma (HCC) BEL7402 cell line in
vitro. Flow cytometric analysis demonstrated that hUCMSCs arrested tumor cells in
specific phases of the cell cycle and induced the apoptosis of tumor cells by
using the hUCMSC-conditioned medium (hUCMSC-CM). The hUCMSC-CM also attenuated
the migratory abilities of the two tumor cell types. Furthermore, the expression
of B-cell lymphoma 2 (Bcl-2), the pro-form of caspase-7 (pro-caspase-7), beta
catenin and c-Myc was downregulated, while that of ephrin receptor (EphA5), a
biomarker of cancer cell dormancy, was slightly increased in these two tumor cell
lines treated with hUCMSC-CM. Specifically, when co-cultured via direct cell-to
cell contact, hUCMSCs were able to spontaneously fuse with any of the two types
of solid tumor cells. These observations suggested that hUCMSCs may be a
promising candidate for the biological therapy of lung cancer and HCC. Future
studies should focus on detailed evidence for cell fusion, as well as other
mechanisms proposed in the present study, by introducing additional experimental
approaches and models.
PMID- 29805591
TI - Identification of subtype-specific prognostic signatures using Cox models with
redundant gene elimination.
AB - Lung cancer (LC) is a leading cause of cancer-associated mortalities worldwide.
Adenocarcinoma (AC) and squamous cell carcinoma (SCC) account for ~70% of all
cases of LC. Since AC and SCC are two distinct diseases, their corresponding
prognostic genes associated with patient survival time are expected to be
different. To date, only a few studies have distinguished patients with good
prognosis from those with poor prognosis for each specific subtype. In the
present study, the Cox filter model, a feature selection algorithm that
identifies subtype-specific prognostic genes to incorporate pathway information
and eliminate redundant genes, was adopted. By applying the proposed model to
data on non-small cell lung cancer (NSCLC), it was demonstrated that both
redundant gene elimination and search space restriction can improve the
predictive capacity and the model stability of resulting prognostic gene
signatures. To conclude, a pre-filtering procedure that incorporates pathway
information for screening likely irrelevant genes prior to complex downstream
analysis is recommended. Furthermore, a feature selection algorithm that
considers redundant gene elimination may be preferable to one without such a
consideration.
PMID- 29805592
TI - The expression of HOXA13 in lung adenocarcinoma and its clinical significance: A
study based on The Cancer Genome Atlas, Oncomine and reverse transcription
quantitative polymerase chain reaction.
AB - Previous studies have investigated the association between HOXA13 and non-small
cell lung cancer. However, the role of HOXA13 expression in the occurrence and
progression of lung adenocarcinoma (LUAD) has not yet been investigated. In the
present study, HOXA13-related data mining of The Cancer Genome Atlas (TCGA),
polymerase chain reaction (PCR) data from our cases and the case information in
Oncomine was conducted for validation. The expression data of HOXA13 in lung
cancer cell lines were also collected from the Cancer Cell Line Encyclopedia
(CCLE) database for further verification. A comprehensive meta-analysis of the
expression of HOXA13 was also performed, integrating the data of TCGA, in-house
PCR and Oncomine. Genes that were co-expressed with HOXA13 were subsequently
identified through cBioPortal and Multi Experiment Matrix (MEM), and the
potential role and mechanism of HOXA13 in LUAD was investigated. The expression
value of HOXA13 in the LUAD group, which comprised 237 cases, was 3.74+/-2.694,
significantly higher than its expression value in the non-cancerous group (0.92+/
0.608, P<0.001). The pooled SMD for HOXA13 was 0.346 (95% CI, 0.052-0.640;
P=0.068; I2=51.3%; P=0.021), The meta-analysis of diagnostic tests revealed that
the area under the summary receiver operating characteristic curve (SROC) was
0.78 (95% CI, 0.75-0.82). The results demonstrated that HOXA13 is highly
expressed in LUAD. In addition to the studies on HOXA13 expression in tissues,
the expression data of HOXA13 in lung cancer cell lines were also collected from
the CCLE database for further verification of these conclusions. Genes that were
co-expressed with HOXA13 were identified for pathway analysis. The most enriched
Gene Ontology terms in the genes co-expressed with HOXA13 were positive
regulation of transcription from RNA polymerase II promoter, signal transduction
and positive regulation of GTPase activity in biological process; cytoplasm,
integral component of membrane and plasma membrane in cellular component; and
significantly involved in protein binding, transcription factor activity,
sequence-specific DNA binding and sequence-specific DNA binding in molecular
function. Kyoto Encyclopedia of Genes and Genomes analysis revealed that these
target genes were clearly involved in Pathways in cancer, Proteoglycans in cancer
and cAMP signaling pathway. The hub genes obtained from the four protein-protein
interaction networks were associated with HOXA13. The results of the
bioinformatics research in the present study revealed that HOXA13 may influence
the expression of these hub genes in such a way as to promote the occurrence and
development of LUAD. In conclusion, the expression of HOXA13 in patients with
LUAD and its potential clinical value were analyzed comprehensively in the
present study using data from a variety of sources. Through bioinformatics
analysis, evidence that HOXA13 may promote the occurrence and development of LUAD
was obtained.
PMID- 29805593
TI - Downregulation of FOXP2 promotes breast cancer migration and invasion through
TGFbeta/SMAD signaling pathway.
AB - Cancer metastasis and relapse are the primary cause of mortality for patients
with breast cancer. The present study performed quantitative proteomic analysis
on the differentially expressed proteins between highly metastatic breast cancer
cells and parental cells. It was revealed that forkhead box P2 (FOXP2), a
transcription factor in neural development, may become a potential inhibitor of
breast cancer metastasis. The results demonstrated that patients with a lower
level of FOXP2 expression had significantly poorer relapse-free survival
(P=0.0047). The transcription of FOXP2 was also significantly downregulated in
breast cancer tissue compared with normal breast tissue (P=0.0005). In addition,
FOXP2 may inhibit breast cancer cell migration and invasion in vitro. It was also
revealed that the underlying mechanism may include the epithelial-mesenchymal
transition process driven by the tumor growth factor beta/SMAD signaling pathway.
In conclusion, the present study identified FOXP2 as a novel suppressor and
prognostic marker of breast cancer metastasis. These results may provide further
insight into breast cancer prevention and the development of novel treatments.
PMID- 29805594
TI - Patient-derived tumor xenografts of lung squamous cell carcinoma alter long non
coding RNA profile but not responsiveness to cisplatin.
AB - Lung squamous cell carcinoma (LSCC), the second most common type of lung cancer,
has received limited attention. Patient-derived tumor xenografts (PDTXs) are
useful preclinical models to reproduce the diverse heterogeneity of cancer, but
it is important to identify potential variations during their establishment. A
total of 18 PDTXs were established from 37 the surgical specimens and 16 were
serially passaged to third generation. Second- and third-generation xenografts
had a faster growth rate in mice. The tumor implantation success rate was
associated with poorer differentiation, larger tumor volume and higher expression
of Ki-67. The xenografts largely retained histological and key immunophenotypic
features (including p53, p63, cytokeratin5/6, and E-cadherin). However, increased
Ki-67 expression was identified in partial xenografts. Long non-coding RNA
(lncRNA) and mRNA expression in third-generation xenografts differed from that of
matched primary tumors. Gene Ontology and pathway analysis showed that mRNAs
involved in cell cycle, and metabolism regulation were generally upregulated in
xenografts, while those associated with immune responses were typically
downregulated. Furthermore, the responses of xenografts to cisplatin were
consistent with clinical outcome. In the present study, PDTXs of SCC were
successfully established, and closely resembled their original tumor regarding
their immunophenotype and response to cisplatin. Overall, PDTXS of LSCC altered
the lncRNA profile and increased the proliferative activity of cancer cells,
whilst retaining responsiveness to cisplatin.
PMID- 29805595
TI - Clinicopathological significance of G9A expression in colorectal carcinoma.
AB - G9A, the primary histone methyltransferase (HMTase) for histone H3 lysine 9, is
upregulated in numerous types of cancer and is critical for tumor cell
proliferation. The present study aimed to investigate the G9A expression level in
colorectal carcinoma (CRC) to evaluate the clinical significance of G9A in CRC.
First, the present study detected the expression of G9A protein in 100 pairs of
CRC specimens by immunohistochemistry staining and analyzed the correlations
between G9A expression and pathological tumor features. It was found that G9A
expression was increased markedly in CRC tumor specimens and the high expression
was associated with tumor distant metastasis. Oncomine database analysis
demonstrated an elevated expression level of G9A in various types of CRC. In
total, 6 public available data sets from the Gene Expression Omnibus (GEO) were
used and Gene set enrichment analysis (GSEA) was conducted. The results of the
bioinformatics analysis demonstrated that high G9A expression was associated with
American Joint Committee on Cancer staging, tumor differentiation, tumor relapse
of CRC, and may serve a role in CRC cell proliferation. These findings suggested
that G9A was overexpressed in CRC and involved in the tumorigenesis and distant
metastasis of CRC. The expression level may also serve as a potential indicator
for tumor recurrence in CRC. The present findings aided in the understanding of
the crucial role of G9A in tumorigenesis and also offered novel ideas for CRC
therapy.
PMID- 29805596
TI - Long non-coding RNA AFAP1-antisense RNA 1 promotes the proliferation, migration
and invasion of gastric cancer cells and is associated with poor patient
survival.
AB - Gastric cancer (GC) is the second-leading cause of cancer-associated mortality
worldwide. AFAP1-antisense RNA 1 (AFAP1-AS1), a long non-coding RNA (lncRNA), is
believed to promote the aggressive progression of cancer; however, its role in GC
remains largely unknown. In the present study, the expression of AFAP1-AS1 in GC
tissues and cell lines was measured using reverse transcription-quantitative
polymerase chain reaction (RT-qPCR). Knockdown of AFAP1-AS1 was performed using a
lentiviral vector containing a short hairpin RNA. The proliferation of GC cells
was measured using Cell Counting kit-8. The migration and invasion of GC cells
were analyzed using a QCM Laminin Migration Assay kit and a Cell Invasion Assay
kit. The levels of epithelial-mesenchymal transition (EMT)-associated proteins
were detected by western blot analysis. The cut-off value of the expression of
AFAP1-AS1 was evaluated using receiver operating characteristic (ROC) curves and
patient survival rate was analyzed using Kaplan-Meier. The expression of AFAP1
AS1 was significantly increased in the primary tumor tissues of GC patients with
lymph node metastasis or tumor node metastasis stage (stage III or IV; P<0.01).
ROC curve analysis revealed that the expression of AFAP-AS1, at a cut-off value
of 0.5040, could distinguish GC tissues from the matched normal tissues, with an
AUC of 0.8802, sensitivity of 81.25% and specificity of 83.75%. The
overexpression of AFAP1-AS1 was positively associated with the poor survival
rates of GC patients. Furthermore, the downregulation of AFAP1-AS1 significantly
inhibited the proliferation, migration and invasion of GC cells in vitro
(P<0.01). The decrease in AFAP1-AS1 expression significantly suppressed the
expression level of N-cadherin protein in GC cells and increased that of E
cadherin. The present study demonstrated that the expression signature of AFAP1
AS1 may serve as a biomarker for the diagnosis and prognosis of GC, and its
downregulation may repress the aggressive progression of GC, partially through
inhibiting the EMT progress.
PMID- 29805597
TI - Effects of peritumoral bevacizumab injection against oral squamous cell carcinoma
in a nude mouse xenograft model: A preliminary study.
AB - Angiogenesis serves a crucial role in tumor growth. Vascular endothelial growth
factor (VEGF) is a potent regulator of tumor angiogenesis and is highly expressed
in oral squamous cell carcinoma (OSCC). Bevacizumab, which binds to VEGF-A,
inhibits the biological activity of VEGF and is clinically administered by
intravenous injection. As intravenous chemotherapy intensifies the side effects
experienced by OSCC patients, an alternative treatment option is desirable,
particularly for older patients with OSCC who present with systemic disease
complications. Generally, local injections of antitumor agents enhance
tumoricidal activity and decrease side effects. However, the antitumor effects of
peritumoral bevacizumab injections in OSCC are not fully understood. Therefore,
the present study examined the effects of peritumoral bevacizumab injections in
an experimental nude mouse model of OSCC through immunohistochemical staining for
cluster of differentiation (CD)31 and alpha-smooth muscle actin (alpha-SMA) and
apoptosis assays. It was identified that peritumoral injections of bevacizumab
significantly inhibited tumor growth in OSCC xenografts compared with peritumoral
saline injections or no treatment (controls), and it was also revealed that
treatment with bevacizumab significantly reduced CD31- and alpha-SMA-positive
microvessel density (P<0.01) and increased level of tumor cell apoptosis (P<0.01)
compared with the controls. In conclusion, these results collectively support the
experimental basis for the clinical development of peritumoral bevacizumab
injections for the treatment of OSCC.
PMID- 29805598
TI - Effects of modified FOLFOX-6 chemotherapy on cellular immune function in patients
with gastric cancer.
AB - Tumor immunosuppression serves an important role in the occurrence and
development of gastric cancer. However, the effect of chemotherapy on the immune
function of patients remains unclear. The present study aimed to investigate
changes in cellular immune function and regulatory T cells (Tregs) in patients
with gastric cancer prior to and following chemotherapy. In the peripheral blood
of patients with gastric cancer, the percentage of CD4+ T cells was substantially
decreased compared with that of healthy controls (11.39+/-5.91 vs. 22.34+/-3.37%,
respectively; P<0.05). High frequencies of CD8+ T cells and Tregs were also
observed in the peripheral blood of patients. Although the number of T cells
decreased following chemotherapy (the proportions of CD4+ and CD8+ cells were
8.99+/-7.31 and 16.00+/-4.51%, respectively), the ratio of CD4+/CD8+ T cells
increased (0.31+/-0.17 vs. 0.56+/-0.22; P<0.05). Furthermore, the level of C-C
motif chemokine ligand 20 (CCL20) was increased in patients prior to chemotherapy
compared with healthy controls. As the sole receptor for CCL20, a high level of
expression of C-C motif chemokine receptor 6 on circulating Tregs was also
identified in the patients, which decreased following chemotherapy. These results
suggest that chemotherapy may efficiently promote cellular immune function and
inhibit immunosuppression in patients with gastric cancer.
PMID- 29805599
TI - Expression of long-chain fatty acid receptor GPR40 is associated with cancer
progression in colorectal cancer: A retrospective study.
AB - An increased risk of colorectal cancer (CRC) is associated with a western style
diet, particularly hyperlipidemia. The expression of G-protein coupled receptor
40 (GPR40), a membrane-bound receptor for long-chain fatty acids (LCFAs), was
examined in 36 cases of subserosal-invading CRC and compared with
clinicopathological parameters as well as triglyceride (TG) and low-density
lipoprotein (LDL) levels in the blood. All patients with CRC expressed GPR40,
which was positively associated with blood TG levels (P<0.0001) but not with
blood LDL levels. GPR40 expression was positively associated with nodal
metastasis, distant metastasis (particularly to the liver), stage and poor
prognosis. Patients with high GPR40 expression and high TG levels had
comparatively worse survival outcomes compared with patients with low GPR40
expression and low TG levels. The results of the present study suggest that
activation of GPR40 may be associated with the progression and prognosis of CRCs.
High levels of GPR40 and/or concurrent high levels of GPR40 and TG may be a risk
for CRC progression.
PMID- 29805600
TI - Examination of the use of needle biopsy to perform laparoscopic surgery safely on
uterine smooth muscle tumors.
AB - The warning statement issued by the United States Food and Drug Administration
against the use of laparoscopic power morcellators prompted a discussion about
the methods of preoperative diagnosis of uterine myometrial lesions. Since 1994,
transcervical needle biopsies have been performed to differentiate between
uterine leiomyomas and leiomyosarcomas. Needle biopsies are also useful for
performing laparoscopic surgery on uterine smooth muscle tumors with
histopathological safety. In the present study, data from hematoxylin and eosin
(HE)-stained specimens obtained by transcervical needle biopsies from 331
patients with smooth muscle tumors and high intensity regions on T1 weighted
images (WI) and/or T2WI from magnetic resonance imaging (MRI) scans were
retrospectively examined. From a total of 10 patients with moderate or severe
cytological atypia, 4 exhibited smooth muscle tumors of uncertain malignant
potential and 6 exhibited leiomyosarcomas. The final diagnosis in 3 patients with
>=10 mitotic figures/high-power field was leiomyosarcoma. A total of 5 patients
with coagulative tumor cell necrosis exhibited final diagnoses of leiomyosarcoma.
Patients without cytological atypia, mitotic figures or coagulative tumor cell
necrosis were not diagnosed with either leiomyosarcomas or smooth muscle tumors
of uncertain malignant potential. The present study revealed that laparoscopic
surgery is safe when HE-stained specimens obtained by transcervical needle biopsy
from areas of high intensity on an MRI scan are negative for all three criteria
assessed-cytological atypia, mitotic figures and coagulative tumor cell necrosis.
PMID- 29805601
TI - Growth pattern change of a benign clear cell 'sugar' tumor of the lung: Serial
imaging surveillance over seven years.
AB - Clear cell tumor of the lung is a rare benign mesenchymal tumor and only sporadic
cases have been previously reported. The present study reports the case of a 58
year-old man who presented with an incidentally detected solitary pulmonary
nodule. Based on comparisons with serial previous chest and spine radiographs, a
growth pattern change in the nodule (initial gradual growth over 4.5 years, then
recent no growth over 2.5 years) was identified. The nodule was diagnosed as a
benign clear cell tumor of the lung (CCTL) following surgical resection. Few
studies have previously reported interval growth of CCTLs and the growth pattern
of CCTL is not yet well known. To the best of our knowledge, this is the first
reported case in which the growth pattern change of CCTL was identified via
serial imaging over 7 years of follow-up.
PMID- 29805602
TI - Endoscopic analysis of colorectal serrated lesions with cancer.
AB - Serrated lesions, including hyperplastic polyps (HPs), traditional serrated
adenomas (TSAs) and sessile serrated adenomas/polyps (SSA/Ps), are important
contributors to colorectal carcinogenesis. The aim of the present study was to
analyze the potential of conventional endoscopy and advanced endoscopic imaging
techniques to delineate the characteristic features of serrated lesions with
cancer. The present study was a retrospective analysis of the data of 168
patients who had undergone colonoscopy, and a total of 228 serrated lesions (77
HPs, 58 TSAs, 84 SSA/Ps, 9 SSA/P plus TSAs) have been identified in these
patients. A cancer component was identified in 2.6% of HPs, 13.8% of TSAs and
10.7% of SSA/Ps, but none of SSA/P plus TSAs. Compared with the lesions without
cancer, the lesions with cancer exhibited a larger size (HP, TSA and SSA/P), a
reddish appearance (SSA/P), a two-tier raised appearance (HP and SSA/P), a
central depression (HP, TSA and SSA/P), the type V pit pattern (HP, TSA and
SSA/P), and/or the type III capillary pattern (TSA and SSA/P). Deep invasion was
identified in 50.0% of HPs, 12.5% of TSAs and 55.6% of SSA/Ps with cancer. The Ki
67 proliferative zone was distributed diffusely within the area of the cancer,
but partially within the non-cancer area of HPs, TSAs and SSA/Ps. The lesion
types were also analyzed on the basis of mucin phenotype. The present study
suggested that a detailed endoscopic analysis of serrated lesions with cancer is
useful for delineating characteristic features, and the analysis aids treatment
selection.
PMID- 29805603
TI - Acute lymphoblastic leukemia following temozolomide treatment in a patient with
glioblastoma: A case report and review of the literature.
AB - Temozolomide (TMZ) is a second-generation oral alkylating agent that functions
against a number of central nervous system neoplasms, and is generally used to
treat high-grade gliomas, including anaplastic astrocytoma and glioblastoma
multiforme. Therapy-related secondary myelodysplastic syndrome and acute myeloid
leukemia have been reported in patients following prolonged exposure to TMZ.
However, TMZ-related acute lymphoblastic leukemia (ALL) is extremely rare. The
present study describes the case of an 11-year-old boy with a 3-day history of
generalized tonic-clonic seizures and a contrast-enhanced lesion in the left
temporooccipital region with focal cystic degeneration, as detected by magnetic
resonance imaging. The patient underwent craniotomy and gross-total resection
andpathological analysis confirmed the diagnosis of giant cell glioblastoma.
Postoperatively, the patient received TMZ-based concurrent chemoradiation during
radiotherapy, and developed B-cell ALL 6 months following TMZ treatment. A
thorough literature search identified only six published cases of TMZ-related
ALL. The chemotherapeutic efficacy of TMZ has been identified, however, its
leukemogenic potential should be emphasized among practitioners and patients.
Further studies are required to determine the specific pathogenic mechanism of
TMZ-related ALL. Close hematological monitoring of patients following TMZ
treatment is vital and a high index of suspicion is necessary.
PMID- 29805604
TI - Forkhead-box series expression network is associated with outcome of clear-cell
renal cell carcinoma.
AB - Previous studies have demonstrated that several members of the Forkhead-box (FOX)
family of genes are associated with tumor progression and metastasis. The
objective of the current study was to screen candidate FOX family genes
identified from analysis of molecular networks in clear cell renal cell carcinoma
(ccRCC). The expression of FOX family genes as well as FOX family-associated
genes was examined, and Kaplan-Meier survival analysis was performed in The
Cancer Genome Atlas (TCGA) cohort (n=525). Patient characteristics, including
sex, age, tumor diameter, laterality, tumor-node-metastasis, tumor grade, stage,
white blood cell count, platelet count, the levels of hemoglobin, overall
survival (OS) and disease-free survival (DFS), were collected for univariate and
multivariate Cox proportional hazards ratio analyses. A total of seven candidate
FOX family genes were selected from the TCGA database subsequent to univariate
and multivariate Cox proportional hazards ratio analyses. FOXA1, FOXA2, FOXD1,
FOXD4L2, FOXK2 and FOXL1 were associated with poor OS time, while FOXA1, FOXA2,
FOXD1 and FOXK2 were associated with poor DFS time (P<0.05). FOXN2 was associated
with favorable outcomes for overall and disease-free survival (P<0.05). In the
gene cluster network analysis, the expression of FOX family-associated genes,
including nuclear receptor coactivator (NCOA)1, NADH-ubiquinone oxidoreductase
flavoprotein 3 (NDUFV3), phosphatidylserine decarboxylase (PISD) and pyruvate
kinase liver and red blood cell (PKLR), were independent prognostic factors for
OS in patients with ccRCC. Results of the present study revealed that the
expression of FOX family genes, including FOXA1, FOXA2, FOXD1, FOXD4L2, FOXK2 and
FOXL1, and FOX family-associated genes, including NCOA1, NDUFV3, PISD and PKLR,
are independent prognostic factors for patients with ccRCC.
PMID- 29805605
TI - Tumor-associated macrophages recruited by periostin in intrahepatic
cholangiocarcinoma stem cells.
AB - Periostin (POSTN) secreted by intrahepatic cholangiocarcinoma stem cells (ICSCs)
serves important roles in promoting tumor progression. The present study aimed to
investigate POSTN-recruited tumor-associated macrophages (TAMs) in intrahepatic
cholangiocarcinoma (ICC). A total of 50 cases were used to investigate the
distribution of ICSCs and TAMs in ICC. HCCC-9810 cells were sorted by cluster of
differentiation (CD)44, the expression of POSTN of CD44+ (cancer stem cells) and
CD44- cells (non-cancer stem cells), and medium were evaluated by western blot
analysis. HCCC-9810 cells and THP-1 macrophages were used to detect the effects
of POSTN on recruiting TAMs in vitro. The present study revealed that CD44+ cells
in ICC tissues and the HCCC-9810 cell line were associated with high POSTN
secretion levels. Furthermore, POSTN was associated with TAM density in primary
ICC tissues. Additionally, POSTN increased the migration of TAMs derived from THP
1 cells. These findings suggested that POSTN secreted by ICSCs may serve
important functions in TAM recruitment, and it may be a potential curative
strategy to target the tumor microenvironment in ICC.
PMID- 29805606
TI - Regulatory mechanism of microRNA-128 in osteosarcoma tumorigenesis and evolution
through targeting SASH1.
AB - Osteosarcoma, which commonly occurs in young individuals, is a type of malignant
tumor of growing bones. MicroRNAs (miRNAs) have been found to be involved in
various cancer-related processes. In the present study, it was reported that
miRNA-128 (miR-128) was overexpressed in pathological tissues from patients with
osteosarcoma. The present study investigated the possible regulatory mechanism of
miR-128 on the progression of osteosarcoma and offered a foundation for clinical
therapeutics in osteosarcoma. First, the expressions levels of miR-128 and its
target gene, SAM and SH3 domain-containing 1 (SASH1), were measured in tissues
from patients with osteosarcoma, and their correlation with osteosarcoma in terms
of the pathological level were examined. The effects of miR-128 on osteosarcoma
cell proliferation and apoptosis were examined, and its regulation of the
expression levels of SASH1 and associated proteins was analyzed. Subsequently,
the association between SASH1 and miR-128 was evaluated using a dual luciferase
gene reporter assay. Finally, an in vivo xenograft tumor mouse model of
osteosarcoma was established to confirm the in vitro results. The results
demonstrated a higher expression of miR-128 in pathological tissues, compared
with that in normal tissues. From examining the patient osteosarcoma tissues,
marked correlations were found between the expression of miR-128 and that of
SASH1, particularly with tumor size, invasion depth, lymph node metastasis, and
tumor-node-metastasis stage. Compared with the negative control group and blank
control group, the results showed that the inhibition of miR-128 led to a lower
cell proliferation rate and higher apoptotic rate in MG-63 cells (P<0.05).
Additionally, the expression of B-cell lymphoma 2 (Bcl-2) was downregulated in
the miR-128-inhibited group, compared with that in the control group, whereas the
expression levels of SASH1, Bcl-2-associated X protein and caspase-3 were
upregulated in the group with miR-128 inhibition (P<0.05). SASH1 was confirmed as
a direct target of miR-128 using a dual luciferase gene reporter assay. Finally,
the downregulation of miR-128 was found to induce tumor suppressive effects on
xenograft tumor models of osteosarcoma in mice in vivo. The results of the
present study suggested that miR-128 may regulate the tumorigenesis and evolution
of osteosarcoma through targeting SASH1.
PMID- 29805607
TI - Upregulation of let-7f-5p promotes chemotherapeutic resistance in colorectal
cancer by directly repressing several pro-apoptotic proteins.
AB - Colorectal cancer (CRC) is one of the most frequently occurring primary malignant
tumors worldwide. Chemotherapeutic resistance is a major clinical problem in the
treatment of CRC. Therefore, it is of great importance to investigate novel
biomarkers that may predict chemoresistance and facilitate the development of
individualized treatment for patients with CRC. The present study reported that
let-7f-5p expression was elevated in chemotherapy-resistant CRC tissues compared
with chemotherapy-sensitive tissues. Furthermore, upregulating let-7f-5p
increased the expression levels of the anti-apoptotic proteins, B-cell lymphoma 2
(Bcl-2) and B-cell lymphoma-extra large (Bcl-xL), and decreased the activity of
caspase-3 and caspase-9 in CRC cells. By contrast, downregulating let-7f-5p
yielded the opposite effect. Notably, the results indicated that let-7f-5p
promoted chemotherapeutic resistance by directly repressing the expression of
several pro-apoptotic proteins, including tumor protein p53, tumor protein p53
inducible nuclear protein 1, tumor protein p53-inducible nuclear protein 2 and
caspase-3. Therefore, a novel mechanism by which let-7f-5p enhances the
resistance of CRC cells to chemotherapeutics has been revealed, indicating that
silencing let-7f-5p may become an effective therapeutic strategy against CRC.
PMID- 29805609
TI - Asiatic acid prevents the development of interstitial lung disease in a
hypochlorous acid-induced mouse model of scleroderma.
AB - Interstitial lung disease is the most common complication of systemic sclerosis
(SSc) and is associated with a high rate of mortality. Due to the complex
pathogenesis of SSc, the therapies currently available remain limited. In the
present study, the effect of asiatic acid (AA) on SSc-associated pulmonary
fibrosis (PF) and its association with the transforming growth factor-beta1 (TGF
beta1)/Smad2/3 signaling pathway were evaluated. A hypochlorous acid (HOCl)
induced model of SSc was used to evaluate the therapeutic effect of AA on PF in
SSc, where AA was administered to SSc mice by gavage. PF was alleviated in the AA
treated SSc mice groups when examined under light microscopy. In addition, there
was a decrease in histopathological progression and collagen in the lungs. AA
significantly reduced expression of type I collagen in the lungs of mice with
SSc. It also significantly suppressed alpha-smooth muscle actin expression, which
attenuated the conversion of fibroblasts into muscle fibroblasts. These AA
associated antifibrosis and anti-immune effects were mediated through the
significant downregulation of advanced oxidation protein product, E-selectin, and
anti-DNA topoisomerase-1 autoantibody levels in the serum. Furthermore, the
expression levels of TGF-beta1 and the phosphorylated-Smad2/3/Smad2/3 ratios in
AA-treated SSc mice were similar to the control. The presence of pulmonary
inflammation and fibrosis was confirmed in the HOCl-induced SSc mice and the
results demonstrated that selective inhibition of reactive oxygen species
prevented PF. By focusing on the classical TGF-beta1/Smad2/3 signaling pathway, a
mechanism of action of AA was identified to be associated with the inhibition of
Smad2/3 activation through negative regulation of Smad2/3 phosphorylation.
PMID- 29805608
TI - A real-world study of treatment patterns and survival outcome in advanced
anaplastic lymphoma kinase-positive non-small-cell lung cancer.
AB - Crizotinib is an anti-cancer drug with a substantial beneficial effect in
advanced non-small-cell lung cancer (NSCLC) patients harboring anaplastic
lymphoma kinase (ALK) rearrangement. However, the real-world data currently
available on this drug are limited. Thus, the present study aimed to
retrospectively examine the treatment patterns and survival outcome of 83
advanced NSCLC patients with ALK rearrangement in a single center in China. Of
the 83 patients enrolled, 33 (39.8%) patients received crizotinib and the
remaining 50 (60.2%) patients received chemotherapy as the initial therapy. The
first-line use of crizotinib prolonged the PFS1 (progression-free survival to the
first detection of subsequent disease progression) compared with chemotherapy
(median, 18.5 vs. 4.9 months; P<0.001), however, it did not prolong the overall
survival (OS; P=0.802). At the last follow up, 71 (85.5%) patients had received
crizotinib and 12 (14.5%) patients were crizotinib-naive. Patients who had
received crizotinib exhibited a significantly longer OS as compared with those
who were crizotinib-naive [hazard ratio (HR) for mortality, 0.279; 95% confidence
interval, 0.107-0.727; P<0.05). Among the 71 patients who had received
crizotinib, this was administered as a first-line therapy in 33 (46.5%) cases, as
a second-line therapy in 22 (31.0%) cases and after the second-line therapy in 16
(22.5%) cases. No significant difference in the OS among the three groups was
observed (P=0.577). The Cox multivariate analysis identified the following
independent negative prognostic factors for OS: Smoking history (HR=4.565), liver
invasion at diagnosis (HR=4.294) and bone invasion at diagnosis (HR=2.587). In
addition, the use of crizotinib (HR=0.319) was identified as a positive
prognostic factor for OS. In conclusion, the present real-world study revealed
that the use of crizotinib improved the long-term survival of patients with ALK
positive advanced NSCLC. There was no difference in survival outcome between
patients with initial use of crizotinib and those with subsequent use of
crizotinib after first-line therapy.
PMID- 29805610
TI - Transforming growth factor-beta decreases side population cells in hepatocellular
carcinoma in vitro.
AB - Hepatocellular carcinoma (HCC) can result from hepatitis B or C infection,
fibrosis or cirrhosis. Transforming growth factor-beta (TGF-beta) is one of the
main growth factors associated with fibrosis or cirrhosis progression in the
liver, but its role is controversial in hepatocarcinogenesis. In the present
study, the effect of TGF-beta on the HCC Huh-7 and Huh-Bat cell lines was
evaluated. To study the effect of TGF-beta, Huh-7 and Huh-Bat cells were treated
with TGF-beta and a TGF-beta receptor inhibitor (SB431542). Cell survival, cell
cycle, numbers of side population (SP) cells and expression of the cancer stem
cell marker cluster of differentiation (CD)133, epithelial-mesenchymal transition
markers (E-cadherin, alpha-smooth muscle actin and vimentin) and TGF-beta
regulated proteins [phospho-c-Jun N-terminal kinase (p-JNK), p-c-Jun and p-smad2]
were investigated. TGF-beta treatment resulted in decreased cell survival with a
targeted effect on SP cells. Expression of CD133 and vimentin was upregulated by
treatment with the TGF-beta receptor antagonist SB431542, but not with TGF-beta.
By contrast, TGF-beta induced accumulation of cells at G0/G1, and upregulated
expression of p-JNK, p-c-Jun and p-smad2. However, these effects were blocked
when cells were treated with TGF-beta plus SB431542, indicating the specificity
of the TGF-beta effect. The present results indicated that TGF-beta has
anticancer effects mediated by survival inhibition of cancer stem cells, which
may be developed as a novel therapy for HCC.
PMID- 29805611
TI - The roles of RUNX3 in cervical cancer cells in vitro.
AB - RUNX3 serves an important role in development of various types of human cancer.
The purpose of the present study was to investigate the potential biological
function of RUNX3 in cervical cancer cells. In the present study, a RUNX3
overexpressed model was constructed in Hec1 cells by PCDNA3.1-RUNX3 transfection.
Western blot analysis was used to measure RUNX3 expression in cervical cancer
cells. Immunofluorescence analysis was performed to examine subcellular
localization of RUNX3 in cervical cancer cells. Effects of RUNX3 expression on
proliferation, migration and invasion of cervical cancer cells were detected by
colony formation assay, wound healing assay and Transwell assay, respectively.
Immunofluorescence confirmed the nuclear location of RUNX3 in cervical cancer
cell. Result sindicated that upregulation of RUNX3 expression inhibited
proliferation, migration and invasion of cervical cancer cells. However,
knockdown of RUNX3 expression promoted the proliferation, migration and invasion
of cervical cancer cells. Hence, RUNX3 may serve as a tumor suppressor gene in
cervical cancer.
PMID- 29805612
TI - miR-137 suppresses proliferation, migration and invasion of colon cancer cell
lines by targeting TCF4.
AB - Colorectal cancer is cancer of the colon or rectum and is the third most
prevalent form of cancer. Currently, there are several shortcomings in the
prognosis and early detection of colon cancer. The present study aims to address
questions pertaining to the role of microRNA (miR)-137 in colon cancer
progression and the mode of regulation. The endogenous and over-expressed levels
of miR-137 in three colon cancer cell lines were assessed by reverse
transcription-quantitative polymerase chain reaction (RT-qPCR). The MTT assay was
used to assess cell proliferation. Cell migration and invasion assays were
assessed using Transwell apparatus and Matrigel invasion chambers. The potential
targets of miR-150 were predicted using TargetScan software, and one of the best
scoring targets, transcription factor 4 (TCF4), was experimentally validated
using western blot analysis and RT-qPCR. It was found that that miR-137 is
expressed at extremely low levels in COLO205, HCT116 and SW480 cell lines. Cell
proliferation, migration and invasion were inhibited subsequent to transfection
of the colon cancer cell lines with miR-137. Using bioinformatics analysis, the
best scoring putative targets were identified. One such target, TCF4, was
experimentally validated, and it was shown that overexpression of miR-137
suppresses TCF4 in all three colon cancer cell lines. In conclusion, it was shown
that miR-137 inhibits cell proliferation, migration and invasion in colon cancer
cell lines by negatively regulating the expression of TCF4.
PMID- 29805613
TI - The association between Notch4 expression, and clinicopathological
characteristics and clinical outcomes in patients with breast cancer.
AB - Notch4, a family member of the Notch signaling pathway, has important roles in
cellular developmental pathways, including proliferation, differentiation and
apoptosis. The present study aimed to investigate the association between Notch4
expression and clinical outcomes with immunohistochemistry. Notch4 was expressed
in 55.6% of triple-negative breast cancer (TNBC), 45.8% of Her-2-overexpressing
and 25.5% of luminal breast cancer cases, with significantly higher expression
occurring in TNBC (P<0.05). Furthermore, Notch4 expression was inversely
associated with estrogen receptor (ER) and/or progesterone receptor positivity,
and positively associated with larger tumor size, more lymph node involvement,
and more advanced tumor node metastasis stage (P<0.05). No significant
association was identified regarding age, menopausal status, Her-2 status or
distant metastasis. Univariate survival analysis revealed that patients with low
Notch4-expressing tumors exhibited a lower relative risk of cancer recurrence
compared with patients with high Notch4-expressing tumors. However, in the
luminal cohort, high Notch4 expression conferred significantly lower 5-year
overall survival (OS) rates compared with Notch4 low-expression groups (P=0.003)
but not in TNBC and Her-2-overexpressing patients. In conclusion, Notch4
expression was significantly higher in patients with TNBC and Her-2
overexpressing breast cancer compared with luminal breast cancer patients. Notch4
expression is associated with aggressive clinicopathological and biological
phenotypes, and may predict poor prognosis in luminal breast cancer patients.
PMID- 29805614
TI - Effect of allyl isothiocyanate on the viability and apoptosis of the human
cervical cancer HeLa cell line in vitro.
AB - The present study aimed to investigate the effect of allyl isothiocyanate (AITC)
on the viability and apoptosis of the human cervical cancer HeLa cell line in
vitro, and to explore the potential underlying mechanisms of this. HeLa cells
were treated with varying concentrations of AITC for different durations. The
cell viability was then measured using a Cell Counting kit-8 assay and the
apoptosis rate of the cells was detected using flow cytometry. Additionally, the
B cell lymphoma-2 (Bcl-2) and Bcl-2-associated X protein (Bax) mRNA expression
levels were determined by reverse transcription-quantitative polymerase chain
reaction, while the Bax and Bcl-2 protein expression levels in cells were
detected by western blot analysis. AITC was revealed to inhibit the viability of
HeLa cells. AITC was revealed to induce the apoptosis of HeLa cells, as the
apoptosis rate increased gradually with an increase in the dose. As the
concentration of AITC increased, the Bax mRNA expression level increased, whilst
the Bcl-2 mRNA expression level decreased. Furthermore, the Bax protein
expression intensity increased whilst Bcl-2 protein expression intensity
decreased, thereby resulting in a decrease in the ratio of Bcl-2/Bax proteins.
AITC may inhibit cell viability by inducing the apoptosis of HeLa cells and this
may be accounted for by the imbalance in the Bcl-2/Bax expression ratio.
PMID- 29805615
TI - Knockdown of serine/threonine protein phosphatase 5 enhances gemcitabine
sensitivity by promoting apoptosis in pancreatic cancer cells in vitro.
AB - The targeting protein of serine/threonine protein phosphatase 5 (PPP5C) has been
reported to be present in various malignancies. However, its functional role in
pancreatic cancer (PC) remains unknown. In the present study, the function of
PPP5C in PC cells treated with the first-line drug gemcitabine (GEM) was
investigated. Short hairpin (sh)RNA targeting PPP5C was constructed to knockdown
PPP5C in PANC-1 cells. Cell cycle and apoptosis analyses were performed in order
to investigate the mechanisms underlying the effects induced by PPP5C silencing
combined with GEM treatment. Western blot analysis was applied to detect the
expression of certain key regulators of cell apoptosis in PANC-1 cells treated
with GEM. shRNA against PPP5C effectively suppressed the proliferation of PANC-1
cells treated with GEM. Additionally, cell cycle analysis indicated that PPP5C
knockdown resulted in a higher number of PANC-1 cells treated with GEM in G0/G1
phase arrest. Knockdown of PPP5C increased the expression of associated apoptotic
markers, including cleaved caspase 3, poly (ADP-ribose) polymerase and
phosphorylated (p)-p53. In addition, the combination of treatment with GEM and
PPP5C silencing significantly increased the apoptosis of PANC-1 cells by
affecting the expression levels of p-c-Jun N-terminal kinases and p-p38. The
present study suggests that PPP5C may be a potential target for the treatment of
PC and that it may enhance the gemcitabine sensitivity of PC cells.
PMID- 29805616
TI - Combined treatment with sinomenine and acupuncture on collagen-induced arthritis
through the NF-kappaB and MAPK signaling pathway.
AB - Sinomenine is a monomer extracted from the traditional Chinese medicine plant
Sabia japonica, which possesses several pharmacological properties including
prominent abirritation, mitigation, anti-inflammation, immune suppression, cough
relief, stimulation of histamine release, decrease in blood pressure and
antiarrhythmia. Sinomenine is clinically employed to treat rheumatic disease. To
investigate the impact of combined sinomenine treatment with acupuncture on the
progression of arthritis and explore the potential underlying molecular
mechanisms, the present study analyzed a collagen-induced arthritis model.
Results from the combined curative (CC) treatment group (combined treatment with
sinomenine and acupuncture) demonstrated a decrease in volume changes and
arthritis score changes within rat paws, and increased the overall body weight in
arthritic rats. CC treatment significantly decreased tumor necrosis factor alpha,
interleukin (IL)-6, IL-1beta and IL-8 serum levels in arthritic rats. CC
treatment significantly increased superoxide dismutase and inhibited
malondialdehyde levels in arthritic rats. The protein expression of
cyclooxygenase-2, inducible nitric oxide synthase, matrix metalloproteinase
(MMP)2 and MMP9 in arthritic rats was suppressed owing to CC treatment. Finally,
nuclear factor kappaB and phosphorylated p38 mitogen-activated protein kinase
(MAPK) protein expression in arthritic rats were also suppressed following CC
treatment. The results indicate that the combined treatment of sinomenine and
acupuncture on collagen-induced arthritis takes effect through the nuclear factor
kappaB and MAPK signaling pathway.
PMID- 29805617
TI - MAGE3 and Survivin activated dendritic cell immunotherapy for the treatment of
non-small cell lung cancer.
AB - Dendritic cell (DC) immunotherapy is an optimal cancer treatment, resulting in
its emergence as a therapeutic choice; however, there are limited studies
investigating dual antigen-pulsed DC immunotherapy in non-small cell lung cancer
(NSCLC). In order to determine the effect of a recombinant melanoma-associated
antigen (rMAGE-3) and recombinant Survivin (rSurvivin) peptide-pulsed DC
immunotherapy in patients with NSCLC, the present clinical study was performed.
DC immunotherapy was generated from the monocytes of patients with NSCLC and
primed with rMAGE-3 and rSurvivin peptides. The present open-label, non
randomised study enrolled 16 patients with histologically confirmed stage I-IIIB
NSCLC between December 2013 and October 2014. A prime immunotherapy (9.1*107
cells/dose) and a single boost (8.2*107 cells/dose) were administered 1 month
apart intradermally and the patients were evaluated for immunological and
clinical response. DC immunotherapy was well tolerated, with no serious adverse
events. There was a single incidence of grade 1 fever, chills and fatigue. Out of
the 16 patients enrolled, 11 patients showed stable disease and 5 showed disease
progression. There was a significant increase in IFN-gamma expression on day 60
vs. day 0 (P=0.048). An increasing trend in the mean cluster of differentiation
(CD)4:CD8 values of day 30 and day 90 was observed, but this was not significant.
The present study established that DCs primed with rMAGE-3 and rSurvivin may be
used in NSCLC treatment. However, a larger study is required to address prominent
issues, including secretion of immunosuppressive cytokines and mechanisms of
tumour escape from immune surveillance. Several factors associated with the
manufacturing and quality of immunotherapy also require standardisation.
PMID- 29805618
TI - High expression of Nectin-4 is associated with unfavorable prognosis in gastric
cancer.
AB - Nectins are Ca2+-independent immunoglobulin-like cell adhesion molecules that
belong to a family of four members that function in a number of biological
cellular activities. Nectin-4 is overexpressed in several types of human cancer;
however, the functional and prognostic significance of Nectin-4 in gastric cancer
(GC) remains unclear. In the present study, the reverse transcription
quantitative polymerase chain reaction and tissue microarray immunohistochemical
analysis were used to investigate the expression of Nectin-4 in GC as well as its
function in the prognosis of patients with GC. The results indicated that mRNA
and protein expression of Nectin-4 were increased in tumor tissues compared with
the matched non-tumor tissues. Expression of Nectin-4 was closely associated with
differentiation (P=0.004), primary tumor (P=0.001), lymph node metastasis
(P<0.001) and tumor-node-metastasis (TNM) stage (P<0.001). Positive Nectin-4
expression (P=0.001) and advanced TNM stage (P<0.001) were demonstrated to be
associated with overall survival time in multivariate analyses. These results
suggest that Nectin-4 may serve a significant function in GC and may serve as a
novel clinic pathological biomarker and therapeutic target in GC.
PMID- 29805619
TI - Testes-specific protease 50 as an independent risk factor for poor prognosis in
patients with non-small cell lung cancer.
AB - Testes-specific protease 50 (TSP50) is normally expressed in the testes and is
overexpressed in various types of human cancers, including breast cancer,
colorectal carcinoma and laryngocarcinoma. However, little has been reported on
the association between TSP50 and non-small cell lung cancer (NSCLC). The present
study aimed to detect TSP50 expression in 198 strict follow-up cases of paired
NSCLC and 15 cases of normal lung parenchymal specimens using immunohistochemical
staining. The expression levels of TSP50 were then correlated with the
clinicopathological factors of NSCLC to assess its potential diagnostic and
prognostic value. The relationship between TSP50 expression and the
clinicopathological parameters of NSCLC was evaluated using chi2 and Fisher's
exact tests. Survival rates for the overall population (n=198) were calculated
using the Kaplan-Meier method, and univariate and multivariate analyses were
performed using the Cox's proportional hazards regression model. P<0.05 was
considered to indicate a statistically significant difference. The expression of
TSP50 was significantly increased in NSCLC tissue compared with in adjacent non
tumor or normal lung parenchymal tissue (P<0.001). A significant association was
revealed between high expression levels of TSP50 and clinicopathological
characteristics including tumor differentiation (P=0.012), late tumor status
(P=0.004) and late tumor node metastasis stage (P=0.026), as well as a reduced
disease free survival (P=0.009) and overall survival rate (P=0.002) in all
patients with NSCLC. Multivariate analyses demonstrated that high TSP50
expression in tumor tissues was significantly associated with a shorter disease
free survival rate [hazard ratio (HR) =1.590, 95% confidence interval (CI): 1.035
2.441], and with a shorter overall survival rate (HR=1.814; 95% CI: 1.156-2.846).
In conclusion, the present data demonstrated that increased TSP50 protein
expression may be a potential predictor of early recurrence and poor prognosis in
NSCLC, and that TSP50 expression levels possess the potential to be used as a
biomarker and therapeutic target for the treatment of patients with NSCLC.
PMID- 29805620
TI - Identification and functional characterization of long non-coding RNAs in human
gastric cancer.
AB - Abnormal regulation of long non-coding RNAs (lncRNAs) appears to be a primary
feature of numerous types of human cancer. However, the association between the
dysregulation of lncRNAs and functional alterations in gastric cancer (GC)
remains unclear. In previous studies, we applied microarray and bioinformatics
analyses to screen for key lncRNAs from the tumor tissues and matched adjacent
non-tumor tissues of 10 patients with GC. There were seven key lncRNAs
demonstrated to be significantly different between carcinoma tissues and adjacent
non-tumor tissues. In the present study, the expression of these seven selected
lncRNAs were validated in 82 patients with GC to further investigate the
association between lncRNAs and GC clinical characterization. Reverse
transcription-quantitative polymerase chain reaction (RT-qPCR) results
demonstrated that RP5-919F19, MCPH1 antisense RNA 1 (CTD-2541M15) and urothelial
carcinoma-associated 1 (UCA1) exhibited consistent upregulation in cancer
compared with adjacent non-tumor tissues, whereas AP000459, LOC101928316, tumor
suppressor candidate 8 (LINC01071) and maternally expressed 3 (MEG3) showed
consistent downregulation. The results from the microarray and RT-qPCR
experiments achieved 100% agreement. A correlation analysis indicated that RP5
919F19, LOC101928316 and MEG3 were significantly associated with tumor
differentiation degree, RP5-919F19, UCA1 and MEG3 were significantly associated
with lymph node metastasis, and RP5-919F19, CTD-2541M15 and UCA1 were
significantly associated with tumor-node-metastasis stage (P<0.05). In addition,
it was identified that the differential expression of LINC01071 and LOC101928316
significantly correlated with the age and gender of the GC patients, respectively
(P<0.05). The results suggest that the lncRNAs RP5-919F19, LOC101928316, CTD
2541M15, UCA1 and MEG3 are closely associated with the invasion and metastasis of
GC, which reveals these indicators as potential specificity biomarkers for the
diagnosis, prognosis and classification of GC. Thus, these lncRNAs merit further
study as novel candidate biomarkers for the clinical diagnosis of GC and as
potential targets for therapy.
PMID- 29805621
TI - miR-19 in blood plasma reflects lung cancer occurrence but is not specifically
associated with radon exposure.
AB - Radon is one of the most powerful carcinogens, particularly in terms of lung
cancer onset and development. miRNAs may be considered not only as markers of the
ongoing tumorigenesis but also as a hallmark of exposure to radiation, including
radon and its progeny. Therefore, the purpose of the present study was to
estimate the value of plasma miR-19b-3p level as the prospective marker of the
response to radon exposure in lung cancer pathogenesis. A total of 136 subjects
were examined, including 49 radon-exposed patients with lung cancer, 37 patients
with lung cancer without radon exposure and 50 age/sex matched healthy controls.
Total RNA from blood samples was extracted and used to detect miR-19b-3p
expression via reverse transcription quantitative-polymerase chain reaction. The
2-DeltaDeltaCq method was used to quantify the amount of relative miRNA. The
plasma level of p53 protein was determined using a Human p53 ELISA kit. Plasma
miR-19b-3p level was significantly higher in the patients with lung cancer
groups, compared with the healthy control group (P<0.0001). No other
statistically significant differences were determined in the expression level of
plasma miR-19b-3p between patients diagnosed with lung cancer exposed to radon
and not exposed to radon. The expression level of free circulating miR-19b-3p was
higher in the group of non-smoking patients with lung cancer, compared with
smokers with lung cancer. The miR-19b-3p was 1.4-fold higher in non-smokers than
in smokers (P<0.05). No association between plasma levels of p53 protein and miR
19b-3p freely circulating in patients with lung cancer was observed. No other
statistically significant differences were determined in the plasma p53 protein
level between patients diagnosed with lung cancer exposed and not exposed to
radon. These results indicated that detection of miR-19b-3p levels in plasma
potentially could be exploited as a noninvasive method for the lung cancer
diagnostics. However, this miRNA is not suitable as the precise marker for radon
impact.
PMID- 29805622
TI - Magnetic resonance features of meningeal solitary fibrous tumors.
AB - The aim of the present study was to investigate magnetic resonance (MR) features
of meningeal solitary fibrous tumors (SFTs) in order to improve their
recognition. The study retrospectively analyzed MR manifestations in 12 cases of
meningeal SFTs confirmed by surgery. The lesions were analyzed in terms of the
site of their growth, growth mode, morphology, size, changes in the MR signal and
the edge of the focus. The tumors were malignant in 3 cases, benign in 7 cases
and borderline in 2 cases. A total of 3 cases spanned the tentorium cerebelli, 3
spanned the falx and another case was close to the falx. Overall, 4 superficial
tumors were accompanied by adjacent bony destruction. One case was located at the
triangular area created by the right lateral ventricles. The tumors were all
lobular, with a large diameter and more cystic areas in the center of the focus.
The signals were often heterogeneous. The parenchyma in the tumors was
significantly enhanced. For certain tumors, the signal was usually inhomogeneous
and not uniform. The MR features of a SFT were often similar to other
intracranial tumors and easily misdiagnosed. There were certain innate
characteristics, for example, the tumor was often a solitary large soft-tissue
mass with an irregular edge and a clear boundary, with a lobulated contour, and
was widely involved with other tissues. The tumors often grew across either the
falx or tentorium cerebelli. A significantly inhomogeneous signal was found and
either a low or low-high mixed signal on T2-weighted images in particular. These
features are useful for the differential diagnosis of SFTs and other tumors.
PMID- 29805623
TI - Value of alpha-fetoprotein as an early biomarker for treatment response to
sorafenib therapy in advanced hepatocellular carcinoma.
AB - Sorafenib is an oral multikinase inhibitor with antiangiogenic and
antiproliferative properties, and is used as the first-line treatment for
patients with advanced hepatocellular carcinoma (HCC). Previous studies have
identified an improvement in overall survival and progression-free survival in
patients with a manageable toxicity profile. alpha-fetoprotein (AFP) has been
revealed to be of great diagnostic and predictive value for tumour staging in
multiple studies; however, its role as a predictive factor of response to
treatment with sorafenib is not entirely clear. The present study aimed to
determine the effectiveness of sorafenib and investigate the value of AFP as a
predictive factor of early response to sorafenib in patients with HCC.
Effectiveness was analysed based on median overall survival (mOS) time, while to
analyse the possible predictive value of AFP, patients were classified into two
groups: Non-responders (<=20% AFP reduction) and responders (>20% AFP reduction)
at 6-8 weeks of treatment when compared with basal AFP level. For assessment of
toxicity, any adverse effects were recorded. A total of 167 patients were
included, who collectively exhibited a mOS time of 11 months with a median
treatment duration of 5 months. The mOS time was significantly higher for
patients with better hepatic function (12 months in cases of Child-Pugh score A
vs. 8 months in cases of Child-Pugh score B; P=0.03) and with basal AFP values
<=200 ng/ml (14 months vs. 8 months in patients with AFP levels >200 ng/ml;
P=0.01). A >20% reduction of AFP at 6-8 weeks was determined to be a positive
predictive factor upon multivariate analysis (P=0.002), obtaining, for the
responder patients, an mOS of 18 months compared with 10 months (P=0.004) for the
non-responders. The main adverse reactions were hand-foot syndrome (35/167; 21%),
diarrhoea (39/167; 23.4%), anorexia (29/167; 17.4%) and arterial hypertension
(30/167; 18%). In conclusion, a >20% drop in AFP at 6-8 weeks may be useful as a
predictive factor of response to sorafenib, as indicated by its association with
longer survival times in patients with advanced HCC following treatment with
sorafenib in the present study.
PMID- 29805624
TI - 3F-Box protein 32 degrades ataxia telangiectasia and Rad3-related and regulates
DNA damage response induced by gemcitabine in pancreatic cancer.
AB - Ataxia telangiectasia and Rad3-related (ATR) activates checkpoint kinase 1 (CHK1)
following replication fork stalling, leading to cell cycle arrest. ATR-CHK1
pathway components are considered to be promising therapeutic targets to enhance
the effectiveness of replication inhibitors. The present study revealed that F
Box protein 32 (FBXO32) regulated ATR expression in pancreatic cancer PANC-1 and
MIA PaCa-2 cells. Additionally, FBXO32 interacts with ATR in PANC-1 cells and ATR
is a degradation substrate of E3 ubiquitin ligase FBXO32. Furthermore, FBXO32
regulated the DNA damage response induced by gemcitabine in PANC-1 cells. Taken
together, the results of the present study suggested that FBXO32, as an E3
ubiquitin ligase of ATR, regulates the DNA damage response induced by gemcitabine
in pancreatic cancer.
PMID- 29805625
TI - F-box proteins involved in cancer-associated drug resistance.
AB - The ubiquitin proteasome system (UPS) regulated human biological processes
through the appropriate and efficient proteolysis of cellular proteins. F-box
proteins are the vital components of SKP1-CUL1-FBP (SCF)-type E3 ubiquitin
ligases that determine substrate specificity. As F-box proteins have the ability
to control the degradation of several crucial protein targets associated with
drug resistance, the dysregulation of these proteins may lead to induction of
chemoresistance in cancer cells. Chemotherapy is one of the most conventional
therapeutic approaches of treatment of patients with cancer. However, its
exclusive application in clinical settings is restricted due to the development
of chemoresistance, which typically results treatment failure. Therefore,
overcoming drug resistance is considered as one of the most critical issues that
researchers and clinician associated with oncology face. The present review
serves to provide a comprehensive overview of F-box proteins and their possible
targets as well as their correlation with the chemoresistance and
chemosensitization of cancer cells. The article also presents an integrated
representation of the complex regulatory mechanisms responsible for
chemoresistance, which may lay the foundation to explore sensible candidate drugs
for therapeutic intervention.
PMID- 29805626
TI - Clinical significance of blood-based miRNAs as biomarkers of non-small cell lung
cancer.
AB - Non-small-cell lung cancer (NSCLC) accounts for 85% of all cases of lung cancer.
However, the predicted 5-year survival rate of patients with NSCLC is only 15.9%.
microRNAs (miRNAs) are single-stranded, noncoding RNA molecules that are easily
detectable in blood in a non-invasive manner, with features of stability,
reproducibility and consistency in blood. Therefore, miRNAs derived from blood
are able to have a significant impact on NSCLC diagnosis, metastasis and targeted
therapies. Compared with the clinical protein markers carcinoembryonic antigen,
cytokeratin fragment 21-1 and cancer antigen-125, blood-based miRNAs also display
a higher diagnostic efficacy in NSCLC. Exosomal miRNAs are identified to be
easily measured and have the potential to be used as diagnostic biomarkers in
NSCLC, therefore providing an alternative method of biopsy profiling. The miRNA
profile in exosomes is similar to the profile in primary tumor, meaning that this
feature may be a powerful tool for NSCLC clinical diagnosis and targeted
therapies. The focus of the present review was the clinical significance of blood
based exosomal miRNAs in diagnosis, prognosis, metastasis and targeted therapies
of NSCLC.
PMID- 29805627
TI - Research progress on the anticancer effects of vitamin K2.
AB - Despite the availability of multiple therapeutic methods for patients with
cancer, the long-term prognosis is not satisfactory in a number of different
cancer types. Vitamin K2 (VK2), which exerts anticancer effects on a number of
cancer cell lines, is considered to be a prospective novel agent for the
treatment of cancer. The present review aims to summarize the results of studies
in which VK2 was administered either to patients with cancer or animals
inoculated with cancerous cells, particularly investigating the inhibitory
effects of VK2 on cancerous cells, primarily involving cell-cycle arrest, cell
differentiation, apoptosis, autophagy and invasion. The present review summarizes
evidence stating that treatment with VK2 could positively inhibit the growth of
cancer cells, making it a potentially useful approach for the prevention and
clinical treatment of cancer. Additionally, the combination treatment of VK2 and
established chemotherapeutics may achieve better results, with fewer side
effects. Therefore, more attention should be paid to the effects of
micronutrients on tumors.
PMID- 29805628
TI - Phosphoglycerate mutase 1 is highly expressed in C6 glioma cells and human
astrocytoma.
AB - The aim of the present study was to examine the expression of phosphoglycerate
mutase 1 (PGAM1) in astrocytomas, and to investigate its role in the progression
of astrocytomas. The expression of PGAM1 mRNA in rat C6 glioma cells and normal
astrocytes was determined using the reverse transcription-semi-quantitative
polymerase chain reaction, and immunohistochemistry was used to detect the
expression of PGAM1 protein in human astrocytomas and adjacent brain tissue.
These data suggested that the expression of PGAM1 in rat C6 glioma cells was
significantly increased compared with that of normal astrocytes (P<0.05), and the
expression of PGAM1 protein in human astrocytoma tissue was significantly
increased compared with that of the brain tissue surrounding the tumor (P<0.05).
In addition, PGAM1 protein was more frequently expressed in high-grade
astrocytomas compared with low-grade astrocytomas. These data indicate that the
expression of PGAM1 is increased in C6 cells and human astrocytomas, and PGAM1 is
probably involved in the tumorigenesis and progression of glioma, which may be a
potential target for glioma treatment.
PMID- 29805629
TI - MicroRNA-106a inhibits cell proliferation and induces apoptosis in colorectal
cancer cells.
AB - MicroRNAs (miRNAs) regulate mammalian cell growth, differentiation and apoptosis
by altering the expression of other genes, and serve multiple roles in
tumorigenesis and progression. miR-106a has been implicated in several types of
malignancies. However, its role in colorectal cancer (CRC) remains unknown. The
present study reported that in this particular cancer, miR-106a exhibits a tumor
suppressive role. It was demonstrated that the high expression of miR-106a in CRC
cells is negatively associated with E2F transcription factor 1 protein level and
positively associated with caspase activation, suggesting a potential molecular
switch.
PMID- 29805630
TI - Low accuracy of chromogranin A for diagnosing early-stage pancreatic
neuroendocrine tumors.
AB - The aim of the present study was to evaluate the clinical utility of plasma
chromogranin A (CgA) in patients diagnosed with early-stage pancreatic
neuroendocrine tumors (PNETs) in terms of diagnostic value and treatment
response. A total of 35 patients with PNETs were prospectively enrolled from
August 2010 to April 2014. Demographic and clinicopathological data were
collected, and serial plasma CgA levels were measured. Tumor responses were
defined by the Response Evaluation Criteria In Solid Tumors criteria. Pearson's
chi2 test was used for the analysis of the association between the plasma CgA
level and various factors. Plasma CgA level was significantly associated with the
size (P=0.03), metastasis (P=0.02) and tumor stage (P=0.03) of the PNETs. Using
126 U/l as the optimal cutoff value, the sensitivity and specificity were 87.5
and 81.5%, respectively. For localized tumors, the sensitivity of CgA for
diagnosing PNETs was relatively low, even following a lowering of the cutoff
values (29.6-51.9%). Plasma CgA level was correlated with therapeutic response in
those patients with high baseline CgA levels (P=0.025), but not in the patients
with low baseline CgA levels (P=0.587). In conclusion, plasma CgA level was
associated with tumor size, metastasis and tumor stage in patients with PNET. For
early-stage PNETs, CgA exhibited a limited role in diagnosis and treatment
response evaluation in the population of the present study.
PMID- 29805631
TI - Identification of circulating tumor cells with EML4-ALK translocation using
fluorescence in situ hybridization in advanced ALK-positive patients with lung
cancer.
AB - Analysis of anaplastic lymphoma kinase (ALK) rearrangement in non-small cell lung
cancer (NSCLC) is considered to be a useful tool when considering predictive
biomarker detection for evaluating eligibility for targeted therapy. It is not
always possible to perform a tumor biopsy in patients. Isolation and culturing of
circulating tumor cells (CTCs) may be an alternative to tumor biopsies for the
diagnosis of ALK rearrangement. Blood was collected from 22 patients with NSCLC
harboring ALK rearrangement and was divided into two groups: One for
immunofluorescence staining and the other for culture. Samples were filtered by
size and cultured CTCs were analyzed for echinoderm microtubule-associated
protein-like 4-ALK translocation using fluorescence in situ hybridization. CTCs
positive for epithelial cell adhesion molecule and CTCs exhibiting ALK
rearrangement were detected. Therefore, CTCs may be used as a potential
alternative method to tissue biopsy for diagnosing ALK rearrangement.
Additionally, this method may have clinical applications including serial blood
sampling for the development of personalized cancer therapy based on individual
genomic information.
PMID- 29805632
TI - Exploration of the molecular mechanisms of cervical cancer based on mRNA
expression profiles and predicted microRNA interactions.
AB - The molecular mechanisms of cervical cancer have been minimally explored with
multi-omics data. In the present study, mRNA expression profiles were analyzed
and combined with predicted miRNA interactions to contribute to the
characterization of the underlying regulatory mechanisms of cervical cancer. A
total of 92 significantly differentially expressed genes (DEGs) were identified
in 33 tumor samples by comparison with 29 normal samples. mRNA-miRNA interaction
network analysis revealed that 16 out of the 92 DEGs, including checkpoint kinase
1 (CHEK1), SRY-box 17 (SOX17), centrosomal protein 55, cyclin dependent kinase
inhibitor 2A (CDKN2A), and inhibitor of DNA binding 4, were the targets of 4
miRNAs which were previously reported to be involved in the regulation of
cervical cancer. Tumor and normal samples could be distinctly classified into two
groups based on the expression of the 16 DEGs. Furthermore, survival analysis
using the SurvExpress database indicated that the 16 DEGs could individually
significantly differentiate low- and high-risk cervical cancer groups. Overall,
multiple biological processes are likely to participate in the progression of
cervical cancer based on the pathway and function enrichment identified for the
DEGs. The dysregulation of SOX17 is associated with the regulation of embryonic
development, the determination of cell fate and likely promotes cancer cell
transformation. The dysregulation of CHEK1 and CDKN2A further promote cancer cell
proliferation by affecting the cell cycle checkpoint in response to DNA damage.
The identification of critical genes and biological processes associated with
cervical cancer may be beneficial for the exploration of the molecular
mechanisms.
PMID- 29805633
TI - XAV939 inhibits the proliferation and migration of lung adenocarcinoma A549 cells
through the WNT pathway.
AB - The present study assessed the effects of the tankyrase (TNKS) small molecule
inhibitor XAV939 on the proliferation and migration of lung adenocarcinoma A549
cells and the possible underlying mechanism. To do this, the association between
TNKS and the WNT/beta-catenin signaling pathway in lung acinar adenocarcinoma was
investigated. Immunohistochemistry was performed, which demonstrated that TNKS,
beta-catenin and Myc proto-oncogene protein (c-Myc) proteins are positively
expressed in lung adenocarcinoma tissue; this expression was significantly higher
than that in normal adjacent non-carcinoma tissues. A549 cell proliferation was
inhibited in all XAV939-intervention groups examined. In the wound-healing assay,
cells treated with different concentrations of XAV939 exhibited a significantly
increased scratch width compared with the control group. Reverse transcription
semi-quantitative polymerase chain reaction analysis revealed that beta-catenin
mRNA expression was significantly decreased in A549 cells in response to
different XAV939 concentrations compared with the control group.
Immunofluorescence revealed that beta-catenin protein, initially localized in the
nucleus/cytoplasm, gradually translocated to the cytoplasm/membrane, an effect
that was associated with increased drug concentration. TNKS, beta-catenin and c
Myc protein expression in A549 cells treated with XAV939 was reduced compared
with that in untreated cells. Therefore, abnormally high TNKS expression may
promote the occurrence of lung cancer. The TNKS inhibitor XAV939 inhibited lung
adenocarcinoma A549 cell proliferation and migration in vitro. The underlying
mechanism by which XAV939 exerted its inhibitory effects may be associated with
attenuation of the WNT signaling pathway.
PMID- 29805634
TI - Hypermethylation of MDFI promoter with NSCLC is specific for females, non-smokers
and people younger than 65.
AB - Non-small cell lung carcinoma (NSCLC) is a major subtype of lung cancer. Aberrant
DNA methylation has been frequently observed in NSCLC. The aim of the present
study was to investigate the role of MyoD family inhibitor (MDFI) methylation in
NSCLC. Formalin-fixed paraffin-embedded tumor tissues and adjacent non-cancerous
tissues were collected from a total of 111 patients with NSCLC. A methylation
assay was performed using the quantitative methylation-specific polymerase chain
reaction method. The percentage of methylated reference was used to represent the
methylation level of the MDFI promoter. Data mining of a dataset from The Cancer
Genome Atlas (TCGA) demonstrated that MDFI promoter methylation levels were
significantly increased in 830 tumor tissues compared with 75 non-tumor tissues
(P=0.012). However, the results on tissues obtained in the present study
indicated that the MDFI promoter methylation levels in tumor tissues were not
significantly different compared with those in the adjacent non-tumor tissues
(P=0.159). Subsequent breakdown analysis identified that higher MDFI promoter
methylation levels were significantly associated with NSCLC in females (P=0.031),
but not in males (P=0.832). Age-based subgroup analysis demonstrated that higher
MDFI promoter methylation levels were significantly associated with NSCLC in
younger patients (<=65 years; P=0.003), but not in older patients (P=0.327). In
addition, the association of MDFI methylation with NSCLC was significant in non
smokers (P=0.014), but not in smokers (P=0.832). Similar results also have been
determined from subgroup analysis of the TCGA datasets. The Gene Expression
Omnibus database indicated MDFI expression restoration in partial lung cancer
cell lines (H1299 and Hotz) following demethylation treatment. However, it was
identified that MDFI promoter hypermethylation was not significantly associated
with prognosis of NSCLC (P>0.05). In conclusion, the present study indicated that
the association of higher methylation of the MDFI promoter with NSCLC may be
specific to females, non-smokers and people aged <=65.
PMID- 29805635
TI - Epigenetic inactivation of galanin receptors in salivary duct carcinoma of the
parotid gland: Potential utility as biomarkers for prognosis.
AB - Salivary duct carcinoma (SDC) constitutes one of the most aggressive cancers in
the salivary gland and is associated with a poor prognosis; however, no
established systemic therapy options are available. SDC exhibits biological
similarity to prostate and breast cancers, therefore anti-hormone therapy and
molecular target therapies are available, however with limited beneficial
effects. Galanin and galanin receptors (GALRs) are well established as molecular
biomarkers to predict the survival rate and risk of recurrence of head and neck
squamous cell carcinoma. The present study investigated the clinicopathological
features of patients with SDC and the methylation status of their galanin and
GALR genes to demonstrate the prognostic value for this disease. The median
overall survival (OS) was 37.2 months. T-stage, N-stage, disease stage, tumor
size, and preoperative facial paralysis were significantly associated with OS,
whereas human epidermal growth factor receptor 2 (HER2) overexpression was not.
GALR1 and GALR2 methylation rates in tumor tissues were significantly increased
compared with normal tissues with 9.85- and 4.49-fold increase, respectively.
p27kip1 and p57kip2 expression significantly inversely correlated with the
methylation rate of GALR1 and GALR2. In addition, the observed GALR1 and/or GALR2
methylation rates were significantly correlated with a decrease in OS. These
results suggest that GALR1 and GALR2 may serve as potential prognostic factors
and therapeutic targets in SDC.
PMID- 29805636
TI - MicroRNA-126 inhibits proliferation and metastasis in prostate cancer via
regulation of ADAM9.
AB - The aberrant expression of microRNAs (miRs) has been identified to serve a
crucial role in tumor progression. The present study aimed to evaluate the role
of miR-126 in human prostate cancer (PCa). Firstly, miR-126 expression in
prostate cancer tissues and cell lines was analyzed. A luciferase reporter assay
and a rescue assay were performed, which identified ADAM metalloproteinase domain
9 (ADAM9) as the target gene of miR-126. Subsequently, Kaplan-Meier and log-rank
analyses were used to investigate the association between ADAM9 expression and
PCa prognosis. The results revealed that miR-126 expression was significantly
downregulated in PCa tissues and cell lines. miR-126 overexpression was
demonstrated to reduce PCa cell proliferation and metastasis, and to reverse the
epithelial-mesenchymal transition process in vitro. In addition, as the target
gene of miR-126, the upregulation of ADAM9 reestablished cell functions,
including cell proliferation, migration and invasion. Patients with high ADAM9
expression levels exhibited a shorter biochemical recurrence-free survival time.
In summary, miR-126 serves a role in the proliferation and metastasis of PCa
cells, indicating that miR-126 and ADAM9 may represent potential biomarkers in
the progression of advanced PCa, in addition to therapeutic targets.
PMID- 29805637
TI - Effect of membrane-bound complement regulatory proteins on tumor cell sensitivity
to complement-dependent cytolysis triggered by heterologous expression of the
alpha-gal xenoantigen.
AB - Engineering malignant cells to express a heterologous alpha-gal antigen can
induce heterograft hyperacute rejection, resulting in complement-dependent
cytolysis (CDC) of tumor cells, which has been considered as a novel strategy for
antitumor therapy. A549 cells engineered to express Galalpha1-3Galbeta1-4GlcNAc-R
(alpha-gal) epitope exhibited strong resistance to CDC treated by normal human
serum (NHS) in a previous study. We hypothesized that the expression of membrane
bound complement regulatory proteins (mCRPs) decay accelerating factor (CD55) and
protectin (CD59) influenced the efficacy of the alpha-gal/NHS-mediated antitumor
effect to tumor cells in vitro. The present study confirmed that A549 cells
expressed high levels of CD55 and CD59, whereas Lovo cells expressed relatively
low levels of these proteins. A549 and Lovo cells transfected with plasmids
containing or lacking the alpha-gal epitope were evaluated for their
susceptibility to CDC by NHS and detected using a trypan blue exclusion assay.
alpha-gal-expressing Lovo (Lovo-GT) cells were almost completely killed by alpha
gal-mediated CDC following incubation with 50% NHS, whereas no cytolysis was
observed in alpha-gal expressing A549 (A549-GT) cells. Abrogating CD55 and CD59
function from A549-GT cells by various concentrations of phosphatidylinositol
specific phospholipase C (PI-PLC) or blocking antibodies increased the
susceptibility of cells to CDC, and the survival rate decreased significantly
comparing to the controls (P<0.05). The findings of the present study indicated
that using the alpha-gal/NHS system to eliminate tumor cells via inducing the
complement cascade reaction might represent a feasible approach for the treatment
of cancer. However, high levels of mCRP expression may limit the efficacy of this
approach. Therefore, an improved efficacy of cancer cell killing may be achieved
by combining strategies of heterologous alpha-gal expression and mCRP
downregulation.
PMID- 29805639
TI - CD47 as a potential prognostic marker for oral leukoplakia and oral squamous cell
carcinoma.
AB - Cluster of differentiation (CD)47, which acts as a negative indicator for
phagocytic cells, is overexpressed on the surface of multiple human solid tumor
cell types. Avoiding phagocytosis by CD47 is required for the progression of
solid tumors. The present study investigated the expression of CD47 in oral
leukoplakia (OLK) and oral squamous cell carcinoma (OSCC), and preliminarily
explored the impact of CD47 on the proliferation of OSCC cells. A total of 56
tissue samples, including 36 cases of OLK, 10 cases of OSCC and 10 cases of
normal oral mucosa (NOM) were selected to detect the expression of CD47 by
immunohistochemistry. For subgroup analysis, OLK samples were divided into OLK
with low-risk dysplasia (LR-OLK) and OLK with high-risk dysplasia (HR-OLK). The
subcellular localization of CD47 was determined by immunofluorescence in three
OSCC cell lines (Tca8113, SCC-9 and Cal-27). The effect of CD47 antibody on the
proliferation of the Cal-27 cell line was analyzed using the Cell Counting kit-8
assay. CD47 expression in OLK and OSCC lesions was significantly higher than in
NOM (P<0.05). Compared with LR-OLK, the expression of CD47 in HR-OLK and OSCC
cells was upregulated (P=0.0327 and P=0.0048, respectively). CD47 was highly
expressed in OSCC cell lines (Tca8113, Cal-27 and SCC-9) and weakly expressed in
normal oral keratinocytes. The proliferation of Cal-27 cells was inhibited by
CD47 antibody in a concentration and time-dependent manner. CD47 may be a
reliable biomarker for predicting the progression of oral precancer and cancer,
and it may serve as an important molecular target for designing a novel therapy
for oral cancer.
PMID- 29805640
TI - miR-96 promotes invasion and metastasis by targeting GPC3 in non-small cell lung
cancer cells.
AB - Lung cancer is a major cause of death worldwide, and non-small cell lung cancer
(NSCLC) is the most common type of lung cancer. The aim of this study was to
investigate whether miR-96 mediated the invasion and metastasis of NSCLC by
targeting glypican-3 (GPC3). Reverse transcription-quantitative PCR (RT-qPCR) was
employed to detect the level of miR-96 and GPC3 mRNA. We applied western blot
analysis to measure the protein expression level of GPC3 gene. The luciferase
reporter assay was employed to confirm that GPC3 was a target gene of miR-96. The
Transwell assay was used to detect migration and invasion. The results revealed
that miR-96 was upregulated in NSCLC tissues and lung cancer cells (A549 and
H460) compared with corresponding paracancerous tissues and normal epidermic MRC
5 cells. Overexpression of miR-96 promoted invasion and migration in A549 cells.
GPC3 was a direct target of miR-96 and regulated by miR-96. GPC3 could reverse
partial fuction of miR-96 on proliferation. In conclusion, miR-96 was able to
promote the migration and invasion of lung cancer cells by targeting GPC3 gene.
The newly identified miR-96/GPC3 axis may provide a therapeutic method for the
treatment of NSCLC.
PMID- 29805638
TI - PRL-3 promotes gastric cancer peritoneal metastasis via the PI3K/AKT signaling
pathway in vitro and in vivo.
AB - The peritoneal metastasis-associated phosphatase of regenerating liver-3 (PRL-3)
is upregulated in gastric cancer. The phosphatidylinositol 3-kinase (PI3K)/RAC
serine/threonine-protein kinase (AKT) signaling pathway acts downstream of PRL-3
in gastric cancer. However, the exact PRL-3 signaling mechanisms are poorly
understood. The present study investigated whether PRL-3 facilitates the
peritoneal metastasis of gastric cancer via the PI3K/AKT pathway in vivo and in
vitro. Nude mouse models of peritoneal metastasis were established using
SGC7901/PRL-3 cell lines. The results confirmed that the invasion and migration
abilities of SGC7901/PRL-3 cells were significantly increased in these models.
Furthermore, western blotting demonstrated that the expression of p-AKT, matrix
metallopeptidase-2 (MMP-2) and -9 proteins increased in SGC7901/PRL-3 cells.
These effects were suppressed in SGC7901 cell lines when PI3K was inhibited by
LY294002. Furthermore, tumors derived from the peritoneal injection of
SGC7901/PRL-3 cells were significantly smaller when the cells were grown in the
presence of LY249002, compared with cells grown in its absence. These results
indicated that targeted inhibition of the PI3K/AKT signaling pathway decreased
the effects of PRL-3 on metastasis in vivo. Collectively, the results of the
present study indicated that PRL-3 acts via the PI3K/AKT pathway to promote
peritoneal metastasis and invasion of gastric cancer cells in vitro and in vivo.
PMID- 29805642
TI - Function of mitochondrial pyruvate carriers in hepatocellular carcinoma patients.
AB - Mitochondrial pyruvate carriers (MPC) have been identified as a critical
component of energy metabolism in the cancer cells of multiple malignant tumor
types. The aim of the present study was to investigate the association between
the expression of MPC1 and MPC2 and the prognosis of patients with hepatocellular
carcinoma (HCC). A total of 85 formalin-fixed paraffin-embedded HCC tissues were
assessed using immunohistochemistry. A further 20 fresh pathological specimens,
including cancer and adjacent normal liver tissues from patients who had
undergone a hepatectomy, were analyzed using western blotting and reverse
transcription-quantitative polymerase chain reaction. The relative expression of
MPC1 and MPC2 was quantified using Image-Pro Plus software, and the association
between MPC expression and clinical outcomes was analyzed by Student's t-test.
MPC1 and MPC2 protein expression was significantly downregulated in HCC, but no
association was identified between the expression of MPC1 or MPC2 and the
clinicopathological characteristics of the patients. MPC1 mRNA levels were
decreased in each cancer sample, while a mixture of increased and decreased MPC2
mRNA levels observed in the HCC samples. Multivariate regression analysis
indicated that the protein level and the microvascular invasion of MPC1 were
positively associated with the recurrence of HCC (P=0.000 and P=0.017,
respectively). MPC1 may therefore serve as an attractive biomarker for the
identification of patients with HCC at a high risk of recurrence following
curative resection.
PMID- 29805641
TI - Targeted therapy of triple negative MDA-MB-468 breast cancer with curcumin
delivered by epidermal growth factor-conjugated phospholipid nanoparticles.
AB - Triple-negative breast cancer (TNBC) is associated with poor survival as
chemotherapy is currently limited to conventional cytotoxic agents. Curcumin has
promising anticancer actions against TNBC, but its application is hindered by
poor bioavailability and rapid degradation in vivo. In the present study,
curcumin-loaded phospholipid nanoparticles (Cur-NPs) conjugated with epidermal
growth factor (EGF) were prepared for specific targeting of EGF receptors
overexpressed in TNBC. NP formulation was performed by reacting EGF peptide with
N-hydroxysuccinimide-Polyethylene Glycol-1,2-Distearoyl-sn-Glycero-3
Phosphoethanolamine (NHS-PEG10000-DSPE), followed by efficient curcumin loading
through lipid film hydration. EGF conjugation did not significantly affect NP
size, zeta potential or morphology. Specific targeting was confirmed by EGF
receptor activation and blocking of 125I-labeled NP binding by excess EGF. EGF
Cur-NP dose-dependently suppressed MDA-MB-468 TNBC cell survival (IC50, 620 nM),
and completely abolished their capacity to form colonies. The cytotoxic effects
were more potent compared with those of free curcumin or Cur-NP. In mice bearing
MDA-MB-468 tumors, injections of 10 mg/kg EGF-Cur-NP caused a 59.1% retardation
of tumor growth at 3 weeks compared with empty NP, whereas the antitumor effect
of Cur-NP was weak. These results indicate that EGF-conjugated NHS-PEG10000-DSPE
phospholipid NPs loaded with curcumin may be useful for treating TNBCs that
overexpress the EGF receptor.
PMID- 29805643
TI - Chrysin induces cell growth arrest, apoptosis, and ER stress and inhibits the
activation of STAT3 through the generation of ROS in bladder cancer cells.
AB - Chrysin is a natural flavone that has various biological activities, including
antitumor effects. However, the effect of chrysin on bladder cancer cells remains
elusive. The present study investigated the effects of chrysin on bladder cancer
cells and its underlying mechanisms. The results demonstrated that chrysin
induced apoptosis via the intrinsic pathway, as evidenced by activation of
caspase-9 and caspase-3, however not caspase-8. In addition, chrysin reduced the
expression of anti-apoptotic B cell lymphoma (Bcl) proteins including Bcl-2, Mcl
1, Bcl-xl, and promoted the protein expression of pro-apoptotic Bcl-2 associated
X, apoptosis regulator. Chrysin also induced endoplasmic reticulum stress via
activation of the unfolded protein response of PRKR-like endoplasmic reticulum
kinase, eIF2alpha and activating transcription factor 4 in bladder cancer cells.
Additionally, chrysin inhibited the signal transducer and activator of
transcription 3 pathway. Furthermore, the generation of reactive oxygen species
(ROS) was detected following treatment with chrysin. The ROS scavenger N
acetylcysteine inhibited the antitumor effect of chrysin. Collectively, these
results indicate chrysin may act as a promising therapeutic candidate for
targeting bladder cancer.
PMID- 29805644
TI - MicroRNA-424 expression predicts tumor recurrence in patients with hepatocellular
carcinoma following liver transplantation.
AB - MicroRNA-424 (miR-424) has previously been described as a biomarker of poor
prognosis in patients with hepatocellular carcinoma (HCC). In the present study,
the clinical significance of miR-424 expression in predicting the rate of tumor
recurrence in patients with HCC following liver transplantation (LT) was
evaluated. miR-424 expression in HCC samples from 121 patients undergoing LT was
examined, and the associations between clinical parameters and patient tumor
recurrence were evaluated. The miR-424 expression level in cancer tissues was low
compared with that in adjacent noncancerous tissues. Multivariate analyses
revealed that low miR-424 expression was an independent prognostic factor for
tumor recurrence in patients with HCC following liver transplantation. Patients
who no longer met the Milan criteria and had decreased miR-424 expression levels
exhibited earlier tumor recurrence following LT. In addition, the upregulation of
miR-424 expression significantly reduced the migration, invasion and
proliferation of HCC cells. Similarly, the downregulation of miR-424 in HCC cells
significantly promoted the migration, invasion and proliferation of HCC cells.
PMID- 29805646
TI - Clinical significance of expression levels of serum ADRA1A in hysterocarcinoma
patients.
AB - The clinical significance of the expression level of serum adrenergic receptor
alpha1 (ADRA1A) in hysterocarcinoma patients was determined. Peripheral serum
samples were collected at the Hubei Cancer Hospital from 455 patients affected by
hysterocarcinoma and 380 healthy adults, who served as the normal control group.
We determined the expression levels of ADRA1A by ELISA and analyzed its
correlation to clinical features and prognosis of the patients. Compared with the
normal control group, the expression of ADRA1A in the average peripheral serum
level of hysterocarcinoma patients was clearly increased (P<0.05). In addition,
the expression level of ADRA1A was positively correlated with the FIGO staging
for hysterocarcinoma (r=0.312, P=0.014). Furthermore, the expression levels of
serum ADRA1A in patients with metastasis were significantly increased compared to
the levels of hysterocarcinoma patients without metastasis (P<0.05). Our analyses
also showed that the expression levels of serum ADRA1A in hysterocarcinoma
patients did not correlate with patient factors such as age, tumor invasive
depth, tumor size or tumor differentiation degree (P>0.05). The Kaplan-Meier
survival analysis indicated that the median survival time (37.1 months) of
patients with a high expression of serum ADRA1A was lower than that of patients
with a low expression of serum ADRA1A (68 months) (P<0.05). The three- and five
year survival rates of patients expressing low serum ADRA1A were, respectively,
74.00 and 62.00%; and the three- and five-year survival rates of patients
expressing high levels of serum ADRA1A were 52.00 and 32.00%, respectively, with
all the differences being statistically significant (P<0.05). ADRA1A was highly
expressed in the peripheral serum in patients with hysterocarcinoma and the
expression of ADRA1A was associated with FIGO staging and lymph node metastasis
status. The expression of serum ADRA1A can be used to assess the survival rate
and may be involved in the pathogenesis and metastasis progression of
hysterocarcinoma.
PMID- 29805645
TI - Bioinformatics and functional analyses of key genes and pathways in human clear
cell renal cell carcinoma.
AB - Clear cell renal cell carcinoma (ccRCC) is the most common type of kidney cancer.
The present study was conducted to explore the mechanisms and identify the
potential target genes for ccRCC using bioinformatics analysis. The microarray
data of GSE15641 were screened on Gene-Cloud of Biotechnology Information (GCBI).
A total of 32 ccRCC samples and 23 normal kidney samples were used to identify
differentially expressed genes (DEGs) between them. Subsequently, the clustering
analysis and functional enrichment analysis of these DEGs were performed,
followed by protein-protein interaction (PPI) network, and pathway relation
network. Additionally, the most significant module based on PPI network was
selected, and the genes in the module were identified as hub genes. Furthermore,
transcriptional level, translational level and survival analyses of hub genes
were performed to verify the results. A total of 805 genes, 403 upregulated and
402 downregulated, were differentially expressed in ccRCC samples compared with
normal controls. The subsequent bioinformatics analysis indicated that the small
molecule metabolic process and the metabolic pathway were significantly enriched.
A total of 7 genes, including membrane metallo-endopeptidase (MME), albumin
(ALB), cadherin 1 (CDH1), prominin 1 (ROM1), chemokine (C-X-C motif) ligand 12
(CXCL12), protein tyrosine phosphatase receptor type C (PTPRC) and intercellular
adhesion molecule 1 (ICAM1) were identified as hub genes. In brief, the present
study indicated that these candidate genes and pathways may aid in deciphering
the molecular mechanisms underlying the development of ccRCC, and may be used as
therapeutic targets and diagnostic biomarkers of ccRCC.
PMID- 29805647
TI - Molecular dynamic simulation of mutated beta-catenin in solid pseudopapillary
neoplasia of the pancreas.
AB - Solid pseudopapillary neoplasia of the pancreas (SPN) is a rare pancreatic
neoplasm that frequently harbors mutations in catenin beta1 (CTNNB1, encoding
beta-catenin) as a part of its molecular pathogenesis. Mutations to CTNNB1
reported in SPN usually occur at the serine/threonine phosphorylation sites,
including codons 33, 37 and 41, and the flanking residues of codon 33. On
analysis of 3 cases of SPN, mutations to CTNNB1 were detected in codon 32 (D32A
and D32Y). As this residue, aspartic acid, is not a direct phosphorylation site
of the protein, molecular modeling tools were used to predict the influence of
these mutations on the protein structure of beta-catenin. A total of three MD
simulations (wild-type, D32A, and D32Y) were performed to visualize the
conformations of beta-catenin under in vivo, aqueous-phase conditions at 37
degrees C. In the wild-type protein, the secondary structure of residues P16-H28
remained helical; we therefore hypothesized that the helical structure of this
protein fragment (residues M11-G50) was necessary for phosphorylation of S33
phosphorylation. The loss of the secondary structure in P16-H28 was observed in
D32A, losing its helical structure and becoming a turn; however, in the D32Y
mutant, the helical structure remained. The present demonstrated that structural
changes in the mutated beta-catenin protein at D32 could potentially explain the
mechanism behind its defective phosphorylation in the pathogenesis of SPN.
PMID- 29805649
TI - Aberrant expression of miR-153 is associated with the poor prognosis of cervical
cancer.
AB - Previous studies have demonstrated that microRNAs (miRNAs) are frequently
dysregulated in tumors and are associated with the initiation and progression of
various types of cancer. miR-153 has been previously shown to have an anti-tumor
effect in the majority of cancer types. However, to date, the expression status
and function of miR-153 in cervical cancer (CC) remains unclear. In the present
study, the expression of miR-153 in CC tissues and cell lines was examined,
revealing that the expression of miR-153 was markedly downregulated in the CC
tissues and cell lines investigated, when compared with matched noncancerous
tissues and normal cervical epithelial cell line. Furthermore, ectopic expression
of miR-153 by miR-153 mimic inhibited cell proliferation; however, transfection
with the miR-153 inhibitor promoted the cell proliferation in CC cell lines.
Finally, the results showed that the downregulation of miR-153 was associated
with poor 5-year over survival in CC patients and it could be regarded as an
independent biomarker to predict the prognosis of CC patients. Collectively,
these results indicated that miR-153 may function as a tumor suppressor in CC,
and it may be a potential novel therapeutic target for CC.
PMID- 29805648
TI - Clinical, pathological and genetic features of anaplastic and poorly
differentiated thyroid cancer: A single institute experience.
AB - Anaplastic (ATC) and poorly differentiated thyroid cancer (PDTC) are very
aggressive cancers whose histological diagnosis is not always straightforward.
Clinical, pathological and genetic features may be useful to improve the
identification of these rare histotypes. In the present study the clinical,
pathological and genetic features of two groups of ATC (n=21) and PDTC (n=21)
patients were analyzed. Clinical data were retrieved from a computerized
database. The oncogenic profiles were studied using the Sanger sequencing method
of a selected series of oncogenes and/or tumor suppressor genes known to be
altered in these tumors. The presence of macrophages in both series of tissues
was evaluated by immunohistochemistry. Patients with ATC were older and affected
by a more advanced disease at diagnosis than those with PDTC. The median survival
was significantly shorter in ATC compared with PDTC patients (P=0.0014). ATC
showed a higher prevalence of TP53 and TERT mutations (10/21, 47.6% and 9/21,
42.8%, respectively) while TERT and BRAF mutations were the most prevalent in the
PDTC group (7/21, 33.3% and 4/23, 19% respectively). Genetic heterogeneity (i.e.,
>2 mutations) was more frequent in ATC (10/21, 28.6%) compared with in PDTC
(3/21, 4.7%) (P=0.03). Macrophages were more frequently present in ATC,
particularly in those cases with TP53 mutations. In conclusion, these data
indicate that ATC and PDTC may be characterized by different clinical,
pathological and genetic profiles. In particular ATC, but not PDTC, were positive
for TP53 and PTEN alterations. Complex mutations were also found in ATC but not
in PDTC. Moreover, genetic heterogeneity was more frequent in ATC than PDTC.
Finally, TP53 mutation and the accumulation of several mutations correlated with
a shorter survival time.
PMID- 29805650
TI - High NUCB2 expression level is associated with metastasis and may promote tumor
progression in colorectal cancer.
AB - Nucleobindin 2 (NUCB2) is mainly expressed in the hypothalamic nuclei and has a
proven role in energy homeostasis. It has also been recently reported to have a
key role in tumor progression. However, the clinical significance of NUCB2 in
colorectal cancer (CRC) remains unknown. In the present study, the level of NUCB2
mRNA was quantified by reverse transcription-quantitative polymerase chain
reaction (RT-qPCR) in 34 paired fresh tissues from patients with CRC. RT-qPCR was
followed by immunohistochemical (IHC) staining of NUCB2 protein in tissue
microarrays of 251 samples to evaluate the clinical significance of NUCB2 in CRC.
The RT-qPCR indicated an upregulation of NUCB2 mRNA in CRC tissues compared with
normal tissues (P=0.027). IHC staining indicated a positive association between
elevated NUCB2 expression and lymph node metastasis or tumor-node-metastasis
(TNM) stage. Patients with CRC and lymph node metastasis demonstrated a higher
expression of NUCB2 (49.5%, 50/101) compared with those without lymph node
metastasis (36.7%, 55/150; P=0.043). Furthermore, NUCB2 expression was also
higher in patients with CRC and TNM stage III-IV compared with those with TNM
stage I-II (50.9% vs. 35.0%; P=0.011). However, Kaplan-Meier analysis indicated
no significant association between NUCB2 expression and disease-free survival of
patients. Additionally, multivariate analysis did not identify the upregulation
of NUCB2 as an independent prognostic predictor in patients with CRC (P=0.755).
In conclusion, the present study demonstrated that upregulation of NUCB2 is
significantly associated with CRC metastasis, indicating that NUCB2 may be a
cancer-associated oncogene associated with the aggressive progression of CRC.
PMID- 29805651
TI - Nicotinamide N-methyltransferase enhances the progression of prostate cancer by
stabilizing sirtuin 1.
AB - A previous study demonstrated that nicotinamide N-methyltransferase (NNMT) is
upregulated in the tissues of patients with prostate cancer (PCa); however, the
specific underlying mechanism of this remains unclear. To begin with, the
expression of NNMT was investigated in the peripheral blood of patients with PCa
and of healthy control subjects. The results indicated that the expression level
of NNMT was elevated in the peripheral blood and tissues of patients with PCa.
Furthermore, the overexpression of NNMT enhanced PC-3 cell viability, invasion
and migration capacity. Additionally, the overexpression of NNMT significantly
increased the mRNA level of sirtuin 1 (SIRT1) in PC-3 cells. In addition,
nicotinamide treatment significantly suppressed the expression of SIRT1 even in
PC-3 cells transfected with adeno-associated virus-NNMT. Furthermore, the PC-3
cell invasion capacity was notably decreased by the nicotinamide treatment;
however, such effects were largely abolished by the overexpression of NNMT in PC
3 cells. These data indicated that NNMT enhanced PC-3 cell migration and invasion
mainly by regulating SIRT1 expression. In summary, the present study indicated
that NNMT is an important regulator of SIRT1 expression in PC-3 cells and may be
a potential therapeutic target for PCa.
PMID- 29805652
TI - Suppression of CCT3 inhibits malignant proliferation of human papillary thyroid
carcinoma cell.
AB - Papillary thyroid carcinoma (PTC) is the most frequently occurring subtype of
thyroid cancer. A certain portion of PTCs can progress to recurrent metastatic
cancer. Currently, there remains no effective molecular target therapy for PTCs.
As a subunit of the chaperonin containing TCP1 (CCT) complex, CCT3 is involved in
various biological processes. CCT3 has been reported to drive the proliferation
of hepatocellular carcinoma cells. Nevertheless, it remains unknown whether CCT3
regulates the development of PTC. The present study examined CCT3 protein
expression in 30 PTC samples from patients undergoing thyroidectomy. A
significant increase was observed in CCT3 expression in the PTC samples compared
with the matched adjacent normal thyroid tissues. Lentiviral-mediated small
interfering RNAs were used to knock down CCT3 in K1 cells. It was observed that
the expression of CCT3 was significantly suppressed in K1 cells infected with
lentivirus containing a CCT3-targeting short hairpin RNA. Our results showed that
CCT3 knockdown markedly decreased the proliferation and cell cycle progression of
K1 cells. In addition, the knockdown of CCT3 induced apoptosis of K1 cell. Taken
together, the findings of the present study indicated that CCT3 presents as a
potential molecular marker of PTC and regulates the development of PTC in humans.
PMID- 29805653
TI - Inhibition of DNA methyltransferase 1 by RNA interference reverses epithelial
mesenchymal transition in highly metastatic 95D lung cancer cells by inhibiting
the Wnt signaling pathway.
AB - Epigenetic modifications serve important roles in non-small cell lung cancer
(NSCLC) tumorigenesis; however, the role of DNA methyltransferase 1 (DNMT1) in
lung cancer progression remains unclear. In the present study, the expression of
DNMT1 in the human NSCLC cell lines 95D (high invasive ability) and 95C (low
invasive ability) was analyzed by western blotting. The results demonstrated that
the expression of DNMT1 in 95D cells was significantly higher, compared with in
95C cells and small airway epithelial cells. To further define the role of DNMT1
in tumor migration and invasion in NSCLC cells, RNA interference was used to
silence DNMT1 expression. Depletion of DNMT1 significantly inhibited 95D cell
invasion and migration. In addition, treatment with DNMT1 small interfering RNA
resulted in compact cell morphology and significantly increased epithelial marker
E-cadherin expression whilst also decreasing the expression of certain
mesenchymal markers, including vimentin and fibronectin. Suppression of DNMT1
increased cytoplasmic beta-catenin levels while downregulating nuclear beta
catenin and Snail, an important regulator of EMT. The results from the present
study suggest that the inhibition of DNMT1 reverses the epithelial-mesenchymal
transition partly via the inhibition of the Wnt/beta-catenin signaling pathway,
and therefore inhibits cell migration and invasion. These results indicate that
targeting DNMT1 may inhibit tumor metastasis and that DNMT1 is a promising target
for the novel treatment of lung cancer.
PMID- 29805654
TI - Cisplatin-induced non-canonical endocytosis of EGFR via p38 phosphorylation of
the C-terminal region containing Ser-1015 in non-small cell lung cancer cells.
AB - The aberrant activation of receptor tyrosine kinases (RTKs) is associated with
tumor initiation in various types of human cancer, including non-small cell lung
cancers (NSCLCs). Tyrosine kinase-independent non-canonical RTK regulation has
also been investigated in tumor malignant alterations, including cellular stress
responses. It was recently reported that the phosphorylation of epidermal growth
factor receptor (EGFR) at C-terminal Ser-1015 serves a critical role in growth
factor and cytokine signaling. In the present study, the role of non-canonical
EGFR regulation has been investigated in NSCLC cells treated with cisplatin, a
common chemotherapeutic agent. Cisplatin-induced p38 activation triggered the Ser
1015 phosphorylation of EGFR, with similar kinetics to previously reported Ser
1047 phosphorylation, in a tyrosine kinase-independent manner. In addition,
phosphorylation around Ser-1015 triggered endocytosis of a dimer deficient mutant
of EGFR. The non-canonical endocytosis of EGFR monomers was primarily controlled
by the region around Ser-1015 only; however, Ser-1047 on internalized EGFR was
equally phosphorylated. The results of the present study provide mechanistic
evidence for the cisplatin-induced non-canonical regulation of EGFR.
PMID- 29805655
TI - Long non-coding RNA NNT-AS1 contributes to cell proliferation, metastasis and
apoptosis in human ovarian cancer.
AB - Ovarian cancer is a markedly heterogeneous malignancy characterized by various
histological subtypes. Molecular biomarkers have been indicated to serve
significant functions in the early diagnosis and treatment of early-stage ovarian
cancer. However, the detailed mechanism underlying the tumorigenesis of ovarian
cancer remains unclear. The present study aimed to identify a novel long non
coding RNA in patients with ovarian cancer. Nicotinamide nucleotide
transhydrogenase-antisense 1 (NNT-AS1) was markedly downregulated in patients
with ovarian cancer and in cultured human ovarian cancer cells. Knockdown of NNT
AS1 in the human ovarian cancer cell lines HO-8910 and SK-OV-3 promoted colony
formation and arrested the cell cycle at G0/G1 phase. Furthermore, Transwell
demonstrated that the downregulation of NNT-AS1 increased cell migration and
invasion by ~60 and 70%, respectively, in HO-8910 and SK-OV-3 cells. Furthermore,
cell apoptosis was inhibited by the transfection of siNNT-AS1 in the two cell
lines, whereas the relative activities of caspase-3 and caspase-9 were decreased.
These results indicated a protective function of NNT-AS1 in human ovarian cancer,
providing novel insights into the diagnosis and treatment of ovarian cancer in
clinical settings.
PMID- 29805656
TI - Combined caveolin-1 and epidermal growth factor receptor expression as a
prognostic marker for breast cancer.
AB - Previous studies have indicated that caveolin-1 (Cav-1) is able to bind the
signal transduction factor epidermal growth factor receptor (EGFR) to regulate
its tyrosine kinase activity. The aim of the present study was to evaluate the
clinical significance of Cav-1 gene expression in association with the expression
of EGFR in patients with breast cancer. Primary breast cancer samples from 306
patients were analyzed for Cav-1 and EGFR expression using immunohistochemistry,
and clinical significance was assessed using multivariate Cox regression
analysis, Kaplan-Meier estimator curves and the log-rank test. Stromal Cav-1 was
downregulated in 38.56% (118/306) of tumor tissues, whereas cytoplasmic EGFR and
Cav-1 were overexpressed in 53.92% (165/306) and 44.12% (135/306) of breast
cancer tissues, respectively. EGFR expression was positively associated with
cytoplasmic Cav-1 and not associated with stromal Cav-1 expression in breast
cancer samples; however, low expression of stromal Cav-1 was negatively
associated with cytoplasmic Cav-1 expression in total tumor tissues, and
analogous results were identified in the chemotherapy group. Multivariate Cox's
proportional hazards model analysis revealed that, for patients in the estrogen
receptor (ER)(+) group, the expression of stromal Cav-1 alone was a significant
prognostic marker of breast cancer. However, in the chemotherapy, human epidermal
growth factor receptor 2 (HER-2)(-), HER-2(+) and ER(-) groups, the use of
combined markers was more effective prognostic marker. Stromal Cav-1 has a tumor
suppressor function, and the combined marker stromal Cav-1/EGFR expression was
identified as an improved prognostic marker in the diagnosis of breast cancer.
Parenchymal expression of Cav-1 is able to promote EGFR signaling in breast
cancer, potentially being required for EGFR-mediated initiation of mitosis.
PMID- 29805657
TI - Clinicopathological analysis of epithelioid inflammatory myofibroblastic sarcoma.
AB - Inflammatory myofibroblastic tumor (IMT) is a distinctive neoplasm composed of
myofibroblastic and fibroblastic spindle cells, accompanied by the inflammatory
infiltration of plasma cells, lymphocytes and/or eosinophils. Epithelioid
inflammatory myofibroblastic sarcoma (EIMS), which primarily consists of cells
with a round or epithelioid morphology, is associated with a poor prognosis and
rapid development of local recurrence, and has been recognized to be a variant of
IMT. Diagnosis of EIMS is difficult owing to its close resemblance to malignant
mesothelioma, anaplastic large cell lymphoma, gastrointestinal stromal tumor and
other malignant diseases. In the present study, a case of this rare tumor was
evaluated in a 26-year-old male who was admitted to hospital after experiencing
abdominal pain for ~18 days and abdominal distention for 1 week. The patient's
tumor was examined by imaging, gross examination, histology, immunohistochemistry
and fluorescence in situ hybridization (FISH). The magnetic resonance imaging
enhanced-scanning image revealed that the morphology of the tumor was irregular,
and signal was medley consisting of high and low hybrid reinforcement. Tumors
were located in the bladder and rectal pit, in the lower part of the lower
abdomen, indicating the presence of malignancy and involvement of the small
intestine and rectum. Enhanced-scanning imaging revealed notable inhomogeneous
enhancement. Gross examination revealed that the tumor was solid and had a
variegated appearance with alternating fleshy and mucoid areas in the cut
surface. Microscopically, the tumors were dominated by sheets of epithelioid-to
round cells with a prominent inflammatory infiltrate. The majority of the stroma
was myxoid. Immunohistochemically, the tumor cells exhibited diffuse strong
staining for ALK receptor tyrosine kinase (hereafter ALK), vimentin, tumor
protein P53, desmin, Wilms' tumor 1 and programmed death-ligand 1. FISH analysis
also revealed the existence of ALK rearrangement. The expression of PD-L1 in EIMS
indicates that the immune checkpoint blockade could represent a novel therapy for
the treatment of EIMS.
PMID- 29805658
TI - Bufalin induces apoptosis in human esophageal carcinoma ECA109 cells by
inhibiting the activation of the mTOR/p70S6K pathway.
AB - The present study examined whether bufalin could induce human esophageal
carcinoma ECA109 cells apoptosis via inhibiting the activation of mechanistic
target of rapamycin (mTOR)/p70 S6 kinase (p70S6K) pathway is discussed in this
article. The present study used the esophageal squamous cell carcinoma ECA109
cell line to assess the apoptosis-inducing effects of bufalin via inhibition of
the mTOR/p70S6K pathways. A plasmid containing the wild-type mTOR gene (wtmTOR)
was transfected into ECA109 cells. The levels of p70S6K, phosphorylated (p)
p70S6K, cellular inhibitor of apoptosis-1 (cIAP-1) and Bcl-2-associated death
promoter (BAD) in ECA109 cells were examined by western blot analysis, and
apoptosis was detected by flow cytometry analysis and Giemsa staining. The
results revealed that the expression of p-p70S6K was increased as the time
progressed (at 0, 12 and 24 h), and then decreased at 30, 36, 42 and 48 h after
transfection. The expression of cIAP-1 was significantly decreased as time
progressed following the addition of bufalin, whereas that of BAD was increased.
The levels of p-p70S6K and cIAP-1 were significantly higher in the wtmTOR
transfected group than in the control and empty vector-transfected groups, and
then reduced following addition of bufalin; however, BAD expression was
significantly lower in the wtmTOR-transfected group. The results of flow
cytometry revealed the cell cycle of ECA109 was arrested at G2/M phase and the
apoptotic rate was significantly lower in the wtmTOR-transfected group than in
the control and empty vector-transfected groups, and then increased following
addition of bufalin. In conclusion, the findings of the present study
demonstrated that bufalin induced apoptosis in esophageal carcinoma cells via the
inhibition of the mTOR/p70S6K pathway and indicated that treatment with bufalin
could be combined with chemotherapy to overcome the resistance of esophageal
carcinoma cells to chemotherapeutic-induced apoptosis.
PMID- 29805659
TI - High levels of centrosomal protein 55 expression is associated with poor clinical
prognosis in patients with cervical cancer.
AB - Centrosomal protein 55 (CEP55) has been proposed to have a role in tumor
development. However, the expression pattern and clinical relevance of CEP55 has,
to the best of our knowledge, not yet been investigated in cervical cancer. The
mRNA levels of CEP55 in cervical cancer tissues and paired adjacent non-cancerous
tissues were examined by reverse transcription-quantitative polymerase chain
reaction (RT-qPCR). The present study assessed the association between
immunohistochemical staining of CEP55 and clinicopathological characteristics and
survival rates of patients. Compared with the adjacent non-cancerous tissues,
CEP55 expression was significantly increased in cervical tumor tissues, as
demonstrated by the results of RT-qPCR. High expression of CEP55 was
significantly associated with lymph node metastasis (P=0.008) and advanced tumor
stage (P=0.010). Furthermore, CEP55 overexpression in cervical cancer specimens
was significantly associated with poor 5-year overall and recurrence-free
survival rates (P=0.021 and P=0.010, respectively). The results of multivariate
Cox regression analysis revealed that CEP55 expression was a significant,
independent predictor for the survival of patients with cervical cancer (hazard
ratio=3.057; P=0.035). These data indicated that high CEP55 expression was
associated with lymph node metastasis and was an independent predictive factor
for an unfavorable prognosis in patients with cervical carcinoma.
PMID- 29805660
TI - Association between 5-lipoxygenase expression, and malignant behaviors and poor
prognosis in esophageal squamous cell carcinoma.
AB - 5-lipoxygenase (5-LO) catalyzes the first step of arachidonic acid metabolism to
inflammatory mediator leukotrienes. The present study assessed 5-LO expression in
esophageal squamous cell carcinoma (ESCC) tissue specimens for associations with
clinicopathological and survival data from patients, then explored 5-LO activity
in ESCC cells in vitro. 5-LO expression was detected in tissue microarrays
containing 297 ESCC samples using immunohistochemistry. Kaplan-Meier curves were
used to analyze the survival significance of 5-LO expression and relative risk
was evaluated using the multivariate Cox proportional hazards model. Cultured
tumor cells were subjected to gene transfection, western blotting, and cell
migration and proliferation assays. 5-LO protein was primarily expressed in
normal cell cytoplasm and/or membrane, and never in the whole cytoplasm, whereas
5-LO was expressed diffusely in ESCC tissues with nearly homogeneous whole
cytoplasm staining. 5-LO expression was significantly associated with tumor
regional lymph node metastasis (P=0.013) and pTNM stage (P=0.004). 5-LO
expression was associated with poor overall survival (P=0.029). Multivariate
analysis demonstrated that 5-LO overexpression was an independent prognostic
factor for ESCC patients (P=0.041). Furthermore, the inhibition of 5-LO
expression reduced ESCC cell viability and migration in vitro. These data provide
further evidence that the upregulation of 5-LO expression is associated with
advanced stages of disease and poor ESCC prognosis, and that 5-LO expression may
independently predict overall survival in patients with ESCC. The inhibition of 5
LO expression reduced ESCC malignant behavior in vitro.
PMID- 29805661
TI - Expression of tumor suppressor programmed cell death 4 in endometrioid
endometrial carcinomas and clinicopathological significance.
AB - Programmed cell death 4 (PDCD4), as a novel tumor suppressor, serves important
roles in the pathogenesis of tumors. The expression of PDCD4 is downregulated or
lost in various human tumors. However, the expression of PDCD4 in endometrial
cancer and the clinicopathological significance remain unclear. The aim of the
present study was to investigate the expression of PDCD4 in endometrioid
endometrial carcinoma (EEC) and the association with clinicopathological
parameters. The expression of PDCD4 in EEC tissues and control endometrium was
detected by reverse transcription-quantitative polymerase chain reaction, western
blotting and immunohistochemistry. PDCD4 expression was also investigated in
control endometrial glandular epithelial cells and the endometrial cancer KLE
cell line by immunocytochemistry, and the association between PDCD4 expression
and clinicopathological parameters of patients with EEC was analyzed. The results
demonstrated that PDCD4-positive staining was mainly located in the cytoplasm of
endometrial glandular epithelial cells and EEC cells. The staining index of PDCD4
in the proliferative phase was significantly increased compared with that in the
secretory phase of control endometrium (P<0.001). There was significantly
decreased PDCD4 expression in grade (G) 2/3 EEC tissues compared with the
proliferative phase of control endometrium (P<0.001). PDCD4 expression was
significantly associated with tumor grade. The PDCD4 levels in G1 EEC tissues
were higher compared with the G2/3 EEC group (P<0.01). The results indicated that
PDCD4 is associated with the histological grade of EEC, and that PDCD4 may be a
valuable indicator of the degree of tumor malignancy in patients with EEC.
PMID- 29805662
TI - Downregulation of ROS1 enhances the therapeutic efficacy of arsenic trioxide in
acute myeloid leukemia cell lines.
AB - The present study investigated the function of ROS proto-oncogene 1 receptor
tyrosine kinase (ROS1) in regulating the migration and proliferation of acute
myeloid leukemia (AML) cells through Wnt/beta-catenin signaling, and in arsenic
trioxide (ATO) treatment. The migration and proliferation of multiple ROS1
silenced leukemic cell lines was assessed, and the expression levels of proteins
associated with Wnt/beta-catenin signaling were determined using western blot
analysis. Compared with the AML control cells, ROS1-knockdown cells exhibited
increased migration and proliferation, and the significant downregulation of beta
catenin expression. Additionally, ROS1 knockdown sensitized AML cells to the
effects of chemotherapeutic ATO. The results of the present study demonstrated
that, in leukemic cell lines, ROS1 counteracted the effects of ATO on migration
and proliferation, suggesting that ROS1 may be a potential therapeutic target in
patients with AML undergoing ATO treatment. The results of the present study
provided novel insight into the function of ATO and ROS1 in regulating AML
progression.
PMID- 29805663
TI - Predictive value of gene methylation for second recurrence following surgical
treatment of first bladder recurrence of a primary upper-tract urothelial
carcinoma.
AB - The clinical relevance of aberrant DNA promoter methylation is being increasingly
recognized in urothelial carcinoma. The present study was conducted to explore
the methylation status of patients with upper-tract urothelial carcinoma (UTUC)
who experienced bladder recurrence, and to evaluate the predictive value of gene
methylation for second bladder recurrence and tumor progression. A total of 85
patients with primary UTUC, who experienced bladder recurrence after radical
nephroureterectomy, were enrolled between January 2001 and December 2013. Using
methylation-sensitive polymerase chain reaction, the promoter methylation
statuses of 10 genes were analyzed in the bladder tumor specimens. Among the
patient group, 32 patients experienced second bladder recurrence, and bladder
progression was detected in 16. With the exception of BRCA1, the methylation rate
of the majority of genes tended to gradually increase to varying extents with the
number of recurrences; a smaller proportion of primary tumors exhibited gene
methylation when compared with the first recurrent tumors and second recurrent
tumors. Univariate and multivariate Cox regression analyses revealed that
unmethylated GDF15 [hazard ratio (HR)=0.36; 95% confidence interval (CI), 0.14
0.92] and methylated VIM (HR=2.91; 95% CI, 1.11-7.61) in the first recurrent
bladder tumor, as well as male gender (HR=2.28; 95% CI, 1.06-4.87), first
recurrence interval <8 months (HR=2.34; 95% CI, 1.15-4.78) and primary UTUC tumor
size >=5 cm (HR=3.48; 95% CI, 1.43-8.45) were independent risk factors for a
second bladder recurrence after surgery for the first bladder recurrence; the
Harrell's concordance index (c-index) for the related nomogram was 0.71 (95% CI:
0.61-0.81). Furthermore, methylated CDH1 (HR=2.91; 95% CI, 1.08-7.77) and VIM
(HR=4.91; 95% CI, 1.11-21.7) in the first recurrent bladder tumor, male gender
(HR=3.6; 95% CI, 1.1-11.73), and primary tumor stage T2-T4 (HR=4.57; 95% CI, 1.22
17.13), multifocality (HR=3.64; 95% CI, 1.19-11.16) and size >=5 cm (HR=3.1; 95%
CI, 1.91-10.54) for the primary UTUC were considered to be predictors of tumor
progression; the c-index for the nomogram was 0.88 (95% CI, 0.69-0.92). The
present findings demonstrated that promoter methylation of cancer-related genes
was frequently observed in patients with urothelial carcinoma, and that the gene
methylation rate of certain genes tended to gradually increase with the number of
bladder recurrences. This may be used as a predictive factor for a second bladder
recurrence and tumor progression after the surgical treatment of the first
bladder recurrence.
PMID- 29805664
TI - Hematopoietic lineage cell-specific protein 1 immunoreactivity indicates an
increased risk of poor overall survival in patients with ovarian carcinoma.
AB - Hematopoietic lineage cell-specific protein 1 (HS1) is a 75-kDa intracellular
protein that is expressed primarily in hematopoietic cells. Several previous
studies have demonstrated the association between HS1 expression and a poor
prognosis in hematopoietic malignancies; however, in solid tumors, no studies not
been reported. The present study examined the distribution and expression of HS1
in human epithelial ovarian carcinoma (EOC) to determine its clinical
significance. Paraffin sections were obtained from EOC tissues and immunostained
with HS1 antibody, and then the staining intensities were evaluated. Overall
survival (OS) was determined using the Kaplan-Meier estimator method, and
multivariate analysis was performed using the Cox proportional hazards analysis.
In total, 195 patients with EOC (median age, 56 years) were enrolled into the
present study. HS1 immunoreactivity was categorized based on expression levels:
Low (89/195; 45.6%) and high (106/195; 54.4%). Results demonstrated no
association between expression level(s) and any clinicopathological parameter
including age, International Federation of Gynecology and Obstetrics (FIGO)
staging, type of chemotherapy or type of surgery received. The 5-year OS rates of
patients who demonstrated low (n=89) and high (n=106) HS1 expression were 90.4
and 66.7%, respectively. The OS times for patients with high HS1 expression were
significantly shorter compared with those for patients exhibiting low HS1
expression (P=0.0065). Results obtained from the multivariate analysis
demonstrated that the FIGO stage and the amount of HS1 expressed were significant
independent prognostic markers for poorer OS (hazard ratio, 3.539; 95% confidence
interval, 1.221-12.811; P=0.0187). High HS1 expression levels may serve as a
useful biomarker in patients with EOC who are likely to exhibit an unfavorable
clinical outcome.
PMID- 29805666
TI - Enhancer of zeste homolog 2 blockade by RNA interference is implicated with
inhibited proliferation, invasion and promoted apoptosis in endometrial
carcinoma.
AB - Endometrial carcinoma is the most common gynecological malignancy of the female
genital tract worldwide (2012). Enhancer of zeste homolog 2 (EZH2), a critical
component of the polycomb repressive complex 2, has been found to be associated
with multiple biological processes and is overexpressed in multiple types of
cancer. Previous studies have demonstrated that EZH2 is associated with
endometrial carcinoma. The present study investigated the expression and biology
function of EZH2 in endometrial cancer (EC). It was found that EZH2 levels were
markedly increased in endometrial cancer tissues compared with that in adjacent
normal tissues. EZH2 was significantly overexpressed in 3 separate endometrial
cancer cell lines (Ishikawa, RL95-2 and HEC1-A) when compared with the normal
endometrial cell line ESC. Additionally, small interfering RNA was used to
investigate the role of EZH2 in endometrial carcinoma cell proliferation, and the
results showed that EZH2 knockdown suppressed the proliferation of endometrial
carcinoma cells in vitro. Furthermore, EZH2 knockdown induced apoptosis of human
EC cells by promoting the expression of pro-apoptosis protein caspase 3, caspase
9, BCL2 associated X and decreasing the expression of anti-apoptosis protein Bcl
2. Finally, the present study demonstrated that EZH2 knockdown suppressed the
invasion of EC cells through downregulation of the epithelial-mesenchymal
transition. Collectively, these data demonstrate that EZH2 is frequently
overexpressed in EC cells and its overexpression is associated with promoting the
proliferation and invasion and decreasing the apoptosis of EC cells, suggesting
that EZH2 may provide potential therapeutic targets for treatment of endometrial
carcinoma.
PMID- 29805665
TI - Multicenter cross-sectional screening of the BRCA gene for Chinese high
hereditary risk breast cancer populations.
AB - Due to lack of systematic reviews, BRCA, DNA Repair Associated (BRCA) mutations
in the Chinese population are not completely understood. The following study
investigates the prevalence and type of BRCA mutations in Chinese patients with
high hereditary risk of breast cancer (BC). Patients Drwere recruited from 14
cities between October 2015 and February 2016, and were selected based on family
and personal medical history. BRCA mutations were analyzed by collecting blood
samples from all participants. 437 BC patients were included. A total of seventy
six (17.4%) mutation carriers were identified with no geographic difference. The
mutation rate in the early-onset BC patients was lower compared to family history
of breast/ovarian cancer (OC), bilateral BC, male BC, BC&OC or meeting >=2
criteria (9.2 vs. 21.7, 24.0, 22.2, 16.7 and 24.3%, respectively, P=0.007). A
total of 61 mutation sites were identified (BRCA1 32, BRCA2 29) including 47.5%
novel sites and extra 10 variants of uncertain significance. A total of five
sites were repeated in more than one unrelated patient. A total of 11 sites were
associated with hereditary breast and ovarian cancer syndrome, two of which were
confirmed by family pedigrees. Compared with BRCA- patients, patients with BRCA1
mutation tended to be triple-negative BC (P<0.001), whereas patients with BRCA2
mutation were more likely to be hormone receptor positive BC (P=0.02). The
present study provides a general BRCA mutation profile in the Chinese population.
The prevalence of BRCA mutation in BC patients with high hereditary risk is lower
compared with Western populations. Chinese mutation type is different with
Western people, without obvious founder mutation.
PMID- 29805667
TI - Prostate cancer cell proliferation is suppressed by microRNA-3160-5p via
targeting of F-box and WD repeat domain containing 8.
AB - MicroRNAs (miRNAs/miRs), which are endogenous non-coding single-stranded RNAs 19
25 nucleotides in length, regulate gene expression by blocking translation or
transcription repression. The present study revealed that miR-3160-5p was widely
expressed in prostate cancer cells by reverse transcription-quantitative
polymerase chain reaction. There was a negative association between the
expression of miR-3160-5p and F-box and WD repeat domain containing 8 (Fbxw8) in
prostate cancer DU145 cells. A luciferase activity assay was used to verify that
Fbxw8 is the target of miR-3160-5p. In the present study, using MTT assay and
cell cycle analysis, it was demonstrated that DU145 cell proliferation was
repressed and the cell cycle was arrested in the G2/M cell cycle phase with
upregulation of miR-3160-5p. Subsequent studies demonstrated that miR-3160-5p
regulated the progression of the cell cycle in DU145 prostate cancer cells when
the expression levels of phosphorylated cell division cycle (CDC)2, CDC25C and
cyclin B1 were directly inhibited. Taken together, these findings revealed the
mechanism underlying the role of miR-3160-5p in regulating the proliferation of
DU145 cells and indicated that miR-3160-5p may serve as a promising novel
therapeutic tool for prostate cancer.
PMID- 29805668
TI - Expression of metastasis-associated lung adenocarcinoma transcript 1 long non
coding RNA in vitro and in patients with non-small cell lung cancer.
AB - The present study aimed to investigate the association between the expression of
metastasis-associated lung adenocarcinoma transcript 1 (MALAT1) long non-coding
RNA (lncRNA) and the recurrence of non-small cell lung cancer (NSCLC) and to
elucidate the potential mechanisms of MALAT1 in vitro. Between 1 June 1, 2010 and
December 30, 2016, NSCLC tumor tissues and adjacent non-cancerous tissues were
obtained from 120 patients with NSCLC, who had undergone surgical resection at
Taizhou Hospital of Wenzhou Medical University (Linhai, China). The total RNA of
tissues and cells were extracted and the expression of MALAT1 was determined
using a wound healing assay and reverse transcription quantitative polymerase
chain reaction. In addition, MALAT1 expression in A549 cells was silenced using
small interfering RNA. The proliferation, migration and invasion of cells were
then assessed using a CellTiter 96 kit and Transwell assays. MALAT1 expression
was significantly increased in NSCLC samples compared with expression in adjacent
non-cancerous tissues. Furthermore, the expression of MALAT1 in patients with
NSCLC that exhibited recurrence was markedly higher than in those that did not.
The results of the present study also demonstrated significant associations
between high expression of MALAT1 and female sex, Tumor-Node-Metastasis advanced
stage, vessel invasion, pathological differentiation and recurrence of patients
with NSCLC. The proliferative, migratory and invasive abilities of MALAT1
silenced A549 cells were significantly decreased compared with those of control
cells. MALAT1 expression was significantly increased in NSCLC tissues and was
revealed to serve a role in the progression of NSCLC.
PMID- 29805669
TI - Oldhamianoside II inhibits prostate cancer progression via regulation of EMT and
the Wnt/beta-catenin signaling pathway.
AB - Oldhamianoside II is a novel triterpenoidsaponin that can be isolated from the
roots of Gypsophila oldhamiana. In vitro and in vivo experiments have revealed
that it inhibits tumor growth and metastasis in various types of tumor; however,
the exact mechanism remains to be fully elucidated. In the present study,
oldhamianoside II treatment in prostate cancer cells exerted substantial
anticancer activity, including decreased cell proliferation and invasion.
Mechanistically, oldhamianoside II was found to reverse the epithelial
mesenchymal transition (EMT), as demonstrated by its induction of E-cadherin and
suppression of vimentin and N-cadherin at the mRNA and protein levels.
Furthermore, oldhamianoside II treatment upregulated Wnt antagonist expression
and promoted the proteasome-mediated degradation of beta-catenin to inhibit the
activity of beta-catenin signaling. In summary, the present study revealed that
oldhamianoside II exerts its antitumor effects via the regulation of EMT and beta
catenin function, and further supports its potential for use in clinical
treatment.
PMID- 29805670
TI - MIIP gene expression is associated with radiosensitivity in human nasopharyngeal
carcinoma cells.
AB - The present study aims to investigate the radiosensitization effect of the
migration and invasion inhibitory protein (MIIP) gene on nasopharyngeal carcinoma
(NPC) cells. The MIIP gene was transfected into NPC 5-8F and CNE2 cells. The
level of MIIP was analyzed by quantitative reverse transcription-polymerase chain
reaction analysis and western blot. The changes in radiosensitivity of the cells
were analyzed by colony formation assay. The changes in cell apoptosis and cycle
distribution following irradiation were detected by flow cytometry. The
expression of BCL2 associated X, apoptosis regulator/B-cell lymphoma 2 was
evaluated using western blot. DNA damage was analyzed by counting gamma-H2AX
foci. The expression levels of gamma-H2AX were evaluated by immunofluorescence
and western blot. In a previous study by the authors, the results indicated that
the expression of MIIP gene evidently increased in MIIP-transfected 5-8F (5-8F
OE) and MIIP-transfected CNE2 (CNE2 OE) cells compared with the parental or
negative control cells. In the present study, the survival rate of 5-8F OE and
CNE2 OE cells markedly decreased following irradiation (0, 2, 4, 6 and 8 Gy)
compared with the negative control (5-8F NC and CNE2 NC) and the untreated (5-8F
and CNE2) groups. The expression of MIIP was able to increase apoptosis, which
resulted in G2/M cell cycle arrest and DNA damage repair was attenuated in 5-8F
and CNE2 cells following irradiation as measured by the accumulation of gamma
H2AX. It was indicated that MIIP expression is associated with the
radiosensitivity of NPC cells and has a significant role in regulating cell
radiosensitivity.
PMID- 29805671
TI - Regulatory interactions between long noncoding RNA LINC00968 and miR-9-3p in non
small cell lung cancer: A bioinformatic analysis based on miRNA microarray, GEO
and TCGA.
AB - Long non-coding RNAs (lncRNAs) have been demonstrated to mediate carcinogenesis
in various types of cancer. However, the regulatory role of lncRNA LINC00968 in
lung adenocarcinoma remains unclear. The microRNA (miRNA) expression in LINC00968
overexpressing human lung adenocarcinoma A549 cells was detected using miRNA
microarray analysis. miR-9-3p was selected for further analysis, and its
expression was verified in the Gene Expression Omnibus (GEO) database. In
addition, the regulatory axis of LINC00968 was validated using The Cancer Genome
Atlas (TCGA) database. Results of the GEO database indicated miR-9-3p expression
in lung adenocarcinoma was significantly higher compared with normal tissues.
Functional enrichment analyses of the target genes of miR-9-3p indicated protein
binding and the AMP-activated protein kinase pathway were the most enriched Gene
Ontology and KEGG terms, respectively. Combining target genes with the correlated
genes of LINC00968 and miR-9-3p, 120 objective genes were obtained, which were
used to construct a protein-protein interaction (PPI) network. Cyclin A2 (CCNA2)
was identified to have a vital role in the PPI network. Significant correlations
were detected between LINC00968, miR-9-3p and CCNA2 in lung adenocarcinoma. The
LINC00968/miR-9-3p/CCNA2 regulatory axis provides a new foundation for further
evaluating the regulatory mechanisms of LINC00968 in lung adenocarcinoma.
PMID- 29805673
TI - Anesthetic drug propofol inhibits the expression of interleukin-6, interleukin-8
and cyclooxygenase-2, a potential mechanism for propofol in suppressing tumor
development and metastasis.
AB - Previous studies have indicated that anesthesia-associated drugs may directly
inhibit cellular immunity and humoral immunity, which may be associated with
tumor recurrence. The present study demonstrated that propofol may suppress the
proliferation of MCF-7 cells and inhibit the expression of interleukin (IL)-6 and
IL-8. Subsequent to treatment with propofol, MCF-7 cells demonstrated
downregulated cyclooxygenase-2 (COX-2) protein expression and decreased levels of
vascular endothelial growth factor and prostaglandin E2 in the supernatant.
Therefore, the mechanism of propofol in suppressing tumor development and
metastasis may be associated with the inhibition of IL-6, IL-8 and COX-2.
PMID- 29805672
TI - Combined overexpression of cadherin 6, cadherin 11 and cluster of differentiation
44 is associated with lymph node metastasis and poor prognosis in oral squamous
cell carcinoma.
AB - Oral squamous cell carcinoma (OSCC) is a highly invasive lesion that frequently
metastasizes to the cervical lymph nodes and is associated with a poor prognosis.
Several adhesion factors, including cadherin 6 (CDH6), cadherin 11 (CDH11) and
cluster of differentiation 44 (CD44), have been reported to be involved in the
invasion and metastasis of multiple types of cancer. Therefore, the aim of the
present study was to determine the expression of CDH6, CDH11 and CD44 in tumor
tissues from patients with OSCC, and whether this was associated with the
metastasis and survival of OSCC. The mRNA expression of the human tumor
metastasis-related cytokines was examined by reverse transcription-quantitative
polymerase chain reaction (RT-qPCR) in OSCC tumors with or without lymph node
metastasis (n=10/group). The expression of CDH6, CDH11 and CD44 in 101 OSCC and
10 normal oral mucosa samples was examined by immunohistochemical staining. The
association between overall and disease-specific survival times of patients with
OSCC and the expression of these three proteins was evaluated using Kaplan-Meier
curves and the log-rank test. RT-qPCR results indicated that the mRNA expression
of CDH6, CDH11 and CD44 was increased in OSCC patients with lymph node metastasis
(2.93-, 2.01- and 1.92-fold; P<0.05). Overexpression of CDH6, CDH11 and CD44 was
observed in 31/35 (89%), 25/35 (71%) and 31/35 (89%) patients, respectively. The
number of OSCC patients with lymph node metastasis exhibiting CDH6, CDH11 and
CD44 overexpression was significantly higher than the number of patients without
lymph node metastasis exhibiting overexpression of these proteins (P=0.017,
P=0.038 and P=0.007, respectively). OSCC patients with high co-expression of
CDH6, CDH11 and CD44 exhibited lower disease-specific survival times (P=0.047;
chi2=3.933) when compared with OSCC patients with low co-expression of these
adhesion factors. CDH6, CDH11 and CD44 serve important roles in OSCC metastasis
and the combined use of these factors as biomarkers may improve the accuracy of
the prediction of cancer metastases and prognosis.
PMID- 29805674
TI - Terminally differentiated CD8+ T cells and CD57-FOXP3+CD8+ T cells are highly
associated with the efficacy of immunotherapy using activated autologous
lymphocytes.
AB - Treatment with activated autologous lymphocytes (AALs) has demonstrated mixed
results for cancer treatment. Preliminary results revealed that the proportion of
cluster of differentiation (CD)8+CD57+ T cells is significantly increased in
AALs, indicating that they are able to determine treatment outcome. Therefore,
the role of CD8+CD57+ T cells in AAL efficacy was investigated. T lymphocytes
were isolated from 35 patients with stage IV gastric carcinomas (17 men and 18
women; aged 41-84 years) receiving immunotherapy using AALs (IAAL). Using
fluorescence activated cell sorting, CD8, CD27, CD57, and forkhead box protein 3
(FOXP3) expression was investigated on CD8+ T cell populations in CD8+ T cell
differentiation prior to and following in vitro culture. The association between
these populations and progression-free survival (PFS) was analyzed using Cox
univariate, and multivariate analyses and Kaplan-Meier survival analysis. CD57
expression was negative in early-differentiated CD8+ T cells (CD27+CD8+CD57-),
and positive in intermediate- (CD27+CD8+CD57+) and terminal- (CD27-CD8+CD57+)
differentiated CD8+ T cells. Univariate analysis revealed a significant
association between terminal-CD8+ T cells and longer PFS times (P=0.035), whereas
CD57-FOXP3+CD8+ T cells were associated with shorter PFS times. Multivariate
analysis revealed that CD57-FOXP3+CD8+ T cells was an independent poor prognostic
factor, whereas CD57+FOXP3+CD8+ T cells were not associated with PFS. Although
IAAL increased the proportion of terminal-CD8+ T cells relative to the pre
culture proportions, patients with a high CD57-FOXP3+CD8+ T cell percentage
exhibited repressed terminal-CD8+ T cell induction, leading to poor patient
prognosis. Terminally differentiated CD27-CD8+CD57+ T cells were responsible for
the effectiveness of AALs; however, CD57-FOXP3+CD8+ T cells abrogated their
efficacy, possibly by inhibiting their induction.
PMID- 29805675
TI - Dioscin suppresses the viability of ovarian cancer cells by regulating the VEGFR2
and PI3K/AKT/MAPK signaling pathways.
AB - Diosgenin is a natural steroidal saponin that is extracted from a range of
sources, including from fenugreek. It is a critical raw material in the synthesis
of steroid hormone drugs, exhibiting antitumor, anti-inflammatory, antioxidation
and a number of other significant pharmacological actions, possessing high
pharmaceutical value. The aim of the present study was to investigate the effects
of dioscin suppression on ovarian cancer cell growth and the mechanism of
apoptosis induction by dioscin in ovarian cancer cells. The results of the
present study demonstrated that dioscin decreased viability and induced apoptosis
in SKOV3 human ovarian cancer cells in a dose-dependent manner. Dioscin
significantly increased caspase-3 and caspase-9 activity, and increased the
protein expression of Bax and cleaved poly(ADP-ribose) polymerase in SKOV3 cells.
In addition, dioscin significantly suppressed vascular endothelial growth factor
receptor (VEGFR)2, phosphoinositide 3-kinase (PI3K), phosphorylated AKT and
phosphorylated p38 mitogen-activated protein kinase (MAPK) protein expression in
SKOV3 cells. Taken together, to the best of our knowledge, the present study
demonstrated for the first time that dioscin suppresses cell viability in ovarian
cancer cells by regulating the VEGFR2 and PI3K/AKT/MAPK signaling pathways.
PMID- 29805676
TI - Long non-coding RNA BRAF-regulated lncRNA 1 promotes lymph node invasion,
metastasis and proliferation, and predicts poor prognosis in breast cancer.
AB - Long non-coding RNAs (lncRNAs) are primary regulators of cancer development via
their involvement in almost every aspect of cell biology. Recent studies have
indicated that lncRNAs serve pivotal roles in breast cancer (BC) progression;
however, to the best of our knowledge, the role of the lncRNA BRAF-regulated
lncRNA 1 (BANCR) in BC has not yet been elucidated. The present study revealed
that BANCR was overexpressed in BC cell lines and tissues, and could promote the
clinical progression of disease, including increases in tumor size, lymph node
metastasis and Tumor-Node-Metastasis stage. Furthermore, high BANCR expression
was demonstrated to be associated with poor overall survival rates and early
recurrence of BC in patients. Additionally, univariate and multivariate COX
regression analyses identified high BANCR expression as an independent risk
factor of poor prognosis of patients with BC. In addition, to verify the function
of BANCR in BC cell lines, BANCR expression was silenced using short hairpin RNAs
in MDA-MB-231 cells and overexpressed in MDA-MB-468 cells. An MTT assay and
colony formation assay indicated that BANCR knockdown could suppress the
proliferation of BC cells, whereas BANCR upregulation induced the proliferation
of BC cells. Furthermore, BANCR silencing also reduced the migration and invasion
of BC cells, as demonstrated via transwell migration and invasion assays.
Consistently, the migration and invasion of BC cells increased upon BANCR ectopic
overexpression in MDA-MB-468 cells. Mechanistically, matrix metallopeptidase 2/9
and epithelial-mesenchymal transition markers may be the potential targets of
BANCR in regulating BC metastasis. In conclusion, BANCR overexpression could
promote the clinical progression, metastasis and proliferation of BC and indicate
poor prognosis of patients with BC. BANCR may therefore be a potential prognostic
marker and therapeutic target of patients with BC.
PMID- 29805677
TI - Tudor-staphylococcal nuclease regulates the expression and biological function of
alkylglycerone phosphate synthase via nuclear factor-kappaB and microRNA-127 in
human glioma U87MG cells.
AB - Glioma is one of the malignant tumor types detrimental to human health;
therefore, it is important to find novel targets and therapeutics for this tumor.
The downregulated expression of Tudor-staphylococcal nuclease (SN) and
alkylglycerone phosphate synthase (AGPS) can decrease cancer malignancy, and the
overexpression of them can the increase viability and migration potential of
various tumor cell types; however, the role of AGPS in the proliferation and
migration of glioma, and the association of Tudor-SN and AGPS in human glioma is
not clear. In the present study, it was determined that AGPS silencing suppressed
the proliferation and migration potential of glioma U87MG cells, and suppressed
the expression of the circular RNAs circ-ubiquitin-associated protein 2, circ
zinc finger protein 292 and circ-homeodomain-interacting protein kinase 3, and
the long non-coding RNAs H19 imprinted maternally expressed transcript (non
protein coding), colon cancer-associated transcript 1 (non-protein coding) and
hepatocellular carcinoma upregulated long non-coding RNA. Furthermore, Tudor-SN
silencing suppressed the expression of AGPS; however, nuclear factor (NF)-kappaB
and microRNA (miR)-127 retrieval experiments partially reduced the expression of
AGPS. Additionally, it was determined that Tudor-SN silencing suppressed the
activity of the mechanistic target of rapamycin (mTOR) signaling pathway, and NF
kappaB and miR-127 retrieval experiments partially reduced the activity of mTOR.
Therefore, it was considered that NF-kappaB and miR-127 may be the mediators of
Tudor-SN-regulated AGPS via the mTOR signaling pathway. These results improve on
our knowledge of the mechanisms underlying Tudor-SN and AGPS in human glioma.
PMID- 29805678
TI - Inhibition of growth hormone receptor by Somavert reduces expression of GPER and
prevents growth stimulation of triple-negative breast cancer by 17beta-estradiol.
AB - Currently, conventional chemotherapy is the only treatment option for triple
negative breast cancers (TNBC) due to a lack of a unique target. In TNBC, a high
expression of the membrane bound G protein-coupled estrogen receptor (GPER),
correlates with a worse outcome. There is a potential for an association between
growth hormone receptor (GHR) and GPER expression. To confirm this hypothesis,
GHR was inhibited in TNBC cells with Somavert, and GPER expression levels, and
the effect on signal transduction and proliferation induction in TNBC cells were
analyzed. Proliferation of TNBC cells was measured using an Alamar-blue assay.
Expression of GPER and activation of c-src and epidermal growth factor receptor
(EGFR) by 17beta-estradiol was analyzed by western blotting. Induction of c-fos,
cyclin D1 and aromatase expression was determined by reverse transcription-semi
quantitative polymerase chain reaction. The expression of GPER was concentration-
and time-dependently reduced by Somavert down to 46+/-7% (P<0.01) of the control.
Furthermore, 17beta-estradiol significantly increased the cell number of HCC1806
cells to 128+/-14% (P<0.05), and that of MDA-MB-453 cells to 115+/-3%. This
increase in cell number was reduced to 103+/-11% in HCC1806 cells in which GPER
expression was downregulated by Somavert, and to 102+/-3% in MDA-MB-453 cells. In
addition, 17beta-estradiol increased the activation of c-src in HCC1806 cells by
1.8-fold, and Somavert reduced p-src to 63% of control. In MDA-MB-453 cells src
phosphorylation increased by 7-fold upon stimulation with estradiol, but after
treatment with Somavert only a 4-fold increase was observed. Phosphorylation of
EGFR was increased by 2.2-fold of control in HCC1806 cells by 17beta-estradiol,
and by 1.4-fold in MDA-MD-453 cells. Somavert completely prevented this
activation. Induction of cyclin D1 and aromatase expression by 17beta-estradiol
was also prevented by Somavert. Somavert reduces GPER expression in triple
negative breast cancer cells. Treatment with Somavert prevents induction of genes
regulating proliferation by 17beta-estradiol. Inhibition of GPER expression is a
promising therapeutic intervention for TNBC.
PMID- 29805679
TI - Enhanced anti-melanoma efficacy of interferon alpha-2b via overexpression of ING4
by enhanced Fas/FasL-mediated apoptosis.
AB - Melanoma, is a highly aggressive and the most lethal form of skin cancer, and is
known to be resistant to current therapeutic modalities. Interferon (IFN)-alpha2b
is an immunostimulatory cytokine and is used to treat melanoma by inhibiting
proliferation and promoting apoptosis of cells. However, there is a need to
improve the efficacy of IFN-alpha2b. Inhibitor of growth family member 4 (ING4)
has been reported to function as a tumor suppressor and is involved in regulating
cell cycle progression, apoptosis, cell migration and invasion. Previously
studies have also reported that caspase-3, caspase-8, poly (ADP-ribose)
polymerase (PARP) and Fas/Fas ligand (FasL) pathways are involved in the process
of apoptosis. In the present study, it was investigated whether overexpression of
ING4 is able to enhance IFN-alpha2b response in human melanoma cells. It was
determined that the overexpression of ING4 was able to increase the effects of
IFN-alpha2b, and induce cell death and apoptosis in melanoma cells. Furthermore,
the overexpression of ING4 resulted in decreased expression of PARP, caspase-3
and -8. The expression of cleaved PARP, cleaved caspase-3, cleaved caspase-8, Fas
and FasL was increased in the A375 melanoma cell line. These results demonstrate
that the overexpression of ING4 is able to enhance the anti-melanoma activity of
IFN-alpha2b. These findings provide a potential therapeutic strategy where a
combination of ING4 overexpression and IFN-alpha2b treatment may lead to higher
levels of apoptosis in melanoma cells.
PMID- 29805681
TI - Erratum: Clinical and biological effects of tumor associated lymphocytes in the
presence or absence of chemotherapy for malignant ascites in ovarian cancer
patients.
AB - [This corrects the article DOI: 10.3892/ol.2017.6635.].
PMID- 29805680
TI - Exosome-encapsulated microRNA-223-3p as a minimally invasive biomarker for the
early detection of invasive breast cancer.
AB - Patients diagnosed preoperatively with ductal carcinoma in situ (DCIS) breast
cancer have the potential to develop invasive ductal carcinoma (IDC). The present
study investigated the usefulness of exosome-encapsulated microRNA-223-3p (miR
223-3p) as a biomarker for detecting IDC in patients initially diagnosed with
DCIS by biopsy. The potential association between miR-223-3p and
clinicopathological characteristics was examined in patients with breast cancer.
Exosomes of 185 patients with breast cancer were separated from plasma by
ultracentrifugation. Initially a microRNA (miRNA) microarray was examined to
reveal the invasion specific miRNAs using exosomes collected from 6 patients with
breast cancer, including 3 DCIS patients, 3 IDC patients and 3 healthy controls.
In the miR microarray analysis the miR-223-3p levels of IDC patients demonstrated
the highest fold-change compared with those in the DCIS patients and healthy
controls. The potential of miR-223-3p for cell proliferation and cell invasion
were examined in vitro using MCF7 cells transfected with the miR-223-3p gene.
MCF7 cells transfected with the miR-223-3p gene significantly promoted cell
proliferation and cell invasive ability (P<0.05). The plasma exosomal miR-223-3p
levels of the other 179 patients with breast cancer and 20 healthy controls were
measured using TaqMan miR assays. The exosomal miR-223-3p levels of the patients
with breast cancer were significantly increased compared with the healthy
controls (P<0.01). A statistically significant association was observed between
the exosomal miR-223-3p levels and histological type, pT stage, pN stage,
pathological stage, lymphatic invasion and nuclear grade (P<0.05). The exosomal
miR-223-3p levels of IDC patients (stage I) and upstaged IDC patients (stage I)
were significantly higher compared with the DCIS patients (P<0.05). These results
suggest that exosomal miR-223-3p may be a useful preoperative biomarker to
identify the invasive lesions of DCIS patients diagnosed by biopsy. In addition,
plasma exosome-encapsulated miR-223-3p level was significantly associated with
the malignancy of breast cancer.
PMID- 29805682
TI - Tremella polysaccharides inhibit cellular apoptosis and autophagy induced by
Pseudomonas aeruginosa lipopolysaccharide in A549 cells through sirtuin 1
activation.
AB - In the present study, the role of Tremella polysaccharides in cellular apoptosis
and autophagy induced by Pseudomonas aeruginosa lipopolysaccharide (LPS) in human
epithelial A549 lung-cancer cells was investigated. Initially, it was
demonstrated that LPS attenuated A549 cell viability in a time- and dose
dependent manner. Furthermore, LPS induced apoptotic cell death and autophagy in
A549 cells and increased reactive oxygen species (ROS) production in a time
dependent manner. In addition, LPS treatment was demonstrated to markedly
suppress sirtuin 1 (SIRT1) protein expression in A549 cells. Notably, it was
demonstrated that Tremella polysaccharides activate SIRT1, leading to increased
p62 expression, decreased p53 acetylation and B-cell lymphoma 2-associated X
protein expression, and subsequently attenuate LPS-induced apoptotic cell death
and autophagy. The results of the present study demonstrated that Tremella
polysaccharides activate SIRT1 and inhibit LPS-induced ROS production, apoptosis
and autophagy. This may have critical implications for the treatment of
Pseudomonas aeruginosa infection.
PMID- 29805684
TI - Multi-modality imaging features distinguish pancreatic carcinoma from mass
forming chronic pancreatitis of the pancreatic head.
AB - The present study retrospectively analyzed computerized tomography (CT), magnetic
resonance imaging (MRI) and positron emission tomography-computerized tomography
(PET/CT) data to identify features that may distinguish pancreatic carcinoma (PC)
from mass-forming chronic pancreatitis (MFCP) of the pancreatic head. The mean
diameter of the lesions was larger in the MFCP patients (n=24) than in the PC
patients (n=30; 5.44+/-27 vs. 3.34+/-1.23 cm; P<0.001). PC lesions showed
increased lobulation when compared with the MFCP cases (83.33 vs. 12.5%;
P<0.001). Lesions in the MFCP patients exhibited diffuse and marginally
distributed calcification. MFCP patients showed increased exudation around the
lesion (83.33 vs. 13.33%), pseudocyst formation (58.33 vs. 10%) and thickening of
the right renal fascia (83.33 vs. 13.33%) than in the PC patients. MFCP patients
also exhibited visible remnants of normal pancreatic tissue within the lesions.
MFCP and PC patients could be distinguished by a cutoff value of 4.40 cm for
lesion size [area under the curve (AUC): 0.894; 95% confidence interval (CI):
0.810-0.978)], 21.85 Hu for net-increased value in the arterial phase (AUC,
0.799; 95% CI, 0.670-0.928), 37.70 Hu for net-increased value in the portal phase
(AUC, 0.798; 95% CI, 0.919-0.677), 4.85 for early standardized uptake value (SUV)
of 18F-deoxyglucose (18F-FDG; AUC, 0.934; 95% CI, 0.850-1.018) and 4.90 for
delayed SUV of 18F-FDG (AUC, 0.958; 95% CI, 0.878-1.038). These findings
demonstrated that the integration of data from dynamic contrast-enhanced CT, MRI
and PET/CT imaging may distinguish MFCP from PC.
PMID- 29805683
TI - Screening therapeutic targets of ribavirin in hepatocellular carcinoma.
AB - The objective of the present study was to screen the key genes of ribavirin in
hepatocellular carcinoma (HCC) and provide novel therapeutic targets for HCC
treatment. The mRNA expression datasets of GSE23031 and GSE74656, as well as the
microRNA (miRNA) expression dataset of GSE22058 were downloaded from the Gene
Expressed Omnibus database. In the GSE23031 dataset, there were three HCC cell
lines treated with PBS and three HCC cell lines treated with ribavirin. In the
GSE74656 dataset, five HCC tissues and five carcinoma adjacent tissues were
selected. In the GSE22058 dataset, 96 HCC tissues and 96 carcinoma adjacent
tissues were selected. The differentially expressed genes (DEGs) and
differentially expressed miRNAs were identified via the limma package of R. Gene
Ontology (GO) and Kyoto Encyclopedia of Genes and Genomes (KEGG) pathway
enrichment analysis was performed with the Database for Annotation, Visualization
and Integrated Discovery. The target mRNAs of DEMs were obtained with TargetScan.
A total of 559 DEGs (designated DEG-Ribavirin) were identified in HCC cells
treated with ribavirin compared with PBS and 632 DEGs (designated DEG-Tumor) were
identified in HCC tissues compared with carcinoma adjacent tissues. A total of
220 differentially expressed miRNAs were identified in HCC tissues compared with
carcinoma adjacent tissues. In addition, 121 GO terms and three KEGG pathways of
DEG-Ribavirin were obtained, and 383 GO terms and 25 KEGG pathways of DEG-Tumor
were obtained. A total of five key miRNA-mRNA regulated pairs were identified,
namely miR-183->CCNB1, miR-96->DEPDC1, miR-96->NTN4, miR-183->NTN4 and miR-145
>NTN4. The present study indicated that certain miRNAs (including miR-96, miR-145
and miR-183) and mRNAs (including NAT2, FBXO5, CCNB1, DEPDC1 and NTN4) may be
associated with the effects of ribavirin on HCC. Furthermore, they may provide
novel therapeutic targets for HCC treatment.
PMID- 29805685
TI - Acute pro-B-Cell lymphoblastic leukemia transformed from myelodysplastic syndrome
with an ASXL1 missense mutation: A case report with literature review.
AB - The development of acute lymphoblastic leukemia (ALL) from myelodysplastic
syndrome (MDS) is a very rare event. The current report presents a rare case of a
33-year-old man who was diagnosed with MDS with multiple-lineage dysplasia (MDS
MLD) that transformed into pro-B-ALL. A missense mutation (S1231F) of the
additional sex combs like 1, transcriptional regulator gene was identified, which
may have a substantial role in the progression, however does not act as an
unfavorable prognostic marker. The patient died during induction chemotherapy.
The present study further conducted an analysis on 30 patients to determine
progression to ALL. Patients were predominantly male (76.7%, 23/30) with a median
age of 56 years (3-90 years). The median time to transformation was 5.5 months (2
50 months). The most common type of MDS with ALL transformation comprised of MDS
excess blasts (MDS-EB; 40%, 12/30), MDS with single-lineage dysplasia (MDS-SLD;
30%, 9/30) and MDS with ring sideroblasts (MDS-RS; 16.7%, 5/30). The majority of
the patients transformed to B-cell (66.7%, 16/24) followed by T-cell (33.3%,
8/24) ALL. From the 25 cases where data was available, the complete remission
rate was 75% (15/20) with ALL-directed chemotherapy and the median remission
duration was 15 months (range 4.5 to 51 months). However, the results indicated
that ALL following MDS is characterized by a high rate of early death (20%,
5/25).
PMID- 29805686
TI - KIT, NRAS, BRAF and FMNL2 mutations in oral mucosal melanoma and a systematic
review of the literature.
AB - Oral mucosal melanoma (OMM) is an aggressive malignant tumor derived from
melanocytes in the oral cavity. The genetic etiology of OMM has not been
extensively investigated to date. In the present study, the aim was to detect
novel gene mutations in patients with OMM. Mutation analysis of KIT, BRAF and
NRAS was conducted by polymerase chain reaction. In addition, the relevant
literature was searched using the PubMed database, and previous findings were
compared with the results of the present study. Among the 9 patients with OMM
examined, KIT, BRAF and NRAS mutations were detected, and these mutations were
all observed at a frequency of 11.1% (1/9 patients). Notably, a novel FMNL2
mutation in 2 patients with OMM was identified by exome sequencing. In
conclusion, the current study observed KIT, BRAF, NRAS and FMNL2 mutations in
patients with OMM, which may be of benefit for elucidating the underlying
mechanism of OMM pathogenesis.
PMID- 29805687
TI - Suppression of GRP78 sensitizes human colorectal cancer cells to oxaliplatin by
downregulation of CD24.
AB - Glucose-regulated protein 78 (GRP78) is an endoplasmic reticulum stress signaling
regulator with anti-apoptotic properties. It has been demonstrated to promote
tumor proliferation, survival and metastasis, and to confer resistance against a
large variety of therapies. CD24 is a glycosyl-phosphatidylinositol-anchored
protein, which is known to have a role in tumor progression, particularly in
colorectal cancer (CRC). In the present study, oxaliplatin (L-OHP) was
demonstrated to decrease the expression of CD24 in HT29 cells. Knockdown of CD24
using small interfering RNA resulted in sensitization of HT29 cells to L-OHP. By
contrast, overexpression of CD24 rendered SW480 cells resistant to L-OHP, which
indicated that CD24 antagonized L-OHP-induced cytotoxicity. A co
immunoprecipitation assay revealed that GRP78 physically associates with CD24. L
OHP suppresses the expression of GRP78 and CD24, in part come from the inhibition
of interaction between the two. Suppression of GRP78 caused downregulation of
CD24 expression and enhanced L-OHP-induced CD24 inhibition. Furthermore, down
regulation of GPR78 with a pharmacological inhibitor sensitized the CRC cells to
L-OHP. Collectively, the present results indicate that CD24 antagonizes L-OHP
induced cytotoxicity and that GRP78 is involved in this process. A novel
mechanism via which CRC cells acquire resistance to L-OHP was thereby revealed.
Use of a combination of compounds which suppress GRP78 may help to improve the
effectiveness of L-OHP in the treatment of CRC.
PMID- 29805689
TI - Effects of valproic acid on the susceptibility of human glioma stem cells for TMZ
and ACNU.
AB - To investigate the effect of valproic acid (VPA) on the susceptibility of glioma
stem cells to temozolomide (TMZ) and nimustine (ACNU), the O6-methylguanine-DNA
methyltransferase (MGMT) promoter methylation and its expression of MGMT were
examined. A total of 3 glioma cell populations were isolated from human glioma
tissues, and immunocytochemistry was used to detect the expression of MGMT. VPA
inhibition on the growth of the 3 glioma cell populations exposed to various
concentrations of TMZ and ACNU was evaluated. Flow cytometry was applied to
detect the apoptosis of glioma cells, and a methylation-specific polymerase chain
reaction was used to identify methylation of MGMT promoter. Immunocytochemistry
results indicated that MGMT was negatively expressed in the G1 population, but
positively expressed in the G2 and G3 populations. Cell growth inhibition assays
demonstrated that the survival rate in the VPA + TMZ or ACNU groups was decreased
compared with that of the TMZ or ACNU alone groups (P<0.05). As for the apoptotic
rate, those in the VPA alone group were increased compared with the control group
(P<0.05), and the rates in the VPA + TMZ or ACNU groups were increased compared
with TMZ or ACNU alone groups (P<0.05). The expression of MGMT remained negative
in the G1 population following treatment with VPA, but MGMT expression became
negative in the 2 MGMT-positive cell populations (G2 and G3) following VPA
treatment. The MGMT promoter in the G1 population was partially methylated in the
control group, but was fully methylated following VPA treatment, while the
promoters of G2, G3 were unmethylated in the control group and became partially
methylated in the VPA treatment group. Taken together, TMZ and ACNU may suppress
the growth of glioma stem cells in vitro in a dose-dependent manner. VPA may
enhance the inhibitory effects of various concentrations of TMZ and ACNU on the
growth of MGMT-negative/positive cells, particularly on MGMT-positive cell
populations. VPA itself may induce the apoptosis of glioma cells, and VPA
combined with TMZ or ACNU may enhance TMZ/ACNU-induced apoptosis of glioma stem
cells. Furthermore, VPA may also promote the methylation of the MGMT promoter to
silence MGMT expression in glioma cells, which may be an important mechanism
through which VPA enhances the efficacy of TMZ and ACNU in targeting glioma stem
cells.
PMID- 29805688
TI - Silibinin induces G1 arrest, apoptosis and JNK/SAPK upregulation in SW1990 human
pancreatic cancer cells.
AB - The aim of the present study was to investigate the inhibitory effect of
silibinin on SW1990 pancreatic cancer cells. An MTT assay following silibinin
treatment demonstrated an inhibitory effect on AsPC-1 and SW1990 cells in a dose-
and time-dependent manner. Propidium iodide staining analysis identified the cell
cycle arrest of G1 phase and western blotting analysis demonstrated that the
expression levels of cyclin D1, cyclin E2, cyclin A and cyclin B1 were decreased.
The expression of G1-associated cell cycle-dependent kinases, cyclin-dependent
kinase (CDK)4 and CDK6, were also decreased, whereas the expression of p15
(p15INK4B) was increased. In addition, after SW1990 cells were incubated with
various concentrations of silibinin, early and late apoptotic cells were detected
using flow cytometry. Silibinin increased the activities of caspase-9 and caspase
3, and subsequent cleavage of poly (ADP-ribose) polymerase (PARP) was also
observed. The expression levels of B-cell lymphoma (Bcl)-2, Bcl-2-like 1 and
myeloid cell leukemia 1 were decreased, whereas the expression of Bcl-like
protein 4 did not alter and the expression levels of Bcl-2-like 1 small and Bcl-2
like protein 11 were increased. The expression levels of c-Jun N-terminal kinase
(JNK) and phospho-JNK were also increased. In conclusion, silibinin inhibited
cell proliferation, induced cell cycle G1 arrest via upregulating p15INK4B and
induced mitochondrial apoptosis via upregulating JNK/stress-activated protein
kinase (SAPK) signaling pathway in human pancreatic cancer SW1990 cells.
PMID- 29805690
TI - MELK as a potential target to control cell proliferation in triple-negative
breast cancer MDA-MB-231 cells.
AB - Maternal embryonic leucine zipper kinase (MELK) is an important regulator in
tumorigenesis of human breast cancer, and if silenced leads to programmed cell
death in specific breast cancer cell lines, including MDA-MB-231 cells. In the
present study, RNA interference, proliferation assay and semi-quantification of
cell cycle relative proteins were performed to determine the effects of MELK in
human breast cancer cells. Data demonstrated that the highest level of MELK
protein in the MDA-MB-231 cell line among eight breast cancer cell lines. The
sensitivity of MELK small interfering-RNA varied in different breast cancer cell
lines, but MELK silencing resulted in marked suppression of proliferation of
triple-negative breast cancer (TNBC) and non-TNBC cells. Specific silencing of
MELK caused G2 arrest in TNBC MDA-MB-231 and HCC1143 cells, and G1 arrest in non
TNBC T47D and MCF7 cells. Notably, the knockdown of MELK did not induce apoptosis
in HCC1143 cells, indicated by the lack of caspase-3 expression. In addition, in
response to MELK silencing, cyclin B and cyclin D1 were downregulated in four
breast cancer cell lines. Furthermore, the silencing of MELK resulted in the
upregulation of p21, p27 and phosphorylated (p)-c-Jun N-terminal kinase (JNK) in
HCC1143 TNBC cells, and downregulation of p21 and p-JNK in T47D non-TNBC cells.
Additionally, MELK protein was markedly suppressed in non-TNBC cells in response
to estrogen deprivation. The findings from the present study suggested that MELK
may be a potential target in MDA-MB-231 cells, although genetic knockdown of MELK
resulted in inhibitory effects on proliferation of TNBC and non-TNBC cells. MELK
exert its effect on different breast cancer cells via arrest of different cell
cycle phases and therefore mediated by different mediators, which may be involved
in the crosstalk with MELK signaling and with the estrogen receptor signaling
pathway.
PMID- 29805691
TI - Loss of opioid binding protein/cell adhesion molecule-like gene expression in
gastric cancer.
AB - Previous studies have reported that the expression of the opioid binding
protein/cell adhesion molecule-like (OPCML) gene was frequently downregulated in
various of types of cancer. However, little is known regarding the expression of
the OPCML gene in gastric cancer. The present study identified that OPCML was
downregulated in the gastric cancer SGC7901, KATO III, MKN45, MKN74, SNU1, AGS,
N87 and a gastric mucosa cell line GES1, compared with normal gastric tissues by
reverse transcription-quantitative polymerase chain reaction (RT-qPCR). To
investigate whether the downregulation of OPCML was due to promoter
hypermethylation, the methylation of the OPCML promoter was assessed by
methylation-specific polymerase chain reaction. Hypermethylation of the OPCML
promoter was observed in the gastric cancer MKN45 cell lines, but was not as
evident in normal gastric tissue. The methylation inhibitor 5-aza-2'
deoxycytidine was used to remove the methylation of the OPCML gene promoter,
following which the expression of OPCML was restored. In addition, the function
of the OPCML gene was studied in vitro, and it was found that the restoration
expression of OPCML could lead to the suppression of cell growth. In conclusion,
the present study has shown that OPCML, which acts as a tumor suppressor, was
silenced in gastric cancer cell lines via aberrant hypermethylation of the
promoter CpG islands, which may provide a novel molecular approach for the early
diagnosis of gastric cancer.
PMID- 29805692
TI - Mps1 is associated with the BRAFV600E mutation but does not rely on the classic
RAS/RAF/MEK/ERK signaling pathway in thyroid carcinoma.
AB - In previous studies, the B-Raf proto-oncogene, serine/threonine kinase
(BRAF)V600E mutation has been identified in multiple malignant tumors. BRAFV600E
has been revealed to contribute to tumorigenesis by the activation of phospho
mitogen-activated protein kinases (MAPKs) and their downstream Monopolar spindle
1 (Mps1), leading to chromosome euploidy and tumor development. In the present
study, the presence of phospho-MAPK and Mps1 in 161 thyroid carcinoma cases with
complete clinical parameters was analyzed by immunohistochemistry, and the BRAF
mutation was detected by polymerase chain reaction-direct sequencing. It was
revealed that BRAFV600E was present in ~34% of thyroid cancer cases and was
associated with age, clinical tumor stage and lymph node stage. However, the
association of BRAFV600E with overall survival was not statistically significant.
The expression of Mps1 was significantly increased in tumor tissues with
BRAFV600E, however, this did not affect the expression of phospho-MAPK in thyroid
carcinomas. Collectively, the results of the present study suggested that
BRAFV600E may regulate the expression of Mps1 in MAP kinase independent ways in
thyroid carcinoma. Therefore, Mps1 expression is associated with BRAFV600E while
the upstream signaling of phospho-MAPK has no relevance. The specific mechanisms
of BRAFV600E and the unknown pathway associated with Mps1 exhibit potential for
further study, and provide a theoretical basis for the molecular treatment of
thyroid carcinoma.
PMID- 29805693
TI - Effect of CXCR4 silencing with shRNA on MAPK signaling in ovarian cancer.
AB - Our previous study demonstrated that short hairpin RNA (shRNA) targeting of C-X-C
chemokine receptor type 4 (CXCR4) significantly inhibited cell proliferation,
metastasis and invasion. On the basis of these results, the aim of the present
study was to determine the effects of shRNA-CXCR4 silencing on mitogen-activated
protein kinase (MAPK) signaling in human SW626 ovarian cancer cells. Following
silencing the CXCR4 gene with shRNA, the mRNA expression of apoptosis signal
regulating kinase 1 (ASK1) was determined using the reverse transcription
quantitative polymerase chain reaction, whereas the protein expression of
extracellular-signal-regulated kinase (ERK)1/2 and phosphorylated (p)-c-Jun were
determined using immunocytochemistry and western blotting. SW626 cells
transfected with shRNA-CXCR4 exhibited significantly increased ASK1 mRNA
expression (P<0.05), significantly increased p-c-Jun protein expression (P<0.05),
and significantly decreased ERK1/2 protein expression (P<0.05). Silencing the
CXCR4 gene with shRNA significantly inhibited cell proliferation, promoted cell
apoptosis and may be mediated by the MAPK signaling pathway.
PMID- 29805694
TI - Estrogen receptor-alpha36-mediated rapid estrogen signaling regulates 78 kDa
glucose-regulated protein expression in gastric carcinoma cells.
AB - To determine whether estrogen receptor-alpha36 (ER-alpha36) -mediated rapid
estrogen signaling is associated with 78 kDa glucose-regulated protein (GRP78)
expression in gastric cancer, 86 samples of gastric tumor tissue with
corresponding normal and tumor-adjacent tissues were used to examine expression
patterns of GRP78 and ER-alpha36. Immunohistochemistry demonstrated that 55/86
(63.95%) patients with gastric carcinoma, and western blot analysis revealed that
GRP78 was upregulated in 15/20 (75%) of tumor specimens. GRP78 expression was
positively associated with ER-alpha36 expression, the male sex and lymph node
metastasis (P<0.05). Estrogen treatment increased GRP78 and ER-alpha36
expression, as well as GSK-3beta phosphorylation in established gastric cancer
SGC-7901 cells. The steady-state level of GRP78 protein expression and the level
of phosphorylated GSK-3beta at Ser9 were decreased in SGC-7901 cells with ER
alpha36 knockdown. Forced expression of ER-alpha36 in SGC-7901 cells, however,
led to an increase in GRP78 expression and GSK-3beta phosphorylation. It may
therefore be concluded that ER-alpha36-mediated rapid estrogen signaling
positively regulates GRP78 expression, presumably via the GSK-3beta pathway,
which may be associated with gastric carcinogenesis.
PMID- 29805695
TI - Long non-coding RNA ZNF674-1 acts as a cancer suppressor in nasopharyngeal
carcinoma.
AB - Nasopharyngeal carcinoma (NPC) is the most frequently occurring carcinoma of the
head and neck. The complexity of NPC makes it difficult for it to be diagnosed
and treated at an early stage. Certain long non-coding RNAs (lncRNAs) are closely
associated with the carcinogenesis of NPC. In the present study, the expression
of lncRNA ZNF674-1 in NPC tissues and an NPC cell line was analyzed and was
revealed to be downregulated compared with normal tissues and cells. When the
expression of lncRNA ZNF674-1 was reduced in NPC cells, the proliferation,
migration and invasion of these cells was promoted, whereas the apoptosis of
these cells was decreased. On the contrary, when overexpressed, the expression of
lncRNA ZNF674-1 inhibited the proliferation, invasion and migration of cells, but
promoted cell apoptosis. The results of the present study reveal that the lncRNA
ZNF67-1 may restrain the carcinogenesis of NPC, and may also serve as a potential
biomarker for the early diagnosis and treatment of NPC.
PMID- 29805696
TI - Hypothesis: Cancer Is a Disease of Evolved Trade-Offs Between Neoplastic
Virulence and Transmission.
AB - Virulence is defined as the ability of a pathogen to cause morbidity and/or
mortality in infected hosts. The relationship between virulence and
transmissibility is complex; natural selection may promote decreased virulence to
enhance host mobility and increase the probability for transmission, or
transmissibility may be enhanced by increased virulence, leading to higher
pathogen load and, in some cases, superior evasion from host defenses. An
evolutionary trade-off exists between the ability of pathogens to maintain
opportunities for long-term transmission via suppressed virulence and increased
short-term transmission via enhanced virulence. We propose an analogy between
transmissibility and virulence in microbial pathogens and in cancer. Thus, in the
latter case, the outcome of invasive growth and metastasis is analogous to
transmissibility, and virulence is defined by high rates of proliferation,
invasiveness and motility, potential for metastasis, and the extent to which the
cancer contributes to patient morbidity and mortality. Horizontal and vertical
transmission, associated with increased or decreased pathogen virulence
respectively, can also be utilized to model the neoplastic process and factors
that would increase or decrease tumor aggressiveness. Concepts of soft vs. hard
selection and evolutionary game theory can optimize our understanding of
carcinogenesis and therapeutic strategies. Therefore, the language of
transmissibility, horizontal vs. vertical transmission, selection, and virulence
can be used to inform approaches to inhibit tumorigenic progression, and, more
generally, for cancer prevention and treatment.
PMID- 29805697
TI - The clinical impact of Hangeshashinto (TJ-14) in the treatment of chemotherapy
induced oral mucositis in gastric cancer and colorectal cancer: Analyses of
pooled data from two phase II randomized clinical trials (HANGESHA-G and HANGESHA
C).
AB - Background: The current pooled analysis evaluated the efficacy of Hangeshashinto
(TJ-14) in the prevention and/or treatment of chemotherapy-induced oral mucositis
(COM) in gastric cancer and colorectal cancer using two prospective, multi
institutional, randomized, double-blind, placebo-controlled phase II trials.
Patients and Methods: HANGESHA-G and HANGESHA-C randomly assigned patients with
gastric cancer or colorectal cancer who developed moderate to severe COM (grade
>=1) during any cycle of chemotherapy to receive either TJ-14 or a placebo as a
double-blind trial. The patients received a placebo or TJ-14 for four to six
weeks, according to the chemotherapy regimen, from the start of their next course
of chemotherapy. The primary endpoint was the incidence of grade >=2 COM in the
protocol treatment course, and the secondary endpoints were the time to
disappearance of COM and the incidence of adverse events. Results: The pooled
population included 181 patients. The incidence of grade >=2 COM in the TJ-14
group was 55.7% (49 patients), while that in the placebo group was 53.8% (50
patients); there was no significant difference between the two groups (p=0.796).
The median time to remission of grade >=2 COM to grade <1 was 8 days in the TJ-14
group and 15 days in the placebo group (p= 0.072). The hazard ratio was 1.54
[1.02 to 2.31] in favor of TJ-14. Treatment with TJ-14 was associated with
marginally significant reduction in the duration of severe grade >=2 COM in
comparison to patients receiving placebo indicating the effect of TJ-14 in
reducing the severity of COM. Conclusion: The present-pooled analysis showed that
TJ-14 had a treatment effect in gastric cancer and colorectal cancer patients
with COM in comparison to a placebo. Further phase III studies with a larger
sample size are needed to clarify the protective effects of TJ-14 against COM.
PMID- 29805699
TI - A predicting model of bone marrow malignant infiltration in 18F-FDG PET/CT images
with increased diffuse bone marrow FDG uptake.
AB - Purpose: To demonstrate the relationship between the etiologies of increased
diffuse bone marrow (BM) 18F-FDG uptake and PET/CT imaging/clinical features, as
well as to explore a predicting model of BM malignant infiltration (MI) based on
decision tree. Methods: 84 patients with increased diffuse BM uptake were
retrospectively enrolled. Their complete case record and PET/CT images were
reviewed, with the maximal standardized uptake values of bone marrow (SUVmaxBM)
and other imaging/clinical features were noted. At the same time, the differences
in imaging/clinical features between bone marrow MI and non-MI groups were
compared. The decision tree for predicting MI was established by C5.0 component
of SPSS Clementine. Results: In patients with homogenously increased BM uptake,
21 patients had MI resulted from leukemia, lymphoma and small cell lung cancer
(SCLC). MI group had higher SUVmaxBM than non-MI group (6.7+/-3.1 vs 4.2+/-0.9,
p=0.001). However, a considerable proportion of MI patients had similar SUVmaxBM
to non-MI patients, which were mainly seen in lymphoplasmacytic
lymphoma/Waldenstrom macroglobulinemia (LPL/WM), chronic myeloid leukemia (CML)
and multiple myeloma (MM). There were significant differences in other factors
between the two groups. MI patients were highly associated with SUVmaxAP/AX>=1
(the ratio of SUVmaxBM of appendicular skeleton to that of axial skeleton),
hepatosplenomegaly, older age and lower rate of fever. The decision tree
combining SUVmaxBM, SUVmaxAP/AX, fever and hepatosplenomegaly achieved a
sensitivity of 81.0%, a specificity of 98.4% and an accuracy of 94.0% for
predicting MI. Conclusion: Increased diffuse BM 18F-FDG uptake can be attributed
to both bone marrow MI and benign etiologies. A decision tree based on C5.0
algorithm, combining PET/CT imaging and clinical features, is of potential use in
discriminating BM malignant infiltration from patients with increased diffuse BM
uptake.
PMID- 29805698
TI - Monitoring changes in quality of life in patients with lung cancer under
treatment with chemotherapy and co administration of zoledronic acid by using
specialized questionnaires.
AB - Background: Due to the severity of the primary disease in patients with lung
cancer, quality of life (QoL) is often overlooked. Factors that form QoL should
be taken in consideration when planning the appropriate treatment and determining
therapy targets, because of the increasing frequency of bone metastasis leading
to high levels of pain. Purpose of this study is to assess quality of life in
patients with lung cancer, before and after treatment combined with zoledronic
acid. Methods and materials: QoL was assessed in 80 patients (49 males-31
females), of which 45 developed bone metastasis. Prior and post treatment (with
co administration of zoledronic acid) seven reliable scales: Pittsburgh Sleep
Quality index (PSQI), Epworth Sleeping Scale (ess), Dyspnea Scale (ds), Fatigue
Severity Scale (FSS), Brief Pain Inventory (BPI), Fact-G scale for sleep quality
and EQ-5D for general health condition. Results: Statistically positive
correlations were verified between PSQI-DS, PSQI-FSS, BPI-ESS, DS-FSS, DS-BPI and
BPI-FSS (p<0,005) prior and post treatment. Patients sleep quality was improved,
pain levels decreased and betterment in quality of life was marked (p<0,001).
Although significant decrease in fatigue levels was observed (p<0,001) there has
been an increase in dyspnea symptoms (p<0,001). Conclusions: Significant
improvement was apparent when zoledronic acid was co administered in any
treatment in patients with lung cancer. Sleep quality, fatigue and pain
parameters also improved, with no positive impact on the symptoms of dyspnea.
PMID- 29805700
TI - Sorafenib Monotherapy Versus Sorafenib Combined with Regional Therapies for
Hepatocellular Carcinoma Patients with Pulmonary Oligometastases: A Propensity
Score-matched Analysis.
AB - Background: Sorafenib has been recommended as the standard therapy for advanced
HCC with extrahepatic metastasis. The purpose of this retrospective study was to
investigate the difference in overall survival (OS) between treatments with
sorafenib combined with regional therapies versus sorafenib monotherapy in
hepatocellular carcinoma (HCC) patients with pulmonary oligometastases. Methods:
The study retrospectively enrolled 95 consecutive patients who underwent
sorafenib therapy. A combined group (CG) of 40 patients received regional therapy
in addition to sorafenib, and a monotherapy group (MG) of 55 patients received
only sorafenib. OS was the primary endpoint, and time to progression (TTP) was
the secondary endpoint. Subgroup analysis was further performed to evaluate the
differences between the two groups. A propensity score-matched analysis was
performed to overcome the bias. Results: Median OS was significantly longer in
the CG than in the MG (18.37 vs. 7.13 months; P = 0.002). Multivariate analysis
identified three baseline characteristics that were prognostic indicators of OS:
macrovascular invasion, regional therapy, and alpha-fetoprotein. Median TTP was
significantly longer in the CG than in the MG (2.93 vs. 2.23 months; P = 0.004).
Further multivariate analysis showed alpha-fetoprotein, total bilirubin, and
regional therapy as prognostic indicators of TTP. After propensity score
matching, 34 paired patients constituted each group. Patients in the adjusted CG
showed a longer OS and TTP than those in the adjusted MG (OS: 18.37 vs. 7.37
months, P = 0.015; TTP: 3.12 vs. 2.265 months, P = 0.009). Multivariate analysis
showed that combining regional therapies was still a prognostic indicator of OS
(P = 0.01) and TTP (P = 0.001). Conclusions: Sorafenib combined with regional
therapies may be associated with prolonged OS and TTP in HCC patients with
pulmonary oligometastases compared with sorafenib monotherapy.
PMID- 29805701
TI - Alternative splicing events implicated in carcinogenesis and prognosis of
colorectal cancer.
AB - Background: Emerging evidence suggested that aberrant alternative splicing (AS)
is pervasive event in development and progression of cancer. However, the
information of aberrant splicing events involved in colorectal carcinogenesis and
progression is still elusive. Materials and Methods: In this study, splicing data
of 499 colon adenocarcinoma cases (COAD) and 176 rectum adenocarcinoma (READ)
with clinicopathological information were obtained from The Cancer Genome Atlas
(TCGA) to explore the changes of alternative splicing events in relation to the
carcinogenesis and prognosis of colorectal cancer (CRC). Gene interaction network
construction, functional and pathway enrichment analysis were performed by
multiple bioinformatics tools. Results: Overall, most AS patterns were more
active in CRC tissues than adjacent normal ones. We detected altogether 35391 AS
events of 9084 genes in COAD and 34900 AS events of 9032 genes in READ, some of
which were differentially spliced between cancer tissues and normal tissues
including genes of SULT1A2, CALD1, DTNA, COL12A1 and TTLL12. Differentially
spliced genes were enriched in biological process including muscle organ
development, cytoskeleton organization, actin cytoskeleton organization,
biological adhesion, and cell adhesion. The integrated predictor model of COAD
showed an AUC of 0.805 (sensitivity: 0.734; specificity: 0.756) while READ
predictor had an AUC of 0.738 (sensitivity: 0.614; specificity: 0.900). In
addition, a number of prognosis-associated AS events were discovered, including
genes of PSMD2, NOL8, ALDH4A1, SLC10A7 and PPAT. Conclusion: We draw
comprehensive profiles of alternative splicing events in the carcinogenesis and
prognosis of CRC. The interaction network and functional connections were
constructed to elucidate the underlying mechanisms of alternative splicing in
CRC.
PMID- 29805702
TI - The prognosis of neck residue nasopharyngeal carcinoma (NPC) patients: results
from a case-cohort study.
AB - Background: To assess the prognosis of neck residue nasopharyngeal carcinoma
(NPC) patients and the efficacy of neck dissection in the treatment of these
patients. Methods: We recruited 68 neck residue NPC patients. For each neck
residue patient we had three matched NPC patients without neck residue as
controls (n = 204). The primary endpoint was progression-free survival (PFS). The
Cox proportional hazards model was used to calculate hazard ratios (HRs) with 95%
confidence intervals (CIs), and multivariable analysis was used to test the
independent statistical significance of NPC patients. Results: Compared to
controls, the neck residue patients showed significantly lower 3-year PFS (46.7%
vs. 87.6%; P < 0.001). Multivariable analysis showed that neck residue was an
independent prognostic factor for PFS. Conclusions: NPC patients who had
pathologically proven neck residue are associated with poor prognosis. Management
with neck dissection alone seems not to be sufficient for these patients.
PMID- 29805704
TI - Oncoplastic breast reconstruction with omental flap: A retrospective study and
systematic review.
AB - The increasing frequency of early breast cancer cases has driven an increasing
number of patients to choose immediate reconstruction with an autologous flap.
Omentum-flap-based breast reconstruction is a unique strategy that is highly
suitable for repairing moderate tissue defects. However, all available evidence
comes from individual reports with small numbers of cases, and the overall
effectiveness and safety of the procedure have yet to be reported. Here, we
reported 7 cases of laparoscopically harvested omental free flap breast
reconstruction and performed a systematic review to assess the applicability and
safety of this approach. The data were gathered from MEDLINE, Ovid, Google
Scholar and the China Knowledge Resource Integrated Database. In total, we
combined 15 articles (410 cases) for analysis. The data revealed that almost all
patients (87.6%) were reported to have undergone laparoscopy instead of
laparotomy; pedicle flaps were used in 90.9% of the cases, while only 5 (37
cases) used free flaps for reconstruction; and 96.6% (396/410) of all
reconstruction procedures were immediate. Almost all of these cases had a small
tumour burden (T0/Tis/T1 59.8%; T2 36.8%), and the distribution of tumour
location was similar among the four quadrants. The cosmetic outcomes were
desirable in most cases (83.9%). There were 41 complications identified in the
dataset: partial graft necrosis accounted for the largest percentage (41.5%) of
all events, followed by skin necrosis (19.5%), haematoma (12.2%) and wound
infection (9.8%). During the follow-up period, which had a short median duration,
2 cases of tumour recurrence were reported. Overall, our systematic review found
that omentum-flap-based breast reconstruction could achieve a satisfactory
aesthetic outcome, especially for small breasts and tissue replacement after
breast-conserving surgery, and the safety of the procedure was also acceptable.
PMID- 29805703
TI - Current status and future directions of cancer immunotherapy.
AB - In the past decades, our knowledge about the relationship between cancer and the
immune system has increased considerably. Recent years' success of cancer
immunotherapy including monoclonal antibodies (mAbs), cancer vaccines, adoptive
cancer therapy and the immune checkpoint therapy has revolutionized traditional
cancer treatment. However, challenges still exist in this field. Personalized
combination therapies via new techniques will be the next promising strategies
for the future cancer treatment direction.
PMID- 29805705
TI - The impact of microsatellite instability status and sidedness of the primary
tumor on the effect of bevacizumab-containing chemotherapy in patients with
metastatic colorectal cancer.
AB - Purpose: We aimed to evaluate the effect of bevacizumab in metastatic CRC
(colorectal cancer) regarding to microsatellite instability (MSI) and the
sidedness of the primary tumor. Materials and Methods: A total of 140 CRC
patients were retrospectively analyzed, who received bevacizumab-containing
chemotherapy between April 2008 and January 2013. MSI status and Kirsten RSAS
(KRAS) mutational status were available in all 140 patients, but BRAF (the gene
for the B-type Raf kinase) mutational status was only available in 74 patients
(52.9%). Results: MSI-high (MSI-H) was detected in 4.3% of analyzed patients.
Characteristics of patients, with the exception of BRAF mutational status, were
generally similar between those with right- (RC) and left-sided colon cancer
(LC). Right-sided tumors were significantly associated with a BRAF mutation
(p=0.025). In addition, patient characteristics with a microsatellite stable
(MSS) tumor were not different from those with an MSI-H tumor. For all 140
patients, the most commonly used regimen with bevacizumab was capecitabine plus
oxaliplain (XELOX), irrespective of treatment line, followed by 5-fluorouracil,
leucovorin, and irinotecan (FOLFIRI), 5-fluorouracil, leucovorin, and oxaliplatin
(FOLFOX), intravenous 5-fluorouracil (5-FU) and capecitabine plus irinotecan
(XELIRI). There was no significant difference between the MSI-H and MSS groups in
treatment efficacy, including response rate (RR) and disease control rate (DCR).
There was also no difference in RR and DCR according to the sidedness of the
primary tumor. No significant difference in progression-free survival (PFS) was
observed between MSI-H and MSS groups (5.93 months vs. 7.37 months; p=0.801) or
between LC and RC groups (7.37 months vs. 5.83 months; p=0.801). Conclusions: The
effect of bevacizumab was not different between LC and RC and between MSS and the
MSI-H tumors.
PMID- 29805706
TI - Trends in Treatment for Prostate Cancer in China: Preliminary Patterns of Care
Study in a Single Institution.
AB - Objectives: A Patterns of Care Study (PCS) was performed in the largest regional
medical center in Zhejiang Province, China. The hospital information system (HIS)
was used to evaluate patient characteristics and changes in initial treatment
patterns for prostate cancer and to determine recent predominant trends in
treatment plans for prostate cancer (PCa) in China. Methods: Men who were newly
diagnosed with localized or locally advanced PCa for 2010-2011 and 2016-2017 were
identified in the HIS database. Patient characteristics and temporal trends in
initial management were assessed, and differences between groups were evaluated
for significance using Chi-square and Mann-Whitney U tests. Results: In total,
1792 patients met the study criteria, including 505 and 1287 patients in the 2010
2011 and 2016-2017 samples, respectively. The average age of patients diagnosed
in the 2010-2011 PCS survey was 70 years, decreasing to 68 years when the 2016
2017 patients were included (P<0.001). In the 2010-2011 sample, 50.69% of the
patients had an initial prostate-specific antigen (PSA) level >=20 ng/ml. In
contrast, the initial PSA level was 4-19.99 ng/ml for 66.67% of the patients in
the 2016-2017 sample (P<0.001). Based on National Comprehensive Cancer Network
(NCCN) criteria, the percentages of patients in low- and intermediate-risk groups
increased from 33.06% to 54.78%; conversely, the percentages in high-risk, very
high-risk, and regional (N1) groups decreased to a certain extent (P<0.001).
According to European Association of Urology (EAU) criteria, the percentages of
patients in low- and intermediate-risk groups increased from 32.07% to 53.69%,
yet the percentage in the high-risk group decreased (P<0.001). The use of radical
prostatectomy (RP) and radiation therapy (RT) increased from 48.32% to 76.46% and
5.35% to 16.94%, particularly in high-risk and low-risk groups, respectively,
whereas the rates of hormone therapy (HT) and active surveillance and observation
(AS&O) decreased from 32.28% to 4.27% and from 16.04% to 2.33%, respectively
(P<0.001). A similar pattern was observed when patients were stratified by EAU
risk group. Conclusions: The results of this real-world study in the largest
regional medical center in Zhejiang Province, China, indicate that the
predominant characteristics of PCa patients and trends in initial management are
changing rapidly. We found the following: (a) a trend toward a decreased age
among newly diagnosed patients; (b) a trend toward lower initial PSA levels; (c)
a downward trend in risk group classification; (d) a significant increase in the
likelihood of receiving RP, particularly in the high-risk group; (e) an increase
in the rate of RP, mostly due to use of the Da Vinci robotic system; (f) a
significant increase in the likelihood of receiving RT, especially in the low
risk group; and (g) a decrease in HT and AS&O.
PMID- 29805707
TI - Differential diagnostic roles of the serum CA19-9, total bilirubin (TBIL) and the
ratio of CA19-9 to TBIL for benign and malignant.
AB - Background: Obstructive jaundice is one of the most common symptoms which can be
caused by both malignant and benign hepato-biliary-pancreatic diseases. The
differences and the differential diagnostic roles of the serum CA19-9, total
bilirubin (TBIL) and especially, the ratio of CA19-9 to TBIL in these patients
have not been well elucidated. This study compared the differences and the
differential diagnostic roles of the increase-folds of the serum CA19-9, TBIL and
the ratio of increase-folds of CA19-9 to increase-folds of TBIL in 508 cases of
malignant (MOJ) and benign (BOJ) obstructive jaundice patients. Methods: Totally,
508 cases of obstructive jaundice patients with pathological results were
retrospectively enrolled. 342 cases were MOJ, including gallbladder
adenocarcinoma, extrahepatic cholangiocarcinoma, periampullar adenocarcinoma and
pancreatic adenocarcinoma.The other 166 cases were BOJ, including cholelithiasis,
primary sclerosing cholangitis (PSC), IgG4 related sclerosing cholangitis (IRSD),
inflammatory stricture of bile duct, and adenoma. The data of the increase-folds
of serum CA19-9, TBIL and the ratio of increase-folds of CA19-9 to increase-folds
of TBIL was collected and analyzed. The ROC (receiver operating characteristic)
curve was adopted to determine the optimal cutoff value to evaluate their
differential diagnostic roles. Results: The CA19-9 was elevated in 94.15% of the
MOJ, compared to 67.10% in BOJ (P<0.0001). The increase-folds of the CA19-9
(76.52+/-15.04 vs 3.55+/-0.41, P< 0.0001) and the increase-folds of TBIL (7.10+/
0.25 vs 5.95+/-0.36, P=0.0034) and the ratio (14.96+/-5.05 vs 0.79+/-0.93,
P=0.0026) in MOJ were significantly higher than BOJ. The ROC curves indicated
that the TBIL showed minimal differential diagnostic power (AUC=0.590), the
increase-folds of CA19-9 and the ratio showed stronger differential diagnostic
power (AUC=0.815 and AUC=0.889, respectively). The optimal value of the increase
folds of serum CA19-9 and the ratio for differential diagnosis was 4.5 and 0.88,
respectively. Further, the combination of the increase-folds of serum CA19-9 and
the ratio could increase the specificity and accuracy of the diagnosis of MOJ.
Conclusion: The serum CA19-9, TBIL and the ratio in MOJ and BOJ are significantly
different. The ratio of the increase-folds of CA19-9 to increase-folds of TBIL
has stronger differential diagnostic roles than the increase-folds of CA19-9 or
TBIL alone. The combination of the increase-folds of the CA19-9 and the ratio can
further improve the specificity and accuracy of the diagnosis of MOJ.
PMID- 29805708
TI - Tumor PD-L1 Expression and Clinical Outcomes in Advanced-stage Non-Small Cell
Lung Cancer Patients Treated with Nivolumab or Pembrolizumab: Real-World Data in
Taiwan.
AB - Background: Immunotherapy that targets programmed death protein-1 (PD-1) provides
improved treatment efficacy and survival in patients with metastatic non-small
cell lung cancer (NSCLC), especially those with high tumor expression of PD-L1.
However, data on this treatment are mostly from clinical trials enrolling highly
selected patients. The real-world experience of anti-PD-1 treatment and the
usefulness of tumor PD-L1 expression in prediction of treatment response are
largely unknown. Methods: We retrospectively reviewed patients with stage IIIB/
IV NSCLC who received monotherapy with nivolumab or pembrolizumab, and evaluated
response using RECIST 1.1 criteria. Factors associated with treatment response,
progression free survival (PFS), and overall survival (OS) were determined.
Results: Seventy-four NSCLC patients out of 116 examined patients were included,
most of whom had adenocarcinoma (48/74, 64.9%) and received immunotherapy as a
third-line or subsequent treatment (51/74, 68.9%). The median PFS and OS were 1.8
and 7.9 months, respectively. The objective response rate was 32%, but only 47 of
74 patients were evaluable. Through multivariate analysis, epidermal growth
factor receptor (EGFR) mutation was independently associated with a poor
treatment response. Good performance status (ECOG<=1) and smoking were
independently associated with better PFS and OS. Data on tumor PD-L1 expression
were available in 43 patients (58%); higher PD-L1 expression correlated with
better treatment response and longer PFS. Severe treatment-related adverse events
were uncommon. Conclusion: The efficacy and safety of anti-PD-1 medications for
advanced NSCLC were comparable in real-world and clinical settings, except in
those with poor ECOG scores. Prediction of treatment response from tumor PD-L1
expression seemed practical.
PMID- 29805709
TI - P21-activated kinase 7 (PAK7) interacts with and activates Wnt/beta-catenin
signaling pathway in breast cancer.
AB - Background: Breast cancer is the highest incidence of tumor in women, which
seriously threaten women's health. The occurrence and progression of breast
cancer is linked to inactivation or downregulation of tumor suppressors, and
activation or upregulation of oncogenes. However, the mechanism of PAK7 involving
in the occurrence and progression of breast cancer is not yet fully understood.
Methods: PAK7 expression was analyzed by RT-qPCR and immunohistochemistry and
correlated with clinicopatholgical parameters in breast cancer tissue microarray.
The effects of PAK7 on breast cancer cells were detected by CCK-8 assay, colon
formation assay, wound healing and transwell assays, and flow cytometry. The
relationship between PAK7 and Wnt/beta-catenin signaling pathway was determined
by western blotting, TOP/FOP flash, co-Immunoprecipitation and co-localization
assays. Results: PAK7 expression was significantly increased in breast cancer
tissues and positively correlated with pathological differentiation and TNM stage
of breast cancer. Overexpression of PAK7 could significantly promote
proliferation and migration of breast cancer cells, and inhibit apoptosis. In
contrast, PAK7 knockdown significantly inhibited the proliferation and migration
of breast cancer cells and promoted apoptosis. In addition, PAK7 could activate
Wnt/beta-catenin signaling pathway in breast cancer cells. Further study found
that PAK7 could directly bind to GSK3beta and beta-catenin, and regulate beta
catenin degradation by phosphorylating GSK3beta. Conclusions: Our study
demonstrated that PAK7, as an oncogene, involved in breast cancer progression by
activating the Wnt/beta-catenin signaling pathway, suggesting that the potential
applicability of PAK7 as a target for breast cancer treatment.
PMID- 29805710
TI - Prognostic value of MET copy number gain in non-small-cell lung cancer: an
updated meta-analysis.
AB - The alterations of MET have been detected in non-small-cell lung cancer (NSCLC).
However, the prognostic impact of MET gene copy number gain (CNG) has not been
consistent among studies. We performed this meta-analysis to evaluate the
prognostic value of high MET CNG in patients with NSCLC. A systematic
computerized search of the electronic databases including PubMed, EMBASE, Google
scholar, and Cochrane Library (up to November 2017) was carried out. From twenty
one studies, 7,647 patients were included in the pooled analysis of hazard ratios
(HRs) with 95% confidence intervals (CIs) for disease-free survival or overall
survival. Compared with patients with NSCLC showing low MET CNG, those with
tumors harboring high MET CNG showed significantly worse survival (HR = 1.45, 95%
CI: 1.16-1.80, p = 0.001). Subgroup analyses showed that high MET CNG
significantly correlated with a poor prognosis especially in patients with
adenocarcinoma (HR = 1.41, 95% CI: 1.11-1.79, p = 0.005) and Asian populations
(HR = 1.58, 95% CI: 1.32-1.88, p < 0.00001). In conclusion, this meta-analysis
indicates that high MET CNG is an adverse prognostic factor in patients with
NSCLC. Subgroup analyses suggest that high MET CNG is associated with a worse
prognosis, especially in patients with adenocarcinoma and Asian populations.
However, large prospective studies using standardized methods based on the
homogeneous populations are warranted to validate the prognostic value of MET
amplification in patients with NSCLC.
PMID- 29805711
TI - Antagonistic role of GSK3 isoforms in glioma survival.
AB - GSK3 (Glycogen Synthase Kinase-3) function in brain is contributed by two
distinct gene GSK3 alpha and GSK3 beta. Present findings indicate that imbalance
in between GSK3 alpha and beta isoform contributes oncogenesis. In gliomas, GSK3
isoform specific functions are different then as reported for melanoma, prostate
cancer, lung cancer etc. Both the isoforms of GSK3 are inversely regulating
hnRNPA1 (RNA binding protein) expression, subsequently affecting RNA alternative
splicing (BIN1, RON, Mcl1, PKM) in gliomas. Elevated expression of c-Myc,
hnRNPA1, Phospo-ERK1/2 and Cyclin D1 in GSK3 alpha knock down cells, resembles
GSK3 beta isoform overexpressing glioma cells, promotes cell survival. HnRNPA1
dependent survival signaling pathway were elaborated using si RNA approach or by
over expressing cloned hnRNPA1 gene in U87 glioma cells. Therefore, performed
study empirically support GSK3beta inhibition along with restoration of GSK3alpha
would be a good strategy to target gliomas.
PMID- 29805712
TI - Circular RNA circ_HIPK3 is down-regulated and suppresses cell proliferation,
migration and invasion in osteosarcoma.
AB - Circular RNA (circRNA) is associated with human cancers, however, few studies
have reported its value in the diagnosis and prognosis prediction of osteosarcoma
(OS). In this study, we investigated the expression level of eight selected
cancer-related circRNAs including circ-Cdr1as, circ_HIPK3 and circ-ITCH in OS
cell lines, tissues and plasmas by quantitative real-time polymerase chain
reaction (qRT-PCR) and found that only circ_HIPK3 could stably down-regulate in
the OS cell lines, tissues and plasmas than the corresponding controlled. One-way
analysis of variance was further conducted to analyze the relationship between
circ_HIPK3 expression level and clinic pathological factors of OS patients.
Receiver operating characteristic (ROC) curve was built to evaluate the
diagnostic values of circ_HIPK3. Circ_HIPK3 expression was significantly
correlated with Enneking stage (P=0.042) and lung metastasis (P=0.036). The area
under the ROC curve was 0.783 and the sensitivity and specificity were 0.56 and
0.84, respectively. Kaplan-Maier analysis also showed that lower expression of
circ_HIPK3 correlated with shorter overall survival time and poor prognosis of OS
patients. Besides, function analysis demonstrated that circHIPK3 overexpression
significantly suppressed OS cell proliferation, migration and invasion in vitro.
Overall, our data suggest that circ_HIPK3 may become a novel potential biomarker
for diagnosis and treatment target of OS.
PMID- 29805713
TI - Feasibility of continuing crizotinib therapy after RECIST-PD in advanced non
small cell lung cancer patients with ALK/ROS-1 mutations.
AB - Objectives: To study whether ongoing clinical benefits of continuing anaplastic
lymphoma kinase (ALK) and c-ros oncogene 1 (ROS1) inhibition are achieved by
crizotinib treatment post progressive disease (PD) in advanced non-small cell
lung cancer (NSCLC) patients harboring ALK/ROS1 mutations. Materials and methods:
Demographic and clinicopathologic parameters were collected from 38 patients who
continued crizotinib therapy beyond Response Evaluation Criteria in Solid Tumors
(RECIST)-defined PD and analyzed. After adjusting for potential confounding
factors, factors influencing the time from RECIST-PD to crizotinib
discontinuation (progress-free survival 2, PFS2) were analyzed. Results: The
median time from first dose treatment to RECIST-PD (PFS1) was 9.6 months (95% CI
5.6-13.6 months). The estimated median PFS2 was 5.9 months (95% CI 0.1-11.7
months). Six- and twelve-month crizotinib treatment probabilities after initial
PD were 42.1% (95% CI 25.7-58.6%) and 21.1% (95% CI 7.5-34.6%), respectively.
Patients who demonstrated RECIST-PD due to new lesions had a longer median PFS2
compared to patients who were attested to enlargement of original lesions (10.0
versus 2.4 months, p = 0.009). The median PFS2 was numerically longer among
patients who received local therapy compared to those who did not receive local
therapy, however the difference was not significant (9.9 versus 4.2 months, p =
0.094). Multivariable Cox regression analysis showed that only the progression
pattern [new lesions versus enlargement of original lesions, HR = 0.329 (95% CI
0.138-0.782), p = 0.012] remained an independent prognostic factor of PFS2.
Conclusion: Continuation of crizotinib therapy after RECIST-PD in Chinese NSCLC
patients with positive ALK/ROS1 mutations is feasible in clinical practice.
PMID- 29805714
TI - The Effect of Marital Status on Nasopharyngeal Carcinoma Survival: A
Surveillance, Epidemiology and End Results Study.
AB - Purpose: This study examined the role of marital status on survival outcome of
nasopharyngeal carcinoma (NPC) patients using a population-based cancer registry.
Methods: Patients with primary NPC diagnosed between 2004 and 2013 were included
using the Surveillance, Epidemiology and End Results program. Patient
demographic, clinicopathologic features, management, and survival outcomes were
compared according to marital status. Cause-specific survival (CSS, NPC-related
death) for marital status was analyzed. Results: The data of 3018 patients were
included, with 61.4%, 11.1%, 21.8, and 5.6% of patients married, divorced (or
separated), single, and widowed, respectively. Widowed patients had the highest
proportion of elderly age (p < 0.001), were more likely to be female (p < 0.001),
and had more well-to-moderately differentiated (p < 0.001) and node-negative
disease (p = 0.038). Widowed patients were also less likely to have received
radiotherapy and chemotherapy compared with patients of other marital status (p <
0.001). The 5-year CSS was 76.1%, 70.8%, 73.4%, and 59.8% in the married,
divorced, single, and widowed groups, respectively (p = 0.001). Marital status
was the independent prognostic factor for CSS. Widowed patients had a
significantly increased risk of NPC-related death compared with married (hazard
ratio [HR] 2.014, 95% confidence interval [CI] 1.477-2.747, p < 0.001), divorced
(HR 1.580, 95% CI 1.087-2.295, p = 0.017), and single (HR 2.000, 95% CI 1.402
2.854, p < 0.001) patients. The divorced (p = 0.067) and single (p = 0.949)
groups had similar CSS to the married group. Conclusions: Being widowed was
associated with an increased the risk of cancer mortality in NPC compared with
being married, divorced, or single.
PMID- 29805715
TI - Prognostic value of C-reactive protein/albumin ratio in predicting overall
survival of Chinese cervical cancer patients overall survival: comparison among
various inflammation based factors.
AB - Background: Many studies have shown the prognostic value of inflammation based
factors in different cancers. This work aimed to explore the prognostic value of
pretreatment C-reactive protein/albumin (CRP/Alb) ratio in patients with cervical
cancer, and compared to other inflammatory prognostic factors, such as
neutrophil/lymphocyte ratio(NLR), Glasgow prognostic score (mGPS), prognostic
index (PI), platelet/lymphocyte ratio (PLR), prognostic nutritional index (PNI),
clinicopathological parameter and squamous cell carcinoma antigen (SCC-Ag).
Methods: This study was a retrospective analysis of the data related to 229
patients with newly diagnosed cervical cancer. The potential prognostic factors
were evaluated by univariate and multivariate survival analysis. The correlation
between CRP/Alb ratio and other prognostic factors were analyzed by Chi-Square or
Fisher's exact test. Results: Multivariate analyses showed that CRP/Alb ratio was
an independent predictor of overall survival (OS) in cervical squamous cell
carcinoma (SCC) (HR, hazard ratio = 2.529; p = 0.045), but not in all cases of
cervical cancer. However, NLR was a prognostic factor in the whole cervical
cancer (HR = 2.47; p = 0.020) as well as in SCC subgroup (HR = 2.28; p = 0.038).
Spearman's rank correlation analysis revealed that NLR showed a positive
correlation with CRP/Alb ratio (p < 0.001). The combined index of NLR and CRP/Alb
ratio could enhance the prognostic value compared to NLR or CRP/Alb ratio alone.
Moreover, a high CRP/Alb ratio > 0.022 was associated with older patients (p <
0.001) and more advanced International Federation of Gynecology and Obstetrics
(FIGO) stages (p < 0.001). In addition, NLR and CRP/Alb ratio were associated
with SCC-Ag concentration in SCC. Furthermore, CRP/Alb ratio was a superior
prognosis factor than mGPS, PI, PLR and PNI in SCC. Moreover, positive
correlation was present among SCC-Ag, NLR and CRP/Alb ratio. Conclusions: CRP/Alb
ratio might be considered as a novel prognosis factor and combined with NLR could
improve the accuracy of OS prediction in patients with cervical cancer as well as
its most common histological SCC subtypes.
PMID- 29805716
TI - Isolation and Identification of an Anthracimycin Analogue from Nocardiopsis
kunsanensis, a Halophile from a Saltern, by Genomic Mining Strategy.
AB - Modern medicine is unthinkable without antibiotics; yet, growing issues with
microbial drug resistance require intensified search for new active compounds.
Natural products generated by Actinobacteria have been a rich source of candidate
antibiotics, for example anthracimycin that, so far, is only known to be produced
by Streptomyces species. Based on sequence similarity with the respective
biosynthetic cluster, we sifted through available microbial genome data with the
goal to find alternative anthracimycin-producing organisms. In this work, we
report about the prediction and experimental verification of the production of
anthracimycin derivatives by Nocardiopsis kunsanensis, a non-Streptomyces
actinobacterial microorganism. We discovered N. kunsanensis to predominantly
produce a new anthracimycin derivative with methyl group at C-8 and none at C-2,
labeled anthracimycin BII-2619, besides a minor amount of anthracimycin. It
displays activity against Gram-positive bacteria with similar low level of
mammalian cytotoxicity as that of anthracimycin.
PMID- 29805717
TI - Paraoxon: An Anticholinesterase That Triggers an Excitotoxic Cascade of Oxidative
Stress, Adhesion Responses, and Synaptic Compromise.
AB - The anticholinesterase paraoxon (Pxn) is an organophosphate (OP) and the active
metabolite of the insecticide parathion. It potently inhibits the enzyme
acetylcholinesterase and leads to enhanced glutamate release, diminished GABA
uptake, oxidative damage, and neurodegeneration. The resulting increased levels
of acetylcholine can trigger seizures and cause neuronal and excitotoxic damage
in the brain. The brain susceptibility related to anticholinesterase toxins
extends beyond potential brain damage and death from toxic levels of the agent.
Asymptomatic low-level exposure to such toxins can also leave the brain
vulnerable or even cause it to exhibit neurological problems later in life. The
actions of Pxn and similar neurotoxins have been studied in order to examine the
events associated with anticholinesterase toxicity in the brain. A recent study
demonstrated that Pxn exposure initiates a pathogenic cascade involving seizure
events and subsequent signs of damage including unique presynaptic vulnerability
and associated behavioral deficits. In addition, Pxn-mediated synaptotoxicity is
also associated with enhanced production of oxidative stress as well as integrin
adhesion responses. These findings provide a better understanding of the
molecular events involved in Pxn toxicity.
PMID- 29805718
TI - Potential Alzheimer's Disease Therapeutics Among Weak Cysteine Protease
Inhibitors Exhibit Mechanistic Differences Regarding Extent of Cathepsin B Up
Regulation and Ability to Block Calpain.
AB - Cysteine protease inhibitors have long been part of drug discovery programs for
Alzheimer's disease (AD), traumatic brain injury (TBI), and other disorders.
Select inhibitors reduce accumulating proteins and AD pathology in mouse models.
One such compound, Z-Phe-Aladiazomethylketone (PADK), exhibits a very weak IC50
(9-11 MUM) towards cathepsin B (CatB), but curiously PADK causes marked up
regulation of the Abeta-degrading CatB and improves spatial memory. Potential
therapeutic and weak inhibitor E64d (14 MUM IC50) also up-regulates CatB. PADK
and E64d were compared regarding the blockage of calcium-induced cytoskeletal
deterioration in brain samples, monitoring the 150-kDa spectrin breakdown product
(SBDP) known to be produced by calpain. PADK had little to no effect on SBDP
production at 10-100 MUM. In contrast, E64d caused a dose-dependent decline in
SBDP levels with an IC50 of 3-6 MUM, closely matching its reported potency for
inhibiting MU-calpain. Calpain also cleaves the cytoskeletal organizing protein
gephyrin, producing 49-kDa (GnBDP49) and 18-kDa (GnBDP18) breakdown products.
PADK had no apparent effect on calcium-induced gephyrin fragments whereas E64d
blocked their production. E64d also protected the parent gephyrin in
correspondence with reduced BDP levels. The findings of this study indicate that
PADK's positive and selective effects on CatB are consistent with human studies
showing exercise elevates CatB and such elevation correlates with improved
memory. On the other hand, E64d exhibits both marginal CatB enhancement and
potent calpain inhibition. This dual effect may be beneficial for treating AD.
Alternatively, the potent action on calpain-related pathology may explain E64d's
protection in AD and TBI models.
PMID- 29805719
TI - A generic approach for the development of short-term predictions of Escherichia
coli and biotoxins in shellfish.
AB - Microbiological contamination or elevated marine biotoxin concentrations within
shellfish can result in temporary closure of shellfish aquaculture harvesting,
leading to financial loss for the aquaculture business and a potential reduction
in consumer confidence in shellfish products. We present a method for predicting
short-term variations in shellfish concentrations of Escherichia coli and
biotoxin (okadaic acid and its derivates dinophysistoxins and pectenotoxins). The
approach was evaluated for 2 contrasting shellfish harvesting areas. Through a
meta-data analysis and using environmental data (in situ, satellite observations
and meteorological nowcasts and forecasts), key environmental drivers were
identified and used to develop models to predict E. coli and biotoxin
concentrations within shellfish. Models were trained and evaluated using
independent datasets, and the best models were identified based on the model
exhibiting the lowest root mean square error. The best biotoxin model was able to
provide 1 wk forecasts with an accuracy of 86%, a 0% false positive rate and a 0%
false discovery rate (n = 78 observations) when used to predict the closure of
shellfish beds due to biotoxin. The best E. coli models were used to predict the
European hygiene classification of the shellfish beds to an accuracy of 99% (n =
107 observations) and 98% (n = 63 observations) for a bay (St Austell Bay) and an
estuary (Turnaware Bar), respectively. This generic approach enables high
accuracy short-term farm-specific forecasts, based on readily accessible
environmental data and observations.
PMID- 29805720
TI - Soluble CD163 as a Potential Biomarker in Systemic Sclerosis.
AB - Objective: To evaluate the performance of serum and urinary sCD163 concentrations
as possible biomarker in systemic sclerosis (SSc). Methods: Urine and serum
samples were obtained from SSc patients and age- and sex-matched controls. Serum
and urinary sCD163 concentrations were measured by commercially available ELISA
kit. SSc patients were assessed following international guidelines. Cross
sectional analyses were performed. Results: Two hundred and three SSc patients
were included. The control group consisted of 47 age- and sex-matched patients
having noninflammatory diseases, mainly osteoporosis. Serum sCD163 levels were
significantly higher in SSc patients compared with controls (mean +/- SD: 529 +/-
251 versus 385 +/- 153 ng/mL; p < 0.001). Urinary sCD163 concentrations were
higher in SSc patients than controls, but this did not reach significance (236 +/
498 versus 176 +/- 173 ng/mg uCr; p = 0.580). The sCD163 concentrations were not
associated with clinical, laboratory, and instrumental characteristics of SSc
patients. Conclusion: To our knowledge, this is the first evaluation of both
serum and urinary sCD163 levels in SSc. Our results show a significant difference
for sera values that should be prioritized for further studies as compared to
urinary measurements. Our results further support that the M2 macrophages/CD163
signaling system may play a role in the pathogenesis of SSc, although we could
not identify a subset of SSc patients with higher concentrations.
PMID- 29805722
TI - An Ultrasensitive Magnetoelectric Sensor System For the Quantitative Detection of
Liver Iron.
AB - Ultrasensitive magnetoelectric (ME) sensors have been developed using
magnetostrictive/piezoelectric laminate heterostructures. This paper discusses a
highly interdisciplinary design of a room temperature biomagnetic liver
susceptometry system (BLS) based on the ME sensors. The ME-sensor based BLS
maintains the ultrahigh sensitivity to detect the weak AC biomagnetic signals and
introduces a low equivalent magnetic noise. The results reveal a "turning point"
and successfully indicate the output signals to be linearly responsive to iron
concentrations from normal iron level (0.05 mgFe/gliver phantom) to 5 mgFe/gliver
phantom iron overload level (100X overdose). Further, the introduction of the
water-bag technique shows the promise on the automatic deduction of the
background (tissue) signal, enabling an even higher sensitivity and better signal
to-noise (SNR). With these improvements, it becomes feasible to get improved
characterization flexibility and the field distribution mapping potential via
signal processing from the correlations of multiple sensors in the system.
Considering the wide presence of biomagnetic signals in human organs, the
potential impact of such biomagnetic devices on medicine and health care could be
enormous and far-reaching.
PMID- 29805723
TI - Safe Tissue Manipulation in Retinal Microsurgery via Motorized Instruments with
Force Sensing.
AB - Retinal microsurgery involves careful manipulation of delicate tissues by
applying very small amount of forces most of which lie below the tactile sensory
threshold of the surgeons. Membrane peeling is a common task in this domain,
where application of excessive peeling forces can easily lead to serious
complications, hence needs to be avoided. To quantify tool-tissue interaction
forces during retinal microsurgery, various force-sensing tools were developed
based on fiber Bragg grating sensors, yet the most beneficial way of using the
acquired force information is currently unknown. In this study, using a motorized
force-sensing micro-forceps tool, we develop an assistive method that enhances
safety during membrane peeling by automatically opening the forceps and releasing
the tissue based on the detected peeling forces. Through peeling experiments
using bandages, we demonstrate that our method can effectively maintain the
peeling force at a safe level even in case of non-homogeneous adhesion properties
of the membrane.
PMID- 29805721
TI - Magnetic Resonance-Guided Focused Ultrasound Neurosurgery for Essential Tremor: A
Health Technology Assessment.
AB - Background: The standard treatment option for medication-refractory essential
tremor is invasive neurosurgery. A new, noninvasive alternative is magnetic
resonance-guided focused ultrasound (MRgFUS) neurosurgery. We aimed to determine
the effectiveness, safety, and cost-effectiveness of MRgFUS neurosurgery for the
treatment of moderate to severe, medication-refractory essential tremor in
Ontario. We also spoke with people with essential tremor to gain an understanding
of their experiences and thoughts regarding treatment options, including MRgFUS
neurosurgery. Methods: We performed a systematic review of the clinical
literature published up to April 11, 2017, that examined MRgFUS neurosurgery
alone or compared with other interventions for the treatment of moderate to
severe, medication-refractory essential tremor. We assessed the risk of bias of
each study and the quality of the body of evidence according to the Grading of
Recommendations Assessment, Development, and Evaluation (GRADE) Working Group
criteria. We performed a systematic review of the economic literature and created
Markov cohort models to assess the cost-effectiveness of MRgFUS neurosurgery
compared with other treatment options, including no surgery. We also estimated
the budget impact of publicly funding MRgFUS neurosurgery in Ontario for the next
5 years. To contextualize the potential value of MRgFUS neurosurgery as a
treatment option for essential tremor, we spoke with people with essential tremor
and their families. Results: Nine studies met our inclusion criteria for the
clinical evidence review. In noncomparative studies, MRgFUS neurosurgery was
found to significantly improve tremor severity and quality of life and to
significantly reduce functional disability (GRADE: very low). It was also found
to be significantly more effective than a sham procedure (GRADE: high). We found
no significant difference in improvements in tremor severity, functional
disability, or quality of life between MRgFUS neurosurgery and deep brain
stimulation (GRADE: very low). We found no significant difference in improvement
in tremor severity compared with radiofrequency thalamotomy (GRADE: low). MRgFUS
neurosurgery has a favourable safety profile.We estimated that MRgFUS
neurosurgery has a mean cost of $23,507 and a mean quality-adjusted survival of
3.69 quality-adjusted life-years (QALYs). We also estimated that the mean costs
and QALYs of radiofrequency thalamotomy and deep brain stimulation are $14,978
and 3.61 QALYs, and $57,535 and 3.94 QALYs, respectively. For people ineligible
for invasive neurosurgery, we estimated the incremental cost-effectiveness ratio
(ICER) of MRgFUS neurosurgery compared with no surgery as $43,075 per QALY
gained. In people eligible for invasive neurosurgery, the ICER of MRgFUS
neurosurgery compared with radiofrequency thalamotomy is $109,795 per QALY
gained; when deep brain stimulation is compared with MRgFUS neurosurgery, the
ICER is $134,259 per QALY gained. Of note however, radiofrequency thalamotomy is
performed very infrequently in Ontario. We also estimated that the budget impact
of publicly funding MRgFUS neurosurgery in Ontario at the current case load
(i.e., 48 cases/year) would be about $1 million per year for the next 5
years.People with essential tremor who had undergone MRgFUS neurosurgery reported
positive experiences with the procedure. The tremor reduction they experienced
improved their ability to perform activities of daily living and improved their
quality of life. Conclusions: MRgFUS neurosurgery is an effective and generally
safe treatment option for moderate to severe, medication-refractory essential
tremor. It provides a treatment option for people ineligible for invasive
neurosurgery and offers a noninvasive option for all people considering
neurosurgery.For people ineligible for invasive neurosurgery, MRgFUS neurosurgery
is cost-effective compared with no surgery. In people eligible for invasive
neurosurgery, MRgFUS neurosurgery may be one of several reasonable options.
Publicly funding MRgFUS neurosurgery for the treatment of moderate to severe,
medication-refractory essential tremor in Ontario at the current case load would
have a net budget impact of about $1 million per year for the next 5 years.People
with essential tremor who had undergone MRgFUS neurosurgery reported positive
experiences. They liked that it was a noninvasive procedure and reported a
substantial reduction in tremor that resulted in an improvement in their quality
of life.
PMID- 29805724
TI - Tapered lateral flow immunoassay based point-of-care diagnostic device for
ultrasensitive colorimetric detection of dengue NS1.
AB - Dengue virus, a Flaviviridae family member, has emerged as a major worldwide
health concern, making its early diagnosis imperative. Lateral flow immunoassays
have been widely employed for point-of-care diagnosis of dengue because of their
rapid naked eye readouts, ease of use, and cost-effectiveness. However, they
entail a drawback of low sensitivity, limiting their usage in clinical
applications. Herein, we report a novel lateral flow immunoassay for detection of
dengue leveraging on the benefits of gold decorated graphene oxide sheets as
detection labels and a tapered nitrocellulose membrane. The developed assay
allows for rapid (10 min) and sensitive detection of dengue NS1 with a detection
limit of 4.9 ng mL-1, ~11-fold improvement over the previously reported values.
Additionally, the clinical application of the developed assay has been
demonstrated by testing it for dengue virus spiked in human serum. The reported
lateral flow immunoassay shows significant promise for early and rapid detection
of several target diseases.
PMID- 29805725
TI - A New Monte Carlo Method for Estimating Marginal Likelihoods.
AB - Evaluating the marginal likelihood in Bayesian analysis is essential for model
selection. Estimators based on a single Markov chain Monte Carlo sample from the
posterior distribution include the harmonic mean estimator and the inflated
density ratio estimator. We propose a new class of Monte Carlo estimators based
on this single Markov chain Monte Carlo sample. This class can be thought of as a
generalization of the harmonic mean and inflated density ratio estimators using a
partition weighted kernel (likelihood times prior). We show that our estimator is
consistent and has better theoretical properties than the harmonic mean and
inflated density ratio estimators. In addition, we provide guidelines on choosing
optimal weights. Simulation studies were conducted to examine the empirical
performance of the proposed estimator. We further demonstrate the desirable
features of the proposed estimator with two real data sets: one is from a
prostate cancer study using an ordinal probit regression model with latent
variables; the other is for the power prior construction from two Eastern
Cooperative Oncology Group phase III clinical trials using the cure rate survival
model with similar objectives.
PMID- 29805726
TI - Circulating L-selectin expressing-T cell subsets correlate with the severity of
Foxp3 deficiency autoimmune disease.
AB - L-selectin (CD62L) is normally highly expressed in naive T cells. The expression
levels of CD62L have been reported to be decreased on T cells during the
inflammatory state. It is currently unknown whether the frequency of CD62L+ T
cell subsets in the peripheral blood can be used as a marker to indicate is
disease severity during inflammation. Our study evaluated whether circulating
CD62L+ T cell subsets correlate with the severity of disease by testing an
autoimmune condition of scurfy (sf) mouse associated with multi-organ
inflammation due to regulatory T cell deficiency. We observed that scurfy mice
spontaneously developed an inflammatory phenotype with a significant decrease in
the percentage of CD62L-expressing CD4+ T and CD8+ T cells in the peripheral
blood. The percentage of CD62L+CD4+ T and CD62L+CD8+ T cells negatively
correlated with disease severity, as determined by the weight of spleen and
liver, as well as the mean area of lymphocyte infiltrates in lung and liver. The
percentage of CD8+ T cells also correlated directly with these markers of disease
severity. To conclude, our results support the concept that circulating CD62L
expressing T cells may be used as markers of disease severity in sf mice which is
equivalent to a syndrome characterized by immune dysregulation with
polyendocrinopathy, enteropathy, and X-linked inheritance (IPEX syndrome) in
humans, or in other autoimmune or inflammatory conditions.
PMID- 29805727
TI - Two-stage design for phase II oncology trials with relaxed futility stopping.
AB - Many oncology phase II trials are single arm studies designed to screen novel
treatments based on efficacy outcome. Efficacy is often assessed as an ordinal
variable based on a level of response of solid tumors with four categories:
complete response, partial response, stable disease and progression. We describe
a two-stage design for a single-arm phase II trial where the primary objective is
to test the rate of tumor response defined as complete plus partial response, and
the secondary objective is to estimate the rate of disease control defined as
tumor response plus stable disease. Since the goal is to estimate the disease
control rate, the trial is not stopped for futility after the first stage if the
disease control rate is promising. The new design can be generated using easy-to
use software that is available at
http://cancer.unc.edu/biostatistics/program/ivanova/.
PMID- 29805729
TI - Harmful and Beneficial Role of ROS 2017.
PMID- 29805728
TI - Nonylphenol and Octylphenol Differently Affect Cell Redox Balance by Modulating
the Nitric Oxide Signaling.
AB - Nonylphenol (NP) and octylphenol (OP) are pervasive environmental contaminants
belonging to the broader class of compounds known as alkylphenols, with potential
human toxic effects. Classified as "xenoestrogens," NP and OP are able to
interfere with the cell endocrine physiology via a direct interaction with the
estrogen receptors. Here, using HepG2 cells in culture, the changes of the cell
redox balance and mitochondrial activity induced by OP and NP have been
investigated at MUM concentrations, largely below those provoking acute toxicity,
as those typical of environmental contaminants. Following 24 h cell exposure to
both OP and NP, ROS production appeared significantly increased (p <= 0.01),
together with the production of higher NO oxides (p = 0.003) and peroxynitrated
protein-derivatives (NP versus CTR, p = 0.003). The mitochondrial proton
electrochemical potential gradient instead was decreased (p <= 0.05), as the
oxygen consumption by complex IV, particularly following incubation with NP (NP
versus CTR, p = 0.017). Consistently, the RT-PCR and Western blot analyses proved
that the OP and NP can modulate to a different extent the expression of the
inducible NOS (NP versus CTR, p <= 0.01) and the endothelial NOS (OP versus CTR,
p <= 0.05), with a significant variation of the coupling efficiency of the latter
(NP versus CTR, p <= 0.05), a finding that may provide a novel clue to understand
the specific xenoestrogenic properties of OP and NP.
PMID- 29805730
TI - Combined Therapy with Extracorporeal Shock Wave and Adipose-Derived Mesenchymal
Stem Cells Remarkably Improved Acute Ischemia-Reperfusion Injury of Quadriceps
Muscle.
AB - Extracorporeal shock wave (ECSW) and adipose-derived mesenchymal stem cells
(ADMSCs) have been recognized to have capacities of anti-inflammation and
angiogenesis. We tested the hypothesis that ECSW and ADMSC therapy could
attenuate ischemia-reperfusion- (IR-) induced thigh injury (femoral artery
tightened for 6 h then the tightness was relieved) in rats. Adult male SD rats (n
= 30) were divided into group 1 (sham-control), group 2 (IR), group 3 (IR +
ECSW/120 impulses at 0.12 mJ/mm2 given at 3 h/24 h/72 h after IR), group 4
(allogenic ADMSC/1.2 * 106 cell intramuscular and 1.2 * 106 cell intravenous
injections 3 h after IR procedure), and group 5 (ECSW + ADMSC). At day 7 after
the IR procedure, the left quadriceps muscle was harvested for studies. At 18 h
after the IR procedure, serum myoglobin/creatine phosphokinase (CPK) levels were
highest in group 2, lowest in group 1, and with intermediate values significantly
progressively reduced in groups 3 to 5 (all p < 0.0001). By day 5 after IR, the
mechanical paw-withdrawal threshold displayed an opposite pattern of CPK (all p <
0.0001). The protein expressions of inflammatory, oxidative-stress, apoptotic,
fibrotic, DNA-damaged, and mitochondrial-damaged biomarkers and cellular
expressions of inflammatory and DNA-damaged biomarkers exhibited an identical
pattern of CPK among the five groups (all p < 0.0001). The microscopic findings
of endothelial-cell biomarkers and number of arterioles expressed an opposite
pattern of CPK, and the angiogenesis marker was significantly progressively
increased from groups 1 to 5, whereas the histopathology showed that muscle
damaged/fibrosis/collagen-deposition areas exhibited an identical pattern of CPK
among the five groups (all p < 0.0001). In conclusion, ECSW-ADMSC therapy is
superior to either one applied individually for protecting against IR-induced
thigh injury.
PMID- 29805732
TI - Association of Ischemic Cardiovascular Disease with Inadequacy of Liver Store of
Retinol in Elderly Individuals.
AB - Objective: Vitamin A antioxidant role has an important relationship with the
metabolic processes of aging and cardiovascular disease (CVD). This study aimed
at assessing the liver store of retinol in elderly individuals who died from
cardiovascular disease and its relationship with liver weight and body weight.
Methods and Results: This is a cross-sectional study conducted in necropsied
individuals, aged 60 years or over, until 48 hours postmortem. The study assessed
65 elderly individuals who died from ischemic heart diseases (G1),
cerebrovascular diseases (G2), other forms of heart disease (G3), or infectious
heart diseases (G4). Twenty percent had inadequate liver store of retinol. G1
showed lower median of liver store of retinol when compared to G3 (p < 0.001),
and G3 showed the highest median when compared to G2 (p = 0.007). A significant
association was observed between inadequate liver store of retinol and death by
ischemic CVD (G1) (p = 0.001) with an odds ratio of 10.38. It was observed that
individuals with higher body weight and liver weight showed lower liver store of
retinol with significant differences (p = 0.027 and p = 0.026). Conclusion:
Ischemic CVD and increased body weight and liver weight are related to a greater
impairment of the liver store of retinol.
PMID- 29805733
TI - REDUCING STRUCTURAL VARIATION TO DETERMINE THE GENETICS OF WHITE MATTER INTEGRITY
ACROSS HEMISPHERES - A DTI STUDY OF 100 TWINS.
AB - Studies of cerebral asymmetry can open doors to understanding the functional
specialization of each brain hemisphere, and how this is altered in disease. Here
we examined hemispheric asymmetries in fiber architecture using diffusion tensor
imaging (DTI) in 100 subjects, using high-dimensional fluid warping to
disentangle shape differences from measures sensitive to myelination. Confounding
effects of purely structural asymmetries were reduced by using co-registered
structural images to fluidly warp 3D maps of fiber characteristics (fractional
and geodesic anisotropy) to a structurally symmetric minimal deformation template
(MDT). We performed a quantitative genetic analysis on 100 subjects to determine
whether the sources of the remaining signal asymmetries were primarily genetic or
environmental. A twin design was used to identify the heritable features of fiber
asymmetry in various regions of interest, to further assist in the discovery of
genes influencing brain micro-architecture and brain lateralization. Genetic
influences and left/right asymmetries were detected in the fiber architecture of
the frontal lobes, with minor differences depending on the choice of registration
template.
PMID- 29805734
TI - The Impact of Acculturation on Depressive Symptoms: A Comparison of Older Korean
Americans in Two Areas.
AB - This study examined how the impact of acculturation on depressive symptoms varied
between two samples of older Korean Americans. One sample was from west central
Florida (low Korean density area; n = 672), and the other from the New York City
metropolitan area (high Korean density area; n = 420). The average level of
acculturation was lower among older Korean Americans in New York, compared to
those living in Florida. In the hierarchical regression models with the New York
sample, acculturation was initially significant in predicting depressive
symptoms; however, its impact was gradually attenuated and eventually became
nonsignificant with the sequential entry of control variables. On the other hand,
in the Florida sample, the impact of acculturation on depressive symptoms
remained significant throughout the models. The results suggest that the level
and importance of acculturation may differ by geographic locations and invite
further contextual research in immigrant populations.
PMID- 29805731
TI - Mechanisms of Endogenous Neuroprotective Effects of Astrocytes in Brain Injury.
AB - Astrocytes, once believed to serve only as "glue" for the structural support of
neurons, have been demonstrated to serve critical functions for the maintenance
and protection of neurons, especially under conditions of acute or chronic
injury. There are at least seven distinct mechanisms by which astrocytes protect
neurons from damage; these are (1) protection against glutamate toxicity, (2)
protection against redox stress, (3) mediation of mitochondrial repair
mechanisms, (4) protection against glucose-induced metabolic stress, (5)
protection against iron toxicity, (6) modulation of the immune response in the
brain, and (7) maintenance of tissue homeostasis in the presence of DNA damage.
Astrocytes support these critical functions through specialized responses to
stress or toxic conditions. The detoxifying activities of astrocytes are
essential for maintenance of the microenvironment surrounding neurons and in
whole tissue homeostasis. Improved understanding of the mechanisms by which
astrocytes protect the brain could lead to the development of novel targets for
the development of neuroprotective strategies.
PMID- 29805735
TI - Latent Profiles of Acculturation and Their Implications for Health: A Study With
Asian Americans in Central Texas.
AB - The present study identified profiles of acculturation in Asian Americans and
explored their implications for health. Pointing out the upward selection bias of
Asian Americans in English-only surveys, the study calls attention to the
importance of obtaining Asian American samples that reflect the group's cultural
and linguistic diversities. Data were drawn from 2,602 participants (age range =
18-98) in the 2015 Asian American Quality of Life (AAQoL) Survey, conducted in
central Texas. To reach out to diverse groups of Asian Americans, culturally and
linguistically sensitive approaches (e.g., survey questionnaire in Asian
languages, bilingual/bicultural recruiters and survey assistants, and
partnerships with key individuals and organizations within ethnic communities)
were employed, resulting in a sample almost half of which were surveyed in their
native languages. Latent profile analysis based on acculturation-related
variables (nativity, proportion of life lived in the United States, English
speaking ability, familiarity with host culture, familiarity with heritage
culture, identity toward ethnic origin, and sense of belonging to the community
of ethnic origin) identified a 4-cluster solution: fully bicultural, moderately
bicultural, alienated from host culture, and alienated from heritage culture. The
fully bicultural group was most advantaged in terms of self-ratings of physical,
oral, and mental health. The alienated from heritage culture group demonstrated a
particular risk for physical and mental health, whereas the alienated from host
culture group was at risk for oral health. Findings not only help understand the
heterogeneity of acculturation in Asian Americans but also provide implications
for health interventions.
PMID- 29805736
TI - Bufalin inhibits gastric cancer invasion and metastasis by down-regulating
Wnt/ASCL2 expression.
AB - Achaete-scute-like 2 (ASCL2) is a transcription factor containing a basic helix
loop-helix (bHLH) domain and is a downstream target of Wnt signaling in
intestinal stem cells. Bufalin is the primary active ingredient in Chan Su, a
traditional Chinese medicine obtained from the skin and parotid venom glands of
toads. The purpose of this study was to research the anti-invasion and anti
metastasis activity of bufalin in gastric cancer and to identify the potential
mechanism. Bufalin inhibited gastric cancer cell invasion and metastasis,
suppressed cancer cell colony formation, and inhibited the growth of subcutaneous
xenografted tumors in nude mice. Furthermore, bufalin inhibited ASCL2 expression
and down-regulated the expression of invasion-related genes such as MMP2, MMP9,
and vimentin, thereby suppressing epithelial-mesenchymal transition (EMT) in
gastric cancer. A Wnt signaling inhibitor (XAV939) down-regulated invasion and
the expression of ASCL2, beta-catenin, and vimentin but up-regulated E-cadherin
expression. In nude mice, bufalin inhibited the tumorigenic behavior of gastric
cancer cells, induced cancer cell apoptosis, and regulated invasion-related gene
expression. Together, our results suggest that bufalin arrests invasion and
metastasis and that its mechanism of action may involve down-regulating Wnt/ASCL2
expression.
PMID- 29805737
TI - The receptor protein tyrosine phosphatase PTPRJ negatively modulates the CD98hc
oncoprotein in lung cancer cells.
AB - PTPRJ, a receptor protein tyrosine phosphatase strongly downregulated in human
cancer, displays tumor suppressor activity by negatively modulating several
proteins involved in proliferating signals. Here, through a proteomic-based
approach, we identified a list of potential PTPRJ-interacting proteins and among
them we focused on CD98hc, a type II glycosylated integral membrane protein
encoded by SLC3A2, corresponding to the heavy chain of a heterodimeric
transmembrane amino-acid transporter, including LAT1. CD98hc is widely
overexpressed in several types of cancers and contributes to the process of
tumorigenesis by interfering with cell proliferation, adhesion, and migration. We
first validated PTPRJ-CD98hc interaction, then demonstrated that PTPRJ
overexpression dramatically reduces CD98hc protein levels in A549 lung cancer
cells. In addition, following to the treatment of PTPRJ-transduced cells with
MG132, a proteasome inhibitor, CD98hc levels did not decrease compared to
controls, indicating that PTPRJ is involved in the regulation of CD98hc
proteasomal degradation. Moreover, PTPRJ overexpression combined with CD98hc
silencing consistently reduced cell proliferation and triggered apoptosis of lung
cancer cells. Interestingly, by interrogating the can Evolve database, we
observed an inverse correlation between PTPRJ and SLC3A2 gene expression. Indeed,
the non-small cell lung cancers (NSCLCs) of patients showing a short survival
rate express the lowest and the highest levels of PTPRJ and SLC3A2, respectively.
Therefore, the results reported here contribute to shed lights on PTPRJ signaling
in cancer cells: moreover, our findings also support the development of a novel
anticancer therapeutic approach by targeting the pathway of PTPRJ that is usually
downregulated in highly malignant human neoplasias.
PMID- 29805739
TI - Prognostic value of CD8CD45RO tumor infiltrating lymphocytes in patients with
extrahepatic cholangiocarcinoma.
AB - Cholangiocarcinoma is a malignancy arising from the biliary tract epithelial
cells with poor prognosis. Tumor infiltrating lymphocytes (TIL)s and programmed
cell death receptor ligand 1 (PD-L1) have a prognostic impact in various solid
tumors. We aimed to investigate TILs and PD-L1 expression and their clinical
relevance in cholangiocarcinoma. Tumor samples from 44 patients with resected and
histologically verified extrahepatic cholangiocarcinoma were evaluated for CD8,
CD45RO and PD-L1 expression, and their correlations with clinicopathological data
and survival data were analyzed. Total 44 extrahepatic cholangiocarcinoma tissues
were evaluated. CD8+ tumor infiltrating lymphocytes (TIL)s were observed in 30
(68%) tumors. Among them, 14 had CD8+CD45RO+ TILs. PD-L1 was expressed on cancer
cells in 10 (22.7%) tumors in 34 evaluable extrahepatic cholangiocarciniomas. The
presence of CD8+ TILs or CD8+CD45RO+ TILs was not associated with clinical
staging or tumor differentiation. Extrahepatic cholangiocarcinoma with
CD8+CD45RO+ TILs had longer overall survival (OS) on univariate (P = 0.013) and
multivariate (P = 0.012) analysis. Neither CD8+TIL nor PD-L1 expression on cancer
cells correlated significantly with OS. These results add to the understanding of
the clinical features associated with CD8 TILs and PD-L1 expression in
extrahepatic cholangiocarcinoma, and they support the potential rationale of
using PD-1 blockade immunotherapy in cholangiocarcinoma.
PMID- 29805738
TI - The multifunctional protein YB-1 potentiates PARP1 activity and decreases the
efficiency of PARP1 inhibitors.
AB - Y-box-binding protein 1 (YB-1) is a multifunctional cellular factor overexpressed
in tumors resistant to chemotherapy. An intrinsically disordered structure
together with a high positive charge peculiar to YB-1 allows this protein to
function in almost all cellular events related to nucleic acids including RNA,
DNA and poly(ADP-ribose) (PAR). In the present study we show that YB-1 acts as a
potent poly(ADP-ribose) polymerase 1 (PARP1) cofactor that can reduce the
efficiency of PARP1 inhibitors. Similarly to that of histones or polyamines,
stimulatory effect of YB-1 on the activity of PARP1 was significantly higher than
the activator potential of Mg2+ and was independent of the presence of EDTA. The
C-terminal domain of YB-1 proved to be indispensable for PARP1 stimulation. We
also found that functional interactions of YB-1 and PARP1 can be mediated and
regulated by poly(ADP-ribose).
PMID- 29805740
TI - Fenfluramine diminishes NMDA receptor-mediated seizures via its mixed activity at
serotonin 5HT2A and type 1 sigma receptors.
AB - Fenfluramine exhibits antiepileptic properties and thus diminishes epileptiform
discharges in experimental animal models of Dravet syndrome. Fenfluramine is
metabolized into norfenfluramine in vivo, which shows greater affinity and
agonist activity at serotonin 5HT2 receptors (5HT2R) than fenfluramine. In this
study, we found that fenfluramine and norfenfluramine disrupted the regulatory
association of the sigma 1 receptor (sigma1R) with NR1 subunits of glutamate N
methyl-D-aspartate receptors (NMDAR), an effect that was also produced by sigma1R
antagonists such as S1RA and prevented by sigma1R agonists such as PPCC. The
antagonists removed sigma1R bound to NMDAR NR1 subunits enabling calcium
regulated calmodulin (CaM) to bind to those subunits. As a result, CaM may
inhibit calcium permeation through NMDARs. The serotoninergic activity of
fenfluramine at 5HT2AR, and likely also at 5HT2CR, collaborated with its activity
at sigma1Rs to prevent the convulsive syndrome promoted by NMDAR overactivation.
Notably, fenfluramine enhanced the inhibitory coupling of G protein-coupled
receptors such as 5HT1AR and cannabinoid type 1 receptor with NMDARs, thus
allowing the more effective restrain of NMDAR activity. Thus, fenfluramine
circumvents the negative side effects of direct NMDAR antagonists and may improve
the quality of life of subjects affected by such proconvulsant dysfunctions.
PMID- 29805741
TI - Pilot study of dovitinib in patients with von Hippel-Lindau disease.
AB - Von Hippel-Lindau (VHL) disease is an autosomal dominant disease occurring in 1
in 35,000 births and leads to an increased risk of a phenotypically diverse array
of tumor types including, but not limited to, clear cell renal cell carcinoma
(ccRCC) and hemangioblastomas (HBs). Previous studies of patients with VHL
disease treated with the tyrosine kinase inhibitor (TKI) sunitinib did not show
clinical response in HBs. Interestingly, VHL-related HBs displayed increased
fibroblast growth factor receptor 3 (FGFR3) protein expression when compared to
VHL-related ccRCCs. Therefore, in this pilot study, we assessed the safety and
efficacy profile of TKI 258 (dovitinib), a multi-tyrosine kinase inhibitor of
VEGF receptor and fibroblast growth factor (FGF), in patients with VHL disease
who had measureable HBs. The trial was stopped after six patients enrolled after
the toxicity stopping rule was triggered. With regards to safety, 6/6 subjects
had at least one adverse event (AE). Best response in 6/6 subjects was stable
disease (SD) in HBs. While the negative safety and efficacy results of this pilot
study do not favor the use of dovitinib for the treatment of asymptomatic HBs in
VHL disease patients, further investigation into alternative scheduling and other
FGFR inhibitors for the treatment of HBs in VHL disease patients is warranted
given the promising pre-clinical and molecular data.
PMID- 29805742
TI - Antitumoral and antimetastatic activity of Maitake D-Fraction in triple-negative
breast cancer cells.
AB - Triple-negative breast cancer (TNBC) is associated with poor prognosis, high
local recurrence rate and high rate of metastasis compared with other breast
cancer subtypes. In addition, TNBC lacks a targeted therapy. This scenario
highlights the need for novel compounds with high potential for TNBC treatment.
In this regard, natural products are important sources of anticancer drugs. D
Fraction, a proteoglucan extracted from the edible and medicinal mushroom Grifola
frondosa (Maitake), is a dietary supplement that has been shown to exert both
immunostimulatory and immune-independent antitumoral effects on some cancer
types. However, its antitumoral potential in TNBC is unknown. Therefore, we
employed TNBC cells to investigate if D-Fraction is able to attenuate their
aggressive phenotype. We found that D-Fraction decreases MDA-MB-231 cell
viability through apoptosis induction and reduces their metastatic potential. D
Fraction increases cell-cell adhesion by increasing E-cadherin protein levels and
beta-catenin membrane localization, and increases cell-substrate adhesion. D
Fraction also decreases cell motility by affecting actin cytoskeleton
rearrangements, and proteolytic activity of MMP-2 and MMP-9. Furthermore, D
Fraction decreases the invasive capacity of MDA-MB-231 cells. In concordance, D
Fraction retards tumor growth and reduces lung metastases in a xenograft model.
Altogether, these results suggest the potential therapeutic role of D-Fraction in
aggressive TNBC.
PMID- 29805743
TI - A new metabolic gene signature in prostate cancer regulated by JMJD3 and EZH2.
AB - Histone methylation is essential for gene expression control. Trimethylated
lysine 27 of histone 3 (H3K27me3) is controlled by the balance between the
activities of JMJD3 demethylase and EZH2 methyltransferase. This epigenetic mark
has been shown to be deregulated in prostate cancer, and evidence shows H3K27me3
enrichment on gene promoters in prostate cancer. To study the impact of this
enrichment, a transcriptomic analysis with TaqMan Low Density Array (TLDA) of
several genes was studied on prostate biopsies divided into three clinical
grades: normal (n = 23) and two tumor groups that differed in their
aggressiveness (Gleason score <= 7 (n = 20) and >7 (n = 19)). ANOVA demonstrated
that expression of the gene set was upregulated in tumors and correlated with
Gleason score, thus discriminating between the three clinical groups. Six genes
involved in key cellular processes stood out: JMJD3, EZH2, MGMT, TRA2A, U2AF1 and
RPS6KA2. Chromatin immunoprecipitation demonstrated collocation of EZH2 and JMJD3
on gene promoters that was dependent on disease stage. Gene set expression was
also evaluated on prostate cancer cell lines (DU 145, PC-3 and LNCaP) treated
with an inhibitor of JMJD3 (GSK-J4) or EZH2 (DZNeP) to study their involvement in
gene regulation. Results showed a difference in GSK-J4 sensitivity under PTEN
status of cell lines and an opposite gene expression profile according to
androgen status of cells. In summary, our data describe the impacts of JMJD3 and
EZH2 on a new gene signature involved in prostate cancer that may help identify
diagnostic and therapeutic targets in prostate cancer.
PMID- 29805745
TI - Brief report: RRx-001 is a c-Myc inhibitor that targets cancer stem cells.
AB - The goal of anticancer therapy is to selectively eradicate all malignant cells.
Unfortunately for the majority of patients with metastatic disease, this goal is
consistently thwarted by the nearly inevitable development of therapeutic
resistance; the main driver of therapeutic resistance is a minority subpopulation
of cancer cells called cancer stem cells (CSCs) whose mitotic quiescence
essentially renders them non-eradicable. The Wnt signaling pathway has been
widely implicated as a regulator of CSCs and, therefore, its inhibition is
thought to result in a reversal of therapeutic resistance via loss of stem cell
properties. RRx-001 is a minimally toxic redox-active epi-immunotherapeutic
anticancer agent in Phase III clinical trials that sensitizes tumors to radiation
and cytotoxic chemotherapies. In this article, as a potential mechanism for its
radio- and chemosensitizing activity, we report that RRx-001 targets CD133 +
/CD44 + cancer stem cells from three colon cancer cell-lines, HT-29, Caco-2, and
HCT116, and inhibits Wnt pathway signalling with downregulation of c-Myc.
PMID- 29805744
TI - Oxaliplatin-induced blood brain barrier loosening: a new point of view on
chemotherapy-induced neurotoxicity.
AB - Oxaliplatin is a key drug in the treatment of advanced metastatic colorectal
cancer. Despite its beneficial effects in tumor reduction, the most prevalent
side-effect of oxaliplatin treatment is a chemotherapy-induced neuropathy that
frequently forces to discontinue the therapy. Indeed, along with direct damage to
peripheral nerves, the chemotherapy-related neurotoxicity involves also the
central nervous system (CNS) as demonstrated by pain chronicity and cognitive
impairment (also known as chemobrain), a newly described pharmacological side
effect. The presence of the blood brain barrier (BBB) is instrumental in
preventing the entry of the drug into the CNS; here we tested the hypothesis that
oxaliplatin might enter the endothelial cells of the BBB vessels and trigger a
signaling pathway that induce the disassembly of the tight junctions, the
critical components of the BBB integrity. By using a rat brain endothelial cell
line (RBE4) we investigated the signaling pathway that ensued the entry of
oxaliplatin within the cell. We found that the administration of 10 MUM
oxaliplatin for 8 and 16 h induced alterations of the tight junction (TJs)
proteins zonula occludens-1 (ZO-1) and of F-actin, thus highlighting BBB
alteration. Furthermore, we reported that intracellular oxaliplatin rapidly
induced increased levels of reactive oxygen species and endoplasmic reticulum
stress, assessed by the evaluation of glucose-regulated protein GRP78 expression
levels. These events were accompanied by activation of caspase-3 that led to
extracellular ATP release. These findings suggested a possible novel mechanism of
action for oxaliplatin toxicity that could explain, at least in part, the
chemotherapy-related central effects.
PMID- 29805746
TI - Italian real life experience with ibrutinib: results of a large observational
study on 77 relapsed/refractory mantle cell lymphoma.
AB - Although sometimes presenting as an indolent lymphoma, mantle cell lymphoma (MCL)
is an aggressive disease, hardly curable with standard chemo-immunotherapy.
Current approaches have greatly improved patients' outcomes, nevertheless the
disease is still characterized by high relapse rates. Before approval by EMA,
Italian patients with relapsed/refractory MCL were granted ibrutinib early access
through a Named Patient Program (NPP). An observational, retrospective,
multicenter study was conducted. Seventy-seven heavily pretreated patients were
enrolled. At the end of therapy there were 14 complete responses and 14 partial
responses, leading to an overall response rate of 36.4%. At 40 months overall
survival was 37.8% and progression free survival was 30%; disease free survival
was 78.6% at 4 years: 11/14 patients are in continuous complete response with a
median of 36 months of follow up. Hematological toxicities were manageable, and
main extra-hematological toxicities were diarrhea (9.4%) and lung infections
(9.0%). Overall, 4 (5.2%) atrial fibrillations and 3 (3.9%) hemorrhagic syndromes
occurred. In conclusions, thrombocytopenia, diarrhea and lung infections are the
relevant adverse events to be clinically focused on; regarding effectiveness,
ibrutinib is confirmed to be a valid option for refractory/relapsed MCL also in a
clinical setting mimicking the real world.
PMID- 29805747
TI - Forskolin increases the effect of everolimus on aromatase inhibitor-resistant
breast cancer cells.
AB - Aromatase inhibitor (AI) resistance is a major obstacle in the treatment of
estrogen receptor-positive breast cancer. Everolimus (EVE) ameliorates AI
resistant breast cancer and is therefore used in cancer treatment. However, some
patients show resistance to EVE. Here, we used 30 clones of long-term estrogen
deprived (LTED) MCF-7 cells as a model of AI-resistant breast cancer. We examined
changes in protein phosphatase type 2A (PP2A) and cancerous inhibitor of PP2A
(CIP2A), a negative regulator of PP2A, in LTED cells treated with EVE. In LTED
cells with high sensitivity to EVE, CIP2A expression decreased at low EVE
concentrations; however, in LTED cells poorly sensitive to EVE, CIP2A and PP2A
did not change upon exposure to EVE. Therefore, we hypothesized that there is a
relation between expression of CIP2A and sensitivity to EVE. Knockdown of CIP2A
increased the sensitivity to EVE in three clones poorly sensitive to EVE.
Additionally, we found that treatment with FSK, which activates PP2A, increased
the sensitivity of the cells to EVE. Our data point to CIP2A and PP2A as novel
therapeutic targets for AI-resistant breast cancer.
PMID- 29805748
TI - Limited fibrosis accompanies triple-negative breast cancer metastasis in multiple
model systems and is not a preventive target.
AB - The lysophosphatidic acid receptor 1 (LPAR1) is mechanistically implicated in
both tumor metastasis and tissue fibrosis. Previously, metastasis was increased
when fulminant fibrosis was first induced in mice, suggesting a direct connection
between these processes. The current report examined the extent of metastasis
induced fibrosis in breast cancer model systems, and tested the metastasis
preventive efficacy and fibrosis attenuation of antagonists for LPAR1 and
Idiopathic Pulmonary Fibrosis (IPF) in breast and ovarian cancer models. Staining
analysis demonstrated only focal, low-moderate levels of fibrosis in lungs from
eleven metastasis model systems. Two orally available LPAR1 antagonists,
SAR100842 and EPGN9878, significantly inhibited breast cancer motility to LPA in
vitro. Both compounds were negative for metastasis prevention and failed to
reduce fibrosis in the experimental MDA-MB-231T and spontaneous murine 4T1 in
vivo breast cancer metastasis models. SAR100842 demonstrated only occasional
reductions in invasive metastases in the SKOV3 and OVCAR5 ovarian cancer
experimental metastasis models. Two approved drugs for IPF, nintedanib and
pirfenidone, were investigated. Both were ineffective at preventing MDA-MB-231T
metastasis, with no attenuation of fibrosis. In summary, metastasis-induced
fibrosis is only a minor component of metastasis in untreated progressive breast
cancer. LPAR1 antagonists, despite in vitro evidence of specificity and efficacy,
were ineffective in vivo as oral agents, as were approved IPF drugs. The data
argue against LPAR1 and fibrosis as monotherapy targets for metastasis prevention
in triple-negative breast cancer and ovarian cancer.
PMID- 29805749
TI - Indoleamine 2,3-dioxygenase 1 and overall survival of patients diagnosed with
esophageal cancer.
AB - Background: Indoleamine 2,3-dioxygenase 1 (IDO1) is an enzyme with
immunomodulatory properties that has emerged as a potential immunotherapeutic
target in human cancer. However, the role, expression pattern, and relevance of
IDO1 in esophageal cancer (EC) are poorly understood. Here, we utilize gene
expression analysis of the cancer genome atlas (TCGA) and immunohistochemistry
(IHC) to better understand the role and prognostic significance of IDO1 in EC.
Results: High IDO1 mRNA levels were associated with worse overall survival (OS)
in both esophageal squamous cell carcinoma (SCC) (P = 0.02) and adenocarcinoma
(AC) (P = 0.036). High co-expression of IDO1 and programmed death ligand 1 (PD
L1) was associated with worse OS in SCC (P = 0.0031) and AC (P = 0.0186). IHC for
IDO1 in SCC showed a significant correlation with PD-L1 (P < 0.0001) and
CD3epsilon (P < 0.0001). Conclusions: EC with high IDO1 and PD-L1 expression is
significantly correlated with decreased patient survival, and may correlate with
increased T-cells. These data suggest that simultaneous inhibition of IDO1 and PD
(L)1 may overcome important barriers to T-cell mediated immune rejection of EC.
Materials and Methods: mRNA expression data from TCGA (SCC N = 87; AC N = 97).
IHC in a second cohort of EC (N = 93) were stained for IDO1, PD-L1, and
CD3epsilon, followed by light microscopic analysis.
PMID- 29805751
TI - The cyclin-dependent kinase inhibitor flavopiridol (alvocidib) inhibits
metastasis of human osteosarcoma cells.
AB - Osteosarcoma is the most common primary malignant neoplasm of bone and typically
occurs in children and young adults. As a highly metastatic malignancy, 15-20% of
osteosarcoma patients are diagnosed after the tumor has already metastasized
(typically to the lungs), which translates to 5-year survival rates of <40%.
Here, we tested the effect of the cyclin-dependent kinase (CDK) inhibitor
flavopiridol (alvocidib) in U2OS, SaOS-2, SJSA-1, and 143B osteosarcoma tumor
cells in vitro and in vivo. Our results show that flavopiridol can drastically
decrease survival in these osteosarcoma cell lines at nanomolar concentrations
and induce mitotic catastrophe in p53-null osteosarcomas. We also performed
transcriptome analysis (RNA-seq) of flavopiridol-treated osteosarcoma cells,
which revealed significant changes in genes coding for proteins involved in cell
cell and cell-matrix adhesions, including cadherin 3 (CDH3) and 4 (CDH4). These
transcriptional changes translated to a striking reduction in the ability of
osteosarcoma cells to migrate and invade in vitro. Further, in vivo assessment of
the effects of flavopiridol on osteosarcoma metastasis resulted in a significant
reduction in the number of lung metastases in mice treated with flavopiridol at
concentrations that are physiologically tolerable. This study suggests that
flavopiridol, likely in combination with other cytotoxic chemotherapeutic agents,
may be a promising drug for the treatment of osteosarcoma.
PMID- 29805750
TI - Identification of RECK as an evolutionarily conserved tumor suppressor gene for
zebrafish malignant peripheral nerve sheath tumors.
AB - Malignant peripheral nerve sheath tumors (MPNSTs) are a type of sarcoma with poor
prognosis due to their complex genetic changes, invasive growth, and
insensitivity to chemo- and radiotherapies. One of the most frequently lost
chromosome arms in human MPNSTs is chromosome 9p. However, the cancer driver
genes located on it remain largely unknown, except the tumor suppressor gene, p16
(INK4)/CDKN2A. Previously, we identified RECK as a tumor suppressor gene
candidate on chromosome 9p using zebrafish-human comparative oncogenomics. In
this study, we investigated the tumorigenesis of the reck gene using zebrafish
genetic models in both tp53 and ribosomal protein gene mutation background. We
also examined the biological effects of RECK gene restoration in human MPNST cell
lines. These results provide the first genetic evidence that reck is a bona fide
tumor suppressor gene for MPNSTs in zebrafish. In addition, restoration of the
RECK gene in human MPNST cells leads to growth inhibition suggesting that the
reactivation of RECK could serve as a potential therapeutic strategy for MPNSTs.
PMID- 29805752
TI - Breast cancer stem cell-like cells generated during TGFbeta-induced EMT are
radioresistant.
AB - Failure of conventional antitumor therapy is commonly associated with cancer stem
cells (CSCs), which are often defined as inherently resistant to radiation and
chemotherapeutic agents. However, controversy about the mechanisms involved in
the radiation response remains and the inherent intrinsic radioresistance of CSCs
has also been questioned. These discrepancies observed in the literature are
strongly associated with the cell models used. In order to clarify these
contradictory observations, we studied the radiosensitivity of breast CSCs using
purified CD24-/low/CD44+ CSCs and their corresponding CD24+/CD44low non-stem
cells. These cells were generated after induction of the epithelial-mesenchymal
transition (EMT) by transforming growth factor beta (TGFbeta) in immortalized
human mammary epithelial cells (HMLE). Consequently, these 2 cellular
subpopulations have an identical genetic background, their differences being
related exclusively to TGFbeta-induced cell reprogramming. We showed that
mesenchymal CD24-/low/CD44+ CSCs are more resistant to radiation compared with
CD24+/CD44low parental cells. Cell cycle distribution and free radical
scavengers, but not DNA repair efficiency, appeared to be intrinsic determinants
of cellular radiosensitivity. Finally, for the first time, we showed that reduced
radiation-induced activation of the death receptor pathways (FasL, TRAIL and TNF
alpha) at the transcriptional level was a key causal event in the radioresistance
of CD24-/low/CD44+ cells acquired during EMT.
PMID- 29805753
TI - Identification of radiation responsive genes and transcriptome profiling via
complete RNA sequencing in a stable radioresistant U87 glioblastoma model.
AB - The absence of major progress in the treatment of glioblastoma (GBM) is partly
attributable to our poor understanding of both GBM tumor biology and the
acquirement of treatment resistance in recurrent GBMs. Recurrent GBMs are
characterized by their resistance to radiation. In this study, we used an
established stable U87 radioresistant GBM model and total RNA sequencing to shed
light on global mRNA expression changes following irradiation. We identified many
genes, the expressions of which were altered in our radioresistant GBM model,
that have never before been reported to be associated with the development of
radioresistant GBM and should be concertedly further investigated to understand
their roles in radioresistance. These genes were enriched in various biological
processes such as inflammatory response, cell migration, positive regulation of
epithelial to mesenchymal transition, angiogenesis, apoptosis, positive
regulation of T-cell migration, positive regulation of macrophage chemotaxis, T
cell antigen processing and presentation, and microglial cell activation involved
in immune response genes. These findings furnish crucial information for
elucidating the molecular mechanisms associated with radioresistance in GBM.
Therapeutically, with the global alterations of multiple biological pathways
observed in irradiated GBM cells, an effective GBM therapy may require a cocktail
carrying multiple agents targeting multiple implicated pathways in order to have
a chance at making a substantial impact on improving the overall GBM survival.
PMID- 29805754
TI - Levels of miR-126 and miR-218 are elevated in ductal carcinoma in situ (DCIS) and
inhibit malignant potential of DCIS derived cells.
AB - A substantial number of ductal carcinoma in situ (DCIS) detected by mammography
never progress to invasive ductal carcinoma (IDC) and current approaches fail to
identify low-risk patients not at need of adjuvant therapies. We aimed to
identify the key miRNAs protecting DCIS from malignant evolution, that may
constitute markers for non-invasive lesions. We studied 100 archived DCIS
samples, including pure DCIS, DCIS with adjacent IDC and pure DCIS from patients
with subsequent IDC in contralateral breast or no recurrence. A DCIS derived cell
line was used for molecular and cellular studies. A genome wide study revealed
that pure DCIS has higher miR-126 and miR-218 expression than DCIS with adjacent
IDC lesions or than IDC. The down-regulation of miR-126 and miR-218 promoted
invasiveness in vitro and, in patients with pure DCIS, was associated with later
onset of IDC. Survival studies of independent cohorts indicated that both miRNAs
play a protective role in IDC. The clinical findings are in agreement with the
miRNAs' roles in cell adhesion, differentiation and proliferation. We propose
that miR-126 and miR-218 have a protective role in DCIS and represent novel
biomarkers for the risk assessment in women with early detection of breast
cancer.
PMID- 29805755
TI - Highly expressed placental miRNAs control key biological processes in human
cancer cell lines.
AB - Despite being a healthy tissue, the constituent cells of the placenta, share
similar characteristics with tumor cells, such as increased cell growth,
migration, and invasion. However, while these processes are stochastic and
uncontrolled in cancer cells, in placenta they are precisely controlled. Since
miRNAs have been reported to regulate genes that control the molecular mechanisms
necessary for the development of both human placenta and cancer, we addressed for
miRNAs highly expressed in the placenta that could be involved in tumorigenesis.
Here, we assessed the miRNA profile in placenta samples using microarray
analysis. The results showed that miR-451 and miR-720, highly expressed placental
miRNAs, presented very low or undetectable expression in cancer cell lines
compared to the normal placenta and healthy tissues. Additionally, transfection
of miR-451 or miR-720 mimics in choriocarcinoma cell line (JEG3) and colorectal
adenocarcinoma cell line (HT-29) resulted in impaired cell proliferation,
decreased cell migration and invasion and reduced ability of colony formation.
These findings provide evidence that placenta may work as an alternative model to
identify novel miRNAs involved in pathways controlling tumorigenesis.
PMID- 29805756
TI - Both the intratumoral immune and microbial microenvironment are linked to
recurrence in human colon cancer: results from a prospective, multicenter nodal
ultrastaging trial.
AB - Colon cancer (CC) is the third most common cancer diagnosed in the United States
and the incidence has been rising among young adults. We and others have shown a
relationship between the immune infiltrate and prognosis, with improved disease
free survival (DFS) being associated with a higher expression of CD8+ T cells. We
hypothesized that a microbial signature might be associated with intratumoral
immune cells as well as DFS. We found that the relative abundance of one
Operational Taxonomic Unit (OTU), OTU_104, was significantly associated with
recurrence even after applying false discovery correction (HR 1.21, CI 1.08 to
1.36). The final multivariable model showed that DFS was influenced by three
parameters: N-stage, CD8+ labeling, as well as this OTU_104 belonging to the
order Clostridiales. Not only were CD8+ labeling and OTU_104 significant
contributors in the final DFS model, but they were also inversely correlated to
each other (p=0.022). Interestingly, CD8+ was also significantly associated with
the microbiota composition in the tumor: CD8+ T cells was inversely correlated
with alpha diversity (p=0.027) and significantly associated with the beta
diversity. This study is the first to demonstrate an association among the
intratumoral microbiome, CD8+ T cells, and recurrence in CC. An increased
relative abundance of a specific OTU_104 was inversely associated with CD8+ T
cells and directly associated with CC recurrence. The link between this microbe,
CD8+ T cells, and DFS has not been previously shown.
PMID- 29805757
TI - miRNA122a regulation of gene therapy vectors targeting hepatocellular cancer stem
cells.
AB - In this study, we report a miRNA122a based targeted gene therapy for
hepatocellular cancer stem cells (CSCs). First, we assessed the levels of
miRNA122a in normal human hepatocytes, a panel of hepatocellular carcinoma (HCC)
cell lines and hepatocellular CSCs observing its significant downregulation in
HCC and CSCs. The miRNA122a binding site was then incorporated at the 3'-UTR of
reporter genes gaussia luciferase (GLuc) and eGFP which resulted in significant
hepatocyte detargeting. Using this strategy for the delivery of gene directed
enzyme prodrug therapy (GDEPT) utilizing the cytosine deaminase/5-fluorocytosine
(CD/5-FC) system, we showed significant killing in cells with low or no miRNA122a
while those cells, such as hepatocytes with high miRNA122a were largely spared.
Next, we showed that CSC enriched tumorspheres exhibit a significant
downregulation of miRNA122a expression providing a rational to exploit its
binding site for targeted gene delivery. Using plasmids harboring reporters GLuc
and eGFP with or without miR122a binding sites, we showed high reporter
expression in the CSCs and little reported expression in the non-enriched
cultures. Finally, we demonstrate the efficacy of miRNA122a based post
transcriptionally targeted GDEPT for hepatocellular CSCs.
PMID- 29805758
TI - Toll-like receptor expression and function differ between splenic marginal zone B
cell lymphoma and splenic diffuse red pulp B cell lymphoma.
AB - In splenic marginal zone lymphoma (SMZL), specific and functional Toll-like
Receptor (TLR) patterns have been recently described, suggesting their
involvement in tumoral proliferation. Splenic diffuse red pulp lymphoma with
villous lymphocytes (SDRPL) is close to but distinct from SMZL, justifying here
the comparison of TLR patterns and functionality in both entities. Distinct TLR
profiles were observed in both lymphoma subtypes. SDRPL B cells showed higher
expression of TLR7 and to a lesser degree TLR9, in comparison to SMZL B cells. In
both entities, TLR7 and TLR9 pathways appeared functional, as shown by IL-6
production upon TLR7 and TLR9 agonists stimulations. Interestingly, circulating
SDRPL, but not SMZL B cells, constitutively expressed CD86. In addition,
stimulation with both TLR7 and TLR9 agonists significantly increased CD80
expression in circulating SDRPL but not SMZL B cells. Finally, TLR7 and TLR9
stimulations had no impact on proliferation and apoptosis of SMZL or SDRPL B
cells. In conclusion, SMZL and SDRPL may derive from different splenic memory B
cells with specific immunological features that can be used as diagnosis markers
in the peripheral blood.
PMID- 29805759
TI - Histogram analysis parameters of apparent diffusion coefficient reflect tumor
cellularity and proliferation activity in head and neck squamous cell carcinoma.
AB - Our purpose was to analyze associations between apparent diffusion coefficient
(ADC) histogram analysis parameters and histopathologicalfeatures in head and
neck squamous cell carcinoma (HNSCC). The study involved 32 patients with primary
HNSCC. For every tumor, the following histogram analysis parameters were
calculated: ADCmean, ADCmax, ADCmin, ADCmedian, ADCmode, P10, P25, P75, P90,
kurtosis, skewness, and entropy. Furthermore, proliferation index KI 67, cell
count, total and average nucleic areas were estimated. Spearman's correlation
coefficient (p) was used to analyze associations between investigated parameters.
In overall sample, all ADC values showed moderate inverse correlations with KI
67. All ADC values except ADCmax correlated inversely with tumor cellularity.
Slightly correlations were identified between total/average nucleic area and
ADCmean, ADCmin, ADCmedian, and P25. In G1/2 tumors, only ADCmode correlated well
with Ki67. No statistically significant correlations between ADC parameters and
cellularity were found. In G3 tumors, Ki 67 correlated with all ADC parameters
except ADCmode. Cell count correlated well with all ADC parameters except ADCmax.
Total nucleic area correlated inversely with ADCmean, ADCmin, ADCmedian, P25, and
P90. ADC histogram parameters reflect proliferation potential and cellularity in
HNSCC. The associations between histopathology and imaging depend on tumor
grading.
PMID- 29805760
TI - The use of helical tomotherapy in the treatment of early stage breast cancer:
indications, tolerance, efficacy-a single center experience.
AB - Purpose: to evaluate our experience in terms of local control, survival, adverse
effects in patients treated by adjuvant helical tomotherapy (HT) for breast
cancer (BC). Results: We studied 179 consecutive patients with 194 treated
breasts with adjuvant HT. Median follow-up was 38.1 months. Median age was 53
years. Chemotherapy was administered to 83% of patients. All 133 hormone receptor
positive tumours received hormonal therapy. As concurrent treatment, apart from
trastuzumab monotherapy, 6 patients received systemic therapy concomitant to RT.
The HT was generally well tolerated with mostly grade 1 and 2 skin reactions and
esophagitis. Only 3% grade III early skin reactions. At last follow-up, there
were 2 local recurrences, 1 regional lymph node (LN) recurrence and 6 with
metastatic progression. The 5-year progression-free survival was 90.5% (95% CI
84.2-97.3). Materials and Methods: A retrospective study of all patients treated
by HT between 2009 and 2015 was done. Patients excluded were those with: breast
implants, advanced or metastatic BC, recurrent disease. All patients received
breast+/-boost or chest wall irradiation and most received with LN irradiation.
Dose constraints for organs at risk were defined using optimization scale
developed in our Department. Evaluation of early and late toxicity was done using
Common Terminology Adverse Criteria Events v.4.0. Conclusions: HT can be used for
a well selected group of breast cancer as bilateral tumours, complex anatomy and
target volumes where the conventional radiation therapy techniques cannot ensure
an optimal dose distribution. Longer follow-up is necessary to confirm and
validate these results.
PMID- 29805761
TI - A high LDH to absolute lymphocyte count ratio in patients with DLBCL predicts for
a poor intratumoral immune response and inferior survival.
AB - Purpose: To test the utility of the circulating Lactate Dehydrogenase (LDH) to
absolute lymphocyte count (ALC) ratio (LAR) to predict outcome to conventional
first-line chemo-immunotherapy in Diffuse Large B-cell Lymphoma (DLBCL), and
investigate its correlation to the tumour immune microenvironment (TME).
Experimental Design: A population based cohort of 210 patients (median age: 64,
range 18-90 years) with median follow up 3.8 years was analysed. All patients
were treated with R-CHOP, and no immunosuppression related cases were included.
Tissue for nanoString gene expression was available in 141. Results: High (i.e.
adverse) LAR was associated with inferior progression free and overall survival
(PFS 45% vs. 78%; OS 56% vs 86%, both p<0.001) at 5-years. Patients with a high
LAR had a strikingly different TME compared to patients with a low ratio. Low LAR
was associated with a good-risk TME immune gene signature (p<0.0001), including
high CD8 and lower M2 macrophage infiltration. COO classification was not
significantly different between high and low LAR patients. LAR was predictive of
outcome independent of cell of origin and the international prognostic index
(IPI). In particular, LAR discriminated patients with high IPI (3-5), showing 5
year PFS and OS of 32% vs. 74% (p=0.0006), and 43% vs. 81% (p=0.0003). A combined
nanoString based immune score and the LAR allowed better prediction of outcome
than either prognosticator alone (p<0.0001). Conclusions: The LAR reflects the
TME within DLBCL, and is a strong predictor of outcome in DLBCL treated with
conventional first-line therapy that is independent of and additive to the IPI.
Further studies are required to determine if this easily applicable blood assay
can determine patients that might benefit from immune checkpoint blockade.
PMID- 29805762
TI - Clinical results of dynamic tumor tracking intensity-modulated radiotherapy with
real-time monitoring for pancreatic cancers using a gimbal mounted linac.
AB - Objectives: We performed dynamic tumor-tracking IMRT (DTT-IMRT) in locally
advanced pancreatic cancer (LAPC) patients using a gimbaled linac of Vero4DRT.
The purpose of this study is to report the first clinical results. Methods: From
June 2013 to June 2015, eleven LAPC patients enrolled in this study and DTT-IMRT
was successfully performed. The locoregional progression free survival (LRPFS),
distant metastasis free survival (DMFS), overall survival (OS), hematologic and
gastrointestinal (GI) toxicities were evaluated. Oncologic outcomes were
estimated using Kaplan-Meier analysis, and toxicities using CTCAE v4.0. Results:
The median radiation dose was 48 Gy (range, 45-51) in 15 fractions. Concurrent
chemoradiotherapy (CCRT) was performed using gemcitabine in 9 patients and S-1 in
one, while one patient refused. With a median follow-up of 22.9 months, 1-year
LRPFS, DMFS, and OS rates were 90.9%, 70.7%, and 100%, respectively. Median
survival time was 23.6 months. Grade-3 leucopenia and neutropenia were observed
in two (18%) and one patient (9%), respectively. Grade-2 acute GI toxicity
occurred in 2 patients (18%) and late grade-3 in 1 patient (9%). Conclusions:
Preliminarily application of DTT-IMRT using a gimbaled linac on CCRT in LAPC
patients resulted in excellent locoregional control and OS without severe
toxicity.
PMID- 29805763
TI - Changes of signal transductivity and robustness of gene regulatory network in the
carcinogenesis of leukemic subtypes via microarray sample data.
AB - Mutation accumulation and epigenetic alterations in genes are important for
carcinogenesis. Because leukemogenesis-related signal pathways have been
investigated and microarray sample data have been produced in acute myeloid
leukemia (AML), myelodysplastic syndromes (MDS) and normal cells, systems
analysis in coupling pathways becomes possible. Based on system modeling and
identification, we could construct the coupling pathways and their associated
gene regulatory networks using microarray sample data. By applying system theory
to the estimated system model in coupling pathways, we can then obtain
transductivity sensitivity, basal sensitivity and error sensitivity of each
protein to identify the potential impact of genetic mutations, epigenetic
alterations and the coupling of other pathways from the perspective of energy,
respectively. By comparing the results in AML, MDS and normal cells, we
investigated the potential critical genetic mutations and epigenetic alterations
that activate or repress specific cellular functions to promote MDS or AML
leukemogenesis. We suggested that epigenetic modification of beta-catenin and
signal integration of CSLs, AP-2alpha, STATs, c-Jun and beta-catenin could
contribute to cell proliferation at AML and MDS. Epigenetic regulation of ERK and
genetic mutation of p53 could lead to the repressed apoptosis, cell cycle arrest
and DNA repair in leukemic cells. Genetic mutation of JAK, epigenetic regulation
of ERK, and signal integration of C/EBPalpha could result in the promotion of MDS
cell differentiation. According to the results, we proposed three drugs,
decitabine, genistein, and monorden for preventing AML leukemogenesis, while
three drugs, decitabine, thalidomide, and geldanamycin, for preventing MDS
leukemogenesis.
PMID- 29805764
TI - Family history of breast cancer increases the risk of prostate cancer: results
from the EPICAP study.
AB - Introduction: Familial aggregation is now well established with an increased risk
of prostate cancer in patients with a family history of prostate cancer in first
degree relatives. The aim of this paper was to investigate the role of family
history of cancer in first degree relatives in prostate cancer risk. Results: As
expected, a family history of prostate cancer in first-degree relatives was more
frequent in cases than in controls (OR 3.10, 95% CI 2.32-4.15). A family history
of early BCa (before age 50) in first-degree relatives was more frequent in cases
than in controls (OR 1.79, 95% CI 1.09-2.94) with higher risk of aggressive PCa.
The association was more pronounced for BCa in daughters (OR 15.26 95% CI 1.95
120). Conclusions: In summary, a family history of BCa in first degree relatives
before age 50 may increases the risk of PCa with higher Gleason score. This
finding could suggest a specific prostate surveillance and/or genetic counselling
for men who present such familial history. Methods: EPIdemiological study of
Prostate CAncer (EPICAP) is a population-based case-control study specifically
designed to investigate the role of environmental and genetic factors in prostate
cancer. Detailed information on family history of cancer in first degree
relatives (parents, brothers and sisters, children) was collected as well as the
age of occurrence and the localization of each cancer. Overall, 819 cases and 879
controls have been included.
PMID- 29805765
TI - Global isoform-specific transcript alterations and deregulated networks in clear
cell renal cell carcinoma.
AB - Extensive genome-wide analyses of deregulated gene expression have now been
performed for many types of cancer. However, most studies have focused on
deregulation at the gene-level, which may overlook the alterations of specific
transcripts for a given gene. Clear cell renal cell carcinoma (ccRCC) is one of
the best-characterized and most pervasive renal cancers, and ccRCCs are well
documented to have aberrant RNA processing. In the present study, we examine the
extent of aberrant isoform-specific RNA expression by reporting a comprehensive
transcript-level analysis, using the new kallisto-sleuth-RATs pipeline,
investigating coding and non-coding differential transcript expression in ccRCC.
We analyzed 50 ccRCC tumors and their matched normal samples from The Cancer
Genome Altas datasets. We identified 7,339 differentially expressed transcripts
and 94 genes exhibiting differential transcript isoform usage in ccRCC.
Additionally, transcript-level coexpression network analyses identified
vasculature development and the tricarboxylic acid cycle as the most
significantly deregulated networks correlating with ccRCC progression. These
analyses uncovered several uncharacterized transcripts, including lncRNAs FGD5
AS1 and AL035661.1, as potential regulators of the tricarboxylic acid cycle
associated with ccRCC progression. As ccRCC still presents treatment challenges,
our results provide a new resource of potential therapeutics targets and
highlight the importance of exploring alternative methodologies in transcriptome
wide studies.
PMID- 29805766
TI - Development of a cell-based assay to identify hepatitis B virus entry inhibitors
targeting the sodium taurocholate cotransporting polypeptide.
AB - Sodium taurocholate cotransporting polypeptide (NTCP) is a major entry receptor
of hepatitis B virus (HBV) and one of the most attractive targets for anti-HBV
drugs. We developed a cell-mediated drug screening method to monitor NTCP
expression on the cell surface by generating a HepG2 cell line with tetracycline
inducible expression of NTCP and a monoclonal antibody that specifically detects
cell-surface NTCP. Using this system, we screened a small molecule library for
compounds that protected against HBV infection by targeting NTCP. We found that
glabridin, a licorice-derived isoflavane, could suppress viral infection by
inducing caveolar endocytosis of cell-surface NTCP with an IC50 of ~40 MUM. We
also found that glabridin could attenuate the inhibitory effect of taurocholate
on type I interferon signaling by depleting the level of cell-surface NTCP. These
results demonstrate that our screening system could be a powerful tool for
discovering drugs targeting HBV entry.
PMID- 29805767
TI - Long non-coding RNA CCAT1 as a diagnostic and prognostic molecular marker in
various cancers: a meta-analysis.
AB - Purpose: Long non-coding RNA colon cancer-associated transcript-1 (CCAT1) is
newly found to be related with diagnoses and prognosis of cancer. This meta
analysis was performed to investigate the relationship between CCAT1 expression
and clinical parameters, including survival condition, lymph node metastasis and
tumor node metastasis grade. Materials and Methods: The primary literatures were
collected through initial search criteria from electronic databases, including
PubMed, OVID Evidence-based medicine Reviews and others (up to May 12, 2017).
Eligible studies were identified and selected by the inclusion and exclusion
criteria. Data was extracted and computed into Hazard ratio (HR) for the
assessment of overall survival, subgroup analyses were prespecified based on the
digestive tract cancer or others. Analysis of different CCAT1 expression related
with lymph node metastasis or tumor node metastasis grade was conducted. Risk of
bias was assessed by the Newcastle-Ottawa Scale. Results: 9 studies were
included. This meta-analysis showed that high CCAT1 expression level was related
to poor overall survival, the pooled HR was 2.42 (95% confidence interval, CI:
1.86-3.16; P < 0.001; fix- effects model), similarly in the cancer type
subgroups: digestive tract cancer (HR, 2.42; 95% CI, 1.79-3.29; P < 0.001; fix-
effects model) and others (HR, 2.42; 95% CI, 1.42-4.13; P = 0.001; fix- effects
model). The analysis showed that high CCAT1 was strongly related to positive
lymph node metastasis (Odds ratio, OR: 3.24; 95% CI, 2.04-5.16; P < 0.001; fix-
effects model), high tumor node metastasis stage (OR, 3.87; 95% CI, 2.53-5.92; P
< 0.001; fix- effects model). Conclusions: In conclusion, this meta-analysis
revealed that CCAT1 had potential as a diagnostic and prognostic biomarker in
various cancers.
PMID- 29805768
TI - Efficacy and safety of carfilzomib in relapsed and/or refractory multiple
myeloma: systematic review and meta-analysis of 14 trials.
AB - Objective: Carfilzomib (Carf) is a second-generation proteasome inhibitor
approved for patients with relapsed and/or refractory multiple myeloma (RRMM) who
failed >= 1 prior lines of therapy. We performed a systematic review of Carf
literature with meta-analysis to determine the efficacy and safety in RRMM
patients. Methods: Based on literature search, we included a total of 14 eligible
phase I/II, phase II and phase III Carf based clinical trials. The cumulative
incidence and odds ratios (OR) were calculated with random effect model, using
''R'' software with metaphor package. Results: 2906 evaluable RRMM patients from
published clinical trials included. The pooled overall response rate (ORR) was
45% (95% CI: 29-62). The pooled clinical benefit rate (CBR) was 56% (95% CI: 41
71). OR from 3 randomized clinical trials showed that Carf significantly improved
ORR and CBR compared to control groups (OR 2.4, P < 0.0001; 2.02, P = 0.0007,
respectively). Subgroup analysis showed significantly better ORR (P < 0.0001) and
CBR (P < 0.001) with combination regimens compared to monotherapy. Response was
significantly higher with high dose of Carf (>20/27 mg/m2) compared to standard
dose (ORR 65% vs. 35%, P = 0.03). Compared to control group, the OR of developing
cardiotoxicity (P = 0.002) and hypertension (P < 0.0001) were significantly
higher with Carf, while no difference in peripheral neuropathy (P = 0.28).
Conclusions: Carf produces significantly better responses with acceptable safety
profile in RRMM patients. Combination regimens and higher dose Carf offers better
response with no significant extra toxicity. Its efficacy is regardless of
cytogenetics or disease stage. Incidences of cardiotoxicity and hypertension seem
higher with Carf.
PMID- 29805769
TI - Efficacy and tolerability of pharmacotherapy for post-stroke depression: a
network meta-analysis.
AB - Background: Post-stroke depression (PSD) occurs in approximately one third of
stroke survivors, leading to great disability and mortality. As there is no
consensus on the optimal pharmacological treatment for PSD, we aimed to evaluate
the relative efficacy and tolerability of the available pharmacological
interventions. Materials and Methods: We did a network meta-analysis to
incorporate evidence from relevant trials providing direct and indirect
comparisons. We searched PubMed, the Cochrane Library Central Register of
Controlled Trials, Embase and the reference lists of relevant articles up to
March, 2017 for randomized controlled trials (RCTs), for different
pharmacotherapies of PSD. For efficacy analysis, the primary outcome was the mean
change in Hamilton Depression Scale (HAMD) score between baseline and endpoint.
For tolerability analysis, the outcome was presented by the discontinuation for
any reason. This study is registered with PROSPERO, number CRD42016049049.
Results: From a total of 869 citations, 15 RCTs with 876 participants were
included. 13 drugs were considered. For efficacy, paroxetine ranked the best for
HAMD reduction, followed by imipramine, reboxetine, nortriptyline, citalopram and
fluoxetine at the end of treatment. However, duloxetine ranked the best at 4-week
and 8-week duration for HAMD reduction. For tolerability, paroxetine ranked the
best but there is no significant result between any comparisons. Conclusions:
Paroxetine is probably the best option to consider for patients with PSD. To get
a quicker relief of depression, duloxetine might be useful for its rapid onset of
antidepressant action. The tolerability was comparable among all the
antidepressants. But more high-quality RCTs are needed.
PMID- 29805770
TI - Safety and pharmacokinetics of DS-6051b in Japanese patients with non-small cell
lung cancer harboring ROS1 fusions: a phase I study.
AB - Oncogenic ROS1 and NTRK fusions were reported in solid tumors, including non
small cell lung cancer (NSCLC). DS-6051b is an oral, potent selective small
molecule tyrosine kinase inhibitor. We report the safety, tolerability, efficacy,
and pharmacokinetics of DS-6051b in 15 Japanese patients with NSCLC harboring
ROS1 fusions. Patients received DS-6051b once daily (400 mg n = 6; 600 mg n = 6;
or 800 mg n = 3) for cycles of 3 weeks. Safety, tolerability, maximum-tolerated
dose, pharmacokinetics, and recommended dose for phase II were determined. Common
treatment-related adverse events were increased: aspartate aminotransferase and
alanine aminotransferase (80.0% each), diarrhea (53.3%), and nausea (46.7%). Dose
limiting toxicities (two grade-3 alanine aminotransferase increases) were seen in
the 800 mg cohort. The maximum-tolerated dose and recommended phase II dose was
600 mg once daily. Plasma concentrations of free DS-6051b and DS-6051a increased
with dose. Compared with a US phase I study, AUC0-24 h on day 15 was higher but
narrowed after body weight correction. Objective response rate was 58.3% in
patients with target lesions (n = 12) and 66.7% in crizotinib-naive patients (n =
9). Disease control rate was 100%. DS-6051b is well tolerated and effective in
Japanese patients with NSCLC harboring ROS1 fusions and might be a targeted
therapy for advanced NSCLC.
PMID- 29805771
TI - Optimal hydration volume among high-risk patients with advanced congestive heart
failure undergoing coronary angiography.
AB - We investigated the relationship between weight-adjusted hydration volumes and
the risk of developing contrast-induced acute kidney injury (CI-AKI) and
worsening heart failure (WHF) and explored the relative safety of optimal
hydration volumes in patients with advanced congestive heart failure (CHF)
undergoing coronary angiography (CAG) or percutaneous coronary intervention. We
included 551 patients with advanced CHF (New York Heart Association class > 2 or
history of pulmonary edema) undergoing CAG (follow-up period 2.62 +/- 0.9 years).
There was a significant association between hydration volume-to-weight ratio
(HV/W) (quintile Q1, Q2, Q3, Q4, and Q5) and the incidence of CI-AKI (3.7%,
14.6%, 14.3%, 21.1%, and 31.5%, respectively) and WHF (3.6%, 5.4%, 8.3%, 13.6%,
and 19.1%, respectively) (all P-trend < 0.001). Receiver operating curve analysis
indicated that HV/W = 15 mL/kg and the mean HV/W (60.87% sensitivity and 64.96%
specificity) were fair discriminators for CI-AKI (C-statistic 0.696). HV/W >15
mL/kg independently predicted CI-AKI (adjusted odds ratio [OR] 2.33; P = 0.016)
and WHF (adjusted OR 2.13; P = 0.018). Moreover, both CI-AKI and WHF were
independently associated with increased long-term mortality. Thus, for high-risk
patients with advanced CHF undergoing CAG, HV/W > 15 mL/kg might be associated
with an increased risk of developing CI-AKI and WHF. The potential benefits of a
personalized limitation of hydration volume need further evaluation.
PMID- 29805772
TI - Prognostic factors of afatinib as a first-line therapy for advanced EGFR mutation
positive lung adenocarcinoma: a real-world, large cohort study.
AB - Lung cancer remains the primary cause of cancer-related mortality worldwide.
Several treatment modalities are available for lung cancer, including surgery,
radiation, and chemotherapy. Among the chemotherapeutics available, afatinib has
been shown to be effective for those with epidermal growth factor receptor (EGFR)
mutation-positive lung adenocarcinoma. Herein, we analyzed the factors affecting
the prognosis of patients who received afatinib as a first-line therapy for
advanced EGFR mutation-positive lung adenocarcinoma in the real-world setting.
Patients who received afatinib as a first-line therapy and were reimbursed by the
National Health Insurance were recruited in this study. Data on patient
characteristics and treatment courses were collected. In total, 259 patients were
enrolled (median follow-up, 22.0 months). Of them, 82 (31.7%) were identified to
have brain metastases at baseline, which were associated with poor Eastern
Cooperative Oncology Group performance status, high incidence of central nervous
system progression, and short overall survival. However, the results of our
analysis showed that overall survival was not affected by reductions in the
afatinib dosage or any upfront local treatments for brain tumors. Multivariate
analyses showed that brain metastases at diagnosis and treatment response to
afatinib are two important prognostic factors for the overall survival of
patients with EGFR mutation-positive lung adenocarcinoma.
PMID- 29805773
TI - Stromal cells in breast cancer as a potential therapeutic target.
AB - Breast cancer in the United States is the second most commonly diagnosed cancer
in women. About 1 in 8 women will develop invasive breast cancer over the course
of her lifetime and breast cancer remains the second leading cause of cancer
related death. In pursuit of novel therapeutic strategies, researchers have
examined the tumor microenvironment as a potential anti-cancer target. In
addition to neoplastic cells, the tumor microenvironment is composed of several
critical normal cell types, including fibroblasts, vascular and lymph endothelial
cells, osteoclasts, adipocytes, and immune cells. These cells have important
roles in healthy tissue stasis, which frequently are altered in tumors. Indeed,
tumor-associated stromal cells often contribute to tumorigenesis, tumor
progression, and metastasis. Consequently, these host cells may serve as a
possible target in anti-tumor and anti-metastatic therapeutic strategies.
Targeting the tumor associated host cells offers the benefit that such cells do
not mutate and develop resistance in response to treatment, a major cause of
failure in cancer therapeutics targeting neoplastic cells. This review discusses
the role of host cells in the tumor microenvironment during tumorigenesis,
progression, and metastasis, and provides an overview of recent developments in
targeting these cell populations to enhance cancer therapy efficacy.
PMID- 29805776
TI - Clinical and microbiological parameters of naturally occurring periodontitis in
the non-human primate Macaca mulatta.
AB - Background: Non-human primates appear to represent the most faithful model of
human disease, but to date the oral microbiome in macaques has not been fully
characterized using next-generation sequencing. Objective: In the present study,
we characterized the clinical and microbiological features of naturally occurring
periodontitis in non-human primates (Macaca mulatta). Design: Clinical parameters
of periodontitis including probing pocket depth (PD) and bleeding on probing
(BOP) were measured in 40 adult macaques (7-22 yrs), at six sites per tooth.
Subgingival plaque was collected from diseased and healthy sites, and subjected
to 16S rDNA sequencing and identification at the species or higher taxon level.
Results: All macaques had mild periodontitis at minimum, with numerous sites of
PD >= 4 mm and BOP. A subset (14/40) had moderate-severe disease, with >2 sites
with PD >= 5mm, deeper mean PD, and more BOP. Animals with mild vs moderate
severe disease were identical in age, suggesting genetic heterogeneity. 16S rDNA
sequencing revealed that all macaques had species that were identical to those in
humans or closely related to human counterparts, including Porphyromonas
gingivalis which was present in all animals. Diseased and healthy sites harboured
distinct microbiomes; however there were no significant differences in the
microbiomes in moderate-severe vs. mild periodontitis. Conclusions: Naturally
occurring periodontitis in older macaques closely resembles human adult
periodontitis, thus validating a useful model to evaluate novel anti-microbial
therapies.
PMID- 29805777
TI - Moving forward in treatment of posttraumatic stress disorder: innovations to
exposure-based therapy.
AB - The field of treatment of posttraumatic stress disorder (PTSD) has been a
pacesetter for the changing face of psychotherapy, as is illustrated in the
introduction of Virtual Reality Exposure Therapy. This paper outlines a novel
approach that builds on a cognitive-motor interaction in a virtual interactive
environment. It is based on the theory of memory reconsolidation and the
embodiment of cognition. The framework we envision allows the patient to 'step
into the past' by using forward motion as an essential ingredient to augment the
impact of exposure to traumatic events. The behavioural response of approaching
that is the exact opposite from the avoidance usually applied by patients and the
enhancement of divergent thinking are the most prominent hypothesized mechanisms
of action. This can contribute to strengthening of personal efficacy and self
reflection that is generated by high emotional engagement, as well as a sense of
accomplishment and enhanced recovery as illustrated by a clinical case example.
We argue that innovations with personalized virtual reality and motion need to be
further investigated and implemented in current therapy settings.
PMID- 29805775
TI - Oxidative stress in female cancers.
AB - Breast, cervical and ovarian cancers are highly prevalent in women worldwide.
Environmental, hormonal and viral-related factors are especially relevant in the
development of these tumors. These factors are strongly related to oxidative
stress (OS) through the generation of reactive oxygen species (ROS). The OS is
caused by an imbalance in the redox status of the organism and is literally
defined as "an imbalance between ROS generation and its detoxification by
biological system leading to impairment of damage repair by cell/tissue". The
multistep progression of cancer suggests that OS is involved in cancer
initiation, promotion and progression. In this review, we described the role of
OS and the interplay with environmental, host and viral factors related to
breast, cervical and ovarian cancers initiation, promotion and progression. In
addition, the role of the natural antioxidant compound curcumin and other
compounds for breast, cervical and ovarian cancers prevention/treatment is
discussed.
PMID- 29805778
TI - Dissociative symptoms mediate the relation between PTSD symptoms and functional
impairment in a sample of military members, veterans, and first responders with
PTSD.
AB - Background: Posttraumatic Stress Disorder (PTSD) is associated with significant
functional impairment in important areas, including interpersonal relationships
and occupational or educational roles. Preliminary evidence suggests that the
dissociative subtype of PTSD (PTSD+DS), characterized by marked symptoms of
depersonalization and derealization, is associated with increased functional
impairment and disease severity, including among military members and veterans
diagnosed with PTSD. Similarly, first responders (e.g. police, fire, paramedics)
have also been found to experience dissociative symptoms. Despite these findings,
little work has investigated whether dissociative symptoms are related to
heightened functional impairment among these populations. Objective: We examined
the relation between functional impairment and symptom level variables, including
dissociative symptoms of depersonalization and derealization among military
members, veterans, and first responders with probable PTSD. We further
investigated the hypothesis that dissociative symptoms mediate the relation
between PTSD symptomatology and functional impairment. Method: Eighty-one medical
charts of inpatients at a residential PTSD treatment programme were accessed via
retrospective review. Sixty-two were included in the present analyses. Comparison
of means on symptom measures between first responders and military
members/veterans were conducted, followed by correlational and mediation
analyses. Results: Compared with first responders, military members and veterans
showed higher levels of derealization, functional impairment, alexithymia,
anxiety, and depression. Within the total sample, dissociative symptoms emerged
as the strongest correlate of functional impairment and, among the dissociative
symptom clusters, derealization symptoms demonstrated the strongest relation with
impairment. Mediation analyses revealed that total dissociative symptoms and
derealization symptoms significantly mediated the relation between PTSD symptoms
and functional impairment. Conclusions: These findings highlight the importance
of assessing and treating dissociative symptoms, consistent with the dissociative
subtype of PTSD, among military members, veterans, and first responders with
PTSD. Successful recovery on a functional and symptomatic level may necessitate
treatment of dissociative symptoms, particularly derealization.
PMID- 29805779
TI - Presence of the dissociative subtype of PTSD does not moderate the outcome of
intensive trauma-focused treatment for PTSD.
AB - Background: There is a widely-held belief in the trauma field that the presence
of dissociative symptoms is associated with poor treatment response. However,
previous research on the effect of dissociation in treatment outcomes pertained
to specific patients and trauma populations. Objective: To test the hypothesis
that the presence of the dissociative subtype of PTSD (DS) would have a
detrimental effect on the outcome of an intensive trauma-focused treatment
programme. Methods: PTSD symptom scores (Clinician Administered PTSD Scale [CAPS]
and PTSD Symptom Scale Self-Report [PSS-SR]) were analysed using the data of 168
consecutive patients (70.6% female) who had been exposed to a wide variety of
multiple traumas, including childhood sexual abuse, and of whom 98.2% were
diagnosed with severe PTSD (CAPS > 65). Most of them suffered from multiple
comorbidities and 38 (22.6%) met the criteria for DS. They took part in an
intensive trauma-focused treatment programme for PTSD. Pre- and post-treatment
differences were compared between patients with and without DS. Results: Large
effect sizes were achieved for PTSD symptom reduction on CAPS and the PSS-SR,
both for patients with DS and those without. Although patients with DS showed a
significantly greater PTSD symptom severity at the beginning, and throughout,
treatment, both groups showed equal reductions in PTSD symptoms. Of those who met
the criteria for DS, 26 (68.4%) no longer fulfilled the criteria for this
classification after treatment. Conclusion: The results provide no support for
the notion that the presence of DS negatively impacts trauma-focused treatment
outcomes. Accordingly, PTSD patients with DS should not be denied effective
trauma-focused treatments.
PMID- 29805780
TI - No gene-by-environment interaction of BDNF Val66Met polymorphism and childhood
maltreatment on anxiety sensitivity in a mixed race adolescent sample.
AB - Background: Anxiety disorders in youth are attributable to multiple causal
mechanisms, comprising biological vulnerabilities, such as genetics and
temperament, and unfavourable environmental influences, such as childhood
maltreatment (CM). Objective: A gene-environment (G x E) interaction study was
conducted to determine the interactive effect of the BDNF Val66Met polymorphism
and CM to increase susceptibility to anxiety sensitivity (AS) in a sample of
mixed race adolescents. Method: Participants (n = 308, mean age = 15.8 years) who
were all secondary school students and who completed measures for AS and CM were
genotyped for the BDNF Val66Met polymorphism. Hierarchical multiple regression
analysis was conducted to assess G x E influences on AS. Age and gender were
included in the models as covariates as age was significantly associated with AS
total score (p < .05), and females had significantly higher AS scores than males
(p < .05). Results: A main effect of CM on AS was evident (p < .05), however, no
main effect of BDNF genotype on AS was observed (p > .05). A non-significant G x
E effect on AS was revealed (p < .05). Conclusions: Our results suggest that CM
does not have a moderating role in the relationship between the BDNF Val66Met
genotype and the increased risk of anxiety-related phenotypes, such as AS. Given
the exploratory nature of this study, findings require replication in larger
samples and adjustment for population stratification to further explore the role
of BDNF Val66Met and CM on AS in mixed race adolescents.
PMID- 29805774
TI - p53 and metabolism: from mechanism to therapeutics.
AB - The tumor cell changes itself and its microenvironment to adapt to different
situations, including action of drugs and other agents targeting tumor control.
Therefore, metabolism plays an important role in the activation of survival
mechanisms to keep the cell proliferative potential. The Warburg effect directs
the cellular metabolism towards an aerobic glycolytic pathway, despite the fact
that it generates less adenosine triphosphate than oxidative phosphorylation;
because it creates the building blocks necessary for cell proliferation. The
transcription factor p53 is the master tumor suppressor; it binds to more than
4,000 sites in the genome and regulates the expression of more than 500 genes.
Among these genes are important regulators of metabolism, affecting glucose,
lipids and amino acids metabolism, oxidative phosphorylation, reactive oxygen
species (ROS) generation and growth factors signaling. Wild-type and mutant p53
may have opposing effects in the expression of these metabolic genes. Therefore,
depending on the p53 status of the cell, drugs that target metabolism may have
different outcomes and metabolism may modulate drug resistance. Conversely,
induction of p53 expression may regulate differently the tumor cell metabolism,
inducing senescence, autophagy and apoptosis, which are dependent on the
regulation of the PI3K/AKT/mTOR pathway and/or ROS induction. The interplay
between p53 and metabolism is essential in the decision of cell fate and for
cancer therapeutics.
PMID- 29805781
TI - Dispositional mindfulness mediates the relationships of parental attachment to
posttraumatic stress disorder and academic burnout in adolescents following the
Yancheng tornado.
AB - Background: Previous studies have shown that parental attachment is associated
with low severity of posttraumatic stress disorder (PTSD) and low academic
burnout in individuals who have experienced traumatic events. Objective: The
present study investigated the ways in which parental attachment is related to
PTSD symptoms and academic burnout in Chinese traumatized adolescents by
considering the role of dispositional mindfulness. Method: A total of 443 Chinese
adolescents who had experienced a severe tornado one year prior to this study
completed measures of parental attachment, dispositional mindfulness, PTSD and
academic burnout. Results: The results showed that our model fitted the data well
[chi2/df = 2.968, CFI = 0.971, TLI = 0.955, RMSEA (90% CI) = 0.067 (0.052-0.082)]
and revealed that dispositional mindfulness partially mediates the relationship
between parental attachment, PTSD severity and academic burnout. Conclusions: The
findings suggested that dispositional mindfulness and parental attachment may be
two critical resources in dealing with traumatization and academic burnout.
PMID- 29805782
TI - Posttraumatic stress and depressive symptoms in children after the Wenchuan
earthquake.
AB - Background: Many studies have reported the comorbidity of posttraumatic stress
disorder (PTSD) and depression in children. However, the underlying relationship
between PTSD and depression remains unclear. Objective: This study examines the
relationship between PTSD and depressive symptoms in children who survived the
Wenchuan earthquake in China. Methods: In total, 301 children were assessed at
four months and then followed up at 29, 40 and 52 months after the disaster. The
ages of the children ranged from 9.6-14.6 years old, and the sample included 157
males and 144 females. The children were assessed by using the University of
California at Los Angeles PTSD reaction index for DSM-IV for PTSD symptoms and
the Children's Depression Inventory for depressive symptoms. Results: Comorbid
PTSD and depressive symptoms were prevalent in 4.0, 3.3, 3.7 and 5.1% of the
participants at times 1, 2, 3 and 4, respectively. The cross-lagged analysis
indicated that PTSD symptoms at time 1 predicted depressive symptoms at time 2;
depressive symptoms at time 1 predicted PTSD symptoms at time 2; depressive
symptoms at time 2 predicted PTSD symptoms at time 3; and depressive symptoms at
time 3 predicted PTSD symptoms at time 4. The findings also showed that being
female, poor parental relationships and trauma exposure were risk factors for
PTSD or depressive symptoms. Conclusions: The results suggest that the causal
relationship between PTSD and depressive symptoms changes over time; the effects
of PTSD symptoms tend to decrease, while those of depressive symptoms tend to
increase. Two stages of the relationship between PTSD and depressive symptoms
were observed, namely, that PTSD and depressive symptoms first influenced each
other and then that depressive symptoms predicted PTSD. The results of our study
also suggest that females with poor parental relationships and a high degree of
trauma exposure are more likely to require intervention.
PMID- 29805784
TI - Seroprevalence of hantaviruses and Leptospira in muskrat and coypu trappers in
the Netherlands, 2016.
AB - Aims: Seoul orthohantavirus (SEOV) and Leptospira spp. are zoonotic pathogens
with rats as main reservoir. Recently, the presence of SEOV in brown rats was
reported in one region in the Netherlands. Brown rats are a frequent bycatch in
traps placed to catch muskrats (Ondatra zibethicus) and coypus (Myocastor
coypus), and thus are a potential health risk for trappers. It was our aim to
determine the seroprevalence of orthohantavirus, specifically SEOV, and
Leptospira spp in Dutch trappers. Methods and results: Participating trappers
provided serum samples and completed an online questionnaire. The serum was
tested for the presence of antibodies against six orthohantaviruses and eight
Leptospira serovars. Two hundred-sixty trappers completed the online
questionnaire (65%), and 246 (61%) and 162 (40%) serum samples were tested for
relevant orthohantaviruses and Leptospira spp., respectively. The seroprevalence
of Puumala orthohantavirus in Dutch trappers was 0.4% (95% CI: 0.1-2.3%). None of
the participants tested positive for SEOV. The seroprevalence of leptospirosis
was 1.2% (95% CI: 0.3-4.4%), although Leptospira spp. are present in brown rats
in the Netherlands.Significance of study: The results indicate that the
infections with orthohantaviruses and leptospires is low for muskrat and coypu
trappers.
PMID- 29805783
TI - A pilot study of user satisfaction and perceived helpfulness of the Swedish
version of the mobile app PTSD Coach.
AB - Background: There is a need for accessible interventions in the aftermath of
traumatic events with documented efficacy for preventing or reducing negative
mental health consequences. The PTSD Coach is a mobile app that has shown to be
effective in reducing symptoms of posttraumatic stress (PTSS). Objective: The
purpose of the current study was to evaluate the user satisfaction, perceived
helpfulness and potential reductions of PTSS and symptoms of depression among
participants using the Swedish version of the PTSD Coach. Method: This was an
uncontrolled pre-test post-test open trial including participants recruited from
the community via advertisement and from an ongoing observational study who had
experienced a potentially traumatic event in the last five years. Participants
had access to the Swedish PTSD Coach app for four weeks. Results: Eleven
participants (mean age = 38.6, female = 8) completed the study. Nine of the
participants met criteria for full or partial PTSD. Results from the PTSD Coach
Survey indicated that participants found the app slightly to moderately helpful
and were slightly to moderately satisfied with the app. Nominal but not
statistically significant reductions of medium effect sizes in PTSS (PCL-5) and
depression (PHQ-9) from pre- to post-assessment were found. In interviews,
participants indicated that they found elements such as learning about PTSD,
breathing exercises and monitoring symptoms helpful in managing symptoms.
However, several participants indicated that they had not used the app as much as
they had intended to. Participants also had suggestions for improvements such as
enhanced app structure and better guidance regarding how to use the app.
Conclusions: The perceived helpfulness and user satisfaction were slightly lower
compared to research on the original version of the app. Experiences from the
study are discussed and a future controlled study of the Swedish version of the
PTSD Coach is suggested.
PMID- 29805785
TI - A longitudinal ecological study of seasonal influenza deaths in relation to
climate conditions in the United States from 1999 through 2011.
AB - Introduction: Influenza is an acute respiratory disease with significant annual
global morbidity/mortality. Influenza transmission occurs in distinct seasonal
patterns suggesting an importance of climate conditions on disease pathogenesis.
This hypothesis-testing study evaluated microenvironment conditions within
different demographic/geographical groups on seasonal influenza deaths in the
United States. Materials and methods:The United States Centers for Disease
Control and Prevention (CDC) Wonder online computer interface was utilized to
integrate and analyze potential correlations in data generated from 1999 through
2011 for climate conditions of mean daily sunlight (KJ/m2), mean daily maximum
air temperature (oC), mean daily minimum air temperature (oC), and mean daily
precipitation (mm) from the North America Land Data Assimilation System (NLDAS)
database and on influenza mortality (ICD-10 codes:J09, J10, or J11) from the
Underlying Cause of Death database. Results and discussion:Significant inverse
correlations between the climate conditions of temperature, sunlight, and
precipitation and seasonal influenza death rate were observed. Similar effects
were observed among males and females, but when the data were separated by race
and urbanization status significant differences were observed. Conclusion: This
study highlights key factors that can help shape public health policy to deal
with seasonal influenza in the United States and beyond.
PMID- 29805786
TI - Detection of Influenza A viruses at migratory bird stopover sites in Michigan,
USA.
AB - Introduction: Influenza A viruses have the potential to cause devastating illness
in humans and domestic poultry. Wild birds are the natural reservoirs of
Influenza A viruses and migratory birds are implicated in their global
dissemination. High concentrations of this virus are excreted in the faeces of
infected birds and faecal contamination of shared aquatic habitats can lead to
indirect transmission among birds via the faecal-oral route. The role of
migratory birds in the spread of avian influenza has led to large-scale
surveillance efforts of circulating avian influenza viruses through direct
sampling of live and dead wild birds. Environmental monitoring of bird habitats
using molecular detection methods may provide additional information on the
persistence of influenza virus at migratory stopover sites distributed across
large spatial scales. Materials and methods: In the current study, faecal and
water samples were collected at migratory stopover sites and evaluated for
Influenza A by real-time quantitative reverse transcriptase PCR. Results and
Discussion: This study found that Influenza A was detected at 53% of the
evaluated stopover sites, and 7% and 4.8% of the faecal and water samples,
respectively, tested positive for Influenza A virus. Conclusion: Environmental
monitoring detected Influenza A at stopover sites used by migratory birds.
PMID- 29805787
TI - The Difference in Interleukin-19 Serum on Degrees of Acne Vulgaris Severity.
AB - Introduction: Acne vulgaris is a multifactorial disease. Recent study showed that
inflammation does have a central role in the formation of both inflammatory and
noninflammatory lesions in acne vulgaris. There are various findings of
proinflammatory cytokines related to acne vulgaris, but no previous study
correlate interleukin- (IL-) 19 to acne vulgaris. This pilot study aims to look
at difference in IL-19 serum concentration on degrees of severity of acne
vulgaris. Methods: This is an analytical observational cross-sectional study.
Sample subjects were patients with acne vulgaris who met the inclusion criteria.
Enzyme-linked immunosorbent assay (ELISA) study was applied to measure IL-19
serum. Result: Analysis test found statistically significant difference between
IL-19 serum concentration of group of patients with mild acne vulgaris and that
of group of patients with severe acne vulgaris. Moreover, analysis revealed
significant difference between IL-19 serum concentration of group of patients
with moderate acne vulgaris and that of group of patients with severe acne
vulgaris. Conclusions: There are differences in serum levels of IL-19 on the
severity of acne vulgaris. The significant difference might show that
inflammation has a core role in severity of acne vulgaris, and IL-19 might
potentially be related to acne vulgaris.
PMID- 29805788
TI - Neuronal damage and shortening of lifespan in C. elegans by peritoneal dialysis
fluid: Protection by glyoxalase-1.
AB - Glucose and glucose degradation products (GDPs), contained in peritoneal dialysis
(PD) fluids, contribute to the formation of advanced glycation end-products
(AGEs). Local damaging effects, resulting in functional impairment of the
peritoneal membrane, are well studied. It is also supposed that detoxification of
AGE precursors by glyoxalase-1 (GLO1) has beneficial effects on GDP-mediated
toxicity. The aim of the current study was to analyze systemic detrimental
effects of PD fluids and their prevention by glyoxlase-1. Wild-type and GLO1
overexpressing Caenorhabditis elegans (C. elegans) were cultivated in the
presence of low- and high-GDP PD fluids containing 1.5 or 4% glucose. Lifespan,
neuronal integrity and neuronal functions were subsequently studied. The higher
concentrations of glucose and GDP content resulted in a decrease of maximum
lifespan by 2 (P<0.01) and 9 days (P<0.001), respectively. Exposure to low- and
high-GDP fluids caused reduction of neuronal integrity by 34 (P<0.05) and 41%
(P<0.05). Cultivation of animals in the presence of low-GDP fluid containing 4%
glucose caused significant impairment of neuronal function, reducing relative and
absolute head motility by 58.5 (P<0.01) and 56.7% (P<0.01), respectively; and
relative and absolute tail motility by 55.1 (P<0.05) and 55.0% (P<0.05),
respectively. Taken together, GLO1 overexpression protected from glucose-induced
lifespan reduction, neurostructural damage and neurofunctional damage under low
GDP-conditions. In conclusion, both glucose and GDP content in PD fluids have
systemic impact on the lifespan and neuronal integrity of C. elegans.
Detoxification of reactive metabolites by GLO1 overexpression was sufficient to
protect lifespan, neuronal integrity and neuronal function in a low-GDP
environment. These data emphasize the relevance of the GLO1 detoxifying pathway
as a potential therapeutic target in the treatment of reactive metabolite
mediated pathologies.
PMID- 29805789
TI - 18F-fluorodeoxyglucose imaging of primary malignant pericardial mesothelioma with
concurrent pericardial and pleural effusions and bone metastasis: A case report.
AB - Primary malignant pericardial mesothelioma (PMPM) is an aggressive tumor that
originates from the mesothelial cells of the pericardium. PMPM with extensive
atrial infiltration and bone metastasis is extremely rare. The diagnosis and
staging of PMPM based on anatomical imaging may be difficult when concurrent
pericardial and pleural effusions are present. A 28-year-old man presented with
progressive chest pain. Concurrent pericardial and pleural effusions were
identified on computed tomography. On echocardiography, mild thickening and
adhesions of the pericardium with the right ventricle and atrium were observed.
18F-fluorodeoxyglucose (FDG) metabolism imaging revealed increased accumulation
in the pericardium and adjacent right atrium. Ring-shaped radioactivity
aggregation and bone destruction in the sacrum were demonstrated on 18F-FDG and
99mTc-methyl diphosphonate imaging. The diagnosis of PMPM was subsequently
confirmed by pathology. The patient survived for >1.5 years with comprehensive
treatment.
PMID- 29805790
TI - Primitive neuroectodermal tumor of the kidney at the advanced stage: A case
series of eight Chinese patients.
AB - Primitive neuroectodermal tumor (PNET) rarely occurs as a primary renal neoplasm.
Renal (r)PNET is a rare but aggressive neoplasm with poor prognosis; the majority
of patients are diagnosed as advanced stage at presentation and face a worse
prognosis than patients with localized disease. The present study describes the
diagnosis and management of eight cases of rPNET at an advanced stage, who were
treated at two institutions [Lingnan Hospital (branch of The Third Affiliated
Hospital) and the Cancer Center of Sun Yat-sen University, Guangzhou], from
December 2004 to January 2013. The clinical and pathological results of all
patients were retrospectively obtained. Kaplan-Meier analysis was performed to
estimate patient survival. The study cohort comprised five males and three
females. Radical nephrectomy was performed in seven cases, while the remaining
case only received needle biopsy of the tumor. Five cases received adjuvant
chemotherapy, while three received no further treatment after surgery. Of note,
one case received cytokine-induced killer (CIK) cell immunotherapy combined with
surgery and chemotherapy. The overall median survival was 20 months with a 3-year
survival rate of 25%. The overall survival of the four patients who received
adjuvant chemotherapy following surgery was 36 months, compared with 10 months in
the three patients without further treatment. The patient who received CIK cell
immunotherapy survived for 20 months. Based on the observations of the present
and previous studies, surgical excision and chemotherapy are recommended for
treating rPNET at advanced stage. Furthermore, the present study was the first to
report on CIK cell immunotherapy for a patient with rPNET, indicating that it may
be a promising optional treatment. However, further studies are required to
validate the benefit of CIK cells and to establish an appropriate immunotherapy
protocol.
PMID- 29805791
TI - Bone loss during neoadjuvant/adjuvant chemotherapy for early stage breast cancer:
A retrospective cohort study.
AB - The present study aimed to evaluate the extent of loss in bone mineral density
(BMD) during neoadjuvant and adjuvant chemotherapy for early stage breast cancer.
A retrospective cohort study was conducted to quantify the loss of BMD one year
following the start of chemotherapy and to identify potential risk factors of
excessive BMD loss. Based on DXA-scans prior to and one year following
chemotherapy, the loss of BMD was evaluated in early stage breast cancer patients
treated from January 2012 to December 2014. A total of 492 patients received
either eight cycles of neoadjuvant or six cycles of adjuvant chemotherapy. The
final analysis included 152 patients with two DXA-scans. The patients had a
significant loss of BMD in the hip [-0.0124 g/cm2 (95% confidence interval (CI)
0.018; -0.007) P<0.001] and in the lumbar spine [-0.029 g/cm2 (95% CI: -0.036;
0.023) P<0.001] corresponding to a change of -1, 3 and -2, 9%, respectively.
Premenopausal women had a significant loss of BMD in the lumbar spine -0.045
g/cm2 equivalent to -4.3%, which was significantly increased compared with
postmenopausal women (P<0.001) in the univariate analysis, whereas only a trend
persisted in the multivariate analysis (P=0.60). There was no significant
difference in BMD loss (lumbar spine P=0.176) between patients receiving adjuvant
and neoadjuvant chemotherapy. In conclusion, neoadjuvant and adjuvant
chemotherapy is associated with significant BMD loss in both hip and lumbar
spine. Furthermore, the results of the present study indicate that premenopausal
women have a pronounced BMD loss in the lumbar spine. Further studies
investigating osteoporosis prophylaxis in premenopausal patients are warranted.
PMID- 29805792
TI - Preoperative evaluation of skeletal muscle mass in the risk assessment for the
short-term outcome of elderly colorectal cancer patients undergoing colectomy.
AB - The prevalence of colorectal cancer in the elderly population is increasing;
therefore, surgical interventions with a risk of potential complications are more
frequently performed. The aim of the present study was to elucidate whether
sarcopenia has a clinical impact on short-term outcomes, such as morbidity and
hospital stay after surgery, in elderly patients with colorectal cancer. A total
of 82 elderly patients undergoing colectomy for colorectal cancer between January
2011 and December 2015 in our institute were included in the study, and skeletal
muscle mass was measured as total psoas area at the level of the third lumbar
vertebra (L3) using enhanced computed tomography scans. The patients were divided
into two subgroups, namely those with and those without sarcopenia, based on
median skeletal muscle mass in men and women, and the association with
complications was analyzed. A total of 40 patients (48.8%) were diagnosed with
sarcopenia. The patients with sarcopenia exhibited a significantly higher
incidence of total complications (55 vs. 31.0%, P=0.028) and longer hospital stay
(25.9+/-21.2 vs. 18.2+/-8.5 days, P=0.039). The multivariate logistic analysis
revealed that sarcopenia was an independent risk factor for postoperative
surgical complications. The short-term outcomes, such as postoperative surgical
complications and hospital stay, were affected by preoperative sarcopenia in
elderly colorectal cancer patients. To improve the short-term outcomes of such
patients, it is necessary to carefully plan the surgical procedure, perioperative
care and the surgical strategy using preoperative sarcopenia assessment.
PMID- 29805793
TI - Using an environmentally-relevant panel of Gram-negative bacteria to assess the
toxicity of polyallylamine hydrochloride-wrapped gold nanoparticles.
AB - We aim to establish the effect of environmental diversity in evaluating
nanotoxicity to bacteria. We assessed the toxicity of 4 nm polyallylamine
hydrochloride-wrapped gold nanoparticles to a panel of bacteria from diverse
environmental niches. The bacteria experienced a range of toxicities as evidenced
by the different minimum bactericidal concentrations determined; the
sensitivities of the bacteria was A. vinelandii = P. aeruginosa > S. oneidensis
MR-4 > A. baylyi > S. oneidensis MR-1. Interactions between gold nanoparticles
and molecular components of the cell wall were investigated by TEM, flow
cytometry, and computational modeling. Binding results showed a general trend
that bacteria with smooth LPS bind more PAH AuNPs than bacteria with rough LPS.
Computational models reveal that PAH migrates to phosphate groups in the core of
the LPS structure. Overall, our results demonstrate that simple interactions
between nanoparticles and the bacterial cell wall cannot fully account for
observed trends in toxicity, which points to the importance of establishing more
comprehensive approaches for modeling environmental nanotoxicity.
PMID- 29805794
TI - Generation of Femtosecond Laser-Cut Decellularized Corneal Lenticule Using
Hypotonic Trypsin-EDTA Solution for Corneal Tissue Engineering.
AB - Purpose: To establish an optimized and standardized protocol for the development
of optimal scaffold for bioengineering corneal substitutes, we used femtosecond
laser to process human corneal tissue into stromal lenticules and studied to find
the most efficient decellularization method among various reagents with different
tonicities. Methods: The decellularization efficacy of several agents (0.1%,
0.25%, and 0.5% of Triton X-100, SDS, and trypsin-EDTA (TE), resp.) with
different tonicities was evaluated. Of all protocols, the decellularization
methods, which efficiently removed nuclear materials examined as detected by
immunofluorescent staining, were quantitatively tested for sample DNA and
glycosaminoglycan (GAG) contents, recellularization efficacy, and
biocompatibilities. Results: 0.5% SDS in hypertonic and isotonic buffer, 0.25% TE
in hypotonic buffer, and 0.5% TE in all tonicities completely decellularized the
corneal lenticules. Of the protocols, decellularization with hypotonic 0.25 and
0.5% TE showed the lowest DNA contents, while the GAG content was the highest.
Furthermore, the recellularization efficacy of the hypotonic TE method was better
than that of the SDS-based method. Hypotonic TE-treated decellularized corneal
lenticules (DCLs) were sufficiently transparent and biocompatible. Conclusion: We
generated an ideal protocol for DCLs using a novel method. Furthermore, it is
possible to create a scaffold using a bioengineered corneal substitute.
PMID- 29805795
TI - Cardiovascular Risk Factors in a Suburban Community in Nigeria.
AB - The burden of hypertension, a silent killer, continues to increase in low- and
middle-income countries. This study evaluated blood pressure (BP) in healthy
adults to determine their risk of developing hypertension and to reduce
associated morbidity of the disease. Overall, 182 subjects aged >16 years
participated in the study. Systolic (SBP) and diastolic blood pressure (DBP) was
measured after a resting period using mercury sphygmomanometer. Random blood
glucose (RBG) concentration was also determined. Regression models were used to
determine risk of high BP with p values < 0.05 indicating statistical difference.
Prehypertension was present in 36.8% population and high BP in 31% individuals
with hypertensive symptoms. DBP >= 90 mmHg was prevalent in the undiagnosed
group, while diabetes comorbidity was detected in only 4 individuals. High BP or
diabetes was not detected in those <20 year olds. Age > 35 years was an
independent risk (likelihood ratio: 22.56, p < 0.0001); this increases to 26.48
(p < 0.0001) in the presence prediabetes and RBG > 100 mg/dl. Undiagnosed
hypertension rate is high in the study area, and urgent interventions for large
scale screening and management of the disease are required to reduce the burden
of hypertension in Nigeria.
PMID- 29805796
TI - Thymoquinone Ameliorates Doxorubicin-Induced Cardiotoxicity in Swiss Albino Mice
by Modulating Oxidative Damage and Cellular Inflammation.
AB - Thymoquinone is the active constituent of Nigella sativa, having antioxidant and
anti-inflammatory actions. In present study, we have analyzed the effects of
thymoquinone on doxorubicin (DOX) induced cardiotoxicity in mice. In this
experiment, thirty mice (25-35 gm) were divided into five groups (Groups A, B, C,
D, and E) each containing six animals. Normal saline was given to a control group
(Group A) for 14 days. Cardiotoxicity was induced by DOX (15 mg/kg, i.p.) in
Group B, once on the 13th day of the study, and Groups C and D also received DOX
(15 mg/kg, i.p.) and were then treated with thymoquinone (10 and 20 mg/kg, b/w,
p.o.), respectively, for 14 days. Group E was given only thymoquione (20 mg/kg
b/w, p.o.). A blood serum marker (AST, ALT, CK-MB, and LDH) and oxidative stress
marker (LPO, GSH, CAT, SOD, GPx, GR, and GST) were evaluated. Results revealed
that serum enzyme marker like aspartate aminotransferase (AST), creatinine kinase
MB (CKMB), and lactate dehydrogenase (LDH) were significantly elevated in Group B
as compare to Group A. Similarly, the oxidative stress marker lipid peroxidation
(LPO) was also elevated in Group B while the antioxidant enzyme catalase,
superoxide dismutase, glutathione peroxidase, glutathione reductase, and
glutathione S-transferase (CAT, SOD, GPx, GR, and GST) were also decreased in
Group B. The treatment with thymoquinone 10 and 20 mg/kg resulted in a
significant decrease in the serum marker and increase in the antioxidant enzymes.
In this study, we have found that thymoquinone prevented DOX-induced
cardiotoxicity by accelerating heart antioxidant defense mechanisms and down
regulating the LPO levels towards normalcy in Groups C and D. The effect of
doxorubicin increases the inflammatory cytokine (IL2) in Group B as compared to
Group A, and it overcomes by the thymoquinone in Groups C and D. Thus,
thymoquinone may have utility as a potential drug for cardiomyopathy.
PMID- 29805797
TI - Value of the New Spline QTc Formula in Adjusting for Pacing-Induced Changes in
Heart Rate.
AB - Aims: To determine whether a new QTc calculation based on a Spline fit model
derived and validated from a large population remained stable in the same
individual across a range of heart rates (HRs). Second, to determine whether this
formula incorporating QRS duration can be of value in QT measurement, compared to
direct measurement of the JT interval, during ventricular pacing. Methods:
Individuals (N=30; 14 males) aged 51.9 +/- 14.3 years were paced with decremental
atrial followed by decremental ventricular pacing. Results: The new QTc changed
minimally with shorter RR intervals, poorly fit even a linear relationship, and
did not fit a second-order polynomial. In contrast, the Bazett formula (QTcBZT)
showed a steep and marked increase in QTc with shorter RR intervals. For atrial
pacing data, QTcBZT was fit best by a second-order polynomial and demonstrated a
dramatic increase in QTc with progressively shorter RR intervals. For ventricular
pacing, the new QTc minus QRS duration did not meaningfully change with HR in
contrast to the HR dependency of QTcBZT and JT interval. Conclusion: The new QT
correction formula is minimally impacted by HR acceleration induced by atrial or
ventricular pacing. The Spline QTc minus QRS duration is an excellent method to
estimate QTc in ventricular paced complexes.
PMID- 29805798
TI - Preprocedural Mean Platelet Volume Level Is a Predictor of In-Stent Restenosis of
the Superficial Femoral Artery Stents in Follow-Up.
AB - Background: The mean platelet volume (MPV), the most commonly used measure of the
platelet size, is a cheap and easy-to-use marker of the platelet activation. We
aimed to evaluate the relationship between preprocedural MPV and other
hematologic blood count parameters and in-stent restenosis in patients with
superficial femoral artery (SFA) stenting. Methods and Results: The consecutive
118 patients who successfully underwent endovascular stenting of the SFA were
enrolled retrospectively in the study. The mean follow-up was 23 +/- 12 months.
The in-stent restenosis was observed in 42 patients (35.6%). There were no
statistically significant differences between the restenosis group and no
restenosis group in terms of age, gender, and smoking (p=0.116, p=0.924, and
p=0.428, resp.). In the restenosis group, the MPV level was markedly higher than
that in the no-restenosis group, and it was statistically significant (p <
0.001). According to the ROC curve analysis, the optimal cutoff value of the MPV
to determine the restenosis was >8.7 fL, and the level of the MPV >8.7 fL was a
strong predictor of the restenosis (p < 0.001) in logistic regression analysis.
Conclusions: The measurement of the preprocedural MPV levels may help to identify
high-risk patients for development of the in-stent restenosis. These patients may
benefit from an aggresive antiplatelet therapy and close follow-up.
PMID- 29805799
TI - Assessment of Time to Hospital Encounter after an Initial Hospitalization for
Heart Failure: Results from a Tertiary Medical Center.
AB - Background: Hospital inpatient readmissions for patients admitted initially with
the primary diagnosis of heart failure (HF) can be as high as 20-25% within 30
days of discharge. This, however, does not include admissions for observations or
emergency department (ED) visits within the same time frame and does not show a
time-dependent hospital encounter following discharge after an index admission.
We present data on time-dependent hospital encounter of HF patients discharged
after an index admission for a primary diagnosis of HF. Methods: The study
recruited patients from 2 hospitals within the same health system. 500
consecutive admissions with the ICD diagnosis of HF were reviewed by inclusion
and exclusion screening criteria. The 166 eligible remaining patients were
tracked for post hospital discharge encounters consisting of hospital admissions,
observation stays, and ED visits. Only those with a primary diagnosis of heart
failure were included. Demographics were recorded on all patients. Days until
hospital inpatient readmissions or hospital encounters were displayed in Kaplan
Meier plots. Results: A total of 166 patients met inclusion criteria (mean age
79.3 years, males 54%). For the first 90 days following the index admission,
there were a total of 287 follow-up visits (1.7 per patient), 1158 total
hospitalization days (2.6 per visit, 7.0 per patient, and 8.6 per 100 days at
risk), and 21 deaths (12.7%). At 30 days, 25% and 52% of patients had an
inpatient readmission or a hospital encounter, respectively. The median time to
inpatient readmission was 117 days and to hospital encounter was 27 days.
Conclusion: Time-dependent excess days in acute care (unplanned inpatient
admission, outpatient observation, and ED visit) rather than 30-day hospital
inpatient readmission rate is a more realistic measure of the intensity of care
required for HF patients after index admission.
PMID- 29805800
TI - Radiofrequency Ablation versus Cryoablation in the Treatment of Paroxysmal Atrial
Fibrillation: A Meta-Analysis.
AB - Background: Pulmonary vein isolation is commonly performed using radiofrequency
energy with cryoablation gaining acceptance. We performed a meta-analysis of
randomized controlled trials which compared radiofrequency versus cryoablation
for patients with atrial fibrillation. Methods: A systematic search strategy
identified both published and unpublished articles from inception to November 10,
2016, in multiple databases. The primary outcomes for this meta-analysis were
long-term freedom from atrial fibrillation at 12-month follow-up and overall
postoperative complication rates. For all included studies, the methodological
quality was assessed through the Cochrane Collaboration's tool for risk of bias.
Results: A total of 247 articles were identified with eight being included in
this review as they satisfied the prespecified inclusion criteria. Overall, there
was no significant difference in freedom from atrial fibrillation at >=12-month
follow-up between those receiving cryoballoon and radiofrequency ablation,
respectively (OR = 0.98, CI = 0.67-1.43, I2 = 56%, p=0.90). Additionally, the
secondary outcomes of duration of ablation, fluoroscopy time, and ablation time
failed to reach significance. Cryoballoon ablation had significantly greater odds
of postoperative phrenic nerve injury at 12-month follow-up. Conclusions: Our
meta-analysis suggests that cryoballoon ablation provides comparable benefits
with regard to freedom from atrial fibrillation at medium-term follow-up,
fluoroscopy time, ablation time, operative duration, and overall complication
rate in comparison to radiofrequency ablation.
PMID- 29805801
TI - Hypertension Treatment in Patients with Metabolic Syndrome and/or Type 2 Diabetes
Mellitus: Analysis of the Therapy Effectivity and the Therapeutic Inertia in
Outpatient Study.
AB - We have analysed the database of 1,595 consecutive patients visiting our
department of cardiology and internal medicine clinic in 2005-2014. The analysis
included 13,990 visit records, and the average number of visits per patient was
8.5 +/- 7.0. Our goals were to evaluate the effectivity of hypertension treatment
as for drug choice, decrease of sBP and dBP associated with a certain drug, a
drug combination, and therapeutic inertia in patients with metabolic syndrome
and/or diabetes mellitus. The final number of patients for analysis who fulfilled
the inclusion criteria for interpenetration of both diagnostic circles was 570.
Results. 15% of patients were treated using hypertension monotherapy, 70% of
patients were treated using 2- to 4-drug combination therapy, and 15% of patients
were treated using 5- to 6-drug combination. The drugs used most frequently were
perindopril (perin), nitrendipine (nitre), amlodipine (amlo), telmisartan
(telmi), hydrochlorothiazide (hydro), rilmenidine, and nebivolol (used in >100
patients). The most significant decrease of sBP was associated with treatment by
nitre, hydro, telmi, and urapidil (>19 mmHg). The most significant decrease of
dBP was associated with treatment by nitre, hydro, telmi, and verapamil (>10
mmHg). The most significant decrease of both sBP and dBP was associated with
treatment using 3-drug combination of telmi + hydro + spironolactone (41 and 16
mmHg, resp.), telmi + hydro + nitre (34 and 15 mmHg, resp.), and telmi + hydro +
urapidil (34 and 15 mmHg, resp.). At the last visit, 281 out of 413 patients at
the first visit had sBP >140 mmHg (68%); that is, sBP control was 32%. At the
last visit, 76 patients out of 217 at the first visit had dBP >90 mmHg (35%);
that is, dBP control was 65%. Therapeutic inertia was calculated by evaluating
the proportion of visits at which sBP was above the target for eligible visits
minus the proportion of visits where the change was made in antihypertensive
treatment (AHT), either medication type or dose, over the number of eligible
visits, with the resultant value multiplied by the mean of the difference between
the actual sBP and the target value at clinic visits. TIQ was counted at first
200 consecutive patients, and the average value was 57.30 +/- 147.20. Conclusion.
The study presents the real-life data concerning the difficulties in hypertension
treatment in patients with concomitant metabolic syndrome and/or type 2 diabetes
mellitus. sBP was controlled at 32% patients only. The study results allow
evaluating the effectivity of hypertension treatment as for drug choice, decrease
of sBP and dBP associated with a certain drug, a drug combination, and
therapeutic inertia in these patients.
PMID- 29805802
TI - Altered Feeding Behaviors and Adiposity Precede Observable Weight Gain in Young
Rats Submitted to a Short-Term High-Fat Diet.
AB - Information regarding the early effects of obesogenic diets on feeding patterns
and behaviors is limited. To improve knowledge regarding the etiology of obesity,
young male Wistar rats were submitted to high-fat (HFD) or regular chow diets
(RCDs) for 14 days. Various metabolic parameters were continuously measured using
metabolic chambers. Total weight gain was similar between groups, but heavier
visceral fat depots and reduced weight of livers were found in HFD rats. Total
calorie intake was increased while individual feeding bouts were shorter and of
higher calorie intake in response to HFD. Ambulatory activity and sleep duration
were decreased in HFD rats during passive and active phase, respectively.
Acylated and unacylated ghrelin levels were unaltered by the increased calorie
intake and the early changes in body composition. This indicates that at this
early stage, the orexigenic signal did not adapt to the high-calorie content of
HFD. We hereby demonstrate that, although total weight gain is not affected, a
short-term obesogenic diet alters body composition, feeding patterns, satiation,
ambulatory activity profiles, and behaviours in a young rat model. Moreover, this
effect precedes changes in weight gain, obesity, and ensuing metabolic disorders.
PMID- 29805803
TI - ROUNDS Studies: Relation of OUtcomes with Nutrition Despite Severity-Round One:
Ultrasound Muscle Measurements in Critically Ill Adult Patients.
AB - Malnutrition (undernutrition) encompasses low intake or uptake, loss of fat mass,
and muscle wasting and is associated with worse outcomes. Ultrasound has been
introduced in the intensive care unit as a tool to assess muscle mass. The aim of
the present study is to explore the relation between initial muscle mass and
mortality in adult patients admitted to the intensive care unit. Methods. Rectus
femoris and vastus intermedius thicknesses were measured by B-mode ultrasound in
adult patients at admission, along with demographic characteristics, illness
severity, comorbidities, biochemical variables, treatments, and in-hospital
mortality as main outcomes. Analysis was made comparing survivors versus
nonsurvivors and finally using binary logistic regression with mortality as
dependent variable. Results. 59 patients were included in the analysis, severity
measured by sequential organ failure assessment (SOFA) score was greater in
nonsurvivors (17 (7) versus 24 (10) and 3 (1-5) versus 7 (3-10), resp.). Also,
muscle thickness was lower in the latter group (1.44 (0.59) cm versus 0.98 (0.3)
cm). Logistic regression showed severity by SOFA score as a risk factor and
muscle thickness as a protective factor for mortality. Conclusion. Muscle mass
showed to be a protective factor despite severity of illness; there is much more
work to do regarding interventions and monitoring in order to prevent or overcome
low muscle mass at admission to the intensive care unit.
PMID- 29805804
TI - Potential Synergies of beta-Hydroxybutyrate and Butyrate on the Modulation of
Metabolism, Inflammation, Cognition, and General Health.
AB - The low-carbohydrate high-fat diet (LCHFD), also known as the ketogenic diet, has
cycled in and out of popularity for decades as a therapeutic program to treat
metabolic syndrome, weight mismanagement, and drug-resistant disorders as complex
as epilepsy, cancer, dementia, and depression. Despite the benefits of this diet,
health care professionals still question its safety due to the elevated serum
ketones it induces and the limited dietary fiber. To compound the controversy,
patient compliance with the program is poor due to the restrictive nature of the
diet and symptoms related to energy deficit and gastrointestinal adversity during
the introductory and energy substrate transition phase of the diet. The studies
presented here demonstrate safety and efficacy of the diet including the
scientific support and rationale for the administration of exogenous ketone
bodies and ketone sources as a complement to the restrictive dietary protocol or
as an alternative to the diet. This review also highlights the synergy provided
by exogenous ketone, beta-hydroxybutyrate (BHB), accompanied by the short chain
fatty acid, butyrate (BA) in the context of cellular and physiological outcomes.
More work is needed to unveil the molecular mechanisms by which this program
provides health benefits.
PMID- 29805805
TI - Reproduction and Fertility Beliefs, Perceptions, and Attitudes in People Living
with HIV.
AB - People living with HIV (PLWH) have distinct needs when it comes to reproductive
health, specifically regarding fertility, family planning, and pregnancy, and
these needs are often complicated by HIV status. While there is ample research
that focuses on reproductive health in PLWH through a quantitative lens, there is
a lack of research using qualitative methods, namely, the narrative interview
model. We searched PubMed and relevant abstracts to identify 72 articles
published from 1997 to 2016 that described a qualitative framework for exploring
the behaviors and perceptions regarding family planning, abortion, pregnancy,
parenthood, fertility, and forced sterility in PLWH. The inclusion criteria
initially showed 147 articles, which were further screened to exclude those that
did not address fertility and family planning specifically. Our final sample of
articles included articles related to qualitative research on reproductive
attitudes, beliefs, and behaviors of PLWH. Several of these articles were mixed
methods analyses, but our focus was on the qualitative portion only. Further
qualitative works in this area will not only contribute to gaps quantitative
research in the field cannot capture by design, but also inform clinical
practice, policy, and interventions through systematic, in-depth evaluation.
PMID- 29805806
TI - 3D Printing Applications in Minimally Invasive Spine Surgery.
AB - 3D printing (3DP) technology continues to gain popularity among medical
specialties as a useful tool to improve patient care. The field of spine surgery
is one discipline that has utilized this; however, information regarding the use
of 3DP in minimally invasive spine surgery (MISS) is limited. 3D printing is
currently being utilized in spine surgery to create biomodels, hardware templates
and guides, and implants. Minimally invasive spine surgeons have begun to adopt
3DP technology, specifically with the use of biomodeling to optimize preoperative
planning. Factors limiting widespread adoption of 3DP include increased time,
cost, and the limited range of diagnoses in which 3DP has thus far been utilized.
3DP technology has become a valuable tool utilized by spine surgeons, and there
are limitless directions in which this technology can be applied to minimally
invasive spine surgery.
PMID- 29805807
TI - Outcomes of a Clinical Pathway for Pleural Disease Management: "Pleural Pathway".
AB - Background and Objectives: Clinical pathways are evidence based multidisciplinary
team approaches to optimize patient care. Pleural diseases are common and
accounted for 3.4 billion US $ in 2014 US inpatient aggregate charges (HCUPnet
data). An institutional clinical pathway ("pleural pathway") was implemented in
conjunction with a dedicated pleural service. Design, implementation, and
outcomes of the pleural pathway (from August 1, 2014, to July 31, 2015) in
comparison to a previous era (from August 1, 2013, to July 31, 2014) are
described. Methods: Tuality Healthcare is a 215-bed community healthcare system
in Hillsboro, OR, USA. With the objective of standardizing pleural disease care,
locally adapted British Thoracic Society guidelines and a centralized pleural
service were implemented in the "pathway" era. System-wide consensus regarding
institutional guidelines for care of pleural disease was achieved.
Preimplementation activities included training, acquisition of ultrasound
equipment, and system-wide education. An audit database was set up with the
intent of prospective audits. An administrative database was used for harvesting
outcomes data and comparing them with the "prior to pathway" era. Results: 54
unique consults were performed. A total of 55 ultrasound examinations and 60
pleural procedures were performed. All-cause inpatient pleural admissions were
lower in the "pathway" era (n = 9) compared to the "prior to pathway" era (n =
17). Gains in average case charges (21,737$ versus 18,818.2$/case) and average
length of stay (3.65 versus 2.78 days/case) were seen in the "pathway" era.
Conclusion: A "pleural pathway" and a centralized pleural service are associated
with reduction in case charges, inpatient admissions, and length of stay for
pleural conditions.
PMID- 29805808
TI - Change in Prolactin Levels in Pediatric Patients Given Antipsychotics for
Schizophrenia and Schizophrenia Spectrum Disorders: A Network Meta-Analysis.
AB - Background: Treatment of schizophrenia with first- and second-generation
antipsychotics has been associated with elevated prolactin levels, which may
increase the risk for prolactin-related adverse events. Methods: Randomized
controlled trials (RCTs) included in a recent systematic review were considered
for this analysis. A Bayesian network meta-analysis was used to compare changes
in prolactin levels in pediatric patients diagnosed with schizophrenia or
schizophrenia spectrum disorders treated with second-generation antipsychotics
(SGAs). Results: Five RCTs, including 989 patients combined, have evaluated the
changes in prolactin for pediatric patients after 6 weeks of treatment with
risperidone, quetiapine, aripiprazole, olanzapine, and paliperidone. In the
overall study population, treatment with risperidone was associated with the
highest increase in mean prolactin levels compared to other SGAs. Patients
treated with risperidone 4-6 mg/day were found to experience the greatest
increases (55.06 ng/ml [95% CrI: 40.53-69.58]) in prolactin levels, followed by
risperidone 1-3 mg/day, paliperidone 3-6 mg/day, and paliperidone 6-12 mg/day.
Conclusions: This study shows that there are differences in SGAs ability to cause
hyperprolactinemia. Further, there is clear evidence of safety concerns with
risperidone and paliperidone treatment in adolescent schizophrenia patients.
Registration: PROSPERO CRD42014009506.
PMID- 29805809
TI - Point-of-Care Diagnostic Device for Traumatic Pneumothorax: Low Sensitivity of
the Unblinded PneumoScanTM.
AB - Background: Traumatic Pneumothorax (PTX) is a potentially life-threatening
injury. It requires a fast and accurate diagnosis and treatment, but diagnostic
tools are limited. A new point-of-care device (PneumoScan) based on micropower
impulse radar (MIR) promises to diagnose a PTX within seconds. In this study, we
compare standard diagnostics with PneumoScan during shock-trauma-room management.
Patients and Methods: Patients with blunt or penetrating chest trauma were
consecutively included in the study. All patients were examined including
clinical examination with auscultation (CE) and supine chest radiography (CXR).
In addition, PneumoScan-readings and thoracic ultrasound scan (US) were
performed. Computed tomography (CT) served as gold standard. Results: CT scan
revealed PTX in 11 patients. PneumoScan detected two PTX correctly but missed
nine. 15 false-positive results were found by PneumoScan, leading to a
sensitivity of 20% and specificity of 80%. Six PTX were detected through CE
(sensitivity: 54,5%). CXR detected four (sensitivity: 27,3%) and thoracic US two
PTX correctly (sensitivity: 25%). Conclusion: The unblinded PneumoScan prototype
did not confirm the promising results of previous studies. The examined standard
diagnostics and thoracic US showed rather weak sensitivity as well. Until now,
there is no appropriate point-of-care tool to rule out PTX.
PMID- 29805810
TI - Effect of Supplementation with n-3 Fatty Acids Extracted from Microalgae on
Inflammation Biomarkers from Two Different Strains of Mice.
AB - Background: Diabetes mellitus is considered a chronic noncommunicable disease in
which inflammation plays a main role in the progression of the disease and it is
known that n-3 fatty acids have anti-inflammatory properties. One of the most
recent approaches is the study of the fatty acids of microalgae as a substitute
for fish oil and a source rich in fatty acids EPA and DHA. Objective: To analyze
the effect of supplementation with n-3 fatty acids extracted from microalgae on
the inflammatory markers from two different strains of mice. Methods: Mice of two
strains, db/db and CD1, were supplemented with n-3 fatty acids extracted from
microalgae in lyophilized form and added to food; the experiment was carried out
from week 8 to 16 of life. Flow cytometry was performed to determine the
percentage of TCD4+ cells producing Th1 and Th2 cytokines. Results:
Supplementation with microalgae fatty acids decreased the percentage of TCD4+
cells producing IFN-gamma and TNF-alpha and increased the ones producing IL-17A
and IL-12 in both strains; on the other hand, supplementation decreased
percentage of TCD4+ cells producing IL-4 and increased the ones producing TGF
beta. Conclusions: Microalgae n-3 fatty acids could be a useful tool in the
treatment of diabetes as well as in the prevention of the appearance of health
complications caused by inflammatory states.
PMID- 29805811
TI - A Seven-Year Retrospective Study on the Surveillance of Hepatitis B in Laos.
AB - Objective: Lao PDR is one of the most highly endemic countries for hepatitis B in
Asia and the second country for liver cancer incidence. Therefore, the follow-up
of infected individuals through predictive serological markers is of utmost
importance to monitor the progression of the pathology and take the decision on
treatment. Methods: A retrospective-descriptive cohort study was conducted on
3,857 HBV-infected patients. Information about infection status (viral load, VL),
liver function (aminotransferases), and treatments was recorded. Results: M/F sex
ratio was 1.77 for a median age of 37. Patients under 37 displayed higher VL than
older ones and men had higher VL than women. Initial VL ranged from <50 IU/mL to
2.5 1013 IU/mL. Median aminotransferase values were 45.5 U/L for ALAT and 44 U/L
for ASAT, ranging from <8 to >2,000 U/L. Men had higher aminotransferase than
women. Globally 20% of patients received treatment (mainly immunostimulant and
reverse-transcriptase inhibitors); 11% had high levels of VL and liver enzymes,
but only 2% of them were treated. Conclusion: Public health decisions should be
taken urgently to rationalise vaccination and provide fair access to early
diagnosis and treatment; otherwise the burden of HBV-associated diseases will be
overwhelming for Laos in the near future.
PMID- 29805812
TI - Erector Spinae Plane Block for Elective Laparoscopic Cholecystectomy in the
Ambulatory Surgical Setting.
AB - Postoperative pain after laparoscopic cholecystectomy can be severe. Despite
multimodal analgesia regimes, administration of high doses of opioids is often
necessary. This can further lead to several adverse effects such as drowsiness
and respiratory impairment as well as postoperative nausea and vomiting. This
will hinder early mobilization and discharge of the patient from the day surgery
setting and is suboptimal in an Early Recovery after Surgery setting. The
ultrasound-guided Erector Spinae Plane (ESP) block is a novel truncal
interfascial block technique providing analgesia of the thoracic or abdominal
segmental innervation depending on the level of administration. Local anesthetic
penetrates anteriorly presumably through the costotransverse foramina to the
paravertebral space. We demonstrate the analgesic efficacy of the ESP block in a
case series of three patients scheduled for ambulatory laparoscopic
cholecystectomy.
PMID- 29805813
TI - Stenotrophomonas maltophilia Necrotizing Soft Tissue Infection in an
Immunocompromised Patient.
AB - Introduction: To report on the first recorded case of necrotizing soft tissue
infection (NSTI) in an immunocompromised individual caused by Stenotrophomonas
maltophilia in the Western Hemisphere and highlight the challenges that medical
providers face in promptly diagnosing and treating NSTI in this highly vulnerable
patient population. Case Presentation: We report a case of NSTI caused by S.
maltophilia in a neutropenic patient admitted for treatment of acute
lymphoblastic leukemia. The patient presented with laboratory and clinical
findings atypical for a NSTI that may have confounded its diagnosis and delayed
surgical intervention. Despite aggressive medical care and surgical debridement,
the patient unfortunately passed away due to overwhelming septic shock.
Conclusions: Providers should consider atypical organisms as causative in NSTI in
immunocompromised patients and recognize that these patients may present without
classic clinical and laboratory findings.
PMID- 29805814
TI - Heart Failure and Hypothermia in an Infant: Pseudocyanide Syndrome?
AB - Purpose: Mixed or central venous oxygen saturation has not been described during
concurrent heart failure and hypothermia in children, both of which may be
associated with hyperlactatemia. This report of an infant with heart failure and
hypothermia is significant for increased inferior vena cava (IVC) oxygen
saturation and hyperlactatemia. Case Report: A 36-day-old female was fussy for a
day and then developed respiratory distress. In the Pediatric ER, she was
tachycardic (260 beats/minute) and hypothermic (32.4 degrees C) with prolonged
capillary refill and faint distal pulses. Adenosine was given twice via an
intraosseous line for supraventricular tachycardia, with conversion to sinus
rhythm. Blood drawn from an IVC catheter was significant for uncorrected (for
temperature) oxygen saturation of 94% and lactate 18 mmol/L; corrected and
uncorrected IVC oxygen saturation early during rewarming were >90%. During
rewarming, declines in uncorrected IVC oxygen saturation and lactate correlated.
Hypothermia and hyperlactatemia resolved after 10 and 12 hours. Conclusions:
Concurrent heart failure and hypothermia in an infant were associated with
increased IVC oxygen saturation and hyperlactatemia, similar to lab findings
associated with a mitochondrial toxin such as cyanide. Improvement of heart
failure and hypothermia were associated with resolution of these lab
abnormalities, thus helping to rule out mitochondrial toxins. Additional reports
may help better define a pseudocyanide syndrome in this setting.
PMID- 29805815
TI - Granuloma Annulare in the Setting of Secukinumab.
AB - Granuloma annulare (GA) is a common benign inflammatory skin condition
classically presenting as skin-colored to erythematous dermal papules and annular
plaques. Histologically, GA displays a dermal granulomatous infiltrate with
palisaded histiocytes surrounding focally altered collagen. The exactly etiology
of GA remains unknown, but it has been associated with trauma, various
infections, diabetes mellitus, malignancy, thyroid disease, dyslipidemia, and
several medications. In 2017, a case of GA developing in a patient treated with
the interleukin 17A antagonist secukinumab was reported. Here we report a second
case of GA in association with secukinumab use.
PMID- 29805816
TI - A Case of Impalement Brain Injury That Could Achieve Good Neurological Outcome by
Introducing Early Sedation and Immobilization Strategy.
AB - Impalement brain injury is rare, and the initial management of this condition is
not well-established. We present a case of a well-managed brain injury caused by
impalement with a metal bar. A 29-year-old man whose head had been impaled by a
metal bar was transferred to our hospital. Upon arrival, he was agitated, with an
unsteady gait and prominent odor of alcohol on his breath. He exhibited normal
vital signs and neurological findings, except for his level of consciousness. To
address the risk of secondary brain injury caused by movement of the foreign
body, we immediately administered a sedative agent and muscle relaxant after the
initial neurological evaluation. The imaging evaluation revealed the insertion of
a metal bar into the right frontal lobe at a depth of >100 mm through the frontal
bone; however, there was no apparent major vessel injury-related complication.
Three hours after arrival at the hospital, a craniotomy was performed to remove
the foreign body. The patient's postoperative course was uneventful, and he was
discharged after rehabilitation without any neurological deficits. The strategy
of immediate immobilization to prevent the secondary brain injury is important in
the initial management of a patient who has survived an impalement brain injury
and presented to an emergency department.
PMID- 29805817
TI - Diabetes Mellitus with Poor Glycemic Control as a Consequence of Inappropriate
Injection Technique.
AB - Majority of patients with diabetes mellitus (DM), who are on insulin therapy, use
insulin pen for convenience, accuracy, and comfort. Some patients may require two
different types of insulin preparations for better glycemic control. We have
reported a case of poor glycemic control as a consequence of inappropriate
insulin injection technique. A 57-year-old man with type 2 DM had been using
premix insulin 30 : 70 for his glycemic control for the last 12 years. On follow
up visit, his blood sugar level (BSL) had increased; therefore the treating
physician increased the dose of premix insulin and added basal insulin with the
aim of controlling his blood sugar level. Despite these changes, his BSL was
significantly higher than his previous level. On investigation, the cause of his
poor glycemic control was found to be due to inadequate delivery of insulin
(primarily premix) as a consequence of lack of priming and incompatibility of
single insulin pen for two cartridges. His basal insulin was discontinued and the
patient along with his grandson was instructed to administer insulin correctly.
After correction of the errors, the patient had a better glycemic control.
PMID- 29805818
TI - Remarkable Presentation: Anaplastic Thyroid Carcinoma Arising from Chronic
Hyperthyroidism.
AB - Background: Undifferentiated anaplastic carcinoma rarely develops from chronic
hyperthyroidism. Although acute hyperthyroidism can develop prior to anaplastic
transformation, chronic hyperthyroidism was thought to be a protective measure
against thyroid malignancy. Methods: A 79-year-old female presented acutely to
the hospital with dyspnea. She had been taking methimazole for chronic
hyperthyroidism due to toxic thyroid nodules, previously biopsied as benign. Upon
admission, imaging showed tracheal compression, requiring a total thyroidectomy
with tracheostomy for airway management. Results: Pathology demonstrated
undifferentiated anaplastic thyroid carcinoma. The patient passed away shortly
after hospital discharge. Despite treatment with methimazole for many years,
abrupt enlargement of her toxic multinodular goiter was consistent with malignant
transformation. Chronic hyperthyroidism and toxic nodules are rarely associated
with thyroid malignancy, with only one previous report documenting association
with anaplastic thyroid carcinoma. Conclusion: Progressive thyroid enlargement
and acute worsening of previously controlled hyperthyroidism should promote
concern for disease regardless of baseline thyroid function.
PMID- 29805819
TI - A Case of Acute Autoimmune Hepatitis Superimposed on Chronic Hepatitis B
Infection.
AB - Autoimmune hepatitis has been associated with chronic HCV infection, but there
are only few cases reported of HBV infection as a possible trigger. We present a
case of a young male who was diagnosed with acute autoimmune hepatitis
superimposed on existent chronic HBV infection. A 30-year-old Hispanic male with
no past medical history presented to the hospital with complaints of few days of
generalized weakness. Laboratory findings were significant for elevated liver
enzymes: AST, 1164 U/L; ALT, 1461 U/L; total bilirubin, 2 MG/DL; and alkaline
phosphatase, 75 IU/L. Extensive workup was done to find the etiology for elevated
liver enzymes. Only blood work that came back positive was for chronic HBV
infection and elevated immunoglobulin G (IgG) level 1937 mg/dL. HBV viral load
was 42,900,000 IU/mL. The patient was started on tenofovir 300 mg daily. Liver
biopsy was done which was consistent with autoimmune hepatitis. Prednisone 60 mg
daily was started. Six months later, blood work showed completely normal liver
enzymes and total IgG. Hepatotropic viruses have been proposed as triggering
factors for several autoimmune diseases. There are theories suggesting that
similarity in viral epitope and self-proteins expression on liver cells' surface
causes a cross-reactive immunologic response and possible viral-induced
autoimmune hepatitis.
PMID- 29805820
TI - Acute Gastric Volvulus Causing Splenic Avulsion and Hemoperitoneum.
AB - Gastric volvulus is an abnormal, potentially life-threatening, torsion of the
stomach. The presence of complications such as hemoperitoneum increases the
diagnostic urgency; however it can also mask the presentation of gastric
volvulus. We encountered a 66-year-old female who presented with symptomatic
gastric outlet obstruction and was found to have hemoperitoneum and splenic
avulsion on imaging. In our case, hemoperitoneum was a clinical red herring as
initial imaging concentrated on the presence of hemoperitoneum and was
nondiagnostic of gastric volvulus. Interestingly, our patient experienced
complete resolution of her presenting symptomatology following placement of a
nasogastric tube. Furthermore, endoscopic evaluation revealed no overt pathology
to explain outlet obstruction. In light of these findings, gastric torsion was
strongly suspected. A repeat CT scan was confirmatory, elucidated reduction of
the stomach to its anatomic position, retroactively diagnosing a gastric
volvulus. This case is unusual in its presentation and setting. The patient
presented with two rare complications of gastric volvulus, hemoperitoneum and
splenic avulsion. Additionally, ten years prior to this presentation the patient
had a temporary gastrostomy tube. Gastropexy with a gastrostomy is the treatment
for gastric volvulus and should have been preventative of her presentation with
torsion. Furthermore, the gastric volvulus was not initially recognized
radiographically due to the presence of masking radiographic findings. This case
serves to highlight the utility of clinical acumen and maintain a high index of
suspicion for gastric volvulus in all cases presenting with Borchardt's triad.
PMID- 29805821
TI - Immunomodulation in the Treatment of Refractory Catastrophic Antiphospholipid
Syndrome.
AB - Catastrophic antiphospholipid syndrome is a rare condition with high morbidity
and mortality. We present a refractory case of catastrophic antiphospholipid
syndrome with a view to highlight the importance of early identification and
aggressive treatment of this condition. A 36-year-old female presented with
clinical manifestations of multiorgan vascular occlusion with a known history of
primary antiphospholipid syndrome. The presentation was on a background of a
recent change of her long-term anticoagulation from warfarin to therapeutic low
molecular-weight heparin. Given that multiorgan involvement with 3 organ systems
occurred nearly simultaneously, a diagnosis of probable catastrophic
antiphospholipid syndrome was made. Prompt therapeutic anticoagulation,
antiplatelet, and glucocorticoid therapy was commenced. Despite this, the patient
continued to demonstrate clinical features concerning for ongoing small vessel
occlusion necessitating aggressive immunomodulatory therapy in the form of
intravenous immunoglobulin, plasma exchange, and rituximab.
PMID- 29805822
TI - R Inguinal/R Scrotum Extramammary Paget's Disease with Diffuse Spine Metastasis
Complicated by Microangiopathic Hemolytic Anemia.
AB - A 47-year-old male presented with a groin lesion in 2011. Initial treatment with
antifungals and vinegar was unsuccessful. In 2016, biopsy of this lesion was
pursued with diagnosis of extramammary Paget's disease (EMPD). Prior to the
scheduled excision, he developed constant lower back pain with radiation to his
lower extremities. MRI confirmed vertebral metastasis. Despite surgical and
radiation therapy, his back pain progressed, and repeat imaging showed epidural
spread of tumor in the thoracic spine. Later, the patient was admitted to the
hospital due to severe anemia and thrombocytopenia. Further work-up confirmed the
diagnosis of microangiopathic hemolytic anemia (MAHA). As we know, there are only
few reports of spinal metastases in patients with EMPD. To the best of our
knowledge, this is the first case report of EMPD complicated by MAHA.
PMID- 29805823
TI - Tubulocystic Carcinoma of the Bile Duct.
AB - Tubulocystic carcinoma of the bile duct is extremely rare and has not been
reported in the literature. We reported a case of cystic neoplasm of the liver
with distinct histopathological features that could not be clearly classified as
of either mucinous or intraductal papillary neoplasm. A 68-year-old Japanese
patient had a multicystic biliary tumor within the liver. This tumor was detected
on follow-up of polymyalgia rheumatica. The exophytic, multicystic, 35 * 50 mm
mass was composed of complex tubulocystic structures. We initially suspected
cystadenocarcinoma of the liver and performed radical operation. However,
pathology ultimately showed it to be very rare tubulocystic carcinoma that
derived from the bile duct. We reviewed the literature and describe the process
of our differential diagnosis.
PMID- 29805824
TI - Ischemic Stroke: Do Not Forget Lyme Neuroborreliosis.
AB - Lyme neuroborreliosis is a rare cause of ischemic stroke; it has only been
described in case reports and mostly in Europe. Diagnostic criteria have been
proposed for Lyme neuroborreliosis but the association with a cerebral ischemic
presentation is not always straightforward. We here describe the case of an 83
year-old man for whom we strongly suspect Lyme neuroborreliosis as the etiology
of his stroke. This case reminds us of the importance of a thorough history
taking (i.e., tick bite) and to perform the adequate ancillary tests accordingly
(lumbar puncture) so as to propose validated treatment.
PMID- 29805825
TI - CT Perfusion to Guide Placement of Invasive Cerebral Perfusion Monitor in
Subarachnoid Hemorrhage Induced Vasospasm.
AB - Background: Vasospasm is a challenging component of the subarachnoid hemorrhage
"syndrome" that is unpredictable and very difficult to monitor using noninvasive
or invasive monitoring technologies in neurocritical units. Methods: We describe
the novel use of computerized tomography perfusion (CTP) imaging to choose proper
targets for invasive cerebral blood flow monitors. Results: A total of 3 patients
are included in this report. CTP parameters were used to generate points of
interest to target using invasive cerebral monitoring of the cerebral blood flow
and initiate vasodilator therapy and subsequently guide its weaning. Conclusions:
CTP can be useful in localizing a specific anatomical target for invasive
monitoring in subarachnoid hemorrhage patients suffering from vasospasm.
PMID- 29805826
TI - Clear Cell Adenocarcinoma Arising from Endometriosis in the Groin: Wide Resection
and Reconstruction with a Fascia Lata Tensor Muscle Skin Flap.
AB - We herein report a case of clear cell carcinoma arising from endometriosis in the
groin in a 53-year-old woman. The findings of MRI and FDG/PET-CT indicated a
malignant tumor, and surgical biopsy confirmed adenocarcinoma of the female
genital tract. The tumor including a part of the abdominal rectus muscle and
rectus sheath, subcutaneous fat, skin, and the right inguinal ligament was
resected en bloc. The defect in the abdominal wall was reconstructed with a
fascia lata tensor muscle skin flap. The tumor was composed of clear cell
adenocarcinoma arising from extrapelvic endometriosis. The patient received
chemotherapy with gemcitabine and carboplatin for 6 cycles and had no evidence of
recurrence 7 months after the treatment. We herein described the diagnosis and
surgical management of endometriosis-associated carcinoma in the groin.
PMID- 29805827
TI - Treatment and Pathology of an Unusual Large Carcinoma of the Conjunctiva.
AB - Carcinoma of the conjunctiva is a malignant tumor which is mostly detected and
surgically treated at an early stage because of medical or cosmetic problems.
Exceptions of this rule may occur in developing countries, where patients do not
have access to standard medical care systems. We report the case of a
conjunctival carcinoma in an African patient with an unusually late presentation.
Because of local medical shortcomings, and considering the severe, transmural
inflammation of anterior ocular structures, an exenteration was performed
although the orbit was not deeply involved with the tumor. The strong nuclear
expression of p53 indicates a major role of UV exposure in this case. A total of
16-month follow-up in this patient and larger published series suggest that the
risk of metastasis is rather low under those circumstances, even with invasive
tumors.
PMID- 29805828
TI - Posttraumatic Proximal Radioulnar Synostosis after Closed Reduction for a Radial
Neck and Olecranon Fracture.
AB - Posttraumatic proximal radioulnar synostosis (PPRUS) is a severe complication of
radial head and neck fractures known to occur after severe injury or operative
fixation. Cases of PPRUS occurring after minimally displaced, nonoperatively
treated radial neck injuries are, by contrast, extremely rare. Here, we present a
pediatric case of PPRUS that developed after a nonoperatively treated minimally
displaced radial neck fracture with concomitant olecranon fracture. While more
cases are needed to establish the association between this pattern of injury and
PPRUS, we recommend that when encountering patients with a minimally displaced
radial neck fracture and a concomitant elbow injury, the rare possibility of
developing proximal radioulnar synostosis should be considered.
PMID- 29805829
TI - A Rare Case of Clear Cell Carcinoma, Mullerian Type in the Renal Pelvis of a 21
Year-Old Woman.
AB - Clear Cell Carcinomas of Mullerian origin are extremely rare within the upper
urinary system. Their morphology is identical to that of the Clear Cell
Carcinomas of the female genital tract. When they arise in the urinary tract, it
is thought to be due to ectopic Mullerian embryogenesis. Here, we present a case
of a 21-year-old woman with a Clear Cell Carcinoma, Mullerian type, arising from
the renal pelvis. Histologically, it consisted of tubulopapillary architecture
with associated foamy macrophages and a mucinous background. The neoplastic cells
exhibited variably sized round nuclei with prominent nucleoli, eosinophilic to
vacuolated cytoplasm with occasional intracytoplasmic mucin vacuoles, and a
hobnail appearance. Immunohistochemical stains showed that the neoplastic cells
were positive for Pax-8, p53, CK7, HMWK 903, and INI-1 and focally positive for
p504s (AMACR). The neoplastic cells were negative for GATA-3, CK5/CK6, p63, CK20,
and CDX-2 immunostains, ruling out urothelial or enteric phenotype. Additional
immunostains performed by an outside institution showed that the neoplastic cells
were positive for HNF-1beta. The overall morphology and immunophenotype were
consistent with Clear Cell Carcinoma of Mullerian origin arising from the renal
pelvis. Follow-up revealed no metastasis or other tumor sites, supporting that
this was the primary location.
PMID- 29805830
TI - Synchronized Survey Scan Approach Allows for Efficient Discrimination of Isomeric
and Isobaric Compounds during LC-MS/MS Analyses.
AB - Liquid chromatography-mass spectrometry- (LC-MS-) based multiple reaction
monitoring (MRM) methods have been used to detect and quantify metabolites for
years. These approaches rely on the monitoring of various fragmentation pathways
of multiple precursors and the subsequent corresponding product ions. However,
MRM methods are incapable of confidently discriminating between isomeric and
isobaric molecules and, as such, the development of methods capable of overcoming
this challenge has become imperative. Due to increasing scanning rates of recent
MS instruments, it is now possible to operate MS instruments both in the static
and dynamic modes. One such method is known as synchronized survey scan (SSS),
which is capable of acquiring a product ion scan (PIS) during MRM analysis. The
current study shows, for the first time, the use of SSS-based PIS approach as a
feasible identification feature of MRM. To achieve the above, five positional
isomers of dicaffeoylquinic acids (diCQAs) were studied with the aid of SSS-based
PIS method. Here, the MRM transitions were automatically optimized using a 3,5
diCQA isomer by monitoring fragmentation transitions common to all five isomers.
Using the mixture of these isomers, fragmentation spectra of the five isomers
achieved with SSS-based PIS were used to identify each isomer based on previously
published hierarchical fragmentation keys. The optimized method was also used to
detect and distinguish between diCQA components found in Bidens pilosa and their
isobaric counterparts found in Moringa oleifera plants. Thus, the method was
shown to distinguish (by differences in fragmentation patterns) between diCQA and
their isobars, caffeoylquinic acid (CQA) glycosides. In conclusion, SSS allowed
the detection and discrimination of isomeric and isobaric compounds in a single
chromatographic run by producing a PIS spectrum, triggered in the automatic MS/MS
synchronized survey scan mode.
PMID- 29805831
TI - Chemical Characterization and Oxidative Stability of Medium- and Long-Chain Fatty
Acid Profiles in Tree-Borne Seed Oils.
AB - This study was undertaken to evaluate chemical characteristics and oxidative
stability of tree-borne seed oils. A total of 15 different fatty acids were
identified in six tree-borne seed oils, which included seven types of saturated
fatty acids, four types of monounsaturated fatty acids, and four types of
polyunsaturated fatty acids. Japanese camphor tree (JCT) had a high content of
medium-chain fatty acids (97.94 +/- 0.04%), in which fatty acid composition was
distinct from those of the other five plant seed oils. Overall, contents of
tocopherols, a type of fat-soluble vitamin, ranged between 3.82 +/- 0.04 mg/100 g
and 101.98 +/- 1.34 mg/100 g, respectively. Phytosterol contents ranged from
117.77 +/- 1.32 mg/100 g to 479.45 +/- 4.27 mg/100 g, respectively. Of all tree
borne seed oils, beta-sitosterol was the phytosterol at the highest
concentration. Contents of unsaponifiables were between 0.13 +/- 0.08 and 2.01 +/
0.02, and values of acid, peroxide, and p-anisidine were between 0.79 +/- 0.01
and 38.94 +/- 0.24 mg KOH/g, 3.53 +/- 0.21 and 127.67 +/- 1.79 meq/kg, and 2.07
+/- 0.51 and 9.67 +/- 0.25, respectively. Oxidative stability of tree-borne seed
oils was assessed through measurement of oxidation-induction periods. These
results should serve as a foundation to identify the potential of tree-borne seed
oils in industrial application as well as in providing fundamental data.
PMID- 29805832
TI - UPLC-MS/MS Method for Simultaneous Determination of Three Major Metabolites of
Mequindox in Holothurian.
AB - This study developed an ultraperformance liquid chromatography tandem mass
spectrometry (UPLC-MS/MS) method for the detection of three major metabolites of
mequindox, including 3-methyl-quinoxaline-2-carboxylic acid, 1-desoxymequindox,
and 1,4-bisdesoxymequindox (MQCA, 1-DMEQ, and BDMEQ), in holothurian. Target
analytes were simplified with ultrasound-assisted acidolysis extracted without
complicated enzymolysis steps. After that, each sample was centrifuged and
purified by an Oasis MAX cartridge. Then, the processed samples were separated
and monitored by UPLC-MS/MS. This developed method has been validated according
to FDA criteria. At fortified levels of 2, 10, and 20 MUg/kg, recoveries ranged
from 82.5% to 93.5% with the intraday RSD less than 7.27% and interday RSD less
than 11.8%. The limit of detection (LOD) of all the three metabolites ranged from
0.21 to 0.48 MUg/kg, while the limit of quantification (LOQ) ranged from 0.79 to
1.59 MUg/kg. On application to commercial samples, 14 of 20 samples were detected
positive for the three target analytes, with positive rate at 70 percentage. The
result indicated that this method was specific, sensitive, and suitable for the
quantification and conformation of the three major metabolites of MEQ in
holothurian.
PMID- 29805833
TI - The Potential of Graphene as an Adsorbent for Five Pesticides from Different
Classes in Rape Oil Samples Using Dispersive Solid-Phase Extraction.
AB - Isolation conditions for five pesticides (metazachlor, tebuconazole, lambda
cyhalothrin, chlorpyrifos, and deltamethrin) from rape oil samples were examined
using the dispersive solid-phase graphene extraction technique. To determine the
optimal extraction conditions, a number of experimental factors (amount of
graphene, amount of salt, type and volume of the desorbing solvent, desorption
time with and without sonication energy, and temperature during desorption) were
studied. The compounds of interest were separated and detected by an HPLC-UV
employing a Kinetex XB-C18 column and a mobile phase consisting of acetonitrile
and water flowing in a gradient mode. The optimized extraction conditions were:
the amount of graphene 15 mg, desorbing solvent (acetonitrile) 5 mL, time
desorption 10 min at 40 degrees C, and amount of NaCl 1 g. The detection limit
for metazachlor, tebuconazole, lambda-cyhalothrin, and chlorpyrifos was 62.5 ng.g
1, and for deltamethrin, it was 500 ng.g-1. The obtained results lead to the
conclusion that graphene may be successfully used for the isolation of the five
pesticides from rape oil. However, their determination at low concentration
levels, as they occur in real oil samples, requires the employment of
appropriately highly sensitive analytical methods, as well as a more suitable
graphene form (e.g., magnetically modified graphene).
PMID- 29805834
TI - Simultaneous Quantitative Determination of Synthetic Cathinone Enantiomers in
Urine and Plasma Using GC-NCI-MS.
AB - Development and validation of sensitive and selective method for
enantioseparation and quantitation of synthetic cathinones is reported using GC
MS triple quadrupole mass spectrometry with negative chemical ionization (NCI)
mode. Indirect chiral separation of thirty-six synthetic cathinone compounds has
been achieved by using an optically pure chiral derivatizing agent (CDA) called
(S)-(-)-N-(trifluoroacetyl)pyrrolidine-2-carbonyl chloride (L-TPC), which
converts cathinone enantiomers into diastereoisomers that can be separated on
achiral columns. As a result of using Ultra Inert 60 m column and performing slow
heating rate (2 degrees C/min) on the GC oven, an observed enhancement in
enantiomer peak resolution has been achieved. An internal standard, (+)
cathinone, was used for quantitation of synthetic cathinones. Method validation
in terms of linearities and sensitivity in terms of limits of detection (LODs),
limits of quantitation (LOQs), recoveries, and reproducibilities has been
obtained for fourteen selected compounds that examined simultaneously as a
mixture after being spiked in urine and plasma. It was found that the LOD of the
fourteen synthetic cathinones in urine was in the range of 0.26-0.76 ug/L, and in
plasma, it was in the range of 0.26-0.34 ug/L. While the LOQ of the mixture in
urine was in the range of 0.86-2.34 ug/L, and in plasma, it was in the range of
0.89-1.12 ug/L. Unlike the electron impact (EI) ion source, NCI showed better
sensitivity by two orders of magnitude by comparing the obtained results with the
recently published reports for quantitative analysis and enantioseparation of
synthetic cathinones.
PMID- 29805835
TI - An Enhanced GC/MS Procedure for the Identification of Proteins in Paint
Microsamples.
AB - The chemical characterization of materials used in works of art is extremely
useful for gaining a better knowledge of the artistic heritage and to guarantee
its preservation. A derivatization GC/MS procedure for the identification of
proteins in a microsample from painted works of art has been optimized. The amino
acid fraction is derivatized using anhydrous dimethylformamide (DMF) as solvent
instead of pyridine (Py), commonly used to facilitate the reaction. Although
pyridine is often considered a silylation catalyst, there are many instances in
which silylation reactions actually are slower in pyridine than other solvents.
In addition, pyridine also may have other undesirable effects such as the
promotion of secondary products and other chromatographic anomalies. Using DMF,
the formation of artifacts is limited and the derivatization yield of hydrophilic
amino acids such as proline and hydroxyproline has improved, thus making the
identification of organic paint media more straightforward. The method has been
validated and successfully applied to identify the binder of the sample taken
from the pictorial cycle of the 12th century monastery of Santa Maria delle
Cerrate (Lecce, Italy), thus highlighting the use of eggs as a binding medium.
PMID- 29805836
TI - Corrigendum to "Polythiophene-Chitosan Magnetic Nanocomposite as a Highly
Efficient Medium for Isolation of Fluoxetine from Aqueous and Biological
Samples".
AB - [This corrects the article DOI: 10.1155/2016/2921706.].
PMID- 29805837
TI - In Situ Miniaturised Solid Phase Extraction (m-SPE) for Organic Pollutants in
Seawater Samples.
AB - Solid phase extraction (SPE) is a consolidated technique for determining
pollutants in seawater samples. The current tendency is to miniaturise systems
that extract and determine pollutants in the environment, reducing the use of
organic solvents, while maintaining the quality in the extraction and
preconcentration. On the other hand, there is a need to develop new extraction
systems that can be fitted to in situ continual monitoring buoys, especially for
the marine environment. This work has developed a first model of a low-pressure
micro-SPE (m-SPE) for persistent organic pollutants (POPs) that can be simply
applied to in situ monitoring in the marine environment. This system reduces the
volumes of sample and solvents required in the laboratory in comparison with
conventional SPE. In the future, it could be used in automated or robotic systems
in marine technologies such as marine gliders and oceanographic buoys. This
system has been optimised and validated to determine polycyclic aromatic
hydrocarbons (PAH) in seawater samples, but it could also be applied to other
kinds of persistent organic pollutants (POPs) and emerging pollutants.
PMID- 29805838
TI - Determination of Cyclopropane Fatty Acids in Food of Animal Origin by 1H NMR.
AB - Cyclopropane fatty acids (CPFAs) are unusual fatty acids of microbial origin,
recently detected in milk and dairy products. CPFAs have been demonstrated to be
interesting molecular markers for authentication of dairy products obtained
without ensiled feeds. Moreover, they can also be recognized as a new secondary
component of human diet. Information is lacking on the presence of cyclic fatty
acids in other food sources. Cyclopropane fatty acids have been detected by GC-MS
analysis in cheese and other animal fats in concentration ranging from 200 to
1000 mg/kg fat, but in some cases, the complex fatty acid profile and the
possible presence of interfering peaks make the separation not straightforward
and the quantification uneasy. Therefore, a new reliable 1H NMR method was
developed to detect and measure CPFA content in different foods of animal origin,
based on the detection of the characteristic signals of cyclopropane ring. The 1H
NMR (600 MHz) method showed detection limits comparable with those of full scan
GC-MS, and it allowed the identification and quantitation of the cyclopropane
fatty acids in different foods.
PMID- 29805839
TI - Development and Validation of an LC-MS/MS Method and Comparison with a GC-MS
Method to Measure Phenytoin in Human Brain Dialysate, Blood, and Saliva.
AB - Phenytoin (PHT) is one of the most often used critical dose drugs, where
insufficient or excessive dosing can have severe consequences such as seizures or
toxicity. Thus, the monitoring and precise measuring of PHT concentrations in
patients is crucial. This study develops and validates an LC-MS/MS method for the
measurement of phenytoin concentrations in different body compartments (i.e.,
human brain dialysate, blood, and saliva) and compares it with a formerly
developed GC-MS method that measures PHT in the same biological matrices. The two
methods are evaluated and compared based on their analytical performance,
appropriateness to analyze human biological samples, including corresponding
extraction and cleanup procedures, and their validation according to ISO
17025/FDA Guidance for Industry. The LC-MS/MS method showed a higher performance
compared with the GC-MS method. The LC-MS/MS was more sensitive, needed a smaller
sample volume (25 uL) and less chemicals, was less time consuming (cleaning up,
sample preparation, and analysis), and resulted in a better LOD (<1 ng/mL)/LOQ
(10 ng/mL). The calibration curve of the LC-MS/MS method (10-2000 ng/mL) showed
linearity over a larger range with correlation coefficients r2 > 0.995 for all
tested matrices (blood, saliva, and dialysate). For larger sample numbers as in
pharmacokinetic/pharmacodynamic studies and for bedside as well as routine
analyses, the LC-MS/MS method offers significant advantages over the GC-MS
method.
PMID- 29805840
TI - Sensitive Fluorescent Sensor for Recognition of HIV-1 dsDNA by Using Glucose
Oxidase and Triplex DNA.
AB - A sensitive fluorescent sensor for sequence-specific recognition of double
stranded DNA (dsDNA) was developed on the surface of silver-coated glass slide
(SCGS). Oligonucleotide-1 (Oligo-1) was designed to assemble on the surface of
SCGS and act as capture DNA, and oligonucleotide-2 (Oligo-2) was designed as
signal DNA. Upon addition of target HIV-1 dsDNA (Oligo-3*Oligo-4), signal DNA
could bind on the surface of silver-coated glass because of the formation of
C*GoC in parallel triplex DNA structure. Biotin-labeled glucose oxidase (biotin
GOx) could bind to signal DNA through the specific interaction of biotin
streptavidin, thereby GOx was attached to the surface of SCGS, which was
dependent on the concentration of target HIV-1 dsDNA. GOx could catalyze the
oxidation of glucose and yield H2O2, and the HPPA can be oxidized into a
fluorescent product in the presence of HRP. Therefore, the concentration of
target HIV-1 dsDNA could be estimated with fluorescence intensity. Under the
optimum conditions, the fluorescence intensity was proportional to the
concentration of target HIV-1 dsDNA over the range of 10 pM to 1000 pM, the
detection limit was 3 pM. Moreover, the sensor had good sequence selectivity and
practicability and might be applied for the diagnosis of HIV disease in the
future.
PMID- 29805841
TI - Analysis of Polycyclic Aromatic Hydrocarbons in Ambient Aerosols by Using One
Dimensional and Comprehensive Two-Dimensional Gas Chromatography Combined with
Mass Spectrometric Method: A Comparative Study.
AB - Advanced separation technology paired with mass spectrometry is an ideal method
for the analysis of atmospheric samples having complex chemical compositions. Due
to the huge variety of both natural and anthropogenic sources of organic
compounds, simultaneous quantification and identification of organic compounds in
aerosol samples represents a demanding analytical challenge. In this regard,
comprehensive two-dimensional gas chromatography with time-of-flight mass
spectrometry (GC*GC-TOFMS) has become an effective analytical method. However,
verification and validation approaches to quantify these analytes have not been
critically evaluated. We compared the performance of gas chromatography with
quadrupole mass spectrometry (GC-qMS) and GC*GC-TOFMS for quantitative analysis
of eighteen target polycyclic aromatic hydrocarbons (PAHs). The quantitative
obtained results such as limits of detection (LODs), limits of quantification
(LOQs), and recoveries of target PAHs were approximately equivalent based on both
analytical methods. Furthermore, a larger number of analytes were consistently
identified from the aerosol samples by GC*GC-TOFMS compared to GC-qMS. Our
findings suggest that GC*GC-TOFMS would be widely applicable to the atmospheric
and related sciences with simultaneous target and nontarget analysis in a single
run.
PMID- 29805842
TI - Comment on "Substrate Folding Modes in Trichodiene Synthase: A Determinant of
Chemo- and Stereoselectivity".
AB - Wang et al. recently reported an in silico study of the trichodiene synthase
(TDS) conversion of farnesyl diphosphate (FPP) to trichodiene (TD) (Wang et al.,
ACS Catal. 2017, 7, 5841-5846). Although the methods and level of theory used in
that work are nearly identical to our own recent work on this system (Dixit et
al., ACS Catal. 2017, 7, 812-818), Wang et al. reach rather different
conclusions. The authors claimed to obtain a "very credible" mechanism for the
biosynthesis of TD and optimized the optimal folding mode of FPP in the 1,6-ring
closure in TDS. However, the folding mode of the FPP substrate that was presented
contradicts well-established NMR and mass spectrometry data. Moreover, the
authors make numerous incorrect statements regarding our earlier work.
PMID- 29805843
TI - A Triple Mutation of BetaB2-Crystallin is Necessary to Develop Cataract and
Glaucoma.
AB - Crystallins are the predominant structural proteins in the lens that are
evolutionarily related to stress proteins. There are two main crystallin gene
families: alpha-crystallins and beta/gamma-crystallins. alpha- and beta
crystallins were first considered to be lens-specific, but were recently
recognized also as neuronal and retinal proteins. While in the ocular lens they
are responsible for the maintenance of the transparency, their function in
neurons is obviously different - regulating various protective mechanisms in
degenerative conditions of the central nervous system. We recently reported the
correlation between a gene conversion leading to a triple mutation in the betaB2
crystallin protein and a phenotype of familial congenital cataract with a high
familial incidence also of primary open angle glaucoma. Congenital cataract is
the leading cause of childhood blindness and progressive neuro degeneration of
the optic nerve in glaucoma accounts as the leading cause of blindness worldwide.
Altered solubility and stability of crystallin proteins cause cataract formation
and are directly linked to a decrease in their protective function. Thus in this
study, we evaluated the functional consequences of the mutations associated with
this gene conversion on beta B2-crystallin protein biochemical properties in
retinal neurons. We found that only the occurrence of the triple mutation leads
to decreased solubility and formation of aggregates, which as we previously
demonstrated, is associated with mislocalization to the mitochondria along with
decreased mitochondrial function in retinal neurons and lens epithelial cells.
Our data strongly support a significant role for beta B2-crystallin in both
lenticular and retinal ocular tissues and warrant further analysis of its
regulation and its impact not only in cataract formation but also in retinal
neurodegenerative diseases.
PMID- 29805844
TI - Multiplexed enrichment of rare DNA variants via sequence-selective and
temperature-robust amplification.
AB - Rare DNA-sequence variants hold important clinical and biological information,
but existing detection techniques are expensive, complex, allele-specific, or
don't allow for significant multiplexing. Here, we report a temperature-robust
polymerase-chain-reaction method, which we term blocker displacement
amplification (BDA), that selectively amplifies all sequence variants, including
single-nucleotide variants (SNVs), within a roughly 20-nucleotide window by 1,000
fold over wild-type sequences. This allows for easy detection and quantitation of
hundreds of potential variants originally at <=0.1% in allele frequency. BDA is
compatible with inexpensive thermocycler instrumentation and employs a rationally
designed competitive hybridization reaction to achieve comparable enrichment
performance across annealing temperatures ranging from 56 degrees C to 64
degrees C. To show the sequence generality of BDA, we demonstrate enrichment of
156 SNVs and the reliable detection of single-digit copies. We also show that the
BDA detection of rare driver mutations in cell-free DNA samples extracted from
the blood plasma of lung-cancer patients is highly consistent with deep
sequencing using molecular lineage tags, with a receiver operator characteristic
accuracy of 95%.
PMID- 29805846
TI - Evaluation of communication between physicians and patients in Astana City
Hospital No1.
AB - Introduction: Communication between patients and health care providers is
important for the effective functioning of health care systems. Miscommunication
often stems from discrepancies in expectations of both healthcare professionals
and patients due to cultural and historical influences. We investigated the
degree to which health care providers (doctors and nurses) and patients in
Kazakhstan believe that interaction between doctors and patients should be doctor
or patient-oriented. Material and methods: We conducted a cross-sectional study
of 163 patients and 176 health care providers (71 doctors and 105 nurses) in a
general hospital in Astana, Kazakhstan. The subjects completed a structured
questionnaire containing the Patient-Practitioner Orientation Scale (PPOS), and
scales assessing life and job satisfaction, effort-reward balance of healthcare
professionals, and the patients' perceptions of communication practices. Results:
An overwhelming majority of doctors (81.7%), nurses (88.1%), and patients (92.3%)
were doctor-oriented. Among health care providers, PPOS was not associated with
age, sex, life and job satisfaction, or effort-reward imbalance. Among patients,
PPOS was not associated with age, sex, or specialty of health care provider.
However, higher PPOS among patients (indicating preference for patient-oriented
interaction) was associated with higher satisfaction with communication with
health care providers and, less strongly, with their life satisfaction.
Conclusion: The main finding of this study is the very small proportion of
doctors, nurses and patients who believe that interaction should be patient
oriented. These results highlight the necessity of improvement of communication
among health care providers towards patient-oriented approach in order to
decrease miscommunication with patients. The fact that most patients prefer
doctor-oriented interaction may reflect historical stereotypes;
educational/information interventions among patients may also be needed.
PMID- 29805845
TI - Nanoparticle delivery of Cas9 ribonucleoprotein and donor DNA in vivo induces
homology-directed DNA repair.
AB - CRISPR/Cas9-based therapeutics, especially those that can correct gene mutations
via homology directed repair (HDR), have the potential to revolutionize the
treatment of genetic diseases. However, HDR-based therapeutics are challenging to
develop because they require simultaneous in vivo delivery of Cas9 protein, guide
RNA and donor DNA. Here, we demonstrate that a delivery vehicle composed of gold
nanoparticles conjugated to DNA and complexed with cationic endosomal disruptive
polymers can deliver Cas9 ribonucleoprotein and donor DNA into a wide variety of
cell types, and efficiently correct the DNA mutation that causes Duchenne
muscular dystrophy in mice via local injection, with minimal off-target DNA
damage.
PMID- 29805847
TI - Frequency of NAT2 and GSTP1 polymorphisms in the Kazakh population.
AB - Introduction: Phase II xenobiotic biotransformation enzymes perform
detoxification of hydrophilic and often toxic Phase I products through
glutathionetransferase (GST), UDP-glucuronosyltransferase (UDF), N
acetyltransferase (NAT) families and other enzymes. GST protein families
metabolize a large number of electrophilic xenobiotics, by conjugating fusing
them with glutathione. Arylamine-N-acetyltransferase (NAT) catalyzes the
acetylation of the aromatic and heterocyclic amines. Materials and methods: This
study assesses the frequency of NAT2 and GSTP1 gene polymorphisms in 326 healthy
individuals from different regions of Kazakhstan by using Real-Time PCR and
direct sequencing methods. Results: The allele frequencies were calculated for
NAT2*5 (0.54) and GSTP1 (0.27). GSTP1 alleles were in the Hardy-Weinberg
equilibrium (p > 0.05), while NAT2*5 (p = 0.00) were not. The population
differences between North, Northeast and South Kazakhstan regions were also
analyzed. No statistically significant differences in the frequency of genotypes
were found. Conclusion: Allelic polymorphisms of NAT2*5 and GSTP1 genes greatly
varied indifferent populations. The Kazakh population was significantly different
from the Asian, Caucasoid, African-American and Hispanic populations by NAT2*5
and GSTP1 genes. Allelic variants of the NAT2*5 had a low frequency in Asian
populations. Allelic frequency in other world populations varied from 30 to 50%.
The differences between Kazakh (0.54) and the world population were statistically
significant (p < 0.05). The frequency of GSTP1 (rs1695) in the African American
population was 42%. The frequency of GSTP1 in Asian populations varied from 11%
to 23%. The frequency in Caucasoid populations was around 30%. The differences
between Kazakh population (0.27) and other populations selected were
statistically significant (p < 0.05).The study of mutations in GSTP1 and NAT2
genes is necessary in assessing the risk of the development of various diseases,
such as cancer. Information on allelic polymorphisms might also be useful for
personal perscriptions such as cyclophosphamide, cisplatin, methotrexate,
isoniazid, pyrazinamide, and rifampin.
PMID- 29805848
TI - Study of genetic markers of cardiac arrhythmias in Kazakhstan.
AB - Introduction: Cardiac arrhythmias are the most common cause of mortality and
sudden cardiac death worldwide. In the past decade, genetic factors underlying
arrhythmogenic diseases have been revealed and given novel insights in to the
understanding and treatment of arrhythmias predisposing one to sudden cardiac
death. Material and methods: We conducted a pilot genetic screening of two
patients with catecholaminergic polymorphic ventricular tachycardia (CPVT) and 14
patients with ventricular tachycardia (VT) for genetic variants in the human
ryanodine receptor gene 2 (hRYR2). The most relevant 45 hot-spot exons of hRYR2
were amplified by polymerase chain reaction (PCR) and directly sequenced.
Results: One novel mutation in a CPVT patient (c.A13892T; p.D4631V) and a novel
mutation in a VT patient (c.G5428C; p.V1810L) were identified. Both variants are
located at phylogenetically conserved positions and predicted pathogenesis. Three
known synonymous SNPs (rs3765097, rs2253273, and TMP ESp1 237664067) were
detected in the study group. No further variants within the target regions were
detected in the study group. Conclusion: The results of study can be applied to
risk asssessment for life-threatening arrhythmias and assist in development of
appropriate strategies for prevention of sudden cardiac death. The implementation
of these strategies would assist in the management of patients with genetically
determined arrhythmias in Kazakhstan.
PMID- 29805849
TI - Herbal extracts in the treatment of Diabetic Foot Syndrome.
AB - Introduction: One of the most serious complications of diabetes is the formation
of Diabetic Foot Syndrome. Herbal extracts that combine high antioxidant and
antimicrobial properties can be used to treat the resulting neuropathy.The aim of
this study was to determine antimicrobial and antioxidant activities of crude
extracts isolated from plants growing in Kazakhstan, which could be used to
develop products for treatment of Diabetic Foot Syndrome. Method: Different
solvents, including dichloromethane and ethanol, were used to prepare plant
extracts. The crude extracts from the plants were tested for antimicrobial
activity using a modified version of the CLSI/NCCLS methods. All organisms were
obtained from American Type Culture Collection. These included the fungi Candida
glabrata ATTC 90030, the bacteria Staphylococcus aureus ATCC 29213, and
Methicillin-resistant S. aureus ATCC 43300. The 2,2-diphenyl-1-picrylhydrazyl
(DPPH) assay, 2,2-azinobis (3-ethylbenzothiazoline-6-sulfonic acid) diammonium
salt (ABTS) radical scavenging assay were used to analyzed the antioxidant
capacity. Results: The results clearly indicate that antibacterial and antifungal
activities vary with plant species. Dichloromethane extracts produced favorable
results in all assays. Epilobium hirsutum, Rhodiola quadrifida, Rumex confertus
showed antifungal activity against Candida glabrata in all extracts where IC50
less than 3 MUg/ml. Rumex confertus, Glycyrrhiza Uralensis and Vexibia
alopecuroides showed anti-fungal activity against Staphylococcus aureus (IC50
=10.80 MUg/ml), (IC50 =11.10 MUg/ml), (IC50 =3.05 MUg/ml) and Methicillin
resistant S. aureus (IC50 =16.20 MUg/ml), (IC50 =11.00 MUg/ml), (IC50 =2.90
MUg/ml) respectively. In spite of this, Vexibia alopecuroides extract showed no
antioxidant activity. The other extracts showed a dose dependent ABTS scavenging
activity. IC50 values were for the following: 6.6 MUg/ml Epilobium hirsutum; 4.5
MUg/ml Rumex confertus; 3.8 MUg/ml Rhodiola quadrifida, 5.7 MUg/ml Glycyrrhiza
Uralensis. Extracts of Epilobium hirsutum and Rumex confertus had high
antioxidant activity greater than 85% inhibition of DPPH (P <= 0.05). Conclusion:
The demonstrated antimicrobial and antioxidant activities showed evidence
supporting the use of herbal extracts to treat Diabetic Foot Syndrome.
PMID- 29805850
TI - Pharmacogenetic research in Kazakhstan.
AB - Introduction: Pharmacogenomics is an emerging field of medicine that combines
genetics and pharmacology. Pharmacogenomic research is relatively new in
Kazahkstan, but, in recent years, significant progress has been made in this
field. The National Scientific Laboratory for Biotechnology has launched several
government-funded research projects focused on finding genetic markers that
determine susceptibility to various drugs. Another goal of pharmacogenetic
research in the laboratory is to find the pharmacogenomic markers that target
cardiovascular diseases, accounting for allelic frequencies in selected genes in
the Kazakh population. In addition, pharmacogenomic testing kits allow patients
to choose the drug dosage. For example, the drug Warfarin has been developed
within the framework of the "Technology Commercialization Project," funded
jointly by the Ministry of Education and Science of the Republic of Kazakhstan
and the World Bank. Material and methods: The pharmacogenomic studies were
conducted using the real-time PCR and direct DNA sequencing. DNA was isolated
from venous blood or buccal cells, collected from patients. Results: To date, we
have identified the most promising areas of research in the field of
pharmacogenomics in Kazakhstan. The allelic frequencies of a number of
polymorphisms in the Kazakh population have been calculated (CYP2C9, CYP2C19,
CYP3A4, VKORC1, CYP4F2, GGCX, CYP2D6, CYP1A2, NAT2, GSTP1, SLC47A1). A unique
repository of DNA samples was established and is being replenished during the
implementation of aforementioned projects. Development of the testing kit for
individual selection of Warfarin dosage is nearing completion. A patent, named
"Method of Selection Based Dose Warfarin Genotyping for the Kazakh Population"
has been recently obtained. An application for another patent, titled "Express
Method of Correction of Warfarin Dosing, Based on Real-time PCR" has received
positive evaluation. The results of domestic pharmacogenomic studies will allow a
more rational selection of drugs and their dosage regimens specific to the Kazakh
population.
PMID- 29805851
TI - Reversibility of cellular aging by reprogramming through an embryonic-like state:
a new paradigm for human cell rejuvenation.
AB - Direct reprogramming of somatic cells into induced pluripotent stem cells (iPSCs)
provides a unique opportunity to derive patient-specific stem cells with
potential application in autologous tissue replacement therapies and without the
ethical concerns of Embryonic Stem Cells (hESC). However, this strategy still
suffers from several hurdles that need to be overcome before clinical
applications. Among them, cellular senescence, which contributes to aging and
restricted longevity, has been described as a barrier to the derivation of iPSCs.
This suggests that aging might be an important limitation for therapeutic
purposes for elderly individuals. Senescence is characterized by an irreversible
cell cycle arrest in response to various forms of stress, including activation of
oncogenes, shortened telomeres, DNA damage, oxidative stress, and mitochondrial
dysfunction. To overcome this barrier, we developed an optimized 6-factor-based
reprogramming protocol that is able to cause efficient reversing of cellular
senescence and reprogramming into iPSCs. We demonstrated that iPSCs derived from
senescent and centenarian fibroblasts have reset telomere size, gene expression
profiles, oxidative stress, and mitochondrial metabolism, and are
indistinguishable from hESC. Finally, we demonstrate that re-differentiation led
to rejuvenated cells with a reset cellular physiology, defining a new paradigm
for human cell rejuvenation. We discuss the molecular mechanisms involved in cell
reprogramming of senescent cells.
PMID- 29805852
TI - Erythrocytes as Carriers for Drugs and Contrast Agents.
AB - Erythrocytes, also known as Red Blood Cells (RBC), are typically used in
transfusion medicine to replace lost blood in patients who underwent different
kinds of medical treatments as well as those involved in accidents resulting in
blood loss. In addition to these common uses, RBC are being used for a variety of
new applications either as therapeutics or as diagnostics. Most of these novel
approaches are made possible due to the peculiar properties of these cells. We
have invented a technology that allows cells to be opened and resealed without
affecting their main physiological characteristics with a minimal amount of
patient blood. Uses of processed RBCs in biomedical engineering include work with
drugs, biomedical compounds and/or nanomaterials. These constructs are a new
armamentarium available to the physicians for the release of drugs in
circulation, for targeting drugs to selected sites in the body, or for in vivo
diagnostic procedures based on magnetic and/or optical methods. Autologous human
RBC loaded with dexamethasone (EryDex), a common corticosteroid, have been used
in the treatment of Cystic Fibrosis, Crohn's Disease, and other severe
inflammatory conditions. Benefits and safety of this technology have been
documented in over 2,500 treatments. EryDel SpA is a company focused on
developing and commercializing innovative therapies and diagnostics based on the
use of autologous RBCs as agent carriers. More recently, EryDel SpA completed a
Phase II Proof of Concept study in patients with Ataxia Telangiectasia (AT), a
rare progressive neurological autosomal recessive disorder that leads to
mortality in most patients at an early age, with significant benefit seen on
primary and secondary end-points. EryDex treatment has received Orphan Drug
Designation by EMA for the treatment of Cystic Fibrosis and both by EMA and FDA
for the treatment of AT. The encapsulation of superparamagnetic nanoparticles
within RBC has lead to the generation of new biomimetic constructs that now
permits the use of these nanomaterials in vivo avoiding their rapid sequestration
and their accumulation in unwanted districts (PCT WO 2008/003524 A3). Similarly,
the encapsulation of infrared fluorescent agents into RBC gives opportunity to
the measurement of vasomotion in the human retinal vasculature suggesting a
possible correlation with retinal edema. In summary, the newly developed RBC
based drug delivery system is an innovative technology platform that could be
used in a wide range of applications opening to unlimited new therapeutic
approaches. Furthermore, the same system has been adapted to deliver contrasting
agents within the body enabling the improvement of current fluorangiographic
procedures and the imaging by Magnetic Resonance (MRI) and Magnetic Particle
(MPI). EryDel S.p.A. has recently completed trials to bring EryDex treatment to
the market and to implement the clinical applications of the RBC technology.
PMID- 29805853
TI - Peptidoglycan Hydrolases of Local Lactic Acid Bacteria from Kazakh Traditional
Food.
AB - Introduction: Peptidoglycan (PG) is a major component of the cell wall of Gram
positive bacteria and is essential for maintaining the integrity of the bacterial
cell and its shape. The bacteria synthesize PG hydrolases, which are capable of
cleaving the covalent bonds of PG. They also play an important role in modeling
PG, which is required for bacterial growth and division. In an era of increasing
antibiotic-resistant pathogens, PG hydrolases that destroy these important
structures of the cell wall act as a potential source of new antimicrobials. The
aim of this study is to identify the main PG hydrolases of local lactic acid
bacteria isolated from traditional foods that enhance probiotic activity of a
biological preparation. Methods: Lactococcus lactis 17A and Lactococcus garvieae
19A were isolated from the traditional sausage-like meat product called kazy.
They were isolated according to standards methods of microbiology. Genetic
identification of the isolates were tested by determining the nucleotide
sequences of 16S rDNA. The Republican collection of microorganisms took strains
of Lactobacillus casei subsp. Rhamnosus 13-P, L. delbrueckii subsp. lactis CG-1 B
RKM 0044 from cheese, Lactobacillus casei subsp. casei B-RKM 0202 from homemade
butter. They used the standard technique of renaturating polyacrylamide gel
electrophoresis to detect PG hydrolases activity. Results: According to the
profiles of PG hydrolase activity on zymograms, the enzymes of Lactococci 17A and
19A in kazy are similar in electrophoretic mobility to major autolysin AcmA,
while the lactobacilli of industrial and home-made dairy products have enzymes
similar to extracellular proteins p40 and p75, which have probiotic activity.
Conclusions: Use of peptidoglycan hydrolases seems to be an interesting approach
in the fight against multi-drug resistant strains of bacteria and could be a
valuable tool for the treatment of diseases caused by these microorganisms in
Kazakhstan.
PMID- 29805854
TI - Vitamin D Receptor Gene Polymorphisms and Breast Cancer Risk in Kazakhstan.
AB - Introduction: The steroid hormone 1,25-dihydroxyvitamin D3 is thought to protect
against breast cancer. The activity of 1,25-dihydroxyvitamin D3 is mediated via
the vitamin D receptor (VDR), and a number of polymorphisms in the VDR gene have
been identified. These result in distinct genotypes, some of which may alter
susceptibility to breast cancer. Two common single nucleotide polymorphisms (SNP)
in the VDR gene (VDR), rs1544410 (BsmI) and rs2228570 (FokI), have been
inconsistently associated with breast cancer risk. Increased risk has been
reported for the FokI ff genotype, which encodes a less transcriptionally active
isoform of VDR. A reduced risk has been reported for the BsmI BB genotype which
may influence VDR mRNA stability. Aim: We have investigated whether specific VDR
gene polymorphisms are associated with breast cancer risk in Kazakhstan women.
Material and Methods: In a case-control study, female breast cancer patients
(315) and a female control group (n=604) were tested for two VDR polymorphisms.
Statistical analysis was conducted using SPSS19.0. Results: : The VDR rs2228570
(FokI) polymorphism was associated with an increased occurence of BC [rs2228570
(folk) ff vs. FF genotype: OR=1.71; 95% CI=1.21-2.43]. No association was noted
between rs1544410 (BsmI) BB and breast cancer risk [OR=0.68; 95% CI=0.49-0.95].
Conclusion: : Although the factors that increase breast cancer susceptibility
remain uncertain, future large studies should integrate genetic variation in VDR
with biomarkers of vitamin D status. Additional testing on the effect of varying
genotypes on the functional mechanisms of the VDR could help to improve future
testing and treatment of woman at risk for breast cancer.
PMID- 29805855
TI - In vivo Biotinylation Based Method for the Study of Protein-Protein Proximity in
Eukaryotic Cells.
AB - Introduction: The spatiotemporal order plays an important role in cell
functioning and is affected in many pathologies such as cancer and
neurodegenerative diseases. One of the ultimate goals of molecular biology is
reconstruction of the spatiotemporal structure of a living cell at the molecular
level. This task includes determination of proximities between different
molecular components in the cell and monitoring their time- and physiological
state-dependent changes. In many cases, proximity between macromolecules arises
due to their interactions; however, the contribution of dynamic self-organization
in generation of spatiotemporal order is emerging as another viable possibility.
Specifically, in proteomics, this implies that the detection of protein-protein
proximity is a more general task than gaining information about physical
interactions between proteins, as it could detail aspects of spatial order in
vivo that are challenging to reconstitute in binding experiments in vitro.
Methods: In this work, we have developed a method of monitoring protein-protein
proximity in vivo. For this purpose, the BirA was fused to one of the interaction
partners, whereas the BAP was modified to make the detection of its biotinylation
possible by mass spectrometry. Results: Using several experimental systems, we
showed that the biotinylation is interaction dependent. In addition, we
demonstrated that BAP domains with different primary amino acid structures and
thus with different molecular weights can be used in the same experiment,
providing the possibility of multiplexing. Alternatively to the changes in
primary amino acid structure, the stable isotope format can also be used,
providing another way to perform multiplexing experiments. Finally, we also
demonstrated that our system could help to overcome another limitation of current
methodologies to detect protein-protein proximity. For example, one can follow
the state of a protein of interest at a defined time after its interaction with
another protein has occurred. This application should be particularly useful for
studying multistep intracellular processes, where the proximities between
proteins and protein properties typically changed in a sequential manner.
Conclusion: This approach has promised in adding temporal dimension in addition
to helping reconstruct cell topology in space.
PMID- 29805856
TI - Intra-articular injection of synovium-derived mesenchymal stem cells and
hyaluronic acid promote regeneration of massive cartilage defects in rabbits.
AB - Introduction: The purpose of this study was to investigate whether intra
articular injection of synovium-derived mesenchymal stem cells (SD MSCs) with low
molecular weight hyaluronic acid (HA) could promote regeneration of massive
cartilage in rabbits. Material and methods: The SD MSCs were harvested from the
knees of 10 Flemish giant rabbits, expanded in culture, and characterized. A
reproducible 4-mm cylindrical defect was created in the intercondylar groove area
using a kit for the mosaic chondroplasty of femoral condyle COR (De Puy, Mitek).
The defect was made within the cartilage layer without destruction of subchondral
bone. Two weeks after the cartilage defect, SD MSCs (2 * 106 cell/0.15 ml) were
suspended in 0.5% low molecular weight HA (0.15 ml) and injected into the left
knee, and HA solution (0.30 ml) alone was placed into the right knee. Cartilage
regeneration in the experimental and control groups were evaluated by
macroscopically and histologically at 10, 30, and 60 days. Results: On day 10,
after intra-articular injection of SD MSCs, we observed an early process of
cartilage regeneration in the defect area. Histological studies revealed that
cartilage defect was covered by a thin layer of spindle-shaped undifferentiated
cells and proliferated chodroblasts. In contrast, an injection of HA did not
induce reparation of cartilage in the defect area. At 30 days, macroscopic
observation showed that the size of cartilage defect after SD MSC injection was
significantly smaller than after HA injection. Histological score was also better
in the MSC-treated intercondylar defect. At 60 days after MSC treatment,
cartilage defect was nearly nonexistent and looked similar to an intact
cartilage. Conclusion: Thus, intra-articular injection of SD MSCs can adhere to
the defect in the intercondylar area, and promote cartilage regeneration in
rabbits.
PMID- 29805857
TI - Deprivation of human natural killer cells and antitumor immune response.
AB - Introduction: Cell-based immunotherapy has been given increased attention as a
treatment for cancer. Human natural killer (NK) cells are resident lymphocyte
populations. They exhibit potent antitumor activity without human leukocyte
antigen matching and without prior antigen exposure. They also are a promising
tool for immunotherapy of solid and hematologic cancers. However, most cancer
patients do not have enough NK cells to induce an effective antitumor immune
response. This demonstrates a need for a source of NK cells that can supplement
the endogenous cell population. Material and methods: In this study, we derived
induced pluripotent stem cells (iPSCs) from peripheral blood T-lymphocytes using
Sendai virus vectors. Results: Generated iPSCs exhibited monoclonal T cell
receptors (TCR) rearrangement in their genome, a hallmark of mature terminally
differentiated T cells. These iPSCs were differentiated into NK cells using a two
stage coculture system: iPSCs into hematopoietic CD34+ cells with feeder cells
M210-B4 (ATCC, USA) and CD34+ cells into mature NK cells with AFT024 cells (ATCC,
USA). Our results showed that iPSC-derived NK cells expressed CD56, CD16, NKp 44
and NKp 46, possessed high cytotoxic activity and produced high level of
interferon-gamma. Conclusion: Based on our data, derivation of NK cells from
induced pluripotent stem cells should be considered in the treatment of oncologic
diseases. This would allow for the development of cell therapy for cancer using
immunologically compatible NK cells derived from iPSCs. This may contribute to a
more efficient treatment of oncologic diseases in addition to traditional cancer
treatment.
PMID- 29805858
TI - The need for standardized biobanks in Kazakhstan.
AB - Biobanks are an important tool for clinical and research studies conducted on
biomarkers of genetic therapy, diagnostic tests and new drugs; however, most
biobanks remain incomplete and are often used without uniform standards and
criteria. There is also a a lack of high-quality biological samples and many
bioethical problems are often overlooked. Currently, Kazakhstan has no standard
requirements and protocols for biomedical organizations. However, .an analysis of
published data shows that possibly hundreds of samples are analyzed. Therefore,
an establishment of biobank with standardized requirements could create better
quality research. The National Center for Biotechnology has already started a
biobank with more than 1,500 blood samples, with the ultimate goal of creating a
biobank including around 10,000 blood samples of healthy volunteers, the same
number of samples obtained from individuals with cardiovascular and endocrine
diseases with samples stored under special conditions. The database contains
demographic characteristics of donor's medical history. Informed consent for
research received from all donors. This biobank can be considered as a national
resource for scientific research.
PMID- 29805859
TI - Estrogen Receptor Gene (ESR1) PVUII and XBAI Polymorphisms and Bone Mineral
Density in Kazakh Women.
AB - Introduction: Osteoporosis is a common age-related disease that is strongly
influenced by genetics. Polymorphisms of the estrogen receptor gene alpha (ESR1)
are consistently been associated with bone mineral density (BMD) and fracture.The
purpose of this investigation was to evaluate potential association of single
nucleotide polymorphism (SNP) variants of the ESR1 gene and bone mineral density
(BMD) of the lumbar spine in Kazakh women. Methods: 140 female participants in
Pavlodar clinics with varying measures of BMD. We are examined the potential
association of BMD with 2 SNPs from the ESR1 gene (rs2234693 [PvuII] and
rs9340799 [XbaI]). Genotyping of the PvuII and XbaI polymorphisms was performed
by direct sequencing of the gene fragments containing restriction sites with the
identification of genotypes PP, Pp, pp and XX, Xx, xx respectively. Results:
Unadjusted mean BMD values ranged from 1.14+/-0.14 g/cm2 in Caucasian women and
1.03+/-0.11 g/cm2 in Asian women. The association between PvuII polymorphism and
BMD at the lumbar spine (p= 0.04 for PP=Pp=pp) was statistically significant in
all women. The XbaI polymorphism was not associated with BMD at lumbar spine. The
relative risk for low BMD was higher for the marker PvuII (RR=1.51) than for the
marker XbaI (RR=1.35). Conclusion: The PvuII polymorphism had a weak association
with lumbar spine BMD. XbaI polymorphism was unlikely to be a predictor of lumbar
spine BMD in Kazakh women. These conclusions could help to determine the genetic
risk factors for osteoporosis; however, further studies on the association
between gene polymorphisms and BMD are needed including larger numbers of
participants and genes to clarify genetic risks.
PMID- 29805860
TI - Genomic research perspectives in Kazakhstan.
AB - Introduction: Technological advancements rapidly propel the field of genome
research. Advances in genetics and genomics such as the sequence of the human
genome, the human haplotype map, open access databases, cheaper genotyping and
chemical genomics, have transformed basic and translational biomedical research.
Several projects in the field of genomic and personalized medicine have been
conducted at the Center for Life Sciences in Nazarbayev University. The
prioritized areas of research include: genomics of multifactorial diseases,
cancer genomics, bioinformatics, genetics of infectious diseases and population
genomics. At present, DNA-based risk assessment for common complex diseases,
application of molecular signatures for cancer diagnosis and prognosis, genome
guided therapy, and dose selection of therapeutic drugs are the important issues
in personalized medicine. Results: To further develop genomic and biomedical
projects at Center for Life Sciences, the development of bioinformatics research
and infrastructure and the establishment of new collaborations in the field are
essential.Widespread use of genetic tools will allow the identification of
diseases before the onset of clinical symptoms, the individualization of drug
treatment, and could induce individual behavioral changes on the basis of
calculated disease risk. However, many challenges remain for the successful
translation of genomic knowledge and technologies into health advances, such as
medicines and diagnostics.It is important to integrate research and education in
the fields of genomics, personalized medicine, and bioinformatics, which will be
possible with opening of the new Medical Faculty at Nazarbayev University. People
in practice and training need to be educated about the key concepts of genomics
and engaged so they can effectively apply their knowledge in a matter that will
bring the era of genomic medicine to patient care. This requires the development
of well-equipped laboratories, bioinformatics, as well as qualified trained
physicians and laboratory staff.
PMID- 29805861
TI - Vitamin D Receptor Gene Polymorphisms in Susceptibility to Tuberculosis in the
Kazakh Population in Almaty and Almaty Area.
AB - Introduction: Vitamin D receptor (VDR) plays an important role in activating the
immune response against various infectious agents. It is known that the active
metabolite of ligand receptor Vitamin D (1,25 - dihydroxyvitamin D) is encoded by
VDR and helps mononuclear phagocytes to suppress the intracellular growth of M.
tuberculosis. The VDR gene harbors approximately 200 polymorphisms, some of which
are linked to differences in receptor Vitamin D uptake and therefore can be
considered as candidate disease risk variants. The relation between VDR gene
polymorphisms and susceptibility to TB has been studied in different populations.
There is not a great deal of information regarding the association of these SNPs
with TB risk in the Kazakh population. The four most commonly investigated VDR
polymorphisms in association with different diseases, including susceptibility to
tuberculosis, are located in exon 2 (rs2228570 or FokI), intron 8 (rs1544410 or
BsmI and rs7975232 or ApaI), and exon 9 (rs731236 or TaqI). The aim of our study
was to determine whether these four VDR gene single nucleotide polymorphisms were
associated with TB and whether they were a risk for the development of TB in the
Kazakh Population in Almaty city and Almaty area. Methods: This study was a
hospital-based case-control analysis of 283 individuals (99 TB patients and 184
healthy controls). Genotyping was performed by Taqman SNP allelic discrimination
using commercial TaqMan SNP Genotyping assays. Statistical analysis was conducted
using SPSS Version 19.0 software. Results: Genotype frequencies for the Kazakh
population are close to world (HapMap) data on Asian populations. FokI and ApaI
polymorphisms genotypes tend to be associated with TB risk under the co-dominant
model [OR=1.18; 95%CI: (0.68, 2.07), p=0.15] for FokI and [OR=1.33; 95%CI: (0.61,
2.91), p=0.6] for ApaI. No significant association between the disease and TaqI,
BsmI genotypes was observed. Conclusions: In summary, we explored potential
associations between SNPs in the VDR (FokI, ApaI) gene and susceptibility to
tuberculosis in the Kazakh Population, which requires further detailed analysis
with a larger sample size and greater geographic diversity including other
regions of Kazakhstan.
PMID- 29805862
TI - Biopharmaceutical characteristics of autologous red blood cells ghosts containing
cytokines and antibiotics.
AB - Introduction: Transport systems based on autologous red blood cells for targeted
drug delivery can be considered as a promising approach in the treatment of
surgical infections. Experimental studies have revealed the feasibility of
targeted drug delivery by encapsulation of cytokines and antibiotics into
autologous erythrocyte ghosts. Purpose: To study biopharmaceutical
characteristics of autologous erythrocyte ghosts containing cytokines and
antibiotics (pharmacocytes). Material and methods: The erythrocyte pharmacocytes
were prepared by the hypotonic hemolysis method, or the use of human red blood
cells. The association and dissociation indicators of rifampicin and cytokine
substances with the erythrocyte ghosts were conducted using standard methods.
Results: We have defined the following extracellular concentrations to be optimal
for deposition of drug substances into pharmacocytes: for rifampicin - 10 000
MU/ml, erythropoietin - 1000 IU/ml, TNF-a - 5000 IU/ml, IL-1-beta - 5000 U/ml,
IFN-gamma - 10 000ME/ml, IL-2 - 50 000 IU/ml, angiogenin - 0.04 mg/ml. Two types
of correlations of cytokines and pharmacocytes were identified. In this study, we
found that 40-60 % of the erythropoietin, IFN- gamma and angiogenin were bound to
red blood cells ghosts, more than 10% of which were bound irreversibly. For TNF
a, IL-1-beta and IL-2, the red blood cells ghosts were capable of binding and
depositing within 10-20 % of the input extracellular concentration, and these
bindings were almost completely reversible. The rifampicin was bound by red blood
cells ghosts with 5 % efficiency and also completely reversibly. Conclusion: The
study has shown the effectiveness of inclusion of the studied components, such as
erythropoietin, IFN-gamma and angiogenin into the red blood cells ghosts, with
significant efficiency (40-60 %). It presents the potential of using this system
in targeted delivery of cytokines and antibiotics for treatment of surgical
infections, thus facilitating the reduction in toxicity and adverse systemic
effects of drugs and improving the treatment results.
PMID- 29805863
TI - Pre-conception preparation at the antiphospholipid syndrome as way to improve
reproductive health.
AB - Introduction: Reproductive health is characterized by the condition of the woman
in association with the course of pregnancy and childbirth. In this case, the
absence of disease plays a fundamental role. Unfortunately, conditions that can
negatively impact reproductive health and cause deterioration of pregnancy and
delivery outcomes are frequent in women of reproductive age. Antiphospholipid
syndrome (APS) is one of the leading conditions that can negatively affect
reproductive health and lead to various complications in pregnancy including
fetal loss. Materials and methods: We assessed the effectiveness of pre
conception preparing, including traditional therapy of APS in conjunction with
system enzyme therapy (SET) and plasmapheresis sessions. We conducted a study in
two groups: women with APS and pre-conception preparing (n = 49) and the control
group were women without pre-conception preparing (n = 46). Results: The effect
of pre-conception preparing in women with APS was assessed by the course and
outcome of pregnancy. The total number of women with complications of pregnancy
were 39.1% lower in the study group compared to the control group. Risk of
miscarriage in the basic group observed 68.7 % less frequently compared to the
control group. The frequency of pre-eclampsia was 63.5 % less in the study group
compared to the control group. We observed significantly lower rates of placental
insufficiency in the study group and the difference in this parameter reached
65.2%. The risk of pre-term birth was 59.4 % lower in the study group compared to
the control group. Conclusion: We concluded that pre-conception preparing in
women with APS increases the possibility of physiological course pregnancy. Pre
conception preparing reduces the incidence of miscarriage, pre-term labor, and
the development of pre-eclampsia, and placental insufficiency.
PMID- 29805864
TI - Radiation risk factors in incidence and mortality among exposed individuals of
East Kazakhstan.
AB - Introduction: Lengthy clinical and epidemiological studies at the Research
Institute of Radiation Medicine and Ecology have discovered basic patterns of
long-term effects from ionizing radiation in population groups exposed to
radiation risk. Methodology for calculating injury from radiation risk factors
has been developed and implemented to minimize the effects of the Semipalatinsk
nuclear test site (SNTS). Material and methods: We analyzed materials from the
database of the Scientific Medical Register that were exposed to radiation as a
result of SNTS. We analyzed both male and female populations of the Abay,
Beskaragai and Zhanasemei, Kokpekti (control) areas of East-Kazakhstan region
(EKR) from 2008-2012. These populations were split into three groups allocated by
the generation. The first group represented persons born from the period of
01/01/1930-08/01/1949 and their children born from the period of 10/09/1949
12/31/1962. The second group were persons born after 01/01/1963. The third group
served as the control and were persons who immigrated to these areas after 1990.
Results: There was an increased incidence of cancer (21.5%, p < 0.000734),
cardiovascular diseases (10.2%); respiratory problems (9.6%), gastrointestinal
issues (9.1%, p < 0.00371-0.00679) in the first group. The effect of the
radiation dose has not been fully stuided among the subjects in the second
group.The major causes of excess mortality in the first group were neoplams
(30.6%), hypertension (23.8%), and myocardial infarction (22.6%). The effects of
radiation influenced mortality in the second group were 2-2.5 times lower than
the first group. Conclusion: There is a correlation between the size of the
radiation dose, the risk profile, and age at the moment of radiation exposure
with trends of morbidity and mortality in the radiation exposed areas.
PMID- 29805865
TI - Examination of Lactic Acid Bacteria to Secretion of Bacteriocins.
AB - Introduction: Bacteriocins produced by lactic acid bacteria (LAB) have the
potential to cover a very broad field of applications, including the food
industry and the medical sector. In the food industry, bacteriocinogenic LAB
strains can be used as starter cultures, co-cultures, and bioprotective cultures,
which would be used to improve food quality and safety. In the medical sector,
bacteriocins of probiotic LAB might play a role in interactions, which take place
in human gastrointestinal tract, and contribute to gut health. The aim of this
study was the examine the effect of LAB antimicrobial activity. Methods: LAB were
isolated from different commercial and home made products, such as kazy and sour
cream. To screen for bacteriocin producing LAB, we used an agar diffusion
bioassay, described in a previous study by Dr. Yang, with three modifications in
cell-free supernatant (CFS). First we had a clear supernatant, second we adjusted
the CFS to pH 6.0 to eliminate acids antimicrobial effects, and third the CFS pH
6.0 was treated with catalase to exclude the action of H2O2 and confirm action of
bacteriocin-like substances. Pathogenic S.marcescens, E. coli, S.aureus cultures
were used as indicators. Results: Screening of 95 strains of LAB through deferred
antagonism to six indicator cultures showed that all of the selected strains had
a high value of antibacterial activity. However, CFS of only 50 strains retained
their antimicrobial activity, and 10 of them lost this activity in the second
modification of CFS with pH 6.0 to test culture S.marcescens, which confirmed the
acidic nature of antimicrobial activity of CFS. Lb.rhamnosus (P-1), Lb.fermentum
(N-6), and Lc.lactis (7M) lost antibacterial activity in the presence of the
catalase. All modifications of CFS of three strains: Lb.pentosus (16al),
Lb.pentosus (P-2), and Pediococcusacidilactici (8) retained inhibitory activity
to E.coli and S. aureus. Supernatants of only Lactococcusgarvieae (10a) and
Pediococcusacidilactici (25) extracted from homemade meat food kazy (Karaganda)
and sour cream (Astana), respectively retained antibacterial activity to all
three indicator cultures. Conclusion: The antibacterial activity (pH 6.0, added
catalase) of Lactococcusgarvieae (10a) and Pediococcusacidilactici (25) to S.
marcescens, E. coli, and S.aureus indicates these strains as promising strains
for further use in the preparation of bacteriocins.
PMID- 29805866
TI - Biochemical Characterization of Mycobacterium tuberculosis DNA Repair Enzymes -
Nfo, XthA and Nei2.
AB - Introduction: Tuberculosis (TB) is a human disease caused by Mycobacterium
tuberculosis (Mtb). Treatment of TB requires long-term courses of multi-drug
therapies to eliminate subpopulations of bacteria, which sometimes persist
against antibiotics. Therefore, understanding of the mechanism of Mtb antibiotic
resistance is extremely important.During infection, Mtb overcomes a variety of
body defense mechanisms, including treatment with the reactive species of oxygen
and nitrogen. The bases in DNA molecule are susceptible to the damages caused by
reactive forms of intermediate compounds of oxygen and nitrogen. Most of this
damage is repaired by the base excision repair (BER) pathway. In this study, we
aimed to biochemically characterize three Mtb DNA repair enzymes of BER pathway.
Methods: XthA, nfo, and nei genes were identified in mycobacteria by homology
search of genomic sequences available in the GenBank database. We used standard
methods of genetic engineering to clone and sequence Mtb genes, which coded Nfo,
XthA and Nei2 repair enzymes. The protein products of Mtb genes were expressed
and purified in Escherichia coli using affinity tags. The enzymatic activity of
purified Nfo, XthA, and Nei2 proteins were measured using radioactively labeled
DNA substrates containing various modified residues. Results: The genes end
(Rv0670), xthA (Rv0427c), and nei (Rv3297) were PCR amplified using genomic DNA
of Mtb H37Rv with primers that contain specific restriction sites. The amplified
products were inserted into pET28c(+) expression vector in such a way that the
recombinant proteins contain C-terminal histidine tags. The plasmid constructs
were verified by sequencing and then transformed into the Escherichia coli BL21
(DE3) strain. Purification of recombinant proteins was performed using Ni2+ ions
immobilized affinity column, coupled with the fast performance liquid
chromatography machine AKTA. Identification of the isolated proteins was
performed by protein mass spectrometry by ion trap tandem MS/MS on nLC-ESI-Ion
Trap platform. Biochemical characterization of DNA repair protein-catalyzed
activity was carried out by measuring apurinic/apyrimidinic endonuclease, DNA
glycosylase, exonuclease, and 3'-repair diesterase functions. In addition, effect
of the opposite base and the influence of metal ion cofactors were measured.
Conclusion: Results of the ongoing study will help us define the role of DNA
repair enzymes in the emergence of mutations in the mycobacterial genome and,
possibly, the origins of multi-drug resistance in mycobacteria.
PMID- 29805867
TI - The Central Asian Journal of Global Health to Increase Scientific Productivity.
AB - The WHO Collaborating Center at the University of Pittsburgh, USA partnering with
Nazarbayev University, developed the Central Asian Journal of Global Health
(CAJGH, cajgh.pitt.edu) in order to increase scientific productivity in
Kazakhstan and Central Asia. Scientists in this region often have difficulty
publishing in upper tier English language scientific journals due to language
barriers, high publication fees, and a lack of access to mentoring services.
CAJGH seeks to help scientists overcome these challenges by providing peer
reviewed publication free of change with English and research mentoring services
available to selected authors. CAJGH began as a way to expand the Supercourse
scientific network (www.pitt.edu/~super1) in the Central Asian region in order to
rapidly disseminate educational materials. The network began with approximately
60 individuals in five Central Asian countries and has grown to over 1,300 in a
few short years. The CAJGH website receives nearly 900 visits per month. The
University of Pittsburgh's "open access publishing system" was utilized to create
CAJGH in 2012. There are two branches of the CAJGH editorial board: Astana (at
the Center for Life Sciences, Nazarbayev University) and Pittsburgh (WHO
Collaborating Center). Both are comprised of leading scientists and expert staff
who work together throughout the review and publication process. Two complete
issues have been published since 2012 and a third is now underway. Even though
CAJGH is a new journal, the editorial board uses a rigorous review process; fewer
than 50% of all submitted articles are forwarded to peer review or accepted for
publication. Furthermore, in 2014, CAJGH will apply to be cross referenced in
PubMed and Scopes. CAJGH is one of the first English language journals in the
Central Asian region that reaches a large number of scientists. This journal
fills a unique niche that will assist scientists in Kazakhstan and Central Asia
publish their research findings and share their knowledge with others around the
region and the world.
PMID- 29805868
TI - Effect of Probiotic Consortium on the Local Inflammatory Process in Chronic
Periodontitis.
AB - Introduction: Inflammatory periodontal disease is one of the major concerns of
researchers and clinicians, because it can lead to tooth loss and an increased
risk of systemic pathologies, even at the age of 35. The purpose of this study
was to determine the effects of gelatin-based probiotic consortium on the local
and general factors of inflammation in rats with chronic periodontitis. Methods:
The study object was a complex of probiotic bacteria based in an odourless 6%
gelatin plate with neutral flavour. A cellular biomass of the consortium consists
of following lactobacilli: Lactobacillus casei subsp. pseudoplantarum,
Lactobacillus caseisubsp.casei, L.fermentum, and L. helveticus. The viable cell
number was 2.5 * 109 CFU/ml. The model of chronic periodontitis was reproduced in
the white random-bred rats that weighed 160-220g, by keeping them on a low
protein diet. After three months, symptoms associated with medium and severe
chronic periodontitis were observed in the rats. Application was carried out on
the oral mucosa of rats 1 time per day for 14 days. The stickers lacking
consortium of microorganisms were used as the placebo. The "Solcoseril" gel was
chosen as a comparator. The hematologic, biochemical, and morphological
characteristics were investigated. Results: A complete clearance of periodontal
pockets was observed during an objective examination of the experimental group
rats on the 14th day of the experiment. Moreover, a gingival mucous turned pink,
and there were no cyanosis tissues. The local changes were accompanied by
improvement in hematological parameters, such as a reduction of blood
eosinophilia and neutrophilia, and a recovery of the white blood cells number to
the normal degree within the group that received the probiotic complex. A
decrease of the acute plethora of microvasculature was observed morphologically
as a result of the treatment. There were signs of basal layer activation of the
stratified squamous epithelium with a merger of the acanthosis outgrowths and a
formation of the fibrotic nodules. Biochemical investigations did not show
significant changes in the indicators. Conclusions: In the settings of the
chronic periodontitis model, the use of gelatin-based probiotic consortium
consisting of Lactobacillus casei subsp. pseudoplantarum, Lactobacillus
caseisubsp.casei, L.fermentum, L. helveticus. at 2.5 * 109 CFU/ml viable cell
numbers lead to the reduction of the local inflammatory manifestations of the
periodontitis in 14 days of treatment.
PMID- 29805869
TI - Study of the erythropoiesis activity of nano-encapsulated forms of
erythropoietin.
AB - Introduction: The recombinant human erythropoietin (rhEPO) is used in the
treatment of anemia. In order to improve its pharmacokinetic properties,
nanoparticles of biodegradable polymers of natural or synthetic origin were used.
The aim of this study was to investigate the effect of new nano-encapsulated
forms of recombinant human erythropoietin for oral use on the erythropoiesis in
the cyclophosphamide immunosuppression model. Material and methods: The CHOpE
immortalized cells culture (a primary producer of rhEPO "Vector" in Russia) was
used. The following biodegradable polymers were chosen: 0.05% and 0.005%
carbopol, 0.05% and 0.005% kollidon, and 0.05% and 0.005% pectin.
Immunosuppression was obtained by a single dose of i.p. injection of
cyclophosphamide (250 mg/kg) in white mice (18-20 g). During the next 5 days, the
nano-encapsulated erythropoietin (100 ED/mouse) was administered orally to each
mouse. After 5 and 10 days, the cell count of the number of blood reticulocytes
and the myelogram of bone marrow were performed. The control group of mice
received injections of Eprex. Results: On the 5th day of the experiment, the
highest level of reticulocyte was observed in the samples of erythropoietin with
kollidon (0.05%) and pectin (0.005%) nanoparticles. On the 10th day, the highest
activity was observed in the samples of erythropoietin substance with pectin at
0.05% and 0.005% concentrations. The levels of reticulocytes in these groups
reached 13.53% and 14.55%, respectively. The results of the myelogram during
immunosuppression showed some activity of erythropoietin in conjunction with both
concentrations of pectin when a two-fold increase in the number of erythroblasts
was observed on the 5th day. High degrees of erythrokaryocytes in the state of
mitosis were observed in the 0.05% pectin samples. Similar results were observed
in equivalent groups of control animals on the 10th day of the experiment, which
is compatible with the data on Eprex action. Conclusion: The erythropoietic
activity of nano-encapsulated forms of erythropoietin was observed in the 0.05%
and 0.005% pectin samples in the cyclophosphamide immunosuppression model
setting.
PMID- 29805870
TI - Randomized Clinical Trial: Efficacy of a New Synbiotic in Adults with Metabolic
Syndrome.
AB - Introduction: Metabolic syndrome is a lifestyle disease and is a frequent problem
among the adult population. Human gut microbiota plays a key role in the
development of metabolic syndrome. Recently, the gut microbiota has emerged as an
important contributor to the development of obesity and metabolic disorders
through its interactions with environmental (e.g. diet) and genetic factors. The
aim of this study was to research the effects of synbiotic on the gut microbiota
and host metabolism. Methods: We conducted a double-blind, randomized, placebo
controlled trial. Our sample included 180 adults (ages 30-89) with symptoms of
metabolic syndrome, who were allocated to either placebo or synbiotic group. The
main inclusion criteria were: blood pressure of around 130/90 mmHg; raised
fasting plasma glucose (FPG) >100 mg/dL (5.6 mmol/L), previous diagnosis of type
2 diabetes, dyslipidemia triglycerides (TG) of 1.70 mmol/L, a high-density
lipoprotein cholesterol (HDL-C) of 0.90 mmol/L in males and 1.0 mmol/L in
females, and central obesity with a waist/hip ratio > 0.90 in males or > 0.85 in
females or a body mass index > 30 kg/m2. Results: We enrolled 90 adults in the
placebo group and 90 in the synbiotic group. The two groups had similar
demographic and clinical characteristics. Consent was signed by all patients. All
patients underwent clinical and laboratory evaluation, including complete blood
tests, glucose test, glycosylated hemoglobin, total cholesterol and
triglycerides, cholesterol, LDL, HDL plasma, immunogram, and coprogram. All
patients were interviewed with a questionnaire that included 200 questions
related to diet, lifestyle, and health. Synbiotic were used by patients in a dose
of 200 grams twice a day. The duration of applying of the synbiotic was 90
days.To study the composition of the intestinal microbiota, stool samples were
collected before and after applying the synbiotic. The microbial composition will
be determined by analyzing the locus of 16S rDNA. Conclusion: This ongoing study
is currently undergoing microbial composition analysis in order to establish the
efficacy of the new synbiotic in adults with metabolic syndrome.
PMID- 29805871
TI - Effects of Antioxidants and Vitamins on the Proliferation of Human Diploid Cells.
AB - Introduction: Microelements, essential nutrients that are needed in small amounts
including minerals such as calcium, zinc, iron and other vitamins (A, B, C, and
etc.), are macronutrients necessary for a healthy life.The role of micronutrients
in vivo is well known, and there are several publications that have examined the
effects of micronutrients on genomic stability. Furthermore, a number of vitamins
and microelements are substrates and/or cofactors in metabolic pathways, which
regulate DNA synthesis and/or repair and gene expression.A deficiency in such
nutrients may result in disruption of genomic integrity and alterations in DNA
methylation patterns, linking cellular nutrition with change in gene expression.
For example, lack of vitamin C is known to cause increased DNA oxidation and
chromosomal damage. Vitamin A, as well as other micronutrients, have a protective
effect, whereas higher concentrations are associated with increased DNA
damage.Ubiquinone (coenzyme Q10) and dihydroquercetin are used in therapy as
antioxidant compounds and electron carriers, which reduce lipid peroxidation of
cell membranes. However, previous studies indicate that various ubiquinone
analogs may cause a divergent effect on oxidative stress and oxidative
phosphorylation.The aim of our study was to investigate the effect of vitamins A
and C, coenzyme Q10, and dihydroquercetin on the proliferative potential of
cultured human embryonic diploid fibroblasts (M-22). Methods: In the first series
of experiments, nontoxic concentrations of vitamins for the cells were identified
using MTT assay. Results: Vitamins A and C, dihydroquercetin of 1MUM, and
coenzyme Q10 of 5MUM were nontoxic for human skin fibroblasts. In the second
series of experiments, cell cultivation was carried out with nontoxic
concentrations. A vitamin C concentration of 1MUM for 7 consecutive passages
increased the proliferation index (PI) compared to the control. Thus, the average
PI in the experiments was 2.3, whereas in the control, it was 1.7. Similar
results were obtained when dihydroquercetin was added to the growth medium.
However, further cultivation of cells in the presence of vitamin C decreased PI
to 1.4, while the control value remained the same. Daily examination revealed no
morphological changes in the cell culture, but the cell growth had slowed
significantly. The use of vitamin A in a nontoxic concentration of 1 MUM reduced
PI to 0.7 in the first passage, so further culturing of human cells with vitamin
A was stopped. Conclusion: Studies examining the effect of different combinations
of microelements on the proliferation of human diploid cells and the expression
of specific proteins in them are still being conducted.
PMID- 29805872
TI - Complete Genome Sequence of the Probiotic Lactic Acid Bacterium Lactobacillus
Rhamnosus.
AB - Introduction: Lactobacilli are a bacteria commonly found in the gastrointestinal
tract. Some species of this genus have probiotic properties. The most common of
these is Lactobacillus rhamnosus, a microoganism, generally regarded as safe
(GRAS). It is also a homofermentative L-(+)-lactic acid producer. The genus
Lactobacillus is characterized by an extraordinary degree of the phenotypic and
genotypic diversity. However, the studies of the genus were conducted mostly with
the unequally distributed, non-random choice of species for sequencing; thus,
there is only one representative genome from the Lactobacillus rhamnosus clade
available to date. The aim of this study was to characterize the genome
sequencing of selected strains of Lactobacilli. Methods: 109 samples were
isolated from national domestic dairy products in the laboratory of Center for
life sciences. After screaning isolates for probiotic properties, a highly active
Lactobacillus spp strain was chosen.Genomic DNA was extracted according to the
manufacturing protocol (Wizard(r) Genomic DNA Purification Kit). The
Lactobacillus rhamnosus strain was identified as the highly active Lactobacillus
strain accoridng to its morphological, cultural, physiological, and biochemical
properties, and a genotypic analysis. Results: The genome of Lactobacillus
rhamnosus was sequenced using the Roche 454 GS FLX (454 GS FLX) platforms. The
initial draft assembly was prepared from 14 large contigs (20 all contigs) by the
Newbler gsAssembler 2.3 (454 Life Sciences, Branford, CT). Conclusion: A full
genome-sequencing of selected strains of lactic acid bacteria was made during the
study.
PMID- 29805873
TI - Health benefits of new symbiotic "NAR".
AB - Introduction: The immune-modulatory effects of synbiotics and their ability to
reduce free radical levels may be useful for functional food that is able to be
active throughout whole period of colonization of the gastrointestinal tract.The
aim of the present study was to investigate the immune-modulatory and antioxidant
effects of the synbiotic product "NAR," a probiotic beverage. Methods: The
presence of IL-2, IL-4, IL-6, IL-8, IL-10, alphaTNF, gammaIFN, Ig A, Ig M, and Ig
E was studied in vitro using a solid immunosorbent analysis. The total
antioxidant activities of superoxide dismutase and glutathione reductase were
determined by a spectrophotometry using the Sigma-Aldrich sets. Results: Studies
of the immune-modulatory properties of the synbiotic product NAR showed 1.7 fold
increase of gammaINF levels (p<0.01) in blood after consumption of the synbiotic
product "NAR" in comparison to control values, whereas the concentrations of IL-4
and Ig E decreased 2.0 times (treatment: 9.3; control: 18.7; p<0.01) and 1.3
times (p<0.1), respectively. The consumption of the synbiotic product "NAR"
caused an increase in the proportion of gammaINF/IL 4 (treatment: 15.4; control:
4.4; p<0.01), which indicates a reduction in functional activity of Th2-type
lymphocytes in comparison with the function of Th1 cells.Our study showed a high
level of the total antioxidant activity of the synbiotic product (67.4 mmol/ml).
The antioxidant activity of the intact cells of consortium (15.3 mM/ml), which
was the basis for the preparation of the symbiotic product, is several times
lower than the activity observed in the symbiotic samples.Expression of SOD is
one of the mechanisms of antioxidant stress radicals inactivation by bacteria.
The analysis identified a superoxide dismutase activity of synbiotic product
(1.42 U/mg protein). A glutathione reductase activity of the synbiotic product
was elevated (0.06 U/ml). Conclusion: The majority of the inflammatory mediators
found in the blood after the consumption of symbiotic product NAR were
inflammatory mediators that activate a cellular component of the resistance.
Moreover, the symbiotic product has a high antioxidant activity.
PMID- 29805874
TI - Antioxidant activity of the probiotic consortium in vitro.
AB - Introduction: Available evidence suggests that probiotics have different
biological functions that depend on several mechanisms, such as antioxidant and
DNA-protective activities. The probiotic consortium includes bacterial cultures
such as Streptococcus thermophilus, Lactococcus lactis, Lactobacillus plantarum,
and other bacterial cultures isolated from traditional Kazakh dairy products
(ayran, kumys, shubat, and healthy clinical material). The aim of this study was
to investigate the total antioxidant activity of the consortium of probiotic
bacteria and to determine the activity of superoxide dismutase, glutathione
reductase, and DNA-protective action. Material and methods: In vitro comet assay
was used to determine the antigenotoxicity of the probiotic consortium. Total
antioxidant activity was determined using a method of analysis with Trolox as the
equivalent. The analysis method of superoxide dismutase activity assesses the
inhibition rate of the nitroblue tetrazolium reduction to formazan by superoxide
dismutase. Determination of glutathione reductase activity is based on the
measurement of the NADPH oxidation speed. Results: A significantly high level of
the total antioxidant activity of the probiotic consortium intact cells (15.3
mM/ml) was observed whereas the activity index of lysate was 11.1 mM/ml.The
superoxide dismutase activity of probiotic consortium lysate was evaluated, with
values that peaked at 0.24 U/mg protein. The superoxide dismutase activity of the
consortium was lower in comparison to L.fernentum E-3 and L.fernentum E-18
cultures with values of 0.85 U/mg and 0.76 U/mg protein, respectively. SOD
activity of probiotic consortium whole cells was not observed, which is typical
for lactic acid bacteria.Glutathione reductase plays an important role in the
optimal protection from oxidative stress. Glutathione reductase activity of the
studied probiotic consortium was low; moreover, the activity of the lysate was
two times higher than the activity of the cells reaching 0.01 units/ml.
Investigations by Dr. Li have shown that the intracellular glutathione may give a
significant protection of Lactococcus from the damaging action of H2O2, even at
very low concentrations.The data from our study suggests that the co-incubation
of the epithelial cells with probiotic bacteria reduces the percentage of damaged
cells (damage index-0.60). Conclusion: The studied probiotic consortium has
antigenotoxic and antioxidant activities. Preparations and products of this
probiotic consortium may serve as a protective component in the intestinal
microbial ecosystem.
PMID- 29805875
TI - Genotype frequencies of polymorphic MDR1 variants in the Kazakhstani population.
AB - Introduction: Statins appear to be handled by an ATP-dependent membrane
transporter and three SNPs (C1236T (rs1128503), G2677T (rs2032582), and C3435T
(rs1045642), which capture the common genetic variation at this locus.
Individuals, who carry the T allele at each SNP (i.e., the T-T-T haplotype), have
higher systemic exposure to simvastatin.A triallelic thymine (T) - guanine (G) -
adenine (A), which is a point mutation at nucleotide 2677 in exon 22, leads to
ABCB1 in a non-synonymous codons (GCT alanine, TCT serine, threonine ACT) at
position 893 in a cytoplasmic loop of ATP-dependent membrane transporters.
Methods: Blood samples from healthy individuals were collected in the Republican
Diagnostic Center, Astana, Kazakhstan. The research samples included 461 healthy
people. Genomic DNA was extracted from peripheral blood using the 'salting out'
procedure. For the MDR1 exon 21, 2677G>T/A (Ala893Ser/Thr) polymorphism was
genotyped by PCR sequencing by the use of dye-terminator (ABI 3730xl sequencer).
Results: The GG allele appeared in 23% of samples, the GA in 6.7%, the GT in 44%,
the non-G heterozygote in 4.5%, and the non-G homozygote in 18%. These results
are consistent with previously published data. Importantly, the frequency of
2677T alleles in our group was 15.4%. This represents the lowest frequency of
this allele compared to published data in different populations. The frequency of
the 2677T allele in Asians and Caucasians varies from 38 to 62%, and is 15% for
African Americans. On the other hand, the 2677A allele frequency in the Japanese
varies from 15 to 22%, and in Caucasians from 2% and 4%. The 2677A allele
frequency has been found in 4.6% of samples. Conclusions: Our study further
emphasizes differences between various Asian populations and the importance of
repeating this genetic study in different ethnic groups.
PMID- 29805876
TI - Immunocytochemical Characterization of Alzheimer's Disease Hallmarks in APP/PS1
Transgenic Mice Treated with a New Anti-Amyloid-beta Vaccine.
AB - Introduction: APP/PS1 double-transgenic mouse models of Alzheimer's disease (AD),
which overexpress mutated forms of the gene for the human amyloid precursor
protein (APP) and presenilin 1 (PS1), have provided robust neuropathological
hallmarks of an AD-like pattern at early ages. This study aimed to characterize
immunocytochemical patterns of the AD mouse brain, which is treated with the
EB101 vaccine, as a model for human AD. Material and methods: In this novel
vaccine, a new approach has been taken to circumvent past failures with Abeta
vaccines by judiciously selecting an adjuvant consisting of a physiological
matrix embedded in liposomes, composed of naturally occurring phospholipids
(phosphatidylcholine, phosphatidylglycerol, and cholesterol). Results: Our
findings showed that the administration of amyloid-beta1-42 (Abeta) and
sphingosine-1-phosphate emulsified in liposome complex (EB101) to APP/PS1 mice
before the onset of Abeta brain deposition (at 7 weeks of age) and/or at an older
age (35 weeks of age) can be effective in both halting the progression and
clearing the AD-like neuropathological hallmarks. In addition, passive
immunization with EB101 did not activate inflammatory responses from the immune
system and astrocytes. Consistent with a decreased inflammatory background, the
basal immunological interaction between the T cells and the affected areas
(hippocampus) in the brain of treated mice was notably reduced. Conclusion: These
results provide strong evidence that immunization with the EB101 vaccine prevents
and attenuates AD neuropathology in this type of double-transgenic mice.
PMID- 29805877
TI - Individuality and temporal stability of the human gut microbiome.
AB - Introduction: The breakthrough of next generation sequencing-technologies has
enabled large-scale studies of natural microbial communities and the 16S rRNA
genes have been widely used as a phylogenetic marker to study community
structure. However, major limitations of this approach are that neither strain
level resolution nor genomic context of microorganisms can be provided. This
information, however, is crucial to answer fundamental questions about the
temporal stability and distinctiveness of natural microbial communities. Material
and methods: We developed a methodological framework for metagenomic single
nucleotide polymorphism (SNP) variation analysis and applied it to publicly
available data from 252 human fecal samples from 207 European and North American
individuals. We further analyzed samples from 43 healthy subjects that were
sampled at least twice over time intervals of up to one year and measured
population similarities of dominant gut species. Results: We detected 10.3
million SNPs in 101 species, which nearly amounts to the number identified in
more than 1,000 humans. Conclusion: The most striking result was that host
specific strains appear to be retained over long time periods. This indicates
that individual-specific strains are not easily exchanged with the environment
and furthermore, that an individuals appear to have a unique metagenomic
genotype. This, in turn, is linked to implications for human gut physiology, such
as the stability of antibiotic resistance potential.
PMID- 29805878
TI - Whole genome sequencing of M.tuberculosis in Kazakhstan: preliminary data.
AB - Background: Tuberculosis is a major public health problem which infects one third
of the world's population, resulting in more than two million deaths every year.
The emergence of whole genome sequencing (WGS) technologies as a primary research
tool has allowed for the detection of genetic diversity in Mycobacterium
tuberculosis (MTB) with unprecedented resolution. WGS has been used to address a
broad range of topics, including the dynamics of evolution, transmission, and
treatment. To our knowledge, studies involving WGS of Kazakhstani strains of M.
tuberculosis have not yet been performed. Aim: To perform whole genome sequencing
of M. tuberculosis strains isolated in Kazakhstan and analyze sequence data
(first experience and preliminary data). Results: In the present report, we
announce the whole-genome sequences of the two clinical isolates of Mycobacterium
tuberculosis, MTB-489 and MTB-476, isolated from the Almaty region. These strains
were part of a repository that was created during our project "Creating
prerequisites of personalized approach in the diagnosis and treatment of
tuberculosis, based on whole genome-sequencing of M. tuberculosis". Two strains
were isolated from sputum samples of patients P1 and P2. Phenotypically, two
isolates were drug-susceptible M. tuberculosis. Sequence data was compared with
the publicly available data on M. tuberculosis laboratory strain H37Rv and
others. The sequencing of the strains was performed on a Roche 454 GS FLX+ next
generation sequencing platform using a standard protocol for a shotgun genome
library. The whole genome sequencing was performed for two M.tuberculosis
isolates MTB-476 and MTB-489. 96 M bp with an average read length of 520 bp,
approximately 21.8X coverage and 104.2 M bp with an average read length of 589 bp
and approximately 23.7X coverage were generated for the MTB-476 and MTB-489,
respectively. The genome of MTB-476 consists of 257 contigs, 4204 CDS, 46 tRNAs
and 3 rRNAs. MTB-489 has 187 contigs, 4183 CDS, 45 tRNAs and 3rRNAs. Conclusion:
The results of genome assembling have been submitted into NCBI GenBank and are
available for public access under the accession numbers AZBA00000000 and
AZAZ00000000. These genome assemblies can be useful for comparative genome
analysis and for identification of novel SNPs and gene variants in genomes of
M.tuberculosis.
PMID- 29805879
TI - Influence of Probiotic Consortium on TH1 and TH2 Immune Response.
AB - Introduction: The main role of probiotics is to maintain homeostasis in the
intestines and improve bowel protective function. The aim of the present study is
to investigate immuno-modulatory effects of a probiotic consortium. Methods:
Observations were carried out in vitro. The presence of IL-2, IL-4, IL-6, IL-8,
IL-10, TNF-alpha, IFN-gamma, IgA, IgM, and IgE was studied using a solid-phase
enzyme immunosorbent assay on the VECTOR-BEST sets (Russia). Results:
Immunomodulatory properties of the probiotic consortium were studied, which
consisted of the following strains: Streptococcus thermophilus, Lactococcus
lactis, Lactobacillus plantarum, Lactobacillus fermentum, Lactobacillus
acidophilus, Bifidobacterium longum, and Bifidobacterium bifidum. Elevated
concentrations of INFgamma in control samples decreased 3.9 times (p < 0.05)
after a saturation of blood with the probiotic consortium. Significant reduction
of cytokine levels after the probiotic effects of the consortium was observed in
IL-10 by 2.1 times (p < 0.05) and IgA by 1.87 times (p < 0.0005). There was a
significant increase in the levels of IL-4, IgE, IL-6, and IL-8 by 1.3 (p <
0.005), 1.1 (p < 0.5), 18.0 (p < 0.005), and 6 (p < 0.05) times, respectively, in
comparison with the control samples. IL-4 and INFgamma have different effects on
the synthesis of IgE. Soluble low affinity receptors FcERII (CD23) in association
with IL-4 facilitate a differentiation of the B-lymphocytes in IgE-synthesizing
cells, while gamma-INF inhibits this process. It is known that the intracellular
expression of gamma-INF and IL-4 is the most reliable marker for Th1 and Th2
immune responses, respectively. The conducted studies determined that the ratio
of INF-gamma/IL-4 was 0.9 (control 4.8, P < 0.005) after the saturation of the
blood cells with probiotic consortium. NF-gamma/IL4 ratio decreased by 5.3 times
compared with a control value, which indicates a reduction in the functional
activity of Th1 type lymphocytes in comparison with the function of Th2 cells.
Conclusion: The application of the probiotic consortium results in the
maintenance of homeostasis by the stimulation of immune function through the
activation of humoral immunity. Moreover, the probiotic application changes the
orientation of the immunological memory causing the cancellation of the
recruitment of Th1 cells in the response.
PMID- 29805880
TI - Transplantation of Cultivated Fibroblasts on a Backing of Xenogenic Tissue in the
Treatment of Wounds.
AB - Introduction: Trophic ulcers are a common health problem, and there are numerous
treatment methods. Irreversible damage in the skin, subcutaneous tissue, and
fascia with long-term ulcer existence make standard autotransplantation
inneffective. Skin grafts are often complicated by partial or complete rejection
of skin flaps. The aim of this study was to examine the feasibility of using
transplanted cultivated allogenic fibroblasts on the backing of a cellularless
xenogenic fabric for wound healing. Methods: Transplantation of cultured
embryonic fibroblasts on a backing of xenogenic tissue was used in the complex
treatment of trophic ulcers for stimulation of regenerative processes.
Decellularization xenogenic film was previously held. Then allogenic fibroblasts
were cultivated on the surface of collagen-elastin matrix. Since 2013, we treated
12 patients with giant ulcers caused by the following: lymphedema (2 patients),
vascular disease (3 patients), diabetes (2 patients), after injury (4 patients),
and radiation ulcer (1 patient). Dimensions of ulcers were from 150 to 600 cm2.
Duration of the lower limb ulcers ranged from 8 months to 10 years. For a number
of years, all patients were on a complex therapy, which had not resulted in
healing wounds. During the operation when excision of granulation tissue was
performed, plastic wounds perforated with the ratio 1:2 autoskin. Xenogenic
fabric with cultured fibroblasts was applied on top. In this case, xenogenic film
protected the skin from drying, created optimal microclimate, and cultured
fibroblasts stimulating regeneration and improving engraftment. Results: The
first redress was held on the fifth day. In all cases, the results of engraftment
skin grafts achieved maximum possible (100%) and optimal (90%). Complete
epithelialization of the cell perforation was seen in five patients on the fifth
day and three on seventh day after skin plastics. Average period of inpatient
treatment was 20.7 days. All patients were discharged with healed wounds.
Conclusion: Thus, the treatment of trophic ulcers can be successfully solved
using advances in biotechnology. Transplantation of cultivated allogenic
fibroblasts on a backing of cellularless xenogenic fabric shows good clinical
results due to the stimulation of regenerative processes and creates the optimum
environment for autotransplants.
PMID- 29805881
TI - Collagen Type I alpha1 (COL1A1) Gene Polymorphism and Bone Mineral Density in
Postmenopausal Kazakh Women.
AB - Introduction: Single nucleotide polymorphism (SNP) at the collagen type I alpha 1
gene (COL1A1) rs1800012 has been widely studied and has shown an association with
bone mineral density (BMD) and fractures. A minor allele TT of this SNP was found
to be greatly overrepresented in individuals with fractures compared to controls,
thus becoming a good predictor of increased fracture risk. The aim of this
investigation was to evaluate potential association between COL1A1 gene
polymorphism and osteoporosis in Kazakh postmenopausal women. Methods: The study
population included 103 postmenopausal women recruited from Pavlodar and Almaty
clinics. BMD was measured using DEXA. Genomic DNA was extracted from peripheral
venous blood of study participants with Wizard(r) Genomic DNA Purification Kit
(Promega, USA). Detection of COL1A1 +1245G/T (Sp1) polymorphism was done by the
TaqMan(r) SNP Genotyping Assay of real-time PCR. Results: Densitometry results
revealed 36 osteoporotic, 42 osteopenic, and 25 normal postmenopausal women. Data
analysis of 1245G>T polymorphism in COL1A1 gene in the group of women with
osteopenia and osteoporosis revealed deviation from Hardy-Weinberg equilibrium.
The mutant TT genotype was prevalent compared to the heterozygous genotype GT in
both groups. Distributions were 83% GG, 3% GT, and 14% TT in the group with
osteopenia and 80% GG, 6% GT, and 14% TT in the group with osteoporosis. The
distribution of genotypes frequency in the group of normal postmenopausal women
was 76% GG, 16% GT, and 8% TT. Conclusion: These results suggest that TT genotype
of COL1A1 +1245G/T (Sp1) polymorphism is associated with risk of postmenopausal
osteoporosis in Kazakh women. Further studies involving a larger number of women
are needed to clarify the relationship of this polymorphism with risk of
osteoporosis.
PMID- 29805882
TI - Distribution of Beijing Genotype Among Clinical Isolates of M. tuberculosis
Circulating in Kazakhstan.
AB - Introduction: Methods of genotyping of M. tuberculosis play an important role in
tuberculosis (TB) infection control. These techniques are used to detect or
exclude laboratory errors, control recurrent cases, and determine ways of TB
transmission. Today, there are more than 10 methods of genotyping; MIRU-VNTR is
one of the most widely used methods in the world. In this study we aimed to
estimate biological diversity of clinical isolates of M. tuberculosis from
different regions of Kazakhstan based on MIRU-VNTR analysis. Materials and
methods: MIRU-VNTR was used to genotype 134 clinical isolates of M. tuberculosis
isolated from new cases and recurrent cases of TB from different regions of
Kazakhstan. Amplification was done using 15 MIRU-VNTR loci. Determination of the
number of tandem repeats in the corresponding locus was performed via Quantity
One v.4.4.0 (BioRad, USA) software. H37Rv (NC_000962) reference strain was used
as a positive control. Results: Phylogenic tree was built using www.miru-vntr.org
web-resource based on the results of MIRU-VNTR analysis. Beijing family strains
associated with drug resistance to antituberculosis drugs were prevalent among
all isolates of M. tuberculosis circulating in Kazakhstan. Strains of the Beijing
genotype were prevalent in both new cases (65%) and recurrent cases (89.4%) of
tuberculosis. The second meaningful genotype that is spread in the territory of
Kazakhstan is LAM, the frequency of distribution is 7.3% in new and 4.5% in
recurrent cases. Other families of M. tuberculosis such as Ural, Haarlem, CAS,
NEW-1, S were found in less than 4% of cases. Conclusion: Prevalence of Beijing
family strains among all isolates of M. tuberculosis from different regions of
Kazakhstan was shown. Strains of this family are prevalent among young people.
This genotype is responsible for ongoing TB transmission in the present time.
This genotype is more virulent; therefore, investigation of the epidemiology of
the Beijing genotype plays crucial role in the monitoring of tuberculosis.
PMID- 29805883
TI - The First Kazakh Whole Genomes: The First Report of NGS Data.
AB - Introduction: The human genome sequence will underpin human biology and medicine
in the next century, providing a single, essential reference to all genetic
information. Extraordinary technological advances and decreases in the cost of
DNA sequencing have made the possibility of whole genome sequencing (WGS)
feasible as a highly accessible test for numerous indications. The international
project "Genetic architecture of Kazakh population" is well underway to determine
the complete DNA. Next generation sequencing is a powerful tool for genetic
analysis, which will enable us to uncover the association of loci at specific
sites in the genome associated with disease. The aim of this study was to
introduce first data on WGS of 6 Kazakh individuals. Methods: This pilot study is
among the first WGS performed on 6 healthy Kazakh individuals, using next
generation sequencing platform HiSeq2000, Illumina by manufacturer's protocols.
All generated *.bcl files were simultaneously converted and demultiplexed using
bcl2fasta application. Alignment of sequence reads performed using bwa-mem
against human b19 reference genome. Sorting, removing of intermediate files,
*.bam files assembling, and marking duplicates were performed using PicardTools
package. GATK haplotype caller tool was used for variant calling. ClinVar,
SNPedia, and Cosmic databases were processed to identify clinical genomic
variants in 6 Kazakh whole genomes. Java Runtime Environment and R. Bioconductor
packages were installed to perform raw data processing and run program scripts.
Results: The sequence alignment and mapping procedures on reference genome hg19
of each 6 healthy Kazakh individual were completed. Between 87,308,581,400 and
107,526,741,301 total base pairs were sequenced with average coverage x29.85.
Between 98.85% and 99.58% base pairs were totally mapped and on average 96.07%
were properly paired. Het/Hom and Ti/Tv ratios for each whole genome ranged from
1.35 to 1.52 and from 2.07 to 2.08, respectively. We compared and analyzed each
genome with on existing clinical databases ClinVar, SNPedia, Cosmic and found
from 20 to 25, from 269 to 288, from 7 to 12 SNP records, respectively. The
availability of a reference Kazakh genome sequences provides the basis for
studying the nature of sequence variation, particularly single nucleotide
polymorphisms. Conclusion: The first whole genome sequencing of Kazakhs were
performed. In this pilot study, we identified SNPs associated with different
conditions. Further studies of WGS on Kazakh population are needed to identify
possible unique genetic variants in Kazakhs.
PMID- 29805884
TI - Sequence Alterations of I(Ks) Potassium Channel Genes in Kazakhstani Patients
with Atrial Fibrillation.
AB - Introduction: Atrial fibrillation (AF) is the most common sustained arrhythmia,
and it results in significant morbidity and mortality. However, the pathogenesis
of AF remains unclear to date. Recently, more pieces of evidence indicated that
AF is a multifactorial disease resulting from the interaction between
environmental factors and genetics. Recent studies suggest that genetic mutation
of the slow delayed rectifier potassium channel (I(Ks)) may underlie AF.
Objective: To investigate sequence alterations of I(Ks) potassium channel genes
KCNQ1, KCNE1 and KCNE2 in Kazakhstani patients with atrial fibrillation. Methods:
Genomic DNA of 69 cases with atrial fibrillation and 27 relatives were analyzed
for mutations in all protein-coding exons and their flanking splice site regions
of the genes KCNQ1 (NM_000218.2 and NM_181798.1), KCNE1 (NM_000219.2), and KCNE2
(NM_172201.1) using bidirectional sequencing on the ABI 3730xL DNA Analyzer
(Applied Biosystems, Foster City, CA, USA). Results: In total, a disease-causing
mutation was identified in 39 of the 69 (56.5%) index cases. Of these, altered
sequence variants in the KCNQ1 gene accounted for 14.5% of the mutations, whereas
a KCNE1 mutation accounted for 43.5% of the mutations and KCNE2 mutation
accounted for 1.4% of the mutations. The majority of the distinct mutations were
found in a single case (80%), whereas 20% of the mutations were observed more
than once. We found two sequence variants in KCNQ1 exon 13 (S546S G1638A) and
exon 16 (Y662Y, C1986T) in ten patients (14.5%). In KCNE1 gene in exon 3
mutation, S59G A280G was observed in 30 of 69 patients (43.5%) and KCNE2 exon 2
T10K C29A in 1 patient (1.4%). Genetic cascade screening of 27 relatives to the
69 index cases with an identified mutation revealed 26.9% mutation carriers who
were at risk of cardiac events such as syncope or sudden unexpected death.
Conclusion: In this cohort of Kazakhstani index cases with AF, a disease-causing
mutation was identified in 56.5 % of the referred patients. Further screening of
mutations in other genes encoding cardiac ion channels is needed to clarify
possible disease causing and founder mutations in Kazakhstani atrial fibrillation
patients.
PMID- 29805885
TI - Oligomeric Amyloid-beta Peptide on Sialylic Lewisx-Selectin Bonding at Cerebral
Endothelial Surface.
AB - Introduction: Alzheimer's disease (AD) is a chronic neurodegenerative disorder,
which affects approximately 10% of the population aged 65 and 40% of people over
the age 80. Currently, AD is on the list of diseases with no effective treatment.
Thus, the study of molecular and cellular mechanisms of AD progression is of high
scientific and practical importance. In fact, dysfunction of the blood-brain
barrier (BBB) plays an important role in the onset and progression of the
disease. Increased deposition of amyloid b peptide (Abeta) in cerebral
vasculature and enhanced transmigration of monocytes across the BBB are
frequently observed in AD brains and are some of the pathological hallmarks of
the diseases. Since the transmigration of monocytes across the BBB is both a
mechanical and a biochemical process, the expression of adhesion molecules and
mechanical properties of endothelial cells are the critical factors that require
investigation. Methods: Because of recent advances in the biological applications
of atomic force microscopy (AFM), we applied AFM with cantilever tips bio
functionalized by sLex in combination with the advanced immunofluorescent
microscopy (QIM) to study the direct effects of Abeta42 oligomers on the
selectins expression, actin polymerization, and cellular mechanical and adhesion
properties in cerebral endothelial cells (mouse bEnd3 line and primary human
CECs) and find a possible way to attenuate these effects. Results: QIM results
showed that Abeta42 increased the expressions of P-selectin on the cell surface
and enhanced actin polymerization. Consistent with our QIM results, AFM data
showed that Abeta42 increased the probability of cell adhesion with sLex-coated
cantilever and cell stiffness. These effects were counteracted by lovstatin, a
cholesterol-lowering drug. Surprisingly, the apparent rupture force of sLex
selectin bonding was significantly lower after treatment with Abeta42, as
compared with the control (i.e. no treatment). Similar results were also obtained
when cells were treated with latruculin A (F-actin-disrupting drug). These
results suggest that the decrease in the apparent rupture force of sLex-selectin
bonding is the consequence of the dissociation of adhesion between the
cytoskeleton and the bilayer membrane induced by Abeta42. The major causes of
excess mortality in the first group were neoplams (30.6%), hypertension (23.8%),
and myocardial infarction (22.6%). The effects of radiation influenced mortality
in the second group were 2-2.5 times lower than the first group. Conclusion: The
studies of the effects of Abeta42 on the adhesion properties of cerebral
endothelial cells and how pharmacological agents (e.g. statin) counteract these
effects should prove to provide insights into the mechanism of inflammation in
Alzheimer's brains and the design of therapeutic treatments of the disease.
PMID- 29805886
TI - The Investigations of Nitric Oxide Influence on Lifespan of Fruit Fly D.
melanogaster Transgenic Strain dNOS4.
AB - Introduction: Aging and longevity control are among the greatest problems in
biology and medicine. The fruit fly Drosophila melanogaster is a nice model
organism for longevity investigations because of its biological features. Many D.
melanogaster genes have their orthologs, similar in other eukaryotes, including
human. The role of nitric oxide (NO) in the D. melanogaster lifespan has been
analyzed. Methods: Virgin flies of dNOS4 transgenic strain were used for the
experiment. This strain contains non-functional additional copies of nitric oxide
synthase (NOS) gene under heat shock promoter. For promoter activation,
transgenic flies on their second day of life were exposed to heat shock (37
degrees C) for an hour. After heat shock, flies were maintained on standard
medium temperatures at 25 degrees C, with females separate from males. Two types
of control were used: Oregon R wild-type strain and Oregon R strain exposed to
heat shock. The average lifespan was evaluated. Results: It was revealed that the
longevity of females was significantly higher than males in each series of
experiments (p < 0.05). The survival rate of females and males was similar in the
first month of their life, but in the second month the mortality among males was
much higher than among females in all series of experiments. The average lifespan
of dNOS4 imago was 31 days (34 days for females and 28 days for males), maximum
lifespan was 63 days. In controls, the average lifespan of Oregon R flies was 54
days (58 days for females and 50 days for males), and the maximum lifespan was 94
days. The average lifespan of Oregon R flies exposed to heat shock was 45 days
(48 days for females and 41 days for males), and the maximum lifespan was 72
days. The difference between average lifespan in all studied groups is
statistically significant (p < 0.05). Conclusion: Thus, NOS-transgene activation
results in formation of non-functional dNOS4-transcripts and NO deficiency. In
turn, NO deficiency decreases dNOS4 imago lifespan.
PMID- 29805887
TI - Cost-effectiveness Analysis of Denosumab in the Prevention of Skeletal-related
Events in Patients with Prostate Cancer in Kazakhstan.
AB - Introduction: Bone mass loss (BML) is one of the adverse effects of oncological
chemotherapy, especially in cases of hormonal types of cancer, such as a prostate
cancer (PC). BML is strongly associated with skeletal-related events (SREs),
therefore decreasing the quality of patient's life. Denosumab shows an advantage
over zoledronic acid (ZA) in delaying the first onset of SREs and subsequent SREs
in adults with PC in several phase III clinical trials. Since generic ZA recently
became available, the purpose of the present study was to assess the cost
effectiveness of denosumab vs. brand or generic ZA in the prevention of SREs in
Kazakhstani patients with PC. Methods: A Markov model was constructed in Tree-Age
Pro 2013 software program with 4-week model cycles to analyze the cost
effectiveness of the treatments from the perspective of Ministry of Health (MoH)
over a 10-year PC cohort. Direct costs (in Kazakhstani monetary units "tenge" in
2014) included costs of drug, SRE (pathologic fracture, surgery to bone,
radiation to bone, spinal cord compression), and adverse events treatment. All
costs were discounted for 3% per year. Effectiveness was appraised based on the
number of SREs. Health states were defined according to SRE occurrence, SRE
history, and death. The model assumed that a maximum of 1 SRE could occur in each
cycle. Transition probabilities were derived from the relevant phase III trials.
Results were present in the incremental total cost per SRE avoided. One-way
sensitivity analyses were performed to examine the robustness of the model.
Results: Over the 10-year period, denosumab incurred 103,091 tenge higher costs
than brand ZA, 677,133 tenge higher costs than generic ZA, and 0.58 fewer SREs
per patient with PC. The estimated incremental total direct costs per SRE avoided
with the use of denosumab were 177,743 tenge (instead of brand ZA) and 1,167,470
tenge (instead of generic ZA). Results were robust to one-way sensitivity
analyses. Conclusions: With the assumption that brand and generic ZAs are equally
effective in the prevention of SREs in PC patients, denosumab seems to be a cost
effective alternative for brand ZA (insignificant difference in costs - less than
5%) and a costly alternative for generic ZA from the perspective of MoH of
Kazakhstan.
PMID- 29805888
TI - Clinical Experience with Chitosan Matrix and Cultured Fibroblasts for Burns.
AB - Introduction: Burns are an important public health challenge due to the frequency
of getting burns in day-to-day life, occupational hazards, and catastrophes.
Treatment of burns is complex and is associated with high morbidity and
mortality. Duration and complexity of burn treatment require finding new ways of
curing and rehabilitating burns. The result of burn treatment plays a significant
role in post-traumatic status of a patient and his or her consequent adaptation
in society. Chitosan is a natural safe non-toxic product compatible with human
tissues, characterized by hydrosorbid, anticoagulant, antibacterial, and wound
healing features. The study aims to show a clinical application of chitosan
pectin scaffold with cultured human skin fibroblasts in the treatment of deep
burns. Methods: The substrate was prepared by dissolving 3% chitosan in 0.5N
acetic acid, which was then mixed with 3% solution of pectin dissolved in
distillated water. Chitosan film was formed in a Petri dish for 20-24 hours at 20
25 degrees C. After drying the film, cultured allogeneic fibroblasts (patent
number RK-25091) were seeded on its surface. Results: The results from an in
vitro culture study showed that human allogeneic fibroblasts could adhere well
and grow on the selected scaffold with a typical morphology. During
autodermoplasty surgery, cultured allogeneic fibroblasts were applied on
granulating wounds of 9 patients with IIIA to IVB degree burns and limited donor
resources. Wounds treated with the fibroblastseeded scaffold among all patients
provided the highest level of re-epithelialization (day 5), in comparison to cell
free scaffold (day 7) and untreated surface of wounds (day 10). Conclusion: Our
results indicate the potential use of chitosan for wound healing due to its
allogenic fibroblast adherence to scaffolding as well as high epithelization.
This warrants further studies on chitosan for use in wounds resulting from third
and fourth degree burns.
PMID- 29805889
TI - Association Between the P2RY12 Receptor Gene Polymorphism and Aspirin Resistance
in Patients with Coronary Artery Disease.
AB - Introduction: Platelet activation and aggregation are key elements in the
development of coronary atherosclerosis. Recent studies have shown that the two
polymorphisms of platelet ADP receptor P2RY12 (haplotypes H2 and 34T) are
associated with increased platelet aggregation and atherothrombotic risk. It was
shown that these polymorphisms promote reduced body response to antiplatelet
therapy. Aim: We investigated the association of P2RY12 gene polymorphisms with
aspirin resistance in patients with coronary artery disease (CAD). Methods: This
case-control study included 100 cases with CAD (mean age 57.6 +/- 2.8 years)
treated in the cardiology department of the city hospital Semey, Kazakhstan, 90
of whom suffered from myocardial infarction. The control group (n = 100) were
healthy people without a history of CAD, matched on sex and age. Genotyping of
polymorphisms H1/H2 in P2RY12 gene was performed by PCR. Statistical analysis was
performed using SPSS v.19.0. Results: The distribution of H1/H2 genotypes P2RY12
was 42%, 34%, and 24%, respectively, in cases and 42%, 58%, and 0%, respectively,
in controls. All allele frequencies were consistent with the Hardy Weinberg
equilibrium (p = 0.0036 and p = 0.0001 in cases and controls, respectively).
Genotype H2 was associated with risk of CAD with aspirin resistance (co-dominant
model: OR = 3.75, 95% CI 0.14 - 99.88, p = 0.05 and dominant model: OR = 2.78,
95% CI 0.11 - 70.93, p = 0.05). We found significant differences in the
distribution of the mutant genotype H2 between CAD patients with aspirin
resistance and healthy controls (chi2 = 30.3, p < 0.05). Conclusion: We found an
association of H2 haplotype in P2RY12 gene with aspirin resistance in patients
with CAD. However, in order to obtain definitive conclusions about the role of
genetic variants with the development of aspirin resistance in patients with CAD,
there is a need for further research with a larger sample size as well as the use
of selective thromboxane receptor antagonists for studying functional effects of
genetic variants.
PMID- 29805890
TI - Application of Cellular Technologies to the Experimental Treatment of Destructive
Inflammatory Arthropathies.
AB - Introduction: The treatment of destructive inflammatory joint diseases
(arthropathies) is one of the issues of current interest in modern medicine. In
destructive inflammatory diseases, the regenerative ability of cartilaginous
tissue proves to be inadequate for neogenesis of joints. The goal of this study
is to determine the efficacy of bone marrow-derived mononuclear cell fraction
(MNC) and multipotent mesenchymal stromal cells (MMSC) in the treatment of
destructive inflammatory joint diseases. Materials and methods: The study
subjects consisted of 15 male rabbits weighing 3-4 kg with experimental
destructive inflammatory knee joint disease. The test animals were divided into 3
groups: reference group without treatment, first test group - introduction of
autologous MNC from rabbit bone marrow into the affected joint, and second test
group - introduction of cultured MMSC from rabbit bone marrow into the joint.
Results: A morphological examination of the synovial membranes in the reference
group on the 40th day of the experiment revealed chronic synovitis with
destruction of synoviocytes, thickening and inflammatory infiltration of the
underlying connective tissue (subintima). During examination of synovial
membranes in the first test group the patches of thickened regenerating inner
layer (intima) made up by large proliferating synoviocytes were observed. The
layer of loose connective tissue (subintima) contained a large number of small
blood vessels and was only slightly infiltrated by inflammatory cells. The
morphological examination of synovial membranes in the second test group
discovered thickened regenerating intimal layer sitting on hypertrophied
subintima with dense vascular network. Elastic collagenous layers of synovial
membrane adjoined proliferating elements in cartilage plates. Conclusion: Both
autologous MNC fraction and MMSC from bone marrow proved effectiveness in the
treatment of destructive inflammatory joint diseases which stimulate
neoangiogenesis. At the same time, it must be noted that the introduction of MMSC
diminished destructive changes and accelerated proliferative process.
PMID- 29805891
TI - Antimutagenic Properties of Biologically Active Substances of Microalgae
Associates.
AB - Introduction: There are an increasing number of different xenobiotics negatively
influencing population health. Therefore, it is important to find effective
protectors against mutagenic and toxic effects of environmental pollutants.
Naturally occurring biologically active substances, the majority of which are
antioxidants, are capable of functioning as modifiers of the induced mutation
process. The application of various naturally occurring protectors will lower
essential risks of congenital malformations, cancer, and hereditary diseases
caused by mutational damages. Therefore, it is crucial to screen algal flora of
Kazakhstan for the antimutagenic activity. This study involved the assessment of
antimutagenic potential of biologically active polypeptide (BAP) produced in
mixed microalgae cultures. Methods: 70 white outbred male rats (Rattus
norvegicus) at 6 months of age were used for this study. The dosage of BAP
produced by microalgae associates Anabaena flos-aquae x Anabaenopsis sp.
comprised 100 mg/kg. Cadmium sulfate was used as a mutagen in a concentration of
1 mg/kg. Experiments on antimutagenic activity of BAP were carried out with the
Mammalian Bone Marrow Chromosomal Aberration Test. Results: After acute and
subacute exposure of BAP, the level of chromosomal structural abnormalities in
rat bone marrow cells was the same as in control group. Therefore, BAP showed no
mutagenic activity, whereas exposure to cadmium sulfate at used concentration
induced chromosomal aberrations with a significantly higher frequency than the
spontaneous mutation rate. The exposure combination of BAP with cadmium sulfate
resulted in a two-fold decrease (p< 0.05) of mutagen-induced chromosomal
aberrations. The range of induced chromosomal aberrations included alterations of
all types both in control and experimental groups. Conclusion: Most of the
genotoxic effects are mediated through oxidative stress. The repair of DNA damage
is an enzymatic process, which depends on the cellular metabolic rate. It has
previously been shown that many biologically active substances lead to reduction
of DNA sensitivity to mutagenic damaging factors. Based on these facts and
obtained results, it can be assumed that BAP from mixed microalgae cultures
Anabaena flos-aquae x Anabaenopsis sp. are capable of blocking free radical
process reducing the likelihood of genome damage, as well as triggering the
cellular repair system.
PMID- 29805892
TI - Metagenomic Analysis of Koumiss in Kazakhstan.
AB - Introduction: Koumiss is a low-alcohol product made from fermented mare's milk,
which is popular in Kazakhstan, Russia, and other countries of Central Asia,
China, and Mongolia. Natural mare's milk is fermented in symbiosis of two types
of microorganisms (lactobacteria and yeast). Koumiss's microbial composition
varies depending on the geographical, climatic, and cultural conditions. Based on
a phenotypic characteristic from samples, Wu, R. and colleagues identified the
following bacteria isolated in inner Mongolia, an autonomous region of China:
L.casei, L.helveticus, L.plantarum, L.coryniformis subsp. coryniformis,
L.paracasei, L.kefiranofaciens, L.curvatus, L.fermentum, and W.kandleri. Studies
of the yeast composition in koumiss also showed significant variations. Thus,
there were Saccharomyces unisporus related 48.3% of isolates, to Kluyveromyces
marxianus (27.6%), Pichia membranaefaciens (15.0%), and Saccharomyces cerevisiae
(9.2%) from 87 isolated yeast cultures. The purpose of this study was to examine
the bacterial composition in koumiss. Methods: To extract DNA, 1.8 ml of
fermented milk was centrifuged to generate a pellet, which was suspended in 450
MUl of lysis buffer P1 from the Powerfood Microbial DNA Isolation kit (MoBio
Laboratories Inc, USA). Amplification of the microflora was used to determine the
composition of a fragment of the gene 16S rRNA and ITS1. Plasmid library with
target insertion was obtained on the basis of height copy plasmid vectors
producing high pGem-T. The definition of direct nucleotide sequencing was
performed by the method of Sanger using a set of "BigDye Terminanor v 3.1 Cycle
sequencing Kit with automatic genetic analyzer ABI 3730xl (Applied Biosystems,
USA). Informax Vector NTI Suite 9, Sequence Scanner v 1.0 software package used
for the analysis. Results: Our studies showed that in the most samples of koumiss
isolated from Akmola region (Central Kazakhstan) prevailed the following bacteria
species: Lactobacillus diolivorans, Lactobacillus acidophilus, L. casei, L.
curvatus yeast genus Torula (62.4%) and Saccharomyces cerevisiae (37.6%).
Conclusion: Thus, the first metagenomic research of koumiss, which was conducted
in Kazakhstan, showed significant variations in microbial composition.
PMID- 29805893
TI - The Results of Fetal Chondrocytes Transplantation in Patients with Rheumatoid
Arthritis.
AB - Introduction: Nowadays anti-inflammatory and immunosuppressive therapy has
significantly improved the quality of life and prognosis of rheumatoid arthritis
(RA). Nevertheless, there are still many patients with progressive rheumatoid
inflammation, resulting in the destruction of joints. Cell therapy seems like a
promising direction in rheumatology. The aim of our research was to evaluate the
efficacy of fetal chondrocyte transplantation in patients with RA. Methods: We
examined 60 patients with rheumatoid arthritis (I - III stages) between 20 and 63
years of age. They were divided into 2 groups: the first group underwent the
fetal chondrocytes transplantation (n = 40), and the second was a control group
who got conservative therapy (n = 20). Donor cells were taken from the
chondrogenic layer of the humerus or femur heads and hip condyles of human
embryos in gestation for 17-20 weeks. A suspension of fetal chondrocytes injected
into affected areas of the articular surfaces under X-ray control. Cell viability
was determined before the injection. Efficacy of the therapy was assessed by
clinical, instrumental, and laboratory tests. This clinical trial was allowed by
The Ministry of Public Health and Ethics Committee. All of our patients gave
informed consent for the fetal chondrocytes transplantation. Results: Evaluation
of the clinical manifestations of RA in the first group of patients showed 3.7
times decrease in pain and 1.6 times relief of synovitis. Complete reduction of
contracture was observed in 82% of patients in the first group. Morphometric
changes in X-ray demonstrated inhibition of the destruction in articular
cartilage and surfaces of bones after transplantation of fetal chondrocytes. The
dynamics of morphological changes in synovium showed 2.5 times reduction of the
inflammatory reaction. Transplantation of fetal chondrocytes led to a significant
reduction in ESR, CRP, fibrinogen, gamma-globulin after a period of 12 months (p
< 0.03). Furthermore, patients in the second group had 2.7 times higher risk of
ankylosis compared to the first group. We did not observe any complications of
fetal chondrocytes transplantation. Conclusions: Application of fetal
chondrocytes therapy had the desired clinical effect, which was confirmed by
reduction of the RA activity and decrease of cartilage and bone destruction.
PMID- 29805894
TI - Proximity Utilizing Biotinylation of Nuclear Proteins in vivo.
AB - Introduction: The human genome consists of roughly 30,000 genes coding for over
500,000 different proteins, of which more than 10,000 proteins can be produced by
the cell at any given time (the cellular "proteome"). It has been estimated that
over 80% of proteins do not operate alone, but in complexes. These protein
protein interactions (PPI) are regulated by several mechanisms. For example, post
translational modifications (methylation, acetylation, phosphorylation, or
ubiquitination) or metal-binding can lead to conformational changes that alter
the affinity and kinetic parameters of the interaction. Many PPIs are part of
larger cellular networks of interactions or interactomes. Indeed, these
interactions are at the core of the entire interactomics system of any living
cell, and so, aberrant PPIs are the basis of multiple diseases, such as
neurodegenerative diseases and cancer. The objective of this study was to develop
a method of monitoring protein-protein interactions and proximity dependence in
vivo. Methods: The biotin ligase BirA was fused to the protein of interest, and
the Biotin Acceptor Peptide (BAP) was fused to an interacting partner to make the
detection of its biotinylation possible by western blot or mass spectrometry.
Results: Using several experimental systems (BirA.A + BAP.B), we showed that the
biotinylation is interaction/proximity dependent. Here, A and B are the next
nuclear proteins used in the experiments - 3 paralogues of heterochromatin
protein HP1alpha (CBX5), HP1beta (CBX1), HP1gamma (CBX3), wild type and
transcription mutant factor Kap1, translesion DNA polymerase PolH and E3,
ubiquitin ligase RAD18, Proliferative Cell Nuclear Antigen (PCNA), ubiquitin Ub,
SUMO-2/3, different types and isoforms of histones H2A, H2Az, H3.1, H3.3, CenpA,
H2A.BBD, and macroH2A. The variant of this approach is termed PUB-NChIP
(Proximity Utilizing Biotinylation with Native Chromatin Immuno-precipitation)
and is designed to purify and study the protein composition of chromatin in
proximity to the nuclear protein of interest. Using the RAD18 protein as a model,
we demonstrated that the RAD18-proximal chromatin is enriched in some H4
acetylated species. Moreover, the RAD18-proximal chromatin containing a
replacement histone H2Az has a different pattern of H4 acetylation. Conclusion:
Progress in the last decade in cancer drug therapy has led us to the conclusion
that the nucleus of eukaryotic cells is an active site for many cellular
processes important to the development of cancer. These processes include changes
in genetic and epigenetic landscape (e. g. methylation of DNA, modification of
histones) and the expression levels of transcription factors, which regulates
gene products (e.g. hypoxia-inducible factor 1alpha (HIF-1alpha) in chronic
anemia, etc.) where protein-protein interactions play important role.
Understanding the nature of protein-protein interactions may improve design
strategies for small-molecule PPI modulators. PPI assay technologies that closely
reflect physiological conditions hold the key to developing specific anti-cancer
drugs.
PMID- 29805895
TI - New Approaches to Treatment of Severe Intrauterine Growth Restriction.
AB - Introduction: Intrauterine growth restriction (IUGR) is a leading cause of
perinatal morbidity and mortality due to placental insufficiency. Currently, one
of the new approaches to treating this disease is the injection of nutrients to
the fetus through intravascular port-systems (catheters). Objective: To assess
the impact of nutrient injections as treatment to fetuses with severe growth
retardation. Materials and methods: Pregnant women with IUGR (abdominal
circumference (AC) < 5th percentile) with the absence of diastolic flow in the
umbilical artery and a fetal gestational age of less than 30 weeks were randomly
divided into two groups. The treatment group included six pregnant women who had
an intravascular port-system for the infusion of nutrients (amino acids and
glucose) in the umbilical vein of the fetus for 14 +/- 3 days. The control group
consisted of eight patients who received only traditional dynamic monitoring and
delivery at the optimum time of pregnancy. Fetal status was assessed using
ultrasound equipment Accuvix V20 (Medison, South Korea) by examining indicators
of biometry and Doppler study of blood flow in utero, umbilical arteries, middle
cerebral artery, and ductus venosus with fetal vascular resistance index
calculation - pulsatility index (PI). Criteria for blood flow disturbances in the
vessels were considered PI values above normal values for their gestational age,
which were defined as absence or reverse blood flow in a diastole in the
umbilical artery. Results: In a comparative analysis of the two groups, the
treatment led to a 44.7% increase in AC of the fetus (121.0 +/- 11.5 mm and 219.3
+/- 18.3 mm, respectively, p < 0.001). In all cases, the profile of blood flow in
the umbilical artery had a positive diastolic component. As a result, there was a
45.3% decrease in PI in the umbilical artery (2.14 +/- 0.54 and 1.17 +/- 0.15,
respectively, p < 0.05). Average fetal weight in the study group was not
significantly higher than the control group (1,120.3 +/- 213.6 g and 909.6 +/-
131.4 g, p > 0.05). Conclusion: Thus, injection of nutrients to the fetuses
through intravascular port-system improved placental perfusion and metabolism,
which has the potential for improved fetal growth. This, in turn, promoted full
term pregnancy and improved perinatal outcomes in fetal pathology.
PMID- 29805896
TI - Pre-clinical Evaluation of an Adult Extracoproreal Carbon Dioxide Removal System
for Pediatric Application.
AB - Introduction: Adult extracorporeal carbon dioxide removal (ECCO2R) systems and
pediatric ECMO share the common objectives of having a low blood flow rate and
low priming volume while safely maintaining sufficient respiratory support. The
Hemolung is a highly simplified adult ECCO2R system intended for partial
respiratory support in adult patients with acute hypercapnic respiratory failure.
The objective of this work was to conduct pre-clinical feasibility studies to
determine if a highly efficient, active-mixing, adult ECCO2R system can safely be
translated to the pediatric population. Methods: 14 healthy nonsedated juvenile
sheep were used for acute (2 animals) and 7-day chronic (12 animals) in-vivo
studies to evaluate treatment safety independently of respiratory related
injuries. In all evaluations, we hypothesized that gas exchange capabilities of
the Hemolung RAS in this model would be equivalent to the adult configuration
performance at similar blood flows - minimum CO2 removal of 50 mL/min at a venous
partial pressure of CO2 equal to 45 mmHg. Target blood flow rates were set to a
minimum of 280 mL/min. Swan Ganz catheters were used under general anesthesia in
the two acute subjects to evaluate blood gas status in the pulmonary artery.
Results: The Hemolung RAS was found to have adequate gas exchange and pumping
capabilities for full respiratory support for subjects weighing 3 - 25 kg. The
Hemolung device was estimated to provide a partial respiratory support for
subjects weighing 27 - 34 kg. The seven-day studies in juvenile sheep
demonstrated that veno-venous extracorporeal support could be provided safely at
low flows with no significant adverse reactions related to device operation.
Conclusion: The study outcomes suggest the potential use of the Hemolung RAS in a
veno-venous pediatric configuration to safely provide respiratory support
utilizing a significantly less complex system than traditional pediatric ECMO.
PMID- 29805897
TI - Application of Clinical Aging Indicators for the Assessment of Neurological
Health via Screening Among Residents of the Almaty Region.
AB - Introduction: Life expectancy at birth is considered to be a primary indicator of
public health success. However, an increase in life expectancy is meaningless if
it is not accompanied by an equivalent increase in the number of life years
without disability such as physical, cognitive, and psychological abilities. The
main consequences of disease leading to neurological dysfunction are directly
related to issues such as the inability to walk, talk, learn, live in society, or
take care of oneself. The objective of the study was to conduct a medical
examination of elderly people as a part of the scientific program "Development of
a model (program) of anti-aging to provide active longevity of elderly people of
Kazakhstan." Methods: As part of a pilot study, we assessed the presence of the
following clinical indicators of aging: cognitive impairment (MMSE test),
pyramidal symptoms, and ataxia. We conducted medical examination (screening)
among 150 elderly persons in Almaty City Polyclinic #8 and 287 elderly persons in
Central Regional Clinic of Rayimbek Area, Almaty region aged 45 and above.
Results: The results show that the intensity of changes is directly dependent on
the age of the study groups. The cognitive function is the most affected and
depends on the age of examinees. The changes are more expressed among residents
of Almaty region. The average MMSE score in Almaty was 28.2 (age group of 45-49
years) and 25.8 (age group of 80 and above), and 27.3 and 24.0 respectively in
Almaty region. The various symptoms among residents of Almaty tend to stabilize
after 65 years, however, the frequency of ataxia continues to grow and increases
significantly after 75 years. Conclusions: Considering that important risk
factors of neurological disorders are cerebrovascular diseases of various origins
(primarily hypertension, atherosclerosis, and diabetes), an adequate treatment of
these diseases will increase a healthy lifespan. Furthermore, it is necessary to
conduct additional research for possible methods of reducing existing morbidities
so that healthy aging can be achieved.
PMID- 29805898
TI - Heart and Lungs Protection Technique for Cardiac Surgery with Cardiopulmonary
Bypass.
AB - Introduction: Cardioplegic cardiac arrest with subsequent ischemic-reperfusion
injuries can lead to the development of inflammation of the myocardium, leucocyte
activation, and release of cardiac enzymes. Flow reduction to the bronchial
arteries, causing low-flow lung ischemia, leads to the development of a pulmonary
regional inflammatory response. Hypoventilation during cardiopulmonary bypass
(CPB) is responsible for development of microatelectasis, hydrostatic pulmonary
edema, poor compliance, and a higher incidence of infection. Based on these
facts, prevention methods of these complications were developed. The aim of this
study was to evaluate constant coronary perfusion (CCP) and the "beating heart"
in combination with pulmonary artery perfusion (PAP) and "ventilated lungs"
technique for heart and lung protection in cardiac surgery with CPB. Methods:
After ethical approval and written informed consent, 80 patients undergoing
cardiac surgery with normothermic CPB were randomized in three groups. In the
first group (22 patients), the crystalloid cardioplegia without lung
ventilation/perfusion techniques were used. In the second group (30 patients),
the CCP and "beating heart" without lung ventilation/perfusion techniques were
used. In the third group (28 patients), the CCP with PAP and lung ventilation
techniques were used. Clinical, functional parameters, myocardial damage markers
(CK MB level), oxygenation index, and lung compliance were investigated. Results:
There were higher rates of spontaneous cardiac recovery and lower doses of
inotrops in the second and third groups. Myocardial contractility function was
better preserved in the second and third groups. The post-operative levels of CK
MB were lower than in control group. Three hours after surgery CK-MB levels in
the second and third groups were lower by 38.1% and 33.3%, respectively. Eight
hours after surgery, CK-MB levels were lower in the second and third groups by
45.9% and 47.7%, respectively. 24 hours after surgery, CK-MB levels were lower in
the second and third groups by 42.0% and 42.6%, respectively, and lower by 29.7%
and 27.4% 48 hours after surgery, respectively. Normalization of CK-MB levels
were registered earlier in second and third groups (within 24 hours) than the
control group. Oxygenation index and lung compliance were significantly higher in
the third group after CPB. Conclusion: Our technique improved myocardial and lung
function in patients, but larger prospective randomized trials are needed to
definitively assess the protective effects of this technique.
PMID- 29805899
TI - Esophageal Cancer in Kazakhstan: Multi-omic Research Challenges.
AB - Introduction: Esophageal cancer (EC) is the sixth most common cancer in
Kazakhstan, fifth leading cause of mortality among men, and ninth leading cause
of mortality among women. Advances in high-throughput sequencing over the last
decade have made mapping the whole genetic variation in genome-wide scale
possible. Transcriptome sequencing has become a powerful method for detecting
driver mutations in cancer, since somatic point mutations as well as aberrant RNA
variants, such as fusion genes and alternative splicing, can be identified. The
aim of the study was to identify the genetic basis of EC by performing whole
transcriptome sequencing (RNA-Seq) study in Kazakhstani patients. Materials and
methods: We included patients with EC who had been admitted to the oncology
center in Astana, Kazakhstan during the 2013-2014 year period. A pair of fresh
frozen EC, its adjacent normal tissue specimen, and venous blood were obtained.
So far, five pairs of EC samples were subjected to RNA-seq. Total RNA was
isolated, and its quality was assessed using Agilent Bioanalyzer. The cDNA
library was prepared following the standard mRNA protocol by Illumina and
sequenced using Illumina HiSeq2000. Bionformatic analysis is ongoing. Results:
During 2013, a total of 74 patients with EC were hospitalized in the oncology
center, Astana, Kazakhstan. Radical and palliative surgery was performed on 39
and 34 patients, respectively, and 1 patient refused surgery treatment. The
median age of the patients was 66 years (range 49-86 years). 88.4% of the
patients were diagnosed with advanced stages T3-T4, and 74.5% from them has
dysphagia III-IV levels. 83% of the cases were squamous cell carcinoma (ESCC).
The major localizations for this type of cancer were the middle section (58.2%),
lower section (37.2%), and upper section (4.6%) of the cases. Conclusion: ESCC is
the most common histologic subtype of esophageal cancer in our patients and is
characterized by a poor prognosis. Most patients were diagnosed with late stages
T3-T4. Using high throughput sequencing approach, we could potentially identify a
higher number of crucial molecular pathways involved in esophageal carcinogenesis
that could facilitate the development of new diagnostic and treatment strategies.
The early detection of EC gives hope of a long-term survival for patients.
PMID- 29805900
TI - Lactobacillus for Vaginal Microflora Correction.
AB - Introduction: Despite the significant progress made in prevention, diagnosis, and
treatment, there is still a high rate of vaginal dysbiosis in Kazakh women. The
use of antibiotics in the treatment of vaginal dysbiosis contributes to the
elimination of pathogens as well as microflora, which can lead to a decrease in
local immunity and more favorable conditions for infection spread. The most
physiologically safe and promising method for the restoration of vaginal
biocenosis is the use of probiotics administered by a vaginal route. Methods: We
have allocated 64 of cultures of Lactobacillus from the vaginal epithelium of
healthy women of reproductive age and women with diagnosed bacterial vaginosis
(BV). Identification of cultures was performed by PCR analysis of 16S ribosomal
RNA. Evaluation of biological significance was determined by the following
criteria: high antagonistic activity against Candida albicans, Escherichia coli,
Serratia marcescens, Proteus mirabilis, Klebsiella ozaenae, and Staphylococcus
aureus; and production of hydrogen peroxide, resistance to antibiotics, adhesive
activity. We studied the symbiotic relationship of selected biologically active
of cultures to each other and received options for consortiums with properties of
probiotics through co-cultivation. Results: Results of genotyping showed that the
isolated lactobacilli belong to the seven species: L. fermentum, L. salivarius,
L. gasseri, L. crispatus, L. jensenii, L. plantarum, and L. delbrueskii. L.
fermentum, L. salivarius, L. gasseri, and L. jensenii occur in women with
suspected BV. The highest percentage of occurrence in the vagina of healthy women
was L. fermentum (28%). Most strains of lactobacilli possess high inhibitory
activity for all test-strains, except Candida albicans (37.5%). 56% of studied
cultures revealed high adhesion to human erythrocytes. All lactobacillus strains
were resistant to metronidazole, 80% to kanamycin, 57% to vancomycin, and
sensitivity to roxithromycin, amoxiclav, ampicillin was diagnosed in all strains.
50% of cultures showed a moderate sensitivity to gentamicin and cefazolin. In a
study of peroxide-producing activity, 80% of the cultures exhibited peroxide
producing activity. As a result of screening, the 7 most active strains of
lactobacilli were selected for development of 10 variants of probiotic consortia.
Also, there was increase of adhesive activity in the consortia compared to other
components. These consortia can be used for the treatment of BV in addition to
metronidazole. Conclusion: The probiotic consortia identified in this study had
high antagonistic, adhesive properties, and resistance to metronidazole. These
probiotics can potentially be used for the development of biological products for
the treatment and prevention of bacterial vaginosis.
PMID- 29805901
TI - Therapeutic Potential of Noble Nanoparticles for Wound Repair.
AB - Introduction: Nanoparticles made of noble metals, such as gold and silver, have a
great potential to be effectively employed for wound management. The nano-size of
such particles provides an opportunity to enlarge the contacting area, which
results in more effective anti-bacterial action and faster wound repair. It must
be noted that the shape of noble nanoparticles might play a crucial role in the
manifestation of their anti-microbial properties. The modern state of technology
allows fabrication of the nanoparticles with the desired shape and physical
properties. In order to provide efficacy and close contact with the wound, the
noble nanoparticles can be incorporated into a special matrix made of a cryogel
(based on polymethyl methacrylate). This combination might serve as a foundation
for developing completely new types of wound dressing. Materials and methods: We
have developed a few methods for synthesizing gold and silver nanoparticles of
different shapes and sizes. After fabrication of metallic nanoparticles, they
were characterized by using Tunneling Electron Microscopy (TEM) and Malvern
Zetasizer system in order to determine the average population size and
consistency. The silver nanoparticles was synthesized using sodium borohydride
reduction of silver nitrate. The synthesis of gold nanoparticles was conducted by
using the Turkevich method. Results: We have developed a synthetic cryogel based
on polyacrylamide (by cryogelation reaction) at several temperatures. At the
second step, we developed a method for conjugating fabricated gold and silver
nanoparticles to the surface (or pores) of cryogel through covalent bonds so they
can provide antibacterial action within the wound. By following the developed
protocol, we were able to obtain an approximate cryogel layer (1 cm thickness)
with embedded gold and silver nanoparticles. This conjugate was analyzed and
confirmed using Scanning Electron Microscopy (SEM) and TEM. Discussion: The
obtained results indicate the feasibility of the fabrication of a novel type of
wound dressing. At the next step, we are planning to elucidate the bio
compatibility of the combination of cryogel and nanoparticles. Moreover, anti
bacterial properties of this new type of wound dressing will be analyzed.
PMID- 29805902
TI - Features of Cytokine Profile in Different Age Groups.
AB - Introduction: The study of the cytokine profile during aging is interesting
because age-related changes of the immune status are usually correlate with the
onset of specific diseases. Characteristics of cytokine activity in the elderly
can not only detail the pathogenesis of the disease but also help to choose the
appropriate therapeutic strategy, which in addition to the therapeutic effect
could improve the quality of life of the elderly. The purpose of this study was
to examine cytokine levels in older adults. Material and methods: We examined 268
people aged 45-80 years and older. All surveyed individuals were divided into 8
different age groups. All participants were tested for concentrations of IL
1beta, IL-2, TNF-alpha and IFN-gamma. Results: The study found that
concentrations of TNF-alpha increased with age. For age group 45-49, the
concentration of TNF-alpha was 5.94 pcg/ml. In older age groups, there was a
gradual increase in cytokine concentration. In a group of centenarians,
concentration of TNF-alpha reached 20.55 pcg/ml, which is 3.4 times higher
compared to the middle age group. Similar trends were found in the concentration
of IL-1. For the age group of 45-49, the concentration of IL-1 beta was 3.38
pcg/ml, and in the age group of 80 years and older, levels of this cytokine
increased almost 5 times. It was found that with age-related there is a gradual
decrease in the level of IL-2, and a gradual increase of IFN-gamma. The decrease
in IL-2 is due to the typical aging decrease in the amount of T-lymphocytes.
Conclusion: Thus, our results indicate that there are significant deviations of
immune parameters, particularly in cytokine concentrations, in older adults
compared to middle aged adults.
PMID- 29805903
TI - Polyphenol Concentrate from Kazakhstan Cabernet Sauvignon Collection of Grapes.
AB - Introduction: Nowadays, most of the research in the field of gerontology is
focused on the effects of the grape polyphenols. In particular, resveratrol has
been shown to increase life expectancy of various living organisms, including
mammals. Resveratrol also plays an important role in cancer prevention and
decreases the risk of developing cardiovascular disease. In our research, we
proposed the development of the therapeutic product from Cabernet Sauvignon
grapes that would exhibit the beneficial properties of polyphenols. Standard
operating procedures were developed in our laboratories to collect alcohol free
concentrate of polyphenols from the Kazakhstan Cabernet Sauvignon collection of
grapes. The purpose of the study was to investigate the composition, biological
safety, and potential therapeutic effects of the polyphenol concentrate. Methods:
The total polyphenol amount was determined using the Enology Analyzer Y15
(BioSystems, Spain). HPLC analysis of the polyphenol composition was performed
using Agilent 1290 chromatograph. The polyphenol concentrate was analyzed for the
microbiological purity and the presence of the toxic elements. The cytoprotective
effect of the polyphenol concentrate was studied in experimental models of
diabetes, toxic hepatitis, doxorubicin cardiomyopathy, and acute radiation
sickness. Results: The total polyphenol amount in one sample was 12,819 mg/l.
Polyphenol composition analysis showed presence of the following polyphenols:
catechin, epicatechin, gallic acid, quercetin, miricetin, 3-glucosylkaempferol,
epicatechin gallate, 3-(3,4-Dihydroxyphenyl)-2-propenoic acid, catechin gallate,
pitseid, kaempferol, n-hydroxy-cinnamic acid, resveratrol and chlorogenic acid.
The concentrate was proven to be biologically safe and acceptable for use as a
dietary supplement. The polyphenol concentrate demonstrated high antioxidant
activity against ABTS and DPPH radicals in vitro. It also showed the following
impacts on the various experimental models in vivo: reduction of sugar levels in
diabetes; regeneration of the structure and function of the heart tissue in
cardiomyopathy; regeneration of the nephron structure and function in
nephropathy; regeneration of liver in toxic hepatitis; recovery of the
antioxidant status in oxidative stress; and recovery of the hematopoiesis in
acute radiation sickness. Conclusion: The polyphenol concentrate from Kazakhstan
Cabernet Sauvignon collection of grapes was proved to be biologically safe and
acceptable for use as a dietary supplement. The concentrate showed high
antioxidant, antiradiation activity, and regenerative effect in diabetes,
cardiomyopathy, nephropathy, and hepatitis in the corresponding organs.
PMID- 29805904
TI - PTH Gene Polymorphism and Breast Cancer Risk in Kazakhstan.
AB - Introduction: Breast cancer is the most common type of cancer among women. In
Kazakhstan, breast cancer holds first place among causes of women death caused by
cancer in the 45-55 year age group. Many studies have shown that the risk of
acquiring breast cancer may be related to the level of calcium in the blood
serum. One of the important regulators of calcium metabolism in the body is the
parathyroid hormone. Single nucleotide polymorphisms in the gene encoding the
parathyroid hormone (PTH) are associated with breast cancer development risk, and
may modify the associative interaction between the levels of calcium intake and
breast cancer. Experimental studies have shown that PTH gene has a carcinogenic
effect. At least three studies showed a weak positive correlation between the
risk of acquiring breast cancer and primary hyperparathyroidism, a state with
high levels of PTH and often high levels of calcium. The aim of this
investigation was to evaluate potential association between PTH gene polymorphism
and breast cancer risk among Kazakhstani women. Methods: Female breast cancer
patients (n = 429) and matched control women (n = 373) were recruited into a case
- control study,. Genomic DNA was extracted from peripheral venous blood of study
participants using Wizard(r) Genomic DNA Purification Kit (Promega, USA).
Detection of PTH gene polymorphism (rs1459015) was done by means of the TaqMan(r)
SNP Genotyping Assay of real-time PCR. Statistical analysis was conducted using
SPSS 19.0. Results: PTH gene alleles were in Hardy-Weinberg equilibrium (p >
0.05). Distribution was 59% CC, 35% CT, 6% TT in the group with breast cancer and
50% CC, 43% CT, 6% TT in the control group. Total difference (between the group
with breast cancer and the control group) in allele frequencies for PTH
polymorphism was not significant (p > 0.05). No association was found between
rs1459015 TT and breast cancer risk (OR = 1.039; 95%, CI 0.740 - 1.297; p =
0.893). Conclusion: We found no association between PTHrs1459015 polymorphism and
breast cancer in our present study. Further studies are required to confirm our
results and clarify role of PTH gene genotypes on breast cancer risk.
PMID- 29805905
TI - The Probiotical Potential of Lactobacilli from Therapeutic Preventive Beverage
Kurunga.
AB - Introduction: Kurunga is a dairy drink made of a mix of lactic acid and alcoholic
fermentation, characterized by high biological value based on protein
composition, amino acid spectrum, fatty acid composition of lipids, vitamin and
mineral substances, and physiological activity of microbiota containing
lactobacilli, lactococci, bifidobacteria, and yeast. Among the probiotic
correctors of normal microbiota isolated from national products, lactobacilli was
of particular interest, with regards to a therapeutic - preventive effect. The
aim of the study was to examine the probiotic properties of lactobacilli from
kurunga. Methods: We isolated lactic acid bacteria strains from kurunga. The
isolated cultures were identified using common microbiological methods and
phylogenetic analysis. The antibiotic activities of these strains were determined
by measuring the growth inhibition zone of test cultures. The probiotic
properties were measured as levels of resistance to bile and hydrochloric acids,
in addition to the presence of superoxide dismutase (SOD) activity using the
xanthine oxidase-cytochrome method. Proteolitic activity was determined at the
various levels of pH (3.0, 4.2, 5.3, and 7.0). Results: According to the
morphological, cultural, physiological, biochemical properties and the genotypic
analysis of the oligonucleotides sequence of specific genes, the most effective
strain was identified as Lactobacillus diolivorans KL-2 (GenBank database
KC438372). The isolated strain suppressed the growth of Gram-positive bacteria,
such as Bacillus, Staphylococcus, and Listeria sp., as well as Gram-negative
bacteria, such as E.coli, Proteus, Salmonella sp. They also possessed fungicidal
action (based on Penicillum, Aspergillus sp, and Candida sp.). The strain was
resistant to the action of the bile acids at concentrations of 0.8% to 1.0% and
hydrochloric acid. The strain KL-2 possessed a relatively high SOD activity
(25.74 U/mg of protein), a low proteolytic activity at a pH 3.0 (4.74.10-3
PU/ml), and high proteolytic activity at pH 4.2 (294.74.10-3 PU/ml), pH 5.3
(330.52.10-3 PU/ml) and pH 7.0 (713.68.10-3 PU/ml). Conclusion: The unique
properties of this strain, such as stability in the gastrointestinal tract, the
wide spectrum of bactericidal and fungicidal action to the pathogenic species,
the relatively high superoxide dismutase and proteolytic activities, and the
absence of toxicity, make it a prime candidate for probiotic culturing.
PMID- 29805906
TI - ABO Blood Group Genotyping by Real-time PCR in Kazakh Population.
AB - Introduction: ABO blood group genotyping is a new technology in hematology that
helps prevent adverse transfusion reactions in patients. Identification of
antigens on the surface of red blood cells is based on serology; however,
genotyping employs a different strategy and is aimed directly at genes that
determine the surface proteins. ABO blood group genotyping by real-time PCR has
several crucial advantages over other PCR-based techniques, such as high rapidity
and reliability of analysis. The purpose of this study was to examine nucleotide
substitutions differences by blood types using a PCR-based method on Kazakh blood
donors. Methods: The study was approved by the Ethics Committee of the National
Center for Biotechnology. Venous blood samples from 369 healthy Kazakh blood
donors, whose blood types had been determined by serological methods, were
collected after obtaining informed consent. The phenotypes of the samples
included blood group A (n = 99), B (n = 93), O (n = 132), and AB (n = 45).
Genomic DNA was extracted using a salting-out method. PCR products of ABO gene
were sequenced on an ABI 3730xl DNA analyzer (Applied Biosystems). The resulting
nucleotide sequences were compared and aligned against reference sequence
NM_020469.2. Real-time PCR analysis was performed on CFX96 TouchTM Real-Time PCR
Detection System (BioRad). Results: Direct sequencing of ABO gene in 369 samples
revealed that the vast majority of nucleotide substitutions that change the ABO
phenotype were limited to exons 6 and 7 of the ABO gene at positions 261, 467,
657, 796, 803, 930 and 1,060. However, genotyping of only three of them (261, 796
and 803) resulted in identification of major ABO genotypes in the Kazakh
population. As a result, TaqMan probe based real-time PCR assay for the specific
detection of genotypes 261, 796 and 803 was developed. The assay did not take
into account several other mutations that may affect the determination of blood
group, because they have a low occurrence rate and therefore have not been found
in the population sample. Conclusion: Real-time PCR based method for fast and
reliable ABO genotyping was developed. This assay may be used as a complement to
classic serological blood typing.
PMID- 29805907
TI - Fetal Nerve Cell Transplantation in Early Post-Resuscitation Period in Rats.
AB - Introduction: Fetal cell transplantation is a promising biomedical approach for
disease treatment; however, the use of fetal cell therapy is still experimental.
This research was deemed a necessity to provide evidence-based research for the
application of cell transplantation as a treatment method. The aim of this study
was to evaluate the effect of fetal nerve cell transplantation in rat survivors
(and non-survivors) after clinical death by mechanical asphyxia. Methods: 68
white laboratory rats were divided into two groups of identical age and sex: a
control group of 12-month adult male rats (n = 26) and an experimental group (n =
42). Rats were fixed under ether anesthesia. We then blocked the oral and nasal
regions with cotton wool soaked in saline solution. A four-minute clinical death
though acute mechanical asphyxia was simulated by applying the method of N. Shim.
After the 4-minute clinical death, we resuscitated the rats using external
cardiac massage and artifical respiration. Suspension of the fetal nerve cells
was injected intraperitoneally at 1mm3 per 25g at the time of cardiac activity
restoration. Lactate dehydrogenase (LDH) and creatine phosphokinase (CPK) levels
were examined in the homogenate cerebral cortex of reanimated animals. We
recorded the survival rate during the post-resuscitation period and analyzed the
integrative brain functions using anxiety-phobic status and latent inhibition.
Results: After fetal nerve cell transplantation, the enzymatic reactions in the
experimental group became normal with a significant decrease in LDH and an
increase in CPK levels compared to the control group. In the control group, 10
rats died and 16 lived (62% survival rate), while 7 rats died and 35 lived (83%
survival rate) in the experimental group during the first 7 days. Rats that did
not receive the treatment tended to die sooner than those in the experimental
group. As a result of transplantation, the anxiety level in the experimental
group was less than in the control group. Moreover, cell therapy improved the
reflexes in the experimental animals. Conclusions: The study revealed the
positive neuroprotective effect of the fetal nerve cells on the recovery in the
early post-resuscitation period. This was confirmed by the normalization of
enzymatic reactions, improvement reflective activity, and increase in the
survival rate of the resuscitated animals in the group treated with fetal nerve
cell transplantation. These findings warrant future research on the mechanisms
associated with reflex improvement.
PMID- 29805908
TI - Role of ROS in Abeta42 Mediated Activation of Cerebral Endothelial Cells.
AB - Introduction: There is substantial evidence that the deposition of aggregated
amyloid-beta peptide (Abeta) in brain parenchyma and brain vessels is the main
cause of neuronal dysfunction and death in Alzheimer's disease (AD). Abeta
exhibits multiple cytotoxic effects on neurons and glial cells and causes
dysfunction of the blood brain barrier (BBB). In AD brains, an increased
deposition of Abeta in the cerebral vasculature has been found to be correlated
with increased transmigration of blood-borne inflammatory cells and neurovascular
inflammation. However, regulatory mediators of these processes remain to be
elucidated. In this study, we examined the role of ROS in actin polymerization
and expression of adhesion molecules (P-selectin) on the surface of the cerebral
endothelial cells (CECs) that are activated by Abeta42. Materials and methods:
Mouse BEnd3 line (ATCC) was used in this research. BEnd3 cells respond to Abeta
treatment similarly to human primary CECs and are a common model to investigate
CECs' function. We used immortalized bEnd3 cells as the following: controls;
cells incubated with Abeta42 for 10, 30, and 60 minutes; cells incubated with 30
mM of antioxidant N-acetylcysteine (NAC) for 1 hr; and, cells pre-treated with
NAC followed by Abeta42 exposure. We measured DHE fluorescence to investigate
intracellular ROS production. Immunofluorescent microscopy of anti-P-selectin and
oregon green phalloidin was used to quantify the surface P-selectin expression
and actin polymerization, and Western blot analysis was used to analyze total P
selectin expression. Results: The results of this study have demonstrated a
significant time-dependent ROS accumulation after 10 minutes, 30 minutes, and 60
minutes of Abeta42 treatment, while Abeta42 stimulated ROS production in CECs was
attenuated by pre-treatment with the NAC antioxidant. We also found that Abeta42
increased P-selectin fluorescence at the surface of bEnd3 cells in a time
dependent manner in parallel to ROS elevation. However, total expression levels
of P-selectin were not changed following exposure to Abeta42. Pretreatment with
NAC attenuated Abeta42 induced P-selectin localization, while NAC alone did not
significantly affect P selectin localization. As a positive control, H2O2 also
increased P-selectin expression on the cell surface, which peaked after 30
minutes of H2O2 treatment. Exposure of CECs with Abeta42 promoted actin
polymerization, which peaked after 10 minutes of Abeta42 treatment, while no
significant increase of F-actin intensity was observed when cells were pre
treated with NAC. H2O2 was able to mimic Abeta42 induced oxidative stress,
causing increased actin polymerization with similar timing. Conclusions: The
results of our study have indicated that Abeta42 induced accumulation of P
selectin on the surface of bEnd3 cells and promoted actin polymerization, and all
these events were correlated with ROS generation. The rapid post-translational
cell signaling response mediated by ROS may well represent an important
physiological trigger of the microvascular inflammatory responses in AD and
requires further investigations.
PMID- 29805909
TI - Ectopic Liver Tissue Formation in Rats with Induced Liver Fibrosis.
AB - Introduction: The possible alternative approach to whole-organ transplantation is
a cell-based therapy, which can also be used as a "bridge" to liver
transplantation. However, morphological and functional changes in the liver of
patients suffering from chronic liver fibrosis and cirrhosis restrict the
effectiveness of direct cell transplantation. Therefore, extra hepatic sites for
cell transplantation, including the spleen, pancreas, peritoneal cavity, and
subrenal capsule, could be a useful therapeutic approach for compensation of
liver functions. However, a method of transplantation of hepatocytes into ectopic
sites is needed to improve hepatocyte engraftment. Previously published data has
demonstrated that mouse lymph nodes can support the engraftment and proliferation
of hepatocytes as ES and rescue Fah mice from lethal liver failure. Thus, the aim
of the study was to evaluate the engraftment of i.p. injected allogeneic
hepatocytes into extra hepatic sites in albino rats with chemically induced liver
fibrosis (LF). Materials and methods: Albino rats were randomly divided into 4
groups: (1) intact group (n = 18); (2) rats with induced LF (n = 18); (3) rats
with induced LF and transplanted with hepatocytes (n = 18); (4) as a control,
rats were treated with cyclosporine A only (n = 18). In order to prevent an
immune response, groups 2 and 3 were subjected to immunosuppression by
cyclosporine A (25 mg/kg per day). LF was induced using N-nitrosodimethylamine
(NDMA), i.p., 10 mg/kg, three times a week for 4 weeks and confirmed by
histological analysis of the liver samples. Hepatocytes transplantation (HT) was
performed two days after NDMA exposure cessation by i.p. injection of 5*106
freshly isolated allogeneic hepatocytes. Liver function was assessed by
quantifying blood biochemical parameters (ALT, AST, GGT, total protein,
bilirubin, and albumin) at 1 week, 1 month, and 2 months after hepatocytes
transplantation (HT). To confirm a hepatocytes' engraftment, we conducted
immunohistochemical staining against HepPar1. Results: We observed a 30%
mortality rate among rats with LF within 1 week after NDMA exposure cessation,
while 100% of animals with HT survived. ALT, AST, and GGT activities and
bilirubin levels were markedly elevated in blood samples of LF rats compared to
the control animals. However, HT significantly improved ALT, AST, and GGT
activity as well as bilirubin levels. We also observed decreased levels of total
protein and albumin in the blood serum of rats with LF, while HT normalized these
parameters. At the same time, we have not detected any statistical differences of
the studied parameters in the group 4, which was treated with Cyclosporine A
only, compared with the intact animals. HepPar1 immunohistochemical staining of
the different tissue sections demonstrated the presence of engrafted hepatocytes,
mainly within enlarged Peyer's patches (aggregated lymphoid nodules in the lowest
portion of the small intestine). Conclusion: The results of our study provide
evidence that HT improves animal survival and liver functions. One potential
reason for these results is that ectopic hepatic mass inside the Peyer's patches
can rescue rats from liver failure.
PMID- 29805910
TI - Genetic Diversity of IFgamma, IL1beta, TLR2, and TLR8 Loci in Pulmonary
Tuberculosis in Kazakhstan.
AB - Introduction: Tuberculosis (TB) is caused by bacterium Mycobacterium tuberculosis
(MTB), and according to the WHO, up to 30% of world population is infected with
latent TB. Pathogenesis of TB is multifactorial, and its development depends on
environmental, social, microbial, and genetic factors of both the bacterium and
the host. The number of TB cases in Kazakhstan has decreased in the past decade,
but multidrug-resistant (MDR) TB cases are dramatically increasing. Polymorphisms
in genes responsible for immune response have been associated with TB
susceptibility. The objective of this study was to investigate the risk of
developing pulmonary TB (PTB) associated with polymorphisms in several
inflammatory pathway genes among Kazakhstani population. Methods: 703
participants from 3 regions of Kazakhstan were recruited for a case-control
study. 251 participants had pulmonary TB (PTB), and 452 were healthy controls
(HC). Males and females represented 42.39% and 57.61%, respectively. Of all
participants, 67.4% were Kazakhs, 22.8% Russians, 3.4% Ukrainians, and 6.4% were
of other origins. Clinical and epidemiological data were collected from medical
records, interviews, and questionnaires. DNA samples were genotyped using TaqMan
assay on 4 polymorphisms: IFNgamma (rs2430561) and IL1beta (rs16944), TLR2
(rs5743708) and TLR8 (rs3764880). Statistical data was analyzed using SPSS 19.
Results: Genotyping by IFgamma, IL1beta, TLR2 showed no significant association
with PTB susceptibility (p > 0.05). TLR8 genotype A/G was significantly higher in
females (F/M - 41.5%/1.3%) and G/G in males (M/F - 49%/20.7%) (chi2=161.43, p <
0.001). A significantly increased risk of PTB development was observed for TLR
A/G with an adjusted OR of 1.48 (95%, CI: 0.96 - 2.28), and a protective feature
was revealed for TLR8 G/G genotype (OR: 0.81, 95%, CI: 0.56 - 1.16, p = 0.024).
Additional grouping by gender revealed that TLR8 G/G contributes as protective
genotype (OR: 1.83, 95%, CI: 1.18 - 2.83, p = 0.036) in males of the control
group. Conclusion: Results indicate that heterozygous genotype A/G of TLR8
increases the risk of PTB development, while G/G genotype may serve as protection
mechanism. A/A genotype is strongly associated with susceptibility to PTB. To
clarify the role of other polymorphisms in susceptibility to PTB in Kazakhstani
population, further investigations are needed.
PMID- 29805911
TI - Molecular and Genetic Research in Tuberculosis Clinical Practice and
Epidemiology.
AB - Introduction: Tuberculosis (TB) remains a global public health problem. In order
for multi-drug resistant tuberculosis (MDR-TB) to be more effectively managed,
there is a need for better tools for diagnosis, treatment, and prevention. The
decline of TB incidence and mortality in Kazakhstan during last decade was
accompanied with consistent growth of MDR-TB. This study aimed to investigate
genotype characteristics of Mycobacterium tuberculosis (MT) isolated from TB
patients from different regions of the country and its clinical and
epidemiological significance. Methods: Over 500 clinical MT isolates from
pulmonary TB patients between 2003-2008 were genotyped using spoligotyping, MIRU
VNTR, IS6110 RFLP, and hybridization on an oligonucleotide biochip "TB-biochip."
Results: Out of 250 isolates with interpretable results, 31 different
spoligopatterns were detected. The Beijing genotype was the most predominant
lineage detected (71.6%), characterized by heterogenicity on ETR A, B, C, D, and
E markers, and 56.6% of them had an allelic profile 42435. The Beijing genotype
and dominating variant strains have a high transmission rate, a high rate of
primary MDR (associated with infiltrating lung TB and complications), and a high
level resistance to rifampicin and izoniazid due to mutation of rpoB531TTG and
katG315ACC. MIRU-VNTR-typing by 15 loci of 33 isolates from 13 family TB foci
revealed that strains from supposed sources and contact persons completely
coincide in only 5 foci in the genomic structure. Conclusion: There is a
heterogeneous pool of genotypes that circulate in Kazakhstan, with the Beijing
lineage being the most predominant. It appears that at the present stage of
circulation, MT Beijing genotype has an endemic character. However, clonal
spreading of epidemiologically and clinically significant MDR strains of this
genotype is also a serious threat to the population. To increase TB control
efficiency and prevent further transmission, it is necessary to compile a modern
countrywide system of microbiological monitoring for the agent by use of a
computer bank of spoligotyping and MIRU-VNTR-typing profiles of circulating
strains.
PMID- 29805912
TI - Diagnostics of Cognitive Function in Women of Menopausal Period at Level of
Primary Health Care.
AB - Introduction: In the medical community, there is no consensus on whether or not
climacteric changes are pathologic and require treatment. One of the main
problems related to menopause is misperception of menopause; consequently, there
is no consensus on treatments for psychological dysfunction and cognitive
deficits in menopausal women. Timely diagnosis and adequate treatment of
psychological disorders and cognitive dysfunction are imperative and complicated.
The purpose of this study was to evaluate physician perceptions of cognitive and
psychological deficits in menopausal women in outpatient settings. Methods: 215
obstetricians-gynecologists working in out-patient services were surveyed using a
multiple choice questionnaire assessing perceptions and knowledge of menopausal
transition. Results: Of total respondents, 42.0% +/- 2.5 of physicians found it
hard to define menopausal period, and 67.5% +/- 3.2 could not give a clear
definition of hormone replacement therapy. On the question "cognitive function
includes...," 62.5% +/- 2.1 of physicians selected "memory," 32.3% +/- 1.8
selected attention, 77.5% +/- 3.2 selected mood and/or imagination, 37.4%
selected intellect, 36.3% +/- 3.1 of respondents selected character traits, and
6.2% +/- 1.7 selected speech. Regarding the question "how do you study memory
status function?" it was estimated that 71.2% +/- 2.5 of study participants have
studied the memory only on the basis of subjective complaints, and none of the
respondents (100%) have ever used neuropsychological tests. Conclusion: The
survey allows us to ascertain that primary medical care services lack the ability
to appropriately recognize and diagnose cognitive deficits in women of menopausal
age. Based on these data, we can assume that proper mental care is not provided.
Thus, the study indicates a need to create training programs for general
practitioners and other specialists (cardiologists, neurologists, and
endocrinologists) to fulfill this need. Implementation of a standard of care,
testing, and treatment of cognitive and psychological function, such as the use
of neuropsychological tests and questionnaires, in an out-patient setting for
menopausal women would improve the quality of life during a woman's transition
period.
PMID- 29805913
TI - Pharmacokinetic Properties of Cytokines in Their Targeted Delivery Based on
Autologous Erythrocyte Pharmacocytes.
AB - Introduction: Using autologous erythrocytes as drug carriers for targeted
delivery of cytokines to the sites of inflammation could potentially provide new
opportunities for treatment of patients with purulent diseases. The targeted
characteristic of erythrocytes is associated with the nature of purulent
inflammation, where a large amount of erythrocytes is phagocytized and drugs
encapsulated into the erythrocytes could be easily released. On the other hand,
autologous erythrocytes meet all the criteria for the ideal drug carrier. They
are nontoxic, not immunogenic, and able to bear a large number of drug molecules
while preserving an original conformation of the drugs. Thus, in this study, we
aimed to analyze pharmacokinetic profiles of IL-1beta encapsulated into
erythrocytes' ghosts (pharmacocytes) in comparison to intravenously injected free
IL-1beta. Material and methods: Albino rats were randomly divided into two
groups, each group receiving a different kind of IV injection via the tail vein.
Group A (control) received 500 MUg of free IL-1beta, and group B received an
injection of 1 ml of pharmacocytes loaded with 500 MUg of test substance. At
fixed time points after injection (15, 30, 60, 180, 540, 720, and 1,440 minutes)
serum samples were collected. Homogenates of liver, spleen, lung, heart, kidney,
and adipose tissue were obtained 24 hours after injections. Concentration of the
tested substance in the collected organs and blood plasma were measured by ELISA.
Results: We have observed an increased half-life period (T1/2) for encapsulated
IL-1beta compared to the control. T1/2 for free IL-1beta was one hour, while
administration of loaded pharmacocytes allowed the half-life period to increase
by more than 15 fold (1,043.40 +/- 137.92 min) preserving high level of IL-1beta
activity in the blood samples up to 24 hours. The increased time of IL-1beta
presence in the body when administered in the form of pharmacocytes could be
explained by reduction of elimination constant (Cel) by 1.6 fold, and clearance
(CLel) by more than 100 fold. We also observed an increased concentration of IL
1beta in liver, spleen, and lung over at least 24 hours. When administered in
free form, IL-1beta disappeared from these organs within 6 hours. Conclusions:
Pharmacocytes have shown to improve pharmacokinetic profiles of IL-1beta by
increasing the half-life period of the cytokine, reducing its clearance and
elimination as well as increasing the deposition of the drug in liver, spleen and
lungs. These data suggest that pharmacocytes be effective drug carriers for
targeted delivery of cytokines to the sites of inflammation and have a potential
for improving the treatment outcomes of purulent diseases.
PMID- 29805914
TI - Pregnancy Outcomes Complicated by Preterm Premature Rupture of Membranes:
Retrospective Review of Cases in Three Institutions in Kazakhstan.
AB - Introduction: Pre-term premature rupture of membranes (PPROM) is one of the
leading causes of perinatal morbidity and mortality. This complication is
diagnosed in 3% of pregnant women in Kazakhstan, and it is the leading cause of
pre-term deliveries. The aim of this study was to determine the outcomes of
pregnancies complicated by PPROM in gestation periods between 24 to 32 weeks
among three institutions in Kazakhstan. Methods: This is descriptive analysis of
154 cases with PPROM observed between 24 to 32 weeks of gestation at Perinatal
Centers #2 and #3 and the National Research Center for Maternal and Child Health,
Astana, Kazakhstan. Cases were selected on the basis of retrospective chart
review where PPROM diagnosis occurred in 2013. Descriptive statistics were
utilized for data analysis. Results: The most frequent complications associated
with PPROM were threat of miscarriage (13.6% of cases) and chronic placental
insufficiency (7.8%). The mean time between PPROM and onset of spontaneous labor
was 12.1 +/- 2.3 days. Spontaneous labor within 3 days after PPROM started in
patients with an amniotic fluid index of 3.0 +/- 0.2 cm. Complications
experienced by PPROM women during delivery and early postpartum period included:
precipitous labor (6.4%), weakness of labor activity (16.2%), atonic hemorrhage
(1.2%), and chorioamnionitis (3.2%). 37.6% of newborns in this study were
admitted to the Intensive Care Unit. Their health complications included
pneumonia (7.7%), conjunctivitis (1.3%), omphalitis and infectious-toxic shock
(3.8%), intraventricular hemorrhage (7.8%), and respiratory distress (10.3%).
Conclusion: Thus, preterm rupture of membranes is associated with preterm
delivery and an increase of neonatal morbidity. Therefore, it is necessary to
find ways to effectively manage PPROM, including developing new techniques to
restore the amniotic fluid volume in women experiencing PPROM during 24 to 32
weeks of gestation.
PMID- 29805916
TI - The Impact of Affective Context on Autobiographical Recollection in Depression.
AB - Across two studies we investigated the influence of contextual cues on
autobiographical memory recall. In Study 1, participants (N = 37) with major
depressive disorder, in episode or in varying degrees of remission, were
administered a Negative Autobiographical Memory Task (NAMT) that required them to
retrieve negatively valenced memories in response to positive cue words (a
positive context). We reasoned that increased depression symptom severity would
be associated with a reduced ability to override priming from this
disadvantageous context. Consequently, we hypothesized that increased depressive
severity would counterintuitively be associated with reduced negativity ratings
for retrieved personal memories to positive cues on the NAMT. This hypothesis was
supported. Study 2, using a community sample (N = 63), demonstrated that a
similar reduction in memory negativity was observed in individuals with lower
working memory capacity-an index of executive control. Implications for
autobiographical memory and executive training paradigms for depression are
discussed.
PMID- 29805915
TI - Genomics of human pulmonary tuberculosis: from genes to pathways.
AB - Purpose of review: Tuberculosis (TB), caused by Mycobacterium tuberculosis (MTB),
remains a major public health threat globally. Several lines of evidence support
a role for host genetic factors in resistance/susceptibility to TB disease and
MTB infection. However, results across candidate gene and genome-wide association
studies (GWAS) are largely inconsistent, so a cohesive genetic model underlying
TB risk has not emerged. Recent Findings: Despite the difficulties in identifying
consistent genetic associations, genetic studies of TB and MTB infection have
revealed a few well-documented loci. These well validated genes are presented in
this review, but there remains a large gap in how these genes translate into
better understanding of TB. To address this, we present a pathway based extension
of standard association analyses, seeding the results with the best validated
genes from candidate gene and GWAS studies. Summary: Several pathways were
significantly enriched using pathway analyses that may help to explain population
patterns of TB risk. In conclusion, we advocate for novel approaches to the study
of host genetic analysis of TB that extend traditional association approaches.
PMID- 29805917
TI - Adolescent Victimization and Early-Adult Psychopathology: Approaching Causal
Inference Using a Longitudinal Twin Study to Rule Out Noncausal Explanations.
AB - Adolescence is the peak age for both victimization and mental disorder onset.
Previous research has reported associations between victimization exposure and
many psychiatric conditions. However, causality remains controversial. Within the
Environmental Risk Longitudinal Twin Study, we tested whether seven types of
adolescent victimization increased risk of multiple psychiatric conditions and
approached causal inference by systematically ruling out noncausal explanations.
Longitudinal within-individual analyses showed that victimization was followed by
increased mental health problems over a childhood baseline of
emotional/behavioral problems. Discordant-twin analyses showed that victimization
increased risk of mental health problems independent of family background and
genetic risk. Both childhood and adolescent victimization made unique
contributions to risk. Victimization predicted heightened generalized liability
(the "p factor") to multiple psychiatric spectra, including internalizing,
externalizing, and thought disorders. Results recommend violence reduction and
identification and treatment of adolescent victims to reduce psychiatric burden.
PMID- 29805918
TI - Personalized Network Modeling in Psychopathology: The Importance of
Contemporaneous and Temporal Connections.
AB - Recent literature has introduced (a) the network perspective to psychology and
(b) collection of time series data to capture symptom fluctuations and other time
varying factors in daily life. Combining these trends allows for the estimation
of intraindividual network structures. We argue that these networks can be
directly applied in clinical research and practice as hypothesis generating
structures. Two networks can be computed: a temporal network, in which one
investigates if symptoms (or other relevant variables) predict one another over
time, and a contemporaneous network, in which one investigates if symptoms
predict one another in the same window of measurement. The contemporaneous
network is a partial correlation network, which is emerging in the analysis of
cross-sectional data but is not yet utilized in the analysis of time series data.
We explain the importance of partial correlation networks and exemplify the
network structures on time series data of a psychiatric patient.
PMID- 29805919
TI - An IoT-Enabled Stroke Rehabilitation System Based on Smart Wearable Armband and
Machine Learning.
AB - Surface electromyography signal plays an important role in hand function recovery
training. In this paper, an IoT-enabled stroke rehabilitation system was
introduced which was based on a smart wearable armband (SWA), machine learning
(ML) algorithms, and a 3-D printed dexterous robot hand. User comfort is one of
the key issues which should be addressed for wearable devices. The SWA was
developed by integrating a low-power and tiny-sized IoT sensing device with
textile electrodes, which can measure, pre-process, and wirelessly transmit bio
potential signals. By evenly distributing surface electrodes over user's forearm,
drawbacks of classification accuracy poor performance can be mitigated. A new
method was put forward to find the optimal feature set. ML algorithms were
leveraged to analyze and discriminate features of different hand movements, and
their performances were appraised by classification complexity estimating
algorithms and principal components analysis. According to the verification
results, all nine gestures can be successfully identified with an average
accuracy up to 96.20%. In addition, a 3-D printed five-finger robot hand was
implemented for hand rehabilitation training purpose. Correspondingly, user's
hand movement intentions were extracted and converted into a series of commands
which were used to drive motors assembled inside the dexterous robot hand. As a
result, the dexterous robot hand can mimic the user's gesture in a real-time
manner, which shows the proposed system can be used as a training tool to
facilitate rehabilitation process for the patients after stroke.
PMID- 29805920
TI - Algorithms for Monitoring Heart Rate and Respiratory Rate From the Video of a
User's Face.
AB - Smartphone cameras can measure heart rate (HR) by detecting pulsatile
photoplethysmographic (iPPG) signals from post-processing the video of a
subject's face. The iPPG signal is often derived from variations in the intensity
of the green channel as shown by Poh et. al. and Verkruysse et. al.. In this
pilot study, we have introduced a novel iPPG method where by measuring variations
in color of reflected light, i.e., Hue, and can therefore measure both HR and
respiratory rate (RR) from the video of a subject's face. This paper was
performed on 25 healthy individuals (Ages 20-30, 15 males and 10 females, and
skin color was Fitzpatrick scale 1-6). For each subject we took two 20 second
video of the subject's face with minimal movement, one with flash ON and one with
flash OFF. While recording the videos we simultaneously measuring HR using a
Biosync B-50DL Finger Heart Rate Monitor, and RR using self-reporting. This paper
shows that our proposed approach of measuring iPPG using Hue (range 0-0.1) gives
more accurate readings than the Green channel. HR/Hue (range 0-0.1) ([Formula:
see text], [Formula: see text]-value = 4.1617, and RMSE = 0.8887) is more
accurate compared with HR/Green ([Formula: see text], [Formula: see text]-value =
11.60172, and RMSE = 0.9068). RR/Hue (range 0-0.1) ([Formula: see text],
[Formula: see text]-value = 0.2885, and RMSE = 3.8884) is more accurate compared
with RR/Green ([Formula: see text], [Formula: see text]-value = 0.5608, and RMSE
= 5.6885). We hope that this hardware agnostic approach for detection of vital
signals will have a huge potential impact in telemedicine, and can be used to
tackle challenges, such as continuous non-contact monitoring of neo-natal and
elderly patients. An implementation of the algorithm can be found at
https://pulser.thinkbiosolution.com.
PMID- 29805921
TI - Developing a Policy Flight Simulator to Facilitate the Adoption of an Evidence
Based Intervention.
AB - While the use of evidence-based interventions (EBIs) has been advocated by the
medical research community for quite some time, uptake of these interventions by
healthcare providers has been slow. One possible explanation is that it is
challenging for providers to estimate impacts of a specific EBI on their
particular organization. To address that concern, we developed and evaluated a
type of simulation called a policy flight simulator to determine if it could
improve the adoption decision about a specific EBI, the transitional care model
(TCM). The TCM uses an advanced practice nurse-led model of care to transition
older adults with multiple chronic conditions from a hospitalization to home. An
evaluation by a National Advisory Committee, made up of senior representatives
from various stakeholders in the U.S. healthcare system, found the policy flight
simulator to be a useful tool that has the potential to better inform adoption
decisions. This paper describes the simulation development effort and documents
lessons learned that may be useful to the healthcare modeling community and those
interested in using simulation to support decisions based on EBIs.
PMID- 29805922
TI - Sylvian Arteriovenous Malformation Resection and Associated Middle Cerebral
Artery Aneurysm Clipping: Technical Nuances of Concurrent Surgical Treatment.
AB - Approximately 10-30% of brain arteriovenous malformations (AVM) have associated
arterial aneurysms (AAA), and the management of these lesions can be challenging.
In this video technical note, we demonstrate the microsurgical treatment of an
unruptured, Spetzler-Martin grade I AVM in the distal Sylvian fissure with two
AAAs arising proximally from the inferior M2 trunk immediately distal to the
middle cerebral artery (MCA) bifurcation. First, we resected the superficially
located AVM to normalize the blood flow through the Sylvian vein. Next, we
performed a Sylvian fissure dissection to access and clip the two MCA AAAs. We
also discuss the technical nuances of tandem surgical intervention for AVMs with
AAAs as it pertains to this case, particularly with respect to the order of
lesion treatment, Sylvian fissure dissection, nidal resection, and aneurysm
clipping.
PMID- 29805923
TI - Perceptions of Fourth-Year Medical Students on Writing and Presenting Case
Reports.
AB - Background Writing and presenting case reports has educational benefits for
medical students by providing them a platform for involvement in scholarly
activities and promoting scientific writing and critical thinking. This study
assesses medical students' perceived benefits, challenges, and barriers regarding
the process of writing and presenting case reports. Methods A qualtrics survey
was emailed to 225 fourth-year medical students of the Medical College of
Wisconsin. All of the questions in the survey were focused on obtaining the
students' perceptions of factors facilitating the writing or presenting of case
reports, its benefits, and the perceived challenges and barriers to writing and
presenting case reports. Responses were obtained on a five-point Likert scale. We
performed conventional quantitative analysis on all the responses and analyzed
the data as respective proportions. A comparison between the responses of those
who have and have not presented case reports previously was performed using a chi
square test or Fischer exact test. Results Of the 84 medical students who
completed the survey, 67% said they have not written or presented case reports in
regional or national meetings. Ninety-nine percent of the total students believed
('agreed' or 'strongly agreed') that finding a good mentor was an important
factor in facilitating the process of writing and presenting case reports, and
98% perceived finding an interesting case as equally important. The top three
perceived benefits of writing or presenting case reports included improving
scientific-writing skills (95%), enhancing curriculum vitae (CV) and securing
residency positions (93%), and improving presentation skills (90%). The main
perceived barriers to writing or presenting case reports included lack of formal
training (74%) and lack of a mentor (71%). In a subgroup analysis, 95% of
students who have never previously written or presented a case report, versus 79%
of students who have done so, perceived that a lack of formal training and/or a
lack of a mentor were major barriers to writing or presenting case reports
(p=0.054). Conclusion Our study shows that the majority of M4s reported that they
have not written or presented any case reports and that writing case reports has
multiple educational values with considerable barriers. Our findings highlight
the importance of good mentorship and formal training in case report writing.
PMID- 29805924
TI - Why Do Patients Miss Dental Appointments in Eastern Province Military Hospitals,
Kingdom of Saudi Arabia?
AB - No-shows for scheduled appointments are a frequent occurrence, creating unused
appointment slots and reducing patient quality of care and access to services
while increasing loss to follow-up and medical costs. The aim of our study was to
determine the factors that lead to patients missing their dental appointments in
Eastern Province Military Hospitals, Kingdom of Saudi Arabia. The study
population included military personnel and their families attending the dental
clinics of these hospitals. In our study, the percentage of missed appointments
was 58.1%, while 54.4% of participants canceled dental appointments in the past.
Thirty-six percent preferred morning appointments while 56% preferred an
afternoon appointment and were likely to miss a morning appointment if given one.
The most common reasons for missing an appointment were forgetting about it
(24.3%) and the inability to get time off either from work or school (15.4%);
1.5% of patients stated they had a bad dental experience and feared dental
treatment while the unavailability of transport accounted for 0.7% of patients.
Of the reasons given for canceling an appointment, the inability to get time off
from work/school was the most common (22.1%) while a dislike for treatment was
the least common (0.7%). Canceling an appointment was significantly correlated
with missing an appointment among the surveyed sample (P=0.00). In our research,
60.3% of participants still relied on their personal diary to remember
appointments, which could be a reason for the high rate of missed appointments.
Fifty-nine percent of respondents felt that missing an appointment was important
to them, while 72% stated that missed appointments could affect the work of the
clinic but still believed that automatic appointments should be given to patients
who missed them and a change be made accordingly. Since major factors included a
lack of a reminder message and appointments scheduled at inconvenient timings,
some steps that can help reduce the frequency of missed appointments include
sending a reminder message to patients, giving preference to their schedules for
appointments, giving patients shorter appointments, reducing intervals between
subsequent appointments, and educating patients regarding the treatment plan, to
reduce anxiety.
PMID- 29805925
TI - Fascia Lata Free Flap Reconstruction of Limited Hard Palate Defects.
AB - Objective The anterior-lateral thigh (ALT) free flap is a flexible
reconstructive option with fascia lata, fasciocutaneous, and musculocutaneous
options. The objective of this study is to evaluate ALT fascia lata free flap
reconstruction of isolated hard palate defects. Methods Retrospective chart
review of all palate reconstructions with ALT free flap from 2008-2017 by a
single surgeon, at a tertiary academic institution. Patients with defects limited
to the hard palate were selected for review. Results Forty-eight patients were
identified, of which 14 patients had limited palatal defects repaired with fascia
lata free flaps and were selected for review. The average hospital stay for all
patients was 2.8 days (range 1-4 days). Eighty-five percent of patients were
started on an oral diet from post-operative day (POD) one. Ten of 14 were
extubated at the end of the case, with four being extubated on POD one. One
patient suffered donor site morbidity, which required intervention (one seroma
requiring drainage). Two patients underwent minor palatal revisions with local
tissue rearrangement for recurrent fistula. No patients suffered long-term
velopharyngeal inadequacy (VPI) or dysphagia, and all reported normal nasal
respiration. Conclusion The ALT fascia lata free flap is a versatile
reconstructive option for hard palate defects, with minimal morbidity, short
hospital stays, and excellent long-term results.
PMID- 29805926
TI - Facebook as a Learning Tool: Perception of Stroke Unit Nurses in a Tertiary Care
Hospital in Islamabad.
AB - Objective To obtain the perception of nurses on the use of Facebook as a learning
tool. Materials & methods We conducted a pilot observational study in which data
were collected through a detailed course evaluation and feedback survey
questionnaire. Twelve stroke care nurses were enrolled in a stroke course
specifically designed to provide participants with information and knowledge
about stroke unit nursing care. Firstly, a closed Facebook group consisting of
the participants and facilitators was created. An activity in accordance with the
course content was posted in the group daily. Before the start of the course, a
pre-course test was conducted. The four-week course culminated in a graded
written examination. Its results were compared with the pre-course test. A
detailed feedback questionnaire was given to the participants at the end of the
course, which was specifically designed to elicit perceptions of nurses about the
use of Facebook as a learning tool. Results Of the 12 enrolled nurses, 10
completed the certification and the post-course feedback evaluation. Facebook was
used by all participants as a platform to view and study the course contents. The
timing of the course activities was rated "very good" by three and 'good' by six
of 10 participants. However, one of the major issues faced by five participants
was problematic internet access. The overall rating of the course was "very good"
by five participants, "good" by three, and "satisfactory" by two of 10
participants. The post-course test showed that nine of 10 candidates passed with
scores >70% compared to only two candidates getting scores >50% in the pre-course
test. Conclusion Facebook use enabled participants to study the material when
their schedule permitted them. The online teaching and facilitation were ideal
for our full-time stroke unit nurses as reflected by their improved post-course
test results.
PMID- 29805927
TI - Long-term Quality of Life in Survivors of Brain Metastases: A Roller Coaster of
Perspective.
AB - Longevity in cancer patients with brain metastases is increasingly being
observed. This raises discussions about how best to maintain a good quality of
life for these patients. Recent data suggest that post-treatment quality of life
(QoL) can be maintained using new treatment options, but little data exist
regarding the QoL in long-term survivors. This study of 19 patients surviving
greater than two years from the initial treatment of brain metastases suggests
that long-term QoL can be better than at the start of treatment and perhaps even
better than normal, especially between three and five years post-treatment. This
improved QoL seems mostly attributable to improved functional and social well
being and is possible as long as emotional and physical well-being are maintained
within the normal range.
PMID- 29805928
TI - Practical Implications of Ferromagnetic Artifacts in Low-field MRI-guided
Radiotherapy.
AB - Fractionated radiotherapy presents a new challenge in the screening of patients
undergoing magnetic resonance imaging-guided radiotherapy (MR-IGRT). In our
institution, patients are evaluated at the time of consult, simulation, and first
fraction using a thorough MRI questionnaire identical to the one used for
diagnostic radiology patients. For each subsequent fraction, the therapists are
trained to inquire about any procedures the patient may have had between the last
and current fractions. Patients are also advised to avoid food and fluid intake
at least two but not beyond four hours prior to treatment. Despite these
screening efforts, we have observed several non-permanent imaging artifacts that,
while not harmful to the patient, prevent the accurate delivery of MR-IGRT when
using online adaptive radiotherapy due to interference with the identification of
relevant anatomy. Two such cases are presented here: (1) an imaging artifact due
to iron-enriched breakfast cereal that precluded treatment for that day, and (2)
an imaging artifact due to an iron-containing multivitamin that necessitated a
creative solution to enable the accurate visualization of the area to be treated.
PMID- 29805929
TI - MRI-guided Dose-escalated Salvage Radiotherapy for Bulky Bladder Neck Recurrence
of Prostate Cancer.
AB - Nearly 30% of patients treated with radical prostatectomy for prostate cancer
ultimately develop biochemical recurrences, and nearly a quarter of men with
nonpalpable biochemical recurrences have gross local recurrences identified with
magnetic resonance imaging (MRI). The only curative intervention for patients
with recurrent disease after radical prostatectomy is salvage radiotherapy - this
is particularly true for patients with gross local recurrences. Furthermore, even
in patients with an incurable metastatic disease, a local recurrence can be the
source of significant morbidity and should be addressed. Delivering a sufficient
dose of radiation in the postoperative setting to control gross disease while
minimizing toxicity poses a significant technical challenge. Because of the
inherent uncertainty in the verification of gross disease positioning with
standard onboard imaging technologies, large margins must be used. Larger
margins, in turn, will lead to larger volumes of tissue receiving high doses of
radiation, potentially increasing long-term toxicity. Herein, we present the case
of a patient with a bulky gross recurrence (>40 cm3) at the bladder neck and
synchronous metastatic disease who was referred for salvage radiotherapy after a
multidisciplinary consensus recommendation to pursue local therapy for mitigating
urinary morbidity from the bulky tumor. The case illustrates the utilization of
MRI-guided radiotherapy to allow significant margin reduction, thereby
facilitating the delivery of an escalated dose of radiotherapy to a bulky
recurrence.
PMID- 29805930
TI - Metachronous Dual Primary Malignancies of Carcinoma of the Tongue and Hodgkin's
Lymphoma.
AB - Hodgkin's lymphoma in a treated case of carcinoma of the tongue outside the
irradiated area is a rare occurrence. Treatment-associated second malignancies
have been reported in irradiated patients. Here, we report a case of 34-year-old
male who was diagnosed with carcinoma of the tongue in March 2015 and developed
Hodgkin's lymphoma in October 2016. Though Hodgkin's lymphoma has been reported
in the radiation area after 10 - 15 years, in this case, we encountered Hodgkin's
lymphoma after treating a patient with carcinoma of the tongue after one and a
half years and outside the irradiated area. To our knowledge, this is the first
case of metachronous malignancy with this unusual presentation.
PMID- 29805931
TI - Stroke Presenting as a Complication of Sarcoidosis in an Otherwise Asymptomatic
Patient.
AB - A stroke occurring in young patients in the absence of common risk factors needs
a thorough investigation of the underlying cause to prevent its recurrence.
Herein, we discuss a case of stroke with rare etiology in a 28-year-old male
presenting within 30 minutes of speech difficulty and right-sided weakness. The
initial triage workup showed an abnormal configuration of the P wave in the 12
lead echocardiograph (ECG) and his chest x-ray (CXR) showed mediastinal widening.
His echocardiogram and chest computed tomography (CT) confirmed bilateral
enlargement with restrictive cardiomyopathy and mediastinal lymphadenopathy,
raising a suspicion of sarcoidosis. A cardiac positron emission tomography (PET)
scan confirmed the diagnosis by showing a non-caseating granuloma. The patient
was put on intravenous (IV) tissue plasminogen activator (TPA) and his National
Institute of Health Stroke Scale (NIHSS) came down from 14 on admission to zero
within 48 hours. Cardiac involvement in sarcoidosis is not uncommon but it
presenting as stroke is extremely rare. For a young, previously healthy patient
presenting as a stroke without risk factors, sarcoidosis should be considered as
a differential diagnosis.
PMID- 29805932
TI - Multidrug-resistant Tuberculosis Lymphadenitis as the Initial Presentation of
Secondary Multidrug-resistant Tuberculosis: A Case Report.
AB - Multidrug-resistant tuberculosis (MDR-TB) occurs when strains of Mycobacterium
are resistant to the first-line anti-tuberculosis regimen. We present the case of
a 22-year-old immigrant female of African descent who presented to her primary
care physician complaining of a two-month history of an enlarging neck mass.
Aspiration of the mass, analysis, and culture revealed colonization with a strain
of Mycobacterium that was resistant to first-line anti-tuberculosis medications.
She was subsequently placed on second line anti-tuberculosis medications.
PMID- 29805933
TI - Association of Obesity and Thyroid Cancer at a Tertiary Care Hospital in
Pakistan.
AB - Objective Thyroid cancer (TC) is one of the most common endocrine malignancies
with a rapidly increasing worldwide presence. In Pakistan, it is more prevalent
in females than males and has an incidence rate of 2.1%. Obesity and excess body
mass index (BMI) has been linked to several cancers and is thought to be a risk
factor for TC. We aim to investigate the incidence of TC in our population and
understand it's correlation with obesity. Subjects The study was a retrospective
case series conducted in the years 2000 to 2014, at the Aga Khan University
Hospital (AKUH), Karachi, Pakistan where 156 patients who had been diagnosed and
treated for TC were analyzed. Clinicopathological data was collected from medical
records of these patients and weight and height were measured, pre-surgery, post
surgery, and at follow up. The BMI was correlated with patient variables for any
significant associations. Results The patient set comprised of 38.5% males and
61.5% females with a mean age of 47.77 (SD +/- 14.35). The BMI was significantly
associated with age as 72.8% of participants were obese and >45 years old as
compared to 27.2% who were under 45 years and obese (p-value <0.001). Upon
comparison of the pre-surgery, post-surgery, and current mean BMI, Bonferroni
pairwise comparisons showed no significant difference (p>0.999). Conclusion The
majority of-of TC patients among the Pakistani population were obese and female.
Age was significantly associated with the risk of having a higher BMI. Moreover,
differences in BMI pre and post-surgery could not be statistically proven.
PMID- 29805934
TI - Medical Needling: Effect on Moisture and Transepidermal Water Loss of Mature
Hypertrophic Burn Scars.
AB - BACKGROUND: Burn scars remain a serious psychological and physiological problem
for affected people. Clinical studies and scientific research have already shown
that medical needling improves the scar quality in terms of skin elasticity and
erythema. At the same time, patients are confronted with a low-risk therapy and
face comparatively less postoperative complications. OBJECTIVE: The goal of our
study was to examine the influence of medical needling on the skin moisture and
transepidermal water loss (TEWL) of hypertrophic dry scars. Therefore, 20
patients, of an average age of 34.63 years, with deep second- and third-degree
burn scars have been treated. METHODS: Medical needling is performed using a
roller covered with needles of 3-mm length. The needling device is rolled over
the scar in three directions: vertically, horizontally, and diagonally in order
to create as many puncture channels as possible. The puncturing leads to multiple
micro-wounds and intradermal bleeding, which evokes the post-needling
regeneration cascade. The patients were followed up for 12 months
postoperatively. The results have been evaluated by means of objective as well as
subjective measurement methods. RESULTS: The objective measures show that medical
needling influences epidermal thickness and improves the epidermal barrier
function at a molecular level. Outcomes are marked by a measurable increase in
skin moisture and a reduction in TEWL. CONCLUSION: Medical needling seems to be a
promising approach for the treatment of mature hypertrophic burn scars with a
focus on skin moisture and TEWL.
PMID- 29805935
TI - Portal Annular Pancreas: Case Report of a Rare Anomaly.
AB - Portal annular pancreas is a rare congenital anomaly in which the portal vein
and/or the splenoportal confluence are completely encircled by aberrant
pancreatic parenchyma. It is an asymptomatic condition and is usually an
incidental finding. It is, however, important to a surgeon because the
postoperative pancreatic fistula (POPF) rates following pancreatic resection are
higher in patients with this anomaly. A 47-year-old male presented with features
of obstructive jaundice. He was diagnosed to have periampullary carcinoma, and
pancreatoduodenectomy was planned. During surgery, uncinate process was seen
extending posterior to the portal vein and was communicating with the body of
pancreas to the left of the portal vein. After transection, there were two
pancreatic stumps. The pancreatic duct was identified in the stump anterior to
the portal vein. No duct was present in the posterior pancreatic stump. We closed
the posterior pancreatic stump with interrupted polypropylene sutures and
performed a duct to mucosa pancreaticojejunostomy in the anterior stump. On
reviewing the preoperative computed tomography (CT) scan, we were able to
identify the pancreatic tissue encasing the portal vein superior to the splenic
vein. Circumportal pancreas is classified based on the orientation of pancreatic
duct to the portal vein and the relationship of the aberrant pancreatic tissue
with the splenoportal confluence. Following pancreatoduodenectomy, the surgeon
has to manage two pancreatic stumps, one anterior and the other posterior to the
portal vein. No standardised technique has been described for management of the
pancreatic stumps. Every surgeon planning pancreatic surgery should be aware of
this rare anomaly, and look for the same in the preoperative CT scan so that
appropriate plan can be made regarding the type of pancreatic anastomosis.
PMID- 29805936
TI - Review of the Etiopathogenesis and Management Options of Chondrodermatitis
Nodularis Chronica Helicis.
AB - Chondrodermatits nodularis chronica helicis (CNCH), first described by Max
Winkler in 1915, presents as a sore nodule on the helix or antihelix of the
external ear. In this paper, we review the etiopathogenesis and management
options of CNCH. This condition has a multifactorial etiology; however, sustained
pressure from sleeping on one side is the favored theory. Currently, there are
many surgical and non-surgical methods of treating CNCH. Most practitioners
recommend conservative measures first in their patients, such as pressure
relieving prostheses, prior to surgical treatment. Surgery is the gold standard
of therapy with cartilage and wedge excisions yielding recurrence rates of about
10%. Carbon dioxide laser and photodynamic therapy are newer treatment modalities
for CNCH, yet they have recurrence rates similar to conservative therapy. In
conclusion, due to the high rates of CNCH recurrence, wedge resection is the
suggested treatment for CNCH after conservative measures fail.
PMID- 29805937
TI - Stereotactic Magnetic Resonance-guided Online Adaptive Radiotherapy for
Oligometastatic Breast Cancer: A Case Report.
AB - We present a case of durable local control achieved in a patient treated with
stereotactic magnetic resonance-guided adaptive radiation therapy (SMART) for an
abdominal lymph node in the setting of oligometastatic breast cancer. A 50-year
old woman with a history of triple positive metastatic invasive ductal carcinoma
of the left breast, stage IV (T3N2M1), underwent neoadjuvant chemotherapy,
mastectomy, adjuvant radiotherapy and maintenance hormonal treatment with HER2
targeted therapies. At 20 months after definitive treatment of her primary,
imaging showed an isolated progressive enlargement of lymph nodes between hepatic
segment V/IVB and the neck of the pancreas. Radiofrequency ablation was
considered, however, this approach was decided not to be optimal due to the
proximity to stomach, and pancreatic duct. The patient was treated with SMART for
40 Gray in 5 fractions. Two and a half years later, the patient remains without
evidence of disease progression. She experienced Grade 2 acute and late toxicity
that was successfully managed with medications. This experience shows that SMART
is a feasible and effective treatment to control the abdominal oligometastatic
disease for breast cancer.
PMID- 29805938
TI - Spontaneous Mediastinal Emphysema.
AB - The author presents a case of spontaneous mediastinal emphysema, also known as
the Hamman's syndrome. This case presentation highlights the common features of
spontaneous mediastinal emphysema and reminds the clinician to have an index of
suspicion for this diagnosis.
PMID- 29805939
TI - From Bouveret's Syndrome to Gallstone Ileus: The Journey of a Migrating Stone!
AB - Bouveret's syndrome, first described in 1896, is an unusual cause of gastric
outlet obstruction secondary to large gallstone impaction in the proximal
duodenum after migration through a cholecystoduodenal fistula. Stone migration
has been previously described after endoscopic or surgical fragmentation.
However, this is the first reported case, in our knowledge, where the stone
migrated after oral contrast administration a few days after the onset of
symptoms, causing a distal gallstone ileus.
PMID- 29805940
TI - Punctate Midline Myelotomy Reduces Pain Responses in a Rat Model of Lumbar Spine
Pain: Evidence that the Postsynaptic Dorsal Column Pathway Conveys Pain from the
Axial Spine.
AB - Punctate midline myelotomy (PMM) has been successfully applied clinically in
humans for the relief of intractable visceral pain. The operation is thought to
work by interrupting the postsynaptic dorsal column pathway (PSDC) of the spinal
cord. In fact, PMM was developed specifically for that purpose after it was
demonstrated in rats that the PSDC conveyed about 90% of the visceral pain
information to the thalamus. The application of PMM also to the problem of severe
intractable back or spine pain was never tested, and it has never been
established whether the PSDC pathway relates only to visceral pain or whether
there may be a broader involvement with pain affecting structures of
embryological midline origin, perhaps including the spine. Retrospective analyses
of decades of results from various attempted myelotomy procedures in man for the
relief of pain are consistent with the notion that the common element crucial to
the successful midline or visceral pain relief was the interruption--even
incomplete--of the PSDC pathway. Herein, we present evidence from a rat model of
lumbar facet pain that interruption of the PSDC significantly reduces pain
responses. The implications for the possible treatment of severe intractable
spine pain in man are discussed.
PMID- 29805941
TI - Long-term Clinical Course of Antineutrophil Cytoplasmic Antibody-associated
Vasculitis Patients off Maintenance Therapy.
AB - Objectives The optimal duration of maintenance immunosuppressive therapy in
patients with antineutrophil cytoplasmic antibody (ANCA)-associated vasculitis
(AAV) is still controversial. The aim of our study is to describe the
characteristics and outcomes of patients with AAV who were able to stop
maintenance agents completely while remaining on daily prednisone (< 5 mg) for at
least 36 months. Materials and methods AAV patients treated at our center from
2000 to 2016 and who were not on maintenance agents while remaining on prednisone
< 5 mg daily for at least 36 months were identified by the providers, and their
records were retrospectively reviewed. Relapse was defined by the reinitiation of
immunosuppressive therapy for biopsy-proven glomerulonephritis or any extra-renal
organ involvement. Results Of the 18 patients who fulfilled the study inclusion
criteria, 12 were male and 14 were Caucasian. The mean age at AAV diagnosis was
54 years. Seventeen patients had renal involvement and seven had lung
involvement. Eleven patients received cyclophosphamide and eight patients
received rituximab along with glucocorticoids for remission induction. Twelve
patients were weaned completely off prednisone. The median duration of prednisone
use was 20 months. Nine patients received maintenance therapy with azathioprine
or mycophenolate mofetil. The median duration of maintenance therapy was 24
months. The mean follow-up time after stopping the maintenance agent was 64
months. During this period, three patients had disease relapse. Conclusions
Stopping maintenance agents for > 36 months can be achieved in some patients with
AAV. Prospective, randomized controlled trials are needed to confirm this
finding.
PMID- 29805942
TI - Idiopathic Pancreatitis as a Rare Gastrointestinal Manifestation of Myotonic
Muscular Dystrophy.
AB - Myotonic muscular dystrophy (DM) is a multi-system disorder affecting skeletal
muscles as well as smooth and cardiac muscles. Patients with DM experience
disturbances in gastrointestinal motility; however, pancreatobiliary
manifestations have rarely been described. We report the case of a 58-year-old
male with MD who presented with a sudden onset of vomiting and abdominal pain.
Laboratory and radiological findings were consistent with acute pancreatitis. No
identifiable cause of pancreatitis could be identified despite an extensive
workup. Sphincter of Oddi dysfunction (SOD) was felt to be the most likely cause
of our patient's acute pancreatitis. SOD leading to acute pancreatitis results
from spasm of both the distal common biliary duct and the duct of Wirsung. It is
a very rarely reported gastrointestinal manifestation of MD, but one that should
not be overlooked.
PMID- 29805943
TI - Endoscopic versus Open Bursectomy for Prepatellar and Olecranon Bursitis.
AB - Objectives Bursitis of the olecranon and the patella are not rare disorders, and
conservative management is successful in most cases. However, when patients do
not respond to conservative treatment, open excisional surgery or, recently,
endoscopic bursectomy, can be used. The aim of this study was to evaluate the
results of open and endoscopic treatments of olecranon and prepatellar bursitis.
Patients and methods Forty-nine patients (37 male and 12 female), who were
treated with endoscopic bursectomy (25 patients) or open bursectomy (24 patients)
were included in this study. Thirty patients had olecranon bursitis, while 19
patients had prepatellar bursitis. The patients' average age was 61.1 +/- 12.3
(range 33-81) years. All of the patients' hospitalization and surgery times were
recorded. The satisfaction of the patients was evaluated with a satisfaction
scoring system, as well as by evaluating residual pain, the range of joint
movement, and the cosmetic results of the procedure. Results The average follow
up time was 16 +/- 9 months (range 12-27). The median operation time was 23.2 +/-
3.5 minutes for the endoscopic bursectomy group and 26.4 +/- 6.8 minutes for the
open bursectomy group. The median hospitalization time was 0.56 +/- 0.5 days
(range 0-1 day) for the endoscopic group and 1 +/- 0 days for the open bursectomy
group (P<0.01). According to the patient satisfaction questionnaire, the
endoscopic bursectomy group's score was 8.5 +/- 1.3 (range 5-10), and the open
bursectomy group's score was 5.29 +/- 1.8 (range 1-9) (P<0.01). Conclusion
Endoscopic bursectomy is a time-saving and efficient surgical treatment option
for patients with prepatellar and olecranon bursitis.
PMID- 29805944
TI - Iatrogenic Pseudoaneurysm: An Uncommon Cause of Deep Vein Thrombosis.
AB - Femoral artery pseudoaneurysm (FAP) is a common complication associated with left
heart cardiac catheterization. FAP is a pulsatile encapsulated mass usually
formed three to seven days after removal of the arterial sheath post cardiac
catheterization. Usually, FAP is asymptomatic. Groin pain and swelling are the
most common complaints in symptomatic patients. It can be associated with
multiple different complications including rupture, bleeding, and vascular
compression leading to venous thrombosis, limb ischemia, and neuropathy. Deep
vein thrombosis (DVT) resulting from FAP is an unusual complication with very few
cases reported in the literature. We present a case of right-sided DVT secondary
to the compression of femoral vein resulting in venous outflow obstruction due to
iatrogenic FAP post cardiac catheterization that was successfully managed
conservatively.
PMID- 29805945
TI - Volumetric Trends Associated with MR-guided Stereotactic Laser
Amygdalohippocampectomy in Mesial Temporal Lobe Epilepsy.
AB - OBJECTIVE: Magnetic resonance (MR)-guided stereotactic laser
amygdalohippocampectomy is a minimally invasive procedure for the treatment of
refractory epilepsy in patients with mesial temporal sclerosis. Limited data
exist on post-ablation volumetric trends associated with the procedure. METHODS:
10 patients with mesial temporal sclerosis underwent MR-guided stereotactic laser
amygdalohippocampectomy. Three independent raters computed ablation volumes at
the following time points: pre-ablation (PreA), immediate post-ablation (IPA), 24
hours post-ablation (24PA), first follow-up post-ablation (FPA), and greater than
three months follow-up post-ablation (>3MPA), using OsiriX DICOM Viewer (Pixmeo,
Bernex, Switzerland). Statistical trends in post-ablation volumes were determined
for the time points. RESULTS: MR-guided stereotactic laser
amygdalohippocampectomy produces a rapid rise and distinct peak in post-ablation
volume immediately following the procedure. IPA volumes are significantly higher
than all other time points. Comparing individual time points within each raters
dataset (intra-rater), a significant difference was seen between the IPA time
point and all others. There was no statistical difference between the 24PA, FPA,
and >3MPA time points. A correlation analysis demonstrated the strongest
correlations at the 24PA (r=0.97), FPA (r=0.95), and 3MPA time points (r=0.99),
with a weaker correlation at IPA (r=0.92). CONCLUSION: MR-guided stereotactic
laser amygdalohippocampectomy produces a maximal increase in post-ablation volume
immediately following the procedure, which decreases and stabilizes at 24 hours
post-procedure and beyond three months follow-up. Based on the correlation
analysis, the lower inter-rater reliability at the IPA time point suggests it may
be less accurate to assess volume at this time point. We recommend post-ablation
volume assessments be made at least 24 hours post-selective ablation of the
amygdalohippocampal complex (SLAH).
PMID- 29805946
TI - Flawed Reasoning Allows the Persistence of Mainstream Atherothrombosis Theory.
AB - Deaths due to atherothrombosis are increasing throughout the world except in the
lowest socio-demographic stratum. This is despite 60 years of study and
expenditure of billions of dollars on lipid theory. Nevertheless, mainstream
atherothrombosis theory persists even though it has failed numerous tests.
Contrary data are ignored, consistent with the practice of science as envisioned
by Thomas Kuhn. This paper examines defects in mainstream atherogenesis theory
and the flawed logic which allows its persistence in the face of what should be
obvious shortcomings.
PMID- 29805947
TI - Heart Dose and Outcomes in Radiation Treatment for Esophageal Cancer.
AB - Purpose Studies have shown that radiation dose to the heart may be associated
with worse outcomes in patients receiving chemoradiation for lung cancer. As
esophageal cancer radiation treatment can result in relatively high cardiac
doses, we evaluated a single-institution database of patients treated for
esophageal cancer for heart dose and outcomes. Methods We retrospectively
reviewed 59 patients with stage IIA-IIIB esophageal cancer treated with
neoadjuvant chemoradiation to 50.4 Gy followed by esophagectomy from 2007-2015.
Patient demographics and outcome data, including pathological response, local
recurrence, distant metastases, and overall survival, were obtained. Mean heart
dose (MHD), heart V5, V40, and V50, were calculated. Differences in patient
characteristics between the three radiation therapy modalities: three-dimensional
(3D) conformal radiotherapy (3D-CRT), intensity modulated radiotherapy (IMRT),
and proton beam radiation therapy (PBT) were tested using non-parametric Kruskal
Wallis (K-W) analysis of variance (ANOVA). Patient characteristics and heart
dosimetric parameters were screened by univariate Cox regression for an
association to overall survival, and univariate predictors (p < 0.05) were then
selected as inputs into a multivariate Cox regression model using stepwise
backward elimination. Kaplan-Meier risk-stratified survival curves were plotted
for the best univariate or multivariate Cox model variables. An exploratory
subgroup univariate Cox regression was conducted in each of the treatment
modalities (proton, IMRT, 3D-CRT). Results The median follow-up was 20 months.
The median overall survival was 73 months. Eleven patients (20%) experienced a
complete pathologic response (pCR). Only two patients (4%) experienced a local
recurrence. On univariate analysis, predictors of survival were age, prior
radiation, pathologic response in involved lymph nodes, and tumor length post
treatment. On a multivariate analysis, only pathologic nodal response (yN)
remained significant (p = 0.007). There was no relationship between any heart
dosimetric variables analyzed and any clinical outcomes. Conclusions In this
retrospective review, radiation dose to the heart was not associated with
inferior treatment outcomes in patients receiving trimodality therapy for
esophageal cancer.
PMID- 29805948
TI - Evaluation and Treatment of Female Sexual Pain: A Clinical Review.
AB - Dyspareunia and vulvodynia are genital pain disorders that have devastating
effects on women's quality of life. These disorders occur with high prevalence
and place a significant financial burden on women and the health care system.
Many women do not report genital pain, and most providers do not inquire about
this type of pain. As a result, women also experience social isolation. Numerous
treatments are thought to improve quality of life and decrease pain; however,
more studies are needed. This review aims to provide an overview of clinical
evaluation methods and to summarize treatment options for women suffering from
dyspareunia and vulvodynia.
PMID- 29805949
TI - CyberKnife Radiosurgery of Skull-base Tumors: A UK Center Experience.
AB - The study aim was to evaluate patient individualized Cyberknife(r) treatment for
heterogeneous skull-base tumors. Patients treated between 2009 and 2013 at The
Harley Street Clinic were studied. In total, 66 patients received 15-30 Gy in 1-5
fractions to a median planning target volume (PTV) of 6.4 cc, including patients
with secondary, multiple, residual and recurrent tumors, and those with tumors of
uncertain pathological type. Outcome analysis was pragmatically restricted to 35
patients who had single, primary tumors treated with curative intent, and
sufficient diagnostic and outcome information. Sixteen vestibular schwannoma
patients with median PTV 3.8 cc (range 0.81-19.6) received 18-25 Gy in 3-5
fractions: 81% showed no acute toxicity, 50% reported no late toxicity, 71% of
symptoms were stable/improved and local control was 100% at 11.4 months median
follow-up. Twelve meningioma patients with median PTV of 5.5 cc (range 0.68-22.3)
received 17-30 Gy in 1-5 fractions: 83% experienced no acute toxicity, 33%
reported no late toxicity, 88% of symptoms were stable/improved and local control
was 100% at 22.1 months median follow-up. Seven patients with other tumor types
with median PTV of 24.3 cc (range 7.6-100.5) received 15-28.5 Gy in 1-5
fractions: 57% experienced no acute toxicity, 57% reported no late toxicities,
66% of symptoms were stable and local control was 43% at 14.9 months median
follow-up. When tumor types were considered together, smaller tumors (PTV < 6.4
cc) showed reduced acute toxicity (p = 0.01). Overall, smaller benign tumors
showed low acute toxicity, excellent local control, and good symptom management:
a focus on enhanced neurological preservation may refine outcomes. For other
tumor types outcome was encouraging: a focus on optimal dose and fractionation
scheduling may reduce toxicity and improve local control. Individual patient
experiences are detailed where valuable lessons were gained for optimizing local
control and minimizing toxicity.
PMID- 29805950
TI - Cationic polyacrylamide copolymers (PAMs): environmental half life determination
in sludge-treated soil.
AB - Background: Cationic polyacrylamide copolymers (PAMs) are used for sludge
dewatering in municipal waste water treatment and might enter the environment by
spreading of the sludge on agricultural land. Concern has been expressed since
little is known about the degradation of PAMs in soils. To obtain detailed
information on the polymer's fate in the soil compartment, the degradation of 14C
radiolabelled PAM in an outdoor lysimeter was studied. Results: No plant uptake
and leaching of radioactivity was observed. There was practically no vertical
movement of polymer and no transformation products found at the end of the study.
For the top 10 cm soil layer, a mass balance was established throughout the
study. About 10% of applied radioactivity was not extractable from soil even with
a matrix destructive method, and this was concluded to be bound residue.
Characterization of extractable radioactivity by means of GPC-analysis showed a
significant decrease of the molecular weight of the PAM with time. The decrease
in molecular weight indicates a breakdown of the polymer backbone (the C-C
chain), and is assumed to be primary degradation. The total radioactivity content
in the 10 cm top soil layer was quantified every 6 months over a period of 3
years. The results show a significant decrease of the total radioactivity over
time and this is defined as ultimate degradation following the definition of OECD
and EPA. Based on the data, a half-life time of 2.0 * 103 days and a rate
constant of 0.00035/day were calculated. With a chi2 of 12.0 the results of the
calculation are thus valid and reliable. The rate constant indicates a
mineralization of 22.5% within a period of 2 years based on the total recovered
radioactivity. This half-life time is solely based on mineralization and does not
take into account the degradation of the polymer backbone, hydrolysis of the side
chains, incorporation into the soil matrix, and thus is a conservative approach.
Conclusions: 14C-PAM degrades very slowly in soil after land-spreading as a
component of sewage sludge. Even in a very conservative evaluation which only
considered the loss of radioactivity, a half-life time of 5.4 years was
determined.
PMID- 29805951
TI - Comparison of software tools for kinetic evaluation of chemical degradation data.
AB - Background: For evaluating the fate of xenobiotics in the environment, a variety
of degradation or environmental metabolism experiments are routinely conducted.
The data generated in such experiments are evaluated by optimizing the parameters
of kinetic models in a way that the model simulation fits the data. No comparison
of the main software tools currently in use has been published to date. This
article shows a comparison of numerical results as well as an overall, somewhat
subjective comparison based on a scoring system using a set of criteria. The
scoring was separately performed for two types of uses. Uses of type I are
routine evaluations involving standard kinetic models and up to three metabolites
in a single compartment. Evaluations involving non-standard model components,
more than three metabolites or more than a single compartment belong to use type
II. For use type I, usability is most important, while the flexibility of the
model definition is most important for use type II. Results: Test datasets were
assembled that can be used to compare the numerical results for different
software tools. These datasets can also be used to ensure that no unintended or
erroneous behaviour is introduced in newer versions. In the comparison of
numerical results, good agreement between the parameter estimates was observed
for datasets with up to three metabolites. For the now unmaintained reference
software DegKinManager/ModelMaker, and for OpenModel which is still under
development, user options were identified that should be taken care of in order
to obtain results that are as reliable as possible. Based on the scoring system
mentioned above, the software tools gmkin, KinGUII and CAKE received the best
scores for use type I. Out of the 15 software packages compared with respect to
use type II, again gmkin and KinGUII were the first two, followed by the script
based tool mkin, which is the technical basis for gmkin, and by OpenModel.
Conclusions: Based on the evaluation using the system of criteria mentioned above
and the comparison of numerical results for the suite of test datasets, the
software tools gmkin, KinGUII and CAKE are recommended for use type I, and gmkin
and KinGUII for use type II. For users that prefer to work with scripts instead
of graphical user interfaces, mkin is recommended. For future software
evaluations, it is recommended to include a measure for the total time that a
typical user needs for a kinetic evaluation into the scoring scheme. It is the
hope of the authors that the publication of test data, source code and overall
rankings foster the evolution of useful and reliable software in the field.
PMID- 29805952
TI - Computational-based structural, functional and phylogenetic analysis of
Enterobacter phytases.
AB - Myo-inositol hexakisphosphate phosphohydrolases (i.e., phytases) are known to be
a very important enzyme responsible for solubilization of insoluble phosphates.
In the present study, Enterobacter phytases have characterized by different
phylogenetic, structural and functional parameters using some standard bio
computational tools. Results showed that majority of the Enterobacter phytases
are acidic in nature as most of the isoelectric points were under 7.0. The
aliphatic indices predicted for the selected proteins were below 40 indicating
their thermostable nature. The average molecular weight of the proteins was 48
kDa. The lower values of GRAVY of the said proteins implied that they have better
interactions with water. Secondary structure prediction revealed that alpha
helical content was highest among the other forms such as sheets, coils, etc.
Moreover, the predicted 3D structure of Enterobacter phytases divulged that the
proteins consisted of four monomeric polypeptide chains i.e., it was a tetrameric
protein. The predicted tertiary model of E. aerogenes (A0A0M3HCJ2) was deposited
in Protein Model Database (Acc. No.: PM0080561) for further utilization after a
thorough quality check from QMEAN and SAVES server. Functional analysis supported
their classification as histidine acid phosphatases. Besides, multiple sequence
alignment revealed that "DG-DP-LG" was the most highly conserved residues within
the Enterobacter phytases. Thus, the present study will be useful in selecting
suitable phytase-producing microbe exclusively for using in the animal food
industry as a food additive.
PMID- 29805953
TI - Assessment of genetic diversity and bioremediation potential of pseudomonads
isolated from pesticide-contaminated artichoke farm soils.
AB - A total of 68 dimethoate and pentachlorophenol-tolerant rhizobacteria, isolated
from a pesticide-contaminated agricultural soil, have been identified and typed
by means of 16S-23S rRNA internal transcribed spacers analysis (ITS-PCR), 16S
rRNA gene sequencing and by repetitive extragenic palindromic (BOX-PCR). The
majority of bacterial isolates (84.31%) belonged to Proteobacteria (with a
predominance of Gammaproteobacteria, 72.54%), while the remaining isolates were
affiliated with Firmicutes (9.80%), Bacteroidetes (1.96%) and Actinobacteria
(3.92%). The pesticide-tolerant bacterial isolates belonged to 11 genera, namely
Pseudomonas, Bacillus, Acinetobacter, Flavobacterium, Comamonas, Achromobacter,
Rhodococcus, Ochrobactrum, Aquamicrobium, Bordetella and Microbacterium. Within
the well-represented genus Pseudomonas (n = 36), the most common species was
Pseudomonas putida (n = 32). The efficacy of the selected strain, Pseudomonas
putida S148, was further investigated for biodegradation of pentachlorophenol
(PCP) in minimal medium, when used as a sole carbon and energy source. At an
initial concentration of 100 mg/L, P. putida S148 degraded 91% of PCP after 7
days. GC-MS analyses revealed the formation of tetrachlorohydroquinone, tri- and
di-chlorophenols as biodechlorination products in PCP remediation experiments.
The toxicity estimation showed that 50% lethal concentration (LC50) and 50%
growth inhibition concentration (IGC50) obtained values for the major identified
compounds (2,3,4,6 tetrachlorophenol, 2,3,5,6 tetrachlorophenol and
tetrachlorohydroquinone) were higher than those estimated for the PCP indicating
that the metabolites are less toxic than the original compound for those specific
organisms. S148 strain could be added to pesticide-contaminated agricultural
soils as a bacterial inoculant for its potential to improve soil quality.
PMID- 29805954
TI - Endophytic fungi of Tinospora cordifolia with anti-gout properties.
AB - Targeting xanthine oxidase inhibition has been a prime strategy for exploration
and development of non-purine based drugs for anti-hyperuricemic therapy. Recent
studies from our laboratory have suggested that fungal endophytes from medicinal
plants could play a pivotal role in exploration of novel therapeutic moieties. In
the present study, 19 fungal endophytes were isolated from the medicinal plant
Tinospora cordifolia and evaluated for their XO inhibitory activity. In the
qualitative assay, Out of 19 fungal endophytes, 7 endophytes exhibited > 30% XO
inhibition, of which isolates #1 TCSTITPLM, #53 TCSTITPLM, #105 TCSTITPLM and #83
TCSTITPLM were found to exhibit XO inhibition in the range of 38-45%. Further,
ethyl acetate extract of #1TCSTITPLM exhibited 69% XO inhibitory activity
followed by chloroform extract of #53 TCSTITPLM with 63% XOI activity in the in
vitro uric acid estimation assay. In the quantitative NBT titer plate assay, both
extracts exhibited 85% of XO inhibition. The present study is the first report of
fungal endophytes isolated from T. cordifolia exhibiting XO inhibitory activity.
Ethyl acetate and chloroform extract of #1 TCSTITPLM and #53 TCSTITPLM
demonstrated potent XO inhibitory action. Further studies are warranted for
purification and characterization of the XO inhibiting moieties.
PMID- 29805955
TI - Immigration and Mental Health.
AB - Purpose of review: While the experience of migration and resettlement in a new
country is associated with mental health risks, immigrants generally demonstrate
better mental health than expected. This review describes patterns in mental
health outcomes among immigrants. We discuss a conceptual model of the potential
underlying mechanisms that could buffer the stress and disadvantage experienced
by this substantial and growing population. Recent findings: While
epidemiological studies have established a general pattern of lower risk for
mental health disorders among first-generation (foreign-born) immigrants in the
U.S., recent studies highlight how this pattern varies substantially by the
intersection of race, ethnicity, national origin, gender, and socioeconomic
status. Contextual factors including the family and neighborhood context; an
immigrant's social position; experiences of social support and social exclusion;
language competency and ability; and exposure to discrimination and acculturative
stress further influence the relationship between immigration and mental health.
Summary: We conclude with an emphasis on social resilience processes, with a
focus on how immigrants develop social relations, social capital and social
networks. We recommend future directions for research that prioritize identifying
and understanding social adaptation strategies adopted by immigrant groups to
cope with immigration stressors.
PMID- 29805956
TI - Social Media in the Identification of Living Kidney Donors: Platforms, Tools, and
Strategies.
AB - Purpose of review: Living donor transplantation offers patients with end-stage
renal disease faster access to transplant and better survival and quality of life
than waiting for a deceased donor or remaining on dialysis. While many people
state they would be willing to help someone in need through kidney donation,
there are education and communication barriers to donor candidate identification.
These barriers might be mitigated by technological innovations, including the use
of social media. Recent findings: This article describes the state of
contemporary evidence regarding use of social media tools and interventions to
increase access to living donor transplantation, as reported in peer-reviewed
medical literature, as well as programs that have not yet been formally
evaluated. Summary: As social media platforms continue to grow and expand, a
commitment to understanding and facilitating the use of social media by the
transplant community may support patients who are interested in using social
media as a tool to find a living kidney donor.
PMID- 29805957
TI - The Living Donor Lost Wages Trial: Study Rationale and Protocol.
AB - Purpose of review: This paper describes the background, rationale, and design of
an NIH-funded, single-center study to test the impact of offering reimbursement
for donor lost wages incurred during the post-nephrectomy recovery period on the
live donor kidney transplant (LDKT) rate in newly evaluated kidney transplant
candidates, to examine whether offering reimbursement for donor lost wages
reduces racial disparity in LDKT rates, and to determine whether higher
reimbursement amounts lead to higher LDKT rates. Recent findings: LDKT is the
optimal treatment for renal failure. However, living kidney donation has declined
in the past decade, particularly among men, younger adults, blacks, and low
income adults. There is evidence that donation-related costs may deter both
transplant candidates and potential donors from considering LDKT. Lost wages is a
major source of financial loss for some living donors and, unlike travel and
lodging expenses, is not reimbursed by financial assistance programs. Summary:
The study addresses the transplant community's call to reduce the financial
burden of living donation and examine its impact on LDKT rates. Findings have the
potential to influence policy, clinical practice, LDKT access, and income-related
and racial disparities in LDKT and living donation.
PMID- 29805958
TI - Rotavirus vaccines: why continued investment in research is necessary.
AB - Purpose of review: Rotavirus vaccines were first introduced more than a decade
ago and have had a tremendous impact on reducing the number of hospitalizations
and deaths due to rotavirus-associated diarrhea. This review will discuss current
rotavirus vaccines, post-licensure surveillance, progress in non-replicating
vaccine development, and why continued research is important for understanding a
virus that remains a globally leading cause of death due to diarrhea. Recent
findings: Research advances have enhanced our understanding of how vaccines
induce protection against subsequent severe disease, how the virus replicates and
spreads in the face of the host immune system, and basic mechanisms governing the
viral life cycle. Summary: Much remains to be learned about how to improve
vaccine success, what are the molecular determinants of host range and virulence,
and what are the interactions of the virus with the host that drive its
replicative success, among many other important questions.
PMID- 29805959
TI - Child Pedestrian Street-Crossing Behaviors outside a Primary School: Developing
Observational Methodologies and Data from a Case Study in Changsha, China.
AB - Background: Child pedestrian injury is a significant global public health
challenge, and prevention programming requires an understanding of the context
children face when crossing the street. Methods to understand children's behavior
in real-world pedestrian settings are sparse in low- and middle-income countries.
Methods: Children in grades 1-6 were videotaped crossing a three-lane street
outside their school in Changsha, China. Tapes were coded to collect: (1) extent
of adult supervision, (2) whom children crossed the street with, and (3) safe
behaviors exhibited by children. Results: Observational videotape methods yielded
data that could be reliably coded to understand Chinese children's behavior
crossing the street outside their primary school. In total, we observed 216 child
pedestrians crossing the street, including 105 girls, 105 boys, and 6 for whom
gender could not be determined. 51% of observations occurred in the morning
before school and 49% in the afternoon after school. Children encountered a busy
and somewhat-chaotic traffic environment. Adults were always present to help, but
children appeared to heed adult advice concerning the crossing only about 70% of
the time. Fewer than 1/3 of children looked at oncoming traffic before they
entered a lane and over 1/3 entered a lane with moving traffic approaching.
Conclusion: Observational methods of coding videotaped behavior proved effective
to understand and code children's risk and safety while crossing the street
outside their primary school. At the street environment we studied, we found that
children's pedestrian behavior involved significant risk.
PMID- 29805960
TI - Clinical response to a lomustine/cytarabine-based chemotherapy protocol in a case
of canine large granular lymphocyte T-cell lymphoma with spinal involvement.
AB - A 7-year-old, female neutered cross-breed dog was referred to our institution
with a history of progressive hind limb weakness, which then progressed to
paraplegia. An MRI of the spine revealed severe meningeal infiltrate consistent
with lymphoma involvement, located at the level of L2-L7 with concurrent lymph
node enlargement and abnormal bone marrow. Abdominal ultrasonography also
identified changes in the spleen and confirmed enlargement of the lumbar aortic
lymph node. Cytology of lymph nodes and spleen confirmed a high-grade lymphoma
with features of a large granular lymphocyte (LGL) variant; PCR for antigen
receptor re-arrangements (PARR) was positive for a clonal T-cell receptor
rearrangement. The dog was started on a chemotherapy protocol with lomustine and
cytarabine incorporation and had a rapid improvement in neurological status.
Chemotherapy was continued until relapse and rescue treatment used at that time.
The dog was euthanased at the time of recurrence of neurological signs, 195 days
since medical treatment was started. This case report suggests that combination
chemotherapy may be of use when treating LGL lymphoma with spinal involvement and
survival time may potentially exceed 6 months.
PMID- 29805961
TI - Comparative diagnostic imaging of a partial patellar ligament tear in a dog.
AB - Traumatic lesions of the patellar ligament (PL) are rare in dogs. The resulting
injury can be a complete or partial laceration, depending on the quantity of torn
collagen fibres. Information obtained from imaging evaluation is of great value
to the clinical approach towards PL injuries, because subsequent treatment
options are affected by the distinction between complete or partial tears.
Imaging diagnosis of PL damage in veterinary practice commonly relies on
radiographic examination through the recognition of indirect signs, such as
"patella alta", bone fragments at the level of the patellar or tibial insertion,
and soft tissue opacity at the cranial aspect of the joint. Although ultrasound
(US) and magnetic resonance imaging (MRI) have been described as useful
diagnostic tools for the assessment of PL tears in human patients, specific
comparative data regarding the evaluation of PL rupture in dogs using different
imaging modalities is lacking in the veterinary literature. This paper describes
the radiographic, ultrasonographic, CT and MRI imaging findings of a partial PL
tear in a dog and discusses the utility of these techniques in diagnosing this
condition. CT provided more detailed information than X-ray examination in the
assessment of the osteoligamentous junction, the exclusion of microfracture and
distal PL avulsion, but did not add information regarding PL integrity. MRI and
US provided the most useful information regarding intra-ligamentous damage and as
such their combined use may be considered for the assessment of PL injuries after
clinical examination and survey radiographs.
PMID- 29805962
TI - Ceruminous gland adenocarcinoma in a domestic Persian-mix cat (Felis catus).
AB - A nine years old Persian-mix female cat has been suffering from recurrent
bilateral ceruminous gland adenocarcinoma for many years. Masses were first
noticed and resected five years ago, but reoccurred later on two more occasions.
The animal has usually experienced distressful ear canal problems in association
with these masses. The tumor cellular morphology was reviewed, described and
discussed. This article is the first in documenting ceruminous gland
adenocarcinoma in a Persian-mix cat in Libya.
PMID- 29805963
TI - Levels of Urine Cotinine from Hookah Smoking and Exposure to Hookah Tobacco
Secondhand Smoke in Hookah Lounges and Homes.
AB - Background: Nicotine, an addictive drug, is present in all forms of tobacco
products, including hookah tobacco, which is not yet regulated in the United
States. Objectives: This study aimed to investigate the uptake of nicotine in
hookah smokers and non-smokers exposed to secondhand smoke (SHS) at indoor hookah
social events in natural settings where hookah tobacco was smoked exclusively.
Patients and Methods: We quantified cotinine, a metabolite of nicotine, in the
urine of 105 hookah smokers and 103 non-smokers. Participants provided spot urine
samples the morning of and the morning after attending an indoor hookah-only
smoking social event at a hookah lounge or in a private home. Results: Following
a social event where exclusively hookah tobacco was smoked, urinary cotinine
levels increased significantly 8.5 times (geometric mean (GM): 16.0 ng/mg to
136.1 ng/mg) among hookah smokers, and 2.5 times (GM: 0.4 ng/mg to 1.0 ng/mg)
among non-smokers exposed exclusively to hookah tobacco SHS. Among hookah
smokers, the highest increase in urinary cotinine levels post a hookah event was
found in occasional hookah smokers in which GM levels increased significantly
31.2 times post smoking (from 2.0 ng/mg to 62.3 ng/mg). Reported reasons for
preference to smoke hookah at home by hookah smokers who attended a hookah social
event in a private home included recreational purposes, socializing with friends
and family, 'Me' time and relaxing at home, more comfortable to smoke hookah at
home, owning a hookah and hookah tobacco, eating and drinking while smoking
hookah, and saving money by smoking at home and not going to hookah lounges.
Conclusions: Hookah tobacco smoke is a source of substantial nicotine exposure.
Our results call for protecting hookah smokers' and non-smokers' health by
requiring accurate hookah tobacco labels, raising taxes on hookah tobacco,
reducing the spread of hookah lounges, and encouraging voluntary bans on smoking
hookah tobacco in private homes.
PMID- 29805964
TI - Molecular identification of Mycobacterium tuberculosis causing Pulmonary
Tuberculosis in Sudan.
AB - Background: Tuberculosis (TB) is a major public health problem in Sudan. However,
the strains responsible for the epidemic of TB have been poorly characterized.
The aim of this study was to characterize the causative agent of TB isolates
circulating in Kassala and Gezira States during 2011-2012. Method: Ninety two
Mycobacterium tuberculosis complex (MTBC) strains were isolated from sputum
samples of pulmonary TB patients, attending the Teaching Hospitals in Kassala and
Gezira states. Molecular identification was performed using region of difference
9 (RD9) deletion and spoligotyping methods. Spoligotype patterns were compared
with those listed in the international SITVIT2 spoligotyping database. Results:
The results determined the circulation of Euro-American, Central Asian, and Indo
Oceanic Lineages. They were clustered in the following clades: Manu2 (64.8%), CAS
(22.7%), EAI (4.5), LAM2 (2.3%), H3 (1.1%), T (1.1%), T3-ETH (1.1%), T5-RUS1
(1.1%). Comparison with the international multi-marker database SITVIT2, 76.1% of
isolates matched the database, while 23.9% of the isolates were not represented
in SITVIT2 (orphans). SIT54 (Manu2) was the most common strain circulating in the
two states. Conclusion: The study showed that a large variety of Mycobacterium
tuberculosis (MTB) genotypes were circulating in the two states. Further studies
on identification and molecular characterization of mycobacterial are needed to
understand the biodiversity, rate of transmission and the associated risk factors
of MTB in Sudan.
PMID- 29805965
TI - The Effect of Anesthesia on the Immune System in Colorectal Cancer Patients.
AB - Colorectal cancer (CRC) is the key leading cause of high morbidity and mortality
worldwide. Surgical excision is the most effective treatment for CRC. However,
stress caused by surgery response can destroy the body's immunity and increase
the likelihood of cancer dissemination and metastasis. Anesthesia is an effective
way to control the stress response, and recent basic and clinical research has
shown that anesthesia and related drugs can directly or indirectly affect the
immune system of colorectal cancer patients during the perioperative period.
Thus, these drugs may affect the prognosis of CRC surgery patients. This review
is intended to summarize currently available data regarding the effects of
anesthetics and related drugs on perioperative immune function and postoperative
recurrence and metastasis in CRC patients. Determining the most suitable
anesthesia for patients with CRC is of utmost importance.
PMID- 29805966
TI - Alpha-Fetoprotein and Hepatocellular Carcinoma Immunity.
AB - Hepatocarcinoma is one of the most prevalent gastroenterological cancers in the
world with less effective therapy. As an oncofetal antigen and diagnostic marker
for liver cancer, alpha-fetoprotein (AFP) possesses a variety of biological
functions. Except for its diagnosis in liver cancer, AFP has become a target for
liver cancer immunotherapy. Although the immunogenicity of AFP is weak and it
could induce the immune escapes through inhibiting the function of dendritic
cells, natural killer cells, and T lymphocytes, AFP has attracted more attention
in liver cancer immunotherapy. By in vitro modification, the immunogenicity and
immune response of AFP could be enhanced. AFP-modified immune cell vaccine or
peptide vaccine has displayed the specific antitumor immunity against AFP
positive tumor cells and laid a better foundation for the immunotherapy of liver
cancer.
PMID- 29805967
TI - Using the A/T/N Framework to Examine Driving in Preclinical AD.
PMID- 29805968
TI - Development of Novel N-isopropylacrylamide (NIPAAm) Based Hydrogels with Varying
Content of Chrysin Multiacrylate.
AB - A series of novel temperature responsive hydrogels were synthesized by free
radical polymerization with varying content of chrysin multiacrylate (ChryMA).
The goal was to study the impact of this novel polyphenolic-based multiacrylate
on the properties of N-isopropylacrylamide (NIPAAm) hydrogels. The temperature
responsive behavior of the copolymerized gels was characterized by swelling
studies, and their lower critical solution temperature (LCST) was characterized
through differential scanning calorimetry (DSC). It was shown that the
incorporation of ChryMA decreased the swelling ratios of the hydrogels and
shifted their LCSTs to a lower temperature. Gels with different ChryMA content
showed different levels of response to temperature change. Higher content gels
had a broader phase transition and smaller temperature response, which could be
attributed to the increased hydrophobicity being introduced by the ChryMA.
PMID- 29805969
TI - Depression and Associated Factors among Adult Inpatients at Public Hospitals of
Harari Regional State, Eastern Ethiopia.
AB - Introduction: Globally, depression is one of the three leading causes of disease
and it will be the second leading cause of world disability by 2030. The
prevalence of depression in Sub-Saharan Africa ranges from 15 to 30%. In
Ethiopia, depression was found to be the seventh leading cause of disease burden
and its prevalence has been increased in hospital compared to community setting
because hospital environment itself is stressful. Yet, no study was done in
Eastern Ethiopia, where substance use like Khat is very rampant. Objective: To
assess depression and associated factors among adult inpatients at public
hospitals of Harari Regional State, Eastern Ethiopia, from February 01 to 28,
2017. Methodology: Hospital based cross-sectional study design was employed on
492 admitted adult patients in Harari region hospitals. Consecutive sampling
method was used to include study population. The data were collected by
interviewee and analyzed by SPSS version 20.0. Bivariate and multivariate
logistic regression analyses were employed. p value of 0.05 or less was
considered to be statistically significant. Result: A total of 489 patients were
interviewed with response rate of 99.4%. Having duration of 1-2 weeks in the
hospital [AOR = 2.02, 95% CI: (1.28, 3.19)], being diagnosed with chronic
morbidity [AOR = 4.06, 95% CI: (2.23, 7.40)], being users of psychoactive drugs
[AOR = 2.24, 95% CI: (1.18, 4.24)], and having been admitted to surgical ward
[AOR = 0.50, 95% CI: (0.31, 0.81)] were significantly associated with depression.
Conclusion and Recommendation: Prevalence of depression among admitted inpatients
was high. Therefore, increasing the awareness of benefits of early diagnosis of
patients to prevent major form of depression and strengthening the clinical set
up and establishing good referral linkage with mental health institutions was
considered to be cost-effective method to reduce its prevalence.
PMID- 29805970
TI - Transcriptomic Profiling of Fruit Development in Black Raspberry Rubus coreanus.
AB - The wild Rubus species R. coreanus, which is widely distributed in southwest
China, shows great promise as a genetic resource for breeding. One of its
outstanding properties is adaptation to high temperature and humidity. To
facilitate its use in selection and breeding programs, we assembled de novo
179,738,287 R. coreanus reads (125 bp in length) generated by RNA sequencing from
fruits at three representative developmental stages. We also used the recently
released draft genome of R. occidentalis to perform reference-guided assembly. We
inferred a final 95,845-transcript reference for R. coreanus. Of these genetic
resources, 66,597 (69.5%) were annotated. Based on these results, we carried out
a comprehensive analysis of differentially expressed genes. Flavonoid
biosynthesis, phenylpropanoid biosynthesis, plant hormone signal transduction,
and cutin, suberin, and wax biosynthesis pathways were significantly enriched
throughout the ripening process. We identified 23 transcripts involved in the
flavonoid biosynthesis pathway whose expression perfectly paralleled changes in
the metabolites. Additionally, we identified 119 nucleotide-binding site leucine
rich repeat (NBS-LRR) protein-coding genes, involved in pathogen resistance, of
which 74 were in the completely conserved domain. These results provide, for the
first time, genome-wide genetic information for understanding developmental
regulation of R. coreanus fruits. They have the potential for use in breeding
through functional genetic approaches in the near future.
PMID- 29805971
TI - Reduction of Liver Span and Parameters of Inflammation in Nonalcoholic Fatty
Liver Disease Patients Treated with Lycosome Formulation of Phosphatidylcholine:
A Preliminary Report.
AB - Twenty-nine newly diagnosed individuals with Nonalcoholic Fatty Liver Disease
(NAFLD) remaining on habitual dietary regimen were supplemented with regular or
lycosome formulations of phosphatidylcholine (PC) during a pilot, randomized,
double-blinded clinical study. After two months of oral PC intake (450 mg daily)
the liver size as well as serum levels of hepatic enzymes and markers of
inflammation were evaluated by ultrasonography and biochemical analysis. It was
shown that there was a statistically significant reduction of medians for the Mid
Clavicular liver size from 16.0 cm (95/5% CI: 17.1/15.5) to 15.1 cm (95/5% CI:
17.2/14.4, P = 0.021) in participants ingesting the lycosome-formulated PC (L-PC)
whereas regular formulation of PC (R-PC) had only a marginal effect on this
parameter (P = 0.044). A similar tendency was observed in the Mid-Sternal liver
size. Moreover, there was a reduction of medians for ALT values at the end point
of the study (P = 0.026) after ingestion of L-PC, while R-PC had no statistically
significant effect. On the other hand, ingestion of both formulations was
accompanied by reductions in values for Inflammatory Oxidative Damage (IOD) and
oxidized LDL in serum. However, L-PC had superior activity in these terms,
presumably due to the presence of lycopene, a powerful antioxidant, in the L-PC
Lycosome structure. C-reactive protein level was moderately decreased (reduction
of medians from 6.5 [95/5% CI: 7.7/5.8] mg/L to 5.1 [95/5% CI: 5.6/4.3] mg/L)
only after ingestion of L-PC. The greater efficacy of L-PC seen in NAFLD
volunteers may reflect improved bioavailability of PC owing to better protection
of the microencapsulated PC from gastrointestinal enzymes and possibly enhanced
hepatic delivery of L-PC particles.
PMID- 29805972
TI - GeneXpert MTB/RIF Outperforms Mycobacterial Culture in Detecting Mycobacterium
tuberculosis from Salivary Sputum.
AB - GeneXpert MTB/RIF (Xpert) assay has been endorsed for the diagnosis of pulmonary
TB due to its high sensitivity and specificity for culture positive TB. There is
no doubt that Xpert could not be more sensitive than mycobacterial culture, while
the positive rate of Xpert among sputum samples was higher than that of
mycobacterial culture in our laboratory. We therefore carried out a prospective
study to determine a potential explanation for this unexpected result regarding
the clinical use of Xpert. Overall, a total of 558 patients meeting inclusion
criteria were enrolled in final analysis between August 2017 and September 2017
in Beijing Chest Hospital. The overall positive rate of Xpert among sputum
samples was 45.9% (256/558), which was significantly higher than that of liquid
culture (33.4%, 184/558; P < 0.01). The percentage of culture negative result in
salivary sputum was significantly higher than that in mucoid sputum [odds ratio
(OR): 5.04, 95% confidence interval (95% CI): 2.74-9.28; P < 0.01]. In addition,
the TB cases having previous treatment history had a higher proportion of culture
negative result than new cases (OR: 4.26, 95% CI: 1.61-11.28; P = 0.01). In
conclusion, the results of this study demonstrate that Xpert outperforms
mycobacterial culture in detecting MTB from salivary sputum. In addition, the
previously treated patients are more likely to yield negative culture results.
Our data will provide important hints to formulate an appropriate diagnostic
algorithm for pulmonary tuberculosis based on the appearance of sputum samples.
PMID- 29805973
TI - Reviews of Interleukin-37: Functions, Receptors, and Roles in Diseases.
AB - Interleukin-37 (IL-37) is an IL-1 family cytokine discovered in recent years and
has 5 different isoforms. As an immunosuppressive factor, IL-37 can suppress
excessive immune response. IL-37 plays a role in protecting the body against
endotoxin shock, ischemia-reperfusion injury, autoimmune diseases, and
cardiovascular diseases. In addition, IL-37 has a potential antitumor effect. IL
37 and its receptors may serve as novel targets for the study, diagnosis, and
treatment of immune-related diseases and tumors.
PMID- 29805974
TI - Malignant Gliomas as Second Neoplasms in Pediatric Cancer Survivors:
Neuropathological Study.
AB - This study presents a unique series of malignant supratentorial gliomas in
children previously cured from non-CNS primary cancer. On neuroimaging these
tumors were not specific, so the patients were suspected of cerebral recurrence
of their primary neoplasm: leukemia in four children and sarcoma in one child.
Histologically, the group contained four glioblastomas and one anaplastic
astrocytoma. Three patients underwent neurosurgical resection, while the other
two underwent stereotactic diagnostic biopsy only. Despite combined oncological
treatment, four children died during 20 months, and only one glioblastoma patient
continued to live for another twelve years. Microscopically, the neoplasms
consisted of small cells with some morphologic features of astrocytic lineage,
having scanty or prominent processes. Microvascular proliferation and focal or
diffuse necrosis were encountered in four cases. The GFAP reactivity in
neoplastic cells was low or nil, together with the expression of Olig2, vimentin,
and nestin. In two cases a subpopulation of synaptophysin-positive cells was
present. Molecular immunohistochemical profiling revealed the expression of
phosphorylated forms of PI3Kp110 and AKT, in parallel to a strong PTEN and p53
positivity. The tumors were of IDH1R132H-wild type and immunoreactive for ATRX,
HER3, and EGFR. Secondary malignant gliomas in pediatric cancer survivors pose a
diagnostic challenge. The present study shows that these tumors are of IDH wild
type, PI3K/AKT-activated, having no PTEN and EGFR mutations. Therefore, the
biopsy of brain tumors in such patients is crucial both for accurate diagnosis
and material preservation for molecular typing.
PMID- 29805975
TI - Antioxidative Potential of a Streptomyces sp. MUM292 Isolated from Mangrove Soil.
AB - Mangrove derived microorganisms constitute a rich bioresource for bioprospecting
of bioactive natural products. This study explored the antioxidant potentials of
Streptomyces bacteria derived from mangrove soil. Based on 16S rRNA phylogenetic
analysis, strain MUM292 was identified as the genus Streptomyces. Strain MUM292
showed the highest 16S rRNA gene sequence similarity of 99.54% with S.
griseoruber NBRC12873T. Furthermore, strain MUM292 was also characterized and
showed phenotypic characteristics consistent with Streptomyces bacteria.
Fermentation and extraction were performed to obtain the MUM292 extract
containing the secondary metabolites of strain MUM292. The extract displayed
promising antioxidant activities, including DPPH, ABTS, and superoxide radical
scavenging and also metal-chelating activities. The process of lipid peroxidation
in lipid-rich product was also retarded by MUM292 extract and resulted in reduced
MDA production. The potential bioactive constituents of MUM292 extract were
investigated using GC-MS and preliminary detection showed the presence of
pyrazine, pyrrole, cyclic dipeptides, and phenolic compound in MUM292 extract.
This work demonstrates that Streptomyces MUM292 can be a potential antioxidant
resource for food and pharmaceutical industries.
PMID- 29805976
TI - Effect of Long-Term Sodium Salicylate Administration on Learning, Memory, and
Neurogenesis in the Rat Hippocampus.
AB - Tinnitus is thought to be caused by damage to the auditory and nonauditory system
due to exposure to loud noise, aging, or other etiologies. However, at present,
the exact neurophysiological basis of chronic tinnitus remains unknown. To
explore whether the function of the limbic system is disturbed in tinnitus, the
hippocampus was selected, which plays a vital role in learning and memory. The
hippocampal function was examined with a learning and memory procedure. For this
purpose, sodium salicylate (NaSal) was used to create a rat animal model of
tinnitus, evaluated with prepulse inhibition behavior (PPI). The acquisition and
retrieval abilities of spatial memory were measured using the Morris water maze
(MWM) in NaSal-treated and control animals, followed by observation of c-Fos and
delta-FosB protein expression in the hippocampal field by immunohistochemistry.
To further identify the neural substrate for memory change in tinnitus,
neurogenesis in the subgranular zone of the dentate gyrus (DG) was compared
between the NaSal group and the control group. The results showed that
acquisition and retrieval of spatial memory were impaired by NaSal treatment. The
expression of c-Fos and delta-FosB protein was also inhibited in NaSal-treated
animals. Simultaneously, neurogenesis in the DG was also impaired in tinnitus
animals. In general, our data suggest that the hippocampal system (limbic system)
may play a key role in tinnitus pathology.
PMID- 29805978
TI - Mandibular Flexure and Peri-Implant Bone Stress Distribution on an Implant
Supported Fixed Full-Arch Mandibular Prosthesis: 3D Finite Element Analysis.
AB - Purpose: The purpose of this study was to evaluate and compare the effect of
three mandibular full-arch superstructures on the peri-implant bone stress
distribution during mandibular flexure caused by mid-opening (27 mm) and
protrusion mandibular movements. Materials and Methods: Three-dimensional finite
element models were created simulating six osseointegrated implants in the
jawbone. One model simulated a 1-piece framework and the other simulated 2-piece
and 3-piece frameworks. Muscle forces with definite direction and magnitude were
exerted over areas of attachment to simulate multiple force vectors of
masticatory muscles during mandibular protrusion and opening. Results: During the
movement of 27.5 mm jaw opening, the 1-piece and 3-piece superstructures showed
the lowest values of bone stress around the mesial implants, gradually increasing
towards the distal position. During the protrusion movement, bone stress
increased compared to opening for any implant situation and for a divided or
undivided framework. The 3-piece framework showed the highest values of peri
implant bone stress, regardless of the implant situation. Conclusions: The
undivided framework provides the best biomechanical environment during mandibular
protrusion and opening. Protrusion movement increases the peri-implant bone
stress. The most mesial implants have the lowest biomechanical risk.
PMID- 29805979
TI - Head Impact Exposure in Junior and Adult Australian Football Players.
AB - This study measured and compared the frequency, magnitude, and distribution of
head impacts sustained by junior and adult Australian football players,
respectively, and between player positions over a season of games. Twelve junior
and twelve adult players were tracked using a skin-mounted impact sensor. Head
impact exposure, including frequency, magnitude, and location of impacts, was
quantified using previously established methods. Over the collection period,
there were no significant differences in the impact frequency between junior and
adult players. However, there was a significant increase in the frequency of head
impacts for midfielders in both grades once we accounted for player position. A
comparable amount of head impacts in both junior and adult players has
implications for Australian football regarding player safety and medical coverage
as younger players sustained similar impact levels as adult players. The other
implication of a higher impact profile within midfielders is that, by targeting
education and prevention strategies, a decrease in the incidence of sports
related concussion may result.
PMID- 29805980
TI - GLP-1 Receptor Agonists and Cardiovascular Disease in Patients with Type 2
Diabetes.
AB - Diabetes mellitus is a chronic disease prevalence of which is high and
continually growing. Cardiovascular disease continues to be the leading cause of
death in patients with T2DM. The prevention of cardiovascular complications and
the cardiovascular safety of treatments should be a primary objective when
selecting treatment. Among all the drugs available, the compounds known as
glucagon-like peptide-1 receptor agonists (GLP-1 RAs) appear to be not just
innocuous in terms of CVD but indeed to be beneficial. GLP-1 RA actions not only
translate on an improvement of well-known cardiovascular risk factors such as
glycaemic control, dyslipidaemia, weight, or arterial hypertension but also might
show benefits on endothelial function, coronary ischaemia, and heart failure. On
the other hand, recent clinical trials aimed at studying cardiovascular episodes
have been conducted with GLP-1 RAs. Only liraglutide and semaglutide have shown
superiority in cardiovascular benefit compared with placebo. Although many of the
mechanisms by which liraglutide and semaglutide produce a cardiovascular benefit
are still unknown it would be desirable for these benefits to be incorporated
into the therapeutic algorithms routinely used in clinical practice. The purpose
of this review is to explore GLP-1 RA actions not only in cardiovascular risk
factors (glucose, weight, and hypertension) but also the possible effects on
established cardiovascular disease.
PMID- 29805981
TI - Effect of Diacerein on Metabolic Control and Inflammatory Markers in Patients
with Type 2 Diabetes Using Antidiabetic Agents: A Randomized Controlled Trial.
AB - Introduction: Studies have shown that T2DM is an inflammatory disease. Thus, the
present study was aimed at evaluating whether diacerein could improve the
metabolic and inflammatory profile among patients with T2DM under long-term
treatment with glucose-lowering agents. Methods: This is a double-blind,
parallel, placebo-controlled trial with 72 participants randomly assigned to
diacerein 50 mg or placebo for 12 weeks. The primary endpoint was the between
group difference in change in HbA1c. Secondary endpoints included the proportion
of patients achieving metabolic control [HbA1c <= 7.0% (53 mmol/mol)] and change
in inflammatory mediators. Results: Participants in the diacerein group had
greater reductions in mean HbA1c level in comparison to placebo (-0.98; 95% CI:
2.02 to 0.05, P = 0.06), independently of confounding factors. The difference in
HbA1c level was -1.3 (95% CI: -2.3 to -0.4) in favor of diacerein (P = 0.007) in
those with <14 years of diabetes duration versus 0.05 (-0.7 to 0.8; P = 0.9) in
those with longer duration. The diacerein group had a 50% increase in the number
of participants at the lowest TNF-alpha level (<=1.46 pg/mL). Conclusions: In
patients with long-established T2DM under long-term treatment with glucose
lowering agents, diacerein improves metabolic control as measured by HbA1c level
and has a favorable impact on inflammatory profile. Clinical Trial Registry: This
trial is registered with Brazilian Clinical Trials Registry (ReBEC) number RBR
29j956.
PMID- 29805977
TI - Biomaterial Scaffolds in Regenerative Therapy of the Central Nervous System.
AB - The central nervous system (CNS) is the most important section of the nervous
system as it regulates the function of various organs. Injury to the CNS causes
impairment of neurological functions in corresponding sites and further leads to
long-term patient disability. CNS regeneration is difficult because of its poor
response to treatment and, to date, no effective therapies have been found to
rectify CNS injuries. Biomaterial scaffolds have been applied with promising
results in regeneration medicine. They also show great potential in CNS
regeneration for tissue repair and functional recovery. Biomaterial scaffolds are
applied in CNS regeneration predominantly as hydrogels and biodegradable
scaffolds. They can act as cellular supportive scaffolds to facilitate cell
infiltration and proliferation. They can also be combined with cell therapy to
repair CNS injury. This review discusses the categories and progression of the
biomaterial scaffolds that are applied in CNS regeneration.
PMID- 29805982
TI - Sex Differences in the Prevalence and Modulators of Sleep-Disordered Breathing in
Outpatients with Type 2 Diabetes.
AB - In patients with type 2 diabetes, sleep-disordered breathing is a widespread
cause of deteriorated quality of life. However, robust prevalence estimates for
sleep-disordered breathing in patients with type 2 diabetes are limited due to
scarce data. We investigated sex differences in sleep-disordered breathing
prevalence and its modulators in the DIACORE SDB substudy, a sample of outpatient
type 2 diabetes. 721 participants were tested for sleep-disordered breathing
using a two-channel sleep apnoea monitoring device. Patients were stratified
according to the severity of sleep-disordered breathing, defined as an apnoea
hypopnoea index < 15, >=15 to 29, and >=30 events per hour as no/mild, moderate,
and severe sleep-disordered breathing, respectively. In the 679 analysed patients
(39% women, age 66 +/- 9 years, body mass index 31.0 +/- 5.4 kg/m2), the
prevalence of sleep-disordered breathing was 34%. The prevalence of sleep
disordered breathing was higher in men than in women (41% versus 22%, p < 0.001)
and increased with age (15%, 21%, and 30% in women and 35%, 40%, and 47% in men
in those aged 18-59, 60-69, or >=70, respectively; age trend p = 0.064 in women
and p = 0.15 in men). In linear regression analysis, age, BMI, and waist-hip
ratio were associated with apnoea-hypopnoea index. Modulators for higher apnoea
hypopnoea index seem to be similar in men and women.
PMID- 29805983
TI - Neuroblastoma Cell Lines Are Refractory to Genotoxic Drug-Mediated Induction of
Ligands for NK Cell-Activating Receptors.
AB - Neuroblastoma (NB), the most common extracranial solid tumor of childhood, causes
death in almost 15% of children affected by cancer. Treatment of neuroblastoma is
based on the combination of chemotherapy with other therapeutic interventions
such as surgery, radiotherapy, use of differentiating agents, and immunotherapy.
In particular, adoptive NK cell transfer is a new immune-therapeutic approach
whose efficacy may be boosted by several anticancer agents able to induce the
expression of ligands for NK cell-activating receptors, thus rendering cancer
cells more susceptible to NK cell-mediated lysis. Here, we show that
chemotherapeutic drugs commonly used for the treatment of NB such as cisplatin,
topotecan, irinotecan, and etoposide are unable to induce the expression of
activating ligands in a panel of NB cell lines. Consistently, cisplatin-treated
NB cell lines were not more susceptible to NK cells than untreated cells. The
refractoriness of NB cell lines to these drugs has been partially associated with
the abnormal status of genes for ATM, ATR, Chk1, and Chk2, the major transducers
of the DNA damage response (DDR), triggered by several anticancer agents and
promoting different antitumor mechanisms including the expression of ligands for
NK cell-activating receptors. Moreover, both the impaired production of reactive
oxygen species (ROS) in some NB cell lines and the transient p53 stabilization in
response to our genotoxic drugs under our experimental conditions could
contribute to inefficient induction of activating ligands. These data suggest
that further investigations, exploiting molecular strategies aimed to potentiate
the NK cell-mediated immunotherapy of NB, are warranted.
PMID- 29805984
TI - Salivary Gland Pathology in IgG4-Related Disease: A Comprehensive Review.
AB - IgG4-related disease (IgG4-RD) is a rare fibroinflammatory condition that can
affect almost any organ, characterized by swollen lesions and often by
eosinophilia and elevated serum IgG4 concentrations. The diagnosis of IgG4-RD is
a challenging task: in fact, single or multiple organs can be affected and
clinical, serological, and histological findings can be heterogeneous. In IgG4
RD, the involvement of salivary glands is observed in 27% to 53% of patients.
Several organ-specific conditions, now recognized as different manifestations of
IgG4-related sialadenitis (IgG4-RS), were viewed in the past as individual
disease entities. The study of salivary glands may sometimes be complex, because
of the number of pathological conditions that may affect them, often with
overlapping clinical pictures. Integration of different imaging techniques is
often required in the case of swelling of salivary glands, even though biopsy
remains the gold standard for a definite diagnosis of IgG4-RS. Thus, in this
review, we discuss new insights in the pathogenesis of IgG4-RD, focusing on its
clinical aspects and the tools that are currently available for a correct
differential diagnosis when the salivary glands are involved.
PMID- 29805985
TI - Eriksonian intimacy development, relationship satisfaction, and depression in gay
male couples.
AB - Research suggests connections or links between the mental health of both partners
in a romantic relationship, as partners often report similar mental health
problems, with implications for relationship functioning. The current study
utilized the framework of interdependence theory to explore associations among
intimacy development, as conceptualized by Erikson, relationship satisfaction,
and depression in a sample of 128 same-sex male couples. In each couple, one
partner was recruited first through active or passive outreach conducted online
and in-person, and after completion of the online survey, was then invited to
send his partner a link to the study. The 256 male respondents (mean age = 32.6
years) all reported a U.S. residence and had an average relationship length of
five years. Utilizing the Actor-Partner Interdependence Model, analyses indicated
that participants' intimacy development directly predicted their own relationship
satisfaction (B = 1.84, p< .01) as well as their partner's relationship
satisfaction (B = 1.61, p<.01). Similarly, both the actor (B = -0.04, p< .01) and
partner (B = -0.04, p< .05) effects of relationship satisfaction on depression
were significant. Consistent with the interdependent concept of joint control,
three indirect pathways linked Eriksonian intimacy to depression through
relationship satisfaction. These findings suggest that individual development may
become linked to mental health through pathways involving dyadic functioning.
This pattern implies highly inter-connected links between the intra-personal and
inter-personal, which have implications for mental health intervention with gay
men in relationships.
PMID- 29805986
TI - Essential tremor and depression.
AB - Introduction: Depression and neuropsychiatric disorders in individuals with
essential tremor (ET) are not well characterized in the literature. Methods: We
compared 104 ET subjects with 481 non-ET controls involved in the Arizona Study
of Aging and Neurodegenerative Disorders. An analysis of baseline depression
scales and neuropsychiatric inventory (NPI) was done between the two groups.
Comparisons were made additionally within the ET group based on tremor severity,
duration of tremor and age of onset. Results: There were no significant
differences among each of the scales between the ET and non-ET groups. There were
no significant differences in the ET group above and below the median tremor
duration. Additionally, no differences were found in the ET group based on
objective measures of tremor severity, age of onset, or those with subjectively
distressing tremor compared with those without. Conclusion: There were no
significant differences in depressive symptoms between ET and non-ET groups.
Furthermore, no correlation was found between depressive symptoms in ET groups
based on tremor severity, duration, or age of onset.
PMID- 29805987
TI - Analyses of Intravesicular Exosomal Proteins Using a Nano-Plasmonic System.
AB - Extracellular vesicles (EVs), including exosomes, are nanoscale membrane
particles shed from cells and contain cellular proteins whose makeup could inform
cancer diagnosis and treatment. Most analyses have focused on surface proteins
while analysis of intravesicular proteins has been more challenging. Herein, we
report an EV screening assay for both intravesicular and transmembrane proteins
using a nanoplasmonic sensor. Termed iNPS (intravesicular nanoplasmonic system),
this platform used nanohole-based surface plasmon resonance (SPR) for molecular
detection. Specifically, we i) established a unified assay protocol to detect
intravesicular as well as transmembrane proteins; and ii) engineered plasmonic
substrates to enhance detection sensitivity. The resulting iNPS enabled sensitive
(0.5 MUL sample per marker) and high-throughput (a 10 * 10 array) detection for
EV proteins. When applied to monitor EVs from drug-treated cancer cells, the iNPS
assay revealed drug-dependent unique EV protein signatures. We envision that iNPS
could be a powerful tool for comprehensive molecular screening of EVs.
PMID- 29805988
TI - Isometric multimodal photoacoustic microscopy based on optically transparent
micro-ring ultrasonic detection.
AB - Photoacoustic microscopy (PAM) is an attractive imaging tool complementary to
established optical microscopic modalities by providing additional molecular
specificities through imaging optical absorption contrast. While the development
of optical resolution photoacoustic microscopy (ORPAM) offers high lateral
resolution, the acoustically-determined axial resolution is limited due to the
constraint in ultrasonic detection bandwidth. ORPAM with isometric spatial
resolution along both axial and lateral direction is yet to be developed.
Although recently developed sophisticated optical illumination and reconstruction
methods offer improved axial resolution in ORPAM, the image acquisition
procedures are rather complicated, limiting their capabilities for high-speed
imaging and being easily integrated with established optical microscopic
modalities. Here we report an isometric ORPAM based on an optically transparent
micro-ring resonator ultrasonic detector and a commercial inverted microscope
platform. Owing to the superior spatial resolution and the ease of integrating
our ORPAM with established microscopic modalities, single cell imaging with
extrinsic fluorescence staining, intrinsic autofluorescence, and optical
absorption can be achieved simultaneously. This technique holds promise to
greatly improve the accessibility of PAM to the broader biomedical researchers.
PMID- 29805989
TI - New records of subterranean and spring molluscs (Gastropoda: Hydrobiidae) from
Montenegro and Albania with the description of five new species.
AB - A short overview of subterranean Balkan hydrobid genera, with special focus on
genus Bythiospeum Bourguignat, 1892 and Iglica A.J. Wagner, 1928 is given. In
addition, based on recently collected material in Montenegro (Vitoja Spring) and
Albania (Krume), five new species are described, namely: Bracenica vitojaensis n.
sp., Islamia montenegrina n. sp., Lanzaia pesici n. sp., Bythiospeum szarowskae
n. sp. and Pseudamnicola krumensis n. sp. The holotypes and paratypes are
depicted. In addition a re-description of Bythiospeum gittenbergeri (A. & P.L.
Reischutz, 2008) is given and the types of the Iglica spp. deposited in the NHMW
are depicted, some of them for the first time.
PMID- 29805990
TI - Fabrication of Modularly Functionalizable Microcapsules Using Protein-Based
Technologies.
PMID- 29805991
TI - Genetically Encoded Toolbox for Glycocalyx Engineering: Tunable Control of Cell
Adhesion, Survival, and Cancer Cell Behaviors.
AB - The glycocalyx is a coating of protein and sugar on the surface of all living
cells. Dramatic perturbations to the composition and structure of the glycocalyx
are frequently observed in aggressive cancers. However, tools to experimentally
mimic and model the cancer-specific glycocalyx remain limited. Here, we develop a
genetically encoded toolkit to engineer the chemical and physical structure of
the cellular glycocalyx. By manipulating the glycocalyx structure, we are able to
switch the adhesive state of cells from strongly adherent to fully detached.
Surprisingly, we find that a thick and dense glycocalyx with high O-glycan
content promotes cell survival even in a suspended state, characteristic of
circulating tumor cells during metastatic dissemination. Our data suggest that
glycocalyx-mediated survival is largely independent of receptor tyrosine kinase
and mitogen activated kinase signaling. While anchorage is still required for
proliferation, we find that cells with a thick glycocalyx can dynamically attach
to a matrix scaffold, undergo cellular division, and quickly disassociate again
into a suspended state. Together, our technology provides a needed toolkit for
engineering the glycocalyx in glycobiology and cancer research.
PMID- 29805992
TI - Increased Neuronal Depolarization Evoked by Autoantibodies in Diabetic
Obstructive Sleep Apnea: Role for Inflammatory Protease(s) in Generation of
Neurotoxic Immunoglobulin Fragment.
AB - 11 Aim: Obstructive sleep apnea increases in diabetes and morbid obesity. We
tested a hypothesis that circulating autoantibodies in adult type 2 diabetes
which increase in association with morbid obesity are capable of causing long
lasting neuronal depolarization and altered calcium release in mouse atrial
cardiomyocytes. 12 Methods: Protein-A eluates from plasma of 14 diabetic
obstructive sleep apnea patients and 17 age-matched diabetic patients without
sleep apnea were tested for effects on depolarization and neurite out growth in
N2a mouse neuroblastoma cells. The mechanism of autoantibody-mediated neurite
outgrowth inhibition was investigated in co-incubation experiments of diabetic
obstructive sleep apnea autoantibodies with specific antagonists of G-protein
coupled receptors or the RhoA/Rho kinase signaling pathway. Following long-term
storage of the protein-A eluates (to allow spontaneous proteolysis and IgG
subunit dissociation), plasma autoantibodies from diabetic obstructive sleep
apnea, cancer or control patients were compared for enhancement of inhibitory
effects on endothelial cell survival. Size exclusion chromatography performed (in
the presence or absence of a specific membrane type 1-matrix metalloproteinase
inhibitor) was used to characterize the IgG autoantibody subunit(s) or fragments
associated with peak neurotoxicity in diabetic obstructive sleep apnea. 13
Results: Diabetic obstructive sleep apnea (n = 14) autoantibodies caused a
significant increase (P = 0.01) in membrane depolarization in N2a mouse
neuroblastoma cells compared to control diabetic patients (n = 15) not suffering
with obstructive sleep apnea. Process extension in N2A mouse neuroblastoma cells
was significantly inhibited (P = 0.01) by diabetic obstructive sleep apnea (n =
9) autoantibodies compared to effects from identical 10 MUg/mL concentrations of
control diabetic autoantibodies in patients without obstructive sleep apnea. Ten
micromolar concentrations of SCH-202676, a G-protein coupled receptor antagonist
(n = 5) or ten micromolar concentration of Y27632, a selective Rho kinase
inhibitor (n = 6), each significantly prevented (P < 0.001) neurite outgrowth
inhibition by diabetic obstructive sleep apnea autoantibodies. Autoantibodies in
representative patients with obstructive sleep apnea and either atrial
fibrillation or left ventricular hypertrophy evoked acute large increases in
intracellular Ca2+ in HL-1 mouse atrial cardiomyocytes. The magnitude of
intracellular Ca2+ release was dose-dependently significantly correlated to the
electrocardiographic Cornell voltage-duration product. Gel filtration of diabetic
obstructive sleep apnea autoantibodies revealed peak neurotoxicity associated
with MWs corresponding to IgG light chain dimer(s), monomers or half-light chains
as well as a novel ~ 5.5 kD putative light chain fragment. 14 Conclusions: These
results suggest that diabetic obstructive sleep apnea autoantibodies may induce
strong depolarization in neuronal cells and alter Ca2+ signaling in atrial
cardiomyocytes consistent with a role in pathophysiology in subsets of diabetic
obstructive sleep apnea having co-morbid atrial fibrillation or another
clinically significant cardiac rhythm disturbance.
PMID- 29805994
TI - A Conversation with Claire Komives.
PMID- 29805993
TI - Diabetes Autoantibodies Mediate Neural- and Endothelial Cell- Inhibitory Effects
Via 5-Hydroxytryptamine- 2 Receptor Coupled to Phospholipase C/Inositol
Triphosphate/Ca2+ Pathway.
AB - Aims: To identify the G-protein coupled receptor(s) on neuroblastoma and
endothelial cells which mediate neural- and endothelial cell-inhibitory effects
in plasma autoantibodies from a subset of older type 2 diabetes with neurologic
and vascular co-morbidity. To determine the mechanism(s) of neurite retraction
induced by diabetic pathologies' auto antibodies. Methods: Protein-A eluates from
plasma of 11 diabetic patients having nephropathy, moderate-severe obesity and/or
complications in which increased inflammation plays a role (depression,
Parkinson's disease, atrial fibrillation, obstructive sleep apnea) were tested
for neurite retraction and decreased survival in N2A neuroblastoma cells, and
decreased survival in pulmonary artery endothelial cells. Specific antagonists of
G protein coupled receptors belonging to the G alpha q subfamily of hetero
trimetric G proteins or the phospholipase C/inositol triphosphate/Ca2+ pathway
were tested for modulatory effects on diabetic pathologies' autoantibody-induced
N2A neurite retraction, or cell survival. Results: Co-incubation with specific
antagonists of the 5-hydroxytryptamine- 2A receptor significantly prevented acute
N2A neurite retraction induced by 50-100 nM concentrations of diabetic
pathologies' autoantibodies. Protection against neurite retraction (M100907>
spiperone> ketanserin) closely paralleled the antagonists' potency order at the 5
HT2-AR. Neuroblastoma or endothelial cell death (after 24 hours incubation) with
50-100 nM autoantibodies was completely or nearly completely (91%) prevented by
co-incubation with 200 nM M100907, a highly selective 5-HT2-AR antagonist. Alpha
1 adrenergic, angiotensin II, metabotropic glutamate 5, or endothelin A (100 nM
10uM) receptor antagonists did not substantially inhibit autoantibody-induced
cell death. The intracellular calcium chelator (BAPTA-AM, 50 uM) and inhibitors
of the inositol triphosphate (IP3) receptor (2-APB, 50uM), and phospholipase C
gamma (U73144, 1uM) each significantly protected against autoantibody-induced
acute N2A neurite retraction. Conclusion: These data suggest that neural- and
endothelial- inhibitory effects in autoantibodies from older adult diabetes with
nephropathy and obesity/inflammation-associated complications are mediated by
agonist autoantibodies directed against the 5-hydroxytryptamine 2 receptor
positively coupled to the phospholipase C/inositol triphosphate/ cytosolic Ca2+
release pathway.
PMID- 29805995
TI - Topology Shapes a Search for New Materials.
PMID- 29805996
TI - Tailored Silica Nanomaterials for Immunotherapy.
PMID- 29805997
TI - Inconspicuous Little Allies: How Membrane Lipids Help Modulate Protein Function.
PMID- 29805998
TI - Polyelectrolyte Complexes: Fluid or Solid?
PMID- 29806000
TI - Polymerization-Induced Self-Assembly of Micelles Observed by Liquid Cell
Transmission Electron Microscopy.
AB - In this paper, we describe the use of liquid cell transmission electron
microscopy (LCTEM) for inducing and imaging the formation of spherical micelles
from amphiphilic block copolymers. Within the irradiated region of the liquid
cell, diblock copolymers were produced which self-assembled, yielding a targeted
spherical micellar phase via polymerization-induced self-assembly (PISA).
Critically, we demonstrate that nanoparticle formation can be visualized in situ
and that in the presence of excess monomer, nanoparticle growth occurs to yield
sizes and morphologies consistent with standard PISA conditions. Experiments were
enabled by employing automated LCTEM sample preparation and by analyzing LCTEM
data with multi-object tracking algorithms designed for the detection of low
contrast materials.
PMID- 29805999
TI - Looking at the Disordered Proteins through the Computational Microscope.
AB - Intrinsically disordered proteins (IDPs) have attracted wide interest over the
past decade due to their surprising prevalence in the proteome and versatile
roles in cell physiology and pathology. A large selection of IDPs has been
identified as potential targets for therapeutic intervention. Characterizing the
structure-function relationship of disordered proteins is therefore an essential
but daunting task, as these proteins can adapt transient structure, necessitating
a new paradigm for connecting structural disorder to function. Molecular
simulation has emerged as a natural complement to experiments for atomic-level
characterizations and mechanistic investigations of this intriguing class of
proteins. The diverse range of length and time scales involved in IDP function
requires performing simulations at multiple levels of resolution. In this
Outlook, we focus on summarizing available simulation methods, along with a few
interesting example applications. We also provide an outlook on how these
simulation methods can be further improved in order to provide a more accurate
description of IDP structure, binding, and assembly.
PMID- 29806001
TI - A Ceramide-Regulated Element in the Late Endosomal Protein LAPTM4B Controls Amino
Acid Transporter Interaction.
AB - Membrane proteins are functionally regulated by the composition of the
surrounding lipid bilayer. The late endosomal compartment is a central site for
the generation of ceramide, a bioactive sphingolipid, which regulates responses
to cell stress. The molecular interactions between ceramide and late endosomal
transmembrane proteins are unknown. Here, we uncover in atomistic detail the
ceramide interaction of Lysosome Associated Protein Transmembrane 4B (LAPTM4B),
implicated in ceramide-dependent cell death and autophagy, and its functional
relevance in lysosomal nutrient signaling. The ceramide-mediated regulation of
LAPTM4B depends on a sphingolipid interaction motif and an adjacent aspartate
residue in the protein's third transmembrane (TM3) helix. The interaction motif
provides the preferred contact points for ceramide while the neighboring membrane
embedded acidic residue confers flexibility that is subject to ceramide-induced
conformational changes, reducing TM3 bending. This facilitates the interaction
between LAPTM4B and the amino acid transporter heavy chain 4F2hc, thereby
controlling mTORC signaling. These findings provide mechanistic insights into how
transmembrane proteins sense and respond to ceramide.
PMID- 29806002
TI - Automatic Differentiation in Quantum Chemistry with Applications to Fully
Variational Hartree-Fock.
AB - Automatic differentiation (AD) is a powerful tool that allows calculating
derivatives of implemented algorithms with respect to all of their parameters up
to machine precision, without the need to explicitly add any additional
functions. Thus, AD has great potential in quantum chemistry, where gradients are
omnipresent but also difficult to obtain, and researchers typically spend a
considerable amount of time finding suitable analytical forms when implementing
derivatives. Here, we demonstrate that AD can be used to compute gradients with
respect to any parameter throughout a complete quantum chemistry method. We
present DiffiQult, a Hartree-Fock implementation, entirely differentiated with
the use of AD tools. DiffiQult is a software package written in plain Python with
minimal deviation from standard code which illustrates the capability of AD to
save human effort and time in implementations of exact gradients in quantum
chemistry. We leverage the obtained gradients to optimize the parameters of one
particle basis sets in the context of the floating Gaussian framework.
PMID- 29806003
TI - Overcoming Ovarian Cancer Drug Resistance with a Cold Responsive Nanomaterial.
AB - Drug resistance due to overexpression of membrane transporters in cancer cells
and the existence of cancer stem cells (CSCs) is a major hurdle to effective and
safe cancer chemotherapy. Nanoparticles have been explored to overcome cancer
drug resistance. However, drug slowly released from nanoparticles can still be
efficiently pumped out of drug-resistant cells. Here, a hybrid nanoparticle of
phospholipid and polymers is developed to achieve cold-triggered burst release of
encapsulated drug. With ice cooling to below ~12 degrees C for both burst drug
release and reduced membrane transporter activity, binding of the drug with its
target in drug-resistant cells is evident, while it is minimal in the cells kept
at 37 degrees C. Moreover, targeted drug delivery with the cold-responsive
nanoparticles in combination with ice cooling not only can effectively kill drug
resistant ovarian cancer cells and their CSCs in vitro but also destroy both
subcutaneous and orthotopic ovarian tumors in vivo with no evident systemic
toxicity.
PMID- 29806004
TI - Multivalent Antigen Presentation Enhances the Immunogenicity of a Synthetic Three
Component HIV-1 V3 Glycopeptide Vaccine.
AB - HIV-1 envelope glycoproteins gp120 and gp41 are presented on the virus surface as
a trimer of heterodimer and are the targets of broadly neutralizing antibodies
(bNAbs). We describe here the synthesis and preliminary immunological evaluation
of a three-component trivalent HIV-1 V3 glycopeptide immunogen aiming to raise
glycopeptide epitope-specific antibodies. Click chemistry confers efficient
synthesis of the lipopeptide-glycopeptide conjugate that carries three copies of
HIV-1 JR-FL gp120 V3 glycopeptide with a high-mannose glycan at the N332
glycosylation site. We found that the multivalent presentation substantially
enhanced the immunogenicity of the V3 glycopeptide. The antisera induced by the
three-component multivalent glycopeptide immunogen exhibited stronger binding to
heterologous HIV-1 gp120s and the trimeric gp140s than that from the monovalent
glycopeptide immunogen. The antisera generated from this preliminary rabbit
immunization did not show virus neutralization activity, probably due to the lack
of somatic maturation. The ability to elicit substantial glycopeptide epitope
specific antibodies by the three-component trivalent glycopeptide immunogen
suggests that it could serve as a valuable vaccine component in combination with
other vaccine candidates for further immunization studies.
PMID- 29806005
TI - On-Chip in Situ Monitoring of Competitive Interfacial Anionic Chemisorption as a
Descriptor for Oxygen Reduction Kinetics.
AB - The development of future sustainable energy technologies relies critically on
our understanding of electrocatalytic reactions occurring at the electrode
electrolyte interfaces, and the identification of key reaction promoters and
inhibitors. Here we present a systematic in situ nanoelectronic measurement of
anionic surface adsorptions (sulfates, halides, and cyanides) on ultrathin
platinum nanowires during active electrochemical processes, probing their
competitive adsorption behavior with oxygenated species and correlating them to
the electrokinetics of the oxygen reduction reaction (ORR). The competitive
anionic adsorption features obtained from our studies provide fundamental insight
into the surface poisoning of Pt-catalyzed ORR kinetics by various anionic
species. Particularly, the unique nanoelectronic approach enables highly
sensitive characterization of anionic adsorption and opens an efficient pathway
to address the practical poisoning issue (at trace level contaminations) from a
fundamental perspective. Through the identified nanoelectronic indicators, we
further demonstrate that rationally designed competitive anionic adsorption may
provide improved poisoning resistance, leading to performance (activity and
lifetime) enhancement of energy conversion devices.
PMID- 29806006
TI - Multiscale and Multifunctional Emulsions by Host-Guest Interaction-Mediated Self
Assembly.
AB - Emulsions are widely used in numerous fields. Therefore, there has been
increasing interest in the development of new emulsification strategies toward
emulsions with advanced functions. Herein we report the formation of diverse
emulsions by host-guest interaction-mediated interfacial self-assembly under mild
conditions. In this strategy, a hydrophilic diblock copolymer with one block
containing beta-cyclodextrin (beta-CD) can assemble at the oil/water interface
when its aqueous solution is mixed with an oil phase of benzyl alcohol (BA), by
host-guest interactions between beta-CD and BA. This results in significantly
reduced interfacial tension and the formation of switchable emulsions with easily
tunable droplet sizes. Furthermore, nanoemulsions with excellent stability are
successfully prepared simply via vortexing. The self-assembled oil-in-water
emulsions also show catastrophic phase inversion, which can generate stable
bicontinuous phase and water-in-oil emulsions, thereby further extending phase
structures that can be realized by this host-guest self-assembly approach.
Moreover, the host-guest nanoemulsions are able to engineer different
nanoparticles and microstructures as well as solubilize a diverse array of
hydrophobic drugs and dramatically enhance their oral bioavailability. The host
guest self-assembly emulsification is facile, energetically friendly, and fully
translatable to industry, therefore representing a conceptually creative approach
toward advanced emulsions.
PMID- 29806007
TI - Carbonate-Promoted Hydrogenation of Carbon Dioxide to Multicarbon Carboxylates.
AB - CO2 hydrogenation is a potential alternative to conventional petrochemical
methods for making commodity chemicals and fuels. Research in this area has
focused mostly on transition-metal-based catalysts. Here we show that hydrated
alkali carbonates promote CO2 hydrogenation to formate, oxalate, and other C2+
carboxylates at elevated temperature and pressure in the absence of transition
metal catalysts or solvent. The reactions proceed rapidly, reaching up to 56%
yield (with respect to CO32-) within minutes. Isotope labeling experiments
indicate facile H2 and C-H deprotonations in the alkali cation-rich reaction
media and identify probable intermediates for the C-C bond formations leading to
the various C2+ products. The carboxylate salts are in equilibrium with volatile
carboxylic acids under CO2 hydrogenation conditions, which may enable catalytic
carboxylic acid syntheses. Our results provide a foundation for base-promoted and
base-catalyzed CO2 hydrogenation processes that could complement existing
approaches.
PMID- 29806008
TI - Direct Profiling the Post-Translational Modification Codes of a Single Protein
Immobilized on a Surface Using Cu-free Click Chemistry.
AB - Combinatorial post-translational modifications (PTMs), which can serve as dynamic
"molecular barcodes", have been proposed to regulate distinct protein functions.
However, studies of combinatorial PTMs on single protein molecules have been
hindered by a lack of suitable analytical methods. Here, we describe erasable
single-molecule blotting (eSiMBlot) for combinatorial PTM profiling. This assay
is performed in a highly multiplexed manner and leverages the benefits of
covalent protein immobilization, cyclic probing with different antibodies, and
single molecule fluorescence imaging. Especially, facile and efficient covalent
immobilization on a surface using Cu-free click chemistry permits multiple rounds
(>10) of antibody erasing/reprobing without loss of antigenicity. Moreover,
cumulative detection of coregistered multiple data sets for immobilized single
epitope molecules, such as HA peptide, can be used to increase the antibody
detection rate. Finally, eSiMBlot enables direct visualization and quantitative
profiling of combinatorial PTM codes at the single-molecule level, as we
demonstrate by revealing the novel phospho-codes of ligand-induced epidermal
growth factor receptor. Thus, eSiMBlot provides an unprecedentedly simple, rapid,
and versatile platform for analyzing the vast number of combinatorial PTMs in
biological pathways.
PMID- 29806009
TI - Environmental Processing of Lipids Driven by Aqueous Photochemistry of alpha-Keto
Acids.
AB - Sunlight can initiate photochemical reactions of organic molecules though direct
photolysis, photosensitization, and indirect processes, often leading to complex
radical chemistry that can increase molecular complexity in the environment.
alpha-Keto acids act as photoinitiators for organic species that are not
themselves photoactive. Here, we demonstrate this capability through the reaction
of two alpha-keto acids, pyruvic acid and 2-oxooctanoic acid, with a series of
fatty acids and fatty alcohols. We show for five different cases that a cross
product between the photoinitiated alpha-keto acid and non-photoactive species is
formed during photolysis in aqueous solution. Fatty acids and alcohols are
relatively unreactive species, which suggests that alpha-keto acids are able to
act as radical initiators for many atmospherically relevant molecules found in
the sea surface microlayer and on atmospheric aerosol particles.
PMID- 29806010
TI - Selective CO Production by Photoelectrochemical Methane Oxidation on TiO2.
AB - The inertness of the C-H bond in CH4 poses significant challenges to selective
CH4 oxidation, which often proceeds all the way to CO2 once activated. Selective
oxidation of CH4 to high-value industrial chemicals such as CO or CH3OH remains a
challenge. Presently, the main methods to activate CH4 oxidation include
thermochemical, electrochemical, and photocatalytic reactions. Of them,
photocatalytic reactions hold great promise for practical applications but have
been poorly studied. Existing demonstrations of photocatalytic CH4 oxidation
exhibit limited control over the product selectivity, with CO2 as the most common
product. The yield of CO or other hydrocarbons is too low to be of any practical
value. In this work, we show that highly selective production of CO by CH4
oxidation can be achieved by a photoelectrochemical (PEC) approach. Under our
experimental conditions, the highest yield for CO production was 81.9%. The
substrate we used was TiO2 grown by atomic layer deposition (ALD), which features
high concentrations of Ti3+ species. The selectivity toward CO was found to be
highly sensitive to the substrate types, with significantly lower yield on P25 or
commercial anatase TiO2 substrates. Moreover, our results revealed that the
selectivity toward CO also depends on the applied potentials. Based on the
experimental results, we proposed a reaction mechanism that involves synergistic
effects by adjacent Ti sites on TiO2. Spectroscopic characterization and
computational studies provide critical evidence to support the mechanism.
Furthermore, the synergistic effect was found to parallel heterogeneous CO2
reduction mechanisms. Our results not only present a new route to selective CH4
oxidation, but also highlight the importance of mechanistic understandings in
advancing heterogeneous catalysis.
PMID- 29806011
TI - Molecular Origin of the Glass Transition in Polyelectrolyte Assemblies.
AB - Water plays a central role in the assembly and the dynamics of charged systems
such as proteins, enzymes, DNA, and surfactants. Yet it remains a challenge to
resolve how water affects relaxation at a molecular level, particularly for
assemblies of oppositely charged macromolecules. Here, the molecular origin of
water's influence on the glass transition is quantified for several charged
macromolecular systems. It is revealed that the glass transition temperature (Tg)
is controlled by the number of water molecules surrounding an oppositely charged
polyelectrolyte-polyelectrolyte intrinsic ion pair as 1/Tg ~ ln(nH2O/nintrinsic
ion pair). This relationship is found to be "general", as it holds for two
completely different types of charged systems (pH- and salt-sensitive) and for
both polyelectrolyte complexes and polyelectrolyte multilayers, which are made by
different paths. This suggests that water facilitates the relaxation of charged
assemblies by reducing attractions between oppositely charged intrinsic ion
pairs. This finding impacts current interpretations of relaxation dynamics in
charged assemblies and points to water's important contribution at the molecular
level.
PMID- 29806012
TI - Slow Dynamics around a Protein and Its Coupling to Solvent.
AB - Solvent is essential for protein dynamics and function, but its role in
regulating the dynamics remains debated. Here, we employ saturation transfer
electron spin resonance (ST-ESR) to explore the issue and characterize the
dynamics on a longer (from MUs to s) time scale than has been extensively
studied. We first demonstrate the reliability of ST-ESR by showing that the
dynamical changeovers revealed in the spectra agree to liquid-liquid transition
(LLT) in the state diagram of the glycerol/water system. Then, we utilize ST-ESR
with four different probes to systematically map out the variation in local (site
specific) dynamics around a protein surface at subfreezing temperatures (180-240
K) in 10 mol % glycerol/water mixtures. At highly exposed sites, protein and
solvent dynamics are coupled, whereas they deviate from each other when
temperature is greater than LLT temperature (~190 K) of the solvent. At less
exposed sites, protein however exhibits a dynamic, which is distinct from the
bulk solvent, throughout the temperature range studied. Dominant dynamic
components are thus revealed, showing that (from low to high temperatures) the
overall structural fluctuation, rotamer dynamics, and internal side-chain
dynamics, in turn, dominate the temperature dependence of spin-label motions. The
structural fluctuation component is relatively slow, collective, and independent
of protein structural segments, which is thus inferred to a fundamental dynamic
component intrinsic to protein. This study corroborates that bulk solvent
plasticizes protein and facilitates rather than slaves protein dynamics.
PMID- 29806014
TI - Correction to "Molecular Caging of Graphene with Cyclohexane: Transfer and
Electrical Transport".
AB - [This corrects the article DOI: 10.1021/acscentsci.6b00236.].
PMID- 29806013
TI - Catalyst-Controlled Stereodivergent Synthesis of Atropisomeric Multiaxis Systems.
AB - Molecular scaffolds with multiple rotationally restricted bonds allow a precise
spatial positioning of functional groups. However, their synthesis requires
methods addressing the configuration of each stereogenic axis. We report here a
catalyst-stereocontrolled synthesis of atropisomeric multiaxis systems enabling
divergence from the prevailing stereochemical reaction path. By using ion-pairing
catalysts in arene-forming aldol condensations, a strong substrate-induced
stereopreference can be overcome to provide structurally well-defined helical
oligo-1,2-naphthylenes. The configuration of up to four stereogenic axes was
individually catalyst-controlled, affording quinquenaphthalenes with a unique
topology.
PMID- 29806015
TI - Machine learning reveals cyclic changes in seismic source spectra in Geysers
geothermal field.
AB - The earthquake rupture process comprises complex interactions of stress,
fracture, and frictional properties. New machine learning methods demonstrate
great potential to reveal patterns in time-dependent spectral properties of
seismic signals and enable identification of changes in faulting processes.
Clustering of 46,000 earthquakes of 0.3 < ML < 1.5 from the Geysers geothermal
field (CA) yields groupings that have no reservoir-scale spatial patterns but
clear temporal patterns. Events with similar spectral properties repeat on annual
cycles within each cluster and track changes in the water injection rates into
the Geysers reservoir, indicating that changes in acoustic properties and
faulting processes accompany changes in thermomechanical state. The methods open
new means to identify and characterize subtle changes in seismic source
properties, with applications to tectonic and geothermal seismicity.
PMID- 29806016
TI - Single-molecule analysis reveals the mechanism of transcription activation in M.
tuberculosis.
AB - The sigma subunit of bacterial RNA polymerase (RNAP) controls recognition of the
10 and -35 promoter elements during transcription initiation. Free sigma adopts a
"closed," or inactive, conformation incompatible with promoter binding. The
conventional two-state model of sigma activation proposes that binding to core
RNAP induces formation of an "open," active, sigma conformation, which is optimal
for promoter recognition. Using single-molecule Forster resonance energy
transfer, we demonstrate that vegetative-type sigma subunits exist in open and
closed states even after binding to the RNAP core. As an extreme case, RNAP from
Mycobacterium tuberculosis preferentially retains sigma in the closed
conformation, which is converted to the open conformation only upon binding by
the activator protein RbpA and interaction with promoter DNA. These findings
reveal that the conformational dynamics of the sigma subunit in the RNAP
holoenzyme is a target for regulation by transcription factors and plays a
critical role in promoter recognition.
PMID- 29806017
TI - Chronology of martian breccia NWA 7034 and the formation of the martian crustal
dichotomy.
AB - Martian meteorite Northwest Africa (NWA) 7034 and its paired stones are the only
brecciated regolith samples from Mars with compositions that are representative
of the average martian crust. These samples therefore provide a unique
opportunity to constrain the processes of metamorphism and alteration in the
martian crust, which we have investigated via U-Pu/Xe, 40Ar/39Ar, and U-Th-Sm/He
chronometry. U-Pu/Xe ages are comparable to previously reported Sm-Nd and U-Pb
ages obtained from NWA 7034 and confirm an ancient (>4.3 billion years) age for
the source lithology. After almost 3000 million years (Ma) of quiescence, the
source terrain experienced several hundred million years of thermal metamorphism
recorded by the K-Ar system that appears to have varied both spatially and
temporally. Such protracted metamorphism is consistent with plume-related
magmatism and suggests that the source terrain covered an areal extent comparable
to plume-fed edifices (hundreds of square kilometers). The retention of such
expansive, ancient volcanic terrains in the southern highlands over billions of
years suggests that formation of the martian crustal dichotomy, a topographic and
geophysical divide between the heavily cratered southern highlands and smoother
plains of the northern lowlands, likely predates emplacement of the NWA 7034
source terrain-that is, it formed within the first ~100 Ma of planetary
formation.
PMID- 29806018
TI - Bimodal exciton-plasmon light sources controlled by local charge carrier
injection.
AB - Electrical charges can generate photon emission in nanoscale quantum systems by
two independent mechanisms. First, radiative recombination of pairs of oppositely
charged carriers generates sharp excitonic lines. Second, coupling between
currents and collective charge oscillations results in broad plasmonic bands.
Both luminescence modes can be simultaneously generated upon charge carrier
injection into thin C60 crystallites placed in the plasmonic nanocavity of a
scanning tunneling microscope (STM). Using the sharp tip of the STM as a
subnanometer-precise local electrode, we show that the two types of
electroluminescence are induced by two separate charge transport channels. Holes
injected into the valence band promote exciton generation, whereas electrons
extracted from the conduction band cause plasmonic luminescence. The different
dynamics of the two mechanisms permit controlling their relative contribution in
the combined bimodal emission. Exciton recombination prevails for low charge
injection rates, whereas plasmon decay outshines for high tunneling currents. The
continuous transition between both regimes is described by a rate model
characterizing emission dynamics on the nanoscale. Our work provides the basis
for developing blended exciton-plasmon light sources with advanced
functionalities.
PMID- 29806019
TI - Alternative male morphs solve sperm performance/longevity trade-off in opposite
directions.
AB - Males pursuing alternative reproductive tactics have been predicted to face a
trade-off between maximizing either swimming performance or endurance of their
sperm. However, empirical evidence for this trade-off is equivocal, which may be
due to simplistic assumptions. In the shell-brooding cichlid fish Lamprologus
callipterus, two Mendelian male morphs compete for fertilization by divergent
means: Bourgeois nest males ejaculate sperm, on average, about six times farther
from the unfertilized ova than do parasitic dwarf males. This asymmetry is
opposite to the usual situation, in which bourgeois males typically benefit from
superior fertilization opportunities, suggesting that nest males' sperm should
persist longer than dwarf male sperm. The assumed trade-off between sperm
swimming performance and longevity predicts that, in turn, sperm of dwarf males
should outperform that of nest males in swimming efficiency. Measurement of sperm
performance and endurance reveals that dwarf male spermatozoa swim straighter
initially than those of nest males, but their motility declines earlier and their
velocity slows down more abruptly. Nest male sperm survives longer, which relates
to a larger sperm head plus midpiece, implying more mitochondria. Thus, the trade
off between sperm performance and endurance is optimized in opposite directions
by alternative male morphs. We argue that the relative success of alternative
sperm performance strategies can be influenced strongly by environmental factors
such as the time window between gamete release and fertilization, and the
position of gamete release. This is an important yet little understood aspect of
gametic adaptations to sperm competition.
PMID- 29806020
TI - Poststroke delivery of MANF promotes functional recovery in rats.
AB - Stroke is the most common cause of adult disability in developed countries,
largely because spontaneous recovery is often incomplete, and no pharmacological
means to hasten the recovery exist. It was recently shown that mesencephalic
astrocyte-derived neurotrophic factor (MANF) induces alternative or M2 activation
of immune cells after retinal damage in both fruit fly and mouse and mediates
retinal repair. Therefore, we set out to study whether poststroke MANF
administration would enhance brain tissue repair and affect behavioral recovery
of rats after cerebral ischemic injury. We used the distal middle cerebral artery
occlusion (dMCAo) model of ischemia-reperfusion injury and administered MANF
either as a recombinant protein or via adeno-associated viral (AAV) vector. We
discovered that, when MANF was administered to the peri-infarct region 2 or 3
days after stroke, it promoted functional recovery of the animals without
affecting the lesion volume. Further, AAV7-MANF treatment transiently increased
the number of phagocytic macrophages in the subcortical peri-infarct regions. In
addition, the analysis of knockout mice revealed the neuroprotective effects of
endogenous MANF against ischemic injury, although endogenous MANF had no effect
on immune cell-related gene expression. The beneficial effect of MANF treatment
on the reversal of stroke-induced behavioral deficits implies that MANF-based
therapies could be used for the repair of brain tissue after stroke.
PMID- 29806021
TI - Evolutionary divergence in competitive mating success through female mating bias
for good genes.
AB - Despite heritable variation for univariate sexually selected traits, recent
analyses exploring multivariate traits find evidence consistent with the lek
paradox in showing no genetic variation available to choosy females, and
therefore no genetic benefits of choice. We used the preferences of Drosophila
melanogaster females to exert bidirectional selection on competitive male mating
success to test for the presence and nature of genetic variation underlying this
multivariate trait. Male mating success diverged between selection regimens, and
flies from success-selected lines had a smaller burden of deleterious, recessive
mutations that affect egg-to-adult viability, were better sperm competitors
(sperm offence), and did not demonstrate reduced desiccation resistance or
components of female fitness (traits thought to trade off with attractiveness)
relative to flies from failure-selected populations. Mating success remained
subject to inbreeding depression in success-selected lines, suggesting that
variation in mating success remains, thanks to numerous genes of small effect.
Together, our results provide unique evidence for the evolutionary divergence in
male mating success, demonstrating that genetic variation is not exhausted along
the axis of precopulatory sexual selection and that female mating biases align
with the avoidance of bad genes.
PMID- 29806022
TI - Phosphorus, not nitrogen, limits plants and microbial primary producers following
glacial retreat.
AB - Current models of ecosystem development hold that low nitrogen availability
limits the earliest stages of primary succession, but these models were developed
from studies conducted in areas with temperate or wet climates. Global warming is
now causing rapid glacial retreat even in inland areas with cold, dry climates,
areas where ecological succession has not been adequately studied. We combine
field and microcosm studies of both plant and microbial primary producers and
show that phosphorus, not nitrogen, is the nutrient most limiting to the earliest
stages of primary succession along glacial chronosequences in the Central Andes
and central Alaska. We also show that phosphorus addition greatly accelerates the
rate of succession for plants and for microbial phototrophs, even at the most
extreme deglaciating site at over 5000 meters above sea level in the Andes of
arid southern Peru. These results challenge the idea that nitrogen availability
and a severe climate limit the rate of plant and microbial succession in cold
arid regions and will inform conservation efforts to mitigate the effects of
global change on these fragile and threatened ecosystems.
PMID- 29806023
TI - Carbon dioxide (CO2) levels this century will alter the protein, micronutrients,
and vitamin content of rice grains with potential health consequences for the
poorest rice-dependent countries.
AB - Declines of protein and minerals essential for humans, including iron and zinc,
have been reported for crops in response to rising atmospheric carbon dioxide
concentration, [CO2]. For the current century, estimates of the potential human
health impact of these declines range from 138 million to 1.4 billion, depending
on the nutrient. However, changes in plant-based vitamin content in response to
[CO2] have not been elucidated. Inclusion of vitamin information would
substantially improve estimates of health risks. Among crop species, rice is the
primary food source for more than 2 billion people. We used multiyear,
multilocation in situ FACE (free-air CO2 enrichment) experiments for 18
genetically diverse rice lines, including Japonica, Indica, and hybrids currently
grown throughout Asia. We report for the first time the integrated nutritional
impact of those changes (protein, micronutrients, and vitamins) for the 10
countries that consume the most rice as part of their daily caloric supply.
Whereas our results confirm the declines in protein, iron, and zinc, we also find
consistent declines in vitamins B1, B2, B5, and B9 and, conversely, an increase
in vitamin E. A strong correlation between the impacts of elevated [CO2] on
vitamin content based on the molecular fraction of nitrogen within the vitamin
was observed. Finally, potential health risks associated with anticipated CO2
induced deficits of protein, minerals, and vitamins in rice were correlated to
the lowest overall gross domestic product per capita for the highest rice
consuming countries, suggesting potential consequences for a global population of
approximately 600 million.
PMID- 29806024
TI - Defect-engineered epitaxial VO2+/-delta in strain engineering of heterogeneous
soft crystals.
AB - The success of strain engineering has made a step further for the enhancement of
material properties and the introduction of new physics, especially with the
discovery of the critical roles of strain in the heterogeneous interface between
two dissimilar materials (for example, FeSe/SrTiO3). On the other hand, the
strain manipulation has been limited to chemical epitaxy and nanocomposites that,
to a large extent, limit the possible material systems that can be explored. By
defect engineering, we obtained, for the first time, dense three-dimensional
strongly correlated VO2+/-delta epitaxial nanoforest arrays that can be used as a
novel "substrate" for dynamic strain engineering, due to its metal-insulator
transition. The highly dense nanoforest is promising for the possible realization
of bulk strain similar to the effect of nanocomposites. By growing single
crystalline halide perovskite CsPbBr3, a mechanically soft and emerging
semiconducting material, onto the VO2+/-delta, a heterogeneous interface is
created that can entail a ~1% strain transfer upon the metal-insulator transition
of VO2+/-delta. This strain is large enough to trigger a structural phase
transition featured by PbX6 octahedral tilting along with a modification of the
photoluminescence energy landscape in halide perovskite. Our findings suggest a
promising strategy of dynamic strain engineering in a heterogeneous interface
carrying soft and strain-sensitive semiconductors that can happen at a larger
volumetric value surpassing the conventional critical thickness limit.
PMID- 29806025
TI - Gold nanocrystal labels provide a sequence-to-3D structure map in SAXS
reconstructions.
AB - Small-angle x-ray scattering (SAXS) is a powerful technique to probe the
structure of biological macromolecules and their complexes under virtually
arbitrary solution conditions, without the need for crystallization. While it is
possible to reconstruct molecular shapes from SAXS data ab initio, the resulting
electron density maps have a resolution of ~1 nm and are often insufficient to
reliably assign secondary structure elements or domains. We show that SAXS data
of gold-labeled samples significantly enhance the information content of SAXS
measurements, allowing the unambiguous assignment of macromolecular sequence
motifs to specific locations within a SAXS structure. We first demonstrate our
approach for site-specifically internally and end-labeled DNA and an RNA motif.
In addition, we present a protocol for highly uniform and site-specific labeling
of proteins with small (~1.4 nm diameter) gold particles and apply our method to
the signaling protein calmodulin. In all cases, the position of the small gold
probes can be reliably identified in low-resolution electron density maps.
Enhancing low-resolution measurements by site-selective gold labeling provides an
attractive approach to aid modeling of a large range of macromolecular systems.
PMID- 29806026
TI - Direct observation of grain boundaries in graphene through vapor hydrofluoric
acid (VHF) exposure.
AB - The shape and density of grain boundary defects in graphene strongly influence
its electrical, mechanical, and chemical properties. However, it is difficult and
elaborate to gain information about the large-area distribution of grain boundary
defects in graphene. An approach is presented that allows fast visualization of
the large-area distribution of grain boundary-based line defects in chemical
vapor deposition graphene after transferring graphene from the original copper
substrate to a silicon dioxide surface. The approach is based on exposing
graphene to vapor hydrofluoric acid (VHF), causing partial etching of the silicon
dioxide underneath the graphene as VHF diffuses through graphene defects. The
defects can then be identified using optical microscopy, scanning electron
microscopy, or Raman spectroscopy. The methodology enables simple evaluation of
the grain sizes in polycrystalline graphene and can therefore be a valuable
procedure for optimizing graphene synthesis processes.
PMID- 29806027
TI - Blind prediction of noncanonical RNA structure at atomic accuracy.
AB - Prediction of RNA structure from nucleotide sequence remains an unsolved grand
challenge of biochemistry and requires distinct concepts from protein structure
prediction. Despite extensive algorithmic development in recent years, modeling
of noncanonical base pairs of new RNA structural motifs has not been achieved in
blind challenges. We report a stepwise Monte Carlo (SWM) method with a unique add
and-delete move set that enables predictions of noncanonical base pairs of
complex RNA structures. A benchmark of 82 diverse motifs establishes the method's
general ability to recover noncanonical pairs ab initio, including multistrand
motifs that have been refractory to prior approaches. In a blind challenge, SWM
models predicted nucleotide-resolution chemical mapping and compensatory
mutagenesis experiments for three in vitro selected tetraloop/receptors with
previously unsolved structures (C7.2, C7.10, and R1). As a final test, SWM
blindly and correctly predicted all noncanonical pairs of a Zika virus double
pseudoknot during a recent community-wide RNA-Puzzle. Stepwise structure
formation, as encoded in the SWM method, enables modeling of noncanonical RNA
structure in a variety of previously intractable problems.
PMID- 29806028
TI - Two distinct superconducting pairing states divided by the nematic end point in
FeSe1-x S x.
AB - Unconventional superconductivity often competes or coexists with other electronic
orders. In iron-based superconductors, a central issue has been the relationship
between superconductivity and electronic nematicity, spontaneous breaking of the
lattice rotational symmetry. Using spectroscopic-imaging scanning tunneling
microscopy, we simultaneously investigated the electronic structure and the
superconducting gap in FeSe1-x S x , where the nematicity diminishes above the
nematic end point (NEP) at x = 0.17. The nematic band structure appears as
anisotropic quasiparticle-interference patterns that gradually become isotropic
with increasing x without anomalies at the NEP. By contrast, the superconducting
gap, which is intact in the nematic phase, discontinuously shrinks above the NEP.
This implies that the presence or absence of nematicity results in two distinct
pairing states, whereas the pairing interaction is insensitive to the strength of
nematicity.
PMID- 29806029
TI - High-performance organic light-emitting diodes comprising ultrastable glass
layers.
AB - Organic light-emitting diodes (OLEDs) are one of the key solid-state light
sources for various applications including small and large displays, automotive
lighting, solid-state lighting, and signage. For any given commercial
application, OLEDs need to perform at their best, which is judged by their device
efficiency and operational stability. We present OLEDs that comprise functional
layers fabricated as ultrastable glasses, which represent the thermodynamically
most favorable and, thus, stable molecular conformation achievable nowadays in
disordered solids. For both external quantum efficiencies and LT70 lifetimes,
OLEDs with four different phosphorescent emitters show >15% enhancements over
their respective reference devices. The only difference to the latter is the
growth condition used for ultrastable glass layers that is optimal at about 85%
of the materials' glass transition temperature. These improvements are achieved
through neither material refinements nor device architecture optimization,
suggesting a general applicability of this concept to maximize the OLED
performance, no matter which specific materials are used.
PMID- 29806030
TI - Rapid, noninvasive detection of Zika virus in Aedes aegypti mosquitoes by near
infrared spectroscopy.
AB - The accelerating global spread of arboviruses, such as Zika virus (ZIKV),
highlights the need for more proactive mosquito surveillance. However, a major
challenge during arbovirus outbreaks has been the lack of rapid and affordable
tests for pathogen detection in mosquitoes. We show for the first time that near
infrared spectroscopy (NIRS) is a rapid, reagent-free, and cost-effective tool
that can be used to noninvasively detect ZIKV in heads and thoraces of intact
Aedes aegypti mosquitoes with prediction accuracies of 94.2 to 99.3% relative to
quantitative reverse transcription polymerase chain reaction (RT-qPCR). NIRS
involves simply shining a beam of light on a mosquito to collect a diagnostic
spectrum. We estimated in this study that NIRS is 18 times faster and 110 times
cheaper than RT-qPCR. We anticipate that NIRS will be expanded upon for
identifying potential arbovirus hotspots to guide the spatial prioritization of
vector control.
PMID- 29806031
TI - Large, nonsaturating thermopower in a quantizing magnetic field.
AB - The thermoelectric effect is the generation of an electrical voltage from a
temperature gradient in a solid material due to the diffusion of free charge
carriers from hot to cold. Identifying materials with a large thermoelectric
response is crucial for the development of novel electric generators and coolers.
We theoretically consider the thermopower of Dirac/Weyl semimetals subjected to a
quantizing magnetic field. We contrast their thermoelectric properties with those
of traditional heavily doped semiconductors and show that, under a sufficiently
large magnetic field, the thermopower of Dirac/Weyl semimetals grows linearly
with the field without saturation and can reach extremely high values. Our
results suggest an immediate pathway for achieving record-high thermopower and
thermoelectric figure of merit, and they compare well with a recent experiment on
Pb1-x Sn x Se.
PMID- 29806032
TI - Plasmodium gametocytes display homing and vascular transmigration in the host
bone marrow.
AB - Transmission of Plasmodium parasites to the mosquito requires the formation and
development of gametocytes. Studies in infected humans have shown that only the
most mature forms of Plasmodium falciparum gametocytes are present in
circulation, whereas immature forms accumulate in the hematopoietic environment
of the bone marrow. We used the rodent model Plasmodium berghei to study
gametocyte behavior through time under physiological conditions. Intravital
microscopy demonstrated preferential homing of early gametocyte forms across the
intact vascular barrier of the bone marrow and the spleen early during infection
and subsequent development in the extravascular environment. During the acute
phase of infection, we observed vascular leakage resulting in further parasite
accumulation in this environment. Mature gametocytes showed high deformability
and were found entering and exiting the intact vascular barrier. We suggest that
extravascular gametocyte localization and mobility are essential for
gametocytogenesis and transmission of Plasmodium to the mosquito.
PMID- 29806033
TI - Exploring the Needs, Expectations, and Realities of Mental Healthcare for
Transgender Adults: A Grounded Theory Study on Experiences in Sweden.
AB - Purpose: Transgender persons experience a disproportionate representation in
adverse mental health conditions globally. In Sweden, there are tangible efforts
to improve mental healthcare overall, but transgender persons still struggle with
meeting their mental healthcare needs and there is an absence of understanding
the role of mental healthcare for this population and how services are being
utilized. Thus, the aim of this study was to gain knowledge from transgender
individuals in Sweden concerning their mental healthcare, their needs,
expectations, and realities, regardless of transition status. Methods: Eleven in
depth interviews were conducted with persons who identified as transgender, older
than 18 years, at some stage of transition in Sweden. Data were collected,
analyzed, and interpreted using constructivist ground theory. Results: Three
categories emerged from the analysis, Feeling Objectification Rather than
Subjectivity, Constructing the Narrative, and Reflecting on Aspects of Care that
illustrate the dual tensions at play in transgender visibility, communication
with mental healthcare professionals, and expectations of care. Six subcategories
further delineate the specific forces at work that construct the mental
healthcare experiences for trans persons. Conclusion: Increased knowledge and
visibility of transgender persons are needed to adequately serve the mental
healthcare needs for this population. Currently, there are barriers that inhibit
transgender persons from getting the mental healthcare assistance desired and
needed, as they do not view the healthcare system as safe space. As steps are
being taken to depathologize transgender identities, momentum should be continued
to create space for trans persons that enables unencumbered mental health
assistance.
PMID- 29806034
TI - MnTBAP or Catalase Is More Protective against Oxidative Stress in Human Retinal
Endothelial Cells Exposed to Intermittent Hypoxia than Their Co-Administration
(EUK-134).
AB - Retinopathy of prematurity is a blinding disease that affects extremely low
gestational age neonates. Its etiology is due to extrauterinehyperoxia in an
immature antioxidant system culminating as oxidative stress on the retina. Our
aim is to elucidate the role of pharmacological antioxidants in modulating the
biochemical and molecular response of human retinal microvascular endothelial
cells (HRECs) exposed to oxidative stress. HRECs were treated with MnTBAP [a
superoxide dismutase (SOD) mimetic], catalase, EUK-134 (SOD + catalase), or
saline prior to exposure to normoxia (Nx), hyperoxia (Hx), or intermittent
hypoxia (IH). Media levels of SOD, catalase, glutathione peroxidase (GPx), 8
isoPGF2alpha, and H2O2; cellular SOD and catalase; cellular function (migration
and tube formation); and antioxidant gene expression were assessed.
Pharmacological antioxidants had delayed suppressive effect on 8-isoPGF2alpha.
MnTBAP and catalase were more effective for H2O2 scavenging in the media than co
administration in the form of EUK-134. A delayed response was noted in SOD and
catalase media activity in MnTBAP- and catalase-treated cells, respectively in
50% and IH. MnTBAP had progressively increased media GPx in all oxygen
conditions. Antioxidants resulted in normal, but more abundant tubulogenesis in
IH and Hx. The distinct temporal response to oxidative stress reflected the
respective antioxidant's potency and catalytic properties. The cell permeability
of the antioxidants limited the ability to scavenge intracellular free radicals.
The results support that MnTBAP or catalase may be more effective for the
prevention of oxidative stress in oxygen-induced retinopathy.
PMID- 29806036
TI - Measurement of Mitochondrial Mass by Flow Cytometry during Oxidative Stress.
AB - Properly assessing mitochondrial health is crucial to understand their role in
disease. MitoTracker green (MTG) and nonylacridine orange (NAO) are fluorescent
probes which have been commonly used to assess mitochondrial mass. This is based
on the assumption that both MTG and NAO accumulate in mitochondria regardless of
the mitochondrial transmembrane potential (DeltaPsim). Here, we utilized flow
cytometry to evaluate the performance of these probes for assessment of
mitochondrial mass relative to forward (FSC) and side scatter (SSC) in human
peripheral blood lymphocytes (PBL). In isolated mitochondria, two subpopulations
were identified by FSC and SSC measurements which were matched to subpopulations
stained by MTG and NAO. The performance of these dyes was examined under
oxidative and nitrosative stress induced by rotenone and NOC-18 while N
acetylcysteine (NAC) was employed as an antioxidant. Production of reactive
oxygen species (ROS) and DeltaPsim were monitored in parallel. With respect to
representation of mitochondrial mass, neither MTG nor NAO was affected by
DeltaPsim. However, MTG showed significant correlation with cytosolic and
mitochondrial ROS production and nitrosative stress. Our data suggest that NAO
may be more suitable than MTG for assessment of mitochondrial mass by flow
cytometry during oxidative stress.
PMID- 29806035
TI - Chronic Intermittent Hypoxia Causes Lipid Peroxidation and Altered Phase 1 Drug
Metabolizing Enzymes in the Neonatal Rat Liver.
AB - Critically ill preterm neonates requiring oxygen therapy often experience
frequent apneas with intermittent hypoxia (IH). IH-induced oxidative stress
causes lipid peroxidation, which targets the liver and contributes to toxic drug
reactions. We tested the hypothesis that incremental IH episodes induce oxidative
damage in the neonatal liver and alter the expression of genes that regulate drug
metabolism. Newborn rats were exposed to increasing IH episodes (12% O2) during
hyperoxia (50% O2), or placed in room air (RA) until postnatal day 21 (P21) for
recovery from IH (IHR). RA littermates served as controls, and pups exposed to
50% O2 served as hyperoxia controls. Hepatic histopathology, biomarkers of
oxidative stress and oxidative DNA damage, antioxidants, and expression of genes
that regulate drug metabolism were assessed. Oxidative stress and DNA damage,
evidenced by 8-isoprostaglandin F2alpha (8-isoPGF2alpha) and 8-hydroxy-2'
deoxyguanosine (8-OH-dG), respectively, increased as a function of IH episodes,
and was associated with decreased superoxide dismutase (SOD) and increased
catalase activities. Pathological changes including cellular swelling, steatosis,
necrosis, and focal sinusoid congestion were seen in IH, but not in IHR.
Similarly, IH was associated with upregulation of several genes involved in DNA
repair, which were downregulated during IHR. Of the genes involved in drug
metabolism, aldehyde dehydrogenases (involved in lipid peroxidation) and
cytochrome P450 (CYP) genes of the 2C family (involved in oxidative stress) were
robustly upregulated both in IH and in IHR. Hepatic oxidative stress and lipid
peroxidation occurring in response to chronic IH have implications for preterm
infants, and may explain, in part, the pharmacokinetic variations and drug
toxicities in this vulnerable population.
PMID- 29806037
TI - Age 23 years + oral health questionnaire in Avon Longitudinal Study of Parents
and Children.
AB - Oral health data in large longitudinal cohort studies is rarely collected at
multiple time-points. This type of data is important for assessing oral health
trajectories and their determinants. This data resource includes self-report
questionnaire data on up to 4,222 young adults at approximately 23 years of age
from the Avon Longitudinal Study of Parents and Children (ALSPAC). The resource
includes questions on dental attendance, tooth restorations and extractions,
third molars (wisdom teeth) and mouth ulcers. It follows on from similar
questionnaires at ages 7, 10 and 17 years. The ALSPAC study includes extensive
phenotype, genetic, epigenetic and metabolomic data from the participants
included in this questionnaire plus their mothers and fathers.
PMID- 29806038
TI - Ethical considerations in Controlled Human Malaria Infection studies in low
resource settings: Experiences and perceptions of study participants in a malaria
Challenge study in Kenya.
AB - Background: The range and amount of volunteer infection studies, known as
Controlled Human Infection Model (CHMI) studies, in Low-Middle Income Countries
(LMICs) is increasing with rapid technological advancement, world-class
laboratory facilities and increasing capacity development initiatives. However,
the ethical issues these studies present in LMICs have not been empirically
studied. We present findings of a descriptive social science study nested within
a malaria volunteer infection study, on-going at the time of writing, at the
KEMRI-Wellcome Trust Research Programme (KWTRP) on the Kenyan Coast. Methods: The
study included non-participant observations, five group discussions with more
than half of the CHMI study participants, two in-depth interviews with study team
members, and an exit questionnaire administered to the participants. Results:
Participants understood the key elements of the study, including that they would
be deliberately infected with malaria parasites and may get malaria as a result,
there would be regular blood draws, and they would spend up to 24 days in a
residence facility away from their homes. The greatest motivation for
participation was the monetary compensation of 20 USD per overnight stay given as
a lump-sum at the end of their residency stay. Also appreciated were the health
screening tests prior to enrolment and the positive relations with the study
team. Concerns raised included the amount and regularity of blood draws
experienced, and concerns that this type of research may feed into on-going
rumours about research generally. Conclusion: With the increasing range and
number of CHMI studies being conducted in LMICs, current ethical guidance for
more than minimal risk studies is inadequate. This study highlights some of the
ethical issues that could emerge in these settings, emphasizing the heavy
responsibility placed on research review and regulatory systems, researchers and
funders, as well as the importance of carefully tailored community engagement and
consent processes.
PMID- 29806039
TI - Operational challenges in conducting a community-based technology-enabled mental
health services delivery model for rural India: Experiences from the SMART Mental
Health Project.
AB - Background: There are large gaps in the delivery of mental health care in low-
and middle-income countries such as India, and the problems are even more acute
in rural settings due to lack of resources, remoteness, and lack of
infrastructure, amongst other factors. The Systematic Medical Appraisal Referral
and Treatment (SMART) Mental Health Project was conceived as a mental health
services delivery model using technology-based solutions for rural India. This
paper reports on the operational strategies used to facilitate the implementation
of the intervention. Method: Key components of the SMART Mental Health Project
included delivering an anti-stigma campaign, training of primary health workers
in screening, diagnosing and managing stress, depression and increased suicide
risk and task sharing of responsibilities in delivering care; and using mobile
technology based electronic decision support systems to support delivery of
algorithm based care for such disorders. The intervention was conducted in 42
villages across two sites in the state of Andhra Pradesh in south India. A pre
post mixed methods evaluation was done, and in this paper operational challenges
are reported. Results: Both quantitative and qualitative results from the
evaluation from one site covering about 5000 adults showed that the intervention
was feasible and acceptable, and initial results indicated that it was beneficial
in increasing access to mental health care and reducing depression and anxiety
symptoms. A number of strategies were initiated in response to operational
challenges to ensure smoother conduct of the project and facilitated the project
to be delivered as envisaged. Conclusions: The operational strategies initiated
for this project were successful in ensuring the delivery of the intervention.
Those, coupled with other more systematic processes have informed the researchers
to understand key processes that need to be in place to develop a more robust
study, that could eventually be scaled up.
PMID- 29806040
TI - Reply: Apoptosis, A Double-Edge Sword!
PMID- 29806041
TI - A blueprint for human whole-cell modeling.
AB - Whole-cell dynamical models of human cells are a central goal of systems biology.
Such models could help researchers understand cell biology and help physicians
treat disease. Despite significant challenges, we believe that human whole-cell
models are rapidly becoming feasible. To develop a plan for achieving human whole
cell models, we analyzed the existing models of individual cellular pathways,
surveyed the biomodeling community, and reflected on our experience developing
whole-cell models of bacteria. Based on these analyses, we propose a plan for a
project, termed the Human Whole-Cell Modeling Project, to achieve human whole
cell models. The foundations of the plan include technology development,
standards development, and interdisciplinary collaboration.
PMID- 29806042
TI - Performance of Machine Learning Algorithms for Qualitative and Quantitative
Prediction Drug Blockade of hERG1 channel.
AB - Drug-induced abnormal heart rhythm known as Torsades de Pointes (TdP) is a
potential lethal ventricular tachycardia found in many patients. Even newly
released anti-arrhythmic drugs, like ivabradine with HCN channel as a primary
target, block the hERG potassium current in overlapping concentration interval.
Promiscuous drug block to hERG channel may potentially lead to perturbation of
the action potential duration (APD) and TdP, especially when with combined with
polypharmacy and/or electrolyte disturbances. The example of novel anti
arrhythmic ivabradine illustrates clinically important and ongoing deficit in
drug design and warrants for better screening methods. There is an urgent need to
develop new approaches for rapid and accurate assessment of how drugs with
complex interactions and multiple subcellular targets can predispose or protect
from drug-induced TdP. One of the unexpected outcomes of compulsory hERG
screening implemented in USA and European Union resulted in large datasets of
IC50 values for various molecules entering the market. The abundant data allows
now to construct predictive machine-learning (ML) models. Novel ML algorithms and
techniques promise better accuracy in determining IC50 values of hERG blockade
that is comparable or surpassing that of the earlier QSAR or molecular modeling
technique. To test the performance of modern ML techniques, we have developed a
computational platform integrating various workflows for quantitative structure
activity relationship (QSAR) models using data from the ChEMBL database. To
establish predictive powers of ML-based algorithms we computed IC50 values for
large dataset of molecules and compared it to automated patch clamp system for a
large dataset of hERG blocking and non-blocking drugs, an industry gold standard
in studies of cardiotoxicity. The optimal protocol with high sensitivity and
predictive power is based on the novel eXtreme gradient boosting (XGBoost)
algorithm. The ML-platform with XGBoost displays excellent performance with a
coefficient of determination of up to R2 ~0.8 for pIC50 values in evaluation
datasets, surpassing other metrics and approaches available in literature.
Ultimately, the ML-based platform developed in our work is a scalable framework
with automation potential to interact with other developing technologies in
cardiotoxicity field, including high-throughput electrophysiology measurements
delivering large datasets of profiled drugs, rapid synthesis and drug development
via progress in synthetic biology.
PMID- 29806043
TI - Twenty-five years after "Wingspread"- Environmental endocrine disruptors (EDCs)
and human health.
AB - The aim of this paper is to provide the reader with a view of the Endocrine
Disruptor Chemical (EDC) research field and its relevance to human health. My
perspective is from working on the effects of EDCs that act via the androgen (A)
or estrogen (E) signaling pathways in a regulatory agency for the last four
decades with the objective of producing data that risk assessors could use to
reduce the uncertainty in risk assessment. In vitro and in vivo data from our
studies has contributed to regulatory agencies decision-making since the 1990s
(https://www3.epa.gov/pesticides/chem_search/cleared_reviews/csr_PC-113201_7-Apr
98_238.pdf). From the start, we were evaluating the utility of in vitro and short
term in vivo effects to predict the adverse effects in developing animals [1; 2].
This approach has expanded greatly to include what is now known as Adverse
Outcome Pathways (AOP) and networks (AOPn) [3; 4]. The AOP framework for the
effects of chemicals that disrupt androgen signaling during sexual
differentiation of the fetal male rat provides biological context for
extrapolating mechanistic information from in vitro and in vivo assays in rodents
to other species including humans. Such an approach has biological validity
because the E and A pathways are highly conserved in vertebrates, including
humans and laboratory animals.
PMID- 29806044
TI - Treatment of Geographic Atrophy with Intravitreal Sirolimus: The Age-Related Eye
Disease Study 2 Ancillary Study.
AB - Objective/Purpose: To evaluate efficacy and safety of monthly intravitreal
injections of sirolimus, an immunosuppressive drug, for the treatment of age
related macular degeneration associated geographic atrophy (GA). Design:
Randomized, controlled, single-masked multi-center phase 2 clinical trial of
intravitreal sirolimus vs. sham therapy in AREDS2 clinical centers. Subjects:
Participants with GA. Methods: Participants eligible in one eye were randomly
assigned to a monthly intravitreal injection of sirolimus (20 uL [440 ug]) or
sham treatment while participants with two study eyes were assigned to a monthly
intravitreal injection in a randomly-selected eye. Best-corrected visual acuities
(BVCA), spectral domain optical coherence tomography (OCT), fundus color
photography and fundus autofluorescence (FAF) images were obtained at baseline
and every 6 months until visit month 24. Main Outcome Measures: Rate of
progression of GA (mm2/year) measured on color fundus photograph from baseline to
24 months. Secondary outcome measures include change in BVCA, worsening of vision
by >=3 lines, and changes in area of GA measured on FAF and OCT. Results: 52
participants (mean age 79 years) were enrolled with 27 study eyes assigned to
sirolimus from May 2012 to March 2014. The baseline median area of GA was 4.73 DA
(12.01 mm2). The mean (standard deviation) growth rates of GA detected on color
fundus photographs were 2.27 (2.17) mm2 and 1.91 (2.27) mm2 at month 12, and 4.94
(2.96) mm2 and 5.72 (3.97) mm2 at month 24, for the sirolimus and sham groups,
respectively. There was no statistically significant difference in the GA growth
rates between the two treatment groups (P=0.33). Median visual acuity changes and
incidence of 15-letter loss from baseline were not different between the 2
treatment groups (p=0.19). The intervention was stopped early because of sterile
endophthalmitis that occurred in 3 participants in the sirolimus group.
Participants were followed for safety until the study was closed in May 2015 due
to lack of efficacy. Conclusion: Sirolimus did not result in different rates of
GA growth in this phase 2 study. Immunosuppression may be important for some
stages of the AMD process but may not necessarily be the main pathway for the
development of GA.
PMID- 29806045
TI - Prostate Cancer Screening Trends After United States Preventative Services Task
Force Guidelines in an Underserved Population.
AB - Purpose: Prostate cancer screening is a controversial topic. We examined trends
in Prostate Specific Antigen (PSA) testing in an underserved population before
and after the United States Preventative Services Task Force (USPSTF)
recommendation against screening. Methods: Data were collected on all PSA and
cholesterol screening tests from 2008 to 2014. We examined the trend of these
tests and prostate biopsies while comparing this data to lipid panel data to
adjust for changes in patient population. Results: A decrease in PSA screening
was observed from 2010 through 2014, with the greatest decline in 2012. The age
group most affected was patients aged 55-69 years. The amount of prostate
biopsies during this period decreased as well. Conclusions: Decreased rates of
PSA screening were observed in our urban hospital population that preceded the
publication of the USPSTF guidelines. The incidence of prostate biopsies
decreased in this timeframe. It now remains to be demonstrated whether decreased
PSA screening rates impact the diagnosis of and ultimately the survival from
prostate cancer.
PMID- 29806046
TI - Patient Activation Mediates Health Literacy Associated with Hospital Utilization
among Whites.
AB - Background: Reducing the 30-day hospital readmission rate is a national priority
and patient activation has emerged as a modifiable target to reduce hospital
readmissions. Objective: Prior studies demonstrate that low patient activation
and low health literacy are each associated with higher rates of hospital
utilization. The aim of this study was to use path analysis methods to assess if
patient activation mediates the relationship between health literacy and hospital
utilization in the 30 days after discharge. Design and Participants: We performed
a secondary analysis of data from a randomized controlled trial of patients
receiving care at an urban safety net hospital. Path analyses were used to assess
patient activation as a mediator of the relationship of education and health
literacy with 30-day hospital utilization. The final model was stratified by race
and ethnicity. Measure: 30 day Hospital Utilization. Results: In the overall
study sample, a one standard deviation (SD) higher patient activation measure
(PAM) score was associated with 18% reduced odds of hospital utilization (odds
ratio (OR) 0.82, 95% confidence interval (CI): 0.73, 0.91, p=<0.001). PAM
mediated the relationship between education level and health literacy and
hospital utilization. When stratified by race, the mediating effect of PAM was
evident among Whites, but not among non-Whites. Specifically, a one SD higher PAM
score was significantly associated with a 33% reduced odds of utilization among
Whites (OR 0.67, 95% CI: 0.57, 0.79, p<0.001). With the inclusion of PAM in the
model, there was no direct relationship between either health literacy or
education and 30-day hospital utilization. Conclusion: Patient activation is only
associated with hospital utilization among Whites. Further research is needed to
assess if this selective protection is seen in other cohorts. Potential
interventions to reduce hospital readmissions may need to consider other
modifiable factors in racially and ethnically diverse populations.
PMID- 29806047
TI - CROMqs: an infinitesimal successive refinement lossy compressor for the quality
scores.
AB - Massive amounts of sequencing data are being generated thanks to advances in
sequencing technology and a dramatic drop in the sequencing cost. Much of the
data are comprised of nucleotides and the corresponding quality scores that
indicate their reliability. The latter are more difficult to compress and are
themselves noisy. As a result, lossy compression of the quality scores has
recently been proposed to alleviate the storage costs. Further, it has been shown
that lossy compression, at some specific rates, can achieve a performance on
variant calling similar to that achieved with the lossless compressed data. We
propose CROMqs, a new lossy compressor for the quality scores with the property
of "infinitesimal successive refinability". This property allows the decoder to
decompress the data iteratively without the need of agreeing with the encoder on
a specific rate prior to compression. This characteristic is particularly
amenable in practice, as in most cases the appropriate rate at which the lossy
compressor should operate can not be established prior to compression. Further,
this property can be of interest in scenarios involving streaming of genomic
data. CROMqs is the first infinitesimal successive refinement lossy compressor
for the quality scores in the literature, and we show that it obtains a
comparable rate-distortion performance to previously proposed algorithms.
Moreover, we also show that CROMqs achieves a comparable performance on variant
calling to that of the lossless compressed data.
PMID- 29806048
TI - A demonstration of structured-illumination-based technique using commercial
surgical endoscope.
AB - An integration of commercial surgical endoscope using structured illumination
technique for three-dimensional reconstruction was performed on biological
samples with a depth of field of 20 mm and a relative accuracy of 0.1%.
PMID- 29806049
TI - The Current State of FLT3 Inhibition in Acute Myeloid Leukemia - Pitfalls and
Promises.
PMID- 29806050
TI - Roles of the Angiotensin System in Neonatal Lung Injury and Disease.
AB - The renin-angiotensin system (RAS) has long been known as a regulator of blood
pressure and fluid homeostasis. In past several decades, local renin-angiotensin
systems have been discovered in various tissues and novel actions of angiotensin
II (ANGII) have emerged as an immunomodulator and profibrotic molecule. The
enzyme responsible for its synthesis, angiotensin-converting-enzyme (ACE), is
present in high concentrations in lung tissue. ACE cleaves angiotensin I (ANG I)
to generate angiotensin II (ANGII), whereas ACE2 inactivates ANGII and is a
negative regulator of the system. The RAS has been implicated in the pathogenesis
of pulmonary hypertension, acute lung injury and experimental lung fibrosis.
Recent studies in animal and humans indicate that the RAS also plays a critical
role in fetal and neonatal lung diseases. Further investigations are needed to
better understand the role of RAS, ACE and ACE-2 in neonatal lung injury. With
more clarity and understanding, the RAS and/or ACE-2 may ultimately prove to
constitute potential therapeutic targets for the treatment of neonatal lung
diseases. This manuscript reviews the evidence supporting a role for RAS in
neonatal lung injury and discusses new possibilities for therapeutic approaches.
PMID- 29806051
TI - Mutation profiling of 16 candidate genes in de novo acute myeloid leukemia
patients.
AB - This retrospective analysis aimed to investigate the mutation profile of 16
common mutated genes in de novo acute myeloid leukemia (AML) patients. A total of
259 patients who were diagnosed of de novo AML were enrolled in this study.
Mutation profiling of 16 candidate genes were performed in bone marrow samples by
using Sanger sequencing.We identified at least 1 mutation in 199 of the 259
samples (76.8%), and 2 or more mutations in 31.7% of samples. FLT3-ITD was the
most common mutated gene (16.2%, 42/259), followed by CEBPA (15.1%, 39/259), NRAS
(14.7%, 38/259), and NPM1 (13.5%, 35/259). Concurrence was observed in 97.1% of
the NPM1 mutated cases and in 29.6% of the double mutated CEBPA cases. Distinct
patterns of co-occurrence were observed for different hotspot mutations within
the IDH2 gene: R140 mutations were associated with NPM1 and/or FLT3-ITD
mutations, whereas R172 mutations co-occurred with DNMT3A mutations only.
Concurrence was also observed in 86.6% of epigenetic regulation genes, most of
which co-occurred with NPM1 mutations. The results showed certain rules in the
mutation profiling and concurrence of AML patients, which was related to the
function classification of genes. Defining the mutation spectrum and mutation
pattern of AML will contribute to the comprehensive assessment of patients and
identification of new therapeutic targets.
PMID- 29806052
TI - Extreme weather event may induce Microcystis blooms in the Qiantang River,
Southeast China.
AB - A severe cyanobacterial bloom in the mainstem of a large Chinese river was first
reported from China. The Qiantang River is the longest river in the Zhejiang
province, southeast China. It provides drinking water supply to ~ 16 million
people, including Hangzhou city. Fifteen sites along the Qiantang River
(including upper, middle (Fuchunjiang Reservoir), and lower reaches and
tributaries) were sampled between August 13 and September 9, 2016 to conduct a
preliminary examination of the outbreak of Microcystis blooms. Laboratory
investigation revealed that Microcystis spp. are dominant in the Fuchunjiang
Reservoir (an overflow reservoir on the mainstem of the Qiantang River) with an
extremely high cell density of 2.3 * 108 cells/L, leading to a severe bloom in
the mainstem of the Qiantang River. Investigations of the meteorological,
hydrological, and nutrient characteristics associated with the bloom indicated
that extremely dry (6.8 mm rainfall from August 13 to September 9, 2016) and hot
(32 consecutive days of temperatures > 30 degrees C from July 20 to August 31,
2016) weather might be the key factors triggering the bloom. Additionally, the
extremely low flow of the tributary, Lanjiang River (142 +/- 56 m3/s from August
13 to September 9), and its high nutrient background, favored the bloom. While
nutrient reductions are important, the most immediate and effective management
approach might be to implement appropriate minimal flow conditions to mitigate
the blooms.
PMID- 29806054
TI - Complications in patients with intramedullary nails: a case series from a single
Cambodian surgical clinic.
AB - PURPOSE: Since its development in 1999, the SIGN nail has been used in over
190,000 surgeries spanning 55 countries. To date, however, evaluation of SIGN
nail outcomes has been limited to small prospective studies or large
retrospective studies using SIGN's online database. This study uses the
experience of a single, independent Cambodian surgical clinic to characterize
common complications, provide commentary on ways to reduce the risk of those
complications, and determine whether several observed nail fractures were due to
metallurgic defects. METHODS: Clinic medical records were queried to identify
complications in patients with SIGN nails. Data was abstracted including age,
sex, mechanism of injury, and latency between injury, primary implantation, and
presentation with a complication. Two nails that fractured in vivo were analyzed
by light microscopy, scanning electron microscopy, and polarized light microscopy
after chemical etching. RESULTS: Fifty-four complications in 51 patients were
identified. The most common complications were non-union (n = 26, 48%), infection
(n = 16, 30%), flexion limitation (n = 11, 20%), nail fracture (n = 4, 7%),
delayed union (n = 4, 7%), and malunion (n = 4, 7%). Other complications included
broken or floating screws. Fractography revealed that two of the fractured nails
most likely failed by fatigue followed by fast fracture at the site of non-union.
We found no evidence of intrinsic nail defects. We identified multiple
inconsistencies between SIGN's database and independent clinic records.
CONCLUSIONS: Non-union and infection were common relative to all complications.
Based on radiographic review, risk for non-union and malunion can be minimized by
selecting an appropriate nail diameter, using multiple interlocking screws, and
employing the correct implant and approach for fracture morphology when using
SIGN nails. Nail fractures were unlikely to be caused by metallurgical flaws.
Further study is necessary to determine the appropriate management of non-unions
based on radiographic and clinical factors.
PMID- 29806053
TI - Optimizing bone cement stiffness for vertebroplasty through biomechanical effects
analysis based on patient-specific three-dimensional finite element modeling.
AB - Vertebroplasty is a common and effective treatment for symptomatic osteoporotic
vertebral compression fractures. However, the cemented and adjacent vertebras
have a risk of recollapse due to largely unassured mechanisms, among which
excessive stiffness of bone cement may be an important risk factor. This study
aimed to find the most appropriate range of bone cement stiffness by analyzing
its biomechanical effects on the augmented and adjacent vertebras of individual
patient after vertebroplasty. A three-dimensional finite element model of T11-L1
osteoligamentous vertebras was reconstructed according to individual computed
tomography data and validated by post mortem human subject experiment in
literatures. Bone cement of varying stiffness was injected into the trabecular
core of the T12 vertebra simulatively. The maximum von Mises stresses on
cancellous and cortical bones of T11-L1 vertebras were analyzed under the loading
conditions of flexion, extension, bending, and torsion. For the adjacent T11 and
L1 vertebras, the stepwise elevation of the bone cement elastic modulus increased
the maximum von Mises stress on the cancellous bone, but its effect on cortical
bone was negligible. For the augmented T12 vertebra, the stresses on cancellous
bone increased slightly under the loading condition of lateral bending and
remained no impact on cortical bone. The linear interpolation revealed that the
most suitable range of cement elastic modulus is 833.1 and 1408.1 Mpa for this
patient. Increased elastic modulus of bone cement may lead to a growing risk of
recollapse for the cemented vertebra as well as the adjacent vertebras. Our study
provides a fresh perspective in clinical optimization of individual therapy in
vertebroplasty. Graphical abstract ?.
PMID- 29806055
TI - Technological innovation in orthopaedic surgery: balancing innovation and science
with clinical and industry interests.
PMID- 29806056
TI - Arthroscopic patellar release allows timely return to performance in professional
and amateur athletes with chronic patellar tendinopathy.
AB - PURPOSE: Return to sports rates in amateur and professional athletes with chronic
patellar tendinopathy following arthroscopic patellar release are unpredictable.
The present study aims to analyse the effectiveness of arthroscopic patellar
release in professional compared to amateur athletes. METHODS: A total of 34
amateur and 20 professional athletes with chronic patellar tendinopathy,
refractory to conservative treatment, were studied prospectively and underwent
arthroscopic tendon release at the inferior patellar pole. Impact of grouped
sports on clinical and functional outcome, subjective patient satisfaction and
return to sports rates were assessed. Additionally, preoperative MRI-scans of the
knee were evaluated and correlated with clinical outcome. RESULTS: In 40 patients
(74.1%) arthroscopic patellar release resulted in complete recovery and return to
preinjury exercise levels. Full return to sports was achieved after a median of
3.0 (range 0.5-12.0) months. Functional outcome measures VISA-P (Victorian
Institute of sport assessment for patella) and modified Blazina scores improved
significantly from pre- to postoperatively (VISA-P: 48.8 vs. 94.0 pts.,
respectively, p < 0.0001; Blazina: 4.47 vs. 0.5, respectively, p < 0.0001).
CONCLUSION: As rapid recovery and timely return to sports are crucial for
professional athletes, arthroscopic patellar release should be considered after
failed conservative treatment. LEVEL OF EVIDENCE: IV.
PMID- 29806057
TI - Transthoracic echocardiography and mortality in sepsis: analysis of the MIMIC-III
database.
AB - PURPOSE: While the use of transthoracic echocardiography (TTE) in the ICU is
rapidly expanding, the contribution of TTE to altering patient outcomes among ICU
patients with sepsis has not been examined. This study was designed to examine
the association of TTE with 28-day mortality specifically in that population.
METHODS AND RESULTS: The MIMIC-III database was employed to identify patients
with sepsis who had and had not received TTE. The statistical approaches utilized
included multivariate regression, propensity score analysis, doubly robust
estimation, the gradient boosted model, and an inverse probability-weighting
model to ensure the robustness of our findings. Significant benefit in terms of
28-day mortality was observed among the TTE patients compared to the control (no
TTE) group (odds ratio = 0.78, 95% CI 0.68-0.90, p < 0.001). The amount of fluid
administered (2.5 vs. 2.1 L on day 1, p < 0.001), use of dobutamine (2% vs. 1%, p
= 0.007), and the maximum dose of norepinephrine (1.4 vs. 1 mg/min, p = 0.001)
were significantly higher for the TTE patients. Importantly, the TTE patients
were weaned off vasopressors more quickly than those in the no TTE group
(vasopressor-free days on day 28 of 21 vs. 19, p = 0.004). CONCLUSION: In a
general population of critically ill patients with sepsis, use of TTE is
associated with an improvement in 28-day mortality.
PMID- 29806058
TI - Prolactin system in the hippocampus.
AB - Among the more than 300 biological actions described for prolactin, its role in
the neurogenic capacity of the hippocampus, which increases synaptogenesis and
neuronal plasticity, consolidates memory and acts as a neuronal protector against
excitotoxicity-effects mediated through its receptors are more recently known.
The detection of prolactin in the hippocampus and its receptors, specifically in
the Ammon's horn and dentate gyrus, opened up a new field of study on the
possible neuroprotective effect of hormones in a structure involved in learning
and memory, as well as in emotional and behavioral processes. It is currently
known, although controversial, that prolactin may be related to sex and age and
that the hormone could be synthesized in the hippocampus itself. However, the
regulatory mechanisms of changes in prolactin or in its hippocampal receptors
still remain unknown. This review introduces the reader to general aspects
concerning prolactin and its receptors and to what is currently known about the
role prolactin plays in the brain and, in particular, in the hippocampus.
PMID- 29806059
TI - Relationship between multifaceted body image and negative affect among women
undergoing mastectomy for breast cancer: a longitudinal study.
AB - The purpose of the current study was to investigate how post-surgery multifaceted
body image predicts negative affect (NA) 6 months post-surgery among women
undergoing mastectomy. In total, 310 Chinese women undergoing mastectomy were
recruited from a hospital in the Hunan province between 2012 and 2013. Upon
enrollment (T1), all women were administered the Chinese version of Body Image
after Breast Cancer Questionnaire (BIBCQ) (BIBCQ-C), NA subscale of Positive and
Negative Affect Schedule (PANAS), Multidimensional Scale of Perceived Social
Support (MSPSS), Hamilton Anxiety Scale (HAMA), and Hamilton Depression Scale
(HAMD). Two weeks later, BIBCQ-C was re-administered. Six months later (T2), the
NA subscale was administered again. We first evaluated the psychometric
properties of BIBCQ-C, and then investigated the long-term impact of different
aspects of body image on NA using forced entry hierarchical regression analyses.
The BIBCQ-C scores demonstrated acceptable internal consistency (all Cronbach's
alpha > 0.70) and test-retest reliability (all ICC > 0.86). Confirmatory factor
analysis supported the six-factor model (CFI = 0.93, TLI = 0.94, RMSEA = 0.04).
Regression analysis showed that two dimensions of body image, vulnerability (beta
= 0.217) and body concern (beta = 0.119) at T1, significantly predict NA at T2
(all p < 0.05). BIBCQ-C was a good instrument for measuring multifaceted body
image. Improvement of vulnerability and body concern, two aspects of body image,
may reduce post-surgery NA among Chinese women undergoing mastectomy.
PMID- 29806060
TI - Clinical Evaluation of Serum Tumor Markers in the Diagnosis of Gastric
Adenocarcinoma Staging and Grading.
AB - : ?: Detection and quantitative measurements of diffused tumor markers in blood
samples of patients with cancer is a facile and convenient method to determine
prognosis and the appropriateness of the treatment. This study was done to
evaluate the level of CA125, CEA, AFP, Beta HCG, and CA19-9 tumor markers and
their relation to the stage and grade of the disease in patients with gastric
adenocarcinoma. MATERIALS AND METHODS: In a descriptive cross-sectional study, of
81 patients referred to the oncology department of Tohid Hospital, Sanandaj,
Iran, in 1 year, with recently detected gastric adenocarcinoma, serum level of
CEA, CA19-9, CA125, AFP, and Beta HCG tumor markers was measured by ELISA method
before chemotherapy and surgery. Patients were divided into four groups based on
stage of disease (I, II, III, IV), and in terms of tumor differentiation, degrees
were classified in to three groups: low, high, and intermediate. To determine the
correlation of tumor markers level with the stage and grade of the disease, the
Kruskal-Wallis and Mann-Whitney U tests were used. RESULTS: By progression, the
stages of the disease, the serum level of CA19-9, CA125, and AFP tumor markers
demonstrated a significant increase. But this difference between level of HCG and
CEA was not significant with the staging. There was no significant difference
between the serum level of tumor markers and the grading of disease in the
patients. CONCLUSION: Preoperative CA19-9, CA125, and AFP tumor markers
measurements could be beneficial in detecting the progressed stages of the
disease.
PMID- 29806061
TI - Oesophageal Squamous Dysplasia.
PMID- 29806062
TI - Hepatocellular Carcinoma: Review of Targeted and Immune Therapies.
AB - BACKGROUND: Hepatocellular carcinoma is the fifth most common cancer globally and
the second leading cause of cancer-related mortality worldwide. Despite the
established efficacy of screening programs for at-risk individuals, most patients
are diagnosed at later stages of disease, wherein the tumor characteristics or
liver disease progressions do not allow for curative interventions. Many
cytotoxic chemotherapeutic agents have been tested in patients with advanced
disease with disappointing outcomes and poor tolerance; therefore, no standard
systemic therapy emerged until the approval of sorafenib in 2006. CONCLUSION:
Despite the toxicity and low response rate, sorafenib had shown a significant
survival benefit in phase III clinical trials, thus encouraging clinical research
aimed at advancing the field of molecular therapy. Disrupted signaling pathways
related to hepatocellular carcinoma (HCC) include the Wnt/beta-catenin,
Ras/Raf/MAPK, phosphatidyl inositol 3-kinase/Akt/mechanistic target of rapamycin,
hepatocyte growth factor/c-mesenchymal-epithelial transition, IGF, vascular
endothelial growth factor, and platelet-derived growth factor pathways, and a
variety of agents targeting these pathways are currently under investigation.
Additionally, better comprehension of the complex mechanisms underlying the
ability of tumor cells to escape immune surveillance has led to impressive
results with immunotherapy in many types of cancer, and this treatment strategy
is currently being developed for HCC patients. Previous and ongoing targeted
therapy and immunotherapy trials for HCC are discussed in this review.
PMID- 29806063
TI - Changes in estimated glomerular filtration rate in chronic myeloid leukemia
patients treated front line with available TKIs and correlation with
cardiovascular events.
AB - We investigated the median estimated glomerular filtration rate (eGFR) changes in
chronic myeloid leukemia (CML) patients treated front line with tyrosine kinase
inhibitors (TKIs). A large cohort of 397 patients-320 treated front line with
imatinib, 25 with dasatinib, and 53 with nilotinib-was retrospectively analyzed
at a single institution. The eGFR was calculated according to the Chronic Kidney
Disease Epidemiology Collaboration equation for all patients at baseline and then
at 6 and 12 months, and at the last follow-up. Taking into account eGFR changes
during the first year of treatment and excluding other possible cardiovascular
risk factors, we considered also the percentage of cardiovascular events in
patients with modifications of this single parameter. Imatinib induced a decrease
in median eGFR (p = 0.01): 42 patients treated with imatinib had a cardiovascular
event, related to modification of eGFR, in the absence of other cardiovascular
risk factors. In patients treated with nilotinib, the median eGFR did not decline
from baseline: only 1 patient experienced an ischemic event, but the eGFR
remained unchanged. In patients treated with dasatinib, the mean eGFR did not
change significantly: 3 patients experienced a cardiac ischemic event, but in all
patients the eGFR remained unchanged over time, while advanced age and metabolic
alterations contributed to the ischemic events. This long-term follow-up has
documented that imatinib may induce changes in the eGFR, which may contribute to
the onset of ischemic events. Further analyses on larger series of CML patients
are required to conclusively define the potential renal toxicity of second
generation TKIs and the consequent risk of developing ischemic events.
PMID- 29806064
TI - Biosynthesis of selenium nanoparticles and effects of selenite, selenate, and
selenomethionine on cell growth and morphology in Rahnella aquatilis HX2.
AB - Rahnella aquatilis HX2 (proteobacteria) shows tolerance to selenium (Se). The
minimum inhibitory concentrations of selenomethionine (Se-Met), selenite [Se
(IV)], and selenate [Se (VI)] to HX2 are 4.0, 85.0, and 590.0 mM, respectively.
HX2 shows the ability to reduce Se (IV) and Se (VI) to elemental Se nanoparticles
(SeNPs). The maximum production of SeNPs by HX2 strain is 1.99 and 3.85 mM in
Luria-Bertani (LB) broth with 5 mM Se (IV) and 10 mM Se (VI), respectively. The
morphology of SeNPs and cells were observed by transmission electron microscope,
environmental scanning electron microscope, and selected area electric
diffraction detector. Spherical SeNPs with amorphous structure were found in the
cytoplasm, membrane, and exterior of cells. Morphological variations of the cell
membrane were further confirmed by the release of cellular materials absorbed at
260 nm. Flagella were inhibited and cell sizes were 1.8-, 1.6-, and 1.2-fold
increases with the Se-Met, Se (VI), and Se (IV) treatments, respectively. The
real-time quantitative PCR analysis indicated that some of the genes controlling
Se metabolism or cell morphology, including cysA, cysP, rodA, ZntA, and ada, were
significantly upregulated, while grxA, fliO, flgE, and fliC genes were
significantly downregulated in those Se treatments. This study provided novel
valuable information concerning the cell morphology along with biological
synthesis process of SeNPs in R. aquatilis and demonstrated that the strain HX2
could be applied in both biosynthesis of SeNPs and in management of environmental
Se pollution.
PMID- 29806065
TI - Distributed electrochemical sensors: recent advances and barriers to market
adoption.
AB - Despite predictions of their widespread application in healthcare and
environmental monitoring, electrochemical sensors are yet to be distributed at
scale, instead remaining largely confined to R&D labs. This contrasts sharply
with the situation for physical sensors, which are now ubiquitous and seamlessly
embedded in the mature ecosystem provided by electronics and connectivity
protocols. Although chemical sensors could be integrated into the same ecosystem,
there are fundamental issues with these sensors in the three key areas of
analytical performance, usability, and affordability. Nevertheless, advances are
being made in each of these fields, leading to hope that the deployment of
automated and user-friendly low-cost electrochemical sensors is on the horizon.
Here, we present a brief survey of key challenges and advances in the development
of distributed electrochemical sensors for liquid samples, geared towards
applications in healthcare and wellbeing, environmental monitoring, and homeland
security. As will be seen, in many cases the analytical performance of the sensor
is acceptable; it is usability that is the major barrier to commercial viability
at this moment. Were this to be overcome, the issue of affordability could be
addressed. Graphical Abstract ?.
PMID- 29806066
TI - Hydrophilic interaction liquid chromatography in the separation of glycopeptides
and their isomers.
AB - The analysis of intact glycopeptides is a challenge because of the structural
variety of the complex conjugates. In this work, we used separation involving
hydrophilic interaction liquid chromatography using a superficially porous
particle HALO(r) penta-HILIC column with tandem mass spectrometric detection for
the analysis of N-glycopeptides of hemopexin. We tested the effect of the mobile
phase composition on retention and separation of the glycopeptides. The results
indicated that the retention of the glycopeptides was the combination of
partitioning and adsorption processes. Under the optimized conditions, our HILIC
method showed the ability to efficiently separate the glycoforms of the same
peptide backbone including separation of the isobaric glycoforms. We achieved
efficient separation of core and outer arm linked fucose of bi-antennary and tri
antennary glycoforms of the SWPAVGNCSSALR peptide and bi-antennary glycoform of
the ALPQPQNVTSLLGCTH peptide, respectively. Moreover, we demonstrated the
separation of antennary position of sialic acid linked via alpha2-6 linkage of
the monosialylated glycopeptides. Glycopeptide isomers are often differentially
associated with various biological processes. Therefore, chromatographic
separation of the species without the need for an extensive sample preparation
appears attractive for their identification, characterization, and reliable
quantification.
PMID- 29806067
TI - Characterization of the aroma profile of novel Brazilian wines by solid-phase
microextraction using polymeric ionic liquid sorbent coatings.
AB - In this study, a series of polymeric ionic liquid (PIL) sorbent coatings is
evaluated for the extraction of polar volatile organic compounds (VOCs) from
Brazilian wines using headspace solid-phase microextraction (HS-SPME), including
samples from 'Isabella' and 'BRS Magna' cultivars-the latter was recently
introduced by the Brazilian Agricultural Research Corporation - National Grape &
Wine Research Center. The structurally tuned SPME coatings were compared to the
commercial SPME phases, namely poly(acrylate) (PA) and
divinylbenzene/carboxen/poly(dimethylsiloxane) (DVB/CAR/PDMS). The separation,
detection and identification of the aroma profiles were obtained using
comprehensive two-dimensional gas chromatography mass spectrometry (GC*GC-MS).
The best performing PIL-based SPME fiber, namely 1-hexadecyl-3-vinylimidazolium
bis[(trifluoromethyl)sulfonyl]imide with 1,12-di(3-vinylimidazolium)dodecane
dibis[(trifluoromethyl)sulfonyl]imide incorporated cross-linker supported on an
elastic nitinol wire, exhibited superior performance to DVB/CAR/PDMS regarding
the average number of extracted peaks and extracted more polar analytes providing
additional insight into the aroma profile of 'BRS Magna' wines. Four batches of
wine were evaluated, namely 'Isabella' and 'BRS Magna' vintages 2015 and 2016,
using highly selective PIL-based SPME coatings and enabled the detection of 350+
peaks. Furthermore, this is the first report evaluating the aroma of 'BRS Magna'
wines. A hybrid approach that combined pixel-based Fisher ratio and peak table
based data comparison was used for data handling. This proof-of-concept
experiment provided reliable and statistically valid distinction of wines that
may guide regulation agencies to create high sample throughput protocols to
screen wines exported by Brazilian vintners. Graphical abstract Highly selective
extraction of wine aroma using polymeric ionic liquid.
PMID- 29806068
TI - Development and validation of a comprehensive solid-phase extraction method
followed by LC-TOF/MS for the analysis of eighteen pharmaceuticals in influent
and effluent of sewage treatment plants.
AB - The scarcity of data about the occurrence of pharmaceuticals in water bodies in
Malaysia prompted us to develop a suitable analytical method to address this
issue. We therefore developed a method based on solid-phase extraction combined
with liquid chromatography-time of flight/mass spectrometry (SPE-LC-TOF/MS) for
the analysis of sixteen prescribed and two nonprescribed pharmaceuticals that are
potentially present in water samples. The levels of these pharmaceuticals, which
were among the top 50 pharmaceuticals consumed in Malaysia during the period 2011
2014, in influent and effluent of five sewage treatment plants (STPs) in Bangi,
Malaysia, were then analyzed using the developed method. All of the
pharmaceuticals were separated chromatographically using a 5 MUm, 2.1 mm * 250 mm
C18 column at a flow rate of 0.3 mL/min. Limits of quantification (LOQs) were 0.3
8.2 ng/L, 6.5-89 ng/L, and 11.1-93.8 ng/L in deionized water (DIW), STP effluent,
and STP influent, respectively, for most of the pharmaceuticals. Recoveries were
51-108%, 52-118%, and 80-107% from the STP influent, STP effluent, and DIW,
respectively, for most of the pharmaceuticals. The matrix effect was also
evaluated. The signals from carbamazepine, diclofenac sodium, and mefenamic acid
were found to be completely suppressed in the STP influent. The signals from
other compounds were found to be influenced by matrix effects more strongly in
STP influent (enhancement or suppression of signal <=180%) than in effluent
(<=94%). The signal from prednisolone was greatly enhanced in the STP influent,
indicating a matrix effect of -134%. Twelve pharmaceuticals were frequently
detected in all five STPs, and caffeine, prazosin, and theophylline presented the
highest concentrations among all the pharmaceuticals monitored: up to 7611, 550,
and 319 ng/L in the STP influent, respectively. To the best of our knowledge,
this is the first time that prazosin has been detected in a water matrix in
Malaysia. Graphical abstract ?.
PMID- 29806069
TI - All 25-hydroxyvitamin D-deficient Indian postmenopausal women do not have
secondary hyperparathyroidism.
AB - : This study shows a high 25-hydroxyvitamin D deficiency among postmenopausal
women accompanying secondary hyperparathyroidism. However, a sizable number of
subjects did not have secondary hyperparathyroidism despite having low 25
hydroxyvitamin D levels. This condition arises a research question in clinical
practice needed to be addressed in the future. PURPOSE: The present study was
attempted to determine the prevalence of secondary hyperparathyroidism and also
to analyze the mean value (cutoff) of 25-hydroxyvitamin D from where the PTH
begins to rise in Indian postmenopausal women. METHODS: A cross-sectional study
including 334 postmenopausal women attending the outpatient department (MOPD) of
Lok Nayak Hospital, New Delhi, between July 2008 and June 2010. Institutional
ethical approval was obtained for this study. The apparently healthy
postmenopausal women and attendees of the patients were included in the study.
Post-thyroidectomy, thyroid illness, pregnant women, subjects taking drugs that
can affect bone mineral metabolism, such as glucocorticoids, antitubercular
therapy, antiepileptic, and 25-hydroxyvitamin D supplement were excluded from the
study. BMD parameters such as PTH and 25(OH)D were measured by using commercial
kits from DiaSorin, USA, and blood chemistry was evaluated by standard methods
from the central facility of the center. Dietary calcium was analyzed by applying
a food frequency questionnaire by a trained dietician. RESULTS: Mean (SD) age of
the subjects was 56.4 +/- 7.7 years. The mean BMI was 24.7 +/- 5.5 kg/m2. The
baseline biochemical investigations such as total bilirubin, liver function test
(LFT), kidney function test (KFT), calcium, phosphorous, total protein, and serum
albumin were in reference range except alkaline phosphatase (ALP). The mean
values of 25(OH)D and PTH were 12.95 +/- 8.08 ng/ml and 91.60 +/- 75.56 pg/ml
respectively. The 24-h dietary calcium intake was 487.06 +/- 239.36 mg/24 h. 25
hydroxyvitamin D deficiency was found in 277 subjects (82.93%) and was inversely
related to PTH. Forty-three subjects had 25-hydroxyvitamin D levels between 20
and 29 ng/ml (12.87%), and only 14 subjects (4.19%) had optimum 25-hydroxyvitamin
D levels. Secondary hyperparathyroidism was found in 235 (70.35%) subjects;
however, it was not found in 30%. CONCLUSIONS: Majority of postmenopausal women
of India had 25-hydroxyvitamin D deficiency with raised PTH levels. The cutoff
point of 25-hydroxyvitamin D at which PTH began to rise was found at 25 ng/ml
which seems similar to that of the Caucasians.
PMID- 29806071
TI - Acute right ankle pain in a 9-year-old boy without history of trauma. Normal
variant of distal tibial epiphysis.
PMID- 29806072
TI - Deregulation of autophagy under hyperglycemic conditions is dependent on
increased lysine 63 ubiquitination: a candidate mechanism in the progression of
diabetic nephropathy.
AB - : Diabetic nephropathy patients (DN) are characterized by increased lysine63
ubiquitination (Lys63-Ub) at the tubular level. Autophagy is deregulated under
diabetic conditions, even though the molecular mechanisms and the consequences of
this alteration need to be elucidated. The aim of this study was to investigate
the link between Lys63-Ub and autophagy in DN and the involvement of these two
processes in tubular cell fate. Immunohistochemistry of beclin-1, LC3, and p62 on
kidney biopsies highlighted increased protein expression of all these autophagic
factors at the tubular level in DN compared to other nephritis. Transmission
electron microscopy confirmed the presence of diffuse vacuolization and
autophago(lyso)somal structures in proximal tubular cells in DN. Accumulation of
Lys63-Ub proteins in DN increased in accordance with the tubular damage and was
associated to increased LC3 expression both in vivo and in vitro. Hyperglycemia
(HG) induced LC3 and p62 protein expression in HK2 cells together with Lys63
ubiquitinated proteins, and the inhibition of HG-induced Lys63-Ub by NSC697923
inhibitor, significantly reduced both LC3 and p62 expression. Moreover, in DN,
those tubules expressing LC3 showed increased caspase-3 expression, supporting
the hypothesis that deregulated autophagy induces apoptosis of tubular cells. In
vitro, we confirmed a tight association between impaired autophagy, Lys63-Ub, and
apoptosis since Lys63-Ub inhibition by NSC697923 abrogated HG-induced cell death
and LC3 silencing also blocked hyperglycemia-induced caspase-3 activation. Our
data suggested that prolonged hyperglycemia in diabetic patients can impair
autophagy as a consequence of Lys63-Ub protein accumulation, thus promoting
intracellular autophagic vesicles increase, finally leading to tubular cell death
in DN. KEY MESSAGES: In vivo autophagy is deregulated in diabetic patients with
renal disease (DN). Accumulation of Lys63 ubiquitinated proteins is associated to
autophagy deregulation. Accumulation of Lys63 ubiquitinated proteins correlated
with apoptosis activation. Lys63 ubiquitination inhibition abrogated
hyperglycemia-induced autophagy and apoptosis.
PMID- 29806074
TI - Biology and Architecture: Two Buildings Inspired by the Anatomy of the Visual
System.
AB - Architectural production has been influenced by a variety of sources. Forms
derived from nature, biology and live organisms, had often been utilised in art
and architecture. Certain features of the human anatomy had been reflected in
design process in various ways, as imitations, abstractions, interpretations of
the reality. The correlation of ideal proportions had been investigated
throughout centuries. Scholars, art historians starting with Vitruvius from the
world of ancient Roman architecture, described the human figure as being the
principal source of proportion among the classical orders of architecture. This
study aims to investigate two contemporary buildings, namely Kiasma Museum in
Helsinki and Eye Museum in Amsterdam, inspired directly from the anatomy of
visual system. Morover the author discussed the relationship of biology and
architecture through these two special buildings by viewing the eye and chiasma
as metaphors for elements of architecture.
PMID- 29806073
TI - Taraxasterol suppresses the growth of human liver cancer by upregulating Hint1
expression.
AB - : Taraxasterol has potent anti-inflammatory and anti-tumor activity. However, the
effect and potential mechanisms of Taraxasterol on the growth of human liver
cancer have not been clarified. Histidine triad nucleotide-binding protein 1
(Hint1) is a tumor suppressor and its downregulated expression is associated with
the development of cancer. Here, we report that Taraxasterol treatment
significantly suppressed cell proliferation and induced cell cycle arrest at
G0/G1 phase and apoptosis in liver cancer cells, but not in non-tumor
hepatocytes. Furthermore, Taraxasterol upregulated Hint1 and Bax, but
downregulated Bcl2 and cyclin D1 expression, accompanied by promoting the
demethylation in the Hint1 promoter region in liver cancer cells. The effects of
Taraxasterol were abrogated by Hint1 silencing and partially mitigated by Bax
silencing, Bcl2 or cyclin D1 over-expression in HepG2 cells. Moreover, oral
administration with Taraxasterol did not affect body weight, urinary protein
levels, and the heart, liver, and kidney morphology in BALB/c mice but
effectively inhibited the growth of implanted SK-Hep1 tumor in vivo.
Collectively, we demonstrate that Taraxasterol inhibits the growth of liver
cancer at least partially by enhancing Hint1 expression to regulate Bax, Bcl2,
and cyclin D1 expression. Taraxasterol may be a drug candidate for the treatment
of human liver cancer. KEY MESSAGES: Taraxasterol inhibits growth and induces
apoptosis in human liver cancer cells. Taraxasterol enhances Hint1 expression by
promoting demethylation in Hint1 promoter. Taraxasterol increases Hint1 levels to
regulate Bax, Bcl2, and cyclinD1 expression. The effects of Taraxasterol are
abrogated by Hint1 silencing in liver cancer cells. Taraxasterol inhibits the
growth of subcutaneously implanted liver cancers in mice.
PMID- 29806075
TI - Percutaneous Vertebroplasty for the Treatment of Osteoporotic Thoracolumbar
Fractures with Posterior Body Involved in Elderly Patients.
AB - AIM: The management of thoracolumbar burst fractures without neurological
symptoms remains controversial. Certain authors have suggested that
vertebroplasty and kyphoplasty are contraindicated in patients with burst
fractures. However, we performed vertebroplasty to treat intractable pain, reduce
surgical risk and achieve early mobilization. MATERIAL AND METHODS: Twelve
patients older than 65 years of age with thoracolumbar fractures without
neurological deficits underwent vertebroplasty. In all fractures, the anterior
and middle columns of the vertebrae were affected, and the canal was mildly
compressed. To assess subjects' clinical symptoms and the effects of the
procedure, the patients' mobility and pain were assessed prior to the procedure
and at 1 day and 3 months following the procedure. RESULTS: Improvements in pain
and mobility were observed immediately following vertebroplasty in all patients.
These results persisted for 3 months. There were significant improvements at 1
day and 3 months after vertebroplasty, specifically pain was reduced by at least
4 levels at 3 months. No comorbidities were noted. However, tomography revealed
evidence of polymethylmethacrylate leakage through the endplate fracture site
into the disc space or the paravertebral space in 4 vertebrae and minimal
intracanal leakage through the fracture tract in 1 patient. CONCLUSION: Although
vertebroplasty is assumed to be contraindicated in osteoporotic thoracolumbar
fractures with posterior body involved, we successfully used this procedure to
safely treat such fractures without introducing neurological deficits.
Percutaneous vertebroplasty may be an alternative method of treating
thoracolumbar burst fractures that avoids the complications of major surgical
procedures and achieves early mobilization and pain relief.
PMID- 29806076
TI - Does the anti-migraine drug rizatriptan affect early neural tube development in
chick embryos?
AB - AIM: Migraine headaches are a common and significant issue experienced by women
during pregnancy. However, treating migraines during pregnancy and post-pregnancy
is challenging because of the risks that migraine medications pose to the fetus
and infant. Few studies have investigated the effect of triptans during
pregnancy, and controlled studies are not available. Our research aimed to
investigate the impact of rizatriptan on neural tube development using early
chick embryos as a model organism. MATERIAL AND METHODS: A total of 36 pathogen
free Leghorn chicken eggs were selected and categorized in three groups: sham,
therapeutic, and supratherapeutic. After 24 h, the eggs were opened and injected
with sterile drugs, then reclosed using plastic tape. After a period of 72 h, the
eggs were opened and assessed using the Hamburger-Hamilton chick embryology
classification method. TUNEL staining was used to identify apoptosis, and
hematoxylin-eosin staining was used to investigate neural tube closure. RESULTS:
Treatment with rizatriptan significantly slowed down neural tube development. The
supratherapeutic group showed neural tube closure defects. CONCLUSION:
Rizatriptan had a negative effect on neural tube closure. Further research is
needed to identify a safe and effective drug for treating migraines during
pregnancy.
PMID- 29806077
TI - Intrathecal baclofen in metachromatic leukodystrophy.
AB - : Metachromatic leukodystrophy (MLD) is a rare progressive neurological disorder,
often accompanied by motor impairments that are challenging to treat. In this
case series, we report the course of treatment with intrathecal baclofen (ITB),
aimed at improving daily care and comfort in children and young adults with MLD.
All patients with MLD in our centre on ITB treatment for a minimum of 6 months
were included (n=10; 4 males, 6 females; mean age 10y 8mo [range 6-24y]). Eight
patients had MLD with a predominant spastic movement disorder (sMLD) and two were
mainly dyskinetic. Patients with sMLD were compared with matched patients with
spastic cerebral palsy (CP). Complication rates related to ITB treatment were
similar in both groups. ITB treatment course in the first 6 months after pump
implantation appears to show more dose increase in most patients MLD, compared to
patients with spastic CP. This may be due to the progressive disease in MLD. ITB
is a feasible therapy to improve daily care and comfort in patients with MLD and
should therefore be considered early. WHAT THIS PAPER ADDS: Intrathecal baclofen
(ITB) is a feasible therapy to improve comfort and daily care in children and
young people with metachromatic leukodystrophy (MLD). In the first 6 months of
ITB treatment, MLD seems to show more dose increase compared to spastic cerebral
palsy.
PMID- 29806078
TI - Prurigo-like herpes simplex.
PMID- 29806079
TI - In vivo growth and genomic characterization of rickettsia-like organisms isolated
from farmed Chinook salmon (Oncorhynchus tshawytscha) in New Zealand.
AB - A rickettsia-like organism, designated NZ-RLO2, was isolated from Chinook salmon
(Oncorhynchus tshawytscha) farmed in the South Island, New Zealand. In vivo
growth showed NZ-RLO2 was able to grow in CHSE-214, EPC, BHK-21, C6/36 and Sf21
cell lines, while Piscirickettsia salmonis LF-89T grew in all but BHK-21 and
Sf21. NZ-RLO2 grew optimally in EPC at 15 degrees C, CHSE-214 and EPC at 18
degrees C. The growth of LF-89 T was optimal at 15 degrees C, 18 degrees C and 22
degrees C in CHSE-24, but appeared less efficient in EPC cells at all
temperatures. Pan-genome comparison of predicted proteomes shows that available
Chilean strains of P. salmonis grouped into two clusters (p-value = 94%). NZ-RLO2
was genetically different from previously described NZ-RLO1, and both strains
grouped separately from the Chilean strains in one of the two clusters (p-value =
88%), but were closely related to each other. TaqMan and Sybr Green real-time PCR
targeting RNA polymerase (rpoB) and DNA primase (dnaG), respectively, were
developed to detect NZ-RLO2. This study indicates that the New Zealand strains
showed a closer genetic relationship to one of the Chilean P. salmonis clusters;
however, more Piscirickettsia genomes from wider geographical regions and diverse
hosts are needed to better understand the classification within this genus.
PMID- 29806080
TI - Investigation of co-infections with pathogens associated with gill disease in
Atlantic salmon during an amoebic gill disease outbreak.
AB - Gill diseases are a complex and multifactorial challenge for marine farmed
Atlantic salmon. Co-infections with putative pathogens are common on farms;
however, there is a lack of knowledge in relation to the potential effect co
infections may have on pathology. The objective of this study was to determine
the prevalence and potential effects of Neoparamoeba perurans, Desmozoon
lepeophtherii, Candidatus Branchiomonas cysticola, Tenacibaculum maritimum and
salmon gill poxvirus (SGPV) during a longitudinal study on a marine Atlantic
salmon farm. Real-time PCR was used to determine the presence and sequential
infection patterns of these pathogens on gill samples collected from stocking
until harvest. A number of multilevel models were used to determine the effect of
these putative pathogens on gill health (measured as gill histopathology score),
while adjusting for the effect of water temperature and time since the last
freshwater treatment. Results indicate that between 12 and 16 weeks post-seawater
transfer (wpst), colonization of the gills by all pathogens had commenced and by
week 16 of marine production each of the pathogens had been detected. D.
lepeophtherii and Candidatus B. cysticola were by far the most prevalent of the
potential pathogens detected during this study. Detections of T. maritimum were
found to be significantly correlated with temperature showing distinct
seasonality. Salmon gill poxvirus was found to be highly sporadic and detected in
the first sampling point, suggesting a carryover from the freshwater stage of
production. Finally, the model results indicated no clear effect between any of
the pathogens. Additionally, the models showed that the only variable which had a
consistent effect on the histology score was N. perurans.
PMID- 29806081
TI - Neurodevelopmental outcome of nutritional intervention in newborn infants at risk
of neurodevelopmental impairment: the Dolphin neonatal double-blind randomized
controlled trial.
AB - AIM: To investigate whether neonates at risk for neurodevelopmental impairment
have improved neurodevelopment after docosahexaenoic acid, choline, and uridine-5
monophosphate supplementation versus controls. METHOD: Recruitment was from UK
neonatal units. Eligible for inclusion were infants born at less than 31 weeks'
gestation with a weight less than the ninth centile; infants born at less than 31
weeks' gestation with a grade II or higher intraventricular haemorrhage/preterm
white matter injury; infants born between 31 weeks' and 40 weeks' gestation plus
28 days with a grade II or higher intraventricular haemorrhage/preterm white
matter injury, moderate or severe hypoxic-ischaemic encephalopathy, or defined
neuroimaging abnormalities. Treatment/control supplementation was for 2 years
(double-blind, randomized, controlled design). Infants were stratified according
to sex, gestation, and brain injury severity. Primary outcome was cognitive
composite score (CCS) of the Bayley Scales of Infant Development, Third Edition
(Bayley-III at 24mo). Secondary outcomes were language composite score (LCS) of
the Bayley-III, motor composite score (MCS) of the Bayley-III, and Vineland
Adaptive Behaviour Scales, Second Edition (VABS-II) score. RESULTS: Sixty-two
neonates were recruited, 59 were randomized (34 males, 25 females). Fifty-three
started supplementation. Most families found supplementation acceptable. The
treatment group CCS-Bayley-III scores were non-significantly higher than controls
(mean score difference at 24mo: 9.0; 95% confidence interval -0.2 to 18.2).
Language and VABS-II scores, but not motor score, were non-significantly higher
in the treatment group. INTERPRETATION: Most families found supplementation
feasible. Improved neurodevelopmental outcomes in the treatment group were not
statistically significant. A larger multicentre trial exploration is warranted.
WHAT THIS PAPER ADDS: Dietary supplementation of neonates at risk of
neurodevelopmental impairment is feasible. No statistically significant
neurodevelopmental advantages were identified for the treatment group compared to
controls. Treatment group cognitive and language advantage are of a clinically
meaningful magnitude.
PMID- 29806082
TI - A natural infection by the red sea bream iridovirus-type Megalocytivirus in the
golden mandarin fish Siniperca scherzeri.
AB - An outbreak of a Megalocytivirus infection was found in the golden mandarin fish
Siniperca scherzeri during September and October 2016, in Korea. Phylogeny and
genetic diversity based on the major capsid protein (MCP) and adenosine
triphosphatase (ATPase) genes showed a new strain. Designated as GMIV, this
strain derived from the golden mandarin fish was suggested to belong to the red
sea bream iridovirus (RSIV)-subgroup I. Additionally, this train clustered with
the ehime-1 strain from red sea bream Pagrus major in Japan and was distinguished
from circulating isolates (RSIV-type subgroup II and turbot reddish body
iridovirus [TRBIV] type) in Korea. The infection level, evaluated by qPCR, ranged
from 8.18 * 102 to 7.95 * 106 copies/mg of tissue individually, suggesting that
the infected fish were in the disease-transmitting stage. The diseased fish
showed degenerative changes associated with cytomegaly in the spleen as general
sign of Megalocytivirus infection. The results confirm that the RSIV-type
Megalocytivirus might have crossed the environmental and species barriers to
cause widespread infection in freshwater fish.
PMID- 29806083
TI - Based serum metabolomics analysis reveals simultaneous interconnecting changes
during chicken embryonic development.
AB - Metabolic disorder is a major health problem and is associated with a number of
metabolic diseases. Due to native hyperglycaemia and resistance to exogenous
insulin, chickens as a model had used in the studies of adipose tissue biology,
metabolism and obesity. But no detailed information is available about the
comprehensive changes of serum metabolites at different stages of chicken
embryonic development. This study employed LC/MS-QTOF to determine the changes of
major functional metabolites at incubation day 14 (E14d), 19 (E19d) and hatching
day 1 (H1d), and the associated pathways of differential metabolites during
chicken embryonic development were analysed using Metabolite Set Enrichment
Analysis method. Results showed that 39 metabolites were significantly changed
from E14d to E19d and 68 metabolites were significantly altered from E19d to H1d
in chicken embryos. Protein synthesis was promoted by increasing the
concentrations of L-glutamine and threonine, and gonadal development was promoted
through increasing oestrone content from E14d to E19d in chicken embryos, which
indicated that serum glutamine, threonine and oestrone contents may be considered
as the candidate indicators for assessment of early embryonic development. 2
oxoglutaric acid mainly contributed to enhancing the citric cycle, and it plays
an important role in improving the growth of chicken embryos at the late
development; the decreasing of L-glutamine, L-isoleucine and L-leucine contents
from E19d to H1d in chicken embryonic development implied their possible
functions as the feed additive during early posthatch period of broiler chickens
to satisfy the growth. These results provided insights into understand the roles
of serum metabolites at different developmental stages of chicken embryos, it
also provides available information for chicken as a model to study metabolic
disease or human obesity.
PMID- 29806084
TI - Cholesterol Modification of an Anticancer Drug for Efficient Incorporation into a
Supramolecular Hydrogel System.
AB - Treatment of cancer in the peritoneal cavity may be improved with macroscale drug
delivery systems that offer control over intraperitoneal concentration of
chemotherapeutic agents. Currently, suitable drug carriers to facilitate a
sustained release of small hydrophilic drugs such as mitomycin C are lacking. For
this purpose, a pH-responsive supramolecular hydrogel based on ureido
pyrimidinone (UPy) chemistry is utilized here. In order to provide a sustained
release profile, a lipophilicity-increasing cholesterol conjugation strategy is
proposed that enhances affinity between the modified drug (mitomycin-PEG24
cholesterol, MPC) and the hydrophobic compartments in the UPy gel. Additional
advantages of cholesterol conjugation include improved chemical stability and
potency of mitomycin C. In vitro the tunability of the system to obtain optimal
effective concentrations over time is demonstrated with a combinatorial treatment
of mitomycin C and MPC in one UPy hydrogel delivery system.
PMID- 29806085
TI - Surface-Selective Grafting of Crosslinking Layers on Hydrogel Surfaces via Two
Different Mechanisms of Photopolymerization for Site-Controllable Release.
AB - This study reports an effective method for controlling substance-release sites of
hydrogel. Glycidyl methacrylate, which contains two functional groups, namely,
double-bond acrylate and epoxide, is photografted on a hydrogel surface through
hydrogen abstraction photopolymerization due to the existence of a hydrogen
donor, such as an amine, in the hydrogel matrix. The remaining epoxide group
crosslinks the polymer chain of polyglycidyl methacrylate. Substance release of
hydrogel is changed due to the altered surface texture of hydrogel. Rate and site
controlled substance release are achieved by controlling the thickness and site
of surface grafting and the extent of epoxide ring opening. This study may
provide a novel method for achieving hydrogel function or modified performance of
other biomaterials to meet biological activity requirements.
PMID- 29806086
TI - Discovery of the Tiancilactone Antibiotics by Genome Mining of Atypical Bacterial
Type II Diterpene Synthases.
AB - Although genome mining has advanced the identification, discovery, and study of
microbial natural products, the discovery of bacterial diterpenoids continues to
lag behind. Herein, we report the identification of 66 putative producers of
novel bacterial diterpenoids, and the discovery of the tiancilactone (TNL) family
of antibiotics, by genome mining of type II diterpene synthases that do not
possess the canonical DXDD motif. The TNLs, which are broad-spectrum antibiotics
with moderate activities, are produced by both Streptomyces sp. CB03234 and
Streptomyces sp. CB03238 and feature a highly functionalized diterpenoid skeleton
that is further decorated with chloroanthranilate and gamma-butyrolactone
moieties. Genetic manipulation of the tnl gene cluster resulted in TNL congeners,
which provided insights into their biosynthesis and structure-activity
relationships. This work highlights the biosynthetic potential that bacteria
possess to produce diterpenoids and should inspire continued efforts to discover
terpenoid natural products from bacteria.
PMID- 29806087
TI - Monochalcoplatin: An Actively Transported, Quickly Reducible, and Highly Potent
PtIV Anticancer Prodrug.
AB - Recently, PtIV prodrugs have attracted much attention as the next generation of
platinum-based antineoplastic drug candidates. Here we report the discovery and
evaluation of monochalcoplatin, a monocarboxylated PtIV prodrug that is among the
most cytotoxic PtIV prodrugs to date. Compared with its dicarboxylated
counterpart chalcoplatin, monochalcoplatin accumulates astonishingly effectively
and rapidly in cancer cells, which is not ascribed to its lipophilicity. The
prodrug is quickly reduced, causes DNA damage, and induces apoptosis, resulting
in superior cytotoxicity with IC50 values in the nanomolar range in both
cisplatin-sensitive and -resistant cells; these IC50 values are up to 422-fold
higher than that of cisplatin. A detailed mechanistic study reveals that
monochalcoplatin actively enters cells through a transporter-mediated process.
Moreover, monochalcoplatin shows significant antitumor activity in an in vivo
colorectal tumor model. Our study implies a practical strategy for the design of
more effective PtIV prodrugs to conquer drug resistance by tuning both cellular
uptake pathways and activation processes.
PMID- 29806088
TI - Dynamic Proteoids Generated From Dipeptide-Based Monomers.
AB - Dynamic proteoids are dynamic covalent analogues of proteins which are generated
through the reversible polymerization of amino-acid- or peptide-derived monomers.
The authors design and prepare a series of dynamic proteoids based on the
reversible polycondensation of six types of dipeptide hydrazides bearing
different categories of side chains. The polymerization and structures of
biodynamers generated by 1 H-NMR spectroscopy, light scattering and cryo
transmission-electron microscopy are studied. This study shows that the presence
of aromatic rings in the side chains plays the most essential role in determining
the extent of the polymerization and organization into resultant nanostructures
through pi-pi-stacking interactions, hydroxyl groups have a less favorable
influence via hydrogen bonds, whereas a high density of positive charge blocks
the generation of biodynamers due to electrostatic repulsions. These findings set
the stage for the rational design and synthesis of dynamic proteoids as novel
biofunctional materials.
PMID- 29806089
TI - FISH analysis of selected soft tissue tumors: Diagnostic experience in a tertiary
center.
AB - AIM: Fluorescence in situ hybridization (FISH) is an important ancillary tool for
the classification of bone/soft tissue (BST) tumors. The aim of this study was to
evaluate the contribution of FISH to the final classification of common BST
entities in the molecular pathology department of the Royal Prince Alfred
Hospital (RPAH), which is one of the most important referral centers for the
management of sarcomas in Australia. METHODS: All routine diagnostic FISH tests
performed on BST formalin-fixed paraffin embedded (FFPE) tissue specimens at the
RPAH in a 5-year period (February, 2010-November, 2015) were reviewed. FISH
analyses presented in this study include commercial break-apart probes (SS18,
FUS, DDIT3, FUS, USP6, PDGFB, TFE3 and ALK) and a single enumeration (MDM2)
probe. RESULTS: There were 434 interpretable FISH assays on BST samples including
MDM2 (n=180), SS18 (n=97), FUS (n=64), DDIT3 (n=37), USP6 (n=30), PDGFB (n=13),
TFE3 (n=8) and ALK (n=5). Discrepancies between the histopathological diagnosis
and the FISH results were seen in 12% of the cases. In this subset of discordant
cases, FISH contributed to the re-classification of 7% of cases originally
diagnosed as synovial sarcoma (SS18) and 6% of adipocytic neoplasms (MDM2) based
on the presence or absence of the expected gene alteration. CONCLUSION: Our study
confirms that paraffin FISH is a sensitive and specific ancillary tool in the
diagnosis of BST neoplasms when used in the appropriate clinicopathological
context. These findings highlight the need for further ancillary molecular tools
in the diagnosis and characterization of challenging cases.
PMID- 29806090
TI - High Rate of Drug-Free Remission After Induction Therapy With Golimumab in Early
Peripheral Spondyloarthritis.
AB - OBJECTIVE: New treatment algorithms using tumor necrosis factor (TNF) blockers in
early stages of spondyloarthritis (SpA) induce high rates of clinical remission
or low disease activity. It could be anticipated that such early intervention
strategies in peripheral SpA may induce drug-free remission. We undertook this
study to evaluate drug-free clinical remission after induction therapy with
golimumab in patients with very early active peripheral SpA, and to identify
patient characteristics that predict sustained drug-free remission. METHODS:
Eligible patients were age >=18 years and fulfilled the Assessment of
SpondyloArthritis international Society criteria for peripheral SpA. All patients
had symptom duration of <12 weeks. Sustained clinical remission was defined as
the absence of arthritis, enthesitis, and dactylitis at 2 consecutive major
visits, after which treatment was withdrawn. Patients were prospectively followed
up to assess the rate of sustained drug-free clinical remission and clinical
relapse. RESULTS: Eighty-two percent of patients (49 of 60) fulfilled sustained
clinical remission criteria after a regimen of induction therapy with golimumab.
The majority of patients already reached this status at week 24 (n = 30), with an
additional 11 and 8 patients at weeks 36 and 48, respectively. All patients had a
follow-up period of at least 18 months after drug withdrawal. Fifty-three percent
of patients (26 of 49) still have drug-free remission of their disease. Inability
to sustain drug-free remission was associated with the presence of psoriasis and
polyarticular disease (swollen joint count >5). CONCLUSION: Anti-TNF treatment in
very early peripheral SpA results in a remarkably high rate of sustained clinical
remission. More than 50% of patients continue to have remission of their disease
after withdrawal of therapy, which highlights a defined window of opportunity
permitting induction of drug-free remission.
PMID- 29806091
TI - Association of Abnormal Elevations in IFIT3 With Overactive Cyclic GMP-AMP
Synthase/Stimulator of Interferon Genes Signaling in Human Systemic Lupus
Erythematosus Monocytes.
AB - OBJECTIVE: Increasing evidence indicates that the cyclic GMP-AMP
synthase/stimulator of interferon genes (cGAS/STING) signaling pathway has a
critical pathogenic role in systemic lupus erythematosus (SLE). Expression levels
of the interferon (IFN)-inducible gene IFIT3 are elevated in SLE patients.
However, it is still not clear how IFIT3 contributes to the pathogenesis of SLE.
This study was undertaken to investigate the activation of the cGAS/STING
signaling pathway in human SLE monocytes, and to determine how elevated
expression of IFIT3 could contribute to overactive cGAS/STING signaling in
patients with SLE. METHODS: Monocytes from SLE patients or healthy controls were
examined for activity of the cGAS/STING signaling pathway and expression levels
of IFIT3. Correlations between cGAS/STING signaling activity and SLE clinical
features were analyzed. Gain- or loss-of-function experiments were used to
determine the role of IFIT3 in cGAS/STING signaling. Coimmunoprecipitation assays
were used to identify the interaction between IFIT3 and other proteins. RESULTS:
The cGAS/STING signaling pathway was found to have enhanced activity in monocytes
from SLE patients compared to healthy controls, as indicated by the higher
expression of IFNbeta downstream. Levels of IFIT3 were significantly elevated in
human SLE monocytes, and this was positively correlated with the activity of the
cGAS/STING signaling pathway. In vitro, the expression of VACV70-induced IFNbeta
was reduced by knockdown of IFIT3, whereas overexpression of IFIT3 produced an
opposite effect. Finally, IFIT3 was found to interact with both STING and TANK
binding kinase 1. CONCLUSION: These findings suggest that IFIT3 is one of the
genes that contributes to the overactive cGAS/STING signaling pathway in human
SLE monocytes. IFIT3 may therefore serve as a novel therapeutic target for
blocking the production of type I IFN and other proinflammatory cytokines by the
cGAS/STING signaling pathway in patients with SLE.
PMID- 29806092
TI - The Lung in Rheumatoid Arthritis: Focus on Interstitial Lung Disease.
AB - Interstitial lung disease (ILD) is an increasingly recognized complication of
rheumatoid arthritis (RA) and is associated with significant morbidity and
mortality. In addition, approximately one-third of patients have subclinical
disease with varying degrees of functional impairment. Although risk factors for
RA-related ILD are well established (e.g., older age, male sex, ever smoking, and
seropositivity for rheumatoid factor and anti-cyclic citrullinated peptide),
little is known about optimal disease assessment, treatment, and monitoring,
particularly in patients with progressive disease. Patients with RA-related ILD
are also at high risk of infection and drug toxicity, which, along with
comorbidities, complicates further treatment decision-making. There are distinct
histopathologic patterns of RA-related ILD with different clinical phenotypes,
natural histories, and prognoses. Of these, the usual interstitial pneumonia
(UIP) subtype of RA-related ILD shares a number of clinical and histopathologic
features with idiopathic pulmonary fibrosis, the most common and severe of the
idiopathic interstitial pneumonias, suggesting the existence of common
mechanistic pathways and possibly therapeutic targets. There remain substantial
gaps in our knowledge of RA-related ILD. Concerted multinational efforts by
expert centers has the potential to elucidate the basic mechanisms underlying RA
related UIP and other subtypes of RA-related ILD and facilitate the development
of more efficacious and safer drugs.
PMID- 29806093
TI - The cellular localization and redistribution of multiple aquaporin paralogs in
the spermatic duct epithelium of a maturing marine teleost.
AB - Aquaporin-mediated fluid transport in the mammalian efferent duct and epididymis
is believed to play a role in sperm maturation and concentration. In fish, such
as the marine teleost gilthead seabream (Sparus aurata), the control of fluid
homeostasis in the spermatic duct seems also to be crucial for male fertility,
but no information exists on the expression and distribution of aquaporins. In
this study, reverse transcriptase-polymerase chain reaction and immunoblotting
analyses, employing available and newly raised paralog-specific antibodies for
seabream aquaporins, indicate that up to nine functional aquaporins, Aqp0a, -1aa,
-1ab, -3a, -4a, -7, -8bb, -9b and -10b, are expressed in the spermatic duct.
Immunolocalization of the channels in the resting spermatic duct reveals that
Aqp0a, -1aa, -4a, -7 and -10b are expressed in the monolayered luminal
epithelium, Aqp8b and -9b in smooth muscle fibers, and Aqp1ab and -3a in
different interstitial lamina cells. In the epithelial cells, Aqp0a and -1aa are
localized in the short apical microvilli, and Aqp4a and -10b show apical and
basolateral staining, whereas Aqp7 is solely detected in vesicular compartments.
Upon spermiation, an elongation of the epithelial cells sterocilia, as well as
the folding of the epithelium, is observed. At this stage, single- and double
immunostaining, using two aquaporin paralogs or the Na+ /K+ -ATPase membrane
marker, indicate that Aqp1ab, -3a, -7, -8bb and -9b staining remains unchanged,
whereas in epithelial cells Aqp1aa translation is supressed, Aqp4a internalizes,
and Aqp0a and -10b accumulate in the apical, lateral and basal plasma membrane.
These findings uncover a cell type- and region-specific distribution of multiple
aquaporins in the piscine spermatic duct, which shares conserved features of the
mammalian system. The data therefore suggest that aquaporins may play different
roles in the regulation of fluid homeostasis and sperm maturation in the male
reproductive tract of fish.
PMID- 29806094
TI - Some findings on zero-inflated and hurdle poisson models for disease mapping.
AB - Zero excess in the study of geographically referenced mortality data sets has
been the focus of considerable attention in the literature, with zero-inflation
being the most common procedure to handle this lack of fit. Although hurdle
models have also been used in disease mapping studies, their use is more rare. We
show in this paper that models using particular treatments of zero excesses are
often required for achieving appropriate fits in regular mortality studies since,
otherwise, geographical units with low expected counts are oversmoothed. However,
as also shown, an indiscriminate treatment of zero excess may be unnecessary and
has a problematic implementation. In this regard, we find that naive zero
inflation and hurdle models, without an explicit modeling of the probabilities of
zeroes, do not fix zero excesses problems well enough and are clearly
unsatisfactory. Results sharply suggest the need for an explicit modeling of the
probabilities that should vary across areal units. Unfortunately, these more
flexible modeling strategies can easily lead to improper posterior distributions
as we prove in several theoretical results. Those procedures have been repeatedly
used in the disease mapping literature, and one should bear these issues in mind
in order to propose valid models. We finally propose several valid modeling
alternatives according to the results mentioned that are suitable for fitting
zero excesses. We show that those proposals fix zero excesses problems and
correct the mentioned oversmoothing of risks in low populated units depicting
geographic patterns more suited to the data.
PMID- 29806095
TI - Facile Skeletal Rearrangement of Polycyclic Disilenes with
Bicyclo[1.1.1]pentasilanyl Groups.
AB - The unexpected formations of fused polycyclic disilenes 2 a and (E),(Z)-3 b by
the reduction of the 1,2-dibromodisilanes 5 a (R=Me) and 5 b (R=iPr) bearing
bicyclo[1.1.1]pentasilanyl (BPS) groups is reported. The disilenes 2 a and
(E),(Z)-3 b were characterized by a combination of NMR spectroscopy and X-ray
diffraction analysis (XRD). The reduction of 5 b in the presence of 2,3-dimethyl
1,3-butadiene provided an ene adduct of the disilene 1 b bearing BPS groups,
which suggested that an initial product of the reduction of 5 b was the disilene
1 b. Thermal reactions of 2 a and (E),(Z)-3 b afforded the highly strained
saturated silicon clusters 4 a and 4 b. A computational study suggested that the
transformation of 1 to 2, 3, or 4 can involve silyldisilene-disilanylsilylene
rearrangement reactions and insertion reactions of a silylene into a Si-Si bond.
PMID- 29806096
TI - Male lifespan extension with 17-alpha estradiol is linked to a sex-specific
metabolomic response modulated by gonadal hormones in mice.
AB - Longevity in mammals is influenced by sex, and lifespan extension in response to
anti-aging interventions is often sex-specific, although the mechanisms
underlying these sexual dimorphisms are largely unknown. Treatment of mice with
17-alpha estradiol (17aE2) results in sex-specific lifespan extension, with an
increase in median survival in males of 19% and no survival effect in females.
Given the links between lifespan extension and metabolism, we performed
untargeted metabolomics analysis of liver, skeletal muscle and plasma from male
and female mice treated with 17aE2 for eight months. We find that 17aE2 generates
distinct sex-specific changes in the metabolomic profile of liver and plasma. In
males, 17aE2 treatment raised the abundance of several amino acids in the liver,
and this was further associated with elevations in metabolites involved in urea
cycling, suggesting altered amino acid metabolism. In females, amino acids and
urea cycling metabolites were unaffected by 17aE2. 17aE2 also results in male
specific elevations in a second estrogenic steroid-estriol-3-sulfate-suggesting
different metabolism of this drug in males and females. To understand the
underlying endocrine causes for these sexual dimorphisms, we castrated males and
ovariectomized females prior to 17aE2 treatment, and found that virtually all the
male-specific metabolite responses to 17aE2 are inhibited or reduced by male
castration. These results suggest novel metabolic pathways linked to male
specific lifespan extension and show that the male-specific metabolomic response
to 17aE2 depends on the production of testicular hormones in adult life.
PMID- 29806097
TI - Ganoderma-Like MoS2 /NiS2 with Single Platinum Atoms Doping as an Efficient and
Stable Hydrogen Evolution Reaction Catalyst.
AB - Herein, a unique ganoderma-like MoS2 /NiS2 hetero-nanostructure with isolated Pt
atoms anchored is reported. This novel ganoderma-like heterostructure can not
only efficiently disperse and confine the few-layer MoS2 nanosheets to fully
expose the edge sites of MoS2 , and provide more opportunity to capture the Pt
atoms, but also tune the electronic structure to modify the catalytic activity.
Because of the favorable dispersibility and exposed large specific surface area,
single Pt atoms can be easily anchored on MoS2 nanosheets with ultrahigh loading
of 1.8 at% (the highest is 1.3 at% to date). Owing to the ganoderma-like
structure and platinum atoms doping, this catalyst shows Pt-like catalytic
activity for the hydrogen evolution reaction with an ultralow overpotential of 34
mV and excellent durability of only 2% increase in overpotential for 72 h under
the constant current density of 10 mA cm-2 .
PMID- 29806098
TI - Laparoscopic versus open repair of perforated peptic ulcer: A retrospective
cohort study.
AB - INTRODUCTION: Perforated peptic ulcer disease (PPU) is a condition subject to
wide geographical variations in incidence. It remains a significant cause of
morbidity and mortality, even in the era of Helicobacter pylori eradication and
proton-pump inhibitor therapy. There is no clear consensus on whether
laparoscopic or open approaches are superior, and with most studies in this area
originating from Europe and Asia, Australian data addressing this issue are
lacking. METHODS: This retrospective cohort study included all patients who
underwent surgery for PPU within a hospital network in Australia. Baseline
variables and primary outcomes, including length of hospital stay, chest and
abdominal complications, and mortality, were recorded. Secondary outcomes,
including reasons for conversion, were also considered. RESULTS: In total, 109
patients underwent operations for PPU between January 2011 and December 2015.
There were no significant differences with regard to baseline comorbidities.
There were no statistically significant differences in terms of median length of
hospital stay or rates of chest and abdominal complications, but the operative
time was 28.5 min longer (P = <0.001) in the laparoscopic group than in the open
group. CONCLUSION: Open operations were faster to perform than laparoscopic
operations for repair of PPU. Despite increased experience treating many surgical
diseases laparoscopically, this study did not find it to be superior in terms of
length of hospital stay or complication rates.
PMID- 29806099
TI - Trends in rooming-in practices among hospitals in the United States, 2007-2015.
AB - BACKGROUND: Rooming-in, or keeping mothers and infants together throughout the
birth hospitalization, increases breastfeeding initiation and duration, and is
one of the Ten Steps to Successful Breastfeeding. METHODS: The Centers for
Disease Control and Prevention's (CDC) Maternity Practices in Infant Nutrition
and Care (mPINC) survey is a biennial census of all birth facilities in the
United States and its territories. Data from the 2007-2015 mPINC surveys were
used to assess trends in the prevalence of hospitals with most (>=90%) infants
rooming-in more than 23 hours per day (ideal practice). Hospital practices among
breastfed infants not rooming-in at night and reasons why hospitals without ideal
rooming-in practices removed healthy, full-term, breastfed infants from their
mothers' rooms were also analyzed. RESULTS: The percentage of hospitals with
ideal practice increased from 27.8% in 2007 to 51.4% in 2015. Most breastfed
infants who were not rooming-in were brought to their mothers at night for
feedings (91.8% in 2015). Among hospitals without ideal rooming-in practices, the
percentage removing 50% or more of infants from their mothers' rooms at any point
during the hospitalization decreased for all reasons surveyed during 2007-2015;
however, in 2015, hospitals still reported regularly removing infants for hearing
tests (73.2%), heel sticks (65.5%), infant baths (40.2%), pediatric rounds
(35.5%), and infant photos (25.4%). CONCLUSIONS: Hospital implementation of
rooming-in increased 23.6 percentage points during 2007-2015. Continued efforts
are needed to ensure that all mothers who choose to breastfeed receive optimal
lactation support during the first days after giving birth.
PMID- 29806100
TI - Advanced heart failure: a position statement of the Heart Failure Association of
the European Society of Cardiology.
AB - This article updates the Heart Failure Association of the European Society of
Cardiology (ESC) 2007 classification of advanced heart failure and describes new
diagnostic and treatment options for these patients. Recognizing the patient with
advanced heart failure is critical to facilitate timely referral to advanced
heart failure centres. Unplanned visits for heart failure decompensation,
malignant arrhythmias, co-morbidities, and the 2016 ESC guidelines criteria for
the diagnosis of heart failure with preserved ejection fraction are included in
this updated definition. Standard treatment is, by definition, insufficient in
these patients. Inotropic therapy may be used as a bridge strategy, but it is
only a palliative measure when used on its own, because of the lack of outcomes
data. Major progress has occurred with short-term mechanical circulatory support
devices for immediate management of cardiogenic shock and long-term mechanical
circulatory support for either a bridge to transplantation or as destination
therapy. Heart transplantation remains the treatment of choice for patients
without contraindications. Some patients will not be candidates for advanced
heart failure therapies. For these patients, who are often elderly with multiple
co-morbidities, management of advanced heart failure to reduce symptoms and
improve quality of life should be emphasized. Robust evidence from prospective
studies is lacking for most therapies for advanced heart failure. There is an
urgent need to develop evidence-based treatment algorithms to prolong life when
possible and in accordance with patient preferences, increase life quality, and
reduce the burden of hospitalization in this vulnerable patient population.
PMID- 29806101
TI - Effect of propolis on preserving human periodontal ligament cells and regulating
pro-inflammatory cytokines.
AB - BACKGROUND/AIM: Propolis has been suggested as a storage medium for avulsed
teeth. The aim of this study was to compare the effectiveness of Brazilian
propolis with Hank's balanced salt solution and milk in maintaining the viability
of human periodontal ligament cells, their osteogenic differentiation potential,
and pro-inflammatory cytokine expression. MATERIAL AND METHODS: Cell Counting Kit
8 assays were performed to test human periodontal ligament cell viability in
different storage media. The preservative effect on osteogenic differentiation
was evaluated using alkaline phosphatase staining and activity assays, Alizarin
Red S staining, and western blotting. Quantification of pro-inflammatory
cytokines was performed using real-time PCR and enzyme-linked immunosorbent
assays. RESULTS: Brazilian propolis at 10 MUg/ml was not cytotoxic toward human
periodontal ligament cells. The milk group showed the highest cell viability.
Brazilian propolis and Hank's balanced salt solution groups showed similar cell
viabilities. Alkaline phosphatase staining and activity were similar in all
groups. Calcium deposition and mineralization nodule formation were similar in
the Brazilian propolis and Hank's balanced salt solution groups, but were higher
in the milk group. Osteogenic marker gene and protein levels were similar in all
groups. The genes and protein expression levels of IL1beta, IL6, and IL8
decreased significantly after treatment with Brazilian propolis. TNFalpha mRNA
expression showed no significant difference among the experimental groups. Pro
inflammatory cytokine levels in the milk group were higher than in the Brazilian
propolis and Hank's balanced salt solution groups. CONCLUSIONS: Brazilian
propolis, Hank's balanced salt solution, and milk maintained the viability of
human periodontal ligament cells and preserved their osteogenic differentiation
ability similarly. However, Brazilian propolis showed a better anti-inflammatory
effect. This article is protected by copyright. All rights reserved.
PMID- 29806102
TI - Increasing radiology capacity within the lung cancer pathway: centralised work
based support for trainee chest X-ray reporting radiographers.
AB - INTRODUCTION: Diagnostic capacity and time to diagnosis are frequently identified
as a barrier to improving cancer patient outcomes. Maximising the contribution of
the medical imaging workforce, including reporting radiographers, is one way to
improve service delivery. METHODS: An efficient and effective centralised model
of workplace training support was designed for a cohort of trainee chest X-ray
(CXR) reporting radiographers. A comprehensive schedule of tutorials was planned
and aligned with the curriculum of a post-graduate certificate in CXR reporting.
Trainees were supported via a hub and spoke model (centralised training model),
with the majority of education provided by a core group of experienced CXR
reporting radiographers. Trainee and departmental feedback on the model was
obtained using an online survey. RESULTS: Fourteen trainees were recruited from
eight National Health Service Trusts across London. Significant efficiencies of
scale were possible with centralised support (48 h) compared to traditional
workplace support (348 h). Trainee and manager feedback overall was positive.
Trainees and managers both reported good trainee support, translation of learning
to practice and increased confidence. Logistics, including trainee travel and
release, were identified as areas for improvement. CONCLUSION: Centralised
workplace training support is an effective and efficient method to create
sustainable diagnostic capacity and support improvements in the lung cancer
pathway.
PMID- 29806103
TI - A new variable interval schedule with constant hazard rate and finite time range.
AB - We propose a new variable interval (VI) schedule that achieves constant
probability of reinforcement in time while using a bounded range of intervals. By
sampling each trial duration from a uniform distribution ranging from 0 to 2 T
seconds, and then applying a reinforcement rule that depends linearly on trial
duration, the schedule alternates reinforced and unreinforced trials, each less
than 2 T seconds, while preserving a constant hazard function.
PMID- 29806104
TI - Dermal xanthomatous infiltrates after brentuximab vedotin therapy in mycosis
fungoides with large-cell transformation: A novel histologic finding.
AB - Mycosis fungoides (MF) is the most common variant of cutaneous T-cell lymphomas.
Large-cell transformation of MF has been associated with disease progression and
overall poor outcome. The expression of CD30, which defines anaplastic large cell
lymphoma (ALCL) and lymphomatoid papulosis, might also occur in a subset of
patients with MF, with or without large-cell transformation. Brentuximab vedotin
is an anti-CD30 monoclonal antibody which has been proven to be a safe and
effective therapeutic agent in the treatment of CD30-positive lymphomas, such as
Hodgkin lymphoma and ALCL. Recently, brentuximab vedotin has been shown to have a
significant clinical activity in treatment-refractory or advanced MF or Sezary
syndrome with a wide-range of CD30 expression levels. We report a patient with MF
tumor stage with large-cell transformation and low CD30 expression with good
response to brentuximab vedotin and unusual extensive xanthomatous changes in the
follow-up biopsy.
PMID- 29806105
TI - Inhibitory and inductive effects of Corydalis saxicola Bunting total alkaloids
(CSBTA) on cytochrome P450s in rats.
AB - Corydalis saxicola Bunting, a well-known traditional Chinese medicine in south
China, has been widely used for the treatment of various hepatic diseases. Its
active ingredients are Corydalis saxicola Bunting total alkaloids (CSBTA), which
primarily include dehydrocavidine, palmatine, and berberine. These representative
alkaloids could be metabolized by hepatic CYP450s. Hence, it is necessary to
investigate the potential influences of CSBTA on CYP450s to explore the
possibility of herb-drug interactions. In present study, in vitro inhibition and
in vivo induction studies were performed to evaluate the potential effects of
CSBTA extract on CYP450s in rats. Inhibition assay illustrated that CSBTA exerted
inhibitory effects on CYP1A2 (IC50 , 38.08 MUg/ml; Ki , 14.3 MUg/ml), CYP2D1
(IC50 , 20.89 MUg/ml; Ki , 9.34 MUg/ml), CYP2C6/11 (IC50 for diclofenac and S
mephenytoin, 56.98 and 31.59 MUg/ml; Ki, 39.0 and 23.8 MUg/ml), and CYP2B1 (IC50
, 48.49 MUg/ml; Ki , 36.3 MUg/ml) in a noncompetitive manner. Induction study
showed CSBTA had obvious inhibitory rather than inductive effects on CYP1A2 and
CYP2C6/11. Interestingly, neither inhibition nor induction on CYP3A was observed
for CSBTA. In conclusion, CSBTA-drug interactions might occur through CYP450s
inhibition, particularly CYP1A and CYP2D. Further studies are still needed to
elucidate the underlying mechanisms of inhibition.
PMID- 29806106
TI - Structural differences between myofibrillar protein, paratropomyosin, and
tropomyosin as revealed by high-performance liquid chromatography.
AB - Paratropomyosin (PTM) composes myofibril functions to weaken the rigor linkages
formed between actin and myosin during postmortem aging of muscles. PTM has the
similar physico-chemical properties as tropomyosin (TM) that is a regulatory
protein of myofibrils. So far, it is unclear whether PTM is definitely different
from TM, because the primary structure of PTM has not been determined yet. The
aim of this study was to clarify structural difference of PTM from TM. PTM was
prepared by column chromatography immediately after slaughter from broiler breast
muscle, and purified by high-performance liquid chromatography (HPLC). Purified
PTM was successfully separated from TM, and the recovered PTM molecule was
reduced with dithiothreitol to separate again by HPLC. Two subunits were obtained
and peptides from each digested subunit by V8 protease were recovered by HPLC,
and then amino acid sequences of the peptides were analyzed by protein
sequencing. As a result, some amino acid residues were replaced from that of
TMalpha1 isoform which is the major isoform of TM, and also was different between
the two subunits. Therefore, it is concluded that PTM clearly differs from TM and
it is suggested that functional difference in PTM from TM is attributed to amino
acid replacements in subunits composing PTM.
PMID- 29806107
TI - Hemodynamic arteriosclerotic syndrome - A vicious cycle of hemodynamic stress and
vascular disease.
PMID- 29806108
TI - Mass Measurements Reveal Preferential Sorption of Mixed Solvent Components in
Porous Nanoparticles.
AB - The interplay of physical and chemical properties at the nanometer scale provides
porous nanoparticles with unique sorption and interaction capabilities. These
properties have aroused great interest toward this class of materials for
application ranging from chemical and biological sensing to separation and drug
delivery. However, so far the preferential uptake of different components of
mixed solvents by porous nanoparticles is not measured due to a lack of methods
capable of detecting the resulting change in physical properties. Here, a new
method, nanomechanical mass correlation spectroscopy, is used to reveal an
unexpected dependence of the effective mass density of porous metal-organic
framework (MOF) nanoparticles on the chemistry of the solvent system and on the
chemical functionalization of the MOF's internal surface. Interestingly, the pore
size of the nanoparticles is much too large for the exclusion of small solvent
molecules by steric hindrance. The variation of effective density of the
nanoparticles with the solvent composition indicates that a complex solvent
environment can form within or around the nanoparticles, which may substantially
differ from the solvent composition.
PMID- 29806109
TI - Effects of inflammation on social processes and implications for health.
AB - Although at first glance inflammation and social behavior may appear unrelated,
research points to an important role for inflammation in shaping social
processes. This review summarizes findings in this field, specifically
highlighting work that provides support for the idea that inflammation can lead
to (1) increases in sensitivity to negative, threatening social experiences and
(2) increases in sensitivity to positive, socially rewarding experiences. These
diverging sensitivities in response to inflammation may depend on context and be
adaptive for recuperation and recovery from illness. This review also discusses
the implications of these findings for health and future research, including
implications for depression, loneliness, and inflammatory disorders.
PMID- 29806110
TI - Synthesis, Crystallization Studies, and in vitro Characterization of Cinnamic
Acid Derivatives as SmHDAC8 Inhibitors for the Treatment of Schistosomiasis.
AB - Schistosomiasis is a neglected parasitic disease that affects more than 265
million people worldwide and for which the control strategy relies on mass
treatment with only one drug: praziquantel. Based on the 3-chlorobenzothiophene-2
hydroxamic acid J1075, a series of hydroxamic acids with different scaffolds were
prepared as potential inhibitors of Schistosoma mansoni histone deacetylase 8
(SmHDAC8). The crystal structures of SmHDAC8 with four inhibitors provided
insight into the binding mode and orientation of molecules in the binding pocket
as well as the orientation of its flexible amino acid residues. The compounds
were evaluated in screens for inhibitory activity against schistosome and human
HDACs. The most promising compounds were further investigated for their activity
toward the major human HDAC isotypes. The most potent inhibitors were
additionally screened for lethality against the schistosome larval stage using a
fluorescence-based assay. Two of the compounds showed significant, dose-dependent
killing of the schistosome larvae and markedly impaired egg laying of adult worm
pairs maintained in culture.
PMID- 29806111
TI - What's new in psoriasis treatment? An analysis of systematic reviews published in
2015.
AB - This review provides a summary of key findings from 27 systematic reviews of 51
articles first published or indexed during 2015, focusing on the treatment of
psoriasis and on precision medicine in psoriasis. The evidence supports weight
loss interventions by dieting and exercise for improvement in disease severity in
overweight and obese patients with psoriasis. No significant increased risk of
serious infections was reported for the biologic therapies adalimumab, etanercept
and ustekinumab compared with appropriate comparators. Evidence could not provide
reliable estimates of rare adverse events, emphasizing the need for large
prospective registries. Polymorphisms in the tumour necrosis factor (TNF)-alpha
gene may confer improved responses to TNF inhibitor (TNFI) therapy, but the
studies to date lack power to detect a true association. From the limited
available evidence, multidisciplinary management is both more effective and more
satisfactory for patients with psoriasis and psoriatic arthritis than
conventional consultations. This summary of reviews provides a succinct guide for
clinicians and patients wishing to remain up to date with high-quality evidence
for the treatment of psoriasis.
PMID- 29806112
TI - Does a tailored guideline implementation strategy have an impact on clinical
physiotherapy practice? A nonrandomized controlled study.
AB - RATIONALE, AIMS, AND OBJECTIVES: Clinical practice guidelines are a common
strategy for implementing research findings into practice and facilitating
evidence-based practice in health care settings. There is a paucity of knowledge
about the impact of different guideline implementation strategies on clinical
practice in a physiotherapy context. The study aimed to assess the impact of a
guideline implementation intervention on clinical physiotherapy practice.
METHODS: A tailored, multicomponent guideline implementation was compared with
usual practice. Clinical practice was evaluated in physiotherapy treatment
methods used for 3 common musculoskeletal disorders. Data were collected with a
validated web-based questionnaire. RESULTS: Postimplementation data were
collected from 168 physiotherapists in the intervention group and 88 in the
control group. The most frequently reported treatment methods for low back pain
were advice on posture (reported by 95% in the intervention group vs 90% in the
control group), advice to stay active (93% vs 90%), and stabilization exercise
(88% vs 80%). Differences between groups were not significant. Reported use of
body awareness training (23% vs 6%, P = .023) and spinal manipulation (9% vs 23%,
P = .044) differed between the groups. The most frequently used treatment methods
for neck pain were advice on posture (95% vs 92%), advice to stay active (89% vs
87%), and ROM exercise (85% vs 71%) (no significant differences between groups).
Reported use of body awareness training (24% vs 7%, P = .023) differed between
the groups. The most frequently used treatment methods for subacromial pain were
range of motion exercises (reported by 93% in both groups), advice on posture
(90% vs 87%), home exercise (77% vs 74%), and stabilization exercise (69% vs 66%)
(no significant difference between groups). CONCLUSIONS: Treatment methods used
were largely in line with evidence already before the guideline implementation,
which may explain why the guideline implementation had only little impact on
clinical practice.
PMID- 29806113
TI - Rapid diagnosis of three shrimp RNA viruses using RT-PCR-DNA chromatography.
PMID- 29806114
TI - State of the art review with literature summary on gastric peroral endoscopic
pyloromyotomy for gastroparesis.
AB - Gastroparesis is a debilitating progressive disease that significantly impacts a
patient's life with limited and challenging treatments available. Although the
pathogenesis is multifactorial, pylorospasm is believed to have a major
underlying role. Several therapeutic interventions directed to the pylorus have
been developed over the last decade, including intra-pyloric injections of
botulinum toxin, transpyloric stenting, and surgical pyloroplasty. All of these
treatment options had limited and disappointing results. More recently, gastric
peroral endoscopic myotomy (G-POEM) has been reported as a treatment for
refractory gastroparesis. In this review article, we provide an overview on
gastroparesis with a focus on the therapeutic interventions. In addition, we
provide a literature summary and pool analysis of the clinical efficacy,
scintigraphic efficacy, and safety profile of all studies that evaluated G-POEM
in gastroparesis. Overall, seven studies have reported on the use of G-POEM in
gastroparesis, and the pooled analysis of these studies showed a technical
success of 100%, with clinical efficacy as assessed by the Gastroparesis Cardinal
Symptoms Index of 81.5%, gastric emptying scintigraphy normalization in
approximately 55.5% of the cases, perioperative complications in 7.6%, and
intraoperative complications in 6.6%. This suggests that G-POEM is a new
promising therapeutic intervention for the treatment of gastroparesis with
durable effect and limited potential adverse events.
PMID- 29806115
TI - Recent Advances in Biointegrated Optoelectronic Devices.
AB - With recent progress in the design of materials and mechanics, opportunities have
arisen to improve optoelectronic devices, circuits, and systems in curved,
flexible, stretchable, and biocompatible formats, thereby enabling integration of
customized optoelectronic devices and biological systems. Here, the core material
technologies of biointegrated optoelectronic platforms are discussed. An overview
of the design and fabrication methods to form semiconductor materials and devices
in flexible and stretchable formats is presented, strategies incorporating
various heterogeneous substrates, interfaces, and encapsulants are discussed, and
their applications in biomimetic, wearable, and implantable systems are
highlighted.
PMID- 29806116
TI - A Generalizable Top-Down Nanostructuring Method of Bulk Oxides: Sequential Oxygen
Nitrogen Exchange Reaction.
AB - A thermal reaction route that induces grain fracture instead of grain growth is
devised and developed as a top-down approach to prepare nanostructured oxides
from bulk solids. This novel synthesis approach, referred to as the sequential
oxygen-nitrogen exchange (SONE) reaction, exploits the reversible anion exchange
between oxygen and nitrogen in oxides that is driven by a simple two-step thermal
treatment in ammonia and air. Internal stress developed by significant structural
rearrangement via the formation of (oxy)nitride and the creation of oxygen
vacancies and their subsequent combination into nanopores transforms bulk solid
oxides into nanostructured oxides. The SONE reaction can be applicable to most
transition metal oxides, and when utilized in a lithium-ion battery, the produced
nanostructured materials are superior to their bulk counterparts and even
comparable to those produced by conventional bottom-up approaches. Given its
simplicity and scalability, this synthesis method could open a new avenue to the
development of high-performance nanostructured electrode materials that can meet
the industrial demand of cost-effectiveness for mass production.
PMID- 29806117
TI - Poly(vinyl diaminotriazine): From Molecular Recognition to High-Strength
Hydrogels.
AB - Poly(2-vinyl-4,6-diamino-1,3,5-triazine), (PVDT) with diaminotriazine residues is
found to form not only intramolecular hydrogen bonds, but also three robust,
complementary hydrogen bonds with nucleobases such as thymine and uracil. Taking
advantage of the three complementary hydrogen bonds, molecular recognition of a
nucleic acid base has been investigated in previous work. Over the past few
years, the use of PVDT has been extended to the construction of gene delivery
vectors and nonswellable, high-strength hydrogels by copolymerization with a
hydrophilic monomer and/or crosslinker. In particular, many fascinating
properties, such as excellent mechanical properties, stimuli responsiveness, the
shape memory effect, and biodegradability, have emerged in PVDT-based hydrogels.
In this article, the molecular recognition and self-assembly of diaminotriazine
are introduced first, and then a particular focus is placed on the development of
PVDT-based high performance hydrogels, especially their biorelated applications.
PMID- 29806118
TI - Molecular detection, isolation and characterization of Peste-des-petits ruminants
virus from goat milk from outbreaks in Bangladesh and its implication for
eradication strategy.
AB - Peste-des-petits ruminants (PPR) is a highly contagious transboundary viral
disease of small ruminants, which is endemic in much of Africa, the Middle East
and Asia. In South Asia, PPR is of significant concern to the Indian subcontinent
including Bangladesh as more than 30% of the world's sheep and goats are farmed
in this region, predominantly by small, poor and marginal farmers. PPR virus was
detected and isolated from goat milk from field samples from PPR outbreaks (2012
2015) in Bangladesh and its full-length sequences obtained. Sequence analysis of
the partial N gene of Bangladesh isolates showed 99.3%-100% identity whereas
98.2%-99.6% identity was observed when compared with neighbouring Indian viruses.
Further analysis of the full-length genomes indicated that the Bangladesh
isolates were 99.3%-99.99% identical among themselves and 98.3%-98.4% identical
to neighbouring Indian viruses. These findings further support the transboundary
transmission of PPR virus across the Indian and Bangladesh border. In additional,
the establishment of a cross-border strategy between India and Bangladesh will be
of paramount importance for the eradication of PPR in this region. Molecular
detection and isolation of PPR virus from milk is of significant potential
concern for spread of the disease to free areas as the major producers of goat
milk globally are PPR endemic countries in particular India and Bangladesh, as
well as Sudan. Milk is a noninvasive sample type and bulk goat milk sampling for
the detection of PPRV would be of practical significance for regional
surveillance of PPRV as progress is made towards the targeted 2030 eradication.
PMID- 29806119
TI - The need for appropriate registration of pregnancy outcomes under newer oral
glucose-lowering therapies.
AB - Because of the increase in type 2 diabetes (T2DM) in young adults, women of
childbearing age are frequently treated with newer glucose-lowering therapies,
and an increase in unintentional exposure to therapies unapproved for use during
pregnancy is expected. The clinician is left with the dilemma of deciding between
discontinuation of a novel agent that is providing excellent glycaemic control,
while switching to other agents may cause deterioration of glycaemia, and
continued use of novel agents that may have uncertain effects on the unborn
child. For T2DM, pregnancy data are collected only via spontaneous reporting
systems. Therefore, we evaluated the available data on pregnancy outcomes under
newer glucose-lowering agents in pharmaceutical safety databases. We found that
data on pregnancy outcomes with new glucose-lowering agents in T2DM are scarce,
with a high risk of bias towards negative outcomes, limiting their usefulness in
robustly assessing safety. Because of the lack of information at present, these
agents are not recommended for use during pregnancy or when planning pregnancy.
To better guide clinical practice, structured systems of assessing pregnancy
outcomes in women receiving these novel agents are urgently needed.
PMID- 29806120
TI - Incubation temperature influences the behavioral traits of a young precocial
bird.
AB - The environment in which animals develop can have important consequences for
their phenotype. In reptiles, incubation temperature is a critical aspect of the
early developmental environment. Incubation temperature influences morphology,
physiology, and behavior of non-avian reptiles, however, little is known about
how incubation temperature influences offspring phenotype and behaviors important
to avian survival. To investigate whether incubation temperature influences avian
behaviors, we collected wood duck (Aix sponsa) eggs from the field and incubated
them at three naturally occurring incubation temperatures (35.0, 35.8, and 37.0
degrees C). We conducted multiple repeated behavioral trials on individual
ducklings between 5 and 15 days post-hatch to assess activity, exploratory, and
boldness behaviors, classified along a proactive-reactive continuum. We measured
growth rates and circulating levels of baseline and stress-induced corticosterone
levels to investigate possible physiological correlates of behavior. Ducklings
incubated at the lowest temperature displayed more proactive behaviors than those
incubated at the two higher temperatures. We also found that younger ducklings
exhibited more proactive behavior than older ducklings and males exhibited more
proactive behavior than females. Further, duckling behaviors were repeatable
across time and contexts, indicative of a proactive-reactive continuum of
behavioral tendencies. However, neither corticosterone levels nor growth rates
were related to behavior. This provides some of the first evidence that
incubation temperature, a critical parental effect, influences avian offspring
behaviors that may be important for survival. Our results identify incubation
temperature as a mechanism that contributes to the development of behavioral
traits and, in part, explains how multiple behavioral types may be maintained
within populations.
PMID- 29806121
TI - Linear erythronychia with a nick in the free edge of the nail.
PMID- 29806122
TI - Effects of chlorogenic acid (CGA) supplementation during in vitro maturation
culture on the development and quality of porcine embryos with electroporation
treatment after in vitro fertilization.
AB - Electroporation is the technique of choice to introduce an exogenous gene into
embryos for transgenic animal production. Although this technique is practical
and effective, embryonic damage caused by electroporation treatment remains a
major problem. This study was conducted to evaluate the optimal culture system
for electroporation-treated porcine embryos by supplementation of chlorogenic
acid (CGA), a potent antioxidant, during in vitro oocyte maturation. The oocytes
were treated with various concentrations of CGA (0, 10, 50, and 100 MUmol/L)
through the duration of maturation for 44 hr. The treated oocytes were then
fertilized, electroporated at 30 V/mm with five 1 msec unipolar pulses, and
subsequently cultured in vitro until development into the blastocyst stage.
Without electroporation, the treatment with 50 MUmol/L CGA had useful effects on
the maturation rate of oocytes, the total cell number, and the apoptotic nucleus
indices of blastocysts. When the oocytes were electroporated after in vitro
fertilization, the treatment with 50 MUmol/L CGA supplementation significantly
improved the rate of oocytes that developed into blastocysts and reduced the
apoptotic nucleus indices (4.7% and 7.6, respectively) compared with those of the
untreated group (1.4% and 13.0, respectively). These results suggested that
supplementation with 50 MUmol/L CGA during maturation improves porcine embryonic
development and quality of electroporation-treated embryos.
PMID- 29806123
TI - Recent Advances in the Separation of Rare Earth Elements Using Mesoporous Hybrid
Materials.
AB - Over the past decades, the need for rare earth elements (REEs) has increased
substantially, mostly because these elements are used as valuable additives in
advanced technologies. However, the difference in ionic radius between
neighboring REEs is small, which renders an efficient sized-based separation
extremely challenging. Among different types of extraction methods, solid-phase
extraction (SPE) is a promising candidate, featuring high enrichment factor,
rapid adsorption kinetics, reduced solvent consumption and minimized waste
generation. The great challenge remains yet to develop highly efficient and
selective adsorbents for this process. In this regard, ordered mesoporous
materials (OMMs) possess high specific surface area, tunable pore size, large
pore volume, as well as stable and interconnected frameworks with active pore
surfaces for functionalization. Such features meet the requirements for enhanced
adsorbents, not only providing huge reactional interface and large surface
capable of accommodating guest species, but also enabling the possibility of ion
specific binding for enrichment and separation purposes. This short personal
account summarizes some of the recent advances in the use of porous hybrid
materials as selective sorbents for REE separation and purification, with
particular attention devoted to ordered mesoporous silica and carbon-based
sorbents.
PMID- 29806124
TI - A Fully Biodegradable Battery for Self-Powered Transient Implants.
AB - Biodegradable transient devices represent an emerging type of electronics that
could play an essential role in medical therapeutic/diagnostic processes, such as
wound healing and tissue regeneration. The associated biodegradable power
sources, however, remain as a major challenge toward future clinical
applications, as the demonstrated electrical stimulation and sensing functions
are limited by wired external power or wireless energy harvesters via near-field
coupling. Here, materials' strategies and fabrication schemes that enable a high
performance fully biodegradable magnesium-molybdenum trioxide battery as an
alternative approach for an in vivo on-board power supply are reported. The
battery can deliver a stable high output voltage as well as prolonged lifetime
that could satisfy requirements of representative implantable electronics. The
battery is fully biodegradable and demonstrates desirable biocompatibility. The
battery system provides a promising solution to advanced energy harvesters for
self-powered transient bioresorbable implants as well as eco-friendly
electronics.
PMID- 29806126
TI - The Evolution of Family Planning Programs.
PMID- 29806127
TI - Multiple red-brown nodules and plaques on the face, trunk, and bilateral elbows.
PMID- 29806125
TI - Two-photon optical imaging, spectral and fluorescence lifetime analysis to
discriminate urothelial carcinoma grades.
AB - In the framework of urologic oncology, mini-invasive procedures have increased in
the last few decades particularly for urothelial carcinoma. One of the essential
elements in the management of this disease is still the diagnosis, which strongly
influences the choice of treatment. The histopathologic evaluation of the tumor
grade is a keystone of diagnosis, and tumor characterization is not possible with
just a macroscopic evaluation. Even today intraoperative evaluation remains
difficult despite the emergence of new technologies which use exogenous
fluorophore. This study assessed an optical multimodal technique based on
endogenous fluorescence, combining qualitative and quantitative analysis, for the
diagnostic of urothelial carcinoma. It was found that the combination of two
photon fluorescence, second harmonic generation microscopy, spectral analysis and
fluorescence lifetime imaging were all able to discriminate tumor from healthy
tissue, and to determine the grade of tumors. Spectral analysis of fluorescence
intensity and the redox ratio used as quantitative evaluations showed statistical
differences between low-grade and high-grade tumors. These results showed that
multimodal optical analysis is a promising technology for the development of an
optical fiber setup designed for an intraoperative diagnosis of urothelial
carcinoma in the area of endo-urology.
PMID- 29806128
TI - The rapidly evolving lesions of ulcerative pyoderma gangrenosum: a timeline.
PMID- 29806129
TI - Infectious pancreatic necrosis virus isolated from farmed rainbow trout and
tilapia in Kenya is identical to European isolates.
AB - Infectious pancreatic necrosis virus (IPNV) is an aquabirnavirus that causes
serious diseases in a variety of fish species worldwide. It has been isolated
from a large number of healthy fresh and marine water fish. Prior to this study,
there was no record of the presence of IPNV infection in Kenya. Here, the
presence of IPNV in farmed rainbow trout and tilapia was examined in Nyeri County
of central Kenya. Head kidney samples taken from five rainbow trout and three
tilapia farms and stored in RNALater(r) were processed by PCR followed by
sequencing of a segment A fragment covering nucleotide positions 2,120-2,343 bp.
IPNV was detected in all the farms sampled with infection ratios ranging from 0.3
to 0.78 although the infections were not associated with any specific clinical
signs of disease. These findings were supported by immunohistochemistry staining
of the virus in the kidney and exocrine pancreas of rainbow trout. Sequence
alignment and phylogenetic analysis revealed that the Kenyan isolates were
identical to European isolates, suggesting a common origin. These findings
highlight the need for better biosecurity procedures with more stringent
surveillance programmes and control for fish diseases, especially focusing on
imported breeding materials to Kenya.
PMID- 29806130
TI - Rapid visual detection of cyprinid herpesvirus 2 by recombinase polymerase
amplification combined with a lateral flow dipstick.
AB - Herpesviral haematopoietic necrosis (HVHN), caused by cyprinid herpesvirus 2
(CyHV-2), causes significant losses in crucian carp (Carassius carassius)
aquaculture. Rapid and convenient DNA assay detection of CyHV-2 is useful for
field diagnosis. Recombinase polymerase amplification (RPA) is a novel isothermal
DNA amplification and detection technology that can amplify DNA within 30 min at
~37 degrees C by simulating in vivo DNA recombination. Herein, a rapid and
convenient detection assay based on RPA with a lateral flow dipstick (LFD) was
developed for detecting CyHV-2. The highly conserved ORF72 of CyHV-2 was targeted
by specific and sensitive primers and probes. The optimized assay takes only 15
min at 38 degrees C using a water bath, with analysis of products by 2% agarose
gel electrophoresis within 30 min. A simple lateral flow strip based on the
unique probe in reaction buffer was developed for visualization. The entire RPA
LFD assay takes 50 min less than the routine PCR method, is 100 times more
sensitive and displays no cross-reaction with other aquatic viruses. The combined
isothermal RPA and lateral flow assay (RPA-LFD) provides a simple, rapid,
reliable method that could improve field diagnosis of CyHV-2 when resources are
limited.
PMID- 29806131
TI - Effect of body position on reflex and voluntary pelvic floor muscle contraction
during a distraction task.
AB - AIMS: To explore the impact of body position (sitting vs standing) on voluntary
and reflex pelvic floor muscle (PFM) contraction during a mental distraction task
(DT). METHODS: Informed consent was obtained from 19 healthy women. The
electromyographic (EMG) activity of the external anal sphincter (EAS) was
recorded during voluntary and reflex contraction. Reflex contraction of the EAS
was induced by means of coughing. The trials were carried out with and without a
mental DT (paced auditory serial additional test). PFM contraction was recorded
in two different postures: standing and sitting. During voluntary PFM
contraction, reaction time (RT1), defined as latency between the stimulus and the
onset of EAS EMG activity, was measured. During reflex PFM contraction, latency
between the onset of external intercostal (EIC) muscle EMG activity and EAS EMG
activity was measured (RT3). RESULTS: Concerning voluntary pelvic floor muscle
contraction, there was no difference between the two positions with and without
DT, except for RT1 without DT. RT1 was shorter (353.99 mv/s; 263.89-425.03) in a
standing position than in a sitting position (409.86 mv/s; 361.86-461.90) (ratio
0.86, P = 0.014). Concerning reflex PFM contraction, there was no difference
between standing position RT3 (-96 ms; IQR: -114: -62) and sitting position RT3 (
80 ms; IQR: -100; -51) (ratio 1.2, P = 0.225) without DT. With DT, reflex PFM was
also not different (-36 vs -34 ms, ratio 1.06, P = 0.86). CONCLUSIONS: Body
position did not influence the timing of voluntary and reflex PFM contraction
during DT.
PMID- 29806132
TI - An investigation of the effects of curcumin on iron overload, hepcidin level, and
liver function in beta-thalassemia major patients: A double-blind randomized
controlled clinical trial.
AB - This study investigated the effects of curcumin, the active polyphenol in
turmeric, on iron overload, hepcidin level, and liver function in beta
thalassemia major patients. This double-blind randomized controlled clinical
trial was conducted on 68 beta-thalassemia major patients. The subjects were
randomly divided into 2 groups to receive either 500 mg curcumin capsules (total:
1,000 mg) twice daily or placebo for 12 weeks. Dietary intakes and biochemical
variables including hemoglobin, transferrin saturation, total iron binding
capacity, nontransferrin bound iron (NTBI), ferritin, hepcidin, alanine
aminotransferase (ALT), and aspartate aminotransferase (AST) were assessed at the
beginning and end of the trial. Curcumin significantly reduced serum levels of
NTBI (2.83 +/- 1.08 compared with 2.22 +/- 0.97 MUmol/L, p = .001), ALT (42.86 +/
11.15 compared with 40.60 +/- 9.89 U/L, p = .018), and AST (49.45 +/- 12.39
compared with 46.30 +/- 10.85 U/L, p = .002) at the end of the study. Based on
analysis of covariance, a significant decrease was also observed in levels of
NTBI (2.22 +/- 0.97 vs. 2.55 +/- 0.94 MUmol/L, p = .026), ALT (40.60 +/- 9.89 vs.
45.01 +/- 10.42 U/L, p = .004), and AST (46.30 +/- 10.85 vs. 50.99 +/- 9.36 U/L,
p = .009) in curcumin group in comparison with placebo group. There were no
significant changes in hepcidin and other variables in any of the 2 groups.
Curcumin administration alleviated iron burden and liver dysfunction by reducing
NTBI, ALT, and AST levels in patients with beta-thalassemia major.
PMID- 29806133
TI - Probiotic supplementation improves reproductive performance of unvaccinated
farmed sows infected with porcine epidemic diarrhea virus.
AB - We investigated if probiotic supplementation could improve the health and
reproductive performance of unvaccinated lactating sows infected with porcine
epidemic diarrhea (PED) virus. Twenty unvaccinated pregnant sows were equally
allocated to probiotic-supplemented (P) and control (C) groups. For the
experiment, 15 g/day of probiotic compound BIO-THREE PZ was given to P sows.
Reproductive performance was checked daily. The number of neonates fostered by
each sow was maintained at eight throughout the experiment. Individual milk
production post-parturition was measured twice. Milk protein and fat ratios were
determined by a milk analyzer. Total immunoglobulin (Ig) A and G concentrations
were measured by ELISA. At day 7 post-parturition, the body weight of P sows was
10 kg higher than that of C sows, and at day 3 post-parturition, P sows produced
more milk (+2 kg) and had a higher IgA concentration in whey than did C sows (p <
.05). Finally, unlike C sows, P sows tended to return to estrus faster, and had
larger piglets at birth with a lower mortality percentage during early days of
suckling. In conclusion, probiotic compound BIO-THREE PZ helped strengthen the
immune system of unvaccinated, PED-infected sows and improved their reproductive
performance.
PMID- 29806134
TI - Education level as a predictor of condom use in jail-incarcerated women, with
fundamental cause analysis.
AB - OBJECTIVE: To model condom usage by jail-incarcerated women incarcerated in US
local jails and understand results in terms of fundamental cause theory. DESIGN,
SAMPLE, MEASUREMENTS: We surveyed 102 women in an urban jail in the Midwest
United States. Chi-square tests and generalized linear modeling were used to
identify factors of significance for women who used condoms during last sex
compared with women who did not. Stepwise multiple logistic regression was
conducted to estimate the relation between the outcome variable and variables
linked to condom use in the literature. RESULTS: Logistic regression showed that
for women who completed high school odds of reporting condom use during last sex
were 2.78 times higher (p = .043) than the odds for women with less than a high
school education. Among women who responded no to ever having had a sexually
transmitted infection, odds of using a condom during last sex were 2.597 times (p
= .03) higher than odds for women who responded that they had had a sexually
transmitted infection. CONCLUSIONS: Education is a fundamental cause of
reproductive health risk among incarcerated women. We recommend interventions
that creatively target distal over proximal factors.
PMID- 29806135
TI - A CreER mouse to study melanin concentrating hormone signaling in the developing
brain.
AB - The neuropeptide, melanin concentrating hormone (MCH), and its G protein-coupled
receptor, melanin concentrating hormone receptor 1 (Mchr1), are expressed
centrally in adult rodents. MCH signaling has been implicated in diverse
behaviors such as feeding, sleep, anxiety, as well as addiction and reward. While
a model utilizing the Mchr1 promoter to drive constitutive expression of Cre
recombinase (Mchr1-Cre) exists, there is a need for an inducible Mchr1-Cre to
determine the roles for this signaling pathway in neural development and adult
neuronal function. Here, we generated a BAC transgenic mouse where the Mchr1
promotor drives expression of tamoxifen inducible CreER recombinase. Many aspects
of the Mchr1-Cre expression pattern are recapitulated by the Mchr1-CreER model,
though there are also notable differences. Most strikingly, compared to the
constitutive model, the new Mchr1-CreER model shows strong expression in adult
animals in hypothalamic brain regions involved in feeding behavior but diminished
expression in regions involved in reward, such as the nucleus accumbens. The
inducible Mchr1-CreER allele will help reveal the potential for Mchr1 signaling
to impact neural development and subsequent behavioral phenotypes, as well as
contribute to the understanding of the MCH signaling pathway in terminally
differentiated adult neurons and the diverse behaviors that it influences.
PMID- 29806136
TI - Nanoscale "Noise-Source Switching" during the Optoelectronic Switching of Phase
Separated Polymer Nanocomposites.
AB - A method is developed to directly map nanoscale "noise-source switching"
phenomena during the optoelectronic switching of phase-separated polymer
nanocomposites of tetrathiafulvalene (TTF) and phenyl-C61 -butyric acid methyl
ester (PCBM) molecules dispersed in a polystyrene (PS) matrix. In the method,
electrical current and noise maps of the nanocomposite film are recorded using a
conducting nanoprobe, enabling the mapping of a conductivity and a noise-source
density. The results provide evidence for a repeated modulation in noise sources,
a "noise-source switching," in each stage of a switching cycle. Interestingly,
when the nanocomposite is "set" by a high bias, insulating PS-rich phases shows a
drastic decrease in a noise-source density which becomes lower than that of
conducting TTF-PCBM-rich phases. This can be attributed to a trap filling by
charge carriers generated from a TTF (donor)-PCBM (acceptor) complex. In
addition, when the film is exposed to UV, an optical switching occurs due to
chemical reactions which lead to irreversible changes on the noise-source density
and conductivity. The method provides a new insight on noise-source activities
during the optoelectronic switching of polymer nanocomposites and thus can be a
powerful tool for basic noise research and applications in organic memory
devices.
PMID- 29806137
TI - Gastrointestinal and nutritional issues in children with neurological disability.
AB - : Neurological disability is often associated with feeding and gastrointestinal
disorders leading to malnutrition and growth failure. Assessment of nutritional
status represents the first step in the clinical evaluation of children with
neurological disability. The European Society of Gastroenterology, Hepatology,
and Nutrition (ESPGHAN) recently issued a consensus statement on gastrointestinal
and nutritional management in children with neurological disability. Here we
critically review and address implications of this consensus for clinical
practice, including assessment and monitoring of nutritional status, definition
of nutritional requirements, diagnosis and treatment of gastro-oesophageal reflux
disease, and indications for and modalities of nutritional support. There is a
strong evidence base supporting the ESPGHAN guidelines; their application is
expected to lead to better management of this group of children. WHAT THIS PAPER
ADDS: Assessment of nutritional status in children with neurological disability
should include the evaluation of body composition. Standard polymeric formula via
gastrostomy tube is an effective, long-term nutritional intervention. Tube
feeding should be started early, before the development of malnutrition.
PMID- 29806138
TI - An Organocatalytic Asymmetric Synthesis of Chiral beta,beta-Diaryl-alpha-amino
Acids via Addition of Azlactones to In Situ Generated para-Quinone Methides.
AB - An organocatalytic intermolecular C-C bond formation process leading to the
efficient synthesis of chiral beta,beta-diaryl-alpha-amino acid derivatives is
described. In the presence of a suitable chiral phosphoric acid catalyst, a range
of para-hydroxybenzyl alcohols serve as efficient precursors to para-quinone
methides and then react with azlactones in 1,6-conjugate addition reactions. The
asymmetric control has been carefully optimized together with diastereocontrol
enabled by identification of the reversible feature of the C-C bond formation and
subsequent inhibition by protection of the free hydroxy group in one pot.
Compared with previous approaches, including those with pre-synthesized para
quinone methides, this protocol provides an alternative and complementary step-
and pot-economical approach for the synthesis of chiral beta,beta-diaryl-alpha
amino acid derivatives.
PMID- 29806139
TI - EGCG: Potential application as a protective agent against grass carp reovirus in
aquaculture.
AB - Grass carp reovirus (GCRV) is the primary cause of grass carp haemorrhagic
disease. The major catechin in green tea, (-)-epigallocatechin-3-gallate (EGCG),
has been found to have anti-GCRV activity in the C. idellus kidney cell line
(CIK). The aim of this study was to test the potential application of EGCG as an
anti-GCRV agent in aquaculture. Here, we demonstrate that various concentrations
(99%, 50% and 35%) of EGCG could inhibit GCRV infectivity. EGCG (50%) + GCRV
treatment significantly reduced the number of dead fish at 1-, 2-, 3-, 4 -and 5
day post-challenge compared with the negative control (GCRV challenge without
EGCG treatment). The safety of EGCG compound products on cell survival was
studied using four fish cell lines; we did not detect a significant change in
cell viability within 24 hours of EGCG incubation. We also evaluated toxicity and
concentrations of malondialdehyde (MDA), glutathione (GSH) and lysozyme (LZM) in
the grass carp, and the results showed that even a high dose of EGCG did not
induce toxicity. Following EGCG compound injection, the concentration of MDA
decreased and the concentration of GSH and LZM increased compared with the
control groups. We also detected EGCG concentration in grass carp plasma and
kidney using HPLC with electrochemical detection after intraperitoneal injection
at a dose of 150 mg/kg. The concentration of EGCG in the plasma and kidney
reached the highest levels (20 MUg/ml and 1.5 MUg/ml) about 12 hr after injection
and then decreased. Overall, EGCG is a safe, effective product that could inhibit
GCRV infection and improve immunoactivity in aquaculture.
PMID- 29806141
TI - A Nanostructuring Method to Decouple Electrical and Thermal Transport through the
Formation of Electrically Triggered Conductive Nanofilaments.
AB - Transforming thermal energy into electric energy and vice versa needs the
decoupling of electrical transport from thermal transport. An innovative strategy
is proposed by forming/disrupting electrically triggered conductive nanofilaments
within semiconducting thin films to switch thermoelectric properties between two
states without further material modification and manufacturing processes. It can
also controllably adjust the degree of decoupling, providing a potential
resolution and performance adjustability for heat/coldness control or power
consumption reduction on demand.
PMID- 29806140
TI - The interplay between microbes and the immune response in inflammatory bowel
disease.
AB - The aetiology and pathogenesis of inflammatory bowel disease (IBD) remains
unclear but involves a complex interplay between genetic risk, environmental
exposures, the immune system and the gut microbiota. Nearly two decades ago, the
first susceptibility gene for Crohn's disease, NOD2, was identified within the
IBD 1 locus. Since then, over 230 genetic risk loci have been associated with IBD
and yet NOD2 remains the strongest association to date. As an intracellular
innate immune sensor of bacteria, investigations into host-microbe interactions,
involving both innate and adaptive immune responses, have become of particular
interest in understanding the pathogenesis of IBD. Advancements in sequencing
technology have lead to the groundbreaking characterization of the gut microbiota
and its role in health and disease. While an altered microbiome has been
described for IBD, whether it is a cause or an effect of the intestinal
inflammation has yet to be determined. Moreover, the bidirectional relationship
between the gut microbiota and the mucosal immune system adds to the multifaceted
complexity of intestinal homeostasis. A better understanding of how host
genetics, including NOD2, influence immune-microbe interactions and alter
susceptibility to IBD is necessary in order to develop therapeutic and
preventative treatments.
PMID- 29806142
TI - Comparison of Remission and Lupus Low Disease Activity State in Damage Prevention
in a United States Systemic Lupus Erythematosus Cohort.
AB - OBJECTIVE: One objective in the treatment of systemic lupus erythematosus (SLE)
disease activity is to reduce long-term rates of organ damage. We undertook this
study to analyze data from a large clinical SLE cohort to compare patients
achieving different levels of disease activity with respect to rates of long-term
damage. METHODS: We analyzed data from 1,356 SLE patients in the Hopkins Lupus
Cohort, followed up quarterly, with 77,105 person-months observed from 1987 to
2016. Three outcome measures were considered: clinical remission with no
treatment, clinical remission on treatment, and lupus low disease activity state
(LLDAS). RESULTS: Patients achieved LLDAS in 50% of their follow-up months. They
achieved clinical remission with no treatment or clinical remission on treatment
in only 13% and 27%, respectively, of their follow-up visits. The rates of damage
consistently declined with increased percentage of prior time in either LLDAS or
clinical remission on treatment. Spending a short proportion of prior time (<25%)
in clinical remission on treatment was associated with a relatively low rate of
damage compared to never achieving that condition (1.01 events per 10 person
years versus 1.82 events per 10 person-years; rate ratio 0.54, P < 0.0001). Those
patients who experienced LLDAS at least 50% of the time had relatively low rates
of damage (rate ratio 0.39-0.47, P < 0.0001). CONCLUSION: LLDAS is an easier
target to achieve than clinical remission on treatment and results in reduced
risk of long-term damage. However, even a small percentage of time in clinical
remission on treatment was associated with reduced damage.
PMID- 29806143
TI - Exploiting the Versatility of Polydopamine-Coated Nanoparticles to Deliver Nitric
Oxide and Combat Bacterial Biofilm.
AB - In this study, an antimicrobial platform in the form of nitric oxide (NO) gas
releasing polydopamine (PDA)-coated iron oxide nanoparticles (IONPs) is developed
for combating bacterial biofilms. NO is bound to the PDA-coated IONPs via the
reaction between NO and the secondary amine moieties on PDA to form N
diazeniumdiolate (NONOate) functionality. To impart colloidal stability to the
nanoparticles in aqueous solutions (e.g., phosphate buffered saline (PBS) and
bacteria cell culture media M9), a polymer bearing hydrophilic and amine pendant
groups, P(OEGMA)-b-P(ABA), is synthesized via reversible addition-fragmentation
chain transfer (RAFT) polymerization and is subsequently grafted onto the PDA
coated IONPs by employing the Schiff base/Michael addition reaction between o
quinone and a primary amine. These nanoparticles are able to effectively disperse
Pseudomonas aeruginosa biofilms (up to 79% dispersal) at submicromolar NO
concentrations. In addition, the nanoparticles demonstrate excellent bactericidal
activity toward P. aeruginosa planktonic and biofilm cells (up to 5-log10
reduction).
PMID- 29806144
TI - Buchanania obovata: An Australian Indigenous food for diet diversification.
AB - AIM: Buchanania obovata Engl., the Green Plum, is a small green fruit eaten by
Australian Indigenous peoples of the Northern Territory and Western Australia
that has had limited study and has potential as a source of food for diet
diversification. The flesh and seed of the fruit are eaten and the plant is used
as bush medicine. METHODS: Physical characteristics of the fruit were measured.
The flesh and seed freeze dried powders were measured separately for proximates,
mineral/trace elements and heavy metals, and folate analysis. Vitamin C was
analysed in the flesh. RESULTS: The flesh is high in protein (12.8 g/100 g dry
weight (DW)) and both flesh and seed are high in dietary fibre (55.1 and 87.7
g/100 g DW, respectively). The flesh is high in potassium (2274.7 mg/100 g DW),
and is a good source of magnesium (570.5 mg/100 g DW), calcium (426.0 mg/100 g
DW) and phosphorous (216.8 mg/100 g DW), whereas the seed is high in iron (8.15
mg/100 g DW). The flesh contains folate at 752.4 MUg/100 g DW and the seed
contains 109.5 MUg/100 g DW as pteroylmonoglutamic acid equivalents. CONCLUSIONS:
The flesh and seed have good nutritional properties and the results support the
use of the Green Plum for diet diversification and nutrition in Indigenous and
non-Indigenous populations in Australia.
PMID- 29806145
TI - Efficient Synthesis of a NHC-Coordinated Trisilacyclopropylidene and Its
Coordination Behavior.
AB - With a co-reduction procedure using 2 equivalents Mes2 SiCl2 and 1 equivalent
NHCiPr2Me2 ->SiCl4 an NHC-adduct of a trisilacyclopropylidene (SiMes2 )2
SiNHCiPr2Me2 1 was synthesized. Addition of NHCMe4 to 1 results in quantitative
carbene exchange under release of NHCiPr2Me2 to yield (SiMes2 )2 SiNHCMe4 2. Both
NHC-coordinated trisilacyclopropylidenes coordinate to BH3 . In reaction of 1
with SiMe3 N3 a NHC-coordinated trisilane with an exocyclic Si=N double bond is
formed. With diphenylacetylene 1 undergoes a ring expansion at room temperature
to afford a five-membered ring compound with an NHC-coordinated silylene
functionality.
PMID- 29806146
TI - Acute kidney injury and disease: Long-term consequences and management.
AB - With increasing longevity and the presence of multiple comorbidities, a
significant proportion of hospitalized patients, and an even larger population in
the community, is at increased risk of developing an episode of acute kidney
injury (AKI). Because of improvements in short-term outcomes following an episode
of AKI, survivors of an episode of AKI are now predisposed to develop its long
term sequel. The identification of risk for progression to chronic kidney disease
(CKD) is complicated by the absence of good biomarkers that identify this risk
and the variability of risk associated with clinical factors including, but not
limited to, the number of AKI episodes, severity, duration of previous AKI and
pre-existing CKD that has made the prediction for long-term outcomes in survivors
of AKI more difficult. Being a significant contributor to the growing incidence
of CKD, there is a need to implement measures to prevent AKI in both the
community and hospital settings, target interventions to treat AKI that are also
associated with better long-term outcomes, accurately identify patients at risk
of adverse consequences following an episode of AKI and institute therapeutic
strategies to improve these long-term outcomes. We discuss the lasting renal and
non-renal consequences following an episode of AKI, available biomarkers and non
invasive testing to identify ongoing intra-renal pathology and review the
currently available and future treatment strategies to help reduce these adverse
long-term outcomes.
PMID- 29806147
TI - New approaches to genetic predisposition for hemorrhagic stroke in sickle cell
disease.
PMID- 29806148
TI - Epidemiology and Outcomes of Granulomatosis With Polyangiitis in Pediatric and
Working-Age Adult Populations In the United States: Analysis of a Large National
Claims Database.
AB - OBJECTIVE: The incidence and prevalence of granulomatosis with polyangiitis (GPA)
in the US is not well characterized. Owing to its rarity, outcomes data in
pediatric-onset GPA are also lacking. The aims of this study were to describe the
epidemiology of GPA and outcomes in GPA patients in the US, and to compare
outcomes between pediatric and working-age adult patients. METHODS: A
retrospective cohort study using the 2006-2014 Truven Health Analytics MarketScan
Commercial Claims and Encounters Database was conducted. The incidence and
prevalence rates of pediatric and adult GPA (age <65 years) were calculated.
Outcomes among the 2 age groups were analyzed. RESULTS: A total of 5,562 cases of
GPA were identified, of which 214 (3.8%) were pediatric onset and 5,348 (96.2%)
were adult onset. The incidence rate of pediatric-onset GPA was 1.8 cases per 1
million person-years, compared to 12.8 cases per 1 million person-years in
working-age adults. There was a slight female preponderance in both groups (63%
and 53% among pediatric and adult GPA patients, respectively). Rates of
hospitalization and severe infections were high in both children and working-age
adults, but children had more frequent hospitalizations (rate ratio [RR] 1.3 [95%
confidence interval (95% CI) 1.1-1.4]) and 2-3-times higher rates of leukopenia
(RR 2.6 [95% CI 1.5-4.3]), neutropenia (RR 2.2 [95% CI 1.2-4.0]), and
hypogammaglobulinemia (RR 3.7 [95% CI 2.0-6.4]). Time-to-event analyses showed no
differences in the time to hospitalization, severe infection, major relapse, or
end-stage renal disease. CONCLUSION: This study represents the largest cohort of
GPA reported to date. Pediatric GPA patients experienced more frequent
hospitalizations and were more vulnerable to hematologic complications than non
elderly adult patients.
PMID- 29806149
TI - NiSe-Ni0.85 Se Heterostructure Nanoflake Arrays on Carbon Paper as Efficient
Electrocatalysts for Overall Water Splitting.
AB - Fabricating cost-effective, bifunctional electrocatalysts for both the hydrogen
evolution reaction (HER) and oxygen evolution reaction (OER) in basic media is
critical for renewable energy generation. Here, NiSe/CP, Ni0.85 Se/CP, and NiSe
Ni0.85 Se/CP heterostructure catalysts with different phase constitutions are
successfully prepared through in situ selenylation of a NiO nanoflake array
oriented on carbon paper (CP) by tuning the original Ni/Se molar ratio of the raw
materials. The relationship between the crystal phase component and
electrocatalytic activity is systematically studied. Benefiting from the
synergetic effect of the intrinsic metallic state, facile charge transport,
abundant catalytic active sites, and multiple electrolyte transmission paths, the
optimized NiSe-Ni0.85 Se/CP exhibits a remarkably higher catalytic activity for
both the HER and OER than single-phase NiSe/CP and Ni0.85 Se/CP. A current
density of 10 mA cm-2 at 1.62 V and a high stability can be obtained by using
NiSe-Ni0.85 Se/CP as both the cathode and anode for overall water splitting under
alkaline conditions. Density functional theory calculations confirm that H and OH
can be more easily adsorbed on NiSe-Ni0.85 Se than on NiSe and Ni0.85 Se. This
study paves the way for enhancing the overall water splitting performance of
nickel selenides by fabricating heterophase junctions using nickel selenides with
different phases.
PMID- 29806150
TI - Personality and political preferences over time: Evidence from a multiwave
longitudinal study.
AB - OBJECTIVE: We investigate how the relations between the Big Five personality
traits and political preferences develop over a campaign. METHOD: We rely on a
six-wave nationwide longitudinal survey from the 2008 U.S. election that included
20,000 respondents (Mage = 49, SD = 15; 53% women, 47% men; 82% White, 8% Black,
6% Hispanic/Latino, 1% Asian, 1% Native American, 2% other). Survey weights were
applied to approximate a representative sample of the U.S. POPULATION: Ns for
reported analyses range from 5,160 to 12,535. RESULTS: First, Conscientiousness
and Openness to Experience were significantly associated with changes in outcomes
over time, such that individuals higher in Conscientiousness and lower in
Openness tended to become more conservative, identify as more Republican, and
evaluate John McCain more favorably relative to Barack Obama. Second, the effects
of personality on candidate evaluations were mediated by partisanship and
ideology. Finally, we find that the relations between traits and late-campaign
candidate evaluations are stronger than those between traits and early-campaign
candidate evaluations. CONCLUSIONS: Personality plays an important, dynamic role
in the formation and change of political preferences over the course of political
campaigns-a role not entirely visible in cross-sectional analyses.
PMID- 29806151
TI - Synthesis and Pharmacological Evaluation of Enantiomerically Pure GluN2B
Selective NMDA Receptor Antagonists.
AB - To determine the eutomers of potent GluN2B-selective N-methyl-d-aspartate (NMDA)
receptor antagonists with a 3-benzazepine scaffold, 7-benzyloxy-3-(4-phenylbutyl)
2,3,4,5-tetrahydro-1H-3-benzazepin-1-ols (S)-2 and (R)-2 were separated by chiral
HPLC. Hydrogenolysis and subsequent methylation of the enantiomerically pure
benzyl ethers of (S)-2 and (R)-2 provided the enantiomeric phenols (S)-3 and (R)
3 [3-(4-phenylbutyl)-2,3,4,5-tetrahydro-1H-3-benzazepine-1,7-diol] and methyl
ethers (S)-4 and (R)-4. All enantiomers were obtained with high enantiomeric
purity (>=99.7 % ee). The absolute configurations were determined by CD
spectroscopy. R-configured enantiomers turned out to be the eutomers in receptor
binding studies and two-electrode voltage clamp experiments. The most promising
ligand of this compound series is the R-configured phenol (R)-3, displaying high
GluN2B affinity (Ki =30 nm), high inhibition of ion flux (IC50 =61 nm), and high
cytoprotective activity (IC50 =93 nm). Whereas the eudismic ratio in the receptor
binding assay is 25, the eudismic ratio in the electrophysiological experiment is
3.
PMID- 29806152
TI - Reduction in Peyronie's-like plaque size using a vacuum erection device in a rat
model of Peyronie's disease via the TGF-beta/SMAD signalling pathway.
AB - Peyronie's disease (PD) is a fibrotic disorder of the tunica albuginea (TA). This
study aimed to determine the therapeutic effects of a vacuum erection device
(VED) in an animal model of PD and explore the possible mechanisms. Twenty-seven
male Sprague-Dawley rats were used. The sham group (group A) (N = 9) received a
50-MUl-saline vehicle injection into the TA, while the remaining 18 rats (groups
B and C) received a TGF-beta1 injection into the TA. The treatment group (group
C) underwent VED therapy for 10 days after the TGF-beta1 injection. Erectile
function was then assessed at day 42. Rats injected with TGF-beta1 showed
significantly lower intracavernous pressures than those in the sham group (p <
0.0001). After VED therapy, erectile function was significantly better in the
treatment group than in the PD group (group B) (p < 0.0147). Masson's trichrome
staining confirmed Peyronie's-like plaques at the TGF-beta1 injection site in the
PD group. Furthermore, the treatment group showed markedly smaller fibrotic
plaque sizes than the PD group. A significant increase in TGF-beta1, SMAD2, SMAD3
and p-SMAD2/3 protein expression was observed 6 weeks after the TGF-beta1
injection. However, the expression of the same proteins decreased after VED
therapy. Protein expression trends were confirmed using immunohistochemistry
analysis. The findings of this study demonstrate that VED therapy can reduce
Peyronie's-like plaque size in a rat model of PD while simultaneously improving
erectile function.
PMID- 29806153
TI - Effect of N-Alkyl Substituents on the Hierarchical Self-Assembly of beta
Cyclodextrin-Linked Pyrene-Pyromellitic Diimide Charge-Transfer Complexes.
AB - Hierarchical self-assemblies of beta-cyclodextrin-linked pyrene and N-alkyl
derivatives of pyromellitic diimides are studied in detail. The charge-transfer
interaction between pyrene and pyromellitic diimide is augmented by beta
cyclodextrin-pyromellitic diimide binding interactions in these cases. When the
alkyl group is adamantyl, a 1:1 complex was formed with a very high association
constant (Ka =1.82*106 m-1 ). Here, the charge-transfer interaction is
reinforced by inclusion binding of the adamantyl group in the beta-cyclodextrin
cavity leading to the formation of 2D sheets, which undergo twisting to give
twisted fibres. When the alkyl group is tert-butyl, a 1:2 complex was formed with
a high association constant (Ka =2.91*104 m-1 ). A detailed analysis showed that
the tert-butyl pyromellitic diimide undergoes both inclusion and rim-binding
interactions with the beta-cyclodextrin. The charge-transfer complex further self
assembled into chiral nanostructures as evident from SEM, TEM and AFM analysis.
In the case of N-methyl-substituted pyromellitic diimide, the interaction with
beta-cyclodextrin-linked pyrene was only through rim binding, which resulted in
the formation of a weak charge-transfer complex with Ka =4.2*103 m-1 . Formation
of a hierarchical assembly was not observed in this case. A rational mechanism
for the self-assembly, which relies on the strength of the cyclodextrin
pyromellitic diimide complexation is presented.
PMID- 29806154
TI - Signatures of hybridization and speciation in genomic patterns of ancestry.
AB - Genomes sampled from hybrid zones between nascent species provide important clues
into the speciation process. With advances in genome sequencing and single
nucleotide polymorphism (SNP) genotyping, it is now feasible to measure variation
in gene flow with high genomic resolution. This progress motivates the
development of conceptual and analytical frameworks for hybrid zones that
complement well-established cline approaches. We extend the perspective that
genomic distributions of ancestry are sensitive indicators of hybridization
history. We use simulations to examine the behavior of the number of ancestry
junctions-a simple summary of genomic patterns-in hybrid zones under increasingly
realistic scenarios. Neutral simulations revealed that ancestry junction number
is shaped by population structure, migration rate, and population size. Modeling
multiple genetic architectures of hybrid dysfunction, with an emphasis on
epistatic hybrid incompatibilities, showed that selection reduces junction number
near loci that confer reproductive barriers. The magnitude of this signature was
affected by the form of selection, dominance, and genomic location (autosome vs.
sex chromosome) of incompatible loci. Our results suggest that researchers can
identify loci involved in reproductive isolation by scanning hybrid genomes for
local reductions in junction number. We outline necessary directions for future
theory and method development to realize this goal.
PMID- 29806155
TI - Interleukin-32 promotes detachment and activation of human Langerhans cells in a
human skin explant model.
AB - BACKGROUND: Cross-talk between skin keratinocytes (KCs) and Langerhans cells
(LCs) plays a fundamental role in the body's first line of immunological
defences. However, the mechanism behind the interaction between these two major
epidermal cells is unknown. Interleukin (IL)-32 is produced in inflammatory skin
disorders. We questioned the role of IL-32 in the epidermis. OBJECTIVES: We aimed
to determine the role of IL-32 produced by KCs on surrounding LCs. METHODS: We
used an ex vivo human explant model from healthy donors and investigated the role
of IL-32 on LC activation using imaging, flow cytometry, reverse transcriptase
quantitative polymerase chain reaction and small interfering (si)RNA treatment.
RESULTS: Modified vaccinia virus ankara (MVA) infection induced KC death
alongside the early production of the proinflammatory cytokine IL-32. We
demonstrated that IL-32 produced by MVA-infected KCs induced modest but
significant morphological changes in LCs and downregulation of adhesion
molecules, such as epithelial cell adhesion molecule and very late antigen-4, and
CXCL10 production. The treatment of KCs with IL-32-specific siRNA, and anti-IL-32
blocking antibody significantly inhibited LC activation, demonstrating the role
of IL-32 in LC activation. We also found that some Toll-like receptor ligands
induced a very high level of IL-32 production by KCs, which initiated LC
activation. CONCLUSIONS: We propose, for the first time, that IL-32 is a
molecular link between KCs and LCs in healthy skin, provoking LC migration from
the epidermis to the dermis prior to their migration to the draining lymph nodes.
PMID- 29806156
TI - The impact of toceranib, piroxicam and thalidomide with or without
hypofractionated radiation therapy on clinical outcome in dogs with inflammatory
mammary carcinoma.
AB - In dogs, inflammatory mammary carcinoma is a clinicopathological entity
characterized by rapid progression and aggressive behavior from onset of disease.
Reported median survival time is short, with no effective treatment options. The
aims of this prospective, noncontrolled clinical trial were to investigate
outcome variables and safety profile of toceranib, thalidomide and piroxicam with
or without hypofractionated radiation therapy in dogs with measurable
histologically confirmed inflammatory mammary carcinoma that underwent a complete
staging. Eighteen dogs were enrolled: 14 received medical treatment, and 4 were
treated with hypofractionated radiation therapy and medical therapy. Overall,
median time to progression was 34 days and median survival time was 109 days. In
dogs treated with medical therapy, overall response rate was 21%, and clinical
benefit rate (CBR) was 64%; median time to progression was 28 days and median
survival time was 59 days. In dogs receiving medical therapy and undergoing
radiation therapy, overall response rate and clinical benefit rate were 100%,
with significantly longer time to progression (156 days) and survival time (180
days). Overall, treatment was well tolerated, with mild gastrointestinal and
dermatological adverse events. Although the optimal treatment to this disease
remains uncertain, the current approach consisting of systemic anti-angiogenic
drugs with or without hypofractionated radiation therapy, provided clinical
benefit in a significant proportion of dogs and should, therefore, be further
explored.
PMID- 29806157
TI - Fabrication of Micropatterned Dipeptide Hydrogels by Acoustic Trapping of
Stimulus-Responsive Coacervate Droplets.
AB - Acoustic standing waves offer an excellent opportunity to trap and spatially
manipulate colloidal objects. This noncontact technique is used for the in situ
formation and patterning in aqueous solution of 1D or 2D arrays of pH-responsive
coacervate microdroplets comprising poly(diallyldimethylammonium) chloride and
the dipeptide N-fluorenyl-9-methoxy-carbonyl-D-alanine-D-alanine. Decreasing the
pH of the preformed droplet arrays results in dipeptide nanofilament self
assembly and subsequent formation of a micropatterned supramolecular hydrogel
that can be removed as a self-supporting monolith. Guest molecules such as
molecular dyes, proteins, and oligonucleotides are sequestered specifically
within the coacervate droplets during acoustic processing to produce
micropatterned hydrogels containing spatially organized functional components.
Using this strategy, the site-specific isolation of multiple enzymes to drive a
catalytic cascade within the micropatterned hydrogel films is exploited.
PMID- 29806158
TI - Association between overactive bladder treatment and falls among older adults.
AB - AIMS: To analyze the risk of falls associated with Overactive bladder (OAB), and
the effects of OAB treatment on falls among older adult Medicare fee-for-service
enrollees. METHODS: Population based retrospective longitudinal cohort design
study using 5% Medicare claims between 2006 and 2010. Patients with a diagnosis
of OAB (ICD 9: 596.51); Urinary Incontinence (ICD 9: 788.3); Urinary
incontinence, unspecified (ICD 9: 788.30); Urge incontinence (ICD 9: 788.31);
Mixed incontinence (male, female) (ICD 9: 788.33); Urinary frequency (ICD 9:
788.41); Nocturia (ICD 9: 788.43); or Urgency of urination (ICD 9: 788.63) were
identified and followed retrospectively for 2 years. Falls was the main outcome
of the study. Using logistic regressions, we analyzed the association between OAB
and falls; and the protective effect of OAB treatment on falls. Propensity score
and instrumental variable were used to minimize bias. RESULTS: We identified 33
631 Medicare enrollees (mean age = 77.8 years, sd = 7.6) with OAB. Higher
proportion of OAB patients had falls, compared to those without OAB (11% vs 7%, P
< 0.001). Diagnosis of OAB was associated with higher odds of falls (OR = 1.59;
95% CI = 1.53, 1.65) compared to those without OAB. Fourteen percent of OAB
patients received OAB treatment. Treatment for OAB was associated with lower odds
of falls (OR = 0.88; 95% CI = 0.80, 0.98) compared to those OAB patients who were
not treated. CONCLUSIONS: Older adults with OAB experience increased risk of
falls. Treatment for OAB may reduce this risk. These findings emphasize the need
to effectively identify and treat OAB in older adults.
PMID- 29806159
TI - Inorganic Polymer Micropillar-Based Solution Shearing of Large-Area Organic
Semiconductor Thin Films with Pillar-Size-Dependent Crystal Size.
AB - It is demonstrated that the crystal size of small-molecule organic semiconductors
can be controlled during solution shearing by tuning the shape and dimensions of
the micropillars on the blade. Increasing the size and spacing of the rectangular
pillars increases the crystal size, resulting in higher thin-film mobility. This
phenomenon is attributed as the microstructure changing the degree and density of
the meniscus line curvature, thereby controlling the nucleation process. The use
of allylhybridpolycarbosilane (AHPCS), an inorganic polymer, is also demonstrated
as the microstructured blade for solution shearing, which has high resistance to
organic solvents, can easily be microstructured via molding, and is flexible and
durable. Finally, it is shown that solution shearing can be performed on a curved
surface using a curved blade. These demonstrations bring solution shearing closer
to industrial applications and expand its applicability to various printed
flexible electronics.
PMID- 29806160
TI - Wurster-Type Anthanthrene Polyradicaloid Cations.
AB - 4,10-dibromoanthanthrone, a highly robust building block, is used to synthesize a
bis(triarylamine) polymer. The polymer can be oxidized twice to form a
polycationic macromolecule showing magnetic properties by electron paramagnetic
resonance spectroscopy. In its dicationic state, the presence of isolated
radicals is possible because of the interrupted conjugation between the
diphenylamine with the anthanthrone core. The high steric hindrance prevents the
planarity of the adjacent groups resulting in a polyradical cationic polymer. The
oxidized polymer has a strong absorption in the near-infrared region along with
reversible redox stages.
PMID- 29806161
TI - Stability of leukocyte research parameters over time on the Sysmex XN: How to
quantify the changes in cell morphology.
AB - INTRODUCTION: Research parameters of the Sysmex XN-series provide information
about the cell morphology. The aim is to quantify time-dependent changes of
conventional and research parameters and propose correction factors to be applied
to the parameters, which revealed significant changes. METHODS: 284 EDTA blood
samples were processed from 0 hours-12 hours and the mean percentage change (X
t%) of the leukocyte parameters was calculated. Stability was evaluated according
to three criteria: metrology criteria according to the between-batch analytical
variation, metrology criteria according to within-run analytical variation, by
which X-t% could not exceed the Minimum Significant Change (MSC = [+/-1.65*CV%])
and Biological criteria based on intraindividual biological variation, by which X
t% could not exceed the Desirable Significant Change (DSC = [+/-0.5*CVD %]). Mean
deviation percentage of the parameters, which suffer significant changes was
calculated and a multiplying factor was obtained to correct the signal deviation.
Stability of abnormal leukocyte flags was also evaluated. RESULTS: Most of the
cell count parameters are considered stable according to MSC and DSC. However,
several research parameters of neutrophils and lymphocytes show alterations in
stability after 4 hours, even when their respective cell count parameters are
stable. CONCLUSION: Morphological variations of neutrophils and lymphocytes can
be quantified. This data can be used to correct the deviation in samples that
cannot be analyzed before 4 hours.
PMID- 29806162
TI - Airway dimensions from fetal life to adolescence-A literature overview.
AB - BACKGROUND: Data on airway dimensions in pediatric patients are important for
proper selection of pediatric airway equipment such as endotracheal tubes, double
lumen tubes, bronchial blockers, or stents. The aim of the present work was to
provide a synopsis of the available data on pediatric airway dimensions. METHODS:
A systematic literature search was carried out in the PubMed database, Scopus,
Embase, Web of Science, Prisma, and Google Scholar and secondarily completed by a
reference search. Based on inclusion and exclusion criteria, a final selection of
109 studies with data on pediatric airway dimensions published from 1923 to 2018
were further analyzed. RESULTS: Six different airway measurement methods were
identified. They included anatomical examinations, chest X-ray, computed
tomography, magnetic resonance tomography, bronchoscopy, and ultrasound.
Anatomical studies were more abundant compared to other methods. Data provided
were very heterogeneously presented and powered. In addition, due to different
study conditions, they are hardly comparable. Among all, anatomical and computer
tomography studies are thought to provide the most reliable data. Ultrasound is
an upcoming technique to estimate airway parameters of fetus and premature
infants. There was, in general, a lack of comprehensive studies providing a
complete range of airway dimensions in larger groups of patients from birth to
adolescence. CONCLUSIONS: This work revealed a large heterogeneity of studies
providing data on pediatric airway dimensions, making it impossible to compare,
or assemble them to normograms for clinical use. Comprehensive studies in large
population of children are needed to provide full range nomograms on pediatric
airway dimensions.
PMID- 29806163
TI - Maximizing Aggregation of Organic Fluorophores to Prolong Fluorescence Lifetime
for Two-Photon Fluorescence Lifetime Imaging.
AB - Two-photon fluorescence lifetime imaging (TP-FLIM) not only permits imaging deep
inside the tissues with precise spatial manipulation but also circumvents tissue
autofluorescence, holding tremendous promise in molecular imaging. However, the
serious lack of suitable contrast agents with long fluorescence lifetime and
efficient two-photon absorption (TPA) greatly limits the advance of TP-FLIM. This
study reports a simple approach to fabricate water-soluble organic semiconducting
nanoparticles [thioxanthone (TXO) NPs] with ultralong fluorescence lifetime and
efficient TPA for in vivo TP-FLIM. The approach utilizes the aggregation of a
specifically selected thermally activated delayed fluorescence (TADF) fluorophore
to prolong its fluorescence lifetime. Encapsulating the TADF fluorophore within
an amphiphilic copolymer not only maximizes its aggregation but also obtains TXO
NPs with efficient TPA. Importantly, as-prepared TXO NPs exhibit a considerably
long fluorescence lifetime at a magnitude of 4.2 us, which is almost 1000 times
larger than that of existing organic contrast agents. Moreover, such long
fluorescence lifetime is almost oxygen-inert, readily realizing both in vitro and
in vivo TP-FLIM. This work may set valuable guidance for designing organic
semiconducting materials with ultralong fluorescence lifetimes to fulfill the
potential of FLIM.
PMID- 29806164
TI - Awareness of head and neck cancer - a multicentre survey among young respondents
in Poland.
AB - PURPOSE: Head and neck cancer (HNC) is frequently diagnosed at an advanced stage
of the disease, which results in suboptimal treatment outcomes, and leads to
aesthetic and functional side-effects. Many risky behaviours associated with this
type of cancer start at a young age. The aim of the study was to evaluate the
level of HNC awareness in the young population in Poland. MATERIALS AND METHODS:
An anonymous online survey on HNC was conducted among 1903 people between the
ages of 18 and 35 years. Closed-ended questions concerned HNC risk factors,
symptoms and prognosis. RESULTS: 85.1% of respondents were familiar with HNC. The
main source of information was the Internet (57.3%); 78.2% of participants
associated HNC occurrence with smoking, 43.4% with alcohol consumption and 37.2%
with the human papillomavirus infection. The main risk factors mentioned by
students of non-medical educational institutions included smoking, stress and
excessive sunbathing. A quarter of respondents (37.7%, if medical students are
excluded) were unaware of any early symptoms of HNC. The symptoms mentioned most
frequently included chronic hoarseness (55.3%), a lump in the neck (51.8%) and
chronic sore throat (51.4%). Over three-quarters of medical students and half of
the remaining respondents connected early diagnosis with a better chance of being
cured; 4.6% of medical students and 9.6% of students of other educational
institutions would seek medical advice only when symptoms made everyday
functioning impossible. CONCLUSIONS: The level of HNC cancer awareness in the
young population is alarmingly low. A large number of non-medical students are
unaware of risk factors and early symptoms. Educational campaigns aimed at
effective prophylaxis, earlier diagnosis and treatment of HNC are needed.
PMID- 29806165
TI - Incremental benefit of drug therapies for chronic heart failure with reduced
ejection fraction: a network meta-analysis.
AB - AIMS: A network meta-analysis (NMA) of all recommended drug groups for the
treatment of heart failure with reduced ejection fraction (HFrEF), including
their combinations, was performed to assess the relative efficacy and incremental
benefit. METHODS AND RESULTS: A search was made in biomedical databases for
randomized controlled trials published between 1987 and 2017 on angiotensin
converting enzyme inhibitors (ACEIs), beta-blockers (BBs), angiotensin receptor
blockers (ARBs), mineralocorticoid receptor antagonists (MRAs), ivabradine (IVA),
or angiotensin receptor-neprilysin inhibitors (ARNI). A total of 58 relevant
trials were identified. The relative efficacy of each treatment group (or
combination) in terms of all-cause mortality, cardiovascular mortality, all-cause
hospitalizations and hospitalizations for heart failure, per patient-year of
follow-up, were combined in a random-effects Bayesian NMA. The pairwise
comparison between each regimen and for each outcome was estimated. The NMA was
dominated by 15 large-scale trials with between 1984 and 18 898 patient-years of
follow-up. Combinations of drug groups showed incremental benefits on outcomes
over single groups. The most effective combinations were ARNI+BB + MRA and
ACEI+BB + MRA + IVA, showing reductions in all-cause mortality (vs. placebo) of
62% and 59%, respectively; hazard ratios were 0.38 [credible interval (CrI) 0.20
0.65] and 0.41 (CrI 0.21-0.70); and in all-cause hospitalizations with reductions
of 42% for both. These two combinations were also the most effective for the
other outcomes studied. CONCLUSION: Our analysis shows that the incremental use
of combinations of disease-modifying therapies has resulted in the progressive
improvement in mortality and hospitalization outcomes in HFrEF. Our findings
support the current guideline recommendations.
PMID- 29806166
TI - A Scalable Approach to Dendrite-Free Lithium Anodes via Spontaneous Reduction of
Spray-Coated Graphene Oxide Layers.
AB - Li-metal batteries (LiMBs) are experiencing a renaissance; however, achieving
scalable production of dendrite-free Li anodes for practical application is still
a formidable challenge. Herein, a facile and universal method is developed to
directly reduce graphene oxide (GO) using alkali metals (e.g., Li, Na, and K) in
moderate conditions. Based on this innovation, a spontaneously reduced graphene
coating can be designed and modulated on a Li surface (SR-G-Li). The symmetrical
SR-G-Li|SR-G-Li cell can run up to 1000 cycles at a high practical current
density of 5 mA cm-2 without a short circuit, demonstrating one of the longest
lifespans reported with LiPF6 -based carbonate electrolytes. More significantly,
a practically scalable paradigm is established to fabricate dendrite-free Li
anodes by spraying a GO layer on the Li anode surface for large-scale production
of LiFePO4 /Li pouch cells, reflected by the continuous manufacturing of the SR-G
Li anodes based on the roll-to-roll technology. The strategy provides new
commercial opportunities to both LiMBs and graphene.
PMID- 29806167
TI - Nucleophilic Influences and Origin of the SN 2 Allylic Effect.
AB - The potential energy surfaces for the SN 2 reactions of allyl and propyl
chlorides with 21 anionic and neutral nucleophiles was studied by using omegaB97X
D/6-311++G(3df,2pd) computations. The "allylic effect" on SN 2 barriers was
observed for all reactions, and compared with propyl substrates, the energy
barriers differed by -0.2 to -4.5 kcal mol-1 in the gas phase. Strong
correlations of the SN 2 net activation barriers with cation affinities, proton
affinities, and electrostatic potentials at nuclei demonstrated the powerful
influence of electrostatic interactions on these reactions. For the reactions of
anionic (but not neutral) nucleophiles with allyl chloride, some of the incoming
negative charge (0.2-18 %) migrated into the carbon chains, which would provide
secondary stabilization of the SN 2 transition states. Activation strain analysis
provided additional insight into the allylic effect by showing that the energy of
geometric distortion for the reactants to reach the SN 2 transition state was
smaller for each allylic reaction than for its propyl analogue. In many cases,
the interaction energies between the substrate and nucleophile in this analysis
were more favorable for propyl chloride reactions, but this compensation did not
overcome the predominant strain energy effect.
PMID- 29806168
TI - The importance of validating intracranial bleeding diagnoses in The Health
Improvement Network, United Kingdom: Misclassification of onset and its impact on
the risk associated with low-dose aspirin therapy.
PMID- 29806169
TI - Transient window of resilience during early development minimizes teratogenic
effects of heat in zebrafish embryos.
AB - BACKGROUND: Transient heat shock during early development is an established
experimental paradigm for doubling the genome of the zebrafish zygote, which has
practical applications in expedited identification of recessive mutations in
genetic screens. Despite the simplicity of the strategy and the genetic
tractability of zebrafish, heat shock has not been used for genome doubling since
the proof-of-principle experiments done in the 1980s. This is because of poor
survival of embryos that ensue from transient heat shocks and gross developmental
abnormalities in the few survivors, which is incompatible with phenotype driven
screens. RESULTS: We show that heat shocks during early zebrafish development
uncouple the second cycle of DNA and centrosome duplication. Interestingly, the
developmental time of the heat shock that triggers the dissociation between DNA
and centrosome duplication cycles significantly affect the potential of embryos
to survive and attain normal morphology. The potential to develop normally after
a heat shock alters in a developmental time span of 2 min in zebrafish embryos, a
phenomenon that has not been reported in any species. CONCLUSIONS: The existence
of heat resilient developmental windows and reduced heat teratogenicity during
these windows could be an effective step forward in practical application of
transient heat for experimental manipulation of ploidy in zebrafish. More
broadly, heat resilience before zygotic genome activation suggests that metazoan
embryos may possess innate protective features against heat beyond the canonical
heat shock response. Developmental Dynamics 247:992-1004, 2018. (c) 2018 Wiley
Periodicals, Inc.
PMID- 29806170
TI - In Silico Prediction and Validation of Novel RNA Binding Proteins and Residues in
the Human Proteome.
AB - Deciphering a complete landscape of protein-RNA interactions in the human
proteome remains an elusive challenge. We computationally elucidate RNA binding
proteins (RBPs) using an approach that complements previous efforts. We employ
two modern complementary sequence-based methods that provide accurate predictions
from the structured and the intrinsically disordered sequences, even in the
absence of sequence similarity to the known RBPs. We generate and analyze
putative RNA binding residues on the whole proteome scale. Using a conservative
setting that ensures low, 5% false positive rate, we identify 1511 putative RBPs
that include 281 known RBPs and 166 RBPs that were previously predicted. We
empirically demonstrate that these overlaps are statistically significant. We
also validate the putative RBPs based on two major hallmarks of their RNA binding
residues: high levels of evolutionary conservation and enrichment in charged
amino acids. Moreover, we show that the novel RBPs are significantly under
annotated functionally which coincides with the fact that they were not yet found
to interact with RNAs. We provide two examples of our novel putative RBPs for
which there is recent evidence of their interactions with RNAs. The dataset of
novel putative RBPs and RNA binding residues for the future hypothesis generation
is provided in the Supporting Information.
PMID- 29806172
TI - Dobzhansky-Muller incompatibilities, dominance drive, and sex-chromosome
introgression at secondary contact zones: A simulation study.
AB - Dobzhansky-Muller (DM) incompatibilities involving sex chromosomes have been
proposed to account for Haldane's rule (lowered fitness among hybrid offspring of
the heterogametic sex) as well as Darwin's corollary (asymmetric fitness costs
with respect to the direction of the cross). We performed simulation studies of a
hybrid zone to investigate the effects of different types of DM incompatibilities
on cline widths and positions of sex-linked markers. From our simulations, X-Y
incompatibilities generate steep clines for both X-linked and Y-linked markers;
random effects may produce strong noise in cline center positions when migration
is high relative to fitness costs, but X- and Y-centers always coincide strictly.
X-autosome and Y-autosome incompatibilities also generate steep clines, but
systematic shifts in cline centers occur when migration is high relative to
selection, as a result of a dominance drive linked to Darwin's corollary.
Interestingly, sex-linked genes always show farther introgression than the
associated autosomal genes. We discuss ways of disentangling the potentially
confounding effects of sex biases in migration, we compare our results to those
of a few documented contact zones, and we stress the need to study independent
replicates of the same contact zone.
PMID- 29806171
TI - Social stress shortens lifespan in mice.
AB - Stress and low socioeconomic status in humans confer increased vulnerability to
morbidity and mortality. However, this association is not mechanistically
understood nor has its causation been explored in animal models thus far.
Recently, cellular senescence has been suggested as a potential mechanism linking
lifelong stress to age-related diseases and shorter life expectancy in humans.
Here, we established a causal role for lifelong social stress on shortening
lifespan and increasing the risk of cardiovascular disease in mice. Specifically,
we developed a lifelong chronic psychosocial stress model in which male mouse
aggressive behavior is used to study the impact of negative social confrontations
on healthspan and lifespan. C57BL/6J mice identified through unbiased cluster
analysis for receiving high while exhibiting low aggression, or identified as
subordinate based on an ethologic criterion, had lower median and maximal
lifespan, and developed earlier onset of several organ pathologies in the
presence of a cellular senescence signature. Critically, subordinate mice
developed spontaneous early-stage atherosclerotic lesions of the aortic sinuses
characterized by significant immune cells infiltration and sporadic rupture and
calcification, none of which was found in dominant subjects. In conclusion, we
present here the first rodent model to study and mechanistically dissect the
impact of chronic stress on lifespan and disease of aging. These data highlight a
conserved role for social stress and low social status on shortening lifespan and
increasing the risk of cardiovascular disease in mammals and identify a potential
mechanistic link for this complex phenomenon.
PMID- 29806173
TI - Nonhierarchical Heterostructured Fe2 O3 /Mn2 O3 Porous Hollow Spheres for
Enhanced Lithium Storage.
AB - High capacity transition-metal oxides play significant roles as battery anodes
benefiting from their tunable redox chemistry, low cost, and environmental
friendliness. However, the application of these conversion-type electrodes is
hampered by inherent large volume variation and poor kinetics. Here, a binary
metal oxide prototype, denoted as nonhierarchical heterostructured Fe2 O3 /Mn2 O3
porous hollow spheres, is proposed through a one-pot self-assembly method. Beyond
conventional heteromaterial, Fe2 O3 /Mn2 O3 based on the interface of (104)Fe2O3
and (222)Mn2O3 exhibits the nonhierarchical configuration, where nanosized
building blocks are integrated into microsized spheres, leading to the enhanced
structural stability and boosted reaction kinetics. With this design, the Fe2 O3
/Mn2 O3 anode shows a high reversible capacity of 1075 mA h g-1 at 0.5 A g-1 , an
outstanding rate capability of 638 mA h g-1 at 8 A g-1 , and an excellent
cyclability with a capacity retention of 89.3% after 600 cycles.
PMID- 29806174
TI - Microfluidics for Cancer Nanomedicine: From Fabrication to Evaluation.
AB - Self-assembled drug delivery systems (sDDSs), made from nanocarriers and drugs,
are one of the major types of nanomedicines, many of which are in clinical use,
under preclinical investigation, or in clinical trials. One of the hurdles of
this type of nanomedicine in real applications is the inherent complexity of
their fabrication processes, which generally lack precise control over the sDDS
structures and the batch-to-batch reproducibility. Furthermore, the classic 2D in
vitro cell model, monolayer cell culture, has been used to evaluate sDDSs.
However, 2D cell culture cannot adequately replicate in vivo tissue-level
structures and their highly complex dynamic 3D environments, nor can it simulate
their functions. Thus, evaluations using 2D cell culture often cannot correctly
correlate with sDDS behaviors and effects in humans. Microfluidic technology
offers novel solutions to overcome these problems and facilitates studying the
structure-performance relationships for sDDS developments. In this Review, recent
advances in microfluidics for 1) fabrication of sDDSs with well-defined
physicochemical properties, such as size, shape, rigidity, and drug-loading
efficiency, and 2) fabrication of 3D-cell cultures as "tissue/organ-on-a-chip"
platforms for evaluations of sDDS biological performance are in focus.
PMID- 29806175
TI - Exploring the relationship between illness perceptions and depression in patients
with chronic kidney disease: A systematic literature review.
AB - BACKGROUND: The prevalence of depression is found to increase from early stages
to later stages of chronic kidney disease. Research on illness perceptions in
patients undergoing dialysis has confirmed that patients' beliefs are associated
with important outcomes; one of the most significant being depression. However,
the association between illness perceptions and depression in patients in pre
dialysis care remains unclear. OBJECTIVE: The main objective of this systematic
review was to explore the associative relationship between illness perceptions
and depression in patients with CKD prior to the commencement of dialysis.
METHODS: The inclusion criteria for papers in this review were studies
investigating illness perceptions and depression in patients diagnosed with CKD.
Eligible studies were identified by searching the following electronic databases;
Medline, CENTRAL, Embase, Psych INFO, Web of Science and Scopus. Data on the
participants and the severity of kidney disease, illness perceptions and
depression were extracted. RESULTS: Sixty-four studies met the criteria for full
text review and of these, only four studies met the inclusion criteria following
data extraction and quality assessment. Consistent with the common sense model,
disease severity was found to be significantly associated with illness
perceptions, and both were found to be associated with depression CONCLUSIONS:
The results from this review provide further evidence that the illness
perceptions and disease severity play a crucial role in the adaption to CKD. This
review highlights the lack of research in this area and concludes that more
studies are needed to determine the nature and impact of illness perceptions in
relation to depression in pre-dialysis CKD.
PMID- 29806176
TI - Microbial community analyses of produced waters from high-temperature oil
reservoirs reveal unexpected similarity between geographically distant oil
reservoirs.
AB - As a preliminary investigation for the development of microbial-enhanced oil
recovery strategies for high-temperature oil reservoirs (~70 to 90 degrees C), we
have investigated the indigenous microbial community compositions of produced
waters from five different high-temperature oil reservoirs near Segno, Texas,
U.S. (~80 to 85 degrees C) and Crossfield, Alberta, Canada (~75 degrees C). The
DNA extracted from these low-biomass-produced water samples were analysed with
MiSeq amplicon sequencing of partial 16S rRNA genes. These sequences were
analysed along with additional sequence data sets available from existing
databases. Despite the geographical distance and difference in the
physicochemical properties, the microbial compositions of the Segno and
Crossfield produced waters exhibited unexpectedly high similarity, as indicated
by the results of beta diversity analyses. The major operational taxonomic units
included acetoclastic and hydrogenotrophic methanogens (Methanosaetaceae,
Methanobacterium and Methanoculleus), as well as bacteria belonging to the
families Clostridiaceae and Thermotogaceae, which have been recognized to include
thermophilic, thermotolerant, and/or spore-forming subtaxa. The sequence data
retrieved from the databases exhibited different clustering patterns, as the
communities from close geographical locations invariably had low beta diversity
and the physicochemical properties and conditions of the reservoirs apparently
did not have a substantial role in shaping of microbial communities.
PMID- 29806177
TI - Does State Medicaid Coverage of Smoking Cessation Treatments Affect Quitting?
AB - OBJECTIVE: Cigarette smoking and smoking-related diseases disproportionately
affect low-income populations. Health insurance coverage of smoking cessation
treatments is increasingly used to encourage quitting. We assess the relationship
between state Medicaid coverage of smoking cessation treatments and past-year
quitting in adult Medicaid beneficiaries. DATA SOURCES: 2009-2014 National Health
Interview Survey (NHIS); 2008-2013 indicators of state Medicaid coverage of
smoking cessation treatments. STUDY DESIGN: A triple-differencing specification
based on differences in Medicaid cessation coverage policies across states as
well as within-state differences between Medicaid beneficiaries and a
counterfactual group of low-income adults not covered by Medicaid. DATA
COLLECTION/EXTRACTION METHODS: Individual-level NHIS data with restricted
geographical identifiers were merged with state-year Medicaid coverage
indicators. PRINCIPAL FINDINGS: Combined coverage of both cessation counseling
and medications in state Medicaid programs was associated with increased
quitting, with an estimated mean increase in past-year quitting of 3.0 percentage
points in covered Medicaid beneficiaries relative to persons without coverage.
CONCLUSIONS: Combined coverage of both smoking cessation counseling and
medication by state Medicaid programs could help reduce cigarette smoking among
Medicaid beneficiaries.
PMID- 29806178
TI - Airway function in infancy is linked to airflow measurements and respiratory
symptoms from childhood into adulthood.
AB - INTRODUCTION: Increasing evidence suggests that poor lung function in adulthood
is determined very early in life. Our study aims were: (1) identify factors
associated with early infant lung function; (2) quantify the link between early
infant lung function and early adult lung function; and (3) identify
environmental and inherited factors which predict lung function throughout the
post-natal growth period. METHODS: In this longitudinal study, 253 individuals
were recruited antenatally. Lung function and allergy testing occurred at 1, 6,
12 months, 6, 11, 18, and 24 years of age. The relationship between lung function
at 1 month (V'maxFRC) and spirometry variables at each follow-up was evaluated.
Early life predictors of spirometry were assessed longitudinally using linear
mixed models. RESULTS: V'maxFRC correlated positively with FEF25-75% at every
assessment from 6 to 24 years and FEV1 /FVC at 11 and 24 years and inversely with
airway responsiveness at 6 and 18 years. Maternal asthma and smoking in pregnancy
were associated with lower FEV1 from 6 to 24 years (-99 mL, P = 0.03; -77 mL, P =
0.045 respectively). Lower V'maxFRC at 1 month was associated with asthma and
wheeze through to 24 years. CONCLUSION: Lung airflow measurements track from
birth into early adulthood, suggesting a permanent and stable airway framework is
laid down in the antenatal period. Lower infant airway function is associated
with respiratory symptoms into adulthood, indicating the link is clinically
important. Antenatal and early life exposures must be addressed in order to
maximize airway growth and reduce lifelong respiratory compromise.
PMID- 29806179
TI - Bright Aggregation-Induced-Emission Dots for Targeted Synergetic NIR-II
Fluorescence and NIR-I Photoacoustic Imaging of Orthotopic Brain Tumors.
AB - Precise diagnostics are of significant importance to the optimal treatment
outcomes of patients bearing brain tumors. NIR-II fluorescence imaging holds
great promise for brain-tumor diagnostics with deep penetration and high
sensitivity. This requires the development of organic NIR-II fluorescent agents
with high quantum yield (QY), which is difficult to achieve. Herein, the design
and synthesis of a new NIR-II fluorescent molecule with aggregation-induced
emission (AIE) characteristics is reported for orthotopic brain-tumor imaging.
Encapsulation of the molecule in a polymer matrix yields AIE dots showing a very
high QY of 6.2% with a large absorptivity of 10.2 L g-1 cm-1 at 740 nm and an
emission maximum near 1000 nm. Further decoration of the AIE dots with c-RGD
yields targeted AIE dots, which afford specific and selective tumor uptake, with
a high signal/background ratio of 4.4 and resolution up to 38 um. The large NIR
absorptivity of the AIE dots facilitates NIR-I photoacoustic imaging with
intrinsically deeper penetration than NIR-II fluorescence imaging and, more
importantly, precise tumor-depth detection through intact scalp and skull. This
research demonstrates the promise of NIR-II AIE molecules and their dots in dual
NIR-II fluorescence and NIR-I photoacoustic imaging for precise brain cancer
diagnostics.
PMID- 29806180
TI - Visible Light Induced Cationic Polymerization of Epoxides by Using Multiwalled
Carbon Nanotubes.
AB - The visible light induced cationic polymerization of epoxides can be achieved by
means of multiwalled carbon nanotubes (MWCNTs), which act as visible light
photoinitiators via a radical-induced cationic photopolymerization process. When
MWCNTs are irradiated with longer wavelengths (above 400 nm), they generate
carbon radicals, by means of hydrogen abstraction from the epoxy monomer; these
radicals are oxidized in the presence of iodonium salt to a carbocation that is
sufficiently reactive to start the cationic ring-opening polymerization of an
epoxy monomer. These mechanisms have been supported by electron paramagnetic
resonance analysis.
PMID- 29806181
TI - Immediate placement and provisionalization of implants in the aesthetic zone with
or without a connective tissue graft: A 1-year randomized controlled trial and
volumetric study.
AB - OBJECTIVE: To volumetrically compare peri-implant mid-facial soft tissue changes
in immediately placed and provisionalized implants in the aesthetic zone, with or
without a connective tissue graft. MATERIAL AND METHODS: Sixty patients were
included. All implants were placed immediately after extraction. After
randomization, in one group, a connective tissue graft (test group, n = 30) was
inserted at the buccal aspect of the implant. The other group (control group, n =
30) received no connective tissue graft. Clinical parameters, digital photographs
and conventional impressions were obtained before extraction (Tpre ) and at 12
months following definitive crown placement (T12 ). The casts were digitized by a
laboratory scanner, and a volumetric analysis was performed between Tpre and T12
. RESULTS: Twenty-five patients in each group were available for analysis at T12
. Volumetric change, transformed to a mean (+/-SD) change in thickness, was -0.68
+/- 0.59 mm (test) and -0.49 +/- 0.54 mm (control) with a non-significant
difference between groups (p = .189). The mid-facial mucosa level was
significantly different between both groups (p = .014), with a mean (+/-SD)
change of +0.20 +/- 0.70 mm (test) and -0.48 +/- 1.13 mm (control). The Pink
Esthetic Score was similar between both groups. CONCLUSIONS: The use of a CTG in
immediately placed and provisionalized implants in the aesthetic zone did not
result in less mucosal volume loss after 12 months, leading to the assumption
that a CTG cannot fully compensate for the underlying facial bone loss, although
a significantly more coronally located mid-facial mucosa level was found when a
CTG was performed.
PMID- 29806182
TI - Mitogen-activated protein kinase phosphatase 1 reduces the replication efficiency
of Bamboo mosaic virus in Nicotiana benthamiana.
AB - In plants, the mitogen-activated protein kinase (MAPK) cascades are the central
signaling pathways of the complicated defense network triggered by the perception
of pathogen-associated molecular patterns to repel pathogens. The Arabidopsis
thaliana MAPK phosphatase 1 (AtMKP1) negatively regulates the activation of
MAPKs. Recently, the AtMKP1 homolog of Nicotiana benthamiana (NbMKP1) was found
in association with the Bamboo mosaic virus (BaMV) replication complex. This
study aimed to investigate the role of NbMKP1 in BaMV multiplication in N.
benthamiana. Silencing of NbMKP1 increased accumulations of the BaMV-encoded
proteins and the viral genomic RNA, although the same condition reduced the
infectivity of Pseudomonas syringae pv. tomato DC3000 in N. benthamiana. On the
other hand, overexpression of NbMKP1 decreased the BaMV coat protein accumulation
in a phosphatase activity-dependent manner in protoplasts. NbMKP1 also negatively
affected the in vitro RNA polymerase activity of the BaMV replication complex.
Collectively, the activity of NbMKP1 seems to reduce BaMV multiplication,
inconsistent with the negatively regulatory role of MKP1 in MAPK cascades in
terms of warding off fungal and bacterial invasion. In addition, silencing of
NbMKP1 increased the accumulation of Foxtail mosaic virus but decreased Potato
virus X. The discrepant effects exerted by NbMKP1 on different pathogens foresee
the difficulty to develop plants with broad-spectrum resistance through
genetically manipulating a single player in MAPK cascades.
PMID- 29806183
TI - O-, N-Atoms-Coordinated Mn Cofactors within a Graphene Framework as Bioinspired
Oxygen Reduction Reaction Electrocatalysts.
AB - Manganese (Mn) is generally regarded as not being sufficiently active for the
oxygen reduction reaction (ORR) compared to other transition metals such as Fe
and Co. However, in biology, manganese-containing enzymes can catalyze oxygen
evolving reactions efficiently with a relative low onset potential. Here,
atomically dispersed O and N atoms coordinated Mn active sites are incorporated
within graphene frameworks to emulate both the structure and function of Mn
cofactors in heme-copper oxidases superfamily. Unlike previous single-metal
catalysts with general M-N-C structures, here, it is proved that a coordinated O
atom can also play a significant role in tuning the intrinsic catalytic
activities of transition metals. The biomimetic electrocatalyst exhibits superior
performance for the ORR and zinc-air batteries under alkaline conditions, which
is even better than that of commercial Pt/C. The excellent performance can be
ascribed to the abundant atomically dispersed Mn cofactors in the graphene
frameworks, confirmed by various characterization methods. Theoretical
calculations reveal that the intrinsic catalytic activity of metal Mn can be
significantly improved via changing local geometry of nearest coordinated O and N
atoms. Especially, graphene frameworks containing the Mn-N3 O1 cofactor
demonstrate the fastest ORR kinetics due to the tuning of the d electronic states
to a reasonable state.
PMID- 29806184
TI - A Two-Stage Annealing Strategy for Crystallization Control of CH3 NH3 PbI3 Films
toward Highly Reproducible Perovskite Solar Cells.
AB - The solvent-engineering method is widely used to fabricate top-performing
perovskite solar cells, which, however, usually exhibit inferior reproducibility.
Herein, a two-stage annealing (TSA) strategy is demonstrated for processing of
perovskite films, namely, annealing the intermediate phase at 60 degrees C for
the first stage then at 100 degrees C for the second stage. Compared to
conventional direct annealing temperature (DHA) at 100 degrees C, using this
strategy, MAPbI3 films become more controllable, leading to superior film
uniformity and device reproducibility with the champion device efficiency
reaching 19.8%. More specifically, the coefficient of variation of efficiency for
49 cells is reduced to 5.9%, compared to 9.8% for that using DHA. The TSA process
is carefully studied using Fourier transform infrared spectroscopy, X-ray
diffraction, and UV-vis absorption spectroscopy. It is found that in comparison
with DHA the formation of hydrogen bonding and crystallization of perovskite are
much slower and can be better controlled when using TSA. The improvements in film
uniformity and device reproducibility are attributed to: 1) controllable MAPbI3
crystal growth stemming from the progressive formation of hydrogen bonding
between methylammonium and halide; 2) suppression of intermediate phase film
dewetting, which is believed to be due to its decreased mobility at the initial
low-temperature annealing stage.
PMID- 29806185
TI - Electronic health record (EHR) based postmarketing surveillance of adverse events
associated with pediatric off-label medication use: A case study of short-acting
beta-2 agonists and arrhythmias.
AB - PURPOSE: Use electronic health record (EHR) data to (1) estimate the risk of
arrhythmia associated with inhaled short-acting beta-2 agonists (SABA) in
pediatric patients and (2) determine whether risk varied by on-label versus off
label prescribing. METHODS: Retrospective cohort study of 335 041 children <=18
years using EHR primary care data from 2 pediatric health systems (2011-2013). A
series of monthly pseudotrials were created, using propensity score methodology
to balance baseline characteristics between SABA-exposed (identified by
prescription) and SABA-unexposed children. Association between SABA and
subsequent arrhythmia for each health system was estimated through pooled
logistic regression with separate estimates for children initiating under and
over 4 years old (off-label and on-label, respectively). RESULTS: Eleven percent
of the cohort received a SABA prescription, 57% occurred under the age of 4 years
(off-label). During the follow-up period, there were 283 first arrhythmia events,
most commonly atrial tachyarrhythmias and premature ventricular/atrial
contractions. In 1 health system, adjusted risk for arrhythmia was increased
among exposed children (OR 1.89, 95% CI 1.31-2.73) without evidence of
interaction between label status and risk. The absolute adjusted rate difference
was 3.6/10 000 person-years of SABA exposure. The association between SABA
exposure and arrhythmias was less strong in the second system (OR 1.26, 95% CI
0.30-5.33). CONCLUSION: Using EHR data, we could estimate the risk of a rare
event associated with medication use and determine difference in risk related to
on-label versus off-label status. These findings support the value of EHR-based
data for postmarketing drug studies in the pediatric population.
PMID- 29806186
TI - Evaluation and comparison of automated hematology analyzer, flow cytometry, and
digital morphology analyzer for monocyte counting.
AB - INTRODUCTION: This study was aimed to evaluate monocyte counts on Sysmex XN-9000,
Sysmex CyFlow Space System, and Sysmex DI60 and compare the performance of these
systems with the reference optical microscopy (OM) assessment. METHODS: In all,
55 peripheral blood samples, collected in K3 EDTA tubes, were analyzed with XN
9000, CyFlow System (FlowDiff1 and 2), DI60, and OM. Within-run imprecision was
carried out using normal samples. Data comparison was performed with Passing
Bablok regression and Bland-Altman plots. RESULTS: The within-run imprecision of
monocyte count on XN, FlowDiff, OM, and DI60 ranged between 1.9% for FlowDiff 2
and 22.1% for DI60. The Passing-Bablok regression analysis of absolute count
yielded slopes comprised between 0.93 (FlowDiff2 vs DI60) and 1.21 (DI60 vs OM),
whereas the intercepts ranged between -0.002 (FlowDiff 1 vs FlowDiff 2) and 0.13
(FlowDiff1 and 2 vs DI60). Bland-Altman plots in absolute values yielded absolute
bias comprised between -0.01 * 109 /L (FlowDiff 1 vs FlowDiff 2; DI60 vs OM) and
0.15 * 109 (XN-module vs DI60). CONCLUSION: The results of this analytical
evaluation suggest that flow cytometry generates monocyte counts suitable for
routine clinical use. OM or DI60 analysis may be useful for identifying
morphologic abnormalities, but does not achieve a satisfactory level of accuracy
for enumerating blood cells types such as monocytes, which are usually very low
in peripheral blood.
PMID- 29806187
TI - Intervention strategies for preventing excessive gestational weight gain:
systematic review and meta-analysis.
AB - BACKGROUND: Interventions relevant to energy intake to prevent excessive
gestational weight gain in pregnant overweight and obese women are important but
scarce. This review synthesized healthy eating and physical activity strategies
and their effects on excessive gestational weight gain prevention. METHODS:
Twenty-three randomized controlled trials that included healthy eating and/or
physical activity as an intervention in healthy pregnant overweight or obese
adult women and gestational weight gain as a primary or secondary outcome were
reviewed. FINDINGS: Heathy eating and/or physical activity (21 studies, n = 6,920
subjects) demonstrated 1.81 kg (95% CI: -3.47, -0.16) of gestational weight gain
reduction favouring intervention. Healthy eating (-5.77 kg, 95% CI: -9.34, -2.21,
p = 0.02) had a larger effect size than combined healthy eating/physical activity
(-0.82 kg, 95% CI: -1.28, -0.36, p = 0.0005) in limiting gestational weight gain.
Physical activity did not show a significant pooled effect. Healthy eating with
prescribed daily calorie and macronutrient goals significantly limited
gestational weight gain by 4.28 kg and 4.23 kg, respectively. CONCLUSION: Healthy
eating and/or physical activity are effective in gestational weight gain control.
Healthy eating with calorie and macronutrient goals are especially effective in
limiting excessive gestational weight gain among pregnant overweight and obese
women.
PMID- 29806188
TI - Pediatric Pulmonology year in review 2017: Part 3.
AB - Pediatric Pulmonology publishes original research, reviews, and case reports
related to a wide range of children's respiratory disorders. We here summarize
the past year's publications in our major topic areas, in the context of selected
literature in these areas from other journals relevant to our discipline. This
review (Part 3 of a 5-part series) covers selected articles on asthma,
physiology/lung function testing, and respiratory infections.
PMID- 29806189
TI - Clinical and dermoscopic features of genital pigmented Bowen disease.
AB - Pigmented Bowen disease (pBD) is an uncommon variant of squamous cell carcinoma
in situ. Sometimes it can show clinical and dermoscopic features that are seen in
other pigmented lesions of the skin and mucosa, making the diagnosis difficult.
We report six cases of pBD occurring on the anogenital area, and discuss the
importance of dermoscopy for improving the diagnostic accuracy in pBD.
PMID- 29806190
TI - Colloid milium: the expanding spectrum of orange color at dermoscopy.
PMID- 29806191
TI - Engineering High-Performance MoO2 -Based Nanomaterials with Supercapacity and
Superhydrophobicity by Tuning the Raw Materials Source.
AB - Herein, a simple self-assembly method is proposed for the fabrication of MoO2
based superhydrophobic material with record high contact angles (contact angle up
to about 173 degrees ) for conductive metal oxides on hard/soft substrates. The
spin-coated surface demonstrates excellent oil-water separation efficiency (>98%)
after 50 cycles and robust corrosion resistance after immersion into different pH
solutions for 20 d. These water-resistant coatings retain excellent
superhydrophobicity after oil immersion, knife-scratch, and long-cycle sandpaper
abrasion, which is not observed on most artificial surfaces. Meanwhile, the
functionality switching from superhydrophobicity to supercapacity, which have an
inverse relationship in aqueous solutions because of poor electrode wettability,
is achieved simply by editing the raw materials source. Tuning of the raw
materials leads to the same product MoO2 /graphitic carbon with different
morphologies and functionalities. Different from superhydrophobic MoO2 /carbon
ball flowers, MoO2 nanotubes with carbon exhibit excellent supercapacity with a
large gravimetric capacitance and great cycling stability.
PMID- 29806192
TI - Lactococcus lactis, causative agent of an endocarditis valvularis and parietalis
thromboticans in the allis shad, Alosa alosa (L.).
AB - Since the 1940s, the anadromous allis shad, Alosa alosa (L.), has suffered
population declines throughout its distribution range in Europe. In context of EU
LIFE projects for the reintroduction of the allis shad in the Rhine system, a
comprehensive study was started in 2012 to investigate infectious diseases
occurring in allis shad. In course of the study, 217 mature and young-of-the-year
allis shad originating from the wild population from the Gironde-Garonne-Dordogne
system (GGD-system) and the Rhine system as well as 38 allis shad from the
breeding population were examined by use of bacteriological and histological
methods. In 2012 and 2014, an endocarditis valvularis thromboticans caused by a
coccoid bacterium was detected in 16% and 25% of mature allis shad originating
from the GGD-system. Results of microbiologic examinations, including biochemical
characteristics, matrix-assisted laser desorption/ionization time-of-flight mass
spectrometry (MALDI-TOF MS) and 16S rRNA gene sequence analysis, revealed
Lactococcus lactis as causative agent of this infection. This is the first report
of an endocarditis valvularis and parietalis thromboticans caused by Lactococcus
lactis in fish. Possible sources of infection as well as the impact for the
reintroduction programme are discussed.
PMID- 29806193
TI - Different outdoor professions have different risks - a cross-sectional study
comparing non-melanoma skin cancer risk among farmers, gardeners and mountain
guides.
AB - BACKGROUND: One of the main risk factors for non-melanoma skin cancer (NMSC), the
most common cancer worldwide, is solar ultraviolet radiation (UVR). This has led
to the recognition of NMSC as occupational disease for outdoor workers in several
countries. However, outdoor professions are a very heterogeneous group with
diverse daily activities and associated UVR exposure. OBJECTIVE: To compare the
prevalence of NMSC and associated risk behaviour in different outdoor
professions. METHODS: Cross-sectional study among outdoor workers (farmers,
gardeners, mountain guides) and indoor workers (office employees) as control
group using a paper-based questionnaire on UVR exposure and protective behaviour
followed by a skin examination by a dermatologist. RESULTS: A total of 563
participants (46.9% women, 46.9 +/- 13.8 years) consisting of 348 outdoor workers
(38.8% farmer, 35.3% gardener, 25.9% mountain guides) and 215 indoor workers were
included in the study between March and September 2017. NMSC incl. actinic
keratosis was diagnosed in 33.3% of mountain guides, 27.4% of farmers, 19.5% of
gardeners and in 5.6% of indoor workers. Significant differences were seen
between the outdoor professions with mountain guides at highest risk compared to
farmers (OR = 2.6, 95% CI = 1.2-5.7). Substantial differences between the
professions were also seen in skin cancer screening attendance rates (indoor
worker 61.4%, mountain guides 57.8%, farmers 31.9%, gardeners 27.6%), daily UVR
exposure during work and protective behaviour such as sunscreen use during work.
CONCLUSION: Different outdoor professions have significant different risks for
NMSC and show different risk behaviour. Tailoring prevention efforts to different
professions based on their individual needs could be the key to lower the global
burden of (occupational) NMSC.
PMID- 29806195
TI - Libration of phenyl groups detected by VT-SSNMR: Comparison with X-ray
crystallography.
AB - The X-ray crystal structure of 2-benzyl-1H-benzimidazole, 2BnBzIm, was determined
at 293 K showing no dynamic phenomena (disorder) of any class. On the other hand,
some 13 C NMR signals were absent in the CPMAS spectrum (100 MHz, 300 K). We
decided to carry out variable-temperature SSNMR and discovered that the missing
signals are ortho and meta carbons of the phenyl ring of the benzyl group. Line
shape analysis and the Eyring equation were used to determine the barrier, which
was compared with the calculated DFT for the gas phase that it is much lower.
PMID- 29806194
TI - No wisdom in the crowd: genome annotation in the era of big data - current status
and future prospects.
AB - Science and engineering rely on the accumulation and dissemination of knowledge
to make discoveries and create new designs. Discovery-driven genome research
rests on knowledge passed on via gene annotations. In response to the deluge of
sequencing big data, standard annotation practice employs automated procedures
that rely on majority rules. We argue this hinders progress through the
generation and propagation of errors, leading investigators into blind alleys.
More subtly, this inductive process discourages the discovery of novelty, which
remains essential in biological research and reflects the nature of biology
itself. Annotation systems, rather than being repositories of facts, should be
tools that support multiple modes of inference. By combining deduction, induction
and abduction, investigators can generate hypotheses when accurate knowledge is
extracted from model databases. A key stance is to depart from 'the sequence
tells the structure tells the function' fallacy, placing function first. We
illustrate our approach with examples of critical or unexpected pathways, using
MicroScope to demonstrate how tools can be implemented following the principles
we advocate. We end with a challenge to the reader.
PMID- 29806196
TI - The use of light's criteria in hospitalized children with a pleural effusion of
unknown etiology.
AB - OBJECTIVE: Pleural effusions are common in pediatrics. When the etiology of a
pleural effusion remains unknown, adult literature recommends the use of Light's
criteria to differentiate a transudate from an exudate. Pediatricians may rely on
adult literature for the diagnostic management of pleural effusions as Light's
criteria has not been validated in children. The purpose of this study was to
review the use of Light's criteria in hospitalized children with a pleural
effusion of unknown etiology. METHODS: Retrospective review was performed on
children hospitalized with a pleural effusion requiring chest tube placement or
thoracentesis between January 1, 2016 to January 1, 2017 at Children's Hospital
Colorado. Charts were reviewed for primary team, use of Light's criteria, pleural
effusion diagnosis, and 30-day recurrence of repeat intervention or fluid
analysis. RESULTS: Sixty-eight patients were hospitalized with a pleural effusion
of unknown etiology requiring intervention. Only 16 pleural effusions (24%) were
classified using Light's criteria. In those patients for whom Light's criteria
was used, a diagnosis or change in management occurred in 10 of 16 patients
(63%). Pleural effusions were most common on the cardiology service (26/68). Use
of Light's criteria was most frequent on the oncology service (7/8). Thirty-day
need for repeat intervention was lower in those with Light's criteria (13%)
compared to those without (27%). CONCLUSIONS: Light's criteria were utilized
infrequently in hospitalized children with a pleural effusion of unknown etiology
at a single institution. There was considerable practice variation among provider
teams. When utilized, Light's criteria assisted in making a diagnosis or changing
management in many patients, and may lead to a reduction in 30-day recurrence
requiring repeat intervention.
PMID- 29806198
TI - Two Laboratory Deaths, and Keeping Organic Solvents Dry.
AB - The historic evolution of the use of molecular sieves for drying organic
solvents, as most likely pioneered by Saul Winstein, is discussed in relation to
laboratory safety and the emergence of physical organic chemistry.
PMID- 29806197
TI - Prevalence of youth gambling and potential influence of substance use and other
risk factors throughout 33 European countries: first results from the 2015 ESPAD
study.
AB - BACKGROUND AND AIMS: Although generally prohibited by national regulations,
underage gambling has become popular in Europe, with relevant cross-country
prevalence variability. This study aimed to estimate the prevalence of underage
gambling in Europe stratified by type of game and on-/off-line mode and to
examine the association with individual and family characteristics and substance
use. DESIGN: Our study used data from the 2015 European School Survey Project on
Alcohol and Other Drugs (ESPAD) cross-sectional study, a survey using self
administered anonymous questionnaires. SETTING: Thirty-three European countries.
PARTICIPANTS: Sixteen-year-old-year-old students (n = 93 875; F = 50.8%).
MEASUREMENTS: The primary outcome measure was prevalence of past-year gambling
activity. Key predictors comprised individual behaviours, substance use and
parenting (regulation, monitoring and caring). FINDINGS: A total of 22.6% of 16
year-old students in Europe gambled in the past year: 16.2% on-line, 18.5% off
line. High prevalence variability was observed throughout countries both for mode
and types of game. With the exception of cannabis, substance use shows a higher
association with gambling, particularly binge drinking [odds ratio (OR) = 1.46,
95% confidence interval (CI) = 1.39-1.53), life-time use of inhalants (OR = 1.57,
95% CI = 1.47-1.68) and other substances (OR = 1.78, 95% CI = 1.65-1.92)]. Among
life habits, the following showed a positive association: truancy at school (OR =
1.26, 95% CI = 1.18-1.35), going out at night (OR = 1.32, 95% CI = 1.26-1.38),
participating in sports (OR = 1.30, 95% CI = 1.24-1.37). A negative association
was found with reading books for leisure (OR = 0.82%, 95% CI = 0.79-0.86),
parents' monitoring of Saturday night activities (OR = 0.81, 95% CI = 0.77-0.86)
and restrictions on money provided by parents as a gift (OR = 0.89, 95% CI = 0.84
0.94). CONCLUSIONS: Underage gambling in Europe appears to be associated
positively with alcohol, tobacco and other substance use (but not cannabis), as
well as with other individual behaviours such as truancy, going out at night and
active participation in sports, and is associated negatively with reading for
pleasure, parental monitoring of evening activities and parental restriction of
money.
PMID- 29806199
TI - Separation and purification of intermediates for the preparation of naproxen from
synthetic mixtures by countercurrent chromatography.
AB - Three key intermediates in the preparation of the nonsteroidal anti-inflammatory
drug naproxen were successfully separated and purified with high purity from
synthetic mixtures by countercurrent chromatography with a selected biphasic
solvent system. The biphasic solvent system composed of n-hexane/ethyl
acetate/methanol/water (9:1:9:1, v/v/v/v) was selected according to partition
performance of the three components using thin-layer chromatography. Fifty
milligrams of the synthetic mixture after the three-step reaction was injected
into a preparative countercurrent chromatography separation column and yielded
3.5, 14.0, and 8.0 mg of three key intermediates with 95.0, 99.0, and 98.0%
purity, and the recovery of each component was 65.2, 71.2, and 69.6%,
respectively. The results indicated that countercurrent chromatography is an
efficient alternative and economical method for the separation and purification
of intermediate components from synthetic mixtures.
PMID- 29806200
TI - Risk factors for the expansion of cutaneous leishmaniasis by Leishmania tropica:
Possible implications for control programmes.
AB - Cutaneous leishmaniasis (CL) caused by Leishmania tropica is emerging in new
areas, initially as outbreaks and then establishing endemic foci. There is little
evidence of the risk factors and effectiveness of existing control measures, what
limits our ability to generalize in different epidemiological settings. The
disease is described as anthroponotic; however, zoonotic outbreaks have been
reported in some countries. Our aim was to identify risk factors in a recently
reported endemic focus in Morocco in order to design more effective control
programmes. A case-control study was conducted from September 2014 to October
2015 for epidemiological data collection from families with and without CL cases.
Sandflies were captured and L. tropica infection determined. The presence of
potential animal reservoirs was evaluated. 71 CL cases (44 diagnosed between 2013
and 2015) and 137 healthy people were surveyed. The average age of the new cases
was 33.1 +/- 22.3 years, and 69.0% were women. Phlebotomus sergenti was the most
abundant species with a density of 4.27 sandflies/trap/night and differences
between houses with and without CL cases were detected (p-value = 0.014).
Overall, 2.7% female P. sergenti and 3.0% dogs were positive for L. tropica.
Human, cat, rabbit and bird blood was detected in blood-fed P. sergenti females.
45% people used preventive measures that were not translated into a reduction in
the individual risk of acquiring CL. Exposure to P. sergenti was the only risk
factor found, and the reduction in its density could be achieved through the
improvement of water wells management, organic fertilizers' disposal and dogs
control. The lack of effectiveness of indoor residual spraying and treated nets
are attributable to poor compliance and misuse of them. In addition, result
optimization of the awareness campaigns on the public is possible by involving
patients with CL to explain their own experience.
PMID- 29806201
TI - Cellular interactions with bacterial cellulose: Polycaprolactone nanofibrous
scaffolds produced by a portable electrohydrodynamic gun for point-of-need wound
dressing.
AB - Electrospun nanofibrous scaffolds are promising regenerative wound dressing
options but have yet to be widely used in practice. The challenge is that
nanofibre productions rely on bench-top apparatuses, and the delicate product
integrity is hard to preserve before reaching the point of need. Timing is
critically important to wound healing. The purpose of this investigation is to
produce novel nanofibrous scaffolds using a portable, hand-held "gun", which
enables production at the wound site in a time-dependent fashion, thereby
preserving product integrity. We select bacterial cellulose, a natural
hydrophilic biopolymer, and polycaprolactone, a synthetic hydrophobic polymer, to
generate composite nanofibres that can tune the scaffold hydrophilicity, which
strongly affects cell proliferation. Composite scaffolds made of 8 different
ratios of bacterial cellulose and polycaprolactone were successfully electrospun.
The morphological features and cell-scaffold interactions were analysed using
scanning electron microscopy. The biocompatibility was studied using Saos-2 cell
viability test. The scaffolds were found to show good biocompatibility and allow
different proliferation rates that varied with the composition of the scaffolds.
A nanofibrous dressing that can be accurately moulded and standardised via the
portable technique is advantageous for wound healing in practicality and in its
consistency through mass production.
PMID- 29806202
TI - A look into the relationship between personality traits and basic values: A
longitudinal investigation.
AB - OBJECTIVE: The present study examines the longitudinal association between basic
personal values and the Big Five personality traits. METHOD: A sample of 546
young adults (57% females) with a mean age of 21.68 years (SD = 1.60) completed
the Portrait Values Questionnaire and the Big Five Questionnaire at three time
points, each separated by an interval of 4 years. Cross-lagged models were used
to investigate the possible reciprocal relations between traits and values, after
the stability of the variables was taken into account. RESULTS: We found that
values did not affect trait development. Traits, by contrast, have some effects
on how values change. Specifically, high levels of Agreeableness predict an
increase over time in the importance assigned to benevolence values. Similarly,
high levels of Openness predict a later increase in the importance assigned to
self-direction values. The same effect was not found for the other traits.
Additionally, except for in the case of Emotional Stability, traits showed
synchronous (i.e., within wave) correlations with values, suggesting that part of
this relationship is due to common antecedents. CONCLUSIONS: Mechanisms
underlying the associations between traits and values are discussed.
PMID- 29806203
TI - Brief Report: Monosodium Urate Monohydrate Crystal Deposits Are Common in
Asymptomatic Sons of Patients With Gout: The Sons of Gout Study.
AB - OBJECTIVE: To estimate the prevalence and distribution of asymptomatic monosodium
urate monohydrate (MSU) crystal deposition in sons of patients with gout.
METHODS: Patients with gout were mailed an explanatory letter with an enclosed
postage-paid study packet to mail to their son(s) age >=20 years old. Sons
interested in participating returned a reply form and underwent telephone
screening. Subsequently, they attended a study visit at which blood and urine
samples were obtained and musculoskeletal ultrasonography was performed, with the
sonographer blinded with regard to the subject's serum urate level. Images were
assessed for double contour sign, intraarticular or intratendinous
aggregates/tophi, effusion, and power Doppler signal. Logistic regression was
used to examine associations. Adjusted odds ratios (ORadj ) and 95% confidence
intervals (95% CIs) were calculated. RESULTS: One hundred thirty-one sons (mean
age 43.8 years, mean body mass index 27.1 kg/m2 ) completed assessments. The
serum urate level was >=6 mg/dl in 64.1%, and 29.8% had either a double contour
sign or intraarticular aggregates/tophi in >=1 joint. All participants with MSU
deposition had involvement of 1 or both first metatarsophalangeal joints.
Intratendinous aggregates were present in 21.4% and were associated with
intraarticular MSU crystal deposits (ORadj 2.96 [95% CI 1.17-7.49]). No
participant with a serum urate level of <=5 mg/dl had MSU crystal deposition seen
on ultrasonography, and 24.2% of those with serum urate levels between 5 and 6
mg/dl had ultrasonographic MSU deposition. MSU crystal deposition was associated
with increasing serum urate levels (ORadj 1.61 [95% CI 1.10-2.36] for each
increase of 1 mg/dl). CONCLUSION: Asymptomatic sons of patients with gout
frequently have hyperuricemia and MSU crystal deposits. In this study MSU crystal
deposits were present in participants with serum urate levels of >=5 mg/dl.
Evaluation of subjects without a family history of gout is needed to determine
whether the threshold for MSU crystal deposition is also lower in the general
population.
PMID- 29806204
TI - Evaluation of the Sysmex UF-1000i system as an alternative for the screening of
genital tract inflammation in male infertility patients.
AB - The number of white blood cell (WBC) in semen is an important indicator of
genital tract inflammation in male infertility. The peroxidase assay is the
recommended reference method for seminal WBC counting. However, it is time
consuming and may cause relatively heavy workload in daily routine. Meanwhile,
the main component in the reagent of peroxidase test is harmful to human and the
environment. In this study, we evaluated the analytical performance of the Sysmex
UF-1000i that is a urine flow cytometer as a screening tool for genital tract
infection in male infertility patients through the counting of seminal WBC. We
examined 143 semen samples and compared the results of UF-1000i and manual
microscopy. The intra-assay variability, stability and linearity studies were
performed. The intravariability (CV %) of seminal WBC count by Sysmex UF-1000i
was 2.34%-9.65%. The method of UF-1000i displayed a good agreement with the
reference assay of manual microscopy, and the r value for correlation of seminal
WBC count between UF-1000i and manual microscopy was over 0.999 (p < 0.001). The
Sysmex UF-1000i is capable of producing reliable seminal WBC count consistent
with that obtained by manual microscopy. It is a suitable alternative to the
manual microscopy, thus reduces the workload.
PMID- 29806205
TI - Systemic allergic dermatitis caused by disulfiram (Antabuse) in a patient
previously sensitized to rubber accelerators.
PMID- 29806206
TI - Validation of the Korean Version Interpersonal Needs Questionnaire.
AB - OBJECTIVE: The Interpersonal Psychological Theory of Suicide posits thwarted
belongingness and perceived burdensomeness as the constructs of suicidal desire.
This study examined the psychometric properties of the Interpersonal Needs
Questionnaire (INQ), which measures thwarted belongingness and perceived
burdensomeness, in Korean university students. METHOD: A series of exploratory
and confirmatory factor analyses were conducted to identify the latent structure
of the 15-item INQ. Then, its psychometric properties were examined through a
correlation analysis and a hierarchical regression analysis. The study included
313 Korean students of which 113 were male and 200 were female. The mean age was
21.51 years. RESULTS: The factor analyses supported a two-factor model. However,
item 12 cross-loaded on both thwarted belongingness and perceived burdensomeness.
While the correlation analysis supported convergent validity, discriminant
validity was observed only through a more elaborative measure. Furthermore, the
hierarchical regression analysis revealed perceived burdensomeness and the
interaction between the two constructs as significant predictors of current
suicide ideation. CONCLUSION: The difference in the structure of the INQ may be
due to cultural influences. Nevertheless, the high predictability of suicide
ideation that it produces even when controlling for depression implies its
clinical usefulness when assessing suicidal risk in Koreans.
PMID- 29806207
TI - Sulfides and Disulfides of s-Triazine: Potential Thermal Thiyl Radical
Generators.
AB - A series of aliphatic and aromatic thioethers and dithioethers of s-triazine were
synthesised to study their thermal properties, in particular the thermally
induced thiyl radical generation ability. Four symmetric s-triazine sulfides of
the type (RS)3 C3 N3 , namely 2,4,6-tris(phenylthio)- (1), 2,4,6-tris(para
tolylthio)- (3), 2,4,6-tris(ethylthio)- (5) and 2,4,6-tris(tert-butylthio)-1,3,5
triazine (7), as well as four symmetric s-triazine disulfides of the type (RSS)3
C3 N3 , namely 2,4,6-tris(phenyldithio)- (2), 2,4,6-tris(para-tolyldithio)- (4),
2,4,6-tris(ethyldithio)- (6) and 2,4,6-tris(tert-butyldithio)-1,3,5-triazine (8)
were synthesised. All compounds were comprehensively characterised by 1 H and 13
C NMR, infrared and Raman spectroscopy as well as elemental analyses. Single
crystal X-ray diffraction analyses of 1, 2 and 5 are discussed. The thermal
behaviour was studied by thermogravimetric analyses coupled with mass
spectrometry (TGA-MS) and quantum chemical calculations. Limiting oxygen index
(LOI) flammability tests showed that the disulfides are the most promising
radical generators, and are most likely suitable flame retardants for selected
polymers.
PMID- 29806208
TI - Upgrades from a previous device compared to de novo cardiac resynchronization
therapy in the European Society of Cardiology CRT Survey II.
AB - BACKGROUND: To date, there are no data from randomized controlled studies on the
benefit of cardiac resynchronization therapy (CRT) when implanted as an upgrade
in patients with a previous device as compared to de novo CRT. In the CRT Survey
II we compared the baseline data of patients upgraded to CRT (CRT-P/CRT-D) from a
previous pacemaker (PM) or implantable cardioverter-defibrillator (ICD) to de
novo CRT implantation. METHODS AND RESULTS: In the European CRT Survey II,
clinical practice data of patients undergoing CRT and/or ICD implantation across
42 European Society of Cardiology (ESC) countries were collected between October
2015 and December 2016. Out of a total of 11 088 patients, 2396 (23.2%) were
upgraded from a previous PM or ICD and 7933 (76.8%) underwent de novo
implantation. Compared to de novo implantations, upgraded patients were older,
more often male, more frequently had ischaemic heart failure aetiology, atrial
fibrillation, reduced renal function, worse heart failure symptoms, and higher N
terminal pro-B-type natriuretic peptide levels. Upgraded patients were more often
PM-dependent and less frequently received CRT-D. Total peri-procedural, in
hospital complications and length of hospital stay were similar. Upgraded
patients were less frequently treated with heart failure medication at discharge.
CONCLUSION: Despite a lack of evidenced-based data, close to one quarter of all
CRT implantations across 42 ESC countries were upgrades from a previous PM or
ICD. Despite older age and worse symptoms, the CRT implantation procedures in
upgraded patients were equally frequently successful and complications similar to
de novo implantations. These results call for more studies.
PMID- 29806209
TI - Cost-effectiveness analysis of robot-assisted vs. open partial nephrectomy.
AB - BACKGROUND: The cost-effectiveness of robot-assisted partial nephrectomy (RAPN)
vs. the open procedure is not established. METHODS: We estimated in-hospital
complications and the cost of RAPN vs. open partial nephrectomy (OPN) using an
economic model. Costs incurred both intraoperatively and in hospital were
considered. US data were extracted from existing literature. RESULTS: Mean in
hospital costs were $14,824 (95% CI $13,368-$16,898) for RAPN and $15,094 (95% CI
$13,491-$17,140) for OPN. Complications after RAPN occurred in 23.3% (95% CI 20.0
25.8%) and after OPN in 36.1% (95% CI 35.6-36.6%) of the patients. In a
sensitivity analysis, limited centre experience was associated with relevant
increase in RAPN cost and consequently in low cost-effectiveness. CONCLUSIONS: In
this economic model based on US data, RAPN resulted in nominally lower cost but
fewer perioperative complications than OPN. RAPN was not cost-effective in less
experienced centres.
PMID- 29806210
TI - Charge-Storage Aromatic Amino Compounds for Nonvolatile Organic Transistor Memory
Devices.
AB - Here, charge-storage nonvolatile organic field-effect transistor (OFET) memory
devices based on interfacial self-assembled molecules are proposed. The
functional molecules contain various aromatic amino moieties (N-phenyl-N-pyridyl
amino- (PyPN), N-phenyl amino- (PN), and N,N-diphenyl amino- (DPN)) which are
linked by a propyl chain to a triethoxysilyl anchor group and act as the
interface modifiers and the charge-storage elements. The PyPN-containing
pentacene-based memory device (denoted as PyPN device) presents the memory window
of 48.43 V, while PN and DPN devices show the memory windows of 24.88 and 8.34 V,
respectively. The memory characteristic of the PyPN device can remain stable
along with 150 continuous write-read-erase-read cycles. The morphology analysis
confirms that three interfacial layers show aggregation due to the N atomic self
catalysis and hydrogen bonding effects. The large aggregate-covered PyPN layer
has the full contact area with the pentacene molecules, leading to the high
memory performance. In addition, the energy level matching between PyPN molecules
and pentacene creates the smallest tunneling barrier and facilitates the
injection of the hole carriers from pentacene to the PyPN layer. The experimental
memory characteristics are well in agreement with the computational calculation.
PMID- 29806211
TI - Electrospun Heparin-Loaded Core-Shell Nanofiber Sutures for Achilles Tendon
Regeneration In Vivo.
AB - Achilles tendon reconstruction surgery is the primary clinical method for
repairing acute Achilles tendon ruptures. However, the efficacy of the
postoperative healing process and the recovery of physiological function are
inadequate. This study examines the healing mechanism of ruptured rat Achilles
tendons seamed with heparin-loaded core-shell fiber sutures fabricated via near
field electrospinning. High-heparin-concentration sutures (PPH3.0) perform better
than the low-heparin-concentration sutures and commercial sutures (CSs). The
PPH3.0 suture recruits fewer inflammatory cells and shows good histocompatibility
in peritoneal implantation experiments. Staining of the Achilles tendon rupture
repair zone demonstrates that a high heparin concentration in sutures reduces
immune-inflammatory responses. Immunohistochemical analysis reveals that the
transforming growth factor-beta staining scores of the PPH3.0 sutures are not
significantly different from those of the corresponding control group but are
significantly different from those of the CSs and non-heparin-loaded-suture
groups. According to vascular endothelial growth factor (VEGF) analysis, the
concentration of VEGF in the group treated with the PPH3.0 suture increases by
37.5% compared with that in its control group. No significant difference in
tension strength is observed between the PPH3.0 group and healthy Achilles
tendons. These findings illustrate that this novel method effectively treats
Achilles tendon rupture and promotes healing and regeneration.
PMID- 29806212
TI - The Association of Proxy Care Engagement with Proxy Reports of Patient Experience
and Quality of Life.
AB - OBJECTIVE: To assess the association of proxy-specific covariates with proxy
reported patient cancer care experience, quality rating, and quality of life.
DATA SOURCES/STUDY SETTING: Secondary analysis of data from the Cancer Care
Outcomes Research and Surveillance (CanCORS) study. STUDY DESIGN: Cross-sectional
observational study. The respondents were proxies for patients with incident
colorectal or lung cancer. DATA COLLECTION/EXTRACTION METHODS: Analyses used
linear regression models and adjusted for patient sociodemographic and clinical
characteristics. Outcomes included patients' experiences with medical care,
nursing care, and care coordination, overall quality ratings, and physical and
mental health, all scored on 0-100 scales (0 = worst, 100 = best). Independent
variables included the proxy's relationship with the patient and engagement in
patient care. PRINCIPAL FINDINGS: Of 1,011 proxies, most were the patient's
spouse (50 percent) or child (36 percent). Although most proxies (66 percent)
always attended medical visits, 3 percent reported never attending. After
adjustment, on average children reported worse experiences and poorer quality
care than spouses (4-9 points lower across outcomes). Proxies who never attended
medical visits reported significantly worse medical care (-11 points, 95 percent
CI = -18 to -3) and care coordination (-13 points, 95 percent CI = -20 to -6).
CONCLUSIONS: Collecting data on proxy engagement in care is warranted if proxy
responses are used.
PMID- 29806213
TI - Factors associated with appointment non-attendance at a medical imaging
department in regional Australia: a retrospective cohort analysis.
AB - INTRODUCTION: Appointment non-attendance contributes added cost to the healthcare
sector through wasted resource allocations. Medical imaging departments commonly
schedule appointments for most modalities; however, no study has quantified
patient attendance rates in the Australian regional setting. This is despite
evidence that regional, rural and remote Australians tend to demonstrate poorer
health than metropolitan counterparts. This study aims to identify the factors
that influence appointment non-attendance at a teaching hospital in regional
Australia. METHODS: Categories restricted to age, gender, indigenous status,
distance from investigation site, referral source and imaging modality were
collected for all appointments (N = 13,458) referred to the medical imaging
department in 2015. The likelihood of each of these factors correlating with a
patient not attending a scheduled appointment was calculated using the chi
squared analysis and binary logistic regression. RESULTS: Gender, indigenous
status as well as specific imaging modalities, referral sources and age
categories were significantly associated with non-attendance. Overall, male
patients were 1.57 (P < 0.001) times more likely to miss a scheduled appointment
than female patients. Patients who identified as Aboriginal and Torres Strait
Islander were 2.66 (P < 0.001) times more likely to miss a scheduled appointment
than patients who did not identify as Aboriginal and Torres Strait Islander.
CONCLUSIONS: Several key factors appear to affect medical imaging appointment non
attendance. Key factors include indigenous status, gender, image modality,
referral source and age. Further improvement is required to better meet the needs
of underrepresented patient demographics.
PMID- 29806214
TI - Mechanisms and pathways of anti-inflammatory activity of DPP-4 inhibitors in
cardiovascular and renal protection.
AB - Dipeptidyl peptidase-4 (DPP-4) cleaves N-terminal dipeptides, with Pro, Ala or
Ser at the penultimate position, and, in that way, modulates biological activity
of certain polypeptides. Due to its ubiquitous distribution, many pathological
processes are associated with altered DPP-4 expression and activity. Besides the
regulation of glucose metabolism, DPP-4 also exhibits many other systemic
effects, and the inhibition of its activity might lead to cardiovascular and
renal protection. Mechanisms underlying these protective effects of DPP-4
inhibition are ascribed to elevated bioavailability of its substrates, to impacts
on mediators and signaling pathways that ameliorate cardiovascular and renal
function through the suppression of oxidative stress, inflammation, fibrosis and
apoptosis, improved endothelial function and tissue reparation. Inflammation
contributes to and promotes progression of cardiovascular and renal disorders.
Herein, we discuss cellular and molecular mechanisms mediating the anti
inflammatory activity of clinically used DPP-4 inhibitors in cardiovascular and
renal protection.
PMID- 29806215
TI - In Conversation.
PMID- 29806216
TI - Exceptional Iodine Capture in 2D Covalent Organic Frameworks.
AB - Progress in chemistry over the past four decades has generated a variety of
porous materials for removing iodine-a radioactive emission accompanying nuclear
fission. However, most studies are still based on the notion that entangled pores
together with specific binding sites are essential for iodine capture. Here, an
unraveled physical picture of iodine capture that overturns the preconception by
exploring 1D channeled porous materials is disclosed. 2D covalent organic
frameworks are constructed in a way so that they are free of interpenetration and
binding sites but consist of 1D open channels. As verified with different
channels shaping from hexagonal to tetragonal and trigonal and ranging from
micropores to mesopores, all the 1D channels enable a full access to iodine,
generalizing a new paradigm that the pore volume determines the uptake capacity.
These results are of fundamental importance to understanding iodine uptake and
designing materials to treat coagulative toxic vapors.
PMID- 29806217
TI - Special Editorial: Open science and the Journal of Child Psychology & Psychiatry
next steps?
AB - The JCPP works at the cutting edge of clinical science to publish ground-breaking
research across the full range of topics in the field of child psychology and
psychiatry. As JCPP editors, who are also active researchers in our own right, we
are conscious of the threat posed to our field by what has come to be known as
the reproducibility crisis - the fact that many published findings, initially
trumpeted as important developments in the field, cannot be replicated and are
therefore likely to be spurious (Nature Human Behaviour, 1, 2017, 21). The JCPP
is conscious of its responsibility to play its part in addressing this issue as
best it can. The roots of the problem are complex and its causes multifaceted. As
one part of its response, the JCPP embraces the principles of open science and
encourage preregistration of study protocols. Furthermore, we are working towards
implementing new systems to promote preregistration with the hope of increasing
scientific transparency and accountability and reducing the risks of selective
reporting and posthoc rationalisation of findings (Journal of Child Psychology &
Psychiatry, 59, 2018, 1).
PMID- 29806218
TI - What's new in atopic eczema? An analysis of systematic reviews published in 2015.
Part 2: prevention and treatment.
AB - This review forms part of a series of annual updates that summarize the evidence
base for atopic eczema (AE), providing a succinct guide for clinicians and
patients. It provides a summary of key findings from 26 systematic reviews that
were published during 2015, and focuses on the treatment and prevention of AE.
For systematic reviews on the epidemiology and methodological issues, see Part 1
of this update. Topical corticosteroid withdrawal syndrome, 'steroid addiction',
has been evaluated in a high-quality systematic review, which helps better define
this entity and the risk factors for it. A Cochrane Review has not demonstrated
any association between topical corticosteroid use in pregnancy and adverse
outcomes, although very large quantities of potent/very potent topical
corticosteroids may be associated with reduced birth weight. House dust mite
avoidance strategies do not appear to prevent AE. Exposure to probiotics
prenatally and in early infancy may help prevent AE, but there is no evidence
that maternal diet or supplementation has a preventative effect.
PMID- 29806220
TI - Vitamin E attenuates nicotine- and noise-induced reproductive impairment in male
albino Wistar rats.
AB - Previous studies showed that exposure to stress or nicotine induced reproductive
impairment in male rats. Here, we assessed the effect of an antioxidant (vitamin
E) on nicotine-, stress- and nicotine + stress-induced reproductive impairment in
male rats. Forty-eight male albino Wistar rats were divided into eight groups as
follows; control, stress (generator noise 90-120 dB, 8 hr/day), nicotine (1.5 mg
kg-1 day-1 ), nicotine + stress, vitamin E (100 mg kg-1 day-1 ), stress + vitamin
E, nicotine + vitamin E and stress + nicotine + vitamin E. Sperm count,
viability, motility and rapid progressive forward movement decreased
significantly (p < 0.05), while percentage of nonmotile spermatozoa increased
significantly (p < 0.05) in stress, nicotine and nicotine + stress groups,
compared with control. Serum testosterone and follicle-stimulating hormone
decreased significantly (p < 0.05) in stress, nicotine and nicotine + stress
groups, compared with control. Serum luteinising hormone decreased (p < 0.05)
significantly in stress and nicotine + stress groups, compared with the control.
Histology of the testes showed loss of germ cells in numerous seminiferous
tubules, and epididymal histology showed decreased sperm density in stress,
nicotine and nicotine + stress groups compared with the control. These negative
changes were more severe in the nicotine + stress group. Vitamin E ameliorated
the negative changes in the above parameters. This may be attributable to its
antioxidant property.
PMID- 29806221
TI - Multifocal cardiac hemangioma.
PMID- 29806222
TI - Sex differences in brain responses to food stimuli: a meta-analysis on
neuroimaging studies.
AB - The aims of the current study were to update the inclusion list of relevant
neuroimaging studies, meta-analyse the neuroimaging data and thus synthesize a
brain map showing locations with differential activations between men and women.
Published studies to 2017 were retrieved and included into the analysis if they
evaluated patients' brain responses to food or eating stimuli with functional
magnetic resonance imaging or positron emission tomography and reported
activation differences between the sexes in the form of brain coordinates based
on whole-brain analysis. Eight studies that comprised a total of 231 participants
fulfilled the inclusion criteria. Men had larger neural responses to food stimuli
than women in the anterior and middle cingulate, which are related to emotion
regulation. Meanwhile, women had larger neural responses to food stimuli than men
in the parahippocampus, the thalamus and the precuneus, which are collectively
relevant in the context of promotion of eating. The differential brain responses
to food or eating stimuli between men and women may shed light on the
neurobiology to help explain the sex differences in eating behaviour.
PMID- 29806219
TI - Alterations in retinoic acid signaling affect the development of the mouse
coronary vasculature.
AB - BACKGROUND: During the final stages of heart development the myocardium grows and
becomes vascularized by means of paracrine factors and cell progenitors derived
from the epicardium. There is evidence to suggest that retinoic acid (RA), a
metabolite of vitamin A, plays an important role in epicardial-based
developmental programming. However, the consequences of altered RA-signaling in
coronary development have not been systematically investigated. RESULTS: We
explored the developmental consequences of altered RA-signaling in late
cardiogenic events that involve the epicardium. For this, we used a model of
embryonic RA excess based on mouse embryos deficient in the retinaldehyde
reductase DHRS3, and a complementary model of embryonic RA deficiency based on
pharmacological inhibition of RA synthesis. We found that alterations in
embryonic RA signaling led to a thin myocardium and aberrant coronary vessel
formation and remodeling. Both excess, and deficient RA-signaling are associated
with reductions in ventricular coverage and density of coronary vessels, altered
vessel morphology, and impaired recruitment of epicardial-derived mural cells.
Using a combined transcriptome and proteome profiling approach, we found that RA
treatment of epicardial cells influenced key signaling pathways relevant for
cardiac development. CONCLUSIONS: Epicardial RA-signaling plays critical roles in
the development of the coronary vasculature needed to support myocardial growth.
Developmental Dynamics 247:976-991, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29806223
TI - Over 14% Efficiency in Organic Solar Cells Enabled by Chlorinated Nonfullerene
Small-Molecule Acceptors.
AB - To make organic solar cells (OSCs) more competitive in the diverse photovoltaic
cell technologies, it is very important to demonstrate that OSCs can achieve very
good efficiencies and that their cost can be reduced. Here, a pair of
nonfullerene small-molecule acceptors, IT-2Cl and IT-4Cl, is designed and
synthesized by introducing easy-synthesis chlorine substituents onto the
indacenodithieno[3,2-b]thiophene units. The unique feature of the large dipole
moment of the C?Cl bond enhances the intermolecular charge-transfer effect
between the donor-acceptor structures, and thus expands the absorption and down
shifts the molecular energy levels. Meanwhile, the introduction of C?Cl also
causes more pronounced molecular stacking, which also helps to expand the
absorption spectrum. Both of the designed OSCs devices based on two acceptors can
deliver a power conversion efficiency (PCE) greater than 13% when blended with a
polymer donor with a low-lying highest occupied molecular orbital level. In
addition, since IT-2Cl and IT-4Cl have very good compatibility, a ternary OSC
device integrating these two acceptors is also fabricated and obtains a PCE
greater than 14%. Chlorination demonstrates effective ability in enhancing the
device performance and facile synthesis route, which both deserve further
exploitation in the modification of photovoltaic materials.
PMID- 29806224
TI - Optically Active Polyoxometalate-Based Silica Nanohelices: Induced Chirality from
Inorganic Nanohelices to Achiral POM Clusters.
AB - In order to investigate the principle of chiral induction from nanometric silica
helices to polyoxometalate (POM) clusters, a series of optically active silica
POM-based nanohelices (NANOPOMs) have been prepared by electrostatic grafting and
direct adsorption of alpha-Keggin polyoxometalate [alpha-PW12 O40 ]3- to well
defined left- and right-handed silica nanohelices. UV/Vis, Raman, DRIFT, TEM, HR
TEM, EDS and circular dichroism (CD) spectroscopy were used to characterize these
NANOPOMs, and confirm the presence of POM clusters as well as their interactions
with the helical support. The optical activity of the left-handed and right
handed NANOPOMs has been proven by CD spectroscopy. Their CD spectra are mirror
images of one another, showing cotton effects at around 214 and 276 nm, this last
contribution corresponding to the oxygen-to-tungsten charge-transfer bands of
Keggin polyoxoanions. The CD signal of POM clusters is strongly enhanced for
NANOPOMs built by adsorption of POM onto silica nanohelices, indicating a better
induced optical activity to POM clusters. These nanohelices are stable,
recoverable and active catalysts in the oxidation of sulfides. To the best of our
knowledge, the present research represents the first examples of optically active
POM-containing silica nanohelices in which achiral POM clusters have been grafted
onto silica nanohelices, and display chiroptical effects.
PMID- 29806225
TI - Intervention of curcumin on oral pharmacokinetics of daclatasvir in rat: A
possible risk for long-term use.
AB - Curcumin, a natural diarylheptanoid, is extensively used as a food additive or
dietary supplement on the regular basis. It is known to have potential to
encumber the drug transporters and hepatic drug metabolizing enzymes that lead to
pharmacokinetic interactions with drug or food. Daclatasvir is a new orally
acting drug for the treatment of chronic Hepatitis C Virus infections. This is a
substrate of P-glycoprotein and CYP3A4 that are involved in the major
pharmacokinetic interaction. Hence, the studies' aim is to assess for any
possible pharmacokinetic interactions. Pharmacokinetic studies of daclatasvir in
presence or absence of curcumin were carried out in Wistar rats following oral
administration. Parallelly, the oral pharmacokinetics of daclatasvir was also
determined in the presence of ketoconazole or quinidine. Studies revealed that
plasma level of daclatasvir was not altered significantly during concomitant
single dose administration of curcumin, whereas significantly decreased upon
pretreatment for 7 days with curcumin at high dose level. Ketoconazole and
quinidine markedly increase daclatasvir exposure following concomitant
administration with daclatasvir. It can be concluded that dose adjustment is
unlikely to be required for intermittent use of curcumin at low dose but cautious
for chronic and concomitant use of curcumin at a high dose.
PMID- 29806226
TI - Graphene Caging Silicon Particles for High-Performance Lithium-Ion Batteries.
AB - Silicon holds great promise as an anode material for lithium-ion batteries with
higher energy density; its implication, however, is limited by rapid capacity
fading. A catalytic growth of graphene cages on composite particles of magnesium
oxide and silicon, which are made by magnesiothermic reduction reaction of silica
particles, is reported herein. Catalyzed by the magnesium oxide, graphene cages
can be conformally grown onto the composite particles, leading to the formation
of hollow graphene-encapsulated Si particles. Such materials exhibit excellent
lithium storage properties in terms of high specific capacity, remarkable rate
capability (890 mAh g-1 at 5 A g-1 ), and good cycling retention over 200 cycles
with consistently high coulombic efficiency at a current density of 1 A g-1 . A
full battery test using LiCoO2 as the cathode demonstrates a high energy density
of 329 Wh kg-1 .
PMID- 29806228
TI - Conception rate of Holstein and Japanese Black cattle following embryo transfer
in southwestern Japan.
AB - This study aimed to quantify and compare conception rates to embryo transfer (ET)
of Holstein and Japanese Black cattle in southwestern Japan. A 10-year
retrospective epidemiological survey was conducted. The recipient numbers for
Holstein and Japanese Black cattle was 621 and 527, respectively. Conception
rates of Holstein and Japanese Black cattle during the study period were 45.4%
and 42.3%, respectively. There was no significant difference between both breeds.
However, a different trend of conception rate to ET in Holstein and Japanese
Black cattle was observed. In Holstein cattle, conception rate in August to
October was lower than in the other months and was significantly lower (p < .05)
than in April. Particularly, conception rate in October of Holstein cattle was
the lowest (31.0%). In Japanese Black cattle, conception rates in December
(24.2%) and January (31.3%) were lower than in the other months. Conception rate
of Japanese Black cattle declined as the temperature-humidity index (THI)
decreased, exhibiting significantly lower levels in the <=45 THI class than in
any other THI class (p < .05). By contrast, in Holstein cattle, no relationship
was observed between conception rate and THI on the day of ET. These observations
suggest the importance of appropriate management that considers seasonal
reactivity in each breed.
PMID- 29806227
TI - Maternal behavior predicts neural underpinnings of inhibitory control in
preschoolers.
AB - Separate lines of research have revealed that the rapid development of inhibitory
control in the preschool period is closely tied to normative brain development
and influenced by early mother-child interactions. One potential theory is that
maternal behavior in the context of early interactions influences the neural
underpinnings of inhibitory control in development, with implications for child
behavior. The purpose of this paper was to examine whether maternal emotional
support, measured during a mother-child problem-solving game, predicted child
neural responses (frontal-central N2 event-related potential) and behavioral
performance (discrimination index, d') in a go/no-go task of inhibitory control
in a large, diverse sample of mother-child dyads (N = 276) observed in children's
last year of preschool (mean age = 56 months). Results of a structural equation
model revealed significant direct effects from maternal emotional support to
child right hemisphere frontal-central N2 responses to no-go (inhibitory control)
trials; greater observed emotional support predicted larger N2 responses. Larger
right hemisphere N2 responses to no-go trials were also associated with better
overall observed task performance (d'). A test of indirect effects from maternal
emotional support to child observed performance via right hemisphere N2 responses
was significant, suggesting that underlying neurophysiology is one mechanism
through which maternal emotional support is associated with a child's rapidly
developing inhibitory control behavior in the preschool period. This work joins a
growing literature demonstrating that caregiver behavior within a "normative"
range is an important environmental factor contributing to the development of
neural processes supporting child functioning.
PMID- 29806229
TI - Cyclodextrin-Based Star-Like Amphiphilic Cationic Polymer as a Potential
Pharmaceutical Carrier in Macrophages.
AB - Effective delivery of therapeutic genes or small molecular drugs into macrophages
is important for cell based immune therapy, but it remains a challenge due to the
intracellular reactive oxygen species and endosomal degradation of therapeutics
inside immune cells. In this report, the star-like amphiphilic biocompatible beta
cyclodextrin-graft-(poly(epsilon-caprolactone)-block-poly(2-(dimethylamino) ethyl
methacrylate)x (beta-CD-g-(PCL-b-PDMAEMA)x ) copolymer, consisting of a
biocompatible cyclodextrin core, hydrophobic poly(epsilon-caprolactone) PCL
segments and hydrophilic PDMAEMA blocks with positive charge, is optimized to
achieve high efficiency gene transfection with enhanced stability, due to the
micelle formation by hydrophobic PCL segments. In comparison with lipofetamine, a
currently popular nonviral gene carrier, beta-CD-g-(PCL-b-PDMAEMA)x copolymer,
shows better transfection efficiency of plasmid desoxyribose nucleic acid in
RAW264.7 macrophages. More interestingly, this delivery platform by beta-CD-g
(PCL-b-PDMAEMA)x not only shows low toxicity but also better dexamethasone
delivery efficiency, which might indicate its great potential in immunotherapy.
PMID- 29806230
TI - Metal Nanoparticle Carbon Gel Composites in Environmental Water Sensing
Applications.
AB - The synthesis of organic-inorganic nanocomposites that can interact with
different environmental pollutants and can be mass-produced are very promising
materials for the fabrication of chemical sensor devices. Among them, metal (or
metal oxide) nanoparticles doped conductive porous carbon composites can be
readily applied to the production of electrochemical sensors and show enhanced
sensitivity for the measurement of water pollutants, thanks to the abundant
accessible and functional sites provided by the interconnected porosity and the
metallic nanoparticles, respectively. In this personal account, an overview of
several synthesis routes of porous carbon composites containing metallic
nanoparticles is given, paying special attention to those based on sol-gel
techniques. These are very powerful to synthesize hybrid porous materials that
can be easily processed into powders and thin films, so that they can be
implemented in electrode fabrication processes based on screen-printing and
lithography techniques, respectively. We emphasize the sol-gel routes developed
in our group for the synthesis of bismuth or gold nanoparticle doped porous
carbon composites applied to fabricate electrochemical sensors that can be scaled
down to produce miniaturized on-chip sensing devices for the sensitive detection
of heavy metal pollutants in water. The trend towards the miniaturization of
electrochemical sensors to be readily employed as analytical tools in
environmental monitoring follow the market requirements of rapid and accurate on
site analysis, small sample consumption and waste production, as well as
potential for continuous or semi-continuous in-situ determination of a wide
variety of target analytes.
PMID- 29806231
TI - Population variability in animal health: Influence on dose-exposure-response
relationships: Part II: Modelling and simulation.
AB - During the 2017 Biennial meeting, the American Academy of Veterinary Pharmacology
and Therapeutics hosted a 1-day session on the influence of population
variability on dose-exposure-response relationships. In Part I, we highlighted
some of the sources of population variability. Part II provides a summary of
discussions on modelling and simulation tools that utilize existing
pharmacokinetic data, can integrate drug physicochemical characteristics with
species physiological characteristics and dosing information or that combine
observed with predicted and in vitro information to explore and describe sources
of variability that may influence the safe and effective use of veterinary
pharmaceuticals.
PMID- 29806232
TI - Outcome and prognostic factors in medically treated canine prostatic carcinomas:
A multi-institutional study.
AB - Literature describing medical treatment of canine prostatic carcinoma (PC) is
sparse. The aims of this study were to assess outcomes, including time to
progression (TTP) and median survival time (MST), of canine PC treated with non
steroidal anti-inflammatory drugs (NSAIDs) and/or chemotherapy, and to identify
prognostic factors. Records from 8 institutions were searched for dogs with
cytologically or histologically confirmed PC without bladder involvement: 67 dogs
were included. Presenting signs were urinary (25), gastrointestinal ([GI], 11)
and systemic (3); 16 dogs had GI and urinary signs, 7 dogs had systemic signs
with concurrent GI or urinary signs and in 5 dogs the tumour was an incidental
finding. Out of 27 dogs, 9 (33%) had positive urine culture. Metastases were
identified in 26 dogs to lymph nodes (19), lungs (10), bone (2) and liver (1).
Treatment included NSAIDs and chemotherapy (32), NSAIDs alone (31) and
chemotherapy alone (4). The overall MST was 82 days (range 9-752) and median TTP
was 63 days (range 9-752). Dogs receiving NSAIDs combined with chemotherapy
experienced a significantly longer MST (106 vs 51 days; P = .035) and TTP (76 vs
44 days; P = .02) compared to dogs receiving NSAIDs alone. Intact dogs and those
with metastatic disease had significantly shorter MST (31 vs 90 days, P = .018
and 49 vs 109 days, P = .037, respectively); intact dogs also had significantly
shorter TTP (25 vs 63 days, P = .0003). This study suggests that a combination of
NSAIDs and chemotherapy may improve outcomes in canine PC. Metastatic disease and
being entire negatively influenced prognosis.
PMID- 29806233
TI - Physically Transient Threshold Switching Device Based on Magnesium Oxide for
Security Application.
AB - Transient memristors are prospective candidates for both secure memory systems
and biointegrated electronics, which are capable to physically disappear at a
programmed time with a triggered operation. However, the sneak current issue has
been a considerable obstacle to achieve high-density transient crossbar array of
memristors. To solve this problem, it is necessary to develop a transient switch
device to turn the memory device on and off controllably. Here, a dissolvable and
flexible threshold switching (TS) device with a vertically crossed structure is
introduced, which exhibits a high selectivity of 107 , steep turn-on slope of <8
mV dec-1 , and fast ON/OFF switch speed within 50/25 ns. Triggered failure could
be achieved after soaking the device in deionized water for 8 min at room
temperature. Furthermore, a water-assisted transfer printing method is used to
fabricate flexible and transient TS device arrays for bioresorbable systems, in
which none of any significant degradation is observed under a bending radius of 2
mm. Integrating the selector with a transient memristor is capable of 107 Gb
memory implementation, indicating that the transient TS device could provide
great opportunities to achieve highly integrated transient memory arrays.
PMID- 29806234
TI - Label-Free Optofluidic Nanobiosensor Enables Real-Time Analysis of Single-Cell
Cytokine Secretion.
AB - Single-cell analysis of cytokine secretion is essential to understand the
heterogeneity of cellular functionalities and develop novel therapies for
multiple diseases. Unraveling the dynamic secretion process at single-cell
resolution reveals the real-time functional status of individual cells.
Fluorescent and colorimetric-based methodologies require tedious molecular
labeling that brings inevitable interferences with cell integrity and compromises
the temporal resolution. An innovative label-free optofluidic nanoplasmonic
biosensor is introduced for single-cell analysis in real time. The nanobiosensor
incorporates a novel design of a multifunctional microfluidic system with small
volume microchamber and regulation channels for reliable monitoring of cytokine
secretion from individual cells for hours. Different interleukin-2 secretion
profiles are detected and distinguished from single lymphoma cells. The sensor
configuration combined with optical spectroscopic imaging further allows us to
determine the spatial single-cell secretion fingerprints in real time. This new
biosensor system is anticipated to be a powerful tool to characterize single-cell
signaling for basic and clinical research.
PMID- 29806235
TI - Microbial biosynthesis and in vivo depolymerization of intracellular medium-chain
length poly-3-hydroxyalkanoates as potential route to platform chemicals.
AB - Biosynthesis and in vivo depolymerization of intracellular medium-chain-length
poly-3-hydroxyalkanoates (mcl-PHA) in Pseudomonas putida Bet001 grown on lauric
acid were studied. Highest mcl-PHA fraction (>50 % of total biomass) and cell
concentration (8 g L-1 ) were obtained at carbon-to-nitrogen (C/N) ratio 20,
starting cell concentration 1 g L-1 , and 48 H fermentation. The mcl-PHA
comprised of 3-hydroxyhexanoate (C6 ), 3-hydroxyoctanote (C8 ), 3
hydroxydecanoate (C10 ), and 3-hydroxydodecanoate (C12 ) monomers. In vivo action
was studied in a mineral liquid medium without carbon source, and in different
buffer solutions with varied pH, molarity, ionic strength, and temperature. The
monomer liberation rate reflected the mol percentage distribution of the initial
polymer subunit composition. Rate and percentage of in vivo depolymerization were
highest in 0.2 M Tris-HCl buffer (pH 9, strength = 0.2 M, 30 degrees C) at 0.21
g L-1 H-1 and 98.6 +/- 1.3 wt%, respectively. There is a congruity vis-a-vis to
specific buffer type, molarity, pH, ionic strength, and temperature values for
superior in vivo depolymerization activities. Direct products from in vivo
depolymerization matched the individual monomeric composition of native mcl-PHA.
It points to exo-type reaction for the in vivo process, and potential biological
route to chiral molecules.
PMID- 29806236
TI - Continuous Low-Bias Switching of Superconductivity in a MoS2 Transistor.
AB - Engineering the properties of quantum electron systems, e.g., tuning the
superconducting phase using low driving bias within an easily accessible
temperature range, is of great interest for exploring exotic physical phenomena
as well as achieving real applications. Here, the realization of continuous field
effect switching between superconducting and non-superconducting states in a few
layer MoS2 transistor is reported. Ionic-liquid gating induces the
superconducting state close to the quantum critical point on the top surface of
the MoS2 , and continuous switching between the super/non-superconducting states
is achieved by HfO2 back gating. The superconducting transistor works effectively
in the helium-4 temperature range and requires a gate bias as low as ~10 V. The
dual-gate device structure and strategy presented here can be easily generalized
to other systems, opening new opportunities for designing high-performance 2D
superconducting transistors.
PMID- 29806237
TI - Portomesenteric vein thrombosis after laparoscopic sleeve gastrectomy in patient
with liver cirrhosis.
AB - Laparoscopic sleeve gastrectomy (LSG) has become the dominant bariatric procedure
because of its reliable weight loss and low complication rate. Portomesenteric
vein thrombosis (PMVT) after LSG is an infrequent complication that can lead to
serious consequences. Here, we report a patient who presented with abdominal pain
11 days after LSG for the treatment of morbid obesity. Contrast CT of the abdomen
revealed PMVT. The patient had liver cirrhosis, which is a major risk factor for
PMVT. When LSG is performed on an obese patient with liver cirrhosis, careful
attention must be paid to the onset of PMVT.
PMID- 29806238
TI - Comparison of illumination geometry for lifetime-based measurements in whole-body
preclinical imaging.
AB - Macroscopic fluorescence lifetime imaging (MFLI) has been proved to be an
accurate tool to quantify Forster resonance energy transfer (FRET) lifetime-based
assessment of receptor-ligand engagement in vitro and in vivo. Herein, we report
on the quantitative comparison of MFLI for whole-body preclinical studies in
transmittance and reflectance geometries. The comparative study was conducted for
both in vitro and in vivo conditions. FRET quantification performance in both
geometries was similar in high fluorescence concentration samples. However, the
reflectance geometry performed better at low fluorescence concentration. In
addition, reflectance geometry could acquire subsurface imaging of the main whole
body organs of small animals without being compromised by tissue attenuation.
PMID- 29806239
TI - Use of D-dimer in oral anticoagulation therapy.
AB - Individualized anticoagulation management and improvement of the safety and
effectiveness of oral anticoagulant have always been the focus of clinicians'
attention. D-dimer, a sensitive marker of thrombosis and coagulation activation,
is not only traditionally used in the diagnosis of venous thromboembolism, acute
aortic dissection, and disseminated intravascular coagulation but can also be
used as a helpful marker in the management of oral anticoagulant, including
evaluating the anticoagulation quality, predicting clinical outcomes, and
determining the optimal duration and intensity of anticoagulation.
PMID- 29806240
TI - Organic Arsenicals as Functional Motifs in Polymer and Biomaterials Science.
AB - Arsenic (As) exhibits diverse (bio)chemical reactivity and biological activity
depending upon its oxidation state. However, this distinctive reactivity has been
largely overlooked across many fields owing to concerns regarding the toxicity of
arsenic. Recently, a clinical renaissance in the use of arsenicals, including
organic arsenicals that are known to be less toxic than inorganic arsenicals,
alludes to the possibility of broader acceptance and application in the field of
polymer and biomaterials science. Here, current examples of
polymeric/macromolecular arsenicals are reported to stimulate interest and
highlight their potential as a novel platform for functional, responsive, and
bioactive materials.
PMID- 29806241
TI - The vulnerability of developing embryos to simulated climate warming differs
between sympatric desert lizards.
AB - The vulnerability of species to climate warming varies along latitudinal and
elevational clines, but how sympatric species vary in vulnerability to climate
warming remains largely unknown. We experimentally simulated nest temperatures of
two sympatric lizards with divergent microhabitat preferences (Phrynocephalus
przewalskii and Eremias argus), under climate warming senarios, to determine the
response of embryos to increased mean temperatures and heat waves. Our study
demonstrated that simulated climate warming reduced hatching success and
hatchling size and growth in E. argus (that prefers closed microhabitats), but
had less effect in P. przewalskii (that occupies open microhabitats). The reduced
growth rate of E. argus hatchlings was associated with a decrease in metabolic
rate, which was more evident in hatchling E. argus than in P. przewalskii. Our
results suggest lizards that prefer closed microhabitats may be more vulnerable
to climate warming than those that prefer open microhabitats; further studies are
needed to test this hypothesis. More generally, the divergent responses of
sympatric species to climate warming highlights the importance of distinguishing
the thermal sensitivity of behavior and physiology for each species of a
community, in order to make predictions about the impacts of climate warming at
regional scales.
PMID- 29806242
TI - Untethered Recyclable Tubular Actuators with Versatile Locomotion for Soft
Continuum Robots.
AB - Stimuli-responsive materials offer a distinguished platform to build tether-free
compact soft robots, which can combine sensing and actuation without a linked
power supply. In the past, tubular soft robots have to be made by multiple
components with various internal channels or complex cavities assembled together.
Moreover, robust processing, complex locomotion, simple structure, and easy
recyclability represent major challenges in this area. Here, it is shown that
those challenges can be tackled by liquid crystalline elastomers with allyl
sulfide functional groups. The light-controlled exchange reaction between allyl
sulfide groups allows flexible processing of tubular soft robots/actuators, which
does not need any assisting materials. Complex locomotion demonstrated here
includes reversible simultaneous bending and elongation; reversible diameter
expansion; and omnidirectional bending via remote infrared light control.
Different modes of actuation can be programmed into the same tube without the
routine assembly of multiple tubes as used in the past. In addition, the exchange
reaction also makes it possible to use the same single tube repeatedly to perform
different functions by erasing and reprogramming.
PMID- 29806243
TI - Solution-Based 3D Printing of Polymers of Intrinsic Microporosity.
AB - Current additive manufacturing methods have significant limitations in the
classes of compatible polymers. Many polymers of significant technological
interest cannot currently be 3D printed. Here, a generalizable method for 3D
printing of viscous tenary polymer solutions (polymer/solvent/nonsolvent) is
applied to both "intrinsically porous" (a polymer of intrinsic microporosity, PIM
1) and "intrinsically nonporous" (cellulose acetate) polymers. Successful ternary
ink formulations require balancing of solution thermodynamics (phase separation),
mass transfer (solvent evaporation), and rheology. As a demonstration, a
microporous polymer (PIM-1) incompatible with current additive manufacturing
technologies is 3D printed into a high-efficiency mass transfer contactor
exhibiting hierarchical porosity ranging from sub-nanometer to millimeter pores.
Short contactors (1.27 cm) can fully purify (<1 ppm) toluene vapor (1000 ppm) in
N2 gas for 1.7 h, which is six times longer than PIM-1 in traditional structures,
and more than 4000 times the residence time of gas in the contactor. This
solution-based additive manufacturing approach greatly extends the range of 3D
printable materials.
PMID- 29806244
TI - CD200 is a useful diagnostic marker for identifying atypical chronic lymphocytic
leukemia by flow cytometry.
AB - INTRODUCTION: Immunophenotyping by flow cytometry is routinely employed in
distinguishing between chronic lymphocytic leukemia (CLL) and mantle cell
lymphoma (MCL). Inclusion of CD200 has been reported to contribute to more
reliable differentiation between CLL and MCL. We investigated the value of CD200
in assessment of atypical CLL cases. METHODS: CD200 expression on mature B cell
neoplasms was studied by eight-color flow cytometry in combination with a
conventional panel of flow cytometry markers. The study included 70 control
samples, 63 samples with CLL or atypical CLL phenotype, 6 MCL samples, and 40
samples of other mature B cell neoplasms. RESULTS: All CLL samples were positive
for CD200, whereas MCL samples were dim or negative for CD200. Of the CLL
samples, 7 were atypical by conventional flow cytometry, with Matutes scores <=3.
These cases were tested for evidence of a t(11;14) translocation, characteristic
of MCL, and all were negative, consistent with their classification as atypical
CLL. All these atypical CLL samples were strongly positive for CD200. CONCLUSION:
CD200 proved to be a useful marker for differentiation between CLL and MCL by
flow cytometry. In particular, CD200 was useful in distinguishing CLL samples
with atypical immunophenotypes from MCL.
PMID- 29806245
TI - Does repeated human handling of study animals during the mating season affect
their offspring?
AB - Minimizing disturbance of study animals is a major consideration in ethological
and ecological research design. One nearly universal type of disturbance is the
handling of study animals as a component of trial setup. Even low to moderate
levels of handling can be a substantial stressor to study animals, which may
negatively affect their offspring via maternal effects. Understanding how routine
human handling and manipulation may affect the outcome of research studies is
therefore critical for interpreting study outcomes. We tested whether repeatedly
handling and manipulating (i.e., manually disengaging) amplexed pairs of wood
frogs (Rana sylvatica [Lithobates sylvaticus]), which have an explosive breeding
season, would affect their reproductive output and offspring fitness. Handling
and manipulation did not alter any parameter that we measured: reproductive
timing, hatching success, and offspring larval duration, survival, and size at
metamorphosis. These results suggest that handling and manipulation by
researchers may have a negligible effect on wood frog reproduction and offspring
fitness. It is possible that many species that are commonly used in reproductive
studies because they suppress behavioral and physiological responses during the
mating season are likewise unaffected by human handling. Nevertheless,
researchers should examine possible consequences of methodological interventions
on their study species in order to determine any potential influence on their
results. Having a broad understanding of these effects on species that have
robust or dampened stress responsiveness during the breeding season would be
useful for making generalizations about potential effects.
PMID- 29806246
TI - Biological Spiking Synapse Constructed from Solution Processed Bimetal Core-Shell
Nanoparticle Based Composites.
AB - Inspired by the highly parallel processing power and low energy consumption of
the biological nervous system, the development of a neuromorphic computing
paradigm to mimic brain-like behaviors with electronic components based
artificial synapses may play key roles to eliminate the von Neumann bottleneck.
Random resistive access memory (RRAM) is suitable for artificial synapse due to
its tunable bidirectional switching behavior. In this work, a biological spiking
synapse is developed with solution processed Au@Ag core-shell nanoparticle (NP)
based RRAM. The device shows highly controllable bistable resistive switching
behavior due to the favorable Ag ions migration and filament formation in the
composite film, and the good charge trapping and transport property of Au@Ag NPs.
Moreover, comprehensive synaptic functions of biosynapse including paired-pulse
depression, paired-pulse facilitation, post-tetanic potentiation, spike-time
dependent plasticity, and the transformation from short-term plasticity to long
term plasticity are emulated. This work demonstrates that the solution processed
bimetal core-shell nanoparticle-based biological spiking synapse provides great
potential for the further creation of a neuromorphic computing system.
PMID- 29806248
TI - Diaphragm ultrasound in infants with bronchiolitis.
PMID- 29806247
TI - Harnessing the power of microbial nanowires.
AB - The reduction of iron oxide minerals and uranium in model metal reducers in the
genus Geobacter is mediated by conductive pili composed primarily of a
structurally divergent pilin peptide that is otherwise recognized, processed and
assembled in the inner membrane by a conserved Type IVa pilus apparatus.
Electronic coupling among the peptides is promoted upon assembly, allowing the
discharge of respiratory electrons at rates that greatly exceed the rates of
cellular respiration. Harnessing the unique properties of these conductive
appendages and their peptide building blocks in metal bioremediation will require
understanding of how the pilins assemble to form a protein nanowire with
specialized sites for metal immobilization. Also important are insights into how
cells assemble the pili to make an electroactive matrix and grow on electrodes as
biofilms that harvest electrical currents from the oxidation of waste organic
substrates. Genetic engineering shows promise to modulate the properties of the
peptide building blocks, protein nanowires and current-harvesting biofilms for
various applications. This minireview discusses what is known about the pilus
material properties and reactions they catalyse and how this information can be
harnessed in nanotechnology, bioremediation and bioenergy applications.
PMID- 29806249
TI - How should gynecologic oncologists react to the unexpected results of LACC trial?
PMID- 29806250
TI - [Clinical application of computer-assisted cannulated screw internal fixation
system based on error correction method for femoral neck fractures].
AB - Objective: To investigate the clinical efficacy of computer-assisted cannulated
screw internal fixation system based on error correction method for femoral neck
fractures. Methods: A retrospective analysis was made on the clinical data of 20
femoral neck fracture patients treated by computer-assisted cannulated screw
internal fixation system based on error correction method between January 2014
and October 2015 (trial group), and 36 femoral neck fracture patients undergoing
traditional manual surgery with closed reduction by cannulated screw fixation in
the same period (the control group). There was no significant difference in
gender, age, injury cause, side of fracture, types of fracture, and time from
injury to operation between 2 groups ( P>0.05). The operation time,
intraoperative blood loss, intraoperative frequency of fluoroscopy and guide pin
insertion, fracture healing time, fracture healing rate, and Harris hip score
were compared between 2 groups. Results: All incisions healed by first intention
after operation, and no complication of blood vessel and nerve injury occurred.
The operation time of trial group was significantly longer than that of control
group ( t=2.290, P=0.026), however, the intraoperative blood loss, intraoperative
frequency of fluoroscopy and guide pin insertion of trial group were
significantly less than those of control group ( t=-10.650, P=0.000; t=18.320,
P=0.000; t=-16.625, P=0.000). All patients were followed up 12-18 months (mean,
14.7 months). X-ray films showed that fracture healing was obtained in 2 groups,
showing no significant difference in fracture healing time between 2 groups (
t=0.208, P=0.836). No complication of ischemic necrosis of femoral head occurred
during follow-up period. At last follow-up, the Harris hip score was 87.05+/-3.12
in trial group and was 86.78+/-2.83 in control group, showing no significant
difference ( t=0.333, P=0.741). Conclusion: Computer-assisted cannulated screw
internal fixation surgery based on error correction method for femoral neck
fractures is better than traditional manual surgery in decreasing intraoperative
radiation and surgical trauma during operation.
PMID- 29806251
TI - [Effectiveness of rigid interlocking nails through tip of greater trochanter for
fixation of femur shaft fracture in adolescent].
AB - Objective: To explore the effectiveness of rigid interlocking nails through the
tip of the greater trochanter for fixation of femur shaft fracture in adolescent.
Methods: A retrospective analysis was made on the clinical data of 23 adolescents
with femoral shaft fractures treated between June 2011 and June 2015. Of 23
cases, 19 were male and 4 were female, aged from 13 years and 6 months to 17
years (mean, 15.2 years), weighed from 40 to 77 kg (mean, 53.5 kg). The causes
were traffic accident injury in 13 cases, sports injury in 7 cases, and falling
injury in 3 cases. Fracture located at the proximal 1/3 in 6 cases, middle 1/3 in
10 cases, and distal 1/3 in 7 cases; fracture was typed as transverse in 10
cases, oblique in 6 cases, spiral in 1 case, and comminuted in 6 cases. The
course of disease was 3-17 days (mean, 6.2 days). At last follow-up, the leg
length discrepancy, femoral neck shaft angle, femoral neck diameter, and
articulotrochanteric distance (ATD) were measured on the X-ray films. Results:
Wounds healed in all patients, and no infection occurred. All patients were
followed up 15-36 months (mean, 26.5 months). The patients had no pain and had
normal gait, without lameness. The X-ray films showed bone healing at 5-13 months
(mean, 6.5 months). No nonunion, delayed union, malunion of more than 5 degrees
, or rotational deformity occurred. The removal time of internal fixations was 12
24 months (mean, 19.5 months) after operation. No heterotopic ossification, re
fracture, proximal femoral deformity, or femoral head necrosis occurred during
follow-up. Two patients had early epiphyseal closure of greater trochanter, which
had no impact on gait; leg-length inequality of less than 1 cm was observed in 2
cases. At last follow-up, the neck shaft angle, femoral neck diameter, and ATD of
normal and affected sides were (131.7+/-6.3) and (132.9+/-7.8) degrees , (34.1+/
3.2) and (33.9+/-3.8) mm, and (27.8+/-9.2) and (26.5+/-8.5) mm, showing no
significant difference between two sides ( t=-0.24, P=0.86; t=0.18, P=0.92;
t=1.03, P=0.49). Conclusion: It is a reliable and effective method to use rigid
interlocking nails inserted through the tip of the greater trochanter for the
fixation of femur shaft fracture in adolescent.
PMID- 29806252
TI - [Effectiveness of condylar constrained knee prosthesis for destructive hemophilic
arthritis].
AB - Objective: To investigate the clinical outcomes of total knee arthroplasty (TKA)
by using the condylar constrained knee prosthesis in the treatment of destructive
hemophilic arthritis. Methods: Between September 2007 and July 2015, 8 cases (8
knees) of destructive hemophilic arthritis accepted TKA by using condylar
constrained knee prosthesis. All patients were male, aged 22 to 56 years, with an
average age of 35 years. The disease course of hemophilia A was 3-30 years (mean,
17.3 years). Preoperative range of motion (ROM) was (68.1+/-32.6) degrees ; the
flexion deformity was (14.38+/-16.13) degrees . Six patients had valgus of 7-35
degrees (mean, 17.3 degrees ), of whom, one had fixed dislocation of patella;
and one had varus of 15 degrees . Hospital for Special Surgery (HSS) knee score
was 52.5+/-12.9. Pre-operative X-ray film examination demonstrated narrowing of
the knee gap and cystic degeneration of articular cartilage and subchondral bone.
Results: All patients achieved primary wound healing, and were followed up 1-9
years (mean, 5 years). Tense blister with common peroneal nerve damage and
extension penetrating into distal tibial cortex occurred in 1 case respectively,
which were cured corresponding treatment. At last follow-up, the knee ROM and the
flexion deformity were significantly improved to (98.1+/-8.9) degrees and
(0.63+/-1.77) degrees ( t=-2.527, P=0.036; t=2.396, P=0.047). At 2 weeks after
operation and last follow-up, the HSS scores were significantly increased to
77.3+/-11.0 and 85.0+/-9.0 ( P<0.05). X-ray film showed that lower extremity
alignment returned to normal in patients with varus and valgus. Conclusion: Good
curative effect can be get by using condylar constrained knee prosthesis in TKA
for the treatment of destructive hemophilic arthritis.
PMID- 29806253
TI - [Effectiveness comparison of mobile-bearing and fixed-bearing prostheses in total
knee arthroplasty for ten years follow-up].
AB - Objective: To compare the clinical results of mobile-bearing and fixed-bearing
prostheses in total knee arthroplasty (TKA) during 10 years follow-up so as to
provide a reference for clinical selection of TKA prosthesis. Methods: Between
January 2002 and December 2005, 113 patients with osteoarthritis of the knee
joint underwent primary TKA, and the clinical data were retrospectively analyzed.
Mobile-bearing prosthesis was used in 47 cases (group A) and fixed-bearing
prosthesis in 66 cases (group B). There was no significant difference in age,
gender, body mass index, varus and flexion deformity of the knee, range of motion
(ROM) of the knee, knee society score (KSS), and Western Ontario and McMaster
University Osteoarthritis Index (WOMAC) between 2 groups before operation (
P>0.05), so the data were comparable. Results: The operation time of groups A and
B was (88.1+/-6.5) and (90.3+/-7.2) minutes respectively, showing no significant
difference ( t=1.666, P=0.099). The wounds healed by first intention in all
patients of both groups, and no postoperative early complications of incision
infection and deep venous thrombosis occurred. The follow-up time was 10.2-12.3
years (mean, 10.8 years) in group A, and was 10.2-12.6 years (mean, 11.3 years)
in group B. Revision was performed in 3 cases of group A and 4 cases of group B;
the survival rates of prosthesis were 93.6% and 93.9% in groups A and B
respectively, showing no significant difference ( chi2=0.005, P=0.944). The
postoperative knee ROM, KSS score, and WOMAC score were significantly improved
when compared with preoperative ones ( P<0.05). The knee ROM and KSS score of
group B were significantly better than those of group A at 6 weeks after
operation ( P<0.05), but no significant difference was found between 2 groups at
1, 3, and 10 years after operation ( P>0.05). The WOMAC score of group A was
significantly better than that of group B at 10 years after operation ( t=2.086,
P=0.037), but no significant difference was shown at 6 weeks, 1 year, and 3 years
after operation ( P>0.05). At 10 years after operation, the excellent and good
rate of KSS score was 87.2% in group A and was 84.8% in group B, showing no
significant difference ( chi2=0.018, P=0.893). Conclusion: Good medium- and long
term clinical results can be achieved in TKA with both mobile-bearing and fixed
bearing prostheses. The TKA with fixed-bearing prosthesis is relatively simple
with better early effectiveness of rehabilitation; and the TKA with mobile
bearing prosthesis could provide better long-term degree of satisfaction in WOMAC
score, but a higher surgical skill and soft tissue balance techniques are needed.
PMID- 29806254
TI - [Medium-term clinical outcome of encircled suture for repair of medial meniscus
bucket-handle tear].
AB - Objective: To investigate the medium-term clinical outcome of encircled suture
for repair of medial meniscus bucket-handle tear (BHT). Methods: Between March
2011 and June 2013, 78 patients (78 knees) with medial meniscus BHT were treated
with encircled suture under arthroscopy. There were 57 males and 21 females with
a mean age of 28.3 years (range, 16-39 years). The causes included sports injury
in 61 cases, traffic accident injury in 12 cases, and other trauma in 5 cases. Of
78 cases, 35 were acute injury and 43 were chronic injury; 65 cases had combined
injury of anterior cruciate ligament rupture. International Knee Documentation
Committee (IKDC) score, Lysholm score, and Tegner score were 48.2+/-6.3, 43.6+/
4.7, and 2.5+/-0.6, respectively. Barrett's assessment standard, MRI, and
arthroscopy examination were used for assessment of meniscus healing. IKDC score,
Lysholm score, and Tegner score were used for assessment of knee function.
Results: Primary healing of incisions were achieved in all patients, and no
complications occurred. All patients were followed up 26-63 months (mean, 42.8
months). BHT recurrence was observed in 2 patients within 1 year. Clinical
healing of meniscus tear was obtained in 72 cases (92.3%) according to Barrett's
assessment standard. The IKDC score, Lysholm score, and Tegner score were
significantly improved to 81.5+/-5.1, 86.9+/-3.9, and 6.2+/-0.5 respectively ( t=
14.598, P=0.000; t=-18.478, P=0.000; t=-3.362, P=0.002). MRI results showed
complete healing in 56 cases, incomplete healing in 15 cases, and unhealing in 7
cases at last follow-up, with a total healing rate of 91.0% (71/78) and a
complete healing rate of 71.8% (56/78). In 21 cases undergoing arthroscopy at 18
49 months after operation, complete healing and incomplete healing were observed
in 17 cases and 4 cases respectively, with a total healing rate of 100% (21/21)
and a complete healing rate of 81.0% (17/21). No re-tear occurred. Conclusion:
Encircled suture for repair of medial meniscus BHT owns the advantage of firm
suturing and good blood supply which can obtain satisfactory medium-term clinical
results.
PMID- 29806255
TI - [Supramalleolar osteotomy treatment of varus ankle osteoarthritis with or without
fibular osteotomy].
AB - Objective: To compare the functional and radiological outcomes of supramalleolar
osteotomy (SMOT) between with and without fibular osteotomy for varus ankle
osteoarthritis. Methods: Between April 2009 and April 2014, 41 patients (41 feet)
with mid-staged varus ankle osteoarthritis were treated with SMOT. Fibular
osteotomy was not performed in 19 cases (group A), and fibular osteotomy was
performed in 22 cases (group B). There was no significant difference in gender,
age, side, body mass index, osteoarthritis stage, pathogeny, American Orthopedic
Foot and Ankle Society (AOFAS) ankle-hind score, ankle osteoarthritis scale (AOS)
pain and function scores, range of motion (ROM) of the ankle joint, tibial
articular surface angle (TAS), talar tilt angle (TT), tibiocrural angle (TC), and
tibial lateral surface angle (TLS) between 2 groups ( P>0.05). The bone union was
observed after operation, and functional and radiological outcomes were compared
between 2 groups at last follow-up. Results: All incisions healed by stage I, and
no surgery related complications occurred. The mean follow-up time was 36.6
months (range, 16-55 months). Pain and limited activity were observed in 1 case
of groups A and B respectively, and ankle arthrodesis was performed. All cases
achieved bony union; the bone union time was (3.6+/-0.4) months in group A and
(3.9+/-0.7) months in group B, showing no significant difference ( t=1.61,
P=0.12). At last follow-up, no significant difference was found in TAS, TLS, TT,
and TC between groups ( P>0.05). However, group B was significantly better than
group A in improvement of TT and TC ( P<0.05). The AOFAS ankle-hind score, AOS
pain and function scores, ROM of the ankle joint showed no significant difference
between groups ( P>0.05). According to the modified Takakura stage, the
improvement rates of groups A and B were 55.6% and 57.1%, respectively; no
significant difference was found between 2 groups ( chi2=0.01, P=0.92).
Conclusion: SMOT with fibular osteotomy is helpful in correction of TT and TC in
patients with relative longer fibula.
PMID- 29806256
TI - [Imaging features of hip joint in patients with ankylosing spondylitis undergoing
total hip arthroplasty].
AB - Objective: To study the imaging features of the hip joint by measuring the
imaging parameters of spine, pelvis, and hip joint before and after total hip
arthroplasty (THA) in patients with ankylosing spondylitis (AS) undergoing THA so
as to provide reference for selection of operation methods and prosthesis.
Methods: Between January and July 2015, 38 patients (56 hips) with AS underwent
primary THA as AS group, and 36 patients (45 hips) with osteonecrosis of the
femoral head underwent THA as control group. There was no significant difference
in side ( chi2=1.14, P=0.95). The acetabular abduction angle (ABA), acetabular
anteversion angle (AVA), center collum diaphyseal (CCD), offset, height from
rotation center to lesser trochanter (HRCLT), femoral intertrochanteric distance
(FID) were measured by CT three-dimensional morphology. The canal flare index
(CFI), cortical thickness index (CTI), pelvic incidence (PI), sacral slope (SS),
and pelvic tilt (PT) were measured by X-ray film before operation. The AVA, ABA,
and the filling ratio were measured on the postoperative X-ray film. Results:
There was no significant difference in preoperative AVA and ABA and postoperative
ABA between 2 groups ( P>0.05), but significant difference was found in
postoperative AVA ( t=6.71, P=0.00). The mean PI, SS, and PT in AS group were
48.37 degrees (range, 41-58 degrees ), 5.64 degrees (range, 2-11 degrees ), and
12.85 degrees (range, 5-26 degrees ), respectively. There was significant
difference in CCD, CFI, and CTI between 2 groups ( t=3.63, P=0.04; t=5.12,
P=0.02; t=3.91, P=0.04), but offset, HRCLT, and FID all showed no significant
difference ( t=0.41, P=0.36; t=0.33, P=0.56; t=0.59, P=0.12). On the basis of the
Noble classification, medullary cavity of the femur was rated as chimney type,
ordinary type, and champagne flute type in 32, 18, and 6 hips of AS group, and in
4, 28, and 13 hips of control group respectively. Filling ratio of distal segment
in AS group was significantly lower than that in control group ( t=5.64, P=0.02),
but there was no significant difference in the filling ratio of middle and
proximal segments between 2 groups ( t=0.29, P=0.61; t=0.55, P=0.13). Conclusion:
Compared with patients having osteonecrosis of the femeral head, there is no
significant difference in preoperative AVA and ABA, but postoperative AVA
significantly increase in patients with AS. Because AS patients have mainly
chimney type medullary cavity of the femur, the filling ratio of middle and
distal segment is lower when tapered stems are used, and the filling ratio of
anatomic stems is higher.
PMID- 29806257
TI - [Expression of Sclerostin in medial and lateral subchondral bone of the varus
osteoarthritic knee plateau].
AB - Objective: To study the expression difference of Sclerostin in the medial and
lateral subchondral bone of the varus osteoarthritic knee plateau. Methods: The
tibial plateau was obtained from 20 patients with varus knee osteoarthritis
receiving total knee arthroplasty from March to October 2015. There were 8 males
and 12 females with an average age of 67.8 years (range, 61-78 years). The mean
course of osteoarthritis was 3.2 years (range, 2-5 years). Before operation, the
varus angle was 12.0-25.5 degrees (mean, 17.6 degrees ) on the X-ray film. Five
cases were rated as grade III and 15 cases as grade IV according to Kellgren
Lawrance classification. Micro-CT scan was performed on the medial and lateral
subchondral bone to compare the changes of bone structure; bone volume/total
volume (BV/TV), trabecular number (Tb.N), trabecular thickness (Tb.Th), structure
model index (SMI), and the trabecular separation (Tb.Sp) were measured.
Immunohistochemistry and real-time fluorescent quantitative PCR were used to test
the expressions of Sclerostin protein and sost gene. Results: Micro-CT showed
that BV/TV, Tb.N, and Tb.Th significantly increased in the medial subchondral
bone when compared with the lateral part ( P<0.05), but SMI and Tb.Sp
significantly reduced ( P<0.05). Real-time fluorescent quantitative PCR detection
showed that sost gene expression level in the medial subchondral bone (1.000) was
significantly lower than that in the lateral part (4.157+/-2.790) ( t=2.371,
P=0.040). The percentage of Sclerostin positive cells in the lateral subchondral
bone (52.00%+/-0.19%) was significantly higher than that in the medial
subchondral bone (7.20%+/-0.04%) ( t=5.094, P=0.005). Conclusion: Sclerostin
plays an important role in the subchondral bone remodeling of the varus
osteoarthritic knee. And the low expression of Sclerostin may be an important
factor to promote bone remodeling and aggravate knee deformity.
PMID- 29806258
TI - [Feasibility of an injectable and in situ gelling gelatin hydrogel for
demineralized bone matrix powder delivery].
AB - Objective: To introduce an injectable and in situ gelling gelatin hydrogel, and
to explore the possibility as a carrier for demineralized bone matrix (DBM)
powder delivery. Methods: First, thiolated gelatin was prepared and the thiol
content was determined by Ellman method, and then the injectable and in situ
gelling gelatin hydrogel (Gel) was formed by crosslinking of the thiolated
gelatin and poly (ethylene oxide) diacrylate and the gelation time was determined
by inverted method. Finally, the DBM-Gel composite was prepared by mixing Gel and
DBM powder. The cytotoxicity was tested by live/dead staining and Alamar blue
assay of the encapsulated cells in the DBM-Gel. For in vitro cell induction,
C2C12 cells were firstly incubated onto the surface of the DBM and then the
composite was prepared. The experiment included two groups: DBM-Gel and DBM. The
alkaline phosphatase (ALP) activity was determined at 1, 3, 5, and 7 days after
culture. In vivo osteoinductivity was evaluated using ectopic bone formation
model of nude rats. Histological observation and the ALP activity was measured in
DBM-Gel and DBM groups at 4 weeks after implantation. Results: The thiol content
in the thiolated gelatin was (0.51+/-0.03) mmol/g determined by Ellman method.
The gelation time of the hydrogel was (6+/-1) minutes. DBM powder can be mixed
with the hydrogel and injected into the implantation site within the gelation
time. The cells in the DBM-Gel exhibited spreading morphology and connected each
other in part with increasing culture time. The viability of the cells was
95.4%+/-1.9%, 97.3%+/-1.3%, and 96.1%+/-1.6% at 1, 3, and 7 days after culture,
respectively. The relative proliferation was 1.0+/-0.0, 1.1+/-0.1, 1.5+/-0.1, and
1.6+/-0.1 at 1, 3, 5, and 7 days after culture respectively. In vitro induction
showed that the ALP activity of the DBM-Gel group was similar to that of the DBM
group, showing no significant difference ( P>0.05). With increasing culture time,
the ALP activities in both groups increased gradually and the activity at 5 and 7
days was significantly higher than that at 1 and 3 days ( P<0.05), while there
was no significant difference between at 1 and 3 days, and between 5 and 7 days (
P>0.05). At 4 weeks after implantation in vivo, new bone and cartilage were
observed, but no bone marrow formation in DBM-Gel group; in DBM group, new bone,
new cartilage, and bone marrow formation were observed. The histological
osteoinduction scores of DBM-Gel and DBM groups were 4.0 and 4.5, respectively.
The ALP activities of DBM-Gel and DBM groups were respectively (119.4+/-22.7) and
(146.7+/-13.0) MUmol/mg protein/min, showing no significant difference ( t=
2.085, P=0.082). Conclusion: The injectable and in situ gelling gelatin hydrogel
for delivery of DBM is feasible.
PMID- 29806259
TI - [Modification of calcium sulfate bone cement by gentamicin and oxygen
carboxymethylated chitosan].
AB - Objective: To extend its application in the field of bone repair by adding oxygen
carboxymethylated chitosan (O-CMC) and gentamicin for modification of the calcium
sulfate cement (CSC). Methods: The O-CMC/CSC was prepared by adding O-CMC with
different concentrations (0.1wt%, 0.3wt%, 0.5wt%, 0.7wt%, and 1.0wt%) in the CSC
liquid phase. The effect of O-CMC on the CSC was evaluated by testing the
injectability, compressive strength, degradation rate, pH value, cytotoxicity and
osteogenesis. After the optimal concentration of O-CMC was determined, gentamicin
with different concentrations (0.5wt%, 1.5wt%, and 2.5wt%) was added in the O
CMC/CSC, and then the compressive strength and antibacterial properties were
investigated. Results: After adding O-CMC in the CSC liquid phase, the injection
time of O-CMC/CSC was increased to more than 5 minutes; it significantly
prolonged with increased concentration of O-CMC ( P<0.05). The compressive
strength of the modified bone cement was in the range of 11-18 MPa and it was the
highest when the concentration of O-CMC was 0.5wt% ( P<0.05). The degradation
rate of O-CMC/CSC was not influenced obviously by O-CMC ( P>0.05). The pH value
was in the range of 7.2-7.4 and Ca 2+ concentration was in the range of 6-8
mmol/L. In vitro mineralization experiment indicated that the induced
mineralization ability of O-CMC/CSC was much higher than that of pure CSC. The
0.5wt% O-CMC/CSC had the best performance; the compressive strength of the
composite bone cement was above 5 MPa after gentamicin was added, which had
antibacterial effect. Conclusion: O-CMC is able to effectively improve the
injection, compressive strength, and osteogenic activity of CSC; in addition,
antibacterial properties is obtained in the CSC after adding gentamicin.
PMID- 29806260
TI - [Evaluation of closed multi-axial screws iliosacral fixation system combined with
posterior segmental spinal fixation for treatment of unstable sacral fractures].
AB - Objective: To evaluate the effectiveness of lumbopelvic fixation using the
combination of closed multi-axial screws (CMAS) iliosacral fixation system and
the posterior segmental spinal fixation for unstable sacral fractures. Methods:
Between January 2013 and November 2014, 25 patients (39 sides) with unstable
sacral fractures were treated with lumbopelvic fixation using the combination of
CMAS iliosacral fixation system and the posterior segmental spinal fixation.
There were 17 males and 8 females, aged 19-55 years (mean, 33.9 years). The
causes were traffic accident injury in 15 cases, falling injury from height in 8
cases, and crushing injury in 2 cases. The interval of injury and operation was 1
13 days (mean, 3.5 days). Fracture was classified as Denis type I in 2 sides,
type II in 20 sides, and type III in 17 sides; nerve injury was rated as Gibbons
grade I in 2 cases, grade II in 2 cases, grade III in 7 cases, and grade IV in 9
cases. The reduction quality was evaluated by Matta criterion, the clinical
function outcome by Majeed, and nerve function by Gibbons criterion. Results: The
average operation time was 110 minutes (range, 80-150 minutes). The average blood
loss was 570 mL (range, 250-1 400 mL). Superficial wound infection occurred in 2
patients, and was cured after debridement and antibiotic therapy. All patients
were followed up for an average of 18 months (range, 15-22 months). Postoperative
X-ray and CT examination showed clinical healing of sacral fractures at 8-12
weeks after operation (mean, 10 weeks). The mean removal time of internal
fixation was 13 months (range, 12-20 months). No screw loosening and fracture,
adhesion of internal fixation to surrounding tissue, and obvious electrolysis
phenomenon occurred. According to Matta criterion, reduction was rated as
excellent in 32 sides, good in 6 sides, fair in 1 side, and the excellent and
good rate was 97.5%. According to Majeed functional scoring at last follow-up,
the mean score was 84.7 (range, 64-98); the results were excellent in 18 cases,
good in 5 cases, and fair in 2 cases, and the excellent and good rate was 92.0%.
The nerve function was significantly improved when compared with preoperative
one; nerve injury was rated as Gibbons grade I in 8 cases, grade II in 8 cases,
grade III in 3 cases, and grade IV in 1 case. Conclusion: Lumbopelvic fixation
using the combination of CMAS iliosacral fixation system and the posterior
segmental spinal fixation is a relatively effective fixation for unstable sacral
fractures. Not only is the fracture fixation rigid for early full weight-bearing,
but also nerve decompression can be performed which facilitates nerve function
recovery.
PMID- 29806261
TI - [Reconstruction of ankle and foot with combination of free perforator flaps and
skin graft].
AB - Objective: To evaluate the clinical outcomes of free perforator flaps combined
with skin graft for reconstruction of ankle and foot soft tissue defects.
Methods: Between June 2014 and October 2015, 20 cases of ankle and foot soft
tissue defects were treated. There were 16 males and 4 females, aged from 19 to
61 years (mean, 43.3 years). Injury was caused by traffic accident in 7 cases, by
crashing in 9 cases, and machine twist in 4 cases. The locations were the ankle
in 6 cases, the heel in 3 cases, the dorsum pedis in 4 cases, and the plantar
forefoot in 7 cases of avulsion injury after toes amputation. The size of wound
ranged from 15 cm*10 cm to 27 cm*18 cm. The time from injury to treatment was
from 11 to 52 days (mean, 27 days). The anterolateral thigh perforator flap was
used in 11 cases, thoracodorsal antery perforator flap in 3 cases, medial sural
artery perforator flap in 4 cases, deep inferior epigastric perforator flap in 1
case, and anteromedial thigh perforator flap in 1 case, including 5 chimeric
perforator flaps, 5 polyfoliate perforator flaps, 3 flow-through perforator
flaps, and 3 conjoined perforator flaps. The size of the perforator flap ranged
from 10.0 cm*6.5 cm to 36.0 cm*8.0 cm, the size of skin graft from 5 cm*3 cm to
18 cm*12 cm. Results: Venous crisis occurred in 2 flaps which survived after
symptomatic treatment; 18 flaps survived successfully and skin grafting healed
well. The follow-up time ranged 4-18 months (mean, 8.3 months). The flaps had
good appearance, texture and color, without infection. The patients could walk
normally and do daily activities. Only linear scars were observed at the donor
sites. Conclusion: Free perforator flap can be used to reconstruct defects in the
ankle and foot, especially in the weight-bearing area of the plantar forefoot. A
combination of free perforator flap and skin graft is ideal in reconstruction of
great soft tissue defects in the ankle and foot.
PMID- 29806262
TI - [Vascular crisis after multiple tissue transplantation for thumb and other finger
reconstruction by toe-to-hand transfer].
AB - Objective: To explore the causes of vascular crisis after thumb and other finger
reconstruction by toe-to-hand transfer and effective treatment methods so as to
improve the survival rate of transplanted tissues. Methods: Between February 2012
and October 2015, 59 cases of thumb and other finger defects were repaired with
different hallux nail flaps with the same vascular pedicle flap to reconstruct
thumb and other fingers and repair skin defect. The donor site was repaired by a
perforator flap. A total of 197 free tissues were involved. There were 46 males
and 13 females with the average age of 30.6 years (range, 18-42 years). Vascular
crisis occurred in 21 free tissues (10.7%) of 17 patients, including 9 arterial
crisis (4.6%) of 8 cases, and 12 venous crisis (6.1%) of 10 cases. Conservative
treatment was performed first; in 8 free tissues of 7 cases after failure of
conservative treatment, anastomotic thrombosis was found in 5 free tissues of 4
cases, twisted vascular pedicle in 1 free tissue of 1 case, surrounding hematoma
in 1 free tissue of 1 case, and anastomotic thrombosis associated with hematoma
in 1 free tissue of 1 case, which underwent clearing hematoma, resecting
embolization, regulating vascular tension, re-anastomosis or vascular
transplantation. Results: In 8 cases of arterial crisis, 5 free tissues of 5
cases survived after conservative treatment; partial necrosis occurred in 1 free
tissue (1 case) of 4 free tissues (3 cases) undergoing surgical exploration. In
10 cases of venous crisis, 1 free tissue necrosis and 1 free tissue partial
necrosis occurred in 8 free tissues (6 cases) undergoing conservative treatment;
partial necrosis occurred in 1 free tissue of 4 free tissues (4 cases) undergoing
surgical exploration. Free flap and skin graft were performed on 2 free tissues
of 4 cases having flap necrosis respectively. Conclusion: Vascular crisis is
complex and harmful to survival of transplanted tissue in reconstruction of the
thumb and other fingers. Immediate intervention is helpful to obtain a higher
survival rate.
PMID- 29806263
TI - [Clinical efficacy analysis of myocutaneous flap in blepharoplasty].
AB - Objective: To introduce the myocutaneous flap in blepharoplasty and summarize its
clinical efficacy. Methods: Between January 2013 and March 2016, 1 560 patients
underwent blepharoplasty with myocutaneous flap. Of them, 158 patients were
followed up over 6 months and included in the study. There were 18 males and 140
females with the average age of 23.4 years (range, 18-35 years). The unilateral
side was involved in 13 cases and bilateral sides in 145 cases. The patients had
narrow double eyelid, shallow double eyelid, single eyelid, bloated upper eyelid,
and upper eyelid skin relaxation. During operation, the tissue between the
orbicular muscle of eye and the tarsus was trimmed layer by layer; the
orbicularis oculi muscle, capillary network, and the front fascia of tarsus were
retained, and the full-thickness skin, muscle, and the front fascia of tarsus
were sutured by anatomical apposition. Results: Incision healed at stage I. All
patients were followed up 6 months to 2 years (mean, 8.3 months). During follow
up period, shallow or extinctive double-eyelid line was observed in 9 cases (12
eyes), and satisfactory results were achieved after trimming front fascia of
tarsus and suturing. Good clinical results were obtained in the other patients,
who had natural and coherent double eyelid without obvious scar or depression at
resection margin. Conclusion: Myocutaneous flap for blepharoplasty has many
advantages of fast recovery, little wound, light swelling, permanent effects, and
good appearance.
PMID- 29806264
TI - [Efficacy comparison between ProGrip self-gripping mesh and polypropylene mesh
for retromuscular repair of incisional hernias].
AB - Objective: To compare the efficacy of retromuscular repair of incisional hernia
by using ProGrip self-gripping mesh and conventional polypropylene mesh. Methods:
A retrospective analysis was made on clinical data of 83 cases of incisional
hernia between May 2012 and May 2016. Of 83 cases, ProGrip self-gripping mesh was
used in 46 cases (self-gripping mesh group) and conventional polypropylene mesh
(conventional mesh group) in 37 cases. There was no significant difference in
gender, age, body mass index, types of incision hernia, hernia ring diameter,
course of disease, and associated disease between 2 groups ( P>0.05). The
operative time, hospital stays, and visual analogue scale (VAS) were recorded and
compared between 2 groups. Results: The self-gripping mesh group was
significantly lower than conventional mesh group in operative time and hospital
stays ( t=2.977, P=0.004; t=2.270, P=0.026). Primary healing of incision was
obtained in 2 groups, with no seroma and mesh infection. The VAS score of self
gripping mesh group was significantly lower than that of conventional mesh group
at 24 hours, 7 days, and 30 days after operation ( P<0.05). The follow-up time
was 5-53 months (mean, 25.8 months) in 43 patients of self-gripping mesh group,
and was 7-54 months (mean, 27.4 months) in 33 patients of conventional mesh
group. No chronic pain or hernia recurrence was found in both groups during
follow-up time. Conclusion: It is a safe and feasible curative way to use ProGrip
self-gripping mesh for retromuscular repair of incisional hernia as it can reduce
operative time, hospital stays, and postoperative pain.
PMID- 29806265
TI - [Effect of short-term low-frequency electrical stimulation on nerve regeneration
of delayed nerve defect during operation].
AB - Objective: To explore the effect of short-term low-frequency electrical
stimulation (SLES) during operation on nerve regeneration in delayed peripheral
nerve injury with long gap. Methods: Thirty female adult Sprague Dawley rats,
weighing 160-180 g, were used to prepare 13-mm defect model by trimming the nerve
stumps. Then all rats were randomly divided into 2 groups, 15 rats in each group.
After nerve defect was bridged by the contralateral normal sciatic nerve, SLES
was applied in the experimental group, but was not in the control group. The
spinal cords and dorsal root ganglions (DRGs) were harvested to carry out
immunofluorescence histochemistry double staining for growth-associated proteins
43 (GAP-43) and brain-derived neurotrophic factor (BDNF) at 1, 2, and 7 days
after repair. Fluorogold (FG) retrograde tracing was performed at 3 months after
repair. The mid-portion regenerated segments were harvested to perform Meyer's
trichrome staining, immunofluorescence double staining for neurofilament (NF) and
soluble protein 100 (S-100) on the transversely or longitudinal sections at 3
months after repair. The segment of the distal sciatic nerve trunk was harvested
for electron microscopy and morphometric analyses to measure the diameter of the
myelinated axons, thickness of myelin sheaths, the G ratio, and the density of
the myelinated nerve fibers. The gastrocnemius muscles of the operated sides were
harvested to measure the relative wet weight ratios. Karnovsky-Root
cholinesterase staining of the motor endplate was carried out. Results: In the
experimental group, the expressions of GAP-43 and BDNF were higher than those in
the control group at 1 and 2 days after repair. The number of labeled neurons in
the anterior horn of gray matter in the spinal cord and DRGs at the operated side
from the experimental group was more than that from the control group. Meyer's
trichrome staining, immunofluorescence double staining, and the electron
microscopy observation showed that the regenerated nerves were observed to
develop better in the experimental group than the control group. The relative wet
weight ratio of experimental group was significantly higher than that of the
control group ( t=4.633, P=0.000). The size and the shape of the motor endplates
in the experimental group were better than those in the control group.
Conclusion: SLES can promote the regeneration ability of the short-term (1 month)
delayed nerve injury with long gap to a certain extent.
PMID- 29806266
TI - [Effect of Melittin on collagen type II expression of rat endplate chondrocytes
induced by interleukin 1beta].
AB - Objective: To observe the effect of Melittin on collagen type II (Col-II)
expression of rat endplate chondrocytes (EPCs) induced by interleukin 1beta (IL
1beta). Methods: Primary EPCs from the lumbar vertebra of 4-week-old Sprague
Dawley rats were cultured in vitro and identified by morphological observation,
toluidine blue staining and Col-II immunofluorescence staining. Then, MTT assay
was used to determine the optimal concentration of IL-1 and Melittin. Next, EPCs
at passage 3 were randomly divided into 4 groups: no treatment was done in group
A as control group; the optimal concentration of IL-1beta, Melittin, and both IL
1beta and Melittin were used in groups B, C, and D respectively. The expression
of Col-II was detected by Western blot after 48 hours intervention. Results:
Under inverted microscope, the first generation EPCs were polygonal; cell
proliferation decreased after fifth generation, and cell morphology changed into
fusiform. The acidic mucosubstance in the cytoplasm (such as Aggrecan) was
stained dark blue by toluidine blue. After marking Col-II by immunofluorescence,
the positive expression of cytoskeleton (green fluorescence) could be observed.
MTT assay showed that IL-1beta and Melittin could inhibit the EPCs in a dose
dependent manner after intervention of 24 and 48 hours, and the optimal
concentrations of IL-1beta and Melittin intervention were 10 ng/mL and 1.0 MUg/mL
respectively. Compared with group A, the expression of Col-II was significantly
reduced in group B, and was significantly increased in group C by Western blot
assay, but there was no significant difference between group D and group A. The
Col-II expression levels of groups A, B, C, and D were 0.991+/-0.024, 0.474+/
0.127, 1.913+/-0.350, and 1.159+/-0.297 respectively, showing significant
difference between the other groups ( P<0.05) except between group A and group D
( P>0.05). Conclusion: Melittin has a protective effect on endplate cartilage,
and the research results provide experimental basis for the prevention and
treatment of spinal degenerative disease.
PMID- 29806267
TI - [Expression and significance of hypoxia-inducible factor 1alpha in endplate
chondrocytes of rats].
AB - Objective: To explore the expression and significance of hypoxia-inducible factor
1alpha (HIF-1alpha) in endplate chondrocytes, and to study the relations between
HIF-1alpha expression and endplate chondrocytes apoptosis. Methods: Eight Sprague
Dawley rats were selected to obtain the L 1-5 intervertebral disc endplate; the
endplate chondrocytes were isolated by enzyme digestion method, and the endplate
chondrocytes at passage 3 were cultured under 20% O 2 condition (group A), and
under 0.5% O 2 condition (group B). Cell morphology was observed by inverted
phase contrast microscope and cell apoptosis was detected using flow cytometry
after cultured for 24 hours; the mRNA expression of HIF-1alpha was detected by
real-time fluorescent quantitative PCR, the protein expressions of HIF-1alpha,
Bax, and Bcl-2 by Western blot. Gene clone technology to design and synthesize
two siRNAs based on the sequence of HIF-1alpha mRNA. HIF-1alpha specific RNAi
sequence compound was constructed and transfected into cells. The transfected
endplate chondrocytes at passage 3 were cultured under 0.5% O 2 condition in
group C and group D (HIF-1alpha gene was silenced). After cultured for 24 hours,
cells were observed via immunofluorescence staining of HIF-1alpha, and cell
apoptosis was detected using flow cytometry. Meanwhile, the mRNA expressions of
HIF-1alpha, collagen type II (COL II), Aggrecan, and SOX9 were detected by real
time fluorescent quantitative PCR, and the protein expressions of HIF-1alpha,
Bax, and Bcl-2 by Western blot. Results: At 24 hours after culture, small amount
of vacuoles necrotic cells could be observed in group A and group B; there was no
significant difference in apoptosis rate between groups A and B ( t=1.026,
P=0.471), and HIF-1alpha mRNA and protein expressions in group B were
significantly higher than those in group A ( t=22.672, P=0.015; t=18.396,
P=0.013), but, there was no significant difference in protein expressions of Bax
and Bcl-2 between groups A and B ( t=0.594, P=0.781; t=1.251, P=0.342). The
number of vacuolar necrosis cells in group D was significantly higher than that
in group C, and HIF-1alpha positive cells were observed in group D. The apoptosis
rate of group D was significantly higher than that of group C ( t=27.143,
P=0.002). The mRNA expressions of HIF-1alpha, COL II, Aggrecan, and SOX9 in group
D were significantly lower than those in group C ( t=21.097, P=0.015; t=34.829,
P=0.002; t=18.673, P=0.022; t=31.949, P=0.007). The protein expressions of HIF
1alpha and Bcl-2 in group D were significantly lower than those in group C (
t=37.648, P=0.006; t=16.729, P=0.036), but the protein expression of Bax in group
D was significantly higher than that in group C ( t=25.583, P=0.011). Conclusion:
HIF-1alpha mRNA expression is up-regulated under hypoxia condition, which will
increase the hypoxia tolerance of endplate chondrocytes. Cell apoptosis is
suppressed by the activation of HIF-1alpha in endplate chondrocytes under hypoxia
condition.
PMID- 29806268
TI - [Effect of pregnant rat adipose-derived stem cells on repair of acute liver
injury].
AB - Objective: To assess the effect of pregnant rat adipose-derived stem cells
(ADSCs) on repair of acute liver injury. Methods: ADSCs were isolated from 18
week pregnant Sprague Dawley rats and were identified by flow cytometry. Twenty
Sprague Dawley rats were randomly divided into groups A, B, C, and D ( n=5); rats
in group A were not treated as normal controls; rats in groups B, C, and D were
injected intraperitoneally with CCl 4 to establish the acute liver injury model.
At 2 hours after modeling, DPBS, 0.1 mL normal rat ADSCs (2*10 6cells/mL), and
pregnant rat ADSCs (2*10 6cells/mL) were injected into the spleen in groups A, C,
and D respectively; rats in group B was not treated. After 7 days, total
bilirubin (TBIL), alanine aminotransferase (ALT), aspartic acid transaminase
(AST), albumin (ALB), and total protein (TP) in serum were measured. The liver
tissue sections were stained with HE. The expressions of Ki67, alpha-fetoprotein
(AFP), and ALB were measured by immunohistochemistry. Results: The serum levels
of TBIL, ALT, and AST in group B were significantly higher than those in groups
A, C, and D ( P<0.05), but ALB and TP were significantly lower than those in
groups A, C, and D ( P<0.05). The levels of TBIL, ALT, and AST were significantly
higher in groups C and D than group A, and in group C than group D ( P<0.05).
There was no significant difference in serum levels of ALB among groups A, C, and
D ( P>0.05). The serum level of TP in groups C and D was significantly lower than
that in group A ( P<0.05), but no significant difference was found between group
C and group D ( P>0.05). HE staining showed that the liver tissue of group A had
clear structure; the cells arranged neatly with uniform size. The hepatocytes in
group B showed obvious edema, disorderly arrangement, dot necrosis in liver
lobules, and diffuse infiltration of inflammatory cells. In groups C and D, the
inflammation and hepatocellular necrosis were obviously reduced when compared
with group B, and the number of vacuoles caused by dilation of mitochondria and
rough endoplasmic reticulum was decreased; especially in group D, improvement of
liver injury was more effective. The Ki67 positive cell rate was significantly
higher in groups C and D than groups A and B ( P<0.05), in group B than group A (
P<0.05), and in group D than group C ( P<0.05). There was no expression of AFP in
groups A and B, but positive expression was observed in groups C and D, and AFP
positive cell rate of group D was significantly higher than that of group C (
t=3.006, P=0.017). ALB expression was significantly higher in groups C and D than
groups A and B ( P<0.05), and in group D than group C ( P<0.05). Conclusion:
Pregnant rat ADSCs could promote repair of liver injury induced by CCl 4.
PMID- 29806269
TI - [Construction of neural tissue engineering scaffold by gelatinous collagen].
AB - Objective: To investigate the biocompatibility of type I collagen scaffold with
rat bone marrow mesenchymal stem cell (BMSCs) and its role on proliferation and
differentiation of BMSCs so as to explore the feasibility of collagen scaffold as
neural tissue engineering scaffold. Methods: Type I collagen was used fabricate
collagen scaffold. BMSCs were isolated by density gradient centrifugation. The
5th passage cells were used to prepare the collagen scaffold-BMSCs complex. The
morphology of collagen scaffold and BMSCs was observed by scanning electron
microscope (SEM) and HE staining. The cell proliferation was measured by MTT
assay at 1, 3, 5, and 7 days after culture in vitro. After cultured on collagen
scaffold for 24 hours, the growth and adhesion of green fluorescent protein
positive (GFP +) BMSCs were observed by confocal microscopy and live cell
imaging. Results: The confocal microscopy and live cell imaging results showed
that GFP + BMSCs uniformly distributed in the collagen scaffold; cells were
fusiform shaped, and cell process or junctions between the cells formed in some
cells, indicating good cell growth in the collagen scaffold. Collagen scoffold
had porous fiber structure under SEM; BMSCs could adhered to the scaffold, with
good cell morphology. The absorbance ( A) value of BMSCs on collagen scaffold at
5 and 7 days after culture was significantly higher than that of purely-cultured
BMSCs ( t=4.472, P=0.011; t=4.819, P=0.009). HE staining showed that collagen
scaffold presented a homogeneous, light-pink filament like structure under light
microscope. BMSCs on the collagen scaffold distributed uniformly at 24 hours;
cell displayed various forms, and some cells extended multiple processes at 7
days, showing neuron-like cell morphology. Conclusion: Gelatinous collagen
scaffold is easy to prepare and has superior biocompatibility. It is a promising
scaffold for neural tissue engineering.
PMID- 29806270
TI - [Research progress in cell therapy and tissue engineering approach to regenerate
salivary gland].
AB - Objective: To review the research progress in cell therapy and tissue engineering
approach to regenerate salivary gland so as to provide a theoretical basis for
the treatment of salivary hypofunction. Methods: The recent literature on cell
therapy and tissue engineering for the regeneration of salivary glands was
reviewed and summarized. Results: It is feasible to repair the salivary function
by using various stem cells to repair damaged tissue, or by establishing salivary
gland tissue ex vivo for salivary gland function restoration and reconstruction.
However, the mechanism of three dimensional culturing salivary organoids during
organogenesis and function expressing and the potential influence of tissue
specific extracellular matrix during this process should be further studied.
Conclusion: Basic research of cell therapy and salivary tissue engineering should
be deeply developed, and a standardized culturing system should be established in
vitro. In addition, it is of great significance to study the in vivo effects of
salivary gland-specific cells, non salivary gland epithelial cells and
transplanted gene-transfected stem cells.
PMID- 29806271
TI - [Molecular biological research progress of non-coding RNAs modulating
osteoarthritis].
AB - Objective: To summarize the molecular biological research progress of non-coding
RNAs modulating osteoarthritis (OA), and provide a reference basis for biological
study and clinical treatment of OA. Methods: Recent domestic and foreign related
literature about the regulation of OA pathological process by non-coding RNAs was
widely reviewed. Results: Non-coding RNAs can be divided into three types based
on the length of RNA. A lot of non-coding RNAs participating in OA pathological
process are screened out by high throughput sequencing technology and microarray
technology, and it is verified that these non-coding RNAs involve in the
regulation of OA by RT-PCR. The mechanism of OA mediated target is clarified by
knocking-down and overexpressing of the most prominent expressed non-coding RNAs
in OA. There are the complicated gene expressed network topology in non-coding
RNAs, and between non-coding RNAs and coding RNAs. It provides a basis for
clearing the effect of gene structure and function, and finding the definite
therapeutic target of OA. Conclusion: There is preliminary study on molecular
biological mechanism of non-coding RNAs mediating OA, but the key structure or
sequence of non-coding RNAs, formation and interaction of effecting composite
structure about mediating OA are unknown, and it needs further study.
PMID- 29806272
TI - [Research on influence mechanism of G protein coupled receptor kinase interacting
protein 1 on differentiation of bone marrow mesenchymal stem cells into
endothelial cells].
AB - Objective: To investigate the mechanism of G protein coupled receptor kinase
interacting protein 1 (GIT1) affecting angiogenesis by comparing the
differentiation of bone marrow mesenchymal stem cells (BMSCs) differentiated into
endothelial cells between GIT1 wild type mice and GIT1 gene knockout mice.
Methods: Male and female GIT1 heterozygous mice were paired breeding, and the
genotypic identification of newborn mice were detected by PCR. The 2nd generation
BMSCs isolated from GIT1 wild type mice or GIT1 gene knockout mice were divided
into 4 groups, including wild type control group (group A), wild type
experimental group (group A1), GIT1 knockout control group (group B), and GIT1
knockout experimental group (group B1). The cells of groups A1 and B1 were
cultured with the endothelial induction medium and the cells of groups A and B
with normal cluture medium. The expressions of vascular endothelial growth factor
receptor 2 (VEGFR-2), VEGFR-3, and phospho-VEGFR-2 (pVEGFR-2), and pVEGFR-3
proteins were detected by Western blot. The endothelial cell markers [von
Willebrand factor (vWF), platelet-endothelial cell adhesion molecule 1 (PECAM-1),
and vascular endothelial cadherin (VE-Cadherin)] were detected by flow cytometry.
The 2nd generation BMSCs of GIT1 wild type mice were divided into 4 groups
according to the different culture media: group I, primary cell culture medium;
group II, cell culture medium containing SAR131675 (VEGFR-3 blocker); group III,
endothelial induction medium; group IV, endothelial induction medium containing
SAR131675. The endothelial cell markers (vWF, PECAM-1, and VE-Cadherin) in 4
groups were also detected by flow cytometry. Results : Western blot results
showed that there was no obviously difference in protein expressions of VEGFR-2
and pVEGFR-2 between groups; and the expressions of VEGFR-3 and pVEGFR-3 proteins
in group A1 were obviously higher than those in groups A, B, and B1. The flow
cytometry results showed that the expressions of vWF, PECAM-1, and VE-Cadherin
were significantly higher in group A1 than in groups A, B, and B1 ( P<0.05), and
in group B1 than in groups A and B ( P<0.05); but no significant difference was
found between groups A and B ( P>0.05). In the VEGFR-3 blocked experiment, the
flow cytometry results showed that the expressions of vWF, PECAM-1, and VE
Cadherin were significantly higher in group III than in groupsI, II, and IV, and
in group IV than in groups I and II ( P<0.05); but no significant difference was
found between groups I and II ( P>0.05). Conclusion : GIT1 mediates BMSCs of mice
differentiation into endothelial cells via VEGFR-3, thereby affecting the
angiogenesis.
PMID- 29806273
TI - [Effects of hypoxia-inducible factor 1alpha on hypoxic tolerance of human
amniotic mesenchymal stem cells].
AB - Objective: Under hypoxic conditions, the survival and apoptosis of human amniotic
mesenchymal stem cells (hAMSCs) were observed by transient transfection of
hypoxia-inducible factor 1alpha (HIF-1alpha) gene, to investigate the effect of
HIF-1alpha on hypoxic tolerance of hAMSCs. Methods: The hAMSCs were isolated and
cultured from amniotic membrane tissue from voluntary donors who were treated
with cesarean section. And the morphological observation by inverted phase
contrast microscope and immunofluorescence detection of the expressions of stem
cell markers OCT-4 and NANOG were performed to identify the cultured cells. The
third generation hAMSCs were treated with 200 MUmol/L CoCl 2, and transient
transfection of plasmids were added according to the following grouping: group A
was hAMSCs blank group; group B was pcDNA3.1 negative control group; group C was
short hairpin RNA (shRNA) negative control group; group D was shRNA-HIF-1alpha
interference group; group E was pcDNA3.1-HIF-1alpha over expression group. Cell
survival rate of each group was measured by cell counting kit 8 (CCK-8) at 12,
24, 48 hours after hypoxia treatment. Flow cytometry was used to detect apoptosis
rate of each group at 24 hours after hypoxia treatment. The expression levels of
HIF-1alpha, vascular endothelial growth factor (VEGF), B-cell lymphoma 2 (Bcl-2),
Bax, and cleaved Caspase-3 (C-Caspase-3) proteins were detected by Western blot
at 24 hours after hypoxia treatment. Results: CCK-8 assay showed that the cell
survival rate of group D was significantly lower than those of groups A and C at
all time points after hypoxia treatment; while the cell survival rate in group E
was significantly increased than those in groups A and B, and the diffrences at
24 hours were significant ( P<0.05). In group E, the cell survival rate at 24
hours was significantly higher than those at 12 and 48 hours ( P<0.05). The
results of flow cytometry showed that the apoptosis rate in group D was
significantly higher than those in groups A and C ( P<0.05), and the apoptosis
rate in group E was significantly lower than those in groups A and B ( P<0.05).
Western blot showed that the expressions of HIF-1alpha, VEGF, and Bcl-2 proteins
in group D were significantly decreased when compared with those in groups A and
C, and the expressions of Bax and C-Caspase-3 proteins were significantly
increased ( P<0.05). On the contrary, the expressions of HIF-1alpha, VEGF, and
Bcl-2 proteins in group E were significantly higher than those in groups A and B,
and the expressions of Bax and C-Caspase-3 proteins were significantly decreased
( P<0.05). Conclusion: Overexpression of HIF-1alpha gene can significantly
improve hAMSCs tolerance to hypoxia, the mechanism may be related to up
regulation of VEGF and Bcl-2 expressions, and down-regulation of Bax and C
Caspase-3 expressions.
PMID- 29806274
TI - [Effect of vascular endothelial growth factor 165-loaded porous poly (epsilon
caprolactone) scaffolds on the osteogenic differentiation of adipose-derived stem
cells].
AB - Objective: To explore the effect of vascular endothelial growth factor 165 (VEGF
165)-loaded porous poly (epsilon-caprolactone) (PCL) scaffolds on the osteogenic
differentiation of adipose-derived stem cells (ADSCs). Methods: The VEGF 165
loaded porous PCL scaffolds (written, Sf-g/VEGF) were fabricated through a
combination of solvent casting/salt leaching and a thermal-induced phase
separation technique and then observed under scanning electron microscope (SEM).
The release kinetics was determined by ELISA kit. The ADSCs were isolated from
inguinal fat pads of 15 Sprague Dawley rats and cultured. The passage 3-4 ADSCs
were seeded into the scaffolds, and then cultured in vitro for 7 days. The
passage 3-4 ADSCs were seeded into the porous PCL scaffolds (written, Sf-g) as
control. The alizarin red S (ARS) staining, ARS activity assay, and real-time
quantitative PCR (RT-PCR) were performed to measure the osteogenic
differentiation of ADSCs in vitro. Six Sprague Dawley rats were recruited to
prepare the bilateral calvarial bone defects models ( n=12). The 12 calvarial
bone defects were randomly divided into 3 group ( n=4). The defects of negative
control group were not treated; the defects of Sf-g group and Sf-g/VEGF group
were repaired with ADSCs-Sf-g scaffold complex and ADSCs-Sf-g scaffold complex,
respectively. At 8 weeks after transplantation, the Micro-CT and HE staining were
conducted to evaluate the osteogenic effects in vivo. Results: The morphology of
the Sf-g/VEGF scaffolds were porous and well-connected, and the cumulative
release rate was approximately 80% in 120 hours. The ARS staining showed that the
ARS activity of Sf-g/VEGF group were stronger than that of Sf-g group ( t=10.761,
P=0.000). The mRNA expressions of osteogenic specific markers [special AT-rich
sequence protein 2 (Satb2), alkaline phosphatase (ALP), osteocalcin (OCN), and
osteopontin (OPN)] were significantly higher in Sf-g/VEGF group than in Sf-g
group ( P<0.05). The results of Micro-CT and HE staining also confirmed the
promotion effect of Sf-g/VEGF scaffolds. All defects of 2 groups were partially
repaired by new bone tissue, especially in Sf-g/VEGF group. The volume and area
of new bone tissue were significantly higher in Sf-g/VEGF group than in Sf-g
group ( P<0.05). Conclusion: The VEGF 165-loaded scaffolds can significantly
improve the osteogenic differentiation of ADSCs both in vitro and in vivo.
PMID- 29806275
TI - [Effect of miR-21 down-regulated by H 2O 2 on osteogenic differentiation of MC3T3
E1 cells].
AB - Objective : To explore the effect and mechanism of miR-21 down-regulated which
was induced by H 2O 2 on osteogenic differentiation of MC3T3-E1 cells. Methods:
MC3T3-E1 cells were cultured and passaged, and the 7th generation cells were
harvested to use in experiment. The MC3T3-E1 cells were treated with different
concentrations (0, 40, 80, 160, and 320 MUmol/L) of H 2O 2. The expression of miR
21 was detected by real-time quantitative PCR (RT-PCR) and the cell viability was
determined by MTS. Then the appropriate concentration of H 2O 2 was obtained. To
analyze the effect of H 2O 2 on osteogenic differentiation of MC3T3-E1 cells, the
MC3T3-E1 cells were divided into blank control group (group A), H 2O 2 group
(group B), osteogenic induction group (group C), and H 2O 2+osteogenic induction
group (group D). The expression of miR-21 and the osteogenesis related genes
expressions of Runx2, osteopontin (OPN), and collagen type I alpha 1 (Col1a1)
were detected by RT-PCR. The expression of phosphatase and tensin homolog (PTEN)
was detected by Western blot. The extracellular calcium deposition was detected
by alizarin red staining. To analyze the effect on osteogenic differentiation of
MC3T3-E1 cells after the transfection of miR-21 inhibitor and siRNA-PTEN, the
MC3T3-E1 cells were divided into H 2O 2 group (group A1), H 2O 2+osteogenic
induction group (group B1), H 2O 2+osteogenic induction+miR-21 inhibitor group
(group C1), and H 2O 2+osteogenic induction+miR-21 inhibitor negative control
group (group D1); and H 2O 2 group (group A2), H 2O 2+osteogenic induction group
(group B2), H 2O 2+osteogenic induction+siRNA-PTEN negative control group (group
C2), and H 2O 2+osteogenic induction+siRNA-PTEN group (group D2). The
osteogenesis related genes were detected by RT-PCR and the extracellular calcium
deposition was detected by alizarin red staining. Results : The results of MTS
and RT-PCR showed that the appropriate concentration of H 2O 2 was 160 MUmol/L.
The expression of miR-21 was significantly lower in group B than in group A at 1
and 2 weeks ( P<0.05). The expression of miR-21 was significantly lower in group
D than in group C at 1 and 2 weeks ( P<0.05). The expression of PTEN protein was
significantly lower in group C than in groups A and D ( P<0.05). The mRNA
expressions of Runx2, OPN, and Col1a1 were significantly lower in group D than in
group C at 1 and 2 weeks ( P<0.05). The extracellular calcium deposition in group
D was obviously less than that in group C. The expression of PTEN protein was
significantly higher in group C1 than in group D1 ( P<0.05). The mRNA expressions
of Runx2 and OPN were significantly lower in group C1 than in groups B1 and D1 at
1 and 2 weeks ( P<0.05). The mRNA expression of Col1a1 was significantly lower in
group C1 than in groups B1 and D1 at 2 weeks ( P<0.05). The extracellular calcium
deposition in group C1 was obviously less than those in groups B1 and D1. The
mRNA expressions of OPN and Col1a1 were significantly higher in group D2 than in
groups B2 and C2 at 1 week ( P<0.05). The extracellular calcium deposition in
group D2 was obviously more than those in groups B2 and C2. Conclusion: H 2O 2
inhibits the osteogenic differentiation of MC3T3-E1 cells, which may be induced
by down-regulating the expression of miR-21.
PMID- 29806276
TI - [Effect of FTY720-P on the differentiation and maturation of MC3T3-E1 cells].
AB - Objective: To investigate the effect of FTY720-P on the differentiation and
maturation of MC3T3-E1 cells. Methods: The MC3T3-E1 cells were divided into the
experimental group and the control group. In the experimental group, the cells
were induced by the medium containing 400 ng/mL FTY720-P (chloroform as
solubilizer) in vitro. In the control group, the cells were cultured with the
medium only containing chloroform. The cell morphology of 2 groups were observed
by inverted phase contrast microscope; the expression of osteoblast related
protein (collagen type I and collagen type III) was detected by
immunofluorescence staining; the alkaline phosphatase (ALP) staining and alizarin
red staining were used to observe the formation of osteoblasts and the formation
of mineralized nodules in 2 groups; and the TUNEL fluorescence assay was used to
detect the cell apoptosis. Results: After 48 hours of culture, the cells of 2
groups had grown into slender fusiform at the bottom of the bottle, and there was
no significant difference in cell morphology between 2 groups. Immunofluorescence
staining showed that the expression of collagen type I was positive in the
experimental group and weakly positive in the control group; the integrated
absorbance ( IA) value of the experimental group was 187 600+/-7 944, which was
significantly higher than that of the control group (14 230+/-1 070) ( t=43.680,
P=0.001). The expression of collagen type III was weakly positive in the
experimental group and the control group, and there was no significant difference
in IA value between 2 groups ( t=1.976, P=0.119). ALP staining and alizarin red
staining were positive in the experimental group and negative in the control
group. TUNEL staining was positive in the experimental group and negative in the
control group; the rate of TUNEL staining positive cells in the experimental
group was 35.82%+/-2.99%, which was significantly higher than that in the control
group (2.28%+/-0.51%) ( t=23.420, P=0.002). Conclusion: FTY720-P can promote the
osteogenic differentiation of MC3T3-E1 cells with speeding up maturation and
mineralization of extracellular matrix and affect the apoptosis of the cells.
PMID- 29806277
TI - [Construction of tissue engineered cartilage based on acellular cartilage
extracellular matrix oriented scaffold and chondrocytes].
AB - Objective: To observe the feasibility of acellular cartilage extracellular matrix
(ACECM) oriented scaffold combined with chondrocytes to construct tissue
engineered cartilage. Methods: Chondrocytes from the healthy articular cartilage
tissue of pig were isolated, cultured, and passaged. The 3rd passage chondrocytes
were labeled by PKH26. After MTT demonstrated that PKH26 had no influence on the
biological activity of chondrocytes, labeled and unlabeled chondrocytes were
seeded on ACECM oriented scaffold and cultivated. The adhesion, growth, and
distribution were evaluated by gross observation, inverted microscope, and
fluorescence microscope. Scanning electron microscope was used to observe the
cellular morphology after cultivation for 3 days. Type II collagen
immunofluorescent staining was used to check the secretion of extracellular
matrix. In addition, the complex of labeled chondrocytes and ACECM oriented
scaffold (cell-scaffold complex) was transplanted into the subcutaneous tissue of
nude mouse. After transplantation, general physical conditions of nude mouse were
observed, and the growth of cell-scaffold complex was observed by molecular
fluorescent living imaging system. After 4 weeks, the neotissue was harvested to
analyze the properties of articular cartilage tissue by gross morphology and
histological staining (Safranin O staining, toluidine blue staining, and
typeIIcollagen immunohistochemical staining). Results: After chondrocytes that
were mainly polygon and cobblestone like shape were seeded and cultured on ACECM
oriented scaffold for 7 days, the neotissue was translucency and tenacious and
cells grew along the oriented scaffold well by inverted microscope and
fluorescence microscope. In the subcutaneous microenvironment, the cell-scaffold
complex was cartilage-like tissue and abundant cartilage extracellular matrix
(typeIIcollagen) was observed by histological staining and typeIIcollagen
immunohistochemical staining. Conclusion: ACECM oriented scaffold is benefit to
the cell adhesion, proliferation, and oriented growth and successfully
constructes the tissue engineered cartilage in nude mouse model, which
demonstrates that the ACECM oriented scaffold is promise to be applied in
cartilage tissue engineering.
PMID- 29806278
TI - [In vivo study of a novel micro-arc oxidation coated magnesium-zinc-calcium alloy
scaffold/autologous bone particles repairing critical size bone defect in
rabbit].
AB - Objective: To evaluate the effect of a novel micro-arc oxidation (MAO) coated
magnesium-zinc-calcium (Mg-Zn-Ca) alloy scaffold/autologous bone particles to
repair critical size bone defect (CSD) in rabbit and explore the novel scaffold
in vivo corrosion resistance and biocompatibility. Methods: Seventy-two New
Zealand white rabbits were randomly divided into 3 groups ( n=24), group A was
uncoated Mg-Zn-Ca alloy scaffold group, group B was 10 MUm MAO coated Mg-Zn-Ca
alloy scaffold group, and group C was control group with only autologous bone
graft. The animals were operated to obtain bilateral ulnar CSD (15 mm in length)
models. The bone fragment was removed and minced into small particles and were
filled into the scaffolds of groups A and B. Then, the scaffolds or autologous
bone particles were replanted into the defects. The animals were sacrificed at 2,
4, 8, and 12 weeks after surgery (6 rabbits each group). The local subcutaneous
pneumatosis was observed and recorded. The ulna defect healing was evaluated by X
ray image and Van Gieson staining. The X-ray images were assessed and scored by
Lane-Sandhu criteria. The percentage of the lost volume of the scaffold (DeltaV)
and corrosion rate (CR) were calculated by the Micro-CT. The Mg 2+ and Ca 2+
concentrations were monitored during experiment and the rabbit liver, brain,
kidney, and spleen were obtained to process HE staining at 12 weeks after
surgery. Results: The local subcutaneous pneumatosis in group B was less than
that in group A at 2, 4, and 8 weeks after surgery, showing significant
differences between 2 groups at 2 and 4 weeks after surgery ( P<0.05); and the
local subcutaneous pneumatosis was significantly higher in group B than that in
group A at 12 weeks after surgery ( P<0.05). The X-ray result showed that the
score of group C was significantly higher than those of groups A and B at 4 and 8
weeks after surgery ( P<0.05), and the score of group B was significantly higher
than that of group A at 8 weeks ( P<0.05). At 12 weeks after surgery, the scores
of groups B and C were significantly higher than that of group A ( P<0.05).
Meanwhile, the renew bone moulding of group B was better than that in group A at
12 weeks after surgery. Micro-CT showed that DeltaV and CR in group B were
significantly lower than those in group A ( P<0.05). Van Gieson staining showed
that group B had better biocompatibility and osteanagenesis than group A. The Mg
2+ and Ca 2+ concentrations in serum showed no significant difference between
groups during experiments ( P>0.05). And there was no obvious pathological
changes in the liver, brain, kidney, and spleen of the 3 groups with HE staining
at 12 weeks. Conclusion: The MAO coated Mg-Zn-Ca alloy scaffold/autologous bone
particles could be used to repair CSD effectively. At the same time, 10 MUm MAO
coating can effectively improve the osteanagenesis, corrosion resistance, and
biocompatibility of Mg-Zn-Ca alloy scaffold.
PMID- 29806279
TI - [Biomechanical study on repair and reconstruction of talar lesion by three
dimensional printed talar components].
AB - Objective: To explore the feasibility of the repair and reconstruction of large
talar lesions with three-dimensional (3D) printed talar components by
biomechanical test. Methods: Six cadaveric ankle specimens were used in this
study and taken CT scan and reconstruction. Then, 3D printed talar component and
osteotomy guide plate were designed and made. After the specimen was fixed on an
Instron mechanical testing machine, a vertical pressure of 1 500 N was applied to
the ankle when it was in different positions (neutral, 10 degrees of
dorsiflexion, and 14 degrees of plantar flexion). The pressure-bearing area and
pressure were measured and calculated. Then osteotomy on specimen was performed
and 3D printed talar components were implanted. And the biomechanical test was
performed again to compare the changes in pressure-bearing area and pressure.
Results: Before the talar component implantation, the pressure-bearing area of
the talus varied with the ankle position in the following order: 10 degrees of
dorsiflexion > neutral position > 14 degrees of plantar flexion, showing
significant differences between positions ( P<0.05). The pressure exerted on the
talus varied in the following order: 10 degrees of dorsiflexion < neutral
position < 14 degrees of plantar flexion, showing significant differences
between positions ( P<0.05). The pressure-bearing area and pressure were not
significantly different between before and after talar component implantations in
the same position ( P>0.05). The pressure on the 3D printed talar component was
not significantly different from the overall pressure on the talus ( P>0.05).
Conclusion: Application of the 3D printed talar component can achieve precise
repair and reconstruction of the large talar lesion. The pressure on the repaired
site don't change after operation, indicating the clinical feasibility of this
approach.
PMID- 29806280
TI - [Biomechanics test of fixation of star-shaped six-part patellar fractures with
petal-shaped poly-axial locking plate].
AB - Objective: To compare the biomechanical difference between petal-shaped poly
axial locking plate and tension band wire cerclage in fixing star-shaped 6-part
patellar fractures in cadaver model, and provide the experimental data for
clinical use. Methods: The paired 12 knee specimens from 6 human cadavers were
randomly divided into 2 groups (the control group and the test group) after a
star-shaped 6-part patellar fracture model was established. The specimens were
weighted, and the control group was fixed with tension band wire cerclage and the
test group was fixed with petal-shaped poly-axial locking plate. The specimens
were connected to CMT5105 biomechanics test machine by a customized fixture, the
total fracture gap of patellar fracture blocks was measured before testing. The
knee extensor load test was performed to record the extensor load of knees at 90
degrees flexion to extension. Then the anti gravity physiological knee extension
process at 90 degrees flexion was stimulated according to the knee extensor
load. The cyclic times until failure and the total fracture gap of patellar
fracture blocks after failure were recorded. Results: The specimens weight and
the total fracture gap of patellar fracture blocks before testing between 2
groups had no significant difference ( t=0.410, P=0.690; t=0.650, P=0.530). In
the biomechanical test, there was no significant difference of knee extension
load between 2 groups ( t=0.490, P=0.638). The total fracture gap after failure
in test group was significantly smaller than that in control group ( t=3.026,
P=0.013), and the cyclic times until failure in test group was significantly more
than that in control group ( t=2.277, P=0.046). The failure reasons in control
group were all the wires slipped off the Kirschner wires, while the failure
reasons in test group were the screws pulled out from the upper pole in 5 cases
(83.3%) and from the lower pole in 1 case (16.7%). Conclusion: The petal-shaped
poly-axial locking plate has better biomechanical stiffness to fix the star
shaped 6-part patellar fractures when compared with tension band wire cerclage
method. However, this type of fracture is a serious comminuted type, and the
early excessive activity still carries the risk of displacement.
PMID- 29806281
TI - [Development and clinical application of a new type of anatomical locking plate
for sternoclavicular joint fracture and dislocation].
AB - Objective: To report a new type of anatomical locking plate for sternocalvicular
joint, and investigate its effectiveness in treatment of sternoclavicular joint
fracture and dislocation. Methods: A new type of anatomical locking plate for
sternoclavicular joint was developed, which accorded with the anatomical features
and biomechanical characteristics of Chinese sternoclavicular joint. By adopting
the method of clinical randomized controlled study, 32 patients with the
sternoclavicular joint fracture and dislocation who met the selection criteria
between June 2008 and May 2015 were randomly divided into groups A and B ( n=16),
and the patients were treated with new anatomic locking plate and distal radial T
locking plate internal fixation, respectively. There was no significant
difference between 2 groups in gender, age, injured side, body mass index, cause
of injury, type of injury, the time from injury to operation, and preoperative
Rockwood grading score ( P>0.05). The operation time, intraoperative blood loss,
incision length, hospitalization time, and postoperative complications in 2
groups were recorded, and the effectiveness was evaluated by Rockwood grading
score. Results: The operations of 2 groups completed successfully. The operation
time, intraoperative blood loss, and hospitalization time in group A were
significantly less than those in group B ( P<0.05), but there was no significant
difference in the incision length between 2 groups ( t=0.672, P=0.507). All the
patients were followed up 18-30 months (mean, 24 months). In group A, there were
1 case of sternoclavicular joint pain and 2 cases of wound infection; in group B,
there were 1 case of sternoclavicular joint pain, 1 case of internal fixation
loosening, and 1 case of sternoclavicular joint re-dislocation; there was no
significant difference in complication incidence between 2 groups ( P=1.000). The
Rockwood grading scores at each time point after operation in 2 groups were
significantly higher than those before operation. At 1 month after operation, the
Rockwood grading score in group A was significantly higher than that in group B (
t=2.270, P=0.031); but there was no significant difference in the Rockwood
grading scores between the 2 groups at 6 months and at last follow-up ( P>0.05).
At last follow-up, according to the Rockwood scoring standard, the results of
group A were excellent in 13 cases, good in 2 cases, poor in 1 case, the
excellent and good rate was 93.75%; the results of group B were excellent in 11
cases, good in 4 cases, poor in 1 case, and the excellent and good rate was
93.75%; there was no significant difference between 2 groups ( Z=-0.748,
P=0.455). Conclusion: The new type of anatomic locking plate accords with the
Chinese anatomical characteristics. It has the advantages of easy operative
procedure, less surgical trauma, shorter operation time, less intraoperative
blood loss, shorter hospitalization time, and it can achieve better results in
the treatment of sternoclavicular joint fracture and dislocation.
PMID- 29806282
TI - [Effectiveness comparison between minimally invasive surgery and traditional open
reduction internal fixation in treatment of unstable distal radial fractures].
AB - Objective: To compare the effectiveness of minimally invasive percutaneous
internal fixation and traditional open reduction via Henry approach and internal
fixation in the treatment of unstable distal radial fractures. Methods: Fifty-six
patients with unstable distal radial fractures that met the selection criteria
between October 2013 and December 2014 were randomly divided into minimally
invasive group (26 cases) and traditional group (30 cases). They were treated
with oblique T-shaped locking plate internal fixation via minimally invasive
percutaneous approach or traditional Henry approach. There was no significant
difference in gender, age, side, causes of injury, fracture classification, and
time from injury to operation between 2 groups ( P>0.05). The length of incision,
operation time, intraoperative blood loss, hospitalization time, and fracture
healing time were recorded in 2 groups. The difference of the length of the
radial styloid process, the volar tilting angle, and the ulnar inclining angle
between at 3 months postoperatively and preoperation were compared between 2
groups. The visual analogue scale (VAS) score, the percentage of the lateral
wrist flexion and extension range, forearm rotation, and the hand grip strength
(recorded as FS%, FR%, and HG% , respectively) were compared between 2 groups at
4 weeks and 3 months postoperatively. The wrist function was evaluated through
the disability of arm-shoulder-hand (DASH) scores at 3 months postoperatively.
Results: The length of incision, operation time, intraoperative blood loss, and
hospitalization time in minimally invasive group were significantly less than
those in traditional group ( P<0.05). The incisions of 2 groups were all achieved
primary healing. All patients were followed up 10-16 months (mean, 12.6 months).
There was no radial artery injury, screw too long, or screw entering the joint
space. In minimally invasive group, 1 patient had the symptoms of median nerve
irritation after operation, and recovered completely at 1 month; in traditional
group, there were 2 cases of tendon irritation after operation. There was no
significant difference in the fracture healing time, the difference of the length
of the radial styloid process, the volar tilting angle, and the ulnar inclining
angle between at 3 months postoperatively and preoperation between 2 groups (
P>0.05). At 4 weeks after operation, the VAS score in minimally invasive group
was significantly less than that in traditional group, and the FS%, FR%, and HG%
were significantly higher than those in traditional group ( P<0.05). There was no
significant difference in above indicators between 2 groups at 3 months after
operation ( P>0.05). The DASH score in minimally invasive group was significantly
less than that in traditional group at 3 months after operation ( t=-5.308,
P=0.000). The patient's aesthetic evaluation of postoperative wound in minimally
invasive group was better than in traditional group. Conclusion: For treatment of
unstable distal radial fractures, the metacarpal minimally invasive internal
fixation has the advantages of smaller wound, incisional concealment, and quicker
recovery of wrist joint.
PMID- 29806283
TI - [Comparison of early rehabilitation effects of total hip arthroplasty with direct
anterior approach versus posterior approach].
AB - Objective: To compare the early rehabilitation effects of total hip arthroplasty
(THA) with direct anterior approach (DAA) versus posterior approach (PA).
Methods: A retrospective analysis was made in the data of 83 patients with
unilateral osteonecrosis of the femoral head between March 2014 and May 2017.
Forty-eight patients were treated with THA via PA (PA group) and 35 patients were
treated with THA via DAA (DAA group). There was no significant difference in
gender, age, body mass index, stage of osteonecrosis of the femoral head, and
disease duration between 2 groups ( P>0.05). The length of incision, operation
time, total amount of bleeding, the time of first postoperative walking with
crutch, the time of first postoperative walking without crutch, the Harris
scores, and the visual analogue scale (VAS) scores of 2 group were recorded and
compared. Results: All incisions healed primarily and no infection, dislocation,
or fracture occurred. All patients were followed up 30.2 months on average
(range, 6-44 months). The numbness symptom caused by the lateral femoral
cutaneous nerve injury occurred in 2 patients of DAA group and released after
symptomatic treatment. The length of incision, total amount of bleeding, the time
of first postoperative walking with crutch, and the time of first postoperative
walking without crutch of DAA group were significantly superior to those of PA
group ( P<0.05). The Harris scores of DAA group were significantly higher than
those of PA group at 2 weeks and 1 month after operation ( P<0.05), while no
significant difference was found between 2 groups before operation and at 3
months and 6 months after operation ( P>0.05). The VAS scores of DAA group were
significantly lower than those of PA group at 1 day, 4 days, 1 week, and 2 weeks
after operation ( P<0.05), while no significant difference was found between 2
groups before operation ( P>0.05). Conclusion: DAA is meaningful to enhanced
recovery after THA. But it should be chosen carefully because of the
disadvantages, such as long learning curve, limited indications, and requirements
of specific instruments.
PMID- 29806284
TI - [Modified Hueter direct anterior approach for treatment of Pipkin type I and II
femoral head fractures].
AB - Objective: To discuss the effectiveness of the modified Hueter direct anterior
approach in treatment of Pipkin typeI and II femoral head fractures. Methods:
Between September 2014 and May 2016, 12 patients with Pipkin type I and II
femoral head fractures were treated with the modified Hueter direct anterior
approach. There were 8 males and 4 females, aged from 32 to 60 years (mean, 40.2
years). The disease causes included traffic accident injury in 9 cases and
falling from height injury in 3 cases. According to Pipkin typing, 8 cases were
rated as type I and 4 cases as type II. The interval of injury and admission was
2-28 hours (mean, 7.2 hours). Reduction was performed in all patients within 6
hours after admission, and then bone traction was given. The operation was
performed in 3-7 days (mean, 4.3 days) after redution. The modified Hueter direct
anterior approach was applied to expose and fix femoral head fractures by Herbert
screws compressively. The operation time and intraoperative blood loss were
recorded, and the effectiveness was evaluated according to the Thompson-Epstein
scale at last follow-up. Results: The operation time was 80-130 minutes (mean,
97.5 minutes), and the intraoperative blood loss was 100-200 mL (mean, 130.2 mL).
All fractures achieved anatomical reduction and successful fixation. All 12
patients were followed up 12-32 months (mean, 24.3 months). All patients achieved
bone union in 15-20 weeks (mean, 16.3 weeks) and no wound infection, lateral
femoral cutaneous nerve injury, osteonecrosis of the femoral head, or heterotopic
ossification occurred. Traumatic arthritis occured in 3 patients. According to
the Thompson-Epstein scale at last follow-up, the results were excellent in 5
cases, good in 5 cases, fair in 2 cases, and the excellent and good rate was
83.3%. Conclusion: The modified Hueter direct anterior approach has the
advantages of clear anatomic structure, less trauma, and shorter operation time,
and it can effectively expose and fix the Pipkin typeI and II femoral head
fractures.
PMID- 29806285
TI - [Comparative study of proximal femoral shortening after the third generation of
Gamma nail versus proximal femoral nail anti-rotation in treatment of
intertrochanteric fracture].
AB - Objective: To explore the difference of the proximal femoral shortening (PFS)
between the third generation of Gamma nail (TGN) and the proximal femoral nail
anti-rotation (PFNA) in treating intertrochanteric fracture of femur. Methods:
The clinical data of 158 patients with intertrochanteric fracture of femur who
were treated with TGN internal fixation or PFNA internal fixation between January
2014 and December 2015 were retrospectively analysed. The patients were divided
into TGN group (69 cases) and PFNA group (89 cases) according to surgical
operation. There was no significant difference in gender, age, bone mineral
density, causes of injury, AO/Association for the Study of Internal Fixation
(AO/ASIF) classification, accompanied disease, and the time from injury to
operation between 2 groups ( P>0.05). The result of fracture reduction was
divided into 3 types: positive medial cortex support, neutral position cortex
support, and negative medial cortex support according to the method of Chang et
al. At 18 months postoperatively, bilateral hip anteroposterior X-ray films were
taken to measure horizontal PFS values (marked as X), vertical PFS values (marked
as Y), and calculate the total PFS values (marked as Z). The PFS values were
divided into 4 grades according to the criteria (<=1.0 mm, 1.0-4.9 mm, 5.0-9.9
mm, and >=10.0 mm), and the constituent ratio was calculated and compared between
2 groups. The X, Y, and Z values and the collodiaphyseal angles of 2 groups at 18
months postoperatively were compared. The X, Y, and Z values of 2 groups of
patients with failed fixation and normal healing within 18 months after operation
were recorded and compared. The X, Y, and Z values of 2 groups of the patients
with different cortex support types were also compared. Results: There were 34
cases of positive medial cortex support, 30 cases of neutral position cortex
support, and 5 cases of negative medial cortex support in TGN group, and there
were 45, 33, and 11 cases in PFNA group respectively, showing no significant
difference between 2 groups ( Z=-1.06, P=0.29). All patients were followed up 18
months after operation. At 18 months after operation, the constituent ratios of
PFS values (X, Y, Z) had significant differences between 2 groups ( P<0.05). The
patients of shortening of 1.0-4.9 mm and 5.0-9.9 mm were obviously more in TGN
group than in PFNA group; the patients of shortening of >=10.0 mm were obviously
more in PFNA group than in TGN group. There were significant differences in X, Y,
and Z values between 2 groups ( P<0.05), but no significant difference of the
collodiaphysial angle was found between 2 groups ( t=0.47, P=0.64). Six cases of
internal fixation failed in TGN group and PFNA group respectively within 3 months
after operation, and there was no significant difference of X, Y, and Z values
between failed fixation and normal healing patients within 2 groups ( P>0.05).
When the reposition effect was the positive medial cortex support, the X, Y, and
Z values were significantly lower in TGN group than in PFNA group ( P<0.05); but
no significant difference was found between 2 groups when the reposition effect
was the neutral position cortex support or negative medial cortex support (
P>0.05). At 18 months after operation, the X, Y, and Z values of the negative
medial cortex support patients were significantly higher than those of the
positive medial cortex support or the neutral position cortex support patients
within 2 groups ( P<0.05). Conclusion: PFS is a common complication of the
intertrochanteric fracture of the femur after internal fixation. During
operation, the selection of internal fixation should be based on the results of
intraoperative reduction. TGN should be applied to reduce PFS if positive medial
cortex support happened.
PMID- 29806286
TI - [Application of free anterolateral thigh Kiss flap in repair of large scalp
defect after malignant tumor resection].
AB - Objective: To investigate the effectiveness of free anterolateral thigh Kiss flap
in repair of large scalp defect after malignant tumor resection. Methods: Between
December 2012 and December 2016,18 patients with large scalp defect after
malignant tumor resection were treated. There were 16 males and 2 females with an
average age of 52.6 years (range, 43-62 years). There were 17 cases of squamous
carcinoma and 1 case of dermatofibrilsarcoma protuberan. The size of scalp defect
ranged from 15 cm*10 cm to 17 cm*12 cm after resection of tumors. The scalp
defects were repaired with the free anterolateral thigh Kiss flap. And the size
of flap ranged from 15 cm*6 cm to 20 cm*8 cm. The skull was completely resected
in 2 cases, and repaired with Titanium mesh. The sizes of skull defects were 12
cm*10 cm and 10 cm*8 cm. The donor site was sutured directly. Results: Eighteen
flaps survived with primary healing of wounds; and healing by first intention was
obtained at the donor sites. One patient died because of intracranial metastasis
at 5 months after operation, and no local recurrence occurred in the other 17
patients. The follow-up time ranged from 6 months to 4 years (mean, 26.6 months).
The results of both appearance and function were satisfactory, without ulceration
during follow-up. No obvious scar was found at donor sites and no obvious
impairment was observed after harvesting free anterolateral thigh flap.
Conclusion: Large scalp defects after malignant tumor resection can be
effectively repaired by free anterolateral thigh Kiss flap. The donor site can be
sutured directly, without skin grafting, thus avoiding the secondary donor site.
PMID- 29806287
TI - [Application of selectively thinning of free anterolateral thigh flap in repair
of heel skin and soft tissue defect].
AB - Objective: To investigate the effectiveness of selectively thinning of the free
anterolateral thigh flap in repair of the heel skin and soft tissue defect.
Methods: Between April 2013 and August 2015, 8 patients with tissue defect of
heel caused by different reasons were recruited. There were 6 males and 2 females
with an average age of 31.2 years (range, 15-49 years). The size of wound ranged
from 14 cm*10 cm to 19 cm*14 cm. All the wounds were repaired with the
contralateral free anterolateral thigh flap. The partial flap which was going to
repair the defects of the heel and non-weight-bearing area of planta pedis was
selectively thinned. The size of flap ranged from 14 cm*10 cm to 19 cm*14 cm. The
donate site was repaired by skin grafting. Results: All flaps survived after
operation, and wounds healed by first intention. The marginal necrosis of skin
graft occurred in 2 cases, and healed after changing the dressing. The other skin
grafts survived. All patients were followed up 8-20 months (mean, 12.3 months).
All patients could walk normally. During follow-up, 2 flaps were injured and
cured after symptomatic management. The appearance of flap was good in 7 cases
with no influence in wearing shoes. Only 1 patient received the second-stage
surgery of thinning the flap after 1 year. Conclusion: Application of the
selectively thinning of the free anterolateral thigh flap can repair the heel
skin and soft tissue defects, and achieve an ideal appearance and function.
PMID- 29806288
TI - [Effectiveness of modified transsection and longitudinal suture in
epicanthoplasty].
AB - Objective: To introduce a modified transsection and longitudinal suture in
epicanthoplasty and summarize its effectiveness. Methods: The clinical data of
119 patients underwent epicanthoplasty with modified transsection and
longitudinal suture and with a follow-up time over 6 months between February 2014
and December 2016 were retrospectively analyzed. There were 8 males and 111
females with an average age of 22.7 years (range, 18-38 years). The epicanthus
was as eyebrow type in 12 cases, as eyelid type in 57 cases, as tarsalis type in
39 cases, and as backward type in 11 cases. The narrow degree of epicanthus
included mild (the lacrimal caruncle covered size was less than 1/3) in 42 cases,
moderate (the lacrimal caruncle covered size was between 1/3 and 2/3) in 58
cases, and severe (the lacrimal caruncle covered size was over 2/3) in 19 cases.
One hundred and thirteen patients were treated by blepharoplasty at the same
time. The new canthus was located on the basis of the inner canthus physiological
aesthetics. Through a short transverse incision, dislocated orbicularis oculi
muscle near medial canthal ligament and the fiber between the medial canthal
ligament and skin were completely released; then the medial canthal ligament was
shortened precisely and the incision was tension-free sutured followed the
Langer's line near eyes. Results: All the incisions healed by first intention.
All patients were followed up 6 months to 2 years (mean, 8.6 months). The
bilateral hypertrophic scar on both bilateral medial canthal incisions happened
in 3 patients at 3-6 months after operation; and the scarring gradually subsided
at 10 months after the diprospan injections and the siliconegel and carbon
dioxide laser treatment. Good effectiveness were obtained in the other patients,
whose inner intercanthal distance significantly narrowed, both of the horizontal
lid fissure length increased, the lacrimal caruncle fully exposed, and no obvious
scar could be seen. Conclusion: The modified transsection and longitudinal suture
in epicanthoplasty has the advantages of simple design, easy operation, minimally
invasive, inconspicuous scar, and obvious, stable, and lasting postoperative
effectiveness.
PMID- 29806289
TI - [Injury characteristics and therapeutic strategy of patients injured in "8.8"
Jiuzhaigou earthquake].
AB - Objective: To summarize the injury characteristics and therapeutic strategy of
patients injured in "8.8" Jiuzhaigou earthquake. Methods: The clinical data of 48
patients injured in "8.8" Jiuzhaigou earthquake who were admitted to Mianyang
Central Hospital were analyzed retrospectively. There were 25 males and 23
females with an average age of 36 years (range, 5-87 years). The average interval
from injury to admission was 30 hours (range, 3-53 hours). The patients from
Sichuan province accounted for 45.8% (22 cases), from other province for 52.1%
(25 cases), and from abroad for 2.1% (1 case). Patients were primarily hurted by
collapsing houses and flying stones. Thirty-seven patients (77.1%) had single
injury, mainly involving 36 patients (75.0%) in limbs, and the other 11 patients
(22.9%) had multiple injuries. Ten patients (20.8%) had open fractures, including
1 case rated as typeI, 2 as typeII, 3 as type IIIa, 2 as type IIIb, and 2 as type
IIIc according to Gustilo classification criteria. The abbreviated injury scale
(AIS) score was 2-3 in 37 patients of single injury, and the injury severity
score (ISS) was 8-22 (mean, 13.2) in 11 patients of multiple injuries. Sixteen
patients (33.3%) were diagnosed as mental disorders by Hamilton rating scale for
anxiety (HAMA), including 8 cases had their anxiety scores>=29, 4 cases of 21-28,
3 cases of 14-20, and 1 case of 7-13. Of the 16 patients, 2 showed suicidal
tendency. Results: Except 2 referrals, 30 patients received operation[28 patients
(93.3%) for orthopaedic surgeries]and 16 patients received conservative
treatment. The procedures included internal fixation, soft tissue debridement,
external fixation, bipolar femoral head replacement, embolization of carotid
cavernous sinus arteriovenous fistula, and amputation. Among the 46 patients
treated in this hospital, 21 discharged from hospital at 2-12 days (mean, 6.7
days) after admission, the others received further rehabilitation in this
hospital or local hospital. No undesirable consequence occurred in 16 patients
with mental disorders. Five cases of infection occurred out of hospital were
cured after debridement. No dead and nosocomial infection case reported.
Conclusion: Intensive treatment, specialist management, multidisciplinary team,
and early intervention of nosocomial infection and deep venous thrombosis are the
key to improve the general level of successful earthquake medical rescue.
PMID- 29806290
TI - [Effect of a new front opening liposuction cannula on survival of transplanted
fat tissue].
AB - Objective: To investigate the effect of a new front opening liposuction cannula
on the survival of transplanted fat tissue. Methods: Two groups of fats were
obtained from the left and right sides of a female patient during the abdomen
liposuction surgery, respectively. And the fats in experimental group and control
group were harvested by the new front opening liposuction cannula and the side
hole liposuction cannula, respectively. The differences of adipocyte activity in
vitro between 2 groups were compared by observation under the electron scanning
microscopy and the glucose transportation test. Then, the fats in 2 groups (
n=20) were injected subcutaneously into the back of 20 nude mice (400 mg fats per
injection zone). The differences of the injection area reactions, remaining
weight, histological characteristics, and microvessel density (MVD) between 2
groups were compared after 4 weeks and 12 weeks. Results: Compared with the
control group, in vitro, the adipocytes were more plump and the vascular
structures were more abundant. The glucose transportation quantities were
(3.049+/-0.266) mmol/L and (2.668+/-0.250) mmol/L in experimental and control
groups, showing significant difference between groups ( t=2.956, P=0.010). There
was only one fat liquefaction occurred in the injection zone of the control group
after 4 weeks. The experimental group had more clear adipocytes, more vessels,
and less inflammation and necrosis than the control group. The remaining weight
and MVD were higher in the experimental group than in the control group after 4
and 12 weeks, showing significant differences ( P<0.05). Conclusion: The new
front opening liposuction cannula can reduce the damage of adipocytes and improve
the survival of transplanted fat tissue.
PMID- 29806291
TI - [Research progress of pedicled flaps for defect repair and reconstruction after
head and neck tumor resection].
AB - Objective: To summarize the current status of pedicled flaps for defect repair
and reconstruction after head and neck tumor resection, and to present its
application prospects. Methods: Related literature was reviewed, and the role
evolution of pedicled flaps in the reconstruction of head and neck defects were
discussed. The advance, anatomical basis, indications, advantages, disadvantages,
and modification of several frequently used pedicled flaps were summarized.
Results: The evolution of pedicled flaps application showed a resurgence trend in
recent years. Some new pedicled flaps, e.g., submental artery island flap,
supraclavicular artery island flap, submandibular gland flap, and facial artery
musculomucosal flap, can acquire equivalent or even superior outcome to free
flaps in certain cases. Technological modification of some traditional pedicled
flaps, e.g., nasolabial flap, pectoralis major myocutaneous flap, latissimus
dorsi musculocutaneous flap, temporalis myofascial flap, and temporoparietal
fascial flap, can further broaden their indications. These traditional flaps
still occupy an irreplaceable role, especially in patients with poor condition
and institution with immature microsurgical techniques. Conclusion: The pedicled
flaps still plays an important role in head and neck reconstruction after tumor
resection. In certain cases, they demonstrate some advantages over free flaps,
e.g., more convenient harvest, more rapid recovery, less expenditure, and better
functional and aesthetic effect.
PMID- 29806292
TI - [Anatomical study of anterior cruciate ligament and its effect on reconstruction
technique].
AB - Objective: To summarize the current research progress of anterior cruciate
ligament (ACL) anatomy, and discuss its effect on the reconstruction technique.
Methods: The literature concerning ACL anatomy and reconstruction at home and
abroad was extensively reviewed and summarized. Results: The anatomy and
morphology of ACL has gained new recognition in recent years, and the "Ribbon
like" ACL has gradually been paid attention to by researchers. In present
researches, it seems the "Ribbon-like" anatomy theory has advantages in theory
when compared with the previous anatomy theory. It is more in line with the
anatomy and isometric reconstruction. Conclusion: The understanding of ACL
anatomy guided the development of ACL reconstruction. The "Ribbon-like" ACL
anatomy theory is the different understanding of the anatomy theory, which
remains controversy. The "Ribbon-like" reconstruction maybe has more advantages
in theory, but further study is needed.
PMID- 29806293
TI - [Mid-term effectiveness of total hip arthroplasty with subtrochanteric shortening
osteotomy in treatment of Crowe type IV developmental dysplasia of hip].
AB - Objective: To explore the mid-term effectiveness of total hip arthroplasty (THA)
with subtrochanteric shortening osteotomy in treatment of Crowe type IV
developmental dysplasia of the hip (DDH). Methods: Between September 2009 and
March 2014, a total of 49 patients (57 hips) who were diagnosed with Crowe type
IV DDH were treated with THA and subtrochanteric shortening osteotomy. Of the 49
patients, 7 were male and 42 were female with an average age of 44.6 years
(range, 20-73 years). The preoperative Harris score was 44.68+/-3.39 and the
preoperative leg length discrepancy was (5.27+/-0.55) cm. Results: All incisions
healed primarily. All patients were followed up 32-87 months (mean, 52.1 months).
At last follow-up, the Harris score was 85.67+/-2.89 and the leg length
discrepancy was (1.12+/-0.48) cm, showing significant differences when compared
with the preoperative values ( t=-69.53, P=0.00; t=42.94, P=0.00). X-ray films
showed that bone union of the femoral osteotomy end at 6 months after operation.
There was no loosening and subsidence of prosthesis at last follow-up.
Conclusion: The subtrochanteric shortening osteotomy with THA in treatment of
Crowe type IV DDH can obtain satisfactory mid-term effectiveness with low risk of
peripheral vascular and nerve traction injuries.
PMID- 29806294
TI - [Mid-term effectiveness of large-head metal-on-metal total hip arthroplasty].
AB - Objective: To explore the mid-term effectiveness of large-head metal-on-metal
total hip arthroplasty (THA). Methods: A retrospective analysis was made on the
clinical date of 40 patients (43 hips) who were treated with the large-head metal
on-metal THA between April 2009 and June 2010. There were 18 males (20 hips) and
22 females (23 hips) with an average age of 55.1 years (range, 20-85 years).
Unilateral hip was involved in 37 cases and bilateral hips in 3 cases. The
disease causes included osteonecrosis of the femoral head in 14 cases (15 hips),
osteoarthritis in 6 cases (7 hips), rheumatoid arthritis in 4 cases (4 hips),
femoral neck fracture in 4 cases (4 hips), and developmental dysplasia of the hip
in 12 cases (13 hips). Before operation, the Harris score and University of
California Los Angeles (UCLA) score were 38.51+/-5.62 and 4.21+/-1.43,
respectively. The visual analogue scale (VAS) score was 6.78+/-0.95. Results: All
patients were followed up 6.7-8.3 years (mean 7.5 years). All incisions healed
primarily and no neurovascular injury, infection, and hip dislocation occurred.
At last follow-up, the Harris score and UCLA score were 93.33+/-3.21 and 7.32+/
1.45, respectively, showing significant differences when compared with
preoperative scores ( t=51.753, P=0.000; t=23.232, P=0.000). The thigh pain
occurred in 3 cases (3 hips) in whom the inflammatory pseudotumor of soft tissues
was found in 1 case (1 hip). Postoperative X-ray films showed that the acetabular
abduction angle and anteversion angle were (46.5+/-3.2) degrees and (14.8+/-3.6)
degrees , respectively. The initial stability of femoral stem prosthesis was
excellent in 39 hips and good in 4 hips according to Mulliken standard.
Osteolysis occurred in 2 hips and revision was performed in 1 hip of secondary
loosening of prosthesis. The rest patients had no prosthesis loosening or
sinking. Conclusion: The mid-term effectiveness of large-head mental-on-mental
THA in treatment of the terminal diseases of hips are good.
PMID- 29806295
TI - [A comparative study on effectiveness of patellar resurfacing against non
resurfacing in total knee arthroplasty].
AB - Objective: To investigate the effectiveness of total knee arthroplasty (TKA) with
or without patellar resurfacing. Methods: Between March 2013 and August 2015, 30
patients (60 knees) with osteoarthritis who met the inclusion criteria were
recruited in the study. Of 30 cases, 24 were male and 6 were female with an
average age of 57.2 years (range, 37-65 years). The body mass index ranged from
19.5 to 40.3 kg/m 2 (mean, 28.2 kg/m 2). According to Kellgren-Lawrence grading,
there were 8 cases at grand III and 22 cases at grand IV. All patients underwent
primary bilateral TKA. The patellar resurfacing was done at the unilateral knee
randomly (resurfacing side); the opposite treatment was done at the other side
(non-resurfacing side). The indexes of 2 groups were recorded and compared,
including the intraoperative blood loss, operation time, knee society score
(KSS), "Forgotten Joint" scale (FJS), anterior knee pain, anterior patellar
spirant, knee constraint feeling, anterior patellar clunk, muscle power of knee
extension, and ability of up and down stairs, weight-bearing flexion, squatting
down, cross-legged, knee down, knee extension, and patient satisfaction.
Radiographic examination was used to analyze the prosthesis position. Results:
The operation time were (126+/-14) minutes and (112+/-11) minutes in resurfacing
side and non-resurfacing side, respectively, showing significant difference
between two sides ( t=5.103, P=0.030); and there was no significant difference in
intraoperative blood loss between two sides ( t=3.431, P=0.800). All patients
were followed up 2-4 years (mean, 2.6 years). There was no significant difference
( P>0.05) between two sides in KSS clinical and functional scores at preoperation
and 6 weeks, 6 months, and 2 years after operation; in visual analogue scale
(VAS) score of anterior knee pain at preoperation and 6 weeks after operation; in
incidences of anterior patellar spirant, knee constraint feeling, anterior
patellar clunk, and muscle power of knee extension at 6 weeks, 6 months, and 2
years after operation; in incidences of disability of up and down stairs, weight
bearing flexion, squatting down, cross-legged, knee down, and knee extension at 6
weeks, 6 months, and 2 years after operation; in ratio of FJS score at 2 years
after operation. The VAS scores at 6 months and 2 years after operation in
resurfacing group were significantly lower than those in non-resurfacing group (
Z=-1.997, P=0.046; Z=-2.197, P=0.028). Patient satisfaction of resurfacing side
was superior to the non-resurfacing side at 6 weeks after operation ( chi2=4.271,
P=0.039). Radiographic examination showed no prosthesis loosing occurred.
Conclusion: The effectiveness of TKA with patellar resurfacing is better than
that with non-resurfacing in patients satisfaction.
PMID- 29806296
TI - [Application of interrupted suture at exercise position in total knee
arthroplasty].
AB - Objective: To investigate the effectiveness of interrupted suture under exercise
position in total knee arthroplasty (TKA). Methods: Eighty-four patients with
osteoarthritis who were treated with TKA between July 2015 and July 2016 were
enrolled in the study. All patients were randomly divided into control group and
observation group with 42 cases in each group. There was no significant
difference in gender, age, side, body mass index, and osteoarthritis grading
between 2 groups ( P>0.05). The incisions were interrupted sutured at the knee
flexion position in control group and at the exercise position in observation
group. Preoperative and postoperative treatments of 2 groups were same. The
incision length, suture time, total tramadol usage, intraoperative blood loss,
stitches removal time, hospitalization time, incidence of postoperative
complication, the incision healing score (HWES), and satisfaction score of
incisional self evaluation (Liktert score) were recorded and compared between 2
groups. The visual analogue scale (VAS) score was used to evaluate the incision
pain at pre- and post-operation. The hospital for special surgery (HSS) score and
range of motion (ROM) were also used to assess the knee function. Results: There
was no significant difference in incision length, incidence of postoperative
complication, HWES score, stitching time, and hospitalization time between 2
groups ( P>0.05). The suture time, intraoperative blood loss, and Likter score
were significantly lower in control group than those in observation group (
P<0.05), but the total tramadol usage was significantly higher in control group
than that in observation group ( P<0.05). All patients were followed up. The
follow-up time ranged from 12 to 24 months (mean, 14.7 months) in control group
and from 12 to 23 months (mean, 15.3 months) in observation group. There was no
significant difference in VAS scores between 2 groups before operation, before
going to bed at the 1st day, and after suture removal ( P>0.05). The VAS score of
observation group after flexion and extension exercises at the 1st day was
significantly lower than that of control group ( P<0.05). There was no
significant difference in HSS score and ROM between 2 groups before operation and
at 12 months after operation ( P>0.05). The HSS score and ROM in observation
group at discharge and at 1, 3, and 6 months after operation were superior to
those in control group ( P<0.05). Conclusion: Compared with interrupted suture at
flexion knee position, the application of interrupted suture at exercise positon
in TKA had the advantages of less postoperative pain and good incision healing,
and can get satisfactory early joint function recovery. But significant
difference in the long-term effectiveness of the two methods was not found.
PMID- 29806297
TI - [A comparative study of titanium elastic intramedullary nail internal fixation
and bone plate internal fixation in the treatment of adult Galeazzi fracture].
AB - Objective: To explore the effectiveness difference between titanium elastic
intramedullary nail internal fixation and bone plate internal fixation in the
treatment of adult Galeazzi fracture. Methods: Ninety-seven patients of Galeazzi
fracture according with the selection criteria were divided into 2 groups by
prospective cohort study, who were admitted between January 2012 and November
2015. In the patients, 59 were treated with open reduction and bone plate
internal fixation (plate group), and 38 with titanium elastic intramedullary nail
internal fixation (minimally invasive group). There was no significant difference
in the gender, age, cause of injury, fracture site, type of fracture, and time
from injury to operation between 2 groups ( P>0.05). The operation time,
intraoperative blood loss, fracture healing time, and complications were recorded
and compared between 2 groups, and the forearm function was evaluated by Anderson
score. Results: All the patients were followed up 12-23 months (mean, 17 months).
The operation time, intraoperative blood loss, fracture healing time of minimally
invasive group were significantly less than those in plate group ( P<0.05). There
were 1 case of fracture nonunion, 1 case of wound infection in plate group, and 1
case of nail tail slight infection in minimally invasive group, which were all
cured after the corresponding treatment. The remaining patients had good fracture
healing, and no vascular injury, internal fixation failure, deep infection, or
other complications occurred. According to Anderson score at 12 months after
operation, the forearm function results were excellent in 46 cases, good in 12
cases, and poor in 1 case, with an excellent and good rate of 98.3% in plate
group; and the results were excellent in 26 cases, good in 11 cases, and poor in
1 case, with an excellent and good rate of 97.4% in minimally invasive group;
showing no significant difference ( chi2=0.10, P=0.75). Conclusion: Minimally
invasive fixation with titanium elastic nail has such advantages as small damage,
quick recovery, no skin scarring, etc. As long as the correct indication is
selected, minimally invasive titanium intramedullary nail internal fixation of
Galeazzi fractures can also get good effectiveness.
PMID- 29806298
TI - [Comparative study of different concentrations of methicillin-resistant
Staphylococcus aureus in the preparation of chronic femoral osteomyelitis
models].
AB - Objective: To investigate the appropriate concentration of methicillin-resistant
Staphylococcus aureus (MRSA) in establishing chronic femoral osteomyelitis model
in rabbits. Methods: Forty-eight adult New Zealand white rabbits were randomly
divided into 6 groups with 8 rabbits in each group. Animals in groups B, C, D, E,
and F were injected 1*10 9, 1*10 8, 1*10 7, 1*10 6, 1*10 5 CFU/mL MRSA on the
location of 2 cm of the femoral supracondyle, respectively, and group A was
injected with aseptic saline as a control. The general observation were performed
at 4 weeks after operation, and the wound secretions were taken for
bacteriological examination. The serum C-reactive protein content was detected at
preoperation and 2 weeks and 4 weeks after operation. The X-ray, CT scan, and
Norden imaging scoring were performed at 4 weeks after operation. At 4 weeks
after operation, the animals were sacrificed, and the specimens were observed and
evaluated by general scores; and the HE staining and histological score were also
performed. Results: Five rabbits died of severe infection in group B, 2 died in
group C, and no rabbit died in groups D, E, and F. General observation showed
that the incision healed without soft tissue swelling in group A; most animals
had visible incision swelling and sinus formation, femoral thickening, bone
destruction, and damage decreased with the decreasing of the concentration of
liquid bacterial in groups B-D; the infection signs were seen in groups E and F,
and the degree of infection were less than that of group D. Bacteriological
examination showed that fistula formation animal in groups B, C, D, and E were
cultured with positive results, and with the decrease of concentration, the
number of animal fistula formation decreased gradually; and bacteriological
culture did not be performed in group F because of no sinus formation. There was
no significant difference in the content of C-reactive protein between groups
before operation ( P>0.05). The contents of C-reactive protein in groups B-F were
significantly higher than those in group A at 2 and 4 weeks after operation (
P<0.05). At 4 weeks after operation, the content of C-reactive protein was in the
order of groups B, C, D, E, F, and A in turn from high to low, showing
significant differences between groups ( P<0.05). Imaging examination showed that
there was no soft tissue swelling and bone destruction in group A; bone
destruction, massive sequestrum formation, and soft tissue swelling were found in
groups B and C; bone destruction was observed in groups D and E, and the degree
of sequestrum formation was not as good as that in group C; and there was a small
amount of bone infection in group F. The Norden scores in groups B-F were
significantly higher than that in group A, and in groups B and C than those in
groups D, E, and F, and in groups D and E than that in group F ( P<0.05); there
was no significant difference between groups B and C, and between groups D and E
( P>0.05). The specimens general observation scores in groups B-F were
significantly higher than that in group A, while in groups B and C than those in
groups D, E, and F ( P<0.05); there was no significant difference between groups
D, E, and F ( P>0.05). HE staining showed that the structure of bone trabecula in
group A was clear and the structure was arranged neatly; in groups B-F,
trabecular bone destruction and inflammatory cell infiltration were seen and the
degree gradually decreased. The histological scores in groups B-F were
significantly higher than that in group A, and in group B than those in groups C
F, in groups C and D than that in group F ( P<0.05); there was no significant
difference between groups C, D, and E, and between groups E and F ( P>0.05).
Conclusion: The optimal MRSA concentration of rabbit model of chronic
osteomyelitis of femur is between 1*10 6 and 1*10 7 CFU/mL.
PMID- 29806299
TI - [Experimental study of lentivirus-mediated Nogo extracellular peptide residues 1
40 gene and neurotrophin 3 gene co-transduction in neural stem cells].
AB - Objective: To explore the feasibility of co-transduction and co-expression of
Nogo extracellular peptide residues 1-40 (NEP1-40) gene and neurotrophin 3 (NT-3)
gene into neural stem cells (NSCs). Methods: NSCs were derived from the cortex
tissue of Sprague Dawley rat embryo. The experiment included 5 groups: no-load
lentiviral vector transducted NSCs (group A), NEP1-40 transducted NSCs (group B),
NT-3 transducted NSCs (group C), NEP1-40 and NT-3 corporately transducted NSCs
(group D), and blank control (group E). Target genes were transducted into NSCs
by lentiviral vectors of different multiplicity of infection (MOI; 5, 10, 15) for
different time (24, 48, 72 hours). Fluorescent microscope was used to observe the
expression of fluorescence protein and acquire the optimum MOI and optimum
collection time. Real-time fluorescence quantitative PCR and Western blot tests
were utilized to evaluate the gene expressions of NEP1-40 and NT-3 in NSCs and
protein expressions of NEP1-40 and NT-3 in NSCs and in culture medium. Results:
The optimum MOI for both target gene was 10 and the optimum collection time was
48 hours. The real-time fluorescence quantitative PCR and Western blot results
showed that the mRNA and protein relative expressions of NEP1-40 in groups B and
D were significantly higher than those in groups A and C ( P<0.05), but no
significant difference was found between groups B and D, and between groups A and
C ( P>0.05). The mRNA and protein relative expressions of NT-3 in groups C and D
were significantly higher than those in groups A and B ( P<0.05), but no
significant difference was found between groups A and B, and between groups C and
D ( P>0.05). Conclusion: NEP1-40 and NT-3 gene can be successfully co-transducted
into NSCs by the mediation of lentiviral vector. The expressions of the two
target genes are stable and have no auxo-action or antagonism between each other.
PMID- 29806300
TI - [Effect of bone morphogenetic protein 7/poly (lactide-co-glycolide) microspheres
on the in vitro proliferation and chondrogenic differentiation of rabbit bone
marrow mesenchymal stem cells].
AB - Objective: To evaluate the effect of bone morphogenetic protein 7 (BMP-7)/poly
(lactide-co-glycolide) (PLGA) microspheres on in vitro proliferation and
chondrogenic differentiation of rabbit bone marrow mesenchymal stem cells
(BMSCs). Methods: BMP-7/PLGA microspheres were fabricated by double emulsion
drying in liquid method. After mixing BMP-7/PLGA microspheres with the
chondrogenic differentiation medium, the supernatant was collected on the 1st,
3rd, 7th, 14th, and 21st day as the releasing solution. The BMSCs were isolated
from the bilateral femurs and tibias of 3-5 days old New Zealand rabbits, and the
3rd generation BMSCs were divided into 2 groups: microspheres group and control
group. The BMSCs in microspheres group were cultured by 200 MUL BMP-7/PLGA
microspheres releasing solution in the process of changing liquid every 2-3 days,
while in control group were cultured by chondrogenic medium. The cell
proliferation (by MTT assay) and the glycosaminoglycan (GAG) contents (by Alician
blue staining) were detected after chondrogenic cultured for 1, 3, 7, 14, and 21
days. The chondrogenic differentiation of BMSCs was observed by safranine O
staining, toluidine blue staining, and collagen type II immunohistochemistry
staining at 21 days. Results: MTT test showed that BMSCs proliferated rapidly in
2 groups at 1, 3, and 7 days; after 7 days, the proliferation of BMSCs in the
control group was slow and the BMSCs in microspheres group continued to
proliferate rapidly. There was no significant difference of the absorbance ( A)
value at 1, 3, and 7 days between 2 groups ( P>0.05), but the A value at 14 and
21 days in microspheres group was significantly higher than that in control group
( P<0.05). Compared with control group at 21 days, in microsphere group, almost
all nuclei were dyed bright red by safranine O staining, almost all the nuclei
appeared metachromatic purple red by toluidine blue staining, and the most nuclei
were yellow or brown by immunohistochemical staining of collagen type II. Alcian
blue staining showed that the content of GAG in 2 groups increased continuously
at different time points; after 7 days, the increasing trend of the control group
was slow and the microspheres group continued hypersecretion. There was no
significant difference of the GAG content at 1, 3, and 7 days between 2 groups (
P>0.05), but the GAG content at 14 and 21 days in microspheres group was
significantly higher than that in control group ( P<0.05). Conclusion: BMP-7/PLGA
microspheres prepared by double emulsion-drying in liquid method in vitro can
promote proliferation and chondrogenic differentiation of rabbit BMSCs.
PMID- 29806301
TI - [Preparation and in vitro evaluation of tissue engineered osteochondral
integration of multi-layered scaffold].
AB - Objective: The tissue engineered osteochondral integration of multi-layered
scaffold was prepared and the related mechanical properties and biological
properties were evaluated to provide a new technique and method for the repair
and regeneration of osteochondral defect. Methods: According to blend of
different components and proportion of acellular cartilage extracellular matrix
of pig, nano-hydroxyapatite, and alginate, the osteochondral integration of multi
layered scaffold was prepared by using freeze-drying and physical and chemical
cross-linking technology. The cartilage layer was consisted of acellular
cartilage extracellular matrix; the middle layer was consisted of acellular
cartilage extracellular matrix and alginate; and the bone layer was consisted of
nano-hydroxyapatite, alginate, and acellular cartilage extracellular matrix. The
biological and mechanics characteristic of the osteochondral integration of multi
layered scaffold were evaluated by morphology observation, scanning electron
microscope observation, Micro-CT observation, porosity and pore size
determination, water absorption capacity determination, mechanical testing
(compression modulus and layer adhesive strength), biocompatibility testing [L929
cell proliferation on scaffold assessed by MTT assay, and growth of green
fluorescent protein (GFP)-labeled Sprague Dawley rats' bone marrow mesenchumal
stem cells (BMSCs) on scaffolds]. Results: Gross observation and Micro-CT
observation showed that the scaffolds were closely integrated with each other
without obvious discontinuities and separation. Scanning electron microscope
showed that the structure of the bone layer was relatively dense, while the
structure of the middle layer and the cartilage layer was relatively loose. The
pore structures in the layers were connected to each other and all had the multi
dimensional characteristics. The porosity of cartilage layer, middle layer, and
bone layer of the scaffolds were 93.55%+/-2.90%, 93.55%+/-4.10%, and 50.28%+/
3.20%, respectively; the porosity of the bone layer was significantly lower than
that of cartilage layer and middle layer ( P<0.05), but no significant difference
was found between cartilage layer and middle layer ( P>0.05). The pore size of
the three layers were (239.66+/-35.28), (153.24+/-19.78), and (82.72+/-16.94)
MUm, respectively, showing significant differences between layers ( P<0.05). The
hydrophilic of the three layers were (15.14+/-3.15), (13.65+/-2.98), and (5.32+/
1.87) mL/g, respectively; the hydrophilic of the bone layer was significantly
lower than that of cartilage layer and middle layer ( P<0.05), but no significant
difference was found between cartilage layer and middle layer ( P>0.05). The
compression modulus of the three layers were (51.36+/-13.25), (47.93+/-12.74),
and (155.18+/-19.62) kPa, respectively; and compression modulus of the bone layer
was significantly higher than that of cartilage layer and middle layer ( P<0.05),
but no significant difference was found between cartilage layer and middle layer
( P>0.05). The osteochondral integration of multi-layered scaffold was tightly
bonded with each layer. The layer adhesive strength between the cartilage layer
and the middle layer was (18.21+/-5.16) kPa, and the layer adhesive strength
between the middle layer and the bone layer was (16.73+/-6.38) kPa, showing no
significant difference ( t=0.637, P=0.537). MTT assay showed that L929 cells grew
well on the scaffolds, indicating no scaffold cytotoxicity. GFP-labeled rat BMSCs
grew evenly on the scaffolds, indicating scaffold has excellent biocompatibility.
Conclusion: The advantages of three layers which have different performance of
the tissue engineered osteochondral integration of multi-layered scaffold is
achieved double biomimetics of structure and composition, lays a foundation for
further research of animal in vivo experiment, meanwhile, as an advanced and
potential strategy for osteochondral defect repair.
PMID- 29806302
TI - [The biocompatibility and immunogenicity study of decellularized tracheal
matrix].
AB - Objective: To investigate the biocompatibility and immunogenicity of the tracheal
matrix decellularized by sodium perchlorate (NaClO 4). Methods: Bone marrow
mesenchymal stem cells (BMSCs) were divided from 2-month-old New Zealand white
rabbits. The trachea of 6-month-old New Zealand white rabbits were trimmed to a
length of 1.5 cm and randomly divided into control group (group A 1, n=5, just
stripped the loose connective tissue outside the trachea) and experimental group
(group B 1, n=5, decellularized by improved NaClO 4 immersion method). The
cytotoxicity of the scaffold leaching solution was detected by MTT assay, and the
major histocompatibility complex (MHC) expression was detected by
immunohistochemical method. The 4th generation of BMSCs were seeded onto the
scaffold of 2 groups, and the cell activity around the material was observed by
inverted microscope after Giemsa staining at 48 hours, while the cells states on
the scaffold were observed at 7 and 14 days after culturing by scanning electron
microscope. Another 10 6-month-old New Zealand white rabbits were randomly
divided into control group (group A 2, n=5) and experimental group (group B 2,
n=5), which implanted the native trachea and decellularized tracheal matrix into
the subcutaneous sac of the back neck, respectively. The serum immunoglobulin IgM
and IgG contents were analysed at 5, 10, 15, 20, 25, and 30 days after operation,
and HE staining observation was performed at 30 days after operation. Results:
MTT assay showed that the proliferation activity of BMSCs cultured in the leach
liquor of group B 1 was well, showing no significant difference when compared
with group A 1 and negative control group with pure culture medium ( P>0.05). The
immunohistochemical staining showed that the decellularized process could
significantly reducing the antigenicity of matrix materials. Giemsa staining
showed that BMSCs grew well around the two tracheal matrixs (groups A 1 and B 1)
in vitro. Scanning electron microscope observation showed that the cells were
attached to the outer wall of the tracheal material in group A 1, which present a
flat, round, oval shaped, tightly arranged cells and cluster distribution; and in
group B 1, the cells formed a single lamellar sheet cover the outer wall of the
tracheal material, whose morphology was similar to that in group A 1, and the
growth trend was better. In vivo experimental results showed that the rejection
of group B 2 was lower than that of group A 2. The contens of IgM and IgG in
group A 2 were significantly higher than those in group B 2 at each time point
after operation ( P<0.05). HE staining showed no signs of rejection,
macrophagocyte, or lymphocyte infiltration occurred, and the collagen fibers
maintained their integrity in group B 2. Conclusion: The decellularized matrix
treated by NaClO 4 has a fine biocompatibility, while its immunogenicity
decreased, and it is suitable for the scaffold material for constructing of
tissue engineered trachea.
PMID- 29806303
TI - [Osteogenesis effect of dynamic mechanical loading on MC3T3-E1 cells in three
dimensional printing biomimetic composite scaffolds].
AB - Objective: To observe the effect of dynamic mechanical loading on the
proliferation, differentiation, and specific gene expression of MC3T3-E1 cells
that on three-dimensional (3D) biomimetic composite scaffolds prepared by low
temperature 3D printing technology combined with freeze-drying. Methods: The silk
fibroin, collagen type I, and nano-hydroxyapatite (HA) were mixed at a mass ratio
of 3?9?2 and were used to prepare the 3D biomimetic composite scaffolds via low
temperature 3D printing technology combined with freeze-drying. General
morphology of 3D biomimetic composite scaffold was observed. Micro-CT was used to
observe the pore size and porosity of the scaffolds, and the water swelling rate,
stress, strain, and elastic modulus were measured. Then, the MC3T3-E1 cells were
seeded on the 3D biomimetic composite scaffolds and the cell-scaffold composites
were randomly divided into 2 groups. The experimental group was subjected to
dynamic mechanical loading (3 500 MUepsilon, 1 Hz, 15 minutes per day); the
control group was not subjected to loading treatment. After 7 days and 14 days,
the cell-scaffold composites of 2 groups were harvested to observe the growth of
cells on the scaffolds by HE staining and scanning electron microscope. And the
gene and protein expressions of collagen type I, BMP-2, and osteocalcin (OCN)
were measured by real-time fluorescent quantitative PCR and Western blot.
Results: The 3D biomimetic composite scaffold was a white cubic grid. Micro-CT
detection showed the pore network structure in the scaffold material with good
pore connectivity. The diameters of large pore and micro-aperture were (506.37+/
18.63) MUm and (62.14+/-17.35) MUm, respectively. The porosity was 97.70%+/
1.37%, and the water absorption swelling rate was 1 341.97%+/-64.41%. Mechanical
tests showed that the compression displacement of the scaffold was (0.376+/
0.004) mm, the compressive stress was (0.016+/-0.002) MPa, and the elastic
modulus was (162.418+/-18.754) kPa when the scaffold was compressed to 10%. At 7
days and 14 days, HE staining and scanning electron microscope observation showed
that the cells grew inside the scaffold, mainly distributed around the scaffold
pore wall. The cells in experimental group were more than control group, and the
cells morphology changed from shuttle to flat. There was no significant
difference in the cell counting between 2 groups at 14 days after 200-fold
microscopy ( t=-2.024, P=0.080), but significant differences were found between 2
groups at different time points under different magnifications ( P<0.05). Real
time fluorescent quantitative PCR showed that the mRNA relative expressions of
collagen type I and OCN in experimental group were significantly higher than
those in control group at 7 and 14 days ( P<0.05). However, the mRNA relative
expression of BMP-2 showing no significant difference between 2 groups ( P>0.05).
The protein relative expressions of collagen type I, BMP-2, and OCN in
experimental group were significantly higher than those in control group at 7 and
14 days ( P<0.05). Conclusion: After dynamic mechanical loading, the expressions
of BMP-2, collagen type I, and OCN in MC3T3-E1 cells inoculated into 3D
biomimetic composite scaffolds are significantly up-regulated, indicating that
appropriate mechanical loads favor osteoblast differentiation of MC3T3-E1 cells.
PMID- 29806304
TI - [Research progress of adipose-derived stem cells on refractory wounds].
AB - Objective: To summarize the recent advances in the research of adipose-derived
stem cells (ADSCs) for the treatment of refractory wounds. Methods: The related
literature about using ADSCs for treating refractory wounds in recent years was
reviewed, and their repair mechanism and treatment progress were summarized in
detail. Results: Tremendous progress has been achieved in using ADSCs in
combination with single stent technology, sheet technology, and other methods to
promote the healing of refractory wounds. ADSCs can accelerate wound angiogenesis
and promote the healing of refractory wounds through its own mechanisms of
paracrine, proangiogenic, anti-oxidative and apoptosis. Conclusion: With the
advantages of adequate sources, easy to extract and culture, non-immune
rejection, multidirectional differentiation potential, and significant angiogenic
potential, ADSCs has become the ideal seed cells of tissue regeneration. However,
it is necessary to improve stem cell transmission technology and develop
biomaterials for clinical application in order to improve the refractory wounds
healing.
PMID- 29806305
TI - [Experimental study on long-term outcome of porcine collagen membrane
xenotransplantation in vivo].
AB - Objective: To observe the long-term outcome and biocompatibility of the porcine
collagen membrane (DermalGen) after xenotransplantation in vivo. Methods: Twenty
Sprague Dawley rats were randomly divided into 2 groups ( n=10). DermalGen were
implanted subcutaneously into the dorsum of rats in experimental group, and the
rats in control group were treated with sham-operation. At 3, 7, and 15 days and
1, 3, 6, and 12 months after operation, the samples of experimental group were
harvested and gross observation, histological observation, CD31
immunohistochemical staining, and transmission electron microscope observation
were taken to observe the inflammatory reaction, angiogenesis, and collagen
arrangement. The skin tissues of the control group at 12 months were observed and
compared. Results: All incisions healed in experimental group, without obvious
swelling and inflammatory reaction. The DermalGen was closely contact with the
surrounding tissue without obvious rejection, and it was still legible at 12
months. Histological observation of experimental group showed that the
infiltration of fibroblasts and inflammatory cells were seen at 7 days. More
capillaries and fibroblast cells were seen and the inflammatory response
gradually faded at 15 days and 1 month. There were abundant vessels and cells in
the DermalGen at 3 months. The angiogenesis and fibroblasts decreased gradually,
and the collagen started to format and margin blended simultaneously at 6 and 12
months. The inflammatory cells in experimental group at 15 days and 1 month were
significantly more than that in control group ( P<0.05), and no significant
difference was found at 12 months between experimental group and control group (
P>0.05). Immunohistochemical staining of experimental group showed that the
angiogenesis changed obviously with the time, and the density of vessels
decreased significantly at 12 months. Compared with control group, the possitive
expressions of CD31 in experimental group at 15 days and 12 months after
operation were significantly decreased ( P<0.05), and were significantly
increased at 1 month ( P<0.05). Transmision electron microscope observation
showed that the arrangement of collagen in grafted DermalGen had no obvious
changed when compared with the DermalGen, and vascular endothelial cell,
capillarypericytes and fibroblast cells could be seen inside. Conclusion: The
DermalGen structure is stable after long-term xenotransplantation and with good
tolerogenic property in vivo.
PMID- 29806306
TI - [Decompression by mini-open posterior approach assisted with microscope for
thoracolumbar burst fracture with severe spinal canal stenosis].
AB - Objective: To investigate the effectiveness of posterior microscopic mini-open
technique (MOT) decompression in patients with severe spinal canal stenosis
resulting from thoracolumbar burst fractures. Methods: The clinical data of 28
patients with severe spinal canal stenosis caused by thoracolumbar burst
fractures, who were treated by posterior microscopic MOT, which performed
unilateral or bilateral laminectomy, poking reduction, intervertebral bone graft
via spinal canal, and percutaneous pedicle screw fixation between January 2014
and January 2016 were retrospectively analyzed. There were 21 males and 7 females
with a mean age of 42.1 years (range, 16-61 years). The involved segments
included T 11 in 1 case, T 12 in 4 cases, L 1 in 14 cases, and L 2 in 9 cases.
According to AO classification, there were 19 cases of type A3, 9 of type A4.
According to American Spinal Injury Association (ASIA) grading, 12 cases were
grade C, 13 grade D, and 3 grade E. The time between injury and operation was 3-7
days (mean, 3.6 days). To evaluate effectiveness, the changes in the visual
analogue scale (VAS), percentage of anterior height of injured vertebrae, Cobb
angle, rate of spinal compromise (RSC), and ASIA grading were analyzed. Results:
All patients were performed procedures successfully. The operation time was 135
323 minutes (mean, 216.4 minutes). The intraoperative blood loss was 80-800 mL
(mean, 197.7 mL). The hospitalization time was 10-25 days (mean, 12.5 days). The
incisions healed primarily, without wound infection, cerebrospinal fluid leakage,
or other early complications. All the 28 patients were followed up 12-24 months
(mean, 16.5 months). No breakage or loosening of internal fixation occurred. All
fractures healed, and the healing time was 3-12 months (mean, 6.5 months).
Compared with preoperative ones, the percentage of anterior height of injured
vertebrae, Cobb angle, and RSC at immediate after operation and at last follow-up
and the VAS scores at 1 day after operation and at last-follow were significantly
improved ( P<0.05). There was no significant difference in the percentage of
anterior height of injured vertebrae and Cobb angle between at immediate after
operation and at last follow-up ( P>0.05). But the RSC at immediate after
operation and VSA score at 1 day after operation were significantly improved when
compared with those at last follow-up ( P<0.05). The ASIA grading at last follow
up was 1 case of grade C, 14 grade D, and 13 grade E, which was significantly
improved when compared with preoperative ones ( Z=3.860, P=0.000). Conclusion:
MOT is an effective and minimal invasive treatment for thoracolumbar AO type A3
and A4 burst fractures with severe spinal canal stenosis, and it is beneficial to
early rehabilitation for patients.
PMID- 29806307
TI - [Resveratrol regulate the extracellular matrix expression via Wnt/beta-catenin
pathway in nucleus pulposus cells].
AB - Objective: To investigate the regulatory effect of resveratrol (RES) on the
extracellular matrix (ECM) expression of nucleus pulposus cells (NPC), and its
relative molecular mechanism. Methods: Ten patients receiving discectomy were
collected, of which 5 patients were young with spinal burst fracture, classified
as control group; the rest 5 patients were senile with lumbar disc herniation,
classified as degenerative group. The nucleus pulposus tissue of 2 groups were
collected, the in situexpression of beta-catenin was detected by
immunohistochemistry, and the protein expressions of collagen type II and
Aggrecan were detected by Western blot. The NPC were isolated and cultured from
degenerative nucleus pulposus tissues. RES treated the third-passage NPC with
(group B) or without IL-1beta (group C), to further determine the protein
expressions of collagen type II and Aggrecan by Western blot, the unstimulated
cells were set up as blank control group (group A). Moreover, NPC treated with
small interfering RNA (siRNA) targeted silent SIRT1 or beta-catenin were used to
determine the protein and gene expressions of beta-catenin and SIRT1 by Western
blot and real-time fluorescence quantitative PCR. In addition, the third-passage
NPC treated with complete medium (group 1), IL-1beta (group 2), RES+IL-1beta
(group 3), and SIRT1-siRNA+RES+IL-1beta (group 4) for 24 hours were used to
detect the nuclear translocation of beta-catenin by cell immunofluorescence
staining. Finally, the third-passage NPC treated with complete medium (group I),
IL-1beta (group II), IL-1beta+beta-catenin-siRNA (group III), IL-1beta+RES (group
IV), and IL-1beta+RES+SIRT1-siRNA (group V) for 24 hours were used to detect the
protein expressions of collagen type II and Aggrecan by Western blot. Results:
Immunohistochemical staining and Western blot detection showed that when compared
with control group, the cell proportion of expression of beta-catenin were
significantly increased in degenerative group ( t=4.616, P=0.010); the protein
expression of beta-catenin was also significantly increased and the protein
expressions of collagen type II and Aggrecan were significantly decreased (
P<0.05). In cytology experiments, the protein expression of beta-catenin in group
B was significantly higher than that in groups A and C, and the protein
expressions of collagen type II and Aggrecan in group B were significantly lower
than those in groups A and C ( P<0.05). After transfection of siRNA, the protein
expressions of SIRT1 and beta-catenin significantly decreased ( P<0.05). The
results of cell immunofluorescence staining further confirmed that when compared
with group 3, after the SIRT1 was silenced by siRNA in group 4, the attenuated
nuclear translocation of beta-catenin by RES treatment was aggravated. Western
blot results showed that the protein expressions of collagen type II and Aggrecan
in group II were significantly lower than those in group I( P<0.05); after
transfection of beta-catenin-siRNA in group III, the degradation of ECM by IL
1beta was obviously inhibited, the protein expressions of collagen type II and
Aggrecan were significantly increased when compared with group II ( P<0.05);
after transfection of SIRT1-siRNA in group V, the protective effect of RES on the
degradation of ECM was inhibited, the protein expressions of collagen type II and
Aggrecan were significantly decreased when compared with group IV ( P<0.05).
Conclusion: RES regulates the ECM expression of NPC via Wnt/beta-catenin
signaling pathway, which provide a new idea for intervertebral disc degeneration
disease treatment.
PMID- 29806308
TI - [Effects of combined natural hirudin and hyperbaric oxygen therapy on survival of
transplanted random-pattern skin flap in rats].
AB - Objective: To investigate the effect of natural hirudin combined with hyperbaric
oxygen therapy on the survival of transplanted random-pattern skin flap in rats.
Methods: A random-pattern skin flap in size of 10.0 cm*2.5 cm was elevated on the
dorsum of 72 Sprague Dawley rats. Then the 72 rats were randomly divided into 4
groups ( n=18) according to the therapy method. At immediate and within 4 days
after operation, the rats were treated with normal saline injection in control
group, normal saline injection combined with hyperbaric oxygen treatment in
hyperbaric oxygen group, the natural hirudin injection in natural hirudin group,
and the natural hirudin injection combined with hyperbaric oxygen treatment in
combined group. The flap survival was observed after operation, and survival rate
was evaluated at 6 days after operation. The skin samples were collected for
histological analysis, microvessel density (MVD) measurement, and evaluation of
tumor necrosis factor alpha (TNF-alpha) expression level by the
immunohistochemical staining at 2 and 4 days after operation. Results: Partial
necrosis occurred in each group after operation, and the flap in combined group
had the best survival. The survival rate of flap was significantly higher in
hyperbaric oxygen group, natural hirudin group, and combined group than that in
control group, and in combined group than in hyperbaric oxygen group and natural
hirudin group ( P<0.05). There was no significant difference between hyperbaric
oxygen group and natural hirudin group ( P>0.05). At 2 days, more microvascular
structure was observed in hyperbaric oxygen group, natural hirudin group, and
combined group in comparison with control group; while plenty of inflammatory
cells infiltration in all groups. At 4 days, the hyperbaric oxygen group, natural
hirudin group, and the combined group still showed more angiogenesis. Meanwhile,
there was still infiltration of inflammatory cells in control group, inflammatory
cells in the other groups were significantly reduced when compared with at 2
days. At 2 days, the MVD was significantly higher in hyperbaric oxygen group,
natural hirudin group, and combined group than that in control group ( P<0.05);
the expression of TNF-alpha was significantly lower in hyperbaric oxygen group,
natural hirudin group, and combined group than that in control group ( P<0.05).
There was no significant difference in above indexes between hyperbaric oxygen
group, natural hirudin group, and combined group ( P>0.05). At 4 days, the MVD
was significantly higher in hyperbaric oxygen group, natural hirudin group, and
combined group than that in control group, in natural hirudin group and combined
group than in hyperbaric oxygen group ( P<0.05). The expression of TNF-alpha was
significantly lower in hyperbaric oxygen group, natural hirudin group, and
combined group than that in control group, in combined group than in natural
hirudin group and hyperbaric oxygen group ( P<0.05). Conclusion: Hyperbaric
oxygen and natural hirudin therapy after random-pattern skin flap transplantation
can improve the survival of flaps. Moreover, combined therapy is seen to exhibit
significant synergistic effect. This effect maybe related to promotion of
angiogenesis and the reduction of inflammation response.
PMID- 29806309
TI - [Application of indocyanine green-based fluorescent angiography in autologous
tissue breast reconstruction].
AB - Objective: To investigate the effect of indocyanine green (ICG)-based fluorescent
angiography in autologous tissue breast reconstruction. Methods: Between June
2016 and June 2017, 14 female patients were treated with the autologous tissue
breast reconstruction. The age ranged from 27 to 64 years with the median age of
46.5 years. There were 11 patients of one-stage reconstruction, including 9 with
invasive ductal carcinoma of breast, 1 with recurrence tumor on chest wall, and 1
with angiosatcoma in the breast. The disease duration ranged from 9 days to 48
months (mean, 6.8 months). There were 3 patients of second-stage breast
reconstruction, who underwent mastectomy 3-4 years ago. During operation, the
first ICG-based fluorescent angiography was done before cutting off the flap
pedicle. According to the results, 13 patients were adjusted the strategy of
operation or cut part of flap, only 1 patient kept the original design. Then, the
infusion of flaps were confirmed by the second ICG-based fluorescent angiography
after transplanted to the recipient site. The area of flap ranged from 24 cm*11
cm to 36 cm*15 cm. All incisions of recipient site were closed by primary suture.
Results: The fat liquefaction of incision occurred in 1 patient with type 2
diabetes, and the incision healed after debridement operation. The other flaps
survived with no flap perfusion related complication. Both donor sites and
recipient sites healed by first intention. All patients were followed up 1-14
months (mean, 4 months) with satisfied result of reconstruction. There was no
tumor recurrence. Conclusion: ICG-based fluorescent angiography can reveal the
perfusion of the flap in operation instantly and accurately. It should be very
helpful to adjusting the strategy in reconstructive operation, especially in the
large tissue demanded kinds such as breast reconstruction.
PMID- 29806310
TI - [Research progress on hyperextension tibial plateau fractures].
AB - Objective: To summarize the progress in the treatment of hyperextension tibial
plateau fractures. Methods: Related literature concerning hyperextension tibial
plateau fractures was reviewed and analyzed in terms of injury mechanisms,
clinical patterns, and treatment outcomes. Results: Hyperextension tibial plateau
fractures is a specific type of hyperextension knee injuries, which is happened
with the knee in over-extended position (<0 degrees ) and characterized by
fracture and concomitant ligament injury. It can be classified into 4 patterns:
marginal avulsion fractures, unicondylar anteromedial fractures, anterolateral
fractures, and bicondylar fractures. The failure of structures occurs according
to the diagonal injury mechanism characterized by anterior compression fractures
and posterior tension ruptures. It is noted as a rule that a smaller anterior
fragment is more likely to accompany by a posterior ligament rupture. Unicondylar
anteromedial fracture pattern is caused by hyperextension varus mechanism and
usually accompanied by posterolateral corner rupture. Bicondylar hyperextension
injury is characterized by posterior metaphyseal cortical tension rupture,
anterior articular depression, and reversed posterior slope. Conclusion:
Currently there is no consensus on the treatment of hyperextension tibial plateau
fractures. Further basic and clinical studies are needed.
PMID- 29806311
TI - [Research progress of artificial wrist joint prosthesis].
AB - Objective: To summarize the research progress of the artificial wrist joint
prosthesis. Methods: Domestic and abroad literature concerning artificial wrist
joint prosthesis was reviewed and analyzed thoroughly. Results: Artificial wrist
joint prosthesis has been developed to the 4th generation. The artificial wrist
joint arthroplasty has advantages of pain relief and functional improvement and
can achieve ideal short-term effectiveness. But there are some problems, such as
loosening, subsidence, fracture, and dislocation of prosthesis. The long-term
effectiveness of the 3rd and 4th generation prosthesis still need to be followed
up. Conclusion: The biomechanics of wrist joint is extremely complicated, which
results in less application and slow development of artificial wrist joint
prosthesis. Early-term effectiveness of artificial wrist joint arthroplasty is
basically satisfactory, but there are still some long-term complications. So the
artificial wrist joint prosthesis remains to be developed.
PMID- 29806312
TI - [Research progress of posteromedial rotatory instability of the elbow].
AB - Objective: To summarize the research progress in posteromedial rotatory
instability (PMRI) of the elbow joint. Methods: The recent researches about the
management of PMRI of the elbow joint from the aspects of pathological anatomy,
biomechanics, diagnosis, and therapy were analyzed and summarized. Results: The
most important factors related to PMRI of the elbow joint are lateral collateral
ligament complex (LCLC) lesion, posterior bundle of the medial collateral
ligament complex (MCLC) lesion, and anteromedial coronoid fracture. Clinical
physical examination include varus and valgus stress test of the elbow joint. X
ray examination, computed tomography, particularly three-dimensional
reconstruction, are particularly useful to diagnose the fracture. Also MRI,
arthroscopy, and dynamic ultrasound can assistantly evaluate the affiliated
injury of the parenchyma. It is important to repair and reconstruct LCLC and MCLC
and fix coronoid process fracture for recovering stability of the elbow joint.
There are such ways to repair ligament injury as in situ repairation and
functional reconstruction, which include direct suturation, borehole repairation,
wire anchor repairation, and transplantation repairation etc. The methods for
fixation of coronal fracture include screw fixation, plate fixation, unabsorbable
suture fixation, and arthroscopy technology. Conclusion: It is crucial that
recovering the stability of the elbow joint and early functional exercise for the
treatment of PMRI. Individual treatment is favorable to protect soft tissue,
reduce surgical complications, and improve the functional recovery and the
quality of life.
PMID- 29806313
TI - [Three-axis displacement classification of pelvic fracture and its reduction
principles].
AB - Objective: Based on images of pelvic CT three-dimensional reconstruction, to
establish three-dimensional coordinate system of pelvis and investigate the three
axis displacement classification of pelvic fracture and its reduction principles.
Methods: Between June 2015 and May 2016, 21 cases of normal pelvic CT data were
included in the study, and the mean pelvic three-dimensional model was
established. The pelvic three-dimensional axis was established by defining the
origin as the midpoint of the anterior superior iliac spine. Based on this
coordinate system, a three-axis displacement classification of pelvic fracture
were built. To assess the clinical guidance value of the three-axis
classification, 55 cases (29 males and 26 females, aged 11-66 years with an
average of 35.6 years) of pelvic fractures were analyzed by this classification,
and replaced and fixed according to the principles of the reverse reduction.
Results: According to the theory of three-axis, pelvic fractures were divided
into x-axis positive displacement/negative displacement, positive
rotation/negative rotation; y-axis positive displacement/negative displacement,
positive rotation/negative rotation; z-axis positive displacement/negative
displacement, positive rotation/negative rotation. The average incision of
included patients with pelvic fractures was 7.1 cm. The average reduction time
was 12.2 minutes and the average radiation time was 55.3 s. The average time of
screw implantation was 27.2 minutes. Postoperative pelvic X-ray films or three
dimensional CT showed all pelvic fracture was reducted well and the screw or
plate was implanted correctly. The average intraoperative blood loss was 96.5 mL,
the average operation time was 2.1 hours, and the average hospitalization time
was 18.7 days. All patients were followed up 6-53 months (mean, 16.7 months). At
last follow-up, according to Matta standard by pelvic radiography evaluation,
there were excellent in 39 cases, good in 13 cases, and fair in 3 cases, the
excellent and good rate was 94.55%. Conclusion: Based on three-dimensional
coordinate system, three-axis displacement classification of pelvic fracture can
illustrate the displacement mode of patient simply and accurately, and can also
guide the intraoperative reduction precisely.
PMID- 29806314
TI - [Application of Orthofix unilateral external fixator in the treatment of
musculoskeletal tumors].
AB - Objective: To investigate the surgical characteristics and preliminary
effectiveness of Orthofix unilateral external fixator in the treatment of
musculoskeletal tumors. Methods: Twenty-two patients received Orthofix unilateral
external fixator treatment for bone defect after tumor excision or complications
after limb salvage surgery between June 2011 and March 2016. There were 11 males
and 11 females with a median age of 23.5 years (range, 4-57 years). The bone
defect or limb length discrepancy after tumor resection was at proximal femur in
6 cases, distal femur in 8 cases, diaphysis of femur in 3 cases, proximal tibia
in 2 cases, and diaphysis of tibia in 3 cases. The external fixation was used for
temporary fixation after reconstruction of bone defect in 10 cases [the length of
bone defect was 6-19 cm (mean, 12.3 cm); using vascularized fibular graft in 2
cases, allograft bone and free fibular graft in 2 cases, allograft bone and
autogenous bone graft in 5 cases, allograft bone reconstruction in 1 case]; bone
distraction lengthening for limb length discrepancy in 5 cases [the length of
shortening was 6.5-8.5 cm (mean, 7.5 cm)]; temporary fixation after open biopsy
in 3 cases; bone transportation over locking plate in 1 case (the length of bone
defect was 7.5 cm); fixation for preoperatively pathology fracture in 1 case; and
joint distraction for dislocation after tumor ablation in 2 cases. Results: All
the patients were followed up 12-72 months (mean, 36 months). In 10 patients with
bone defect reconstruction, the wearing external fixator time was 3-8 months
(mean, 4.8 months); all got bone union with the healing time of 3-16 months
(mean, 6.4 months); the Musculoskeletal Tumor Society 93 (MSTS 93) score was 73.3
93.3 (mean, 87.2); and no complication occurred during wearing external fixator.
In 5 patients with bone distraction lengthening for limb length discrepancy, the
wearing external fixator time was 7-15 months; 2 patients had axial deviation
during distraction and2 had greenstick fracture after apparatus removal; pin site
infection was observed in 2 cases with grade 1 and 1 case with grade 2 according
to Checketts-Otterburn classification system; the MSTS 93 score was 80.0-96.7
(mean, 89.2). The remaining patients had no complications, the knee and ankle
joint movement was normal. Conclusion: Orthofix unilateral external fixator can
be used in fixation for complex bone defect after tumor resection and to correct
limb length discrepancy after limb salvage surgery.
PMID- 29806315
TI - [Clinical research of arthroscopic separate double-layer suture bridge technique
for delaminated rotator cuff tear].
AB - Objective: To explore the effectiveness of the arthroscopic separate double-layer
suture bridge technique in treatment of the delaminated rotator cuff tear.
Methods: Between May 2013 and May 2015, 54 patients with the delaminated rotator
cuff tears were recruited in the study. They were randomly allocated into 2
groups to receive repair either using arthroscopic separate double-layer suture
bridge technique (trial group, n=28) or using arthroscopic whole-layer suture
bridge technique (control group, n=26). There was no significant difference in
gender, age, injured side, tear type, and preoperative visual analogue scale
(VAS) score, Constants score, American Shoulder and Elbow Surgeons (ASES) score,
University of California Los Angeles (UCLA) score, and the range of motion of
shoulder joint between 2 groups ( P>0.05). Postoperative functional scores, range
of motion, and recurrence rate of tear in 2 groups were observed and compared.
Results: The operation time was significant longer in trial group than in control
group ( t=8.383, P=0.000). All incisions healed at stage I without postoperative
complication. All the patients were followed up 12 months. At 12 months
postoperatively, the UCLA score, ASES score, VAS score, Constant score, and the
range of motion were significantly improved when compared with the preoperative
values in 2 groups ( P<0.05). However there was no significant difference in
above indexes between 2 groups ( P>0.05). Four cases (14.3%) of rotator cuff tear
recurred in trial group while 5 cases (19.2%) in control group, showing no
significant difference ( chi2=0.237, P=0.626). Conclusion: Compared with the
arthroscopic whole-layer suture bridge technique, arthroscopic separate double
layer suture bridge technique presents no significant difference in the shoulder
function score, the range of motion, and recurrence of rotator cuff tear, while
having a longer operation time.
PMID- 29806316
TI - [Dual-planar osteotomy and lateral column anatomic locking plate fixation in the
treatment of adult cubitus varus deformity].
AB - Objective: To explore the effectiveness of distal humeral dual-planar osteotomy
followed with distal humeral lateral column anotomical locking plate fixation in
the treatment of adult cubitus varus deformity combined with flexion restriction.
Methods: The clinical data of 6 adult patients with cubitus varus deformity and
flexion restriction treated with dual-planar osteotomy and internal fixation
between April 2012 and September 2014 were reviewed. There were 3 males and 3
females with an average age of 22.2 years (range, 18-35 years). All the patients
had history of elbow injury in childhood, the age being injured was 6.5 years on
average (range, 2-13 years). There was no nerve injury, elbow strength weak, or
unstable complications before admission. The preoperative elbow flexion was
(107.50+/-5.24) degrees , elbow extension was (-12.17+/-3.48) degrees ; the
carring angle was (-19.50+/-4.46) degrees , the contralateral elbow carrying
angle was (11.50+/-2.67) degrees ; the length of humerus was (0.42+/-0.38) cm
shorter than the unaffected humerus. The postoperative carrying angles, elbow
flexion and extension, and humerus length were recorded. The modified
Laupattarakasem criteria in which the comparison of bilateral elbow range of
motion excluded was used to evaluate the results. Results: Primary healing of
incision was got in all patients and there was no early postoperative
complications. All the patients were followed up 19-27 months (mean, 20.8
months). During the follow-up, there was no complication such as loosening and
breakage of the internal fixators and all fractures were healed within 3 months
after operation. At last follow-up, the elbow flexion was (124.17+/-5.85) degrees
, the elbow extension was (0.83+/-2.04) degrees , the carrying angle was (12.00+/
4.19) degrees , and the humerus shortening was (1.88+/-0.45) cm, all showing
significant difference when compared with preoperative ones ( t=-6.742, P=0.001;
t=-11.068, P=0.000; t=-20.400, P=0.000; t=-13.914, P=0.000). According to the
modified Laupattarakasem criteria, 1 case was excellent, 4 were good, and 1 was
fair with an excellent and good rate of 83.3%. Conclusion: Normal carrying angle
can be restored and elbow flexion can be increased by coronal closing wedge and
sagittal trapezoid dual-planar osteotomy, single lateral anatomical locking plate
fixation is available for early mobilization.
PMID- 29806317
TI - [Effectiveness comparison between ultrasound-guided and C-arm-guided in closed
reduction and pinning for treatment of metacarpophalangeal fractures].
AB - Objective: To compare the effectiveness between ultrasound-guided and C-arm
guided in closed reduction and pinning for the treatment of metacarpophalangeal
fractures. Methods: The clinical data of 30 patients with metacarpophalangeal
fractures between October 2015 and November 2016 were retrospectively analyzed.
According to different treatments, the patients were divided into ultrasound
group (using ultrasound-guided closed reduction and pinning, n=15) and C-arm
group (using C-arm-guided closed reduction and pinning, n=15). There was no
significant difference in gender, age, disease duration, causes of injury,
injured finger, location of injury finger, fracture classification between 2
groups ( P>0.05). The status and success rate of reduction were compared
(excellent, good, and acceptable grades could be regarded as the successful
reduction). The operation time, intraoperative fluoroscopy times, and fracture
healing time were recorded. And the postoperative functional recovery was
evaluated according to the total active movement (TAM) by the standard functional
evaluation issued by Hand Surgery Association of Chinese Medical Association.
Results: The operation time of ultrasound group was longer than C-arm group, and
the intraoperative fluoroscopy times was less than C-arm group, all showing
significant differences ( P<0.05). There was no signifi cant difference in the
grade and the success rate of reduction between 2 groups ( P>0.05). All the
patients were followed up 6-18 months (mean, 10 months), without malunion, joint
stiffness, tendon adhesions, and other complications. There was also no
significant difference in the fracture healing time, the grade of TAM, and the
excellent and good rate of TAM between 2 groups ( P>0.05). Conclusion: The
treatment of ultrasound-guided closed reduction and pinning for
metacarpophalangeal fractures is effective, which is a feasible auxiliary method
of closed reduction and fixation for fracture. And less fluoroscopy can reduce
the radiation damage of operation.
PMID- 29806318
TI - [Effectiveness comparison of titanium elastic nail and locking compression plate
fixation in treating femoral subtrochanteric fractures in older children].
AB - Objective: To compare the effectiveness of titanium elastic nail (TEN) and
locking compression plate fixation in treating femoral subtrochanteric fracture
in older children. Methods: Between April 2015 and September 2016, 35 older
children (aged 7-13 years) with femoral subtrochanteric fractures were treated,
the clinical data were retrospectively reviewed. TEN fixation was used in 19
cases (group A) and locking compression plate fixation in 16 cases (group B).
There was no significant difference in age, gender, sides, fracture causes, type
of fracture, and time from injury to operation between 2 groups ( P>0.05). The
fluoroscopy times, operation time, intraoperative blood loss, fracture healing
time were recorded and compared between 2 groups. The limb function was evaluated
according to the Sanders scores and Flynn et al. outcome score. Results: All the
patients were followed up 6-24 months (mean, 11.46 months). The operation time,
intraoperative blood loss, and fracture healing time of group A were
significantly less than those of group B, but the fluoroscopy times of group A
was significantly more than that of group B ( P<0.05). All the fractures were
healed, no breakage of screw, infection of deep tissue, nerve injury,
osteonecrosis of the femoral head, or other complication occurred. At last follow
up, according to the Sanders scores, the results were excellent in 14 cases, good
in 4 cases, and fair in 1 case in group A with an excellent and good rate of
94.74%; the results were excellent in 12 cases, good in 3 cases, and fair in 1
case in group B with an excellent and good rate of 93.75%; showing no significant
difference between 2 groups ( chi2=0.400, P=0.980). According to the Flynn et al.
outcome score, the results were excellent in 13 cases, good in 5 cases, and fair
in 1 case in group A with an excellent and good rate of 94.74%; the results were
excellent in 11 cases, good in 3 cases, and fair in 2 cases in group B with an
excellent and good rate of 87.50%; showing no significant difference between 2
groups ( chi2=0.748, P=0.688). Conclusion: Both TEN and locking compression plate
have satisfactory outcomes for treating pediatric femoral subtrochanteric
fractures. TEN method has minimally trauma, security, and faster fracture healing
when compared with locking compression plate.
PMID- 29806319
TI - [Short-term effectiveness of absorbable anchor in repairing of partial anterior
cruciate ligament rupture].
AB - Objective: To study the short-term effectiveness of absorbable anchor in
repairing of partial anterior cruciate ligament (ACL) rupture. Methods: Between
December 2013 and February 2015, 22 patients with partial ACL rupture were
repaired with absorbable anchor under arthroscopy. There were 12 males and 10
females with an average age of 27.5 years (range, 20-44 years). The injury
located at left knee in 8 cases and at right knee in 14 cases. The time from
injury to admission ranged from 2 to 13 days (mean, 9.8 days). Sixteen partial
ACL ruptures combined with meniscus injury. The effectiveness was assessed by
Tegner score, Lysholm score, International Knee Documentation Committee (IKDC)
score, visual analogue scale (VAS) score, and MRI, as well as knee laxity was
evaluated by KT-1000. Results: All incisions healed at stage I without any
complication. All patients were followed up 12 months. ACL rerupture did not
occurred during follow-up. There was no significant difference in Tegner score,
Lysholm score, and IKDC score between before injury and at 12 months after
operation ( P>0.05). And the median satisfaction VAS score was 9.5 (range, 9-10).
The tibial anterior translation difference was 0.5 mm (range, 0-6 mm). MRI showed
that the scarring and continuity of the ligament were rated as grade 1 in all
patients. Conclusion: Arthroscopic repairing by absorbable anchor is a feasible
method for partial ACL rupture, with ideal knee function, good satisfaction, and
satisfactory short-term effectiveness.
PMID- 29806320
TI - [Application of three-dimensional printing in the operation of distal tibia
fracture involving epiphyseal plate injury for teenagers].
AB - Objective: To investigate the application value of three-dimensional (3-D)
printing technology in the operation of distal tibia fracture involving
epiphyseal plate injury for teenagers. Methods: The retrospective analysis was
conducted on the clinical data of 16 cases of children patients with distal tibia
fracture involving epiphyseal plate injury undergoing the operation by using of 3
D printing technology between January 2014 and December 2015. There were 12 males
and 4 females with an age of 9-14 years (mean, 12.8 years). The causes of injury
included traffic accident injury in 9 cases, heavy pound injury in 3 cases, and
sport injury in 4 cases. The time from injury to operation was 3-92 hours (mean,
25.8 hours). According to Salter-Harris typing standard, the typing for
epiphyseal injury was classified as type II in 11 cases, type III in 4 cases, and
type IV in 1 case. The thin slice CT scan on the affected limb was performed
before operation, and the Mimics14.0 medical software was applied for the design
and the 1?1 fracture model was printed by the 3-D printer; the stimulation of
operative reduction was made in the fracture model, and bone plate, Kirschner
wire, and hollow screw with the appropriate size were chosen, then the complete
operative approach and method were designed and the internal fixator regimen was
chosen, then the practical operation was performed based on the preoperative
design regimen. Results: The operation time was 40-68 minutes (mean, 59.1
minutes); the intraoperative blood loss was 5-102 mL (mean, 35 mL); the
intraoperative fluoroscopy times was 2-6 times (mean, 2.8 times). All the patiens
were followed up 12-24 months (mean, 15 months). The fracture of 15 cases reached
anatomic reduction, and 1 cases had no anatomic reduction with the displaced end
less than 1 mm. All the fractures reached bony union with the healing time of 2-4
months (mean, 2.6 months). There was no deep vein thrombosis, premature
epiphyseal closure and oblique, or uneven ankle surface occurred, and there was
no complication such as osteomyelitis, varus or valgus of ankle joint, joint
stiffness, traumatic arthritis. Helfet scores of ankle function were measured at
12 months after operation, the results were excellent in 15 cases and good in 1
case. The angulation of introversion and extroversion for the affected limb was
(6.56+/-2.48) degrees , and the growth length was (4.44+/-2.31) mm, and there was
no significant difference ( t=0.086, P=0.932; t=0.392, P=0.697) when compared
with the uninjured side [(6.50+/-1.51) degrees , (4.69+/-1.08) mm]. Conclusion:
As the assistive technology, 3-D printing technology has a certain clinical
application value in improving the effectiveness of distal tibia fracture
involving epiphyseal plate injury.
PMID- 29806321
TI - [Repair of segmental bone defects in rabbits' radius with domestic porous
tantalum encapsulated with pedicled fascial flap].
AB - Objective: To investigate the effect of domestic porous tantalum encapsulated
with pedicled fascial flap on repairing of segmental bone defect in rabbits'
radius. Methods: A total of 60 New Zealand white rabbits (aged 6- 8 months and
weighing 2.5-3.0 kg) were randomly divided into the experimental group and
control group (30 rabbits each group). A 1.5 cm segmental bone defect in right
radius was established as the animal model. The porous tantalums encapsulated
with pedicled fascial flaps (30 mm*20 mm) were implanted in the created bone
defect in the experimental group, and the porous tantalums were only implanted in
the control group. X-ray films were observed at the day after operation and at 4,
8, and 16 weeks after operation. Specimens were taken out at 4, 8, and 16 weeks
after operation for HE staining and toluidine blue staining observation. The
maximum load force and bending strength were detected by three point bending
biomechanical test, and the Micro-CT analysis and quantitative analysis of the
new bone volume fraction (BV/TV) were performed at 16 weeks after operation to
compare the bone defect repair ability in vivo in 2 groups. Results: All
incisions healed by first intention without wound infection. At 4, 8, and 16
weeks after operation, the X-ray films showed that the implants were well
maintained without apparent displacement. As followed with time, the combination
between the implants and host bone became more and more closely, and the fracture
line gradually disappeared. HE staining and toluidine blue staining showed that
new bone mass and maturity gradually increased at the interface and inside
materials in 2 groups, and the new bone gradually growed from the interface to
internal pore. At 16 weeks after operation, the three point bending biomechanical
test showed that the maximum load force and bending strength in the experimental
were (96.54+/-7.21) N and (91.26+/-1.76) MPa respectively, showing significant
differences when compared with the control group [(82.65+/-5.65) N and (78.53+/
1.16) MPa respectively] ( t=3.715, P=0.004; t=14.801, P=0.000). And Micro-CT
analysis exhibited that there were a large amount of new bone at the interface
and the surface of implant materials and inside the materials. The new bone BV/TV
in the experimental group (32.63%+/-3.56%) was significantly higher than that in
control group (25.07%+/-4.34%) ( t=3.299, P=0.008). Conclusion: Domestic porous
tantalum encapsulated with pedicled fascial flap can increase local blood supply,
strengthen material bone conduction ability, and promote the segmental bone
defect repair.
PMID- 29806322
TI - [Biomechanical study of different suture methods in repairing tendon rupture].
AB - Objective: To evaluate the biomechanical property of tendons repaired with the
modified Kessler suture combined with " 8" suture, and to provide evidence for
the clinical application of this suture methods in repairing acute Achilles
tendon rupture. Methods: Forty frozen flexor digitorum longus tendons from fresh
pork hind leg were randomly assigned into 4 groups, 10 specimens each group. In
group A, the tendons were dissected transversely at the midpoint to forming the
model of tendon with transversely cutting injury. The tendons in groups B, C, and
D were dissected transversely at the midpoint, then a 2 cm segment of tendon from
the incision in each side was dissected longitudinally with 1 mm internal to
forming " frayed tendon" model. All the tendons were sutured with2-0 non
absorbable suture material with different suturing methods: in group A, the
tendons with transversely cutting injury model with Krackow suture, and in the
groups B, C, and D with Krackow suture, Kessler suture, and the modified Kessler
suture combined with " 8" suture separately. All repaired tendons were fixed onto
the biomechanical testing machine. The length, width, and thickness of each side
and midpoint of the tendons were recorded, and the cross-sectional area was
calculated. The tendons were stretched at a speed of 15 mm/minutes until failure
(suture avulsion or rupture). The computer automatically recorded the maximum
load, stress, strain, the failure displacement, and the stiffness. These
biomechanical parameters of tendons in different groups were analyzed and
compared. Results: There was no significant difference in the length and cross
sectional area of each tendon among 4 groups ( F=0.245, P=0.863; F=0.094,
P=0.963). Two tendons in group B, 1 in group C, and 1 in group D were excluded
because of tendon slipping; all tendons in group A and 8 tendons in group B
failured due to suture rupture, 9 tendons in group C due to suture slipping, and
9 tendons in group D due to 3 sutures slipping from tendon tissue together. The
maximum load, the maximum stress, the maximum strain, the failure displacement,
and the stiffness of the tendons between groups A and B showed no significant
difference ( P>0.05). The maximum load, the maximum stress, and the stiffness of
the tendons in group D were larger than those in both groups B and C ( P<0.05),
but no significant difference was found in the maximum strain and the failure
displacement between groups B, C, and D ( P>0.05). The maximum load, the maximum
stress, the failure displacement, and the stiffness of the tendons in group B
were larger than those in group C ( P<0.05), but the difference of maximum strain
between groups B and C was not significant ( P>0.05). Conclusion: The modified
Kessler suture combined with " 8" suture can provide better biomechanical
property of the repaired tendon compared with other suture approaches.
PMID- 29806323
TI - [Effect of collagen peptides from walleye pollock skin on bone microstructure of
ovariectomized rats].
AB - Objective: To investigate the effect of collagen peptides from walleye pollock
skin on the microstructure of osteoporosis model in ovariectomized rats, and to
explore the feasibility of preventing and treating oste- oporosis. Methods: Sixty
adult Wistar female rats, weighing (250+/-10) g, were randomly divided into 5
groups (12 rats each group): normal group (group A), osteoporosis model group
(group B), osteoporosis model+collagen peptides from walleye pollock skin
prevention group (group C), osteoporosis model+low concentration of collagen
peptides from walleye pollock skin treatment group (group D), and osteoporosis
model+high concentration of collagen peptides from walleye pollock skin treatment
group (group E). The rats in groups B, C, D, and E were removed bilateral ovarian
to establish osteoporosis model. The rats in group C were treated with stomach
perfusion of the collagen peptides from walleye pollock skin (1.0 g/kg) from 4
weeks after operation for 6 weeks; and the rats in groups D and E were treated
with stomach perfusion of the collagen peptides from walleye pollock skin (0.5,
1.0 g/kg respectively) at 6 weeks after operation for 6 weeks. The rats in groups
A and B were given equal volume of normal saline at the same time after
operation. At 24 hours after the last administration, the femoral gray value of
rats in groups A and B were measured by X-ray film; HE staining was performed on
the proximal tibial bone of the left side in 4 groups; the histopathological
changes of the bone were observed and the trabecular number (TN), mean trabecular
plate thickness (MTPT), mean trabecular plate spacing (MTPS), trabecular bone
volume (TBV), mean bone cortical thickness (MBCT) were measured;
immunohistochemical staining was performed to observe the expression levels of
caltitonin receptor (CTR) and interleukin 1 (IL-1). Results: The femoral gray
value of group B was significantly lower than that of group A ( t=45.130,
P=0.000), which indicated that the ovariectomized rat model was successfully
prepared. Histological observation showed that TN, MTPS, TBV, and MBCT in groups
A, C, and E were significantly different from those in group B ( P<0.05). The
histological parameters of bone tissue in group C were significantly different
from those in groups D and E ( P<0.05). TN, MTPS, TBV, and MBCT in group D were
significantly different from those in group A ( P<0.05); only MTPS in group E was
significantly different from that in group A ( P<0.05). MTPS, TBV, and MBCT in
group E were significantly different from those in group D ( P<0.05). The
immunohistochemical staining showed that the levels of CTR and IL-1 in groups A,
C, D, and E were lower than those in group B, in groups C and E were lower than
in group D, showing significant differences ( P<0.05). Conclusion: Collagen
peptides from walleye pollock skin can improve the bone microstructure of
osteoporotic rats, and its mechanism may be related to the inhibition of CTR and
IL-1 expression in bone tissue, but it has not been found to prevent
osteoporosis.
PMID- 29806324
TI - [Clinical application of ultrasound guided Fogarty balloon catheter in arterial
crisis].
AB - Objective: To explore the effectiveness of arterial crisis after replantation of
limb treated by ultrasound guided Fogarty balloon catheter. Methods: Between
January 2012 and July 2016, 27 patients suffered from arterial crisis after
replantation of limb were treated with ultrasound guided Fogarty balloon catheter
combined with thrombolytic anticoagulant. There were 18 males and 9 females with
the age of 19-51 years (mean, 32 years). The limb mutilation position was at knee
joint in 3 cases, lower limb in 9 cases, ankle joint in 6 cases, elbow joint in 2
cases, forearm in 4 cases, and wrist joint in 3 cases. The arterial crisis
happened at 2.5-18 hours (mean, 7.5 hours) after limb replantation surgery. Color
doppler ultrasonography was used to diagnose the arterial thrombosis, finally the
anastomotic thrombosis were found in 16 cases, non-anastomotic thrombosis in 7
cases, and combined thrombosis in 4 cases. All the thrombosis were deteced in the
arteries with the length of 0.8-3.9 cm. Results: No complication such as vascular
perforation, rupture, air embolism, thromboembolism, wound infection, or sepsis
happened after operation. Arterial crisis occurred again in 3 cases at 1.5-13.5
hours after limb replantation and treated by arterial exploration, 1 case was
treated successfully; 2 cases had arterial occlusion and partial necrosis of
limb, and got amputation treatment at last. The rest 24 cases survived with the
incision healing by first stage. In the 24 cases, 1 case suffered from acute
myonephropathic metabolic syndrome and corrected after hemodialysis; 1 case
suffered from acute liver functional damage and corrected by comprehensive
treatment of internal medicine. The 24 patients were followed up 7-38 months
(mean, 11 months). At last follow-up, blood supply of the limb was good with
normal skin temperature and improved sense of feeling, activity, and swelling.
According to Chinese Medical Association of hand surgery to the upper extremity
function assessment standard, the results were excellent in 12 cases, good in 8
cases, and fair in 4 cases with an excellent and good rate of 83.3%. Conclusion:
Ultrasound guided Fogarty balloon catheter treatment of posterior replantation of
arterial crisis can accurately locate the thrombosis, get the thrombus fast and
invasive minimally to avoid the blind and repeated thrombectomy, and obtain
certain effectiveness.
PMID- 29806325
TI - [The autologous bundled multi-segment rib graft reconstruction for bone defects
after thoracic spinal tuberculosis debridement].
AB - Objective: To investigate the effectiveness of bundled multi-segment autologous
rib graft reconstruction for bone defects after thoracic spinal tuberculosis
debridement. Methods: The anterior debridement, multi-segment autologous rib
interbody fusion, anterior or posterior internal fixation were used for treating
the bone defect after thoracic spinal tuberculosis debridement in 36 cases
between January 2006 and December 2013. There were 20 males and 16 females with
an average age of 50.5 years (range, 21-60 years), and an average disease
duration of 6.8 months (range, 5-11 months). The thoracic vertebral tuberculosis
located at T 4, 5 in 1 case, T 5, 6 in 4 cases, T 6, 7 in 4 cases, T 7, 8 in 4
cases, T 8, 9 in 9 cases, T 9, 10 in 8 cases, T 10, 11 in 5 cases, and T 11, 12
in 1 case. Neurological impairment of 34 patients was assessed as grade B in 2
cases, grade C in 8 cases, and grade D in 24 cases according to Frankel
classification. The pre- and post-operative erythrocyte sedimentation rate (ESR),
C reactive protein (CRP), visual analogue scale (VAS) score, and kyphosis Cobb
angle were evaluated. The fusion rate was analysed based on CT three-dimensional
reconstruction. Results: The cross-sectional area of the bundled multi-segment
rib graft was 136.8-231.2 mm 2 (mean, 197.1 mm 2); the endplate surface area of
adjacent upper and lower vertebral bodies was 425.0-677.6 mm 2 (mean, 550.6 mm
2); and the cross-sectional area of rib graft accounted for 29%-50% (mean, 33.6%)
of the endplate surface area. The operation time was 95-160 minutes (mean, 125
minutes) and the intraopeartive blood loss was 280-850 mL (mean, 450 mL). All the
patients were followed up 2-8 years (mean, 4.4 years). The postoperative
complications included intercostals neuralgia in 2 cases, pleural effusion in 1
case, and liver function damage caused by antituberculosis drugs in 2 cases, who
were all cured after symptomatic treatment. The rest patients had no respiratory
complications and wound infection; and there was no fracture, displacement,
absorption of rib support, tuberculosis recurrence, internal fixation loosening,
and kyphosis occurred in all patients. CT three-dimensional reconstruction showed
that the fusion rate was 86.1 (31/36) at 6 months after operation and was 97.2%
(35/36) at 12 months after operation. The ESR, CRP, VAS scores, and kyphosis Cobb
angle at 3 months after operation and last follow-up were significantly improved
when compared with preoperative values ( P<0.05), but there was no significant
difference between at 3 months after operation and last follow-up ( P>0.05).
Neurological deficits were all improved at last follow-up according to Frankel
classificaiton, including 2 cases with grade B recovered to grade D, 8 cases with
grade C to grade D in 1 case and to grade E in 7 cases, 24 cases with grade D all
to grade E. Conclusion: Bundled multi-segment autologous rib graft reconstruction
is an alternative method for less than 2 discs and vertebral bone defect created
by radical debridement for thoracic spinal tuberculosis.
PMID- 29806326
TI - [Biomechanical study of a newly-designed Y type pedicle screw in osteoporotic
synthetic bone].
AB - Objective: To evaluate the biomechanical stability of a newly-designed Y type
pedicle screw (YPS) in osteoporotic synthetic bone. Methods: The osteoporotic
synthetic bone were randomly divided into 3 groups ( n=20). A pilot hole, 3.0 mm
in diameter and 30.0 mm in deep, was prepared in these bones with the same
method. The YPS, expansive pedicle screw (EPS), and bone cement-injectable
cannulated pedicle screw (CICPS) were inserted into these synthetic bone through
the pilot hole prepared. X-ray film examination was performed after 12 hours; the
biomechanical stability of YPS, EPS, and CICPS groups was tested by the universal
testing machine (E10000). The test items included the maximum axial pullout
force, the maximum running torque, and the maximum periodical anti-bending.
Results: X-ray examination showed that in YPS group, the main screw and the core
pin were wrapped around the polyurethane material, the core pin was formed from
the lower 1/3 of the main screw and formed an angle of 15 degrees with the main
screw, and the lowest point of the inserted middle core pin was positioned at the
same level with the main screw; in EPS group, the tip of EPS expanded markedly
and formed a claw-like structure; in CICPS group, the bone cement was mainly
distributed in the front of the screw and was dispersed in the trabecular bone to
form a stable screw-bone cement-trabecular complex. The maximum axial pullout
force of YPS, EPS, and CICPS groups was (98.43+/-8.26), (77.41+/-11.41), and
(186.43+/-23.23) N, respectively; the maximum running torque was (1.42+/-0.33),
(0.96+/-0.37), and (2.27+/-0.39) N/m, respectively; and the maximum periodical
anti-bending was (67.49+/-3.02), (66.03+/-2.88), and (143.48+/-4.73) N,
respectively. The above indexes in CICPS group were significantly higher than
those in YPS group and EPS group ( P<0.05); the maximum axial pullout force and
the maximum running torque in YPS group were significantly higher than those in
EPS group ( P<0.05), but there was no significant difference in the maximum
periodical anti-bending between YPS group and EPS group ( P>0.05). Conclusion:
Compared with EPS, YPS can effectively enhance the maximum axial pullout force
and maximum rotation force in the module, which provides a new idea for the
design of screws and the choice of different fixation methods under the condition
of osteoporosis.
PMID- 29806327
TI - [Effectiveness of wrist tube inside and outside releasing for treating median
nerve double entrapment at wrist].
AB - Objective: To investigate the effectiveness of wrist tube inside and outside
releasing for treating median nerve double entrapment at wrist. Methods: Thirty
one patients of median nerve double entrapment at wrist were treated between
April 2011 and May 2014. There were 8 males and 23 females with an age of 33-69
years (mean, 56.4 years). In palm lateral three and a half finger, the two-point
discrimination was 7-14 mm (mean, 9.5 mm), in which 24 cases were 4-10 mm and 7
cases were more than 10 mm. Carpal tunnel median nerve Tinel sign was positive;
there was weak and acid swollen felling when press big thenar muscle on median
nerve return branch palm surface projection points. Electromyography examination
showed that median nerve endings movement incubation period was 4.5-10 ms in 22
cases, more than 10 ms in 9 cases; fibrillation potentials and positive phase
voltage happened in 6 cases; the median nerve sensory conduction velocity of all
patients was slow, and the motor conduction velocity also slowed down; the motor
amplitude was 5-10 mV in 19 cases, less than 5 mV in 12 cases. The disease
duration was 3-8 months (mean, 5.5 months). Surgical exploration of wrist median
nerve in carpal tunnel and median nerve return branch outside carpal tunnel were
performed in patients, especially completely released the variety entrapment
factors such as thickening bow at starting point of short hallux flexor tendon,
fiber bundles at ulnar side of short hallux flexor tendon, deep layer fiber of
the palmar aponeurosis, and variant shallow head of short hallux flexor. Results:
All the wounds healed by first intention without wound scar pain. The patients
were followed up 24-59 months (mean, 33 months). Night numbness and pain
disappeared, and weak and acid swollen feeling in big thenar muscle on median
nerve return branch points also disappeared. The sensation recovered to S 4 in 28
patients in palm lateral three and a half finger, the index and middle fingertip
sensation recovered to S3+ in 3 cases. In the median nerve innervation area, the
two points discrimination was 4-6 mm (mean, 4.8 mm). The thumb opposition
function returned to normal in 29 cases and mild confinement in 2 cases. The grip
strength of all the 31 cases recovered, and 1 of them increased significantly. In
preoperative big thenar muscle atrophy cases, muscle strength recovered to S 5 in
4 cases, S 4 in 2 cases. At 2 years after operation, according to the functional
assessment of carpal tunnel syndrome recommend by GU Yudong, the results were
excellent in 29 cases and good in 2 cases, with an excellent and good rate of
100%. Conclusion: When treating the nerve double entrapment in wrist using wrist
tube inside and outside releasing method, the variety entrapment factors of
return branch of median nerve should be completely released, so the effectiveness
can be improved.
PMID- 29806328
TI - [Modified anterolateral thigh perforator flap pedicled by cross-bridge
microvascular anastomosis for repairing soft tissue defects in middle and lower
segments of leg].
AB - Objective: To explore the effectiveness of modified anterolateral thigh
perforator flap pedicled by cross-bridge microvascular anastomosis in treatment
of soft tissue defects in the middle and lower segments of the leg. Methods:
Between March 2011 and June 2015, 15 cases with skin and soft tissue defects in
the middle and lower segments of the legs were treated. There were 9 males and 6
females, aged 22-48 years (mean, 32.6 years). Of whom, 8 patients caused by
traffic accidents, 5 by machine twist, and 2 by crash injury of heavy object. The
mean interval from injury to admission was 82.6 hours (range, 2 hours to 1 week).
The area of defect ranged from 13 cm*9 cm to 23 cm*16 cm. After primary
debridement and vaccum sealing drainage treatment, the defects were repaired with
modified anterolateral thigh perforator flap pedicled by cross-bridge
microvascular anastomosis. The size of flap ranged from 15 cm*10 cm to 25 cm*15
cm. The donor sites were sutured directly or repaired with the skin grafts. The
pedicle division was done at 4 weeks after operation. Results: After operation,
venous crisis occurred in 1 case and distal skin necrosis in 2 cases which was
healed by dressing change. The other tissue flaps survived successfully and
wounds healed by first intention. All skin grafts at donor site survived after
operation, and primary healing of wound was obtained. All patients were followed
up 6-24 months (mean, 13 months). All flaps were characterized by soft texture,
satisfactory appearance, and restoring the protective sensation. Moreover, the
two-point discrimination ranged from 15 to 28 mm (mean, 19.5 mm) at 6 months
after operation. The function of both lower extremities were normal without
obvious contracture of scar at donor site. Conclusion: Modified free
anterolateral thigh perforator flap, with little damage in donor site, a reliable
blood supply by making a cross-bridge microvascular anastomosis with pretibial or
posterior tibial blood vessel on normal leg, is a reliable alternative method for
repairing soft tissue defects with the main vessels of serious injury in the
middle and lower segments of the leg.
PMID- 29806329
TI - [Clinical application of anterolateral thigh polyfoliate perforator flap for
vascular pedicle protection].
AB - Objective: To evaluate the effectiveness of anterolateral thigh polyfoliate
perforator flap plus pedicle with one foliate flap for repairing extremities soft
tissue defect. Methods: Between January 2014 and January 2017, 24 patients with
extremities soft tissue defects were treated by anterolateral thigh polyfoliate
perforator flap plus pedicle with one foliate flap. There were 15 males and 9
females, with a median age of 33.5 years (range, 5-64 years). Wounds located in
upper limb in 8 cases, complicated with radial styloid fracture in 1 case,
extensor tendon exposure in 3 cases, and brachioradialis muscle tendon exposed in
1 case. Wounds located in lower extremity in 16 cases, complicated with calcaneal
or metatarsal, phalangeal fractures in 4 cases, Achilles tendon departure in 1
case, toe long extensor tendon and flexor digitorum longus tendon exposed in 8
cases. The wound area ranged from 8 cm*5 cm to 18 cm*12 cm. According to wound
size, anterolateral thigh perforators were detected by conventional ultrasound
Doppler (2-5 perforators). The irregular wounds were decomposed into multiple
parts and the leaf number (2-4 leaves) of polyfoliate flap depended on the part
number of the wound. The flap area ranged from 9 cm*6 cm to 20 cm*14 cm, and the
largest area of single leaf was 24 cm*6 cm. The vascular pedicle length ranged
from 7 cm to 12 cm. The foliate flap area with protecting pedicle ranged from 5
cm*3 cm to 7 cm*5 cm. Results: All the flaps survived, and no vascular crisis
occurred. All the patients were followed up 2-28 months (mean, 9 months). Sinus
occurred in 1 case of calcaneal fracture after flap repair, and the sinus was
healed after 3 months by conventional dressing. All the flaps were thin and had a
good texture. Healing of soft tissue was found in 5 patients with fracture. The
wrist and ankle plantar flexion and dorsiflexion function of recipient site were
normal in all patients. Conclusion: It is safe and reliable to repair the
extremities soft tissue defect with anterolateral thigh polyfoliate perforator
flap plus pedicle with one foliate flap. And it is one of the ways to reduce the
vascular crisis of the anterolateral thigh free perforator flap.
PMID- 29806330
TI - [Biocompatibility research of true bone ceramics].
AB - Objective: To investigate the biocompatibility of true bone ceramic (TBC) and
provide experimental basis for clinic application. Methods: TBC was prepared from
healthy adult bovine cancellous bone by deproteinization and high temperature
calcinations. Mouse fibroblast cell line (L929 cells) were cultured with the
leaching liquor of TBC in vitro, and the cytotoxicity was evaluated at 2nd, 4th,
and 7th days. L929 cells were inoculated into the TBC and cultured for 4 days.
The cell adhesion and proliferation on the surface of the TBC were observed by
scanning electron microscopy, and evaluated the cell compatibility of TBC. Ten
New Zealand white rabbits were divided into 2 groups, and drilled holes at the
tibia of both hind limbs. TBC and hydroxyapatite (HA) were implanted into the
left side (experimental group) and the right side (control group), respectively.
And the biocompatibility of TBC was evaluated by general observation and
histological observation at 4 and 26 weeks after implantation. Results:
Cytotoxicity test showed that the cytotoxicity level of leaching liquor of TBC
was grade 0-1. Cell compatibility experiments showed that the L929 cells adhered
well on the surface of TBC and migrated into the pores. The implantation test in
vivo showed that experimental group and control group both had mild or moderate
inflammatory response at 4 weeks, and new bone formation occurred. At 26 weeks,
there was no inflammatory reaction observed in both groups, and new bone
formation was observed in varying degrees. Conclusion: TBC have good
biocompatibility and can be used to repair bone defect in clinic.
PMID- 29806331
TI - [Effects of microRNA-140 gene transfection with nucleus localization signal
linked nucleic kinase substrate short peptide conjugated chitosan on rabbit
articular chondrocytes].
AB - Objective: To investigate the effects of nucleus localization signal linked
nucleic kinase substrate short peptide (NNS) conjugated chitosan (CS) ( NNSCS)
mediated the transfection of microRNA-140 (miR-140) in rabbit articular
chondrocytes in vitro. Methods: Recombinant plasmid GV268-miR-140 and empty
plasmid GV268 were combined with NNSCS to form NNSCS/pDNA complexes,
respectively. Chondrocytes were isolated and cultured through trypsin and
collagenase digestion from articular cartilage of newborn New Zealand white
rabbits. The second generation chondrocytes were divided into 3 intervention
groups: normal cell control group (group A), NNSCS/GV268 empty plasmid
transfection group (group B), and NNSCS/GV268-miR-140 transfection group (group
C). NNSCS/GV268 and NNSCS/GV268-miR- 140 complexes were transiently transfected
into cells of groups B and C. After transfection, real-time fluorescent
quantitative PCR (RT-qPCR) was used to detect the expressions of exogenous miR
140; Annexin V-FITC/PI double staining and MTT assay were used to detect the
effect of exogenous miR-140 on apoptosis and proliferation of transfected
chondrocytes; the expressions of Sox9, Aggrecan, and histone deacetylase 4
(Hdac4) were detected by RT-qPCR. Results: RT-qPCR showed that the expression of
miR-140 in group C was significantly higher than that in groups A and B (
P<0.05). Compared with groups A and B, the apoptosis rate in group C was
decreased and the proliferation activity was improved, Sox9 and Aggrecan gene
expressions were significantly up-regulated, and Hdac4 gene expression was
significantly down-regulated ( P<0.05). There was no significant difference in
above indexes between groups A and B ( P>0.05). Conclusion: Exogenous gene can be
carried into the chondrocytes by NNSCS and expressed efficiently, the high
expression of miR-140 can improve the biological activity of chondrocytes
cultured in vitro, which provides important experimental basis for the treatment
of cartilage damage diseases.
PMID- 29806332
TI - [Study on feasibility of HaCaT epidermal model as an alternative to skin
irritation in vitro].
AB - Objective: To construct the epidermal model with HaCaT cells and evaluate the
feasibility of this model as an in vitro skin irritation test tool. Methods: The
HaCaT model was reconstructed with HaCaT cells by adoption gas-liquid surface
culture technique, and the EpiKutis (r) model was reconstructed with human
epidermal keratinocytes by the same techinique as control. Morphology changes of
HaCaT and EpiKutis (r) models were observed by HE staining. Barrier function
assessment was performed with penetration test. According to Organization for
Economic Cooperation and Development (OECD) Test Guideline 439, the surface of
the HaCaT and the EpiKutis (r) models were treated with 20 chemicals for 30
minutes, incubated for 42 hours, and determined tissue viability by MTT assay, to
evaluate the irritation of chemicals. Then the results were compared with the
irritation of chemicals with the United Nations Globally Harmonized System of
Classification and Labelling of Chemicals (UN GHS) system and validated reference
method (VRM) for the classification of chemical, and evaluated the feasibility of
this model as an in vitro skin irritation test tool. Results: The results of HE
staining showed that there was no complete stratified structure in the HaCaT
model. The results of barrier function showed that the ET 50 was 0.99 hours. The
results of skin irritation of chemicals showed that the sensitivity was 100% and
100%, the specificity was 50% and 70%, and the accuracy rate was 75% and 85% for
HaCaT model and EpiKutis (r) model respectively. Conclusion: The epidermal model
of HaCaT cells does not possess the complete epidermal physiological structure,
the barrier function as ET 50 of the HaCaT model is lower than EpiKutis (r)
model, the chemicals in vitro skin irritation test results do not meet the OECD
criteria for the determination of stimulants, so the HaCaT model is not suitable
as a replacement tool in vitro to determine the chemicals skin irritation.
PMID- 29806333
TI - [Research progress of intervertebral disc endogenous stem cells for
intervertebral disc regeneration].
AB - Objective: To summarize the research progress of intervertebral disc endogenous
stem cells for intervertebral disc regeneration and deduce the therapeutic
potential of endogenous repair for intervertebral disc degeneration. Methods: The
original articles about intervertebral disc endogenous stem cells for
intervertebral disc regeneration were extensively reviewed; the reparative
potential in vivo and the extraction and identification in vitro of
intervertebral disc endogenous stem cells were analyzed; the prospect of
endogenous stem cells for intervertebral disc regeneration was predicted.
Results: Stem cell niche present in the intervertebral discs, from which stem
cells migrate to injured tissues and contribute to tissues regeneration under
certain specific microenvironment. Moreover, the migration of stem cells is
regulated by chemokines system. Tissue specific progenitor cells have been
identified and successfully extracted and isolated. The findings provide the
basis for biological therapy of intervertebral disc endogenous stem cells.
Conclusion: Intervertebral disc endogenous stem cells play a crucial role in
intervertebral disc regeneration. Therapeutic strategy of intervertebral disc
endogenous stem cells is proven to be a promising biological approach for
intervertebral disc regeneration.
PMID- 29806334
TI - [Progress of treatments for old calcaneal fractures].
AB - Objective: To review the progress of treatments for old calcaneal fractures.
Methods: The related literature of treatments for old calcaneal fractures were
reviewed and analyzed from the aspects such as the pathoanatomy, classifications,
and surgical treatments. Results: Old calcaneal fractures are common in clinical,
the anatomical changes are very complicated. In addition to classical open
reduction and internal fixation, arthrodesis, and osteotomy, techniques of
minimally invasive operation, external fixator, and three-dimensional printing
are more and more widely applied, treatments for old calcaneal fractures nonunion
have also received increasing attention. Conclusion: Although the perfect
strategy for treating old calcaneal fractures has not yet been developed, great
progress has been achieved recently, the personalized therapy need to be further
studied, and therapies for the early stage old calcaneal fractures and old
calcaneal fractures nonunion need to be further explored.
PMID- 29806335
TI - [Development and current situation of reconstruction methods following total
sacrectomy].
AB - Objective: To review the development of the reconstruction methods following
total sacrectomy, and to provide reference for finding a better reconstruction
method following total sacrectomy. Methods: The case reports and biomechanical
and finite element studies of reconstruction following total sacrectomy at home
and abroad were searched. Development and current situation were summarized.
Results: After developing for nearly 30 years, great progress has been made in
the reconstruction concept and fixation techniques. The fixation methods can be
summarized as the following three strategies: spinopelvic fixation (SPF),
posterior pelvic ring fixation (PPRF), and anterior spinal column fixation
(ASCF). SPF has undergone technical progress from intrapelvic rod and hook
constructs to pedicle and iliac screw-rod systems. PPRF and ASCF could improve
the stability of the reconstruction system. Conclusion: Reconstruction following
total sacrectomy remains a challenge. Reconstruction combining SPF, PPRF, and
ASCF is the developmental direction to achieve mechanical stability. How to gain
biological fixation to improve the long-term stability is an urgent problem to be
solved.
PMID- 29806336
TI - [Recent advances in treatment of aseptic femoral shaft nonunion].
AB - Objective: To review the recent advances in treatment of aseptic femoral shaft
nonunion. Methods: The clinical studies about the treatments of aseptic femoral
shaft nonunion in recent years were widely reviewed and analyzed. Results: There
are several surgical methods for aseptic femoral shaft nonunion. Due to uncertain
clinical outcome, dynamization of nail should be carefully selected. The exchange
nailing is suitable for the hypertrophic nonunion of the isthmal femoral shaft
fracture. The exchange lateral plating is suitable for nonunion with obvious
malformation. However, wave plate or dual plate should be chosen when the bone
nonuinon is combined with the medial defect. The augmentation plating improves
the success rate of nailing for femoral shaft nonunion, but it should be
carefully selected for patients with obvious deformity or bone defect. Ilizarov
technique is suitable for various bone nonunion, especially with complicated or
large segmental bone defects. Induced membrane technique is also an important
method for the treatment of bone nonunion with large bone defects. The clinical
efficacy of the blocking screw remains to be supported by further evidence.
Biological stimulants are mainly used for atrophic nonunion, and the clinical
efficacy of them alone are still controversial. Conclusion: Due to lack of
comparative studies between different surgical methods, the orthopedist should
choose the appropriate treatment according to the individual situations of the
patient and the types of bone nonunion.
PMID- 29806337
TI - [Analysis of influence of shell angle of cervical artificial disc on long-term
effectiveness of cervical artificial disc replacement].
AB - Objective: To evaluate the influence of the shell angle of cervical artificial
disc on long-term effectiveness of cervical artificial disc replacement (CADR).
Methods: The clinical data of 71 patients who were treated with single-level CADR
with Bryan prosthesis between December 2003 and December 2007 and followed up
more than 10 years, were retrospectively analyzed. There were 44 males and 27
females with an age of 26-69 years (mean, 45.9 years). According to the shell
angle of the cervical artificial disc which was measured on the postoperative
lateral X-ray film, the patients were divided into kyphotic group (shell angle
was negative) and non-kyphotic group. The following evaluation indexes before
operation and at last follow-up were compared between 2 groups. Radiographic
indexes included the range of motion (ROM) of cervical spine, the ROM of operated
level, Cobb angle of operated level (the negative value indicated that the
segmental kyphosis occurred at operated level), paravertebral ossification (PO)
grades (grades 3 and 4 were high grade PO). Clinical indexes included Japanese
Orthopaedic Association (JOA) score, neck disability index (NDI), and overall
effectiveness evaluation (Odom criteria). Results: There were 24 patients in
kyphotic group and 47 patients in non-kyphotic group. There was no significant
difference in baseline data including gender, age, and operated level between 2
groups ( P>0.05). All the patients in 2 groups were followed up 121-165 months
(mean, 128 months). There was no significant difference in preoperative ROM of
cervical spine and ROM of operated level between 2 groups ( P>0.05); but the
preoperative Cobb angle of operated level in kyphosis group was significantly
lower than that in non-kyphotic group ( t=2.636, P=0.013). There was no
significant difference in ROM of cervical spine at last follow-up between 2
groups ( t=1.393, P=0.168), however, the ROM and the Cobb angle of operated level
in kyphotic group were significantly lower than those in non-kyphotic group (
P<0.05). According to the Cobb angle of operated level at last follow-up, there
were 9 patients (37.5%) with segmental kyphosis in kyphotic group and 7 patients
(14.9%) in non-kyphotic group, showing significant difference ( chi2=4.651,
P=0.031). There was a significant difference in PO grades between 2 groups (
Z=2.894, P=0.004) at last follow-up. In kyphotic group, there were 10 patients
(41.7%) with low grade PO and 14 patients (58.3%) with high grade PO; and in non
kyphosis group, there were 36 patients (76.6%) with low grade PO and 11 patients
(23.4%) with high grade PO. There was no significant difference in JOA scores and
NDI before operation and at last follow-up, and the JOA improvement rate, NDI
decline, and Odom criteria score at last follow-up between 2 groups ( P>0.05).
Conclusion: The shell angle of cervical artificial disc may lead to a decrease in
the postoperative segmental ROM, and an increased occurrence of segmental
kyphosis and high incidence of PO.
PMID- 29806338
TI - [Posterior osteotomy for thoracolumbar stress fracture in ankylosing spondylitis
through the gap of a pathological fracture].
AB - Objective: To evaluate the effectivity and safety of posterior osteotomy for
thoracolumbar stress fracture in ankylosing spondylitis (AS) through the gap of a
pathological fracture. Methods: Between April 2012 and August 2015, 8 patients
with AS combined with thoracolumbar stress fracture were treated with posterior
osteotomy through the gap of a pathological fracture to correct the kyphosis.
There were 7 males and 1 female, with an average age of 51 years (range, 37-74
years). The history of AS was 1-40 years (mean, 21.7 years) and disease duration
of stress fracture was 2-60 months (mean, 18.5 months). The segmental lesions
included T 8, 9 in 1 case, T 10, 11 in 2 cases, T 11 in 2 cases, T 12, L 1 in 1
case, L 1, 2 in 1 case, and L 2, 3 in 1 case. The nerve function before operation
according to Frankel grading was grade D in 3 cases and grade E in 5 cases. The
pre- and post-operative X-ray films, CT three-dimensional reconstruction, and MRI
were collected to measure the global kyphosis (GK), local kyphosis (LK), angle of
the fusion levels (AFL), pelvic incidence (PI), pelvic tilt (PT), and sagittal
vertical axis (SVA). Visual analogue scale (VAS) score was used to assess the
back pain intensity. Results: The operation time was 210-320 minutes (mean, 267
minutes), and the intraoperative blood loss was 400-2 000 mL (mean, 963 mL).
Cerebrospinal fluid leakage was found in 3 patients, and the wound healed by
removal of drainage tube and suturing drainage outlet after 5-7 days of
operation. The wounds of the rest patients healed by first intention. Lower
extremity numbness occurred in 1 case and recovered after 1 month of
postoperative administration of oral mecobalamin. All the patients were followed
up 20-43 months (mean, 28.4 months). No internal fixator loosening, fracture, and
other complications occurred. All the fractures healed with the healing time of 3
12 months (mean, 6.8 months). At 3 months after operation, 3 cases with spinal
cord injury of preoperative Frankel grade D recovered to grade E. The GK, LK,
AFL, PI, PT, SVA, and VAS scores at 1 week after operation and at last follow-up
were significantly improved when compared with preoperative ones ( P<0.05).
Except for VAS score at last follow-up was significantly improved when compared
with that at 1 week after operation ( P<0.05), there was no significant
difference in the other indexes between at 1 week after operation and at last
follow-up ( P>0.05). Conclusion: Posterior osteotomy through the gap of a
pathological fracture is a safe and effective surgical procedure for kyphosis
correction and relief of back pain in AS patients combined with thoracolumbar
stress fracture. Successful bony fusion and good clinical outcomes can also be
achieved by this surgical procedure.
PMID- 29806339
TI - [Surgical design and clinical application of posterior percutaneous full
endoscopic cervical foraminotomy for cervical osseous foraminal stenosis].
AB - Objective: To design the method of posterior percutaneous full-endoscopic
cervical foraminotomy (P-PECF) for treating cervical osseous foraminal stenosis
and analyze its feasibility in clinical application. Methods: The clinical data
of 12 patients with cervical osseous foraminal stenosis who met the selection
criteria between October 2015 and June 2017 were retrospectively analysed. There
were 7 males and 5 females with an age of 52-63 years (mean, 57.6 years). The
disease duration ranged from 15 days to 6 months (mean, 3.7 months). The segments
included C 4, 5 in 2 cases, C 5, 6 in 6 cases, and C 6, 7 in 4 cases; all showing
root pain or numbness caused by nerve root compression. All patients were treated
with the P-PECF technique. At preoperation, immediately after operation, and at
last follow-up, visual analogue scale (VAS) scores and neck disability index
(NDI) were respectively recorded to assess the patient's quality of life and the
pain of neck and arm. The clinical outcomes were evaluated by the modified Macnab
criteria. Results: All operations were successful. The operation time was 71-105
minutes (mean, 82 minutes); the intraoperative blood loss was about 5 mL. The CT
of the cervical spine at 1 week postoperatively showed that the cervical root
canal was enlarged and the nerve root compression was relieved. The symptoms of
neck and arm pain and numbness were relieved; the hospitalization time was 2-5
days (mean, 3 days). All patients were followed up 6-18 months (mean, 12.3
months). Except for 1 patient's feeling transient hypoesthesia postoperatively,
there was no complication such as hematoma, nerve root injury, or incision
infection. The VAS scores and NDI at immediate postoperatively and at last follow
up were significantly improved when compared with preoperative scores ( P<0.05);
and the scores also improved significantly at last follow-up when compared with
the scores at immediate postoperatively ( P<0.05). According to modified Macnab
criteria, the results were excellent in 9 cases, good in 2 cases, and fair in 1
case, with an excellent and good rate of 91.7%. Conclusion: The P-PECF technique
can enlarge the nerve root canal and relieve nerve root compression, and obtain
better effectiveness by minimally invasive methods. It is a safe and feasible
procedure.
PMID- 29806340
TI - [Hand function reconstruction by tendon transfers in patients with cervical
spinal cord injury].
AB - Objective: To explore the effectiveness of functional reconstruction of hand
grasp and pinch by tendon transfers in patients with cervical spinal cord injury.
Methods: Between July 2013 and January 2016, tendon transfer surgery were
performed in 21 patients (41 hands) with cervical spinal injury that motion level
was located at C 6 to reconstruct hand grasp and pinch function. There were 18
males and 3 females with a mean age of 42.3 years (range, 17-65 years). Nineteen
patients were with complete spinal cord injury [American Spinal Injury
Association (ASIA) grading A], 1 patient was with central cord syndrome whose
bilateral hands were completely paralyzed and lower limbs were normal (ASIA
grading D), and 1 patient was with cervical spondylotic myelopathy (AISA grading
D). The time from injury to hospitalization was 12-22 months (mean, 16.8 months).
According to the International classification of surgery of the hand in
tetraplegia (ICSHT), there were 6 cases of grade O3, 10 of grade O4, 3 of grade
OCu5, and 2 of grade O5. The surgery was divided into two stages with an interval
of 6-11 months. At the first stage, grip function was reconstructed in all
patients by transfering the extensor carpi radialis longus from radialis side to
palmar side through subcutaneous tunnel, and braided and sutured with the flexor
pollicis longus and flexor digitorum profundus. At the second stage, the lateral
pinch function of the thumb and index finger was reconstructed by braiding and
suturing the radial half of the extensor carpi ulnaris (the patients graded as
ICSHT O3) or pronator tere (the patients graded above ICSHT O3) with extensor
pollicis longus and abductor pollicis longus. The grasp force, the thumb and
index finger lateral pinch force, and the maximum fingertips distance between the
thumb and index finger were measured at preoperation and at different time points
after operation. The modified Lamb and Chan questionnaire, based upon the
activities of daily living, was used to evaluate the hand function of all
patients at 6 months after sencond stage surgery. Results: There was 1 patient
with elbow skin lesion, 1 patient with wrist stiffness; both of them recovered
after corresponding treatment. All the 21 patients were followed up 15-32 months
(mean, 19.6 months) without wound infection, tendon adhesion, tendon rupture, and
other complications. The grasp forces of all patients were significantly improved
at 4 weeks, 3 months, 6 months, and 1 year after the first stage surgery when
compared with preoperative value ( P<0.05); and no significant difference was
found between different time points after operation ( P>0.05). The thumb and
index finger lateral pinch force and the maximum fingertips distance between the
thumb and index finger of all patients were also significantly improved at 4
weeks, 3 months, 6 months, and 1 year after the second stage surgery when
compared with preoperative values ( P<0.05); and no significant difference was
found between different time points after operation ( P>0.05). And there was no
significant difference of above indexes between the patients graded as ICSHT O3
and above ICSHT O3 ( P>0.05). The functional outcome was good in 19 cases, fair
in 1 case, and poor in 1 case according to modified Lamb and Chan questionnaire
at 6 months after second stage surgery. Conclusion: Tendon transfer can
significantly improve the hand function and the quality of life of the patients
with complete cervical spinal cord injury.
PMID- 29806341
TI - [Effect of astaxanthin on the apoptosis after spinal cord injury in rats].
AB - Objective: To study the effects of astaxanthin on the apoptosis after spinal cord
injury in rats. Methods: One hundred and forty-four healthy adult Sprague Dawley
rats were divided into experimental group, control group, and sham group
according to the random number table ( n=48). In the control group and the
experimental group, the modified Allen's method was used to make the spinal cord
injury model; in the sham group, only the lamina was cut without damaging the
spinal cord. At immediate after operation, the rats in the experimental group
were given intragastric administration of astaxanthin (75 mg/kg) twice a day; and
the rats in the control group and the sham group were given equal amount of olive
oil by gavage twice a day. BBB score was used to assess the motor function at 1
day and 1, 2, 3, and 4 weeks after operation. The malondialdehyde (MDA) content
was determined by the thiobarbituric acid method at 24 hours after operation; and
the activity of superoxide dismutase (SOD) was determined by the xanthine oxidase
method. Apoptosis index (AI) was determined by TUNEL method at 6, 24, and 48
hours after operation. At 48 hours after operation, the water content of spinal
cord was measured by dry-wet weight method, the lesion ratio of spinal cord was
calculated, the ultrastructure of the spinal cord was observed by transmission
electron microscopy, and ultrastructure scoring was performed using the
Kaptanoglu score method. Results: The BBB score in the control group and the
experimental group was significantly lower than that in the sham group at each
postoperative time point ( P<0.05); and the BBB score in the experimental group
were significantly higher than that in the control group at 1-4 weeks
postoperatively ( P<0.05). The MDA content in the control group and the
experimental group was significantly higher than that in the sham group at 24
hours after operation, and in the experimental group was significantly lower than
in the control group ( P<0.05). The SOD activity in the control group and the
experimental group was significantly lower than that in the sham group, and in
the experimental group was significantly higher than in the control group (
P<0.05). At each time point postoperatively, the AI in the control group and the
experimental group was significantly higher than that in the sham group, and in
the experimental group was significantly lower than in the control group (
P<0.05). At 48 hours after operation, the water content of spinal cord, the
lesion ratio of spinal cord, and the ultrastructure score in the control group
and the experimental group were significantly higher than those in the sham
group, and in the experimental group were significantly lower than in the control
group ( P<0.05). Conclusion: Astaxanthin can inhibit the lipid peroxidation,
reduce the apoptosis, reduce the spinal cord edema, reduce the spinal cord
lesion, reduce the histopathological damage after spinal cord injury, and improve
the motor function of rats with spinal cord injury, and protect the spinal cord
tissue, showing an obvious neuroprotective effect.
PMID- 29806342
TI - [Responsiveness of Chinese version of Neck Outcome Score in subjects with neck
pain].
AB - Objective: To analyze responsiveness of Chinese version of Neck Outcome Score
(NOOS-C) and provide a reliable measure to assess intervention effect for
patients with neck pain. Methods: Cross-cultural adaptation of NOOS was performed
according to the Beaton's guidelines for cross-cultural adaptation of self-report
measures. Eighty patients with neck pain were recruited between September 2016
and May 2017. Those patients were assessed using NOOS-C and Chinese version of
Neck Disability Index (NDI) before and after intervention. And 71 patients
completed those questionnaires. The statistic differences of the score of each
subscale and the total scale before and after intervention were evaluated by
paired-samples t test. Internal responsiveness was determined by effect size (ES)
and standardized response mean (SRM) based on the calculated difference before
and after intervention. External responsiveness was analyzed by Spearman
correlation coefficient. Results: The differences in symptom subscale, sleep
disturbance subscale, participating in everyday life subscale, every day activity
and pain subscale, and the scale between before and after intervention were
significant ( P<0.05) except for mobility subscale ( P>0.05). The difference of
NDI-C before and after intervention was -12.11%+/-17.45%, ES was 0.77, and SRM
was 0.69. The difference of NOOS-C before and after intervention was 13.74+/
17.22, ES was 0.83, and SRM was 0.80. Spearman correlation analysis revealed that
the relativity about NOOS-C and NDI-C before and after intervention were both
negative ( r=-0.914, P=0.000; r=-0.872, P=0.000). Conclusion: NOOS-C's
responsiveness is good.
PMID- 29806343
TI - [Effect of "accordion" technique on bone consolidation during distraction
osteogenesis].
AB - Objective: To investigate the effect, right timing, and mechanism of "accordion"
technique on bone regeneration in rat distraction osteogenesis model. Methods:
Fifty-four 12-week-old male Sprague Dawley rats underwent right tibial
distraction osteogenesis procedure. After a 5-day latency, the distraction was
performed for 7 days followed by 6-week consolidation. All animals were randomly
divided into 4 groups based on different periods of "accordion" maneuvers in
consolidation phase: control group ( n=18) with no manipulation, and three
experimental groups including early-phase group ( n=18), mid-phase group ( n=12),
and late-phase group ( n=6) with "accordion" maneuvers applied at 1, 3, and 5
weeks, respectively. The duration of the "accordion" maneuver was 7 days
consisting of a 3.5-day compression and 3.5-day distraction. Rats in control
group and early-phase group were sacrificed at 2, 4, and 6 weeks of the
consolidation phase; rats in mid-phase group were sacrificed at 4 and 6 weeks of
the consolidation phase; and rats in late-phase group were sacrificed at 6 weeks
of the consolidation phase. Bilateral tibias from 6 rats in each group at each
time point were obtained. Callus formation was monitored by X-ray radiography
every week; new bone was reconstructed by Micro-CT three-dimensional
reconstruction. The change of bone structure was evaluated, and parameters
containing bone volume (BV)/tissue volume (TV) ratio (BV/TV) and bone mineral
density (BMD) in three thresholds (158-211, 211-1 000, 158-1 000) were recorded
and calculated at 6 weeks. Mechanical test consisting of ultimate load, modulus
of elasticity, and energy to failure was performed. Histological analysis, such
as Von Kossa staining, Safranin O staining, and HE staining, was done.
Immunohistochemical staining using markers of osterix (OSX), osteocalcin (OCN),
and vascular endothelial growth factor (VEGF) was analyzed. Results: Images of X
ray showed that callus formation increased significantly in the mid-phase group.
Micro-CT three-dimensional reconstruction demonstrated the mid-phase group owned
fastest reconstructed speed among 4 groups, the cortical bone was continual at 6
weeks. At 6 weeks, the BMD and BV/TV in thresholds 158-1 000 and 211-1 000 in mid
phase group were higher than those in other groups. The results of mechanical
test showed that ultimate load, modulus of elasticity, and energy to failure in
mid-phase group were significantly higher than those in other groups ( P<0.05).
Histological testing showed that the continuity of bone marrow cavity in mid
phase group was evident at 6 weeks after distraction. Immunohistochemical
analyses confirmed the expression levels of osteogenesis (OCN, OSX) and
angiogenesis (VEGF) elevated remarkably and then returned to normal in mid-phase
group. Conclusion: The "accordion" technique is beneficial for new callus
formation in distraction area. Applying the maneuver during the middle phase of
the consolidation period was effective to accelerate new bone formation in rat
distraction osteogenesis model.
PMID- 29806344
TI - [Effect of lipopolysaccharide on osteoclasts formation and bone resorption
function and its mechanism].
AB - Objective: To study the effect and mechanism of lipopolysaccharide (LPS) on
osteoclasts formation and its bone resorption function. Methods: Bone marrow
derived macrophages (BMMs) were extracted from the marrow of femur and tibia of 4
week-old male C57BL/6 mice. Flow cytometry was used to detect BMMs. The effect of
different concentrations of LPS (0, 100, 200, 500, 1 000, 2 000 ng/mL) on BMMs
activity was examined by cell counting kit 8 (CCK-8) activity test. In order to
investigate the effect of LPS on osteoclastogenesis, BMMs were divided into
macrophage colony-stimulating factor (M-CSF) group, M-CSF+receptor activator of
nuclear factor kappaB ligand (RANKL) group, M-CSF+RANKL+50 ng/mL LPS group, M
CSF+RANKL+100 ng/mL LPS group. After the completion of culture, tartrate
resistant acid phosphatase (TRAP) staining was used to observe the formation of
osteoclasts. In order to investigate the effect of LPS on the expression of
Connexin43, BMMs were divided into the control group (M-CSF+RANKL) and the LPS
group (M-CSF+RANKL+100 ng/mL LPS); and the control group (M-CSF+RANKL), 50 ng/mL
LPS group (M-CSF+RANKL+50 ng/mL LPS), and 100 ng/mL LPS group (M-CSF+RANKL+100
ng/mL LPS). The expressions of Connexin43 mRNA and protein were detected by
Western blot and real-time fluorescent quantitative PCR, respectively. In order
to investigate the effect of LPS on osteoclast bone resorption, BMMs were divided
into M-CSF group, M-CSF+RANKL group, M-CSF+RANKL+50 ng/mL LPS group, and M
CSF+RANKL+100 ng/mL LPS group. Bone absorption test was used to detect the ratio
of bone resorption area. Results: The flow cytometry test confirmed that the
cultured cells were BMMs, and CCK-8 activity test proved that the 100 ng/mL LPS
could promote the proliferation of BMMs, showing significant differences when
compared with the 0, 200, 500, 1 000, and 2 000 ng/mL LPS ( P<0.05). TRAP
staining showed no osteoclast formation in M-CSF group. Compared with M-CSF+RANKL
group, the osteoclasts in M-CSF+RANKL+50 ng/mL LPS group and M-CSF+RANKL+100
ng/mL LPS group were larger with more nuclei, while the osteoclasts in M
CSF+RANKL+100 ng/mL LPS group were more obvious, and the differences in the ratio
of osteoclast area between groups were statistically significant ( P<0.05).
Western blot result showed that the relative expression of Connexin43 protein in
LPS group was significantly higher than that in control group ( P<0.05). Real
time fluorescent quantitative PCR showed that the relative expression of
Connexin43 mRNA in control group, 50 ng/mL LPS group, and 100 ng/mL LPS group
increased gradually, and the differences between groups were statistically
significant ( P<0.05). Bone resorption test showed that osteoclast bone
resorption did not form in M-CSF group, but the ratio of bone resorption area
increased gradually in M-CSF+RANKL group, M-CSF+RANKL+50 ng/mL LPS group, and M
CSF+RANKL+100 ng/mL LPS group, and the differences between groups were
statistically significant ( P<0.05). Conclusion: LPS at concentration of 100
ng/mL can promote the expression of Connexin43, resulting in increased
osteoclastogenesis and enhanced osteoclastic bone resorption.
PMID- 29806345
TI - [Effects of FTY720-P on EphA2-EphrinA2 bidirectional signaling in osteoclasts].
AB - Objective: To investigate the effects of FTY720-P on EphA2-EphrinA2 bidirectional
signaling in osteoclasts. Methods: Murine RAW264.7 macrophages were induced into
osteoclasts by dexamethasone and 1alpha, 25-dihydroxyvitamin D 3, and identified
by tartrate resistant acid phosphatase (TRAP) staining. Then, the osteoclasts
were divided into 2 groups. The osteoclasts were treated with 400 ng/mL FTY720-P
in experimental group and without FTY720-P in control group, respectively. After
48 hours of culture, the cells in 2 groups were detected by real-time fluorescent
quantitative PCR, Western blot, and immunofluorescence staining. The expressions
of EphA2, EphrinA2, RhoA, and the bone reconstruction associated proteins[bone
morphogenetic protein 2 (BMP-2) and transform growth factor beta 1 (TGF-beta
1)]were analyzed and compared. Results: RAW264.7 cells were successfully induced
into osteoclasts identified by TRAP staining. Compared with control group, the
relative expressions of EphA2 and EphrinA2 mRNAs and proteins in experimental
group significantly decreased after 48 hours ( P<0.05), and the relative
expression of RhoA protein also significantly decreased ( P<0.05). The relative
expressions of BMP-2 and TGF-beta 1 mRNAs were significantly increased ( P<0.05),
and those protein expressions were enhanced. Conclusion: FTY720-P can down
regulate the expression of RhoA and promote the expressions of TGF- beta 1 and
BMP-2 by affecting the transduction of EphA2-EphrinA2 bidirectional signaling in
osteoclasts.
PMID- 29806346
TI - [Study on sustentaculum tali screw placement for constant fragment of calcaneal
fracture].
AB - Objective: To investigate the anatomical characters of the sustentaculum tali
(ST), accurate entry point and direction for the placement of ST screw from
posterior subtalar joint facet to the constant fragment (CF) in calcaneal
fractures. Methods: A total of 100 patients with calcaneal fractures performed
ankle CT scans were enrolled between January 2016 and April 2016. According to
the inclusion criteria, the clinical data of 33 patients were analyzed, including
18 males and 15 females, with a median age of 41.0 years (range, 18-60 years).
There were 16 cases on left side and 17 cases on the right side. Three
dimensional (3D) calcaneal model was reconstructed by Mimics 17.0 software, and
the ST anatomical references were measured, including the length of upper and
lower edge, the length and height of the midline, the horizontal angle between
the midline and foot plantar surface. The parameters of the optimal entry point
position (P' point) and placement angle of the ST screw were determined. The
length of ST screw was also measured. The differences between males and females
or left and right sides were compared. Results: The length of upper edge of the
ST was (16.60+/-2.23) mm, lower edge (20.65+/-2.90) mm, midline (20.56+/-2.62)
mm, and the height of midline was (9.61+/-1.36) mm. The horizontal angle between
the midline and foot plantar surface was (23.43+/-3.36) degrees . The vertical
distance from P' point to the lowest point of the tarsal sinus was (3.09+/-1.65)
mm, while the horizontal distance was (14.29+/-2.75) mm. The distance from P'
point to the apex of the lateral talus, subchondral bone of subtalar joint,
calcaneocuboid joint was (11.41+/-3.22), (6.59+/-2.22), (34.58+/-3.75) mm,
respectively. The horizontal angle between the ST screw and foot plantar surface
was (-1.17+/-2.07) degrees . The anteversion angle of ST screw was (16.18+/-2.05)
degrees and the length was (41.64 +/- 3.09) mm. There were significant
differences in the length of upper and lower edge, the length and height of the
midline, the distance from P' point to the apex of the lateral talus, subchondral
bone of subtalar joint, and calcaneocuboid joint, and the anteversion angle and
length of the ST screw between males and females ( P<0.05). There was no
significant difference in above all parameters between left and right sides (
P>0.05). Conclusion: After appropriate reduction of the calcaneal fractures, the
entry point of ST screw was recommended at about 14 mm posterior and about 3 mm
upper related to the foot horizontal line through the lowest tarsal sinus point;
and the direction of ST screw placement was about 17 degrees anteversion for
males and 15 degrees anteversion for females.
PMID- 29806347
TI - [Effectiveness of mini locking plate combined with Kirschner wire in treatment of
comminuted Jones fracture].
AB - Objective: To summarize the effectiveness of mini locking plate combined with
Kirschner wire in treatment of comminuted Jones fracture. Methods: Between
January 2011 and October 2016, 25 cases with comminuted Jones fracture were
treated with mini locking plate combined with Kirschner wire. There were 9 males
and 16 females with an average age of 31.4 years (range, 16-66 years). The
fractures located on the left side in 11 cases and on the right side in 14 cases.
The causes of injury included spraining in 21 cases, falling down in 3 cases, and
bruise in 1 case. The bone fragment of all cases was more than 3 pieces. The
fracture line was mostly Y-shape or T-shape. Twelve of them were combined with
other fractures. The time from injury to operation was 1-9 days (mean, 5 days).
The mini locking plate and Kirschner wire were removed at 9-12 months
postoperatively. At 12 months postoperatively, the pain was evaluated by the
visual analogue scale (VAS) score, and the function by the American Orthopaedic
Foot & Ankle Society (AOFAS) score. Results: All incisions healed by first
intention. All cases were followed up 12-36 months with an average of 21.7
months. Fracture union was observed in all patients without complications such as
nonunion, delayed union, and malunion. The fracture union time was 8-12 weeks
(mean, 9.4 weeks). At 12 months postoperatively, the VAS score was 1.15+/-0.87;
the AOFAS score was 89.45+/-6.24, and the results were excellent in 14 cases,
good in 9 cases, fair in 1 case, and poor in 1 case, with an excellent and good
rate of 92%. Conclusion: The procedure of mini locking plate combined with
Kirschner wire for comminuted Jones fracture has such advantages as convenient
operation, more rigid fixation, high rate of fracture healing, and good
functional recovery in foot.
PMID- 29806348
TI - [Application of skin stretching device in repair of diabetic foot wound].
AB - Objective: To evaluate the clinical value of skin stretching device in repair of
diabetic foot wound. Methods: A retrospective analysis was made on the clinical
data of 48 cases with diabetic foot wound who were treated with skin stretching
device (trial group, n=24) and with the vacuum sealing drainage combined with
skin graft (control group, n=24) respectively between October 2015 and July 2016.
There was no significant difference in gender, age, side, course of disease,
TEXAS stage between 2 groups ( P>0.05). Both patients in 2 groups were treated
with sensitive antibiotics according to the results of bacterial culture.
Results: One case in control group was infected and the skin graft failed, and 1
case in trial group was infected after the treatment, and the two wounds healed
after symptomatic treatment. The wounds of the other patients healed
successfully, and the healing time of the trial group was significantly shorter
than that of the control group [(12.8+/-11.6) days vs. (22.3+/-10.4) days;
t=2.987, P=0.005). All patients were followed up 3-12 months after operation, and
no wound dehiscence or recurrence occurred during follow-up. Conclusion: Compared
with the vacuum sealing drainage combined with skin graft, the application of
skin stretching device in the repair of diabetic foot wound has advantages, such
as easy to operate, shorten the wound healing time, and the appearance of wound
was similar with the adjacent skin.
PMID- 29806349
TI - [Application of skin stretcher for repair of postoperative skin and soft tissue
defects in tibial fractures].
AB - Objective: To discuss the efficacy of skin stretcher applied for repair of
postoperative skin and soft tissue defects in tibial fractures. Methods: Between
April 2016 and March 2017, 15 cases with skin and soft tissue defects after
tibial fractures fixation were treated with the skin stretcher. There were 11
males and 4 females with an age of 24-59 years (mean, 37.5 years). The causes of
injury included traffic accident in 7 cases, bruise in 3 cases, falling from
height in 3 cases, and falling in 2 cases; without nerve and vascular injury in
all patients. These cases were followed up 1-3 months after their first surgery,
consisting of 3 closed fractures treated with open reduction and plate and screw
fixation, 12 open fractures treated with external fixation after debridement. The
area of skin defects ranged from 14 cm*5 cm to 20 cm*7 cm, all of which were
stripped or spindle shaped skin defects. First, the skin was penetrated by two
Kirschner wires which were locked by skin stretchers on both sides of the skin
defect longitudinally. Then, the tension of skin stretchers was timely adjusted
according to the skin flap blood supply and muscle compression. Finally,
Kirschner wires and skin stretchers were removed when the edge of skin contacted
and been sutured. Results: All skin and soft tissue defects were covered after
stretching for 6-13 days. The interrupted sutured wounds healed at 12 days.
Clinical scores of wound healing decreased from 3.40+/-0.51 at immediate
postoperatively to 1.27+/-0.46 at 12 days postoperatively, showing significant
difference ( t=12.911, P=0.000). All the patients were followed up 4-12 months
(mean, 6.5 months). After stretching, the skin color, elasticity, and pain and
touch feeling were similar with the normal skin, and the hair growth was normal.
After operation, 1 case of nail tract infection and 2 cases of calf discomfort
occurred, and all were relieved after treatment. Conclusion: It is an effective
method for repairing postoperative skin and soft tissue defects in tibial
fractures with the application of skin stretchers.
PMID- 29806350
TI - [Application of thin-ribbed cartilage with the perichondrium in correction of
secondary cleft lip nasal deformity as lateral crural onlay graft].
AB - Objective: To explore the application and effectiveness of thin-ribbed cartilage
with the perichondrium in the correction of secondary cleft lip nasal deformity
as the lateral crural onlay graft. Methods: A retrospective study was performed
based on the data of 28 patients with secondary nasal deformity of cleft lip
between October 2015 and April 2017. There were 16 males and 12 females with an
average age of 24 years (range, 18-31 years). There were 11 cases with secondary
nasal deformities on the left side, 13 cases on the right side, and 4 cases on
both sides. Three-dimensional stereotaxy of the nasolabial muscles was used to
correct the deformity. The costal cartilage as the support was used to perform
nasal columella and nasal dorsum while the thin-ribbed cartilage with the
perichondrium was used as wing cartilage support. The photography of nasal
position was taken before operation and at 6-8 months after operation. The
midpoint of the junction between the nasal columella and the upper lip was marked
point O; the lateral horizontal line passing through the point O was marked as X
line, and the longitudinal line (the midline) as Y-line. The distance of the
highest point of the affected nostril to the X-line, the distance of the
nostril's outermost point to the Y-line, the symmetries of both the most lateral
and the highest point of the bilateral nostrils, and the distance of the highest
point of the nasal tip to the X-line were measured. Results: All incisions healed
by first intention. All patients were followed up 6 to 24 months with an average
of 12 months. The size and shape of the noses were stable, and no compli cation,
such as cartilage exposure, hematoma, or infection occurred during the
postoperative follow-up. There were 4 cases with obvious incision scars, 3 cases
with nostril and alar asymmetry, and 1 case of lateral side of the nose without
well positioned. The symmetry of the highest points of bilateral nostrils was
57.643%+/-27.491% before operation and 90.246%+/-18.769% after operation. The
symmetry of the most lateral points of the bilateral nostrils was 77.391%+/
30.628% before operation and 92.373%+/-21.662% after operation. And there were
significant differences between pre- and post-operation ( P<0.05). There were
also significant differences in the distance of highest point of the affected
nostril to the X-line, the distance of the nostril's outermost point to the Y
line, and the distance of the highest point of the nasal tip to the X-line (
P<0.05). No thoracic contour change occurred at the costal cartilage donor site.
Conclusion: The thin-ribbed cartilage with the perichondrium has good support and
long-term stability, and it can be used as one of the ideal materials for nasal
alar cartilage transplantation for nasal deformity secondary to cleft lip.
PMID- 29806351
TI - [Effectiveness of bipaddled anterolateral thigh perforator flap in repair of
through-and-through maxillofacial defect after oral cancer ablation].
AB - Objective: To investigate the effectiveness of bipaddled anterolateral thigh
perforator flap in repair of through-and-through maxillofacial defect following
oral cancer ablation. Methods: Between January 2008 and December 2016,42 patients
with oral cancer were treated. There were 31 males and 11 females with an average
age of 44.5 years (range, 31-68 years). There were 28 cases of buccal mucosa
carcinoma, 10 cases of basal cell carcinoma of buccal skin, 3 cases of gingiva
carcinoma, and 1 case of recurrent parotidduct carcinoma. The disease duration
ranged from 1 to 24 months (mean, 13.5 months). The size of through-and-through
maxillofacial defect ranged from 6.0 cm*4.5 cm to 9.0 cm*7.0 cm. All defects were
repaired with the bipaddled anterolateral thigh perforator flap. The paddles were
adjusted to repair the buccal area. And the size of flap ranged from 7 cm*5 cm to
10 cm*8 cm. The donor sites were closed directly. Results: The operation time was
4.5-7.5 hours (mean, 5.5 hours). All flaps healed and the wounds of recipient and
donor sites healed by first intention. All patients were followed up 9-60 months
(mean, 22 months). All patients were satisfied with their facial appearance and
the speech function. The range of mouth opening was 3-5 cm. Three patients died
of recurrence during the follow-up period and the other patients were alive.
Conclusion: The bipaddled anterolateral thigh perforator flap is flexible and
reliable for the through-and-through maxillofacial defect reconstruction
following oral cancer ablation.
PMID- 29806352
TI - [Three-dimensional study of facial soft tissue changes in patients with skeletal
Class III malocclusion before and after orthognathic surgery].
AB - Objective: To investigate the changes of facial soft tissue before and after
orthognathic surgery in patients with skeletal Class III malocclusion. Methods:
Between August 2016 and April 2017, 30 patients with skeletal Class III
malocclusion who underwent maxillary LeFort I osteotomy and sagittal split
mandible osteotomy were selected as study subjects. Among them, 11 were male and
19 were female with an average age of 22.6 years (range, 18-35 years). Full head
CT scan and facial soft tissue three-dimensional image scan were performed within
2 weeks before surgery and at 6 months after surgery. A three-dimensional facial
image model was established using Artec Studio 11.0 and CMF Proplan 3.0 software
to analyze the facial soft tissue changes before and after surgery. The soft
tissue anatomical landmarks in each area of the face were measured and compared
before and after surgery. Results: The area of facial soft tissue change after
surgery was the maxillary nose and the lower jaw area, and the two sides did not
exceed the vertical boundary of the outer canthus. After surgery, the horizontal
points of bilateral alar bases and bilateral cheeks changed significantly (
P<0.05). The sagittal points of subnasale, pronasale, bilateral alar bases, upper
lip margin significantly forwarded ( P<0.05); the sagittal points of the
bilateral cheilions, lower lip margin, midpoint of chin-lip groove, pogonion, and
menton significantly backwarded ( P<0.05). The vertical points of the upper lip
margin, bilateral cheilions, lower lip margin, bilateral cheeks, and bilateral
inner canthus points significantly descended ( P<0.05), and the vertical point of
the menton significantly elevated ( P<0.05). After surgery, the nasal column was
significantly shortened, the upper lip got longer and the alar base widened when
compared with those before surgery ( P<0.05). Conclusion: The overall change of
face after double jaw surgery is shorter and fuller, and the mandible of facial
soft tissue change is larger than that of maxillary, which suggests that the
postoperative facial changes should be taken into account in the surgical design.
PMID- 29806353
TI - [Effect of cells in the epimysium conduit on the regeneration of peripheral
nerve].
AB - Objective: To investigate the effect of cells in the epimysium conduit (EMC) on
the regeneration of sciatic nerve of mice. Methods: The epimysium of the 8-week
old male C57BL/6J enhanced green fluorescent protein (EGFP) mouse was trimmed to
a size of 5 mm*3 mm, and prepared in a tubular shape (ie, EMC). Some epimysia
were treated with different irradiation doses (0, 15, 20, 25, 30, 35 Gy) to
inhibit cells migration. Then the number of migrating cells were counted, and the
epimysia with the least migrating cells were selected to prepare EMC. Some
epimysia were subjected to decellularization treatment and prepared EMC. HE and
Masson staining were used to identify the decellularization effect. Twenty-four
C57BL/6J wild-type mice were used to prepare a 3-mm-long sciatic nerve defect of
right hind limb model and randomly divided into 3 groups ( n=8). EMC (group A),
EMC after cell migration inhibition treatment (group B), and decellularized EMC
(group C) were used to repair defects. At 16 weeks after operation, the midline
of the regenerating nerve was taken for gross, toluidine blue staining,
immunofluorescence staining, and transmission electron microscopy. Results: At 15
days, the number of migrating cells gradually decreased with the increase of
irradiation dose. There was no significant difference between 30 Gy group and 35
Gy group ( P>0.05); there were significant differences between the other groups (
P<0.05). The epimysium after treatment with 35 Gy irradiation dose was selected
for the in vivo experiment. After the decellularization of the epimysium, no
nucleus was found in the epimysium and the epimysium could be sutured to prepare
EMC. At 16 weeks after operation, the nerves in all groups were recanalized. The
sciatic nerve was the thickest in group A, followed by group B, and the finest in
group C. Immunofluorescence staining showed that the EGFP cells in group A were
surrounded by regenerated axons. Toluidine blue staining and transmission
electron microscopy observation showed that the number of regenerated axons and
the thickness of regenerated myelin sheath in group A were significantly better
than those in groups B and C ( P<0.05). There was no significant difference
between groups B and C ( P>0.05). Conclusion: The cellular components of the
epimysium participate in and promote the regeneration of the sciatic nerve in
mice.
PMID- 29806354
TI - [Recent advances in application of graphene oxide for bone tissue engineering].
AB - Objective: To review the recent advances in the application of graphene oxide
(GO) for bone tissue engineering. Methods: The latest literature at home and
abroad on the GO used in the bone regeneration and repair was reviewed, including
general properties of GO, degradation performance, biocompatibility, and
application in bone tissue engineering. Results: GO has an abundance of oxygen
containing functionalities, high surface area, and good biocompatibility. In
addition, it can promote stem cell adhesion, proliferation, and differentiation.
Moreover, GO has many advantages in the construction of new composite scaffolds
and improvement of the performance of traditional scaffolds. Conclusion: GO has
been a hot topic in the field of bone tissue engineering due to its excellent
physical and chemical properties. And many problems still need to be solved.
PMID- 29806355
TI - [Research progress of dipeptidyl peptidase 4 inhibitors on healing of chronic
diabetic foot ulcers].
AB - Objective: To review the effect of dipeptidyl peptidase 4 (DPP-4) inhibitors on
the wound healing and its mechanisms in chronic diabetic foot ulcers. Methods:
The latest literature concerning DPP-4 inhibitors for chronic diabetic foot
ulcers was extensively reviewed, as well as the potential benefit and mechanism
of DPP-4 inhibitors on wound healing of diabetic foot ulcers was analyzed
thoroughly. Results: DPP-4 inhibitors can accelerated the ulcer healing. The
mechanisms probably include inhibiting the expression of the matrix
metalloproteinase (MMP) and restoring the balance of the wound MMP and the tissue
inhibitors of MMP; promoting recruitment of endothelial progenitor cells and
augmenting angiogenesis; optimizing extracellular matrix construction and the
immune response to persistent hypoxia in chronic diabetes wounds, and so on. At
present, clinical researches show that DPP-4 inhibitors may be considered as an
adjuvant treatment for chronic diabetic foot ulcers. Conclusion: DPP-4 inhibitors
show promise in the local wound healing of chronic diabetic foot ulcers. However,
more strictly designed, adequately powered, long-term follow-up, and high-quality
randomized control trials are needed to further verify their efficacy and safety
for chronic diabetic foot ulcers.
PMID- 29806356
TI - [Effectiveness of rotator cuff repair with manipulation release and arthroscopic
debridement for rotator cuff tear with shoulder stiffness].
AB - Objective: To investigate effectiveness of rotator cuff repair with manipulation
release and arthroscopic debridement for rotator cuff tear with shoulder
stiffness. Methods: A retrospectively study was performed on the data of 15
patients with rotator cuff tear combined with shoulder stiffness (stiff group)
and 24 patients without stiffness (non-stiff group) between January 2014 and
December 2015. The patients in the stiff group underwent arthroscopic rotator
cuff repair with manipulation release and arthroscopic debridement while the
patients in the non-stiff group only received arthroscopic rotator cuff repair.
The patients in the stiff group were older than the patients in the non-stiff
group, showing significant difference ( P<0.05). There was no significant
difference in gender, type of rotator cuff tear, side of rotator cuff tear, and
combined with diabetes between 2 groups ( P>0.05). The visual analogue scale
(VAS) score, University of California Los Angeles (UCLA) score, American Shoulder
and Elbow Surgeons (ASES) score, and range of motion (ROM) were used to evaluate
the effectiveness after operation. Results: All incisions healed by first
intention without any complication after operation. The patients were followed up
13-31 months in the stiff group (mean, 19.2 months) and 13-23 months in the non
stiff group (mean, 20.3 months). There was no significant difference in follow-
up time between 2 groups ( t=-0.573, P=0.570). The VAS score in the stiff group
was higher than that in the non-stiff group before operation ( t=-2.166,
P=0.037); there was no significant difference between 2 groups at 3, 6, 12 months
and last follow-up ( P>0.05). The forward flexion and external rotation were
significantly lower in the stiff group than those in the non-stiff group before
operation and at 3 months after operation ( P<0.05); there was no significant
difference between 2 groups at 6, 12 months and last follow-up ( P>0.05). At last
follow-up, the internal rotation was beyond L 3 level in 2 groups. The
preoperative UCLA score was significant lower in the stiff group than that in the
non-stiff group ( P=0.037); but there was no significant difference in UCLA score
at last follow-up between 2 groups ( P=0.786). There was no significant
difference in pre- and post-operative ASES scores between 2 groups ( P>0.05).
Conclusion: Satisfactory effectiveness can be achieved in the patients with
rotator cuff tear combined with shoulder stiffness after rotator cuff repair with
manipulation release and arthroscopic debridement, although the patients with
shoulder stiffness had slower postoperative recovery of ROM until 6 months after
operation.
PMID- 29806357
TI - [Development and biomechanical study of anatomical locking plate of
sternoclavicular joint].
AB - Objective: To develop an anatomical locking plate in accordance with the
anatomical characteristics of the sternoclavicular joint, which is reliable
fixation and easy to operate, so as to provide an ideal internal fixation device
for the treatment of sternoclavicular joint dislocation or peripheral fractures.
Methods: Gross measurement and CT measurement were performed on the 8 adult
antiseptic and moist cadaver specimens (16 sides) to measure the parameters of
surrounding bone structure of the sternoclavicular joint. The parameters included
the thickness of presternum, sternal notch width, anteroposterior diameter of
proximal 1/3 of clavicle, upper and lower diameters of proximal 1/3 of clavicle,
angle between proximal end of clavicle and presternum in coronal plane, and angle
of thoracoclavicular joint at anatomic position forward. According to the
anatomical parameters and biomechanical properties of the specimens, the
anatomical locking plate was designed and developed. The sternoclavicular
ligament and joint capsule of all the specimens were completely cut off to make
the sternoclavicular joint dislocation model. The left and right sternoclavicular
joint of each specimen were randomly divided into experimental group (anatomic
locking plate fixation) and control group (oblique T shape locking plate
fixation). The sternoclavicular joint activity and common force mechanism was
simulated on the universal mechanical testing machine, and the clavicular distal
load test, sternoclavicular joint torsion test, and anti-pulling of steel plate
manubrium part test were performed. Results: The differences between the
anatomical parameters of gross measurement and CT measurement were not
significant ( P>0.05). In the clavicular distal load test, when the anatomical
position perpendicular to the distal clavicle back loading to 20 N, the
displacement of loading point in the experimental group was (8.455+/-0.981) mm,
which was significantly less than that in control group [(10.163+/-1.379) mm] (
t=-3.012, P=0.020); the distal clavicle displacement of loading point of
experimental group and control group were (5.427+/-1.154) mm and (6.393+/-1.040)
mm, respectively, showing no significant difference ( t=-1.459, P=0.188)?In the
sternoclavicular joint torsion test, the torque of experimental group was
significantly greater than that of control group when the clockwise torsion angle
was at 2, 4, 6, 8, and 10 degrees and the counterclockwise torsion angle was at
4, 6, 8, and 10 degrees ( P<0.05). The torsional stiffness in the experimental
group under clockwise and counterclockwise condition was 0.122 and 0.108 N.m/
degrees respectively, which were significantly higher than those in the control
group (0.083 and 0.078 N.m/ degrees respectively) ( F=67.824, P=0.000; F=20.992,
P=0.002). In the anti-pulling of steel plate manubrium part test, the maximum
pullout force of experimental group [(225.24+/-16.02) N] was significantly higher
than that in control group [(174.40+/-21.90) N] ( t=5.785, P=0.001). Conclusion:
The new type of anatomical locking plate can realize the sternoclavicular joint
three-dimensional fixation, and has the advantages of reliable fixation, simple
operation, less trauma, superior biomechanical properties, and earlier functional
exercise. It may be an ideal internal fixation device in clinical treatment of
sternoclavicular joint dislocation or peripheral fractures.
PMID- 29806358
TI - [Comparison of effectiveness between SuperPATH approach and posterolateral
approach in total hip arthroplasty].
AB - Objective: To compare the effectiveness between SuperPATH approach and
posterolateral approach in total hip arthroplasty (THA). Methods: Between January
2016 and December 2016, 84 patients with hip disease were included in the study
and randomly divided into 2 groups. Forty patients were treated with THA via
SuperPATH approach (SuperPATH group), and 44 patients were treated with THA via
posterolateral approach (PSA group). There was no significant difference in
gender, age, body mass index, the type of disease, the complicating diseases, and
preoperative thrombosis of lower extremity and Harris score between 2 groups (
P>0.05). The operation time, intraoperative blood loss, length of incision,
postoperative drainage volume, unloaded activity time, Harris score, and short
form 36 health survey scale (SF-36) score were compared. The postoperative X-ray
films were used to observe the position of joint prosthesis. Results: All
patients were followed up 6-18 months (mean, 10.3 months). The operation time,
intraoperative blood loss, length of incision, postoperative drainage volume, and
unloaded activity time in SuperPATH group were significantly superior to those in
PSA group ( P<0.05). The Harris score at 2 weeks and 1 month after operation were
significantly higher in SuperPATH group than that in PSA group ( P<0.05). But
there was no significant difference in the Harris scores at 3 and 6 months after
operation between 2 groups ( P>0.05). At last follow-up, the SF-36 scores were
higher in SuperPATH group than those in PSA group ( P<0.05). Postoperative X-ray
films showed the joint prosthesis was in good position. Conclusion: THA via
SuperPATH approach has the advantages of minimal invasion, safe, and rapid
recovery, which is better than THA via posterolateral approach.
PMID- 29806359
TI - [Effectiveness of autologous femoral head bone graft in total hip arthroplasty
for Crowe type III developmental dysplasia of hip with acetabular bone defect].
AB - Objective: To explore the surgical technique and effectiveness of autologous
femoral head bone graft in total hip arthroplasty (THA) for Crowe type III
developmental dysplasia of the hip (DDH) with acetabular bone defect. Methods:
Between July 2012 and September 2015, 12 cases (12 hips) of Crowe type III DDH
with acetabular bone defect were included. Of the 12 patients, 2 were male and 10
were female, with an average age of 54.3 years (range, 37-75 years). The Harris
score before operation was 41.08+/-7.90. The preoperative leg length discrepancy
was 0.53-4.28 cm, with an average of 2.47 cm. Autologous femoral head bone graft
and cancellous screw fixation were used in all cases to reconstruct acetabula in
THA. Four cases were performed with subtrochanteric shortening osteotomy at the
same time. Results: All incisions healed by first intention. Twelve cases were
followed up 1 year and 10 months to 5 years, with an average of 3.0 years. X-ray
films showed that bone healing was observed in all cases at 6 months to 1 year
after operation. There was no bone graft osteolysis, absorption, bone graft
collapse, and acetabular prosthesis loosening. At last follow-up, the Harris
score was 89.50+/-2.78, showing significant difference when compared with
preoperative value ( t=-25.743, P=0.003). The length discrepancy was 0-1.81 cm at
last follow-up with an average of 0.76 cm. Conclusion: Autologous femoral head
bone graft is effective for Crowe type III DDH with acetabular bone defect, which
has advantages of restoring pelvic bone stock, obtaining satisfied prosthetic
stability and mid-term effectiveness.
PMID- 29806360
TI - [Treatment of femur re-fracture with occult infection by using non-contact
locking plate under deep fascia].
AB - Objective: To investigate the effectiveness on the re-fracture of the femur with
occult infection by using non-contact locking plate which was placed under the
deep fascia. Methods: Clinical data of 12 cases of occult infective re-fracture
after femoral fracture operation were retrospectively analysed between January
2010 and December 2014. There were 8 males and 4 females with an age of 28-69
years (mean, 42.8 years). Femur re-fractured in 5 cases after 3 days to 4 weeks
(mean, 10.6 days) of removal of internal fixation, including 4 cases of plate
fixation and 1 case of intramedullary nail fixation; femur in 7 cases re
fractured because of breakage of internal fixator after 7-16 months (mean, 9.3
months) of internal fixation, including 5 cases of plate fixation and 2 cases of
intramedullary nail fixation. The tissues near the fracture were collected for
bacteria culturing and pathological examining. All the patients were treated by
debriding the site of the fracture, bridging with the non-contact locking plate,
and transplanting with granulated cancellous bone autograft. Intravenous infusion
of antibiotics were used for 2-3 weeks after operation and oral administration
for 4 weeks. The X-ray films were taken regularly and the function of the knees
were evaluated by the Hospital for Special Surgery (HSS) score system. Results:
The results of bacteria culturing were positive in 8 patients and negative in 4
patients, and the pathological results of all the patients were confirmed to be
chronic bone infection. All the fractures healed with no signs of exudation and
ulceration of the incisions. The 12 patients were followed up 18-36 months (mean,
29.6 months). The fracture healed well and no re-fracture occurred. The fracture
healing time was 14-22 weeks (mean, 18 weeks). At last follow-up, the function of
the knee joint was excellent in 9 cases and good in 3 cases according to HSS
score system. Conclusion: The treatment of re-fractures after femur fracture
operation needs to determine whether there is an occult infection, and non
contact locking plate placed under the deep fascia is an effective way for the re
fracture.
PMID- 29806361
TI - [Treatment of benign bone lesions of proximal femur using dynamic hip screw and
intralesional curettage via Watson-Jones approach].
AB - Objective: To explore the effectiveness of dynamic hip screw (DHS) and
intralesional curettage via Watson-Jones approach in treatment of benign bone
lesions of the proximal femur. Methods : Between March 2012 and December 2016, 20
patients (21 lesions) with benign bone tumors or tumor like conditions of
proximal femurs were treated with DHS and intralesional curettage via Watson
Jones approach. Their average age was 27.8 years (range, 11-51 years), including
13 males and 7 females. The pathological diagnosis were fibrous dysplasia in 11
cases, simple bone cyst in 2 cases, aneurysmal bone cyst in 2 cases, benign
fibrous histocytoma in 2 cases, giant cell tumor in 2 cases, and chondroblastoma
in 1 case, including 3 pathological fractures. According to the Enneking staging
system, 18 patients were in stage S1, 3 patients with pathological fractures in
stage S2. There was no varus deformity or valgus deformity. The operation time,
intraoperative blood loss, and time of full weight-bearing were recorded. X-ray
film and CT were used to observe the bone graft fusion and location of DHS.
Complications were recorded. Visual analogue scale (VAS) and Musculoskeletal
Tumor Society (MSTS) scoring were used to evaluate function of lower limbs.
Results: The average operation time was 177.1 minutes (range, 110-265 minutes).
The average intraoperative blood loss was 828.6 mL (range, 200-2 300 mL). There
was superficial incision infection in 1 case, deep incision infection in 1 case,
and hip discomfort in 1 case, respectively. All patients were followed up 6-63
months (mean, 27.4 months). The time of full weight-bearing was 2 days in 2
patients with giant cell tumor and 2 to 13 weeks with an average of 7.2 weeks in
the other patients. At last follow-up, VAS and MSTS were 0.19+/-0.51 and 29.62+/
0.97 respectively, showing significant differences when compared with the values
before operation (3.52+/-2.62 and 23.71+/-8.77) ( t=5.565, P=0.000; t=-3.020,
P=0.007 ). X-ray film showed the all bone grafts fusion with mean time of 8.2
months (range, 5-12 months). There was no pathological fracture of the femur,
local tumor recurrence, chronic hip pain, dislocation, or femoral head necrosis
during follow-up. Conclusion: The treatment of benign bone lesion of the proximal
femur using DHS and intralesional curettage via Watson-Jones approach is a safe
and effective method.
PMID- 29806362
TI - [Flexible internal fixation with locking plate for distal femoral fractures].
AB - Objective: To analyze the effectiveness of flexible internal fixation with
locking plate for distal femoral fractures. Methods: Between January 2015 and
June 2016, 21 cases of distal femoral fractures were treated. There were 5 males
and 16 females with an average age of 62 years (range, 32-88 years). Injury was
caused by falling in 14 cases, by traffic accident in 5 cases, and by falling
from height in 2 cases. The fractures located at the left side in 13 cases and
the right side in 8 cases. Twenty cases were fresh closed fractures and 1 case
was open fracture. According to AO/Association for the Study of Internal Fixation
(AO/OTA) typing, there were 5 cases of type 33-A1, 3 of type 33-A2, 8 of type 33
A3, 2 of type 33-C2, and 3 of type 33-C3. The time from injury to operation was 3
13 days (mean, 6.5 days). Results: All operation incisions healed primarily.
Eighteen patients were followed up 12-24 months (mean, 16 months). All fractures
healed, and the healing time was 8-24 weeks (mean, 16.6 weeks). The articular
surface was smooth and the thigh length was recovered. No screw loosening, plate
breakage, valgus or varus of the knee, stiff of the knee or non-unions occurred
during follow-up. There was no significant difference in visual analogue scale
(VAS) score between at 6 and 12 months after operation ( P>0.05), and the
difference was significant bewteen the other time points ( P<0.05). There were
significant differences in the range of motion of knee between 1 month and 3, 6,
12 months ( P<0.05); there was no significant difference between 3, 6, and 12
months ( P>0.05). There were significant differences in the Neer scores between
1, 3, 6, and 12 months after operation ( P<0.05). According to Neer score
criteria, the results were excellent in 12 cases and good in 6 cases at 12 months
after operation. Conclusion: Flexible internal fixation with locking plate for
distal femoral fractures can get good functional recovery.
PMID- 29806363
TI - [A clinical study on the relationship of the tail femur distance and the lag
screw migration or cutting-out after the third generation of Gamma nail fixation
of intertrochanteric fracture].
AB - Objective: To confirm the association between tail femur distance (TFD) and lag
screw migration or cutting-out in the treatment of intertrochanteric fracture
with the third generation of Gamma nail (TGN). Methods : The clinical data of
124 cases of intertrochanteric fracture treated with TGN internal fixation and
followed up more than 18 months between January 2012 and December 2015 were
reviewed and analyzed. There were 52 males and 72 females, with an age of 46-93
years (mean, 78.5 years). According to AO/Association for the Study of Internal
Fixation (AO/ASIF) classification, 43 cases were type 31-A1, 69 cases were type
31-A2, and 12 cases were type 31-A3. The time from injury to operation was 1-10
days (mean, 2.9 days). According to the fracture healing of the patients, the
patients were divided into the healing group and failure group. The age, gender,
height, bone mineral density (BMD), fracture AO/ASIF classification, the time
from injury to operation, and the TFD value at 1 day after operation were
recorded and compared. The risk factors for the migration or cutting-out of lag
screw were analyzed by logistic regression. Results: There were 111 cases in
healing group, the healing time was 80-110 days (mean, 95.5 days). There were 13
cases in failure group, including 2 cases of lag screw cutting-out and 11 cases
of significant migration. Except for the TFD value at 1 day after operation in
failure group was significantly higher than that in the healing group( t=5.14,
P=0.00), there was no significant difference in gender, age, height, BMD,
fracture of AO/ASIF classification, and the time from injury to operation (
P>0.05) between 2 groups. logistic regression analysis showed that TFD value was
a risk factor for the migration or cutting-out of lag screw (B=1.22, standardized
coefficient=0.32, Wald chi 2=14.66, P=0.00, OR=3.37). Conclusion: The patients
with higher TFD value had higher risk of postoperative lag screw migration or
cutting-out. This result indicates that the appropriate length of the lag screw
is helpful to reduce TFD value and prevent postoperative lag screw migration or
cutting-out.
PMID- 29806364
TI - [Effectiveness of Drawtex hydroconductive dressing in treatment of early
implantation-associated infection and soft tissue defect after internal fixation
of tibial fracture].
AB - Objective: To investigate the feasibility of Drawtex hydroconductive dressing in
treatment of early implantation-associated infection and soft tissue defect after
internal fixation of tibial fracture. Methods: Thirty-six New Zealand rabbits
were used to prepare the model of early implantation-associated infection after
internal fixation of tibial fracture, and randomly divided into 3 groups ( n=12)
. The infected wounds were covered with Drawtex hydroconductive dressing (group
A), chitosan solution gauze (group B), and normal saline gauze (group C),
respectively. The dressing was changed every 2 days. X-ray films were performed
at 1, 14, and 21 days. The gross observation, microbiological evaluation, and
histological observation were done at 21 days. Results: There was no significant
difference in the wound grading according to the James' grading criteria between
groups at 21 days ( chi2=3.713, P=0.156). X-ray films showed no bone destruction
in all groups at 1 day; and there was no significant difference in radiographic
scores between groups ( P>0.05). At 14 days, the mild osteolysis was observed in
group B; the radiographic score was significantly lower in groups A and C than in
group B ( P<0.05), but there was no significant difference between groups A and C
( P>0.05). At 21 days, the osteolysis and osteomyelitis were observed in groups B
and C; the radiographic score was significantly lower in group A than in groups B
and C ( P<0.05), but there was no significant difference between groups B and C (
P>0.05). Also, the microorganism in bone tissue of group A was less than that of
groups B and C ( P<0.05); and the difference between group B and group C was not
significant ( P>0.05). Histological observation showed the mild inflammatory cell
infiltration in group A and many inflammatory cells in groups B and C. The
Smeltzer histological score was significant lower in group A than in groups B and
C ( P<0.05); and there was no significant difference between groups B and C (
P>0.05). Conclusion: Drawtex hydroconductive dressing can be used for the
implantation-associated infection after tibial fracture internal fixation. And
the effectiveness of Drawtex hydroconductive dressing is better than that of
chitosan solution gauze and normal saline gauze.
PMID- 29806365
TI - [Application of buccal mucosa with Snodgrass procedure for repair of
hypospadias].
AB - Objective: To present method and experiences in using the buccal mucosa with the
Snodgrass procedure for repair of hypospadias. Methods: Between August 2012 and
April 2015, 55 boys with hypospadias were treated with Snodgrass procedure
combined with buccal mucosa. The age ranged from 1 to 7 years (mean, 4 years).
There were 32 cases of distal penile type, 14 cases of proximal penile type, and
9 cases of coronal sulcus type. The buccal mucosa taking from inner cheek was
fixed into the incised urethral plate. The urethral plate was tubularized over a
catheter. Results: All the patients were followed up 3-25 months (mean, 11
months). After operation, 1 patient had urethral stricture and fistula after
repaired urethra was infected, and 5 patients had fistula. For the others, the
urination was smooth, the appearance of penis was satisfying, the urethral
stricture did not occur, and the penis was straightened completely. Conclusion:
Compared with traditional Snodgrass procedure, the application of buccal mucosa
can increase the reconstruction material of urethral and reduce the stricture of
the repaired urethra after operation.
PMID- 29806366
TI - [Application of local skin flaps in adjustment of reconstructed nasal alars at
second stage].
AB - Objective: To investigate the effectiveness of local skin flaps such as V-Y
advancement flap (V-Y plasty) and transposition flap (Z plasty) in the adjustment
of reconstructed nasal alars at second stage. Methods: Between June 2012 and
January 2017, 14 cases of reconstructed nasal alars by nasolabial flap or
expanded forehead flap were recruited. There were 9 males and 5 females with an
average age of 34.8 years (range, 18-52 years). The interval time between one-
and two-stage operations was 1-12 months (mean, 3 months). The type of local skin
flap was decided according to the flaws of reconstructed nasal alars. V-Y plasty
was used in 5 cases, Z plasty in 2 cases, and V-Y plasty combined with Z plasty
in 7 cases. Results: All operations were successfully completed. The flaps in 3
cases suffered from epidermal necrosis at the far end and healed by dressing
change. The other wounds healed by first intention. All 14 cases were followed up
6-40 months (mean, 12 months). The nasal alars had verisimilar shape and
symmetrical appearance. The color and texture of reconstructed nasal alar were
closed to peripheral tissues. Conclusion: Applying local skin flaps such as V-Y
plasty and Z plasty can acquire a better shape in the adjustment of reconstructed
nasal alars.
PMID- 29806367
TI - [Posterior short-segment fixation including the fractured vertebra for severe
unstable thoracolumbar fractures].
AB - Objective: To discuss the effectiveness of posterior short-segment fixation
including the fractured vertebra for severe unstable thoracolumbar fractures
using pedicle screw fixation. Methods: Between May 2008 and July 2013, 52
patients of severe unstable thoracolumbar fractures were treated through
posterior short-segment fixation including the fractured vertebra using pedicle
screw fixation. There were 33 males and 19 females with an age of 21-56 years
(mean, 37.9 years). The causes of thoracolumbar burst fractures included fall
from height in 32 cases, traffic accidents in 16 cases, and others in 4 cases.
The load sharing classification (LSC) score was 7-9 (mean, 7.85). The levels
involved included T 11 in 4 cases, T 12 in 19 cases, L 1 in 25 cases, and L 2 in
4 cases. According to Frankel classification, there were 2 cases of grade A, 4
cases of grade B, 8 cases of grade C, 11 cases of grade D, and 27 cases of grade
E. The rate of spinal canal occupying was 24.2%-76.7% (mean, 47.1%). The time
from injury to operation was 3-5 days (mean, 3.6 days). The effectiveness was
assessed by the changes of injured vertebral Cobb angle, anterior vertebral
height, and the Frankel grading at pre- and post-operation. Results: The
operation time was 85-127 minutes (mean, 106.5 minutes). The intraoperative blood
loss was 90-155 mL (mean, 137.6 mL). All the incision healed at first intension.
Forty-seven patients were followed up 19-27 months (mean, 23.2 months), and no
incision infection, screw loosening, or other internal fixation failures was
found during follow-up. The injured vertebral Cobb angle and anterior vertebral
height at immediate after operation or at last follow-up were significantly
improved when compared with preoperative values ( P<0.001). There was a loss of
injured vertebral Cobb angle and anterior vertebral height at last follow-up, but
no significant difference was found between at immediate after operation and at
last follow-up ( P>0.05). The Frankel grade improved by 0-2 grades at last follow
up, showing significant difference when compared with preoperative grades (
Z=15.980, P=0.003). Conclusion: Posterior short-segment fixation including the
fractured vertebra for severe unstable thoracolumbar fractures (LSC>=7) using
pedicle screw fixation can correct the kyphosis deformity, restore vertebral body
height, and aviod the need of anterior reconstruction.
PMID- 29806368
TI - [Correlation analysis of preoperative T 1 slope in MRI and physiological
curvature loss after expansive open-door laminoplasty].
AB - Objective: To investigate whether preoperative T 1 slope (T 1S) in MRI can
predict the changes of cervical curvature after expansive open-door laminoplasty
(EOLP) in patients with cervical spondylotic myelopathy, so as to make up for the
shortcomings of difficult measurement in X-ray film. Methods: The clinical data
of 36 patients with cervical spondylotic myelopathy who underwent EOLP were
retrospectively analysed. There were 21 males and 15 females with an average age
of 55.8 years (range, 37-73 years) and an average follow-up time of 14.3 months
(range, 12-24 months). The preoperative X-ray films at dynamic position, CT, and
MRI of cervical spine before operation, and the anteroposterior and lateral X-ray
films at last follow-up were taken out to measure the following sagittal
parameters. The parameters included C 2-C 7 Cobb angle and C 2-C 7 sagittal
vertical axis (C 2-C 7 SVA) in all patients before operation and at last follow
up; preoperative T 1S were measured in MRI, and the patients were divided into
larger T 1S group (T 1S>19 degrees , group A) and small T 1S group (T 1S<=19
degrees , group B) according to the median of T 1S, and the preoperative T 1S, C
2-C 7 Cobb angle, C 2-C 7 SVA, and the C 2-C 7 Cobb angle and C 2-C 7 SVA at last
follow-up, difference in axial distance (the difference of C 2-C 7 SVA before and
after operation), postoperative curvature loss (the difference of C 2-C 7 Cobb
angle before and after operation), the number of patients whose curvature loss
was more than 5 degrees after operation, and the number of patients whose
kyphosis changed (C 2-C 7 Cobb angle was less than 0 degrees after operation).
Results: The C 2-C 7 Cobb angle at last follow-up was significantly decreased
when compared with preoperative value ( t=8.000, P=0.000), but there was no
significant difference in C 2-C 7 SVA between pre- and post-operation ( t=-1.842,
P=0.074). The preoperative T 1S was (19.69+/-3.39) degrees ; there were 17 cases
in group A and 19 cases in group B with no significant difference in gender and
age between 2 groups ( P>0.05). The preoperative C 2-C 7 Cobb angle in group B
was significantly lower than that in group A ( t=-2.150, P=0.039), while there
was no significant difference in preoperative C 2-C 7 SVA between 2 groups (
t=0.206, P=0.838). At last follow-up, except for the curvature loss after
operation in group B was significantly lower than that in group A ( t=-2.723,
P=0.010), there was no significant difference in the other indicators between 2
groups ( P>0.05). Conclusion: Preoperative larger T 1S (T 1S>19 degrees ) in MRI
had a larger preoperative lordosis angle, but more postoperative physiological
curvature was lost; preoperative T 1S in MRI can not predict postoperative
curvature loss, but preoperative larger T 1S may be more prone to kyphosis.
PMID- 29806369
TI - [Effect of heme oxygenase 1 on the apoptosis of human degenerated nucleus
pulposus cells induced by tumor necrosis factor alpha].
AB - Objective: To investigate the effect of heme oxygenase 1 (HO-1) on the apoptosis
of human degenerated nucleus pulposus (NP) cells induced by tumor necrosis factor
alpha (TNF-alpha), and explore its possible molecular mechanism. Methods: The
intervertebral disc tissues were derived from patients with lumbar intervertebral
disc herniation. Then, the NP cells were cultured in vitro and the third
generation of NP cells were used for subsequent experiments. Cell counting kit 8
(CCK-8) method was used to observe the proliferative effect of TNF-alpha on the
NP cells in vitro at the concentration of 10, 20, 50, 100, and 200 ng/mL. The
most apropriate concentration was selected according to the result of CCK-8. The
NP cells were cultured with basal medium (control group), TNF-alpha (TNF-alpha
group), TNF-alpha and CoPP 10 MUmol/L (CoPP group), and TNF-alpha and ZnPP 15
MUmol/L (ZnPP group), respectively. After cultured, the cell poptosis was
detected by Hoechst staining and flow cytometry; the expression of cleaved
Caspase-3, epithelial membrane protein 1 (EMP-1), HO-1, and p-P65 proteins were
detected by Western blot. In order to further explore the potential molecular
mechanisms of HO-1 for cell apoptosis, the NP cells were cultured with TNF-alpha
(TNF-alpha stimulated group), TNF-alpha and pyrrolidine dithiocarbamate (PDTC) 5
MUmol/L (TNF-alpha+PDTC stimulated group), respectively. Then the cell apoptosis
rate was measured by flow cytometry at 24 hours after cultured. Results: The
optimal concentration of TNF-alpha was 100 ng/mL. Hoechst staining showed that a
few apoptotic cells could be observed in control group and CoPP group; the
apoptosis-like nucleis were observed in TNF-alpha group and ZnPP group, which was
the most significant in ZnPP group. Flow cytometry showed that the cell apoptosis
rates of TNF-alpha group, CoPP group, and ZnPP group were significantly increased
when compared with the control group ( P<0.05). Compared with TNF-alpha group,
the cell apoptosis rate in CoPP group decreased ( P<0.05), while in ZnPP group it
increased ( P<0.05). Western blot showed that the expression of HO-1 protein in
TNF-alpha group was decreased, and the expressions of cleaved Caspase-3, EMP-1,
and p-P65 proteins were increased when compared with the control group ( P<0.05).
Compared with TNF-alpha group, the expression of HO-1 protein in CoPP group
increased, and the expressions of cleaved Caspase-3, EMP-1, and p-P65 proteins
were reduced ( P<0.05); the expression of HO-1 protein in ZnPP group decreased (
P<0.05), the expressions of cleaved Caspase-3 and EMP-1 proteins increased (
P<0.05), and the expression of p-P65 protein was not significantly changed (
P>0.05). Compared with TNF-alpha stimulated group, the cell apoptosis rate in TNF
alpha+PDTC stimulated group was significantly reduced ( t=3.076, P=0.031).
Conclusion: HO-1 can inhibit the apoptosis of degerated NP cells induced by TNF
alpha, and its mechanism effect is by inhibiting the nuclear factor kB signaling
pathway.
PMID- 29806370
TI - [Experimental study of urinary center change in pons after conus medullaris
injury in rats].
AB - Objective: To observe the structural changes of urinary center and the expression
of Bcl-2 after conus medullaris injury in rats brain so as to explore the
possible influence factors of degeneration in brain. Methods: Thirty-six adult
Sprague-Dawley rats were randomly divided into experimental group ( n=30) and
control group ( n=6). In the experimental group, the conus medullaris injury
model was established by cutting off the spinal nerve below L 4, and no treatment
was done in the control group. The modeling operations in the experimental group
were successful, and 2 rats died at 3 months and 5 months after modeling
operation respectively, which may be caused by renal failure or urinary tract
infection. In the experimental group, 6, 6, 6, 5, and 5 rats were killed at 1
day, 1 week, and 1, 3, 6 months after operation respectively, and 1 rat was
killed at each time point in the control group. The dorsolateral tissue of the
pontine tegmentum was harvested to perform HE staining and Bcl-2
immunohistochemical SP staining. Results: HE staining showed that there was no
obvious difference between the experimental group and the control group at 1 day
after operation, the neurons were densely packed, arranged neatly, and the
nucleoli were clear; at 1 week, the space between the neurons in the experimental
group were slightly widened; at 1 month, nucleus retraction in some neurons
happened in the experimental group; at 3 and 6 months, the nuclei in the
experimental group were more and more condensed, and even some cells disappeared.
Bcl-2 immunohistochemical SP staining showed that the expression of Bcl-2 in the
control group was weakly positive. The positive expression of Bcl-2 was found at
1 day after operation in the experimental group; the positive expression of Bcl-2
at 7 days after operation was significantly higher than that in the control
group, and reached the peak; the positive expression of Bcl-2 decreased gradually
at 1, 3, and 6 months after modeling operation, but it was still higher than that
of the control group. Conclusion: The urinary center appears structure
degeneration and necrocytosis after conus medullaris injury in rats brain. The
elevated expression of Bcl-2 may be associated with brain tissue repair and
function remodeling.
PMID- 29806371
TI - [The role of Schwann cells-like cells derived from human amniotic membrane
mesenchymal stem cells transplantation in flap nerves regeneration].
AB - Objective: Inducing human amniotic membrane mesenchymal stem cells (hAMSCs) to
Schwann cells-like cells (SCs-like cells) in vitro, and to evaluate the efficacy
of transplantation of hAMSCs and SCs-like cells on nerves regeneration of the rat
flaps. Methods: hAMSCs were isolated from placenta via two-step digestion and
cultured by using trypsin and collagenase, then identified them by flow cytometry
assay and immunofluorescence staining. The 3rd generation of hAMSCs cultured for
6 days were induced to SCs-like cells in vitro; at 19 days after induction, the
levels of S-100, p75, and glial fibrillary acidic protein (GFAP) were detected by
immunofluorescence staining, Western blot, and real-time fluorescence
quantitative PCR (qPCR). The levels of brain-derived neurotrophic factor (BDNF)
and nerve growth factor (NGF) were measured by ELISA in the supernatant of the
3rd generation of hAMSCs cultured for 6 days and the hAMSCs induced within 19
days. In addition, 75 female Sprague Dawley rats were taken to establish the rat
denervated perforator flap model of the abdominal wall, and were divided into 3
groups ( n=25). The 3rd generation of hAMSCs (1*10 6 cells) in the proliferation
period of culturing for 6 days, the SCs-like cells (1*10 6 cells), and equal
volume PBS were injected subcutaneously in the skin flap of the rat in groups A,
B, and C, respectively. At 2, 5, 7, 9, and 14 days after transplantation, 5 rats
in each group were killed to harvest the flap frozen sections and observe the
positive expression of neurofilament heavy polypeptide antibody (NF-01) by
immunofluorescence staining. Results: The cells were identified as hAMSCs by flow
cytometry assay and immunofluorescence staining. The results of
immunofluorescence staining, Western blot, qPCR showed that the percentage of
positive cells, protein expression, and gene relative expression of S-100, p75,
and GFAP in SCs-like cells group were significantly higher than those in hAMSCs
group ( P<0.05). The results of ELISA demonstrated that the expression of BDNF
and NGF was significantly decreased after added induced liquid 1, and the level
of BDNF and NGF increased gradually with the induction of liquids 2 and 3, and
the concentration of BDNF and NGF was significantly higher than that of hAMSCs
group ( P<0.05). Immunofluorescence staining showed that the number of
regenerated nerve fibers in group B was higher than that in groups A and C after
5-14 days of transplantation. Conclusion: The hAMSCs can be induced into SCs-like
cells with the proper chemical factor regulation in vitro, and a large number of
promoting nerve growth factor were released during the process of
differentiation, and nerve regeneration in flaps being transplanted the SCs-like
cells was better than that in flaps being transplanted the hAMSCs, which through
a large number of BDNF and NGF were released.
PMID- 29806372
TI - [The role of glutathione in steroid induced bone marrow mesenchymal stem cells
dysfunction].
AB - Objective: To investigate the protective effect of the antioxidant glutathione
(GSH) on the steroid-induced imbalance between osteogenesis and adipogenesis in
human bone marrow mesenchymal stem cells (BMSCs). Methods : The BMSCs were
isolated from the proximal femur bone marrow from 3 patients of femoral neck
fracture and were separated, cultured, and purificated by density gradient
centrifugation and adherent wall method in vitro. The third generation BMSCs were
divided into 5 groups: group A, BMSCs (1*10 5 cells/mL); group B, BMSCs (1*10 5
cells/mL)+10 MUmol/L dexamethasone; group C, BMSCs (1*10 5 cells/mL)+10 MUmol/L
dexamethasone+5 MUmol/L GSH; group D, BMSCs (1*10 5 cells/mL)+10 MUmol/L
dexamethasone+10 MUmol/L GSH; group E, BMSCs (1*10 5 cells/mL)+10 MUmol/L
dexamethasone+50 MUmol/L GSH. After cultured for 7 days, the reactive oxygen
species expression was detected by flow cytometry; the superoxide dismutase (SOD)
and Catalase mRNA expressions were determined by RT-PCR; the peroxisome
proliferator-activated receptors gamma (PPAR-gamma), CCAAT/enhancer-binding
family of proteins (C/EBP), Runx2, and alkaline phosphatase (ALP) mRNA
expressions were evaluated by real-time fluorescence quantitative PCR. After
cultured for 21 days, Oil red O staining was used to observe the adipogenesis
differentiation of cells, and the expressions of related proteins were detected
by Western blot. Results : The reactive oxygen species expression in group B was
obviously higher than in the other groups, in group C than in groups A, D, and E,
and in groups D, E than in group A, all showing significant differences between
groups ( P<0.05); but there was no significant difference between groups D and E
( P>0.05). The oil red O staining positive cells in group B were obviously more
than the other groups, and groups C, D, E, and A decreased sequentially, the
absorbance ( A) values had significant differences between groups ( P<0.05). RT
PCR detection showed that the relative expressions of SOD and Catalase mRNA in
group B were significantly lower than those in the other groups, while in group C
than in groups A, D, and E ( P<0.05), but there was no significant difference
among groups A, D, and E ( P>0.05). Real-time fluorescence quantitative PCR
detection showed that the relative expressions of PPAR-gamma and C/EBP mRNA in
group B were significantly higher than those in the other groups, while in group
C than in groups A, D, and E, and in groups D, E than in group A ( P<0.05); but
there was no significant difference between groups D and E ( P>0.05). The
relative expressions of Runx2 and ALP mRNA in group B were significantly lower
than those in the other groups, while in group C than in groups A, D, and E, and
in groups D, E than in group A ( P<0.05); but there was no significant difference
between groups D and E ( P>0.05). Western blot detection showed that the relative
expression of PPAR-gamma and C/EBP protein in group B was significantly higher
than those in the other groups, and groups C, D, E, and A decreased sequentially,
all showing significant differences between groups ( P<0.05). The relative
expression of Runx2 and ALP protein in group B was significantly lower than those
in the other groups, and groups C, D, E, and A increased sequentially, all
showing significant differences between groups ( P<0.05). Conclusions: GSH can
inhibit the adipogenesis differentiation and enhance the osteogenic
differentiation of human BMSCs by reducing the intracellular reactive oxygen
species level; and in a certain range, the higher the concentration of GSH, the
more obvious the effect is.
PMID- 29806373
TI - [The effects of CD44 fucosylation on fluid adhesion force of rabbit bone marrow
mesenchymal stem cells].
AB - Objective: To investigate the effect of CD44 fucosylation on fluid adhesion force
of rabbit bone marrow mesenchymal stem cells (BMSCs). Methods: The rabbit BMSCs
were isolated and purified by density gradient centrifugation combined with
adherent culture method. The morphology of cells were observed by inverted
microscope, and the cell surface markers of CD44, CD34, CD29, and CD105 were
assessed by flow cytometry. BMSCs fucosylated by alpha-(1, 3)-fucosyltransferase
VI (FTVI) were as the experimental group, and the non-fucosylated BMSCs were as
the control group, and then the positive rate of sialyl-LewisX (sLe X) and the
binding rate of E-selectin were detected by flow cytometry. The fucosylated BMSCs
resuspended in Hank balanced salt solution (HBSS) were assigned as the
experimental group (group A), at same time, the non-fucosylated BMSCs resuspended
in HBSS solution as the study control group (group B), and the fucosylated BMSCs
resuspended in HBSS solution which was added EDTA as negative control group
(group C). The fluid adhesion force of rabbit BMSCs were detected by the parallel
flow chamber adhesion test. Results: Primary BMSCs mainly shaped as spindle and
kept strong growth. The third generation BMSCs were negative for CD34, but
positive for CD44, CD29, and CD105. After fucosylation, the positive rate of sLe
X in the experimental group was 32.52%+/-1.76%, which was significantly higher
than that in the control group (1.48%+/-0.51%) ( t=29.277, P= 0.000). The binding
rate of E-selectin in the experimental group was 41.05%+/-1.84%, which was also
significantly higher than that in the control group (4.33%+/-0.92%) ( t=35.674,
P=0.000). With the increase of fluid shear force, the number of BMSCs adhering to
the surface of human umbilical vascular endothelial cells (HUVEC) in group A was
increased at first and then decreased, while there was few BMSCs adhering to the
surface of HUVEC in groups B and C. Under the different fluid shear stress, the
number of BMSCs adhered to the surface of HUVEC in group A was significantly
higher than that in groups B and C ( P<0.05), and there was no significant
difference between groups B and C ( P>0.05). Conclusion: CD44 fucosylation on
BMSCs can enhance the fluid adhesion force of rabbit BMSCs.
PMID- 29806374
TI - [Research progress on the donor cell sources of pancreatic islet transplantation
for treatment of diabetes mellitus].
AB - Objective: To summarize the research progress on the source and selection of
donor cells in the field of islet replacement therapy for diabetes mellitus.
Methods: Domestic and abroad literature concerning islet replacement therapy for
diabetes mellitus, as well as donor source and donor selection was reviewed and
analyzed thoroughly. Results: The shortage of donor supply is still a major
obstacle for the widely clinical application of pancreatic islet transplantation
(PIT). Currently, in addition to the progress on the allogeneic/autologous donor
islet supply, some remarkable achievements have been also attained in the
application of xenogeneic islet (from pig donor), as well as islet like cells
derived from stem cells and islet cell line, potentially enlarging the source of
implantable cells. Conclusion: Adequate and suitable donor cell supply is an
essential prerequisite for widely clinical application of PIT therapy for type 1
diabetes mellitus (T1DM). Further perfection of organ donation system, together
with development of immune-tolerance induction, gene and bioengineering
technology etc. will possibly solve the problem of donor cell shortage and
provide a basis for clinical application of cellular replacement therapy for
T1DM.
PMID- 29806375
TI - [Research progress of surgical treatment of thoracolumbar spinal tuberculosis].
AB - Objective: To review the progress of surgical treatment for the thoracolumbar
spinal tuberculosis. Methods: The related literature of surgical treatment for
the thoracolumbar spinal tuberculosis was reviewed and analyzed from the aspects
such as surgical approach, fixed segments, fusion ranges, bone graft, and bone
graft material research progress. Results: Most scholars prefer anterior or
combined posterior approach for surgical treatment of thoracic and lumbar
tuberculosis because it possessed advantage of precise effectiveness. In recent
years, a simple posterior surgery achieved satisfactory effectiveness. The
fixation segments are mainly composed of short segments or intervertebral
fixation. The interbody fusion is better for the bone graft fusion range and
manner, and the bone graft materials is most satisfied with autologous iliac Cage
or titanium Cage filled with autologous cancellous bone. Conclusion: The perfect
strategy for treating the thoracolumbar spinal tuberculosis has not yet been
developed, and the personalized therapy for different patients warrants further
study.
PMID- 29806376
TI - [Progress of monitoring methods and preventions of disorder of blood supplying of
expanded flaps].
AB - Objective: To summarize the monitoring methods and preventions of the disorder of
blood supplying of expanded flaps, so as to provide some references for improving
the survival of expanded flaps. Methods: The domestic and abroad related
literature about the disorder of blood supplying of expanded flaps was reviewed
and analyzed. Results: Handheld Doppler, digital subtraction angiography,
computer tomographic angiography, magnetic resonance angiography, and fluorescein
angiography can be used as reliable preoperative imaging methods in designing
expanded flaps with rich blood supply. Several techniques can be used for
monitoring the blood supply of expanded flaps during the early postoperative
period including traditional monitoring via physical examination, monitoring via
dynamic infrared thermography, near-infrared spectroscopy tissue oximeter,
external and implantable Doppler, and more recently developed diffuse correlation
spectroscopy. Surgical delay, bloodletting, leech therapy, hyperbaric oxygen, and
so on can decrease the risk of necrosis in expanded flaps. Conclusion: The
survival of expanded flap is influenced by many factors. Preoperative design by
using handheld Doppler and new imaging technology and postoperative early
detection of blood supply can provide references of timely intervention, so that
ischemic necrosis of the flaps can be reduced, and the success rate of surgery
can be improved.
PMID- 29806377
TI - [Effectiveness of improved elbow anteromedial approach in treatment of ulna
coronoid process fracture].
AB - Objective: To observe the effectiveness of reduction and fixation by the improved
elbow anteromedial approach in treatment of ulna coronoid process fracture.
Methods: Between January 2010 and December 2014, 13 patients with the ulna
coronoid process fracture were treated with reduction and fixation by the
improved elbow anteromedial approach. There were 10 males and 3 females with an
average age of 37.2 years (range, 18-57 years). Five cases were caused by traffic
accident, 7 cases by falling injury from height, and 1 case by object impact
injury. Seven cases were the terrible triad of the elbow, 4 cases were the ulna
coronoid process and radial head fractures, 1 case was the proximal radius and
ulna fractures, and 1 case was the ulna coronoid process and distal radius
fractures. According to Regan-Morrey classification criteria, the ulna coronoid
process fracture was rated as type II in 2 cases and as type III in 11 cases.
According to O'Driscoll classification criteria, 10 of the 13 cases were anterior
coronoid fracture (8 cases of type IIb, 2 of type IIc), and 3 of basal fracture.
The operation time, amount of intraoperative bleeding, postoperative
complications, range of motion (ROM) of the elbow joint, Mayo elbow function
index (MEPI) score and fracture healing time were recorded. Results: The average
operation time was 38.7 minutes (range, 30-55 minutes), and the average amount of
intraoperative bleeding was 109.3 mL (range, 90-160 mL). All incisions healed at
stage I. There was no iatrogenic vascular or nerve injury. All patients were
followed up 13-24 months (mean, 16.9 months). All fractures achieved clinical
healing. The average healing time was 11.2 weeks (range, 8-16 weeks). There were
2 cases of heterotopic ossification. At last follow-up, the ROM of elbow flexion
was 119-145 degrees (mean, 132.4 degrees ); the ROM of elbow extension was -8-15
degrees (mean, 7 degrees ). The ROM of forearm pronation was 68-90 degrees
(mean, 78.6 degrees ), and the ROM of forearm supination was 76-90 degrees
(mean, 84.3 degrees ). At last follow-up, the MEPI score was 70-100; and 9 cases
were excellent, 3 cases were good, and 1 case was fair. The excellent and good
rate was 92.3%. Conclusion: Improved elbow anteromedial approach for the ulna
coronoid process fracture can not only avoid the injuries of surrounding blood
vessels and nerves, but also perform fracture reduction and fixation under direct
vision. It is a safe, simple, and effective treatment method for the ulna
coronoid process fracture.
PMID- 29806378
TI - [Effectiveness of arthroscopy-assisted combined fixation of Kirschner wire and
external fixator for treating extreme distal radial fractures].
AB - Objective: To investigate the effectiveness of arthroscopy-assisted combined
fixation of Kirschner wire and external fixator for treating extreme distal
radial fractures. Methods: Between January 2014 and May 2016, 21 patients who
suffered from extreme distal radial fractures were treated by arthroscopy
assisted combined fixation of Kirschner wire and external fixator. There were 14
males and 7 females with an age of 32-57 years (mean, 42.3 years). The causes of
injury included falling in 13 cases and traffic accident in 8 cases. The fracture
type included 8 cases of type 23C1, 9 cases of type 23C2, and 4 cases of type
23C3 according to AO/OTA classification. The time from injury to operation was 3
7 days (mean, 4.4 days). The Mayo score and disability of arm, shoulder, and hand
(DASH) score were used to assess the pain and function of the wrist joint.
Results: There was no needle red swelling, tendon irritation, or orther early
complications. All the patients were followed up 10-35 months (mean, 18.3
months). The fracture healing time was 9-13 weeks (mean, 10.6 weeks). At last
follow-up, the Mayo score was 87-94 (mean, 90.9); and 17 cases were excellent and
4 were good. The DASH score was 7-13 (mean, 10.6). Conclusion: Arthroscopy
assisted combined fixation of Kirschner wire and external fixator for treating
extreme distal radial fractures has the advantages of firm fixation, early
functional exercise, less postoperative complications, and good functional
recovery of wrist joint.
PMID- 29806379
TI - [Safety and efficacy of total hip arthroplasty in patients with end stage renal
disease].
AB - Objective: To evaluate the safety and efficacy of total hip arthroplasty (THA) in
patients with end stage renal disease (ESRD). Methods: Between December 2009 and
May 2016, 30 THAs were performed in 28 patients with dialysis-dependent renal
failure (18 patients) or renal transplantation (10 patients). A retrospective
case control study was carried out to compare these 28 ESRD patients (ESRD group)
with a matched cohort of 28 nonrenal patients (control group). There was no
significant difference in gender, age, hip side, American Society of
Anesthesiology (ASA) classification, comorbidities, and preoperative Harris score
and hemoglobin (Hb) level between 2 groups ( P>0.05). The complications during
hospitalization, Hb level changes, perioperative transfusion rate, hospital stay,
and hospitalization costs in 2 groups were evaluated; and the creatinine changes
of dialysis patients in ESRD group were evaluated. During the follow-up period,
90 days readmissions, periprosthetic infection, prosthesis loosening, hip Harris
score, and deaths were recorded. Results: There was no loss of follow-up. The
mean postoperative follow-up of ESRD group was 3.4 years (range, 1.0-7.4 years)
and control group was 3.5 years (range, 1.0-7.4 years). Differences in hospital
stay and the drop of Hb was not significant between 2 groups ( P>0.05). The
hospitalization costs and perioperative transfusion rate were significantly
higher in ESRD group than in control group ( P<0.05). There was no significant
difference in creatinine value of dialysis patients in ESRD group between pre-
and post-operation ( t=1.804, P=0.089). At last follow-up, the Harris score was
significantly higher than preoperative score in both groups ( P<0.05); however,
there was no significant difference in Harris score between groups ( t=1.278,
P=0.207). In ESRD group, 5 patients presented complications, 1 patient was
readmitted to hospital, and 2 patients died during the follow-up. In control
group, 1 patient presented complications, and there was no 90 days readmission
and no death. During the follow-up period, there was no loosening or revision in
2 groups and the prosthesis was in good position. Conclusion: THA can improve
joint function and life quality of ESRD patients, but the risks of operation,
perioperative complications, transfusion rate, and cost are high. Correct
perioperative evaluation and management can help ESRD patients safely go through
the perioperative period.
PMID- 29806380
TI - [One-stage total knee arthroplasty for femoral supracondylar fracture combined
with knee osteoarthritis].
AB - Objective: To evaluate the effectiveness of one-stage total knee arthroplasty
(TKA) for femoral supracondylar fracture combined with knee osteoarthritis.
Methods: Between January 2012 and March 2015, a total of 19 patients (19 knees)
with femoral supracondylar fracture and knee osteoarthritis were treated with one
stage TKA. Of 19 cases, 8 were male and 11 were female with an average age of
69.6 years (range, 60-85 years). The mean body mass index was 22.6 kg/m 2 (range,
22.0-27.5 kg/m 2). The left knee was involved in 13 cases, and the right knee in
6 cases. The causes of femoral supracondylar fracture were falls in 10 cases,
traffic accidents in 8 cases, and other injury in 1 case. All fractures were
classified as type A according to AO/Association for the Study of Internal
Fixation (AO/ASIF) classification. The interval of injury and operation was 4-13
days (mean, 8.6 days). The disease duration of osteoarthritis ranged from 30 to
90 months (mean, 52.6 months). During follow-up, the knee society score (KSS) and
the range of motion (ROM) were used to evaluate the knee function;
anteroposterior and lateral X-ray films of the knee were used to observe the
position of the prosthesis. Results: All the incisions healed at the first stage,
and there was no early complication such as pulmonary infection, pressure ulcer,
and urinary tract infection. All patients were followed up 2-4 years with an
average of 2.6 years. The ROM and KSS functional scores and clinical scores were
significantly improved at 15 days and 2 years after operation, showing
significant differences when compared with those before operation ( P<0.05).
There were significant differences in the ROM and KSS functional scores and
clinical scores between two time points after operation ( P<0.05). X-ray films
showed the fracture bone healing, good alignment, no loosening of prosthesis at 2
years after operarion. Conclusion: One-stage TKA for femoral supracondylar
fracture combined with knee osteoarthritis can achieve good effectiveness. It can
not only reconstruct joint function, but also cure osteoarthritis and fracture at
the same time, shorten the healing time, reduce the incidence of related
complications.
PMID- 29806381
TI - [Improved technique for perforation of patella combined with suture anchor and
non-tourniquet for repairing acute rupture of the bone tendon junction of
quadriceps tendon].
AB - Objective: To investigate effectiveness of a improved technique for perforation
of patella combined with suture anchor and non-tourniquet for repairing acute
rupture of the bone tendon junction of quadriceps tendon. Methods: Between June
2010 and June 2016, 15 patients with acute rupture of the bone tendon junction of
quadriceps tendon were treated with the improved technique for perforation of
patella combined with suture anchor and non-tourniquet. Of them, 14 were male and
1 was female, aged from 19 to 74 years (mean, 44 years). Seven cases were sports
injuries, 5 cases were caused by slipping, and 3 cases were caused by violent
trauma. The duration of quadriceps tendon rupture ranged from 3 hours to 3 days
(median, 2 days). The right side was involved in 9 cases, and the left side in 6
cases. All of the cases were closed injuries. The patients had no sequelae of
limb dysfunction and no limb joint surgery in the past. All the patients received
anteroposterior and lateral X-ray films of the knee joint and patella axial films
during follow-up. Knee function of the patients after operation was evaluated by
Lysholm scoring system and Kujala scoring system. Results: The operation time was
50-60 minutes (mean, 55 minutes). The intraoperative blood loss was 50-150 mL,
with an average of 87 mL. Primary healing of incision was obtained in all
patients without complications. All patients were followed up 12-24 months (mean,
18 months). At 1 year after operation, the knee joint function of all patients
recovered well; the knee Lysholm score was 92-96, with an average of 94 and the
patellofemoral joint Kujala score was 90-95, with an average of 93. There was no
re-rupture of quadriceps tendon or loosening of internal fixation during follow
up. According to the lateral and axial X-ray films at 1 year after operation, the
patella depth index, femur trochlea depth, sulcus angle, and Insall-Salvati index
were 3.62-4.09 (mean, 3.84), 4.45-6.50 (mean, 5.56), 137-145 degrees (mean, 142
degrees ), and 0.90-1.18 (mean, 1.06). The lateral patellofemoral angle
increased, and the patellar tilt angle and the patella lateral shift distance
reduced, all showing significant differences when compared with preoperative ones
( P<0.05). Conclusion: The improved technique for perforation of patella combined
with suture anchor and non-tourniquet for repairing acute rupture of the bone
tendon junction of quadriceps tendon can reconstruct a stable patellofemoral
joint, increase the strength of fixing, disperse stress effectively and evenly,
and increase the area for tendon-bone healing.
PMID- 29806382
TI - [Effectiveness of talonavicular arthrodesis for Muller-Weiss disease].
AB - Objective: To evaluate the short-term effectiveness of talonavicular arthrodesis
for Muller-Weiss disease. Methods: Between May 2013 and February 2015, 13
patients with Muller-Weiss disease were treated with talonavicular arthrodesis.
There were 11 females and 2 males with an average age of 59 years (range, 42-67
years). The disease duration was 8-20 years (mean, 13 years). According to
Maceira stage, there were 7 cases of stage III, 6 cases of stage IV. The foot
longitudinal arch height measured on weight-bearing X-ray films was (43.1+/-1.8)
mm; the Meary angle and talocalcaneal angle measured on lateral X-ray films were
(-2.8+/-2.3) degrees and (5.8+/-2.4) degrees , respectively; the calcaneal
valgus angle measured on Saltzman position X-ray films was (-2.0+/-0.7) degrees .
The American Orthopaedic Foot and Ankle Society (AOFAS) score was 43.5+/-12.4,
and visual analogue scale (VAS) score was 7.3+/-1.5. Results: All the patients
were followed up 14-39 months (mean, 20 months). The symptoms of foot pain and
intermittent claudication disappeared in all patients. All cases achieved bony
union, the fusion time was 12-16 weeks (mean, 13 weeks). There was no
complications such as wound infection, skin necrosis, or internal fixator broken.
At last follow-up, the foot longitudinal arch height, Meary angle, talocalcaneal
angle, and calcaneal valgus angle were (52.5+/-2.2) mm, (1.3+/-2.2) degrees ,
(16.5+/-3.7) degrees , and (0.4+/-0.7) degrees , respectively; the AOFAS score
and VAS score were 83.8+/-9.1 and 1.0+/-0.4, respectively; all were significantly
improved when compared with preoperative ones ( P<0.05). Conclusion: If the
subtalar and calcaneocuboid joints are relatively healthy, talonavicular
arthrodesis may be a reliable and effective surgical option for Muller-Weiss
disease that is resistant to conservative treatment.
PMID- 29806383
TI - [Clinical observation of expanded curettage in treatment of chondroblastoma].
AB - Objective: To explore the effectiveness of expanded curettage in the treatment of
chondroblastoma. Methods: The clinical data of 37 patients with chondroblastoma
who were treated with expanded curettage between January 2011 and May 2016 were
retrospectively analyzed. There were 24 males and 13 females, with a median age
of 17 years (range, 12-30 years). There were 32 primary patients and 5 recurrent
patients. Local pain was the first symptom in all patients. The average disease
duration was 4.9 months (range, 2-8 months). The lesions were located in the
distal femur in 10 cases, the proximal femur in 7 cases, the proximal tibia in 9
cases, the proximal humerus in 5 cases, the patella in 2 cases, the talus in 1
case, the calcaneus in 1 case, and pelvis in 2 cases. According to the Enneking
staging of benign bone tumors, all tumors were rated as the 3rd stage. The length
of the lesion ranged from 1.2 to 6.9 cm (mean, 3.2 cm). The lesions involved the
epiphyseal plate in 19 cases. Results: All incisions healed by first intention,
and no complications occurred. All patients were followed up 12-76 months, with
an average of 40.5 months. At last follow-up, the Musculoskeletal Tumor Society
(MSTS) score was 27.5+/-1.4, and the difference was significant when compared
with pre-operative value (18.5+/-1.9) ( t=23.462, P=0.000). The chondroblastoma
recurred in 1 case (2.7%) after 5 months. X-ray film showed that bone resorption
was found in 6 cases, but there was no obvious collapse in the articular surface
of bone graft. The limb shortening deformity occurred in 3 cases who were
epiphyseal plate involvement patients and lesions located around the knee joint.
But there was no varus deformity, and knee joint activity was not affected.
Conclusion: Expanded curettage has advantages of low incidence of recurrence and
skeletal deformity, good limb function, and it is one of the ideal options for
chondroblastoma.
PMID- 29806384
TI - [Intramedullary nail combined with auxiliary plate and bone cement in treatment
of pathologic fracture of extremities caused by metastatic tumors].
AB - Objective: To explore the application of intramedullary nail fixation combined
with auxiliary plate and bone cement in the palliative treatment of pathologic
fracture of extremities caused by metastatic tumors. Methods : Clinical data of
11 cases with pathologic fracture of extremities caused by metastatic tumors
between April 2015 and October 2016 were retrospectively analyzed. All the
patients were treated by intramedullary nail fixation combined with auxiliary
plate and bone cement. There were 6 males and 5 females with an age of 54-72
years (mean, 62.9 years). The disease duration was 1.0-1.5 months. Of the 11
patients, 4 metastatic tumors were diagnosed at humerus, 6 at femur, and 1 at
tibia, respectively. And the tumor infiltration length ranged from 3.3 to 5.6 cm
(mean, 4.6 cm), the depth could reach the bilayer of limb bones. All the patients
had suffered the limbs pain and incapability of physical movement. The
preoperative visual analogue scale (VAS) score was 6.36+/-1.03, and the Karnofsky
Performance Status (KPS) score was 42.73+/-10.09. The operation time,
intraoperative blood loss, and postoperative complications were recorded. The VAS
score, KPS score, and Musculoskeletal Tumor Society (MSTS) score were used to
evaluate the effectiveness at 3 months after operation. Results: The operation
time was 1.1-1.8 hours (mean, 1.5 hours), the intraoperative blood loss was 102.5
211.3 mL (mean, 135.6 mL). Postoperative limb incisions healed well without
infection, necrosis, and delayed healing or other complications. All the patients
were followed up 7-10 months (mean, 8.2 months). At 3 months after operation, the
functions of limbs recovered. The VAS score decreased to 0.82+/-0.75 and the KPS
score increased to 85.45+/-5.22, both showing significant difference when
compared with preoperative ones ( t=35.218, P=0.000; t=-18.470, P=0.000); and the
MSTS score was 23.91+/-2.47. At last follow-up, the anteroposterior and lateral X
ray films showed that all the limbs healing well and no breakage of
intramedullary nail and steel plate, or loosening in bone cement, limb
shortening, malalignment, or other complications occurred. Conclusion: In
treating metastatic tumors of extremities, the combination of intramedullary nail
fixation with auxiliary plate and bone cement will contribute to an invariable
length and fixed location for limbs, resulting in biomechanical stability for
skeleton. Under this premise, the tumor lesions can be eliminated and
pathological pains be relieved, so as to improve patients' life quality.
PMID- 29806385
TI - [Preliminary effectiveness of laminated bevel suturing technique for treating
acute closed Achilles tendon rupture].
AB - Objective: To introduce a modified technique for treating acute closed Achilles
tendon rupture and evaluate the preliminary effectiveness. Methods: Between March
2011 and September 2015, 8 cases (8 sides) with acute closed Achilles tendon
rupture were repaired with the laminated bevel suturing technique. All of the
patients were male with an average age of 39.3 years (range, 22-58 years),
injured in nonprofessional sports. The diagnosis was confirmed by typical signs
of positive heel-lift test and Thompson test; the complete rupture of Achilles
tendon was determined by color Doppler ultrasound or MRI, and the distance
between the stump and calcaneus was 2-5 cm (mean, 3.3 cm). The time from injury
to operation was 2-12 days (mean, 4.1 days). With the patient in prone position,
a posterior longitudinal incision medial to the tendon was made, the broken
stumps of Achilles tendon were divided into 3 layers on the coronal plane, fibers
made into strips. The strips were staggered and stacked, stitched side to side
with absorbable suture. The ankle joint at the plantar flexion position was fixed
with plaster, and early rehabilitation exercise was carried out. Results: The
operation time was 70-135 minutes (mean, 99 minutes); the intraoperative blood
loss was 5-30 mL (mean, 15.6 mL). All the incisions healed by first intention
without infection, except for 1 case who need dressing exchange because of
partial delayed healing. All the patients were followed up 6-50 months (mean,
30.5 months). There was no complication of surgical site infection, sural nerve
injury, or deep vein thrombosis. The patients could walk normally with powerful
raising heels and return to previous sports, without complication of re-rupture.
Compared with the contralateral side, the activity of ankle joint dorsiflexion
reduced 0-6 degrees (mean, 3 degrees ); plantar flexion reduced 1-5 degrees
(mean, 2 degrees ). At last follow-up, according to Arner-Lindholm score, the
surgical results were excellent in 7 cases and good in 1 case, with an excellent
and good rate of 100%. Conclusion: Laminated bevel suturing technique is simple
for repairing acute closed Achilles tendon rupture without the need of special
surgical instruments. It provides enough tensile strength for early
rehabilitation exercise to rapid and good recovery.
PMID- 29806386
TI - [Anatomical study on anterolateral ligament in a Chinese population].
AB - Objective: To make further exploration of the structure characteristics of
anterolateral ligament (ALL) and provide clinical reference for diagnosis and
treatment of ALL injury, especially for ALL reconstruction through anatomical
study of ALL in a Chinese population. Methods: Sixteen cadaveric knees, including
8 left knees and 8 right knees with sex ratio of 1?1 and a mean age of 73.5 years
(range, 57-84 years), were dissected to reveal the ALL through a standard
approach. A qualitative and a quantitative characterizations of the ALL were
performed. Results: ALL was seen in 75.0% of the specimens, originating on the
lateral femoral epicondyle, proximal and posterior to the lateral collateral
ligament (LCL). It coursed on LCL or was parallel to LCL, anterodistally to its
anterolateral tibial attachment approximately midway between the center of the
Gerdy's tubercle and the lateral margin of the fibular head. A strong connection
was observed between the middle part of the ALL and the periphery of the meniscal
body of the lateral meniscus. The distance between the center of the insertion
and Gerdy's tubercle was (23.9+/-3.3) mm; and the distance between the center of
the insertion and the lateral margin of the fibular head was (23.8+/-4.0) mm. The
ALL length at 0 degrees and 60 degrees flexion and neutral knee rotation were
(44.8+/-5.1) mm and (47.8+/-5.5) mm respectively ( t=14.071, P=0.000), and the
ligament had its great extend at 60 degrees of knee flexion and internal
rotation. Furthermore, the ALL length at 0 degrees and 60 degrees flexion of
males were both significantly higher than those of females ( t=2.920, P=0.015;
t=2.806, P=0.019), while other measurements differences were significant between
males and females ( P>0.05). Conclusion: ALL is an independent and a non
isometric ligament located in the anterolateral area to the knee, of which the
length is different between males and females. ALL plays a role in rotational
stability of the knee, and should be put much emphasis in clinical practice.
PMID- 29806387
TI - [A finite element analysis of petal-shaped poly-axial locking plate fixation in
treatment of Y-shaped patellar fracture].
AB - Objective: To establish the finite element model of Y-shaped patellar fracture
fixed with titanium-alloy petal-shaped poly-axial locking plate and to implement
the finite element mechanical analysis. Methods: The three-dimensional model was
created by software Mimics 19.0, Rhino 5.0, and 3-Matic 11.0. The finite element
analysis was implemented by ANSYS Workbench 16.0 to calculate the Von-Mises
stress and displacement. Before calculated, the upper and lower poles of the
patella were constrained. The 2.0, 3.5, and 4.4 MPa compressive stresses were
applied to the 1/3 patellofemoral joint surface of the lower, middle, and upper
part of the patella respectively, and to simulated the force upon patella when
knee flexion of 20, 45, and 90 degrees . Results: The number of nodes and
elements of the finite element model obtained was 456 839 and 245 449,
respectively. The max value of Von-Mises stress of all the three conditions
simulated was 151.48 MPa under condition simulating the knee flexion of 90
degrees , which was lower than the yield strength value of the titanium-alloy and
patella. The max total displacement value was 0.092 8 mm under condition
simulating knee flexion of 45 degrees , which was acceptable according to
clinical criterion. The stress concentrated around the non-vertical fracture line
and near the area where the screws were sparse. Conclusion: The titanium-alloy
petal-shaped poly-axial locking plate have enough biomechanical stiffness to fix
the Y-shaped patellar fracture, but the result need to be proved in future.
PMID- 29806388
TI - [Experiment of porous calcium phosphate/bone matrix gelatin composite cement for
repairing lumbar vertebral bone defect in rabbit].
AB - Objective: To investigate the effect of a porous calcium phosphate/bone matrix
gelatin (BMG) composite cement (hereinafter referred to as the "porous composite
cement") for repairing lumbar vertebral bone defect in a rabbit model. Methods:
BMG was extracted from adult New Zealand rabbits according to the Urist's method.
Poly (lactic-co-glycolic) acid (PLGA) microsphere was prepared by W/O/W double
emulsion method. The porous composite cement was developed by using calcium
phosphate cement (CPC) composited with BMG and PLGA microsphere. The
physicochemical characterizations of the porous composite cement were assessed by
anti-washout property, porosity, and biomechanical experiment, also compared with
the CPC. Thirty 2-month-old New Zealand rabbits were used to construct vertebral
bone defect at L 3 in size of 4 mm*3 mm*3 mm. Then, the bone defect was repaired
with porous composite cement (experimental group, n=15) or CPC (control group,
n=15). At 4, 8, and 12 weeks after implantation, each bone specimen was assessed
by X-ray films for bone fusion, micro-CT for bone mineral density (BMD), bone
volume fraction (BVF), trabecular thickness (Tb. Th.), trabecular number (Tb.N.),
and trabecular spacing (Tb. Sp.), and histological section with toluidine blue
staining for new-born bone formation. Results: The study demonstrated well anti
washout property in 2 groups. The porous composite cement has 55.06%+/-1.18% of
porosity and (51.63+/-6.73) MPa of compressive strength. The CPC has 49.38%+/
1.75% of porosity and (63.34+/-3.27) MPa of compressive strength. There were
significant differences in porosity and compressive strength between different
cements ( t=4.254, P=0.006; t=2.476, P=0.034). X-ray films revealed that the zone
between the cement and host bone gradually blurred with the time extending. At 12
weeks after implantation, the zone was disappeared in the experimental group, but
clear in the control group. There were significant differences in BMD, BVF, Tb.
Th., Tb. N., and Tb. Sp. between 2 groups at each time point ( P<0.05).
Histological observation revealed that there was new-born bone in the cement with
the time extending in 2 groups. Among them, bony connection was observed between
the new-born bone and the host in the experimental group, which was prior to the
control group. Conclusion: The porous composite cement has dual bioactivity of
osteoinductivity and osteoconductivity, which are effective to promote bone
defect healing and reconstruction.
PMID- 29806389
TI - [Effect of chondrogenesis related miR-4287 on expression of aggrecanase-1 in
human chondrocytes].
AB - Objective: To investigate the effect and mechanism of miR-4287, a chondrogenesis
associated microRNA, regulated the expression of aggrecanase-1 (a disintegrin and
metalloproteinase with thrombospondin motif 4, ADAMTS4) in human chondrocytes.
Methods: First, the voluntarily donated normal and osteoarthritic knee articular
cartilages were used to detect the expressions of miR-4287 and ADAMTS4 mRNA by
real-time fluorescence quantitative PCR. Then, chondrocytes were isolated from
knee articular cartilages. The effect of IL-1beta on the expression of miR-4287
and ADAMTS4 mRNA was validated by the first generation of osteoarthritic
chondrocytes. To confirm the influence of IL-1beta signal pathways on the
expression of miR-4287 and ADAMTS4 mRNA, osteoarthritic chondrocytes were
pretreated with MAPK signal pathway inhibitor SP600125, NF-kappaB pathway
inhibitor SN50, and finally stimulated with IL-1beta. Chondro cytes were
transfected with miR-4287 mimics and mimics negative control, inhibitors and
inhibitors negative control respectively to value the effect of miR-4287 on
ADAMTS4 expression. Luciferase reporter assay was used to verify the direct
interaction between miR-4287 and putative site in the 3-untranslated region
(3'UTR) of ADAMTS4 mRNA. Results: Compared with normal knee articular cartilages,
the miR-4287 expression was markedly diminished and conversely ADAMTS4 mRNA
expression was raised in osteoarthritis cartilages ( P<0.05). Stimulation with IL
1beta led to a reduction in miR-4287 expression and upregulation in ADAMTS4 mRNA
expression, showing significant difference when compared with the untreated
groups ( P<0.05). Pretreatment with IL-1beta signal pathway inhibitors induced
miR-4287 expression and attenuated ADAMTS4 mRNA expression in human chondrocytes,
which were significantly different from that of unstimulated cells ( P<0.05).
ADAMTS4 mRNA and protein were suppressed by transfection with miR-4287 mimics (
P<0.05) and elevated by transfection with miR-4287 inhibitors ( P<0.05). As
luciferase reporter assay showed, overexpression miR-4287 failed to alter the
luciferase activity of a reporter construct containing either wild or mutant
3'UTR of ADAMTS4 mRNA ( P>0.05). Conclusion: miR-4287, a chondrogenesis
associated microRNA, may play an important role in cartilage degeneration. miRNA
4287 is able to regulate ADAMTS4 expression in human chondrocytes, but not by
means of directly targeted the ADAMTS4 mRNA 3'UTR. The exact mechanisms need to
be further addressed.
PMID- 29806390
TI - [Application of rapid prototyping technology on nasoalveolar molding for cleft
lip and palate].
AB - Objective: To apply rapid prototyping (RP) technology on pre-fabricating
nasoalveolar molding (NAM) appliances, and compare clinical outcomes and
complications with traditional NAM appliances. Methods: Between June 2014 and
September 2016, 39 children with unilateral cleft lip and palate were included in
study. Seventeen children (test group) had received novel NAM protocol by pre
fabricating NAM appliances using RP technology, and the other 22 children
(control group) had received traditional NAM protocol. There was no significant
difference in gender, age, the side of cleft lip and palate, and the width of the
alveolar cleft gap before treatment between 2 groups ( P>0.05). The change of
width of the alveolar cleft gap, number of clinic visit, treatment time, and
complications were compared between 2 groups. Results: The number of clinic visit
was less in test group than in control group ( P<0.05). There was no significant
difference in treatment time between 2 groups ( P>0.05). During treatment, there
was 16 children (72.2%) of skin irritation, 3 (13.6%) of mucosal ulceration, 1
(4.5%) of intraoral bleeding, 1 (4.5%) of alveolar arch T-shap asymmetry in
control group. And there were 11 children (64.7%) of skin irritation, 3 (17.6%)
of mucosal ulceration in test group. There was no significant difference in the
incidence of complications between 2 groups ( P>0.05). After treatment, the
anterior alveolar cleft width, horizontal cleft width, sagittal cleft width,
antero-medial alveolar ridges angle of the healthy side, angle between anterior
alveolar and posterior alveolar baseline of the healthy side, perpendicular
distance from buccal frenum point to sagittal line were significantly reduced
when compared with the values before treatment ( P<0.05). The angle between the
anterior segments of two sides, angle between buccal frenum point and posterior
baseline were significant increased when compared with the values before
treatment ( P<0.05). There was no significant difference in the differences
between pre- and post-treatment of above indexes between 2 groups ( P>0.05).
There also was no significant difference in posterior alveolar width, the width
between the middle parts of alveolar, vertical cleft width, antero-medial
alveolar ridges angle of the affected side, and angle between anterior alveolar
and posterior alveolar baseline of the affected side between pre- and post
treatment in each group ( P>0.05). Conclusion: Clinical outcome of novel approach
was equivalent to traditional protocol; however, the number of clinic visit
decreased. With improving of RP technology, it would provide a more consistency
and convenient way for sequential treatment with cleft lip and palate.
PMID- 29806391
TI - [Application of modified adjustable skin stretching and secure wound-closure
system in repairing of skin and soft tissue defect].
AB - Objective: To investigate the application of modified adjustable skin stretching
and secure wound-closure system in repairing of skin and soft tissue defect.
Methods: Between March 2016 and April 2017, 21 cases of skin and soft tissue
defects were repaired with the modified adjustable skin stretching and secure
wound-closure system (the size of regulating pressure and the times of adjustment
were determined according to the color, temperature, capillary response, and
swelling degree of the skin edge). There were 11 males and 10 females, with an
average age of 49.2 years (range, 21-67 years). Among them, 1 case was the
residual wound after amputation of leg; 18 cases were the wounds after traumatic
injury operation, including 4 cases in the lower leg, 3 cases in the knee joint,
7 cases in the upper limb, and 4 cases in the foot; and 2 cases were diabetic
feet. The skin defect area ranged from 4.0 cm*2.5 cm to 21.0 cm*10.0 cm. Results:
Skin defect wounds closed directly in one stage in 4 cases; 12 cases were closed
after continuously stretching for 5-14 days (mean, 10 days); 5 cases were reduced
to less than one-half area, and the wound healed after the second skin grafting
or flap repairing. All the 21 patients were followed up 3-12 months (mean, 5.2
months). The wound was linear healing with small scar, and no invasive margin,
poor blood flow, necrosis, and poor sensory function happened. Conclusion: The
modified adjustable skin stretching and secure wound-closure system can reduce
the skin and soft tissue defects or close the wound directly, and even replace
the skin graft and skin flap repairing. It was a good method for the treatment of
skin and soft tissue defect.
PMID- 29806392
TI - [Establishment of micro-vessels model of cross-boundary perforator flap in rat
via digital technology].
AB - Objective: To investigate the feasibility and application value of digital
technology in establishing the micro-vessels model of cross-boundary perforator
flap in rat. Methods: Twenty 8-week-old female Sprague Dawley rats, weighing 280
300 g, were used to established micro-vessels model. The cross-boundary
perforator flaps of 10 cm*3 cm in size were prepared at the dorsum of 20 rats;
then the flaps were sutured in situ. Ten rats were randomly picked up at 3 and 7
days after operation in order to observe the necrosis of flap and measure the
percentage of flap necrosis area; the lead-oxide gelatin solution was used for
vessels perfusion; flaps were harvested and three-dimensional reconstruction of
micro-vessel was performed after micro-CT scanning. Vascular volume and total
length were measured via Matlable 7.0 software. Results: The percentage of flap
necrosis area at 3 days after operation was 19.08%+/-3.64%, which was
significantly lower than that at 7 days (39.76%+/-3.76%; t=10.361, P=0.029).
Three-dimensional reconstruction via the micro-CT clearly showed the
morphological alteration of micro-vessel of the flap. At 3 days after operation,
the vascular volume of the flap was (1 240.23+/-89.71) mm 3 and the total length
was (245.94+/-29.38) mm. At 7 days after operation, the vascular volume of the
flap was (1 036.96+/-88.97) mm 3 and the total length was (143.20+/-30.28) mm.
There were significant differences in the vascular volume and the total length
between different time points ( t=5.088, P=0.000; t=7.701, P=0.000). Conclusion:
The digital technology can be applied to visually observe and objectively
evaluate the morphological alteration of the micro-vessels of the flap, and
provide technical support for the study of vascular model of flap.
PMID- 29806393
TI - [Visualization research of three-dimensional microstructure of rabbit sciatic
nerve bundles by micro-CT].
AB - Objective: To realize the visualization of three-dimensional microstructure of
rabbit sciatic nerve bundles by micro-CT and three-dimensional visualization
software Mimics17.0. Methods: The sciatic nerve tissues from 6 New Zealand
rabbits were divided into 2 groups ( n=3), and the sciatic nerve tissues were
stained by 1% (group A) and 5% (group B) Lugol solution respectively. After
staining for 0.5, 1.0, 1.5, 2.0, 2.5, 3.0, and 3.5 hours, the imaging changes of
specimens were observed by light microscope and micro-CT. The clear micro-CT
images were exported to the Mimics software to complete the visualization of
three-dimensional microstructure of rabbit sciatic nerve according to three
dimensional reconstruction tool. Results: The clear three-dimensional
microstructure images could be observed in group A at 2.5 hours after staining
and in group B at 1.5 hours after staining by light microscope and micro-CT. The
sciatic nerve of New Zealand rabbits were divides into 3 bundles and each of them
was relatively fixed. There was no obvious crossing or mergers between each
bundle. The cross-sectional area of each bundle was (0.425+/-0.013), (0.038+/
0.007), and (0.242+/-0.026) mm 2 respectively. The digital model could clearly
reflect the microstructure of the sciatic nerve at all cross sections.
Conclusion: The internal structure of New Zealand rabbits sciatic nerve can be
clearly reflected by micro-CT scanning. It provides a reliable method for
establishing a nerve microstructure database with large amount specimens.
PMID- 29806394
TI - [Application of amniotic membrane-living skin equivalent in repairing skin defect
after removal of congenital giant nevus].
AB - Objective: To investigate the feasibility of human amniotic membrane-living skin
equivalent (AM-LSE) in repairing the skin defect. Methods: A 5-year-old boy with
giant nevus at neck, shoulder, and back was admitted in July 2016. Normal skin
tissue of the patient was harvested and keratinocytes and dermal fibroblasts were
separated and expanded in vitro. Human AM was donated from a normal delivery and
de-epithelialized for constructing an LSE as a matrix. Keratinocytes were seeded
on the epithelial side of the AM which was previously seeded with fibroblasts on
the stromal side and then the complex was lifted for air-liquid surface
cultivation for 10 days and observed under naked eyes and sampled for
histological study. The nevus was excised to deep fascia and the skin defect in
size of 20 cm*15 cm was covered with artificial skin of collagen sponge for 2
weeks to enhance granulation tissue formation, and then the AM-LSE grafts of
stamp size were grafted on. The dressing was changed until the wound healed.
Results: After 10 days of air-liquid surface cultivation, the AM-LSE developed a
multilayered and differentiated epidermis with the fibroblasts-populated amnion
as the dermal matrix. The LSE stamps survived and expanded to cover the whole
wound. The grafted area showed normal skin color and soft contexture at 6 months
after operation, and histological study showed well developed epidermis with
compactly aligned basal cells, stratified and well differentiated squamous,
granular layers and stratum corneum and well vascularized dermal compartment
without inflammatory cells infiltration. Conclusion: The cultivated AM-LSE with
autologous cells can repair skin defect and survive for a long term without
rejection.
PMID- 29806395
TI - [Effect of bone marrow mesenchymal stem cells conditioned medium on microglia and
its secretion of arginase 1 in rats].
AB - Objective: To observe the effect of bone marrow mesenchymal stem cells (BMSCs)
conditioned medium on microglia (MGs) and its secretion of arginase 1 (Arg1).
Methods: The BMSCs separated through differential adhesion method from the femur
and tibia marrow of 4-week-old Sprague Dawley (SD) rats were cultured and
identified by Vimentin immunofluorescence staining; whereas MGs separated through
trypsin digestion method from the brain of 3-day-old SD rats were cultured and
identified by Iba1 immunofluorescence staining. The primary MGs were cultured
with DMEM/F12 medium containing BMSCs conditioned medium (experimental group) and
with single DMEM/F12 medium (control group), respectively. After 48 hours of
culture, the morphology of MGs was observed by inverted phase contrast
microscope, the activated state of MGs was detected by using Iba1
immunofluorescence staining, and Arg1 expression of MGs was assessed by Iba1-Arg1
double-labelling immunofluorescence staining and Western blot method. Results:
Inverted phase contrast microscope observation showed that BMSCs entered
logarithmic growth phase at 14 days after culture, and more than 98% cells were
positive to Vimentin immunofluorescence staining; whereas MGs entered logarithmic
growth phase at 21 days after culture, and around 80% cells were positive to Iba1
immunofluorescence staining. Inverted phase contrast microscope observation
displayed that in the experimental group, MGs were activated with increased size
of soma, shortened process, and amoeba change. Immunofluorescence staining
displayed that the Iba1 positive cells number in the experimental group was
significantly higher than that in the control group ( t=0.007, P=0.000); double
labelling immunofluorescence staining revealed that the Iba1-Arg1 positive cells
number in the experimental group was significantly higher than that in the
control group ( t=0.007, P=0.000); and Western blot results elucidated that the
relative expression of Arg1 protein in the experimental group was significantly
higher than that in the control group ( t=0.001, P=0.000). Conclusion: BMSCs
conditioned medium can activate MGs and induce MGs to express Arg1.
PMID- 29806396
TI - [Research progress of miRNA regulation in differentiation of adipose-derived stem
cells].
AB - Objective: To review the research progress of miRNA regulation in the
differentiation of adipose-derived stem cells (ADSCs). Methods: The recent
literature associated with miRNAs and differentiation of ADSCs was reviewed. The
regulatory mechanism was analyzed in detail and summarized. Results: The results
indicate that the expression of miRNAs changes during differentiation of ADSCs.
In addition, miRNAs regulate the differentiation of ADSCs into adipocytes,
osteoblasts, chondrocytes, neurons, and hepatocytes by regulating the signaling
pathways involved in cell differentiation. Conclusion: Through controlling the
differentiation of ADSCs by miRNAs, the suitable seed cell for tissue engineering
can be established. The review will provide a theoretical basis for molecular
targeted therapy and stem cell therapy in clinic.
PMID- 29806397
TI - [Research and application progress of bioactive glass in bone repair].
AB - Objective: To review the research and application progress of bioactive glass in
bone repair. Methods: The recently published literature concerning bioactive
glass in bone repair was reviewed and summarized. Results: Bioactive glass can
classified different types, such as bioactive glass particulate, bioactive glass
scaffold, bioactive glass coating, injectable bioactive glass cement, and
bioactive glass delivery system. Bioactive glass has been well studied in the
field of bone repair due to its excellent biological properties. Also, the
remarkable progress has been made in various aspects. Conclusion: Bioactive glass
is a reliable material of bone repair and will play an even more important role
in the future.
PMID- 29806398
TI - [Research progress on protease-activated receptor 2 in pathogenesis of
osteoarthritis].
AB - Objective: To review the research progress on protease-activated receptor 2 (PAR
2) in the pathogenesis of osteoarthritis (OA). Methods: The relevant literature
about the mechanism of PAR-2 in the occurrence and development of OA in recent
years was extensively reviewed and comprehensively analyzed. Results: Abnormal
activation of PAR-2 plays an important role in responses to occurrence and
development of OA. Through regulating production and releasing of a variety of
cytokines (such as inflammatory factors, metabolic factors, pain factors, etc.),
the PAR-2 can involve in pathophysiological progression of OA articular
cartilage, subchondral bone, and synovial membrane, as well as occurrence and
transmission of pain. Conclusion: PAR-2 participation in the development of OA
has been confirmed. However, since PAR-2 is complicated and widespread, it is
necessary to study the specific role of PAR-2 and the interaction between various
signal pathways in the progression of OA, and to elucidate the potential
pathophysiological mechanisms of PAR-2 participating in the process of OA, in the
hope of exploring the new targets for the effective control of OA.
PMID- 29806399
TI - [Mid-term effectiveness of arthroscopic surgery for femoroacetabular
impingement].
AB - Objective: To assess the mid-term effectiveness of arthroscopic surgery in
treatment of femoroacetabular impingement (FAI). Methods: Between July 2014 and
December 2015, 131 patients (132 hips) with FAI were enrolled in this study.
There were 68 males and 63 females with an average age of 42.5 years (range, 17
68 years). The FAI was located at left hip in 72 cases, right hip in 58 cases,
and bilateral hips in 1 case. The mean disease duration was 35 months (range, 3
120 months). Under arthroscopy, the femoral neck osteoplasty and/or acetabular
rim trimming were performed, then the torn labrum was repaired. At the same time,
the iliopsoas tendon was divided and the torn round ligament was debrided. The
effectiveness was assessed by the visual analogue scale (VAS) and the Harris hip
score (HHS). Results: After operation, the perineum nerve palsy and numbness of
dorsal feet occurred in 2 cases and 3 cases, respectively. There was no other
complication. All patients were followed up 20-36 months (mean, 27.7 months).
There were significant differences in the VAS scores and HHS scores between pre
operation, post-operative 3 months, and the last follow-up ( P<0.05). Imaging
examination showed that joint interspace of the involved hip was normal, and
there was no sign of impingement and osteoarthritis in the femoral neck or
acetabulum. Conclusion: Arthroscopic labral repair and osteoplasty is an
effective and safe management for FAI in the mid-term follow-up.
PMID- 29806400
TI - [Treatment of elbow joint stiffness by open release combined with distal radius
fixation hinged external fixation].
AB - Objective : To observe the effectiveness of open release combined with distal
radius fixation hinged external fixation for the treatment of elbow stiffness.
Methods: A total of 77 patients with elbow stiffness caused by trauma were
enrolled in this study between October 2014 and October 2015. The patients were
divided into control group (39 cases) and observation group (38 cases) by random
number table method. The patients in the observation group were treated with
elbow joint opening and release combined with distal radial fixation hinged
external fixation, while the control group was treated with traditional release.
There was no significant difference in gender, age, disease causes, original
damage diagnosis, the time from injury to operation, preoperative flexion and
extension activity of elbow joint, and preoperative Mayo elbow joint function
score between 2 groups ( P>0.05). After operation, the function of elbow joint
was evaluated by the flexion and extension activity of the elbow joint and the
Mayo elbow joint function evaluation standard. Results : The incisions healed by
first intention in 2 groups. In observation group, there were 1 case infection of
nail tract, 2 cases ulnar nerve symptoms, 1 case elbow ossification, and 1 case
moderate pain in the elbow; while in control group, there were 2 cases of
infection of nail tract, 2 cases of ulnar nerve symptoms, and 3 cases moderate
pain in the elbow. The patients in 2 groups were followed up 6 weeks to 12
months, with an average of 6 months. The flexion and extension activity of elbow
joint and Mayo elbow joint function score at last follow-up were significantly
improved when compared with preoperative ones ( P<0.05); at last follow-up, the
flexion and extension activity of elbow joint and Mayo elbow joint function score
in observation group patients were significantly better than those in control
group ( P<0.05). According to the Mayo elbow function evaluation standard, the
results were excellent in 27 cases, good in 10 cases, and fair in 1 case, with an
excellent and good rate of 97.4% in observation group; and the results were
excellent in 12 cases, good in 21 cases, fair in 4 cases, and poor in 2 cases,
with an excellent and good rate of 84.6% in control group; showing no significant
difference between 2 groups ( P=0.108). Conclusion : Open release combined with
distal radius fixation hinged external fixation can significantly improve the
elbow function of patients with traumatic elbow stiffness, its recovery of elbow
function was superior to the traditional release.
PMID- 29806401
TI - [Treatment of Day type II pelvic crescent fracture by using percutaneous
cannulated screw fixation technique].
AB - Objective: To evaluate the feasibility and effectiveness of percutaneous
cannulated screw fixation for the treatment of Day type II pelvic crescent
fracture. Methods: The clinical data of 14 patients with Day type II pelvic
crescent fractures underwent closed reduction and percutaneous cannulated screw
fixation between January 2009 and July 2016 were retrospectively analysed. There
were 9 males and 5 females, aged 17-65 years (mean, 38 years). The causes of
injury included traffic accident in 8 cases, falling from height in 3 cases,
bruise injury in 3 cases; all were closed fractures. According to Tile
classification, there were 8 cases of type B, 6 cases of type C. There were 13
cases combined with fracture of the anterior pelvic ring, including 8 cases of
superior and inferior ramus of pubis fracture, 1 case of superior ramus of pubis
fracture with symphysis separation, and 4 cases of symphysis separation. The
interval of injury and admission was 1- 72 hours (mean, 16 hours), and the
interval of injury and operation was 3-8 days (mean, 5 days). After operation,
the reduction of fracture was evaluated by the Matta evaluation criteria, the
clinical function was assessed by Majeed function assessment. Results: The
operation time was 35-95 minutes (mean, 55 minutes), cumulative C-arm fluoroscopy
time was 3-8 minutes (mean, 5 minutes), no iatrogenic vascular injury and pelvic
organ damage occurred. Postoperative X-ray films at 2 days indicated that 2 cases
of vertical shift and 2 cases of mild rotation were not completely corrected.
Postoperative CT examination at 3 days indicated that 2 pubic joint screws broke
through the obturator bone cortex. None of the pubic ramus screws entered into
the acetabulum, but a screw of superior pubic branch broke through the posterior
cortical of superior pubic branch, a screw of posterior ilium column broke
through the medial bone cortex of the ilium, and no clinical symptom was
observed. One patient suffered from wound infection in the pubic symphysis, then
healed after 2 weeks of wound drainage, the other wounds healed by first
intention. According to Matta criterion for fracture reduction, the results were
excellent in 9 cases, good in 4 cases, and fair in 1 case with an excellent and
good rate of 92.9%. All patients were followed up 8-24 months (mean, 14 months).
All fractures healed at 4 months and restored to the normal walking at 6 months
after operation, 3 patients suffered from slight pain in the sacroiliac joints
and slight claudication when they were tired or walked for a long time and
unnecessary for special treatment. One patient felt pain in the back of the iliac
spine when he was lying down. During the follow-up, no screw loosening or other
internal fixation failure occurred. At last follow-up, according to Majeed
functional evaluation criteria, the results were excellent in 7 cases, good in 5
cases, and fair in 2 cases with an excellent and good rate of 85.7%. Conclusion:
The percutaneous cannulated screw fixation is a safe treatment for Day type II
pelvic crescent fracture, which has a reliable fixation and good effectiveness.
PMID- 29806402
TI - [Treatment of unstable pelvic fractures by cannulated screw internal fixation
with the assistance of three-dimensional printing insertion template].
AB - Objective: To evaluate the effectiveness of unstable pelvic fractures treated by
cannulated screw internal fixation with the assistance of three-dimensional (3D)
printing insertion template. Methods: The clinical data of 10 patients who
underwent surgical treatment for unstable pelvic fractures by cannulated screw
internal fixation with the assistance of 3D printing insertion template between
May 2015 and June 2016 were retrospectively analysed. There were 7 males and 3
females with an average age of 37.5 years (range, 20-58 years). The causes of
injury included falling from height in 5 cases, crushing from heavy load in 1
case, and traffic accidents in 4 cases. The interval from injury to admission was
1-5 hours (mean, 3.1 hours). The fracture situation included 6 cases of sacral
fracture, 1 case of right sacroiliac joint dislocation, and 3 cases of iliac bone
fracture. There were 10 cases of superior and inferior pubic rami fracture,
including 3 cases on the left side (2 cases of suprapubic fracture adjacent to
symphysis pubis), 2 cases on the right side, and 5 cases on the bilateral. All
fractures were classified according to the Tile system, there were 4 cases of
type B2, 1 of type B3, 4 of type C1, and 1 of type C2. The radiological outcome
was evaluated by Matta scale, and the positions of the iliosacral screw and
superior pubic ramus screw were evaluated according to 3D reconstruction of CT
postoperatively. The functional outcome was evaluated by Majeed function scale.
Results: The average time of each screw implantation was 30 minutes, and the
average blood loss per screw incision was 50 mL. The time of implantation of each
sacroiliac screw was 24-96 seconds (mean, 62 seconds), and the time of
implantation of each suprapubic screw was 42-80 seconds (mean, 63.2 seconds). The
hospitalization duration was 17-90 days (mean, 43.7 days). All incisions healed
by first intention. All patients were followed up 12-22 months (mean, 15.6
months). The radiological outcome was excellent in 8 cases and good in 2 cases
according to Matta scale; and 3D reconstruction of CT demonstrated that all the 9
iliosacral screws were placed as type I, and all the 13 suprapubic ramus screws
were placed as grade 0 on the first postoperative day. No complication such as
neurovascular injury, screw back out or rupture, or secondary fracture
displacement was observed during the follow-up. At 6 months after operation, the
X-ray films showed good fracture healing in all the 10 patients. The functional
outcome was excellent in 9 cases and good in 1 case according to Majeed scale at
1 year after operation. One patient sustained Tile C2 pelvic disruption
complicated with L 5 nerve root injury achieved complete nervous functional
recovery at last follow-up. Conclusion: It has advantages of precise screw
insertion and lower risk of neurovascular injury to treat unstable pelvic
fractures by cannulated screw internal fixation with the assistance of 3D
printing insertion template, which can be a good alternative for the treatment of
unstable pelvic fractures.
PMID- 29806403
TI - [A study of total hip arthroplasty with subtrochanteric osteotomy in Crowe type
IV developmental dysplasia of hip].
AB - Objective: To evaluate the effectiveness of total hip arthroplasty (THA) combined
with subtrochanteric osteotomy in the treatment of Crowe type IVdevelopmental
dysplasia of the hip (DDH). Methods: Between April 2008 and June 2016, 71
patients with unilateral Crowe type IV DDH were treated with THA. Of 71 cases, 44
were performed with subtrochanteric osteotomy (osteotomy group) and 27 were
performed without subtrochanteric osteotomy (non-osteotomy group). There was no
significant difference in gender, age, body mass, height, body mass index,
affected side, and preoperative Harris score between 2 groups ( P>0.05). The
complications were recorded and the effectiveness was assessed by Harris score.
Besides, the femoral dislocation height and the settling depth of sleeve were
measured in the pelvic anteroposterior X-ray film pre- and post-operatively.
Results: Osteotomy group was followed up 12-90 months (mean. 34.77 months), and
non-osteotomy group was followed up 12-79 months (mean, 34.33 months). There was
no significant difference in follow-up time between 2 groups ( t=-0.088,
P=0.930). There was 11 cases of intraoperative or postoperative complications in
osteotomy group, and 3 cases of postoperative complications in non-osteotomy
group. Among the osteotomy group, 1 case had nonunion due to infection and
received revision after 20 months. No loosening or dislocation of the implant
occurred in both 2 groups. Significant differences were found in femoral
dislocation height and settling depth of sleeve between 2 groups ( t=-8.452,
P=0.000; t=6.783, P=0.000). Moreover,the osteotomy length was not correlated with
the settling depth of sleeve ( r=-0.038, P=0.806). At last follow-up, there was
no significant difference in Harris score between 2 groups ( t=-1.160, P=0.254).
Conclusion: THA combined with subtrochanteric osteotomy can provide a favorable
outcome for treating Crowe type IV DDH. Furthermore, patients with higher femoral
dislocation and severely narrow femoral proximal canals are prone to be peformed
with subtrochanteric osteotomy.
PMID- 29806404
TI - [Effectiveness of medial open wedge high tibial osteotomy combined with posterior
slope angle of tibial plateau correction in treatment of osteoarthritis of
limited flexion knee with varus deformity].
AB - Objective: To explore the short-term effectiveness of medial open wedge high
tibial osteotomy (OWHTO) combined with posterior slope angle of tibial plateau
correction to treat the osteoarthritis of limited flexion knee with varus
deformity. Methods: The data of 18 cases (18 knee) with osteoarthritis of limited
flexion knee with varus deformity between January 2014 and July 2016 were
analyzed retrospectively. There were 6 males and 12 females with an average age
of 54.9 years (range, 48-64 years). There were 8 cases of left knee and 10 cases
of right knee. The varus of knee ranged from 7.45 to 15.52 degrees (mean, 10.63
degrees ). According to Kellgren-Lawrence grading standard, there were 4 cases of
grade II and 14 of grade III. OWHTO was used to adjust the varus deformity, and
the posterior slope angle of tibial plateau was adjusted to solve the limited
flexion. Results: The thickness of osteotomy was 10-19 mm (mean, 14.91 mm). The
operation time was 1.2-2.0 hours (mean, 1.4 hours). All incisions healed by first
intension. All patients were followed up 1.0-2.5 years, with an average of 1.5
years. At last follow-up, the range of knee flexion and Lysholm score, Hospital
for Special Surgery (HSS) score, and International Knee Documentation Committee
(IKDC) score were significantly higher than preoperative ones, showing
significant differences ( P<0.05). X-ray films showed that the osteotomy healed
at 3- 7 months (mean, 3.6 months) after operation. At last follow-up, the limb
alignment by the relative position of tibial plateau and the posterior slope
angle of tibial plateau were significantly improved, showing significant
differences when compared with preoperative ones ( P<0.05). Conclusion: The OWHTO
combined with posterior slope angle of tibial plateau correction can
significantly improve the range of flexion and functional score in short-term.
PMID- 29806405
TI - [A prospective randomized controlled study of total knee arthroplasty via mini
subvastus and conventional approach].
AB - Objective: To compare the clinical and radiographic results between primary total
knee arthroplasty (TKA) via mini-subvastus or conventional approach through a
prospective randomized controlled study. Methods: Ninety-four patients (104
knees) undergoing primary TKA between January 2011 and April 2012 were evaluated
and randomly divided into 2 groups. Forty-six patients (52 knees) underwent TKA
via conventional approach (conventional approach group), and 48 patients (52
knees) underwent TKA via mini-subvastus approach (mini-subvastus approach group).
In these patients, 45 cases (51 knees) in conventional approach group and 45
cases (49 knees) in mini-subvastus approach group were followed up and recruited
in the final analysis. There was no significant difference in age, gender, body
mass index, sides, osteoarthritis grading, American Knee Society Score (KSS),
Hospital for Special Surgery (HSS) score, visual analogue scale (VAS), range of
motion (ROM) of knee between 2 groups ( P>0.05). The clinical indexes were
recorded and analyzed, including the operation time, length of incision, total
blood loss, blood transfusion after operation, hospital stay time, the time of
performing straight leg raise, incision condition, VAS score, ROM of knee, HSS
score, and KSS score, hip-knee-ankle angle (HKA), femoral anatomic axis and the
femoral prosthesis joint angle (femoral angle), tibia anatomic axis and tibial
prosthesis joint angle (tibial angle), femoral prosthesis flexion angle (FPFA),
and posterior slope angle (PSA) of the tibial plateau. Results: All patients were
followed up. The average follow-up time was 66.4 months (range, 60.0-72.5 months)
in conventional approach group and 65.6 months (range, 60.0-71.2 months) in mini
subvastus approach group. Compared with the conventional approach group, the
incision of mini-subvastus approach group shortened, the operation time
prolonged, and the time when patients started straight leg raise exercises was
earlier; showing significant differences between 2 groups ( P<0.05). There was no
significant difference in hospital stay time, total blood loss, and numbers of
blood transfusion between 2 groups ( P>0.05). The complication rate was 8.2%
(4/49) in mini-subvastus approach group and 0 in conventional approach group,
showing no significant difference between 2 groups ( P=0.054). At 1 and 3 days,
the VAS and the ROM of knee in mini-subvastus approach group were significantly
better than those in conventional approach group ( P<0.05); but there was no
significant difference in above indexes between 2 groups at 14 day ( P>0.05).
There was no significant difference in HSS and KSS scores between 2 groups at the
1, 3, 6, 12 months and 3, 5 years ( P>0.05). X-ray film showed no aseptic
loosening in all cases. There was no significant difference in the measured
values and incidence of abnormal patient of HKA, femoral angle, tibial angle,
FPFA, and PSA at last follow-up between 2 groups ( P>0.05). Conclusion: TKA via
mini-subvastus approach is helpful to the early function recovery of knee, but
the long-term effectiveness is consistent with TKA via conventional approach. As
the limited exposure, TKA via mini-subvastus spproach may has high risk of
operative complications.
PMID- 29806406
TI - [Arthroscopic treatment for patellar dislocation with lateral retinacular release
combined with medial patellofemoral ligament reconstruction].
AB - Objective: To investigate the effectiveness of the arthroscopic lateral
retinacular release combined with medial patellofemoral ligament (MPFL)
reconstruction for patellar dislocation. Methods: Between January 2016 and March
2017, 28 cases (32 knees) with patellar dislocation were treated by arthroscopic
lateral retinacular release and MPFL reconstruction. There were 6 males (6 knees)
and 22 females (26 knees) with an average age of 21 years (range, 17-29 years).
The disease duration ranged from 2 days to 2 years (mean, 8 months). Apprehension
test of all patients were positive. The preoperative Lysholm score was 68.34+/
12.26. Anteroposterior X-ray film showed the patellar subluxation or dislocation.
The Q angle was (17.67+/-4.21) degrees and the distance of tibia tuberosity
trochlear groove was less than 20 mm. The femoral attachment of retinacular were
fixed by the interference screws (16 knee) or the anchors (16 knee),
respectively. Results: All incisions healed by first intention. All patients were
followed up 6 months. The function of knee joint was significantly improved at 6
months after operation. The Lysholm score was 92.88+/-6.42 and the Q angle was
(12.15+/-3.68) degrees at 6 months. There were significant differences in the
Lysholm score and the Q angle between pre- and post-operation ( t=-3.408,
P=0.006; t=-2.317, P=0.004). Apprehension test of all patients were negative. No
knee pain, knee weakness, and patellar dislocation occurred during follow-up.
There was no significant difference in the Lysholm score and the Q Angle between
the anchor group and interference screw group ( t=-3.254, P=0.820; t=-3.576,
P=0.940). Conclusion: Lateral retinacular release combined with MPFL
reconstruction under arthroscopy can effectively improve the function of the knee
joint for patients with Q angle less than 20 degrees and TT-TG less than 20 mm,
and the early effectiveness is good. There is no significant difference in knee
function between the anchor and interference screw internal fixation.
PMID- 29806407
TI - [The dynamization of external fixation for treatment of open tibia and fibula
fractures].
AB - Objective: To evaluate the effects of dynamization of external fixation on open
tibia and fibula fracture union. Methods: The clinical data of 26 cases of open
tibia and fibula fractures treated by external fixation were retrospectively
analysed. According to different postoperative treatment methods, the patients
were divided into elastic dynamic group (group A, n=13) and constant elastic
fixation group (group B, n=13). There was no significant difference in gender,
age, and fracture type between 2 groups ( P>0.05). The removal time of external
fixator in group B was evaluated by fracture healing time, X-ray film, and
doctor's experience. In group A, the growth of callus was examined based on X-ray
film at 12 weeks after operation; the axial mechanical load ratio was tested, and
dynamic loading was carried out when the axial mechanical load ratio was 5%-10%.
The using time of external fixator, fracture healing time, and incidence of
complications were compared between 2 groups. Results: All patients were followed
up 4-13 months, with an average of 5.7 months. During the treatment, there was no
complication such as loosening or breaking of the external fixator, fracture
displacement, or re-fracture in 2 groups. The using time of external fixator in
group A was (24.77+/-1.42) weeks and the fracture healing time was (23.04+/-1.30)
weeks, which were all significantly reduced when compared with those in group B
[(34.38+/-1.71) weeks and (32.46+/-1.66) weeks] ( t=16.10, P=0.00; t=15.58,
P=0.00). In group A, there were 2 cases of needle tract infection and 1 case of
muscle weakness, the incidence of complication was 23.1%; in group B, there were
3 cases of needle tract infection, 1 case of muscle weakness, and 1 case of
delayed union of fracture, the incidence of complication was 38.5%; there was no
significant difference in the incidence of complication between 2 groups (
P=1.000). Conclusion: Dynamization of external fixation can promote union of open
tibia and fibula fractures with a high security.
PMID- 29806408
TI - [Effectiveness of Ilizarov technique in treatment of clubfoot after burns].
AB - Objective: To investigate the effectiveness of Ilizarov technique in treatment of
the clubfoot after burns. Methods: Between March 2012 and February 2017, 12
patients (17 feet) with clubfoot after burns were treated with Ilizarov
technique. There were 10 males (14 feet) and 2 females (3 feets) with an average
age of 38 years (range, 18-52 years). There were 3 cases of left foot, 4 cases of
right foot, and 5 cases of both feet. According to the Qin's classification of
clubfoot deformity, there were 5 feet of degreeI, 9 feet of degreeII, and 3 feet
of degree III. Results: All clubfeet were completely corrected without local skin
necrosis, needle tract infection, or acroanesthesia. All patients could walk with
a heavy load. Pationts were followed up from 4 months to 3 years (mean, 10
months). At last follow-up, according to the International Clubfoot Study Group
(ICFSG) score, the effectiveness was rated as excellent in 7 feet, good in 7
feet, and fair in 3 feet, with the excellent and good rate of 82.4%. Conclusion:
Using Ilizarov technique can gradually correct the clubfoot deformity with the
advantages of minimal invasion, safeness, and simpleness.
PMID- 29806409
TI - [Ilizarov technique combined with limited surgery for correction of spastic
clubfoot in adolescents with cerebral palsy].
AB - Objective: To evaluate the effectiveness of Ilizarov technique combined with soft
tissue release and muscle strength balance in the treatment of spastic clubfoot
in adolescents with cerebral palsy. Methods: A retrospective analysis of clinical
data of 29 cases (33 feet) of cerebral palsy spastic clubfoot deformity conformed
to the selection criteria between June 2011 and September 2016. Among them, 17
were male (20 feet) and 12 were female (13 feet) with an age range from 13 to 28
years (mean, 17.6 years). According to Dimeglio classification, 19 feet were
rated as gradeII and 14 feet as grade III. All patients were treated with soft
tissue release and muscle balance, while using Ilizarov technique to correct
varus deformity. Began to gradually adjust the external fixator after 5-7 days of
operation, until to reach satisfactory foot ankle form. Orthopedic brace was used
after removal of external fixator, and the wearing time gradually reduced to
completely abandon the brace. Results: All 29 patients (33 feet) were followed up
12-22 months with an average of 18 months. All patients restored line plantar
foot without needle infection and nerve or vessel injury. One foot had a mild
relapse of deformity at 6 months after removal of external fixator, and the gait
restored to normal after symptomatic treatment. The rest of 32 feet had no
deformity recurrence during the follow-up. At last follow-up, International Club
Foot Study Group (ICFSG) score (5.21+/-3.91) was significantly lower than the
preoperative score (36.73+/-4.80), and the difference was significant ( t=47.227,
P=0.000). The results were excellent in 27 feet, good in 3 feet, and fair in 3
feet, and the excellent and good rate was 90.91%. The patients were very
satisfied in 27 feet and satisfied in 6 feet by self-evaluation of effectiveness.
Conclusion: Ilizarov technique is effective in treatment of clubfoot. And it is
also a feasible method to treat spastic clubfoot in adolescents with cerebral
palsy when combined with appropriate soft tissue surgery according to the
patient's symptoms and signs.
PMID- 29806410
TI - [Application of three-dimensional printing technology in treatment of internal or
external ankle distal avulsed fracture].
AB - Objective: To explore the effectiveness and advantage of three-dimensional (3D)
printing technology in treatment of internal or external ankle distal avulsed
fracture. Methods: Between January 2015 and January 2017, 20 patients with distal
avulsed fracture of internal or external ankle were treated with the 3D guidance
of shape-blocking steel plate fixation (group A), and 18 patients were treated
with traditional plaster external fixation (group B). There was no significant
difference in gender, age, injury cause, disease duration, fracture side, and
fracture type between 2 groups ( P>0.05). Recording the fracture healing rate,
fracture healing time, the time of starting to ankle functional exercise,
residual ankle pain, and evaluating ankle function recovery of both groups by the
American Orthopaedic Foot and Ankle Society (AOFAS) score. Results: All patients
were followed up 8-24 months, with an average of 15.5 months. In group A: all
incisions healed by first intention, the time of starting to ankle functional
exercise was (14+/-3) days, fracture healing rate was 100%, and the fracture
healing time was (10.15+/-2.00) weeks. At 6 months, the AOFAS score was 90.35+/
4.65. Among them, 13 patients were excellent and 7 patients were good. All
patients had no post-operative incision infection, residual ankle pain, or
dysfunction during the follow-up. In group B: the time of starting to ankle
functional exercise was (40+/-10) days, the fracture healing rate was 94.44%, and
the fracture healing time was (13.83+/-7.49) weeks. At 6 months, the AOFAS score
was 79.28+/-34.28. Among them, 15 patients were good, 2 patients were medium, and
1 patient was poor. During the follow-up, 3 patients (16.67%) had pain of ankle
joint with different degrees. There were significant differences in the
postoperative fracture healing rate, fracture healing time, the time of starting
to ankle functional exercise, and postoperative AOFAS score between 2 groups (
P<0.05). Conclusion: Application of 3D printing technology in treatment of
internal or external ankle distal avulsed fracture is simple, safe, reliable, and
effective. In particular, it is an ideal treatment for avulsed fracture.
PMID- 29806411
TI - [Biomechanical comparison of three kinds of fixation instruments for calcaneal
osteotomy].
AB - Objective: To compare the biomechanical characteristics of self-made nickel
titanium shape memory alloy stepped plate with calcaneal plate and cannulated
compression screws in fixing calcaneal osteotomy. Methods: Calcaneal osteotomy
was operated on 6 fresh-frozen lower limbs collected from donors. Then three
kinds of fixation materials were applied in random, including the self-made
nickel-titanium shape memory alloy stepped plate (group A), calcaneal plate
(group B), and cannulated compression screws (group C). Immediately after
fixation, axial loading of 20-600 N and 20 N/s in speed was introduced to record
the biomechanical data including maximum displacement, elastic displacement, and
maximum load. Then fatigue test was performed (5 Hz in frequency and repeat 3 000
times) and the same axial loading was introduced to collect the biomechanical
data. Finally, the axial compression stiffness before and after fatigue test were
calculated. Results: There was no significant difference in the axial compression
stiffness between pre- and post-fatigue test in each group ( P>0.05). However,
the axial compression stiffness was significant higher in group A than that in
groups B and C both before and after fatigue test ( P<0.05). No significant
difference was found between group B and group C ( P>0.05). Conclusion: Self-made
nickel-titanium shape memory alloy stepped plate is better than calcaneal plate
and cannulated compression screws in axial load stiffness after being used to fix
calcaneal osteotomy.
PMID- 29806412
TI - [Applications of one-stage total spondylectomy by anterior and posterior
approaches for solitary plasmacytoma of cervical spine].
AB - Objective: To investigate the feasibility of one-stage total spondylectomy by
anterior and posterior approaches for treating solitary plasmacytoma (SP) of
cervical spine. Methods: Between June 2000 and March 2015, the clinical data,
diagnosis, and treatment of 12 patients with SP of cervical spine were
retrospectively analyzed. There were 8 males and 4 females, with a mean age of 46
years (range, 28-74 years). The mean disease duration was 8.4 months (range, 3-15
months). There were 2 cases in C 2 segment, 4 cases in C 3, 2 cases in C 4, 1
recurrent case in C 5, C 6, and 3 cases in C 7. According to WBB (Weinstein
Boriani-Biagini) surgical staging system, the tumor mainly occupied the vertebral
body areas and expanded outside to 4 or 9 radiating zone in 8 cases, expanded
both outsides to 4 or 9 radiating zones in 4 cases; 7 cases of them affected A to
D layers, other 5 cases affected B to D layers. Preoperative Frankel system
showed grade B in 1 case, grade C in 6 cases, and grade D in 5 cases. One
vertebra segment was involved in 11 cases, and 2 vertebra segments were involved
in 1 case. The unilateral vertebral artery was involved in 4 cases and bilateral
vertebral artery in 1 case. One-stage total spondylectomy by anterior and
posterior approaches for SP of cervical spine was applied in all patients, which
all received adjuvant radiotherapy postoperatively. The operation time,
intraoperative blood loss, complications, Frankel system, and visual analogue
scale (VAS) score were recorded and analyzed. Results: The operation time was 6.8
9.3 hours (mean, 7.2 hours), and the intraoperative blood loss was 1 100-2 600 mL
(mean, 1 600 mL). Esophageal leakage occurred in 1 patient with C 5, C 6
recurrent SP at 1 week after operation and 1 case presented with fat liquefaction
of wound, who were cured by symptomatic treatment. Other patients showed no
neurological deficit, major vascular injury (especially vertebral artery),
phrenic nerve injury, superior laryngeal nerve injury, laryngeal nerve injury,
respiratory failure, or other complications. All the 12 patients were followed up
27-98 months (mean, 58 months). The symptoms of spinal cord compression
disappeared or improved after operation. At last follow-up, the nerve function
was recovered to Frankel grade E in all patients; the VAS score decrease to 1.1+/
0.7, showing significant difference when compared with preoperative value (6.7+/
2.7) ( t=2.485, P=0.014). Two patients had local recurrence at 29 months and 37
months after operation respectively, which were treated with adjuvant
chemotherapy. One of them finally progressed to multiple myeloma and died of
multiple organ failure after 43 months, the other one survived with residual
tumor. One case presented with internal fixator loosening and breaking at 4 years
after operation, who was performed revision surgery. The other patients had no
tumor recurrence or malignant change during the follow-up, no complication such
as internal fixator loosening or breaking occurred. Conclusion: For patients with
SP of cervical spine, surgical intervention is an acceptable treatment option.
One-stage total spondylectomy by anterior and posterior approaches can decline
the local recurrence and relieve the symptoms of spinal cord compression, so as
to improve patients' life quality. However, patients with progression to multiple
myeloma should be treated with individualized therapeutic regimen, and the
prognosis may be poor.
PMID- 29806413
TI - [Photoelectric guided navigation unilateral puncture of the percutaneous
kyphoplasty in treatment of thoracolumbar osteoporotic vertebral compression
fracture].
AB - Objective: To evaluate the safety of photoelectric guided navigation unilateral
puncture of the percutaneous kyphoplasty (PKP) in the treatment of thoracolumbar
osteoporotic vertebral compression fracture (OVCF). Methods: A randomized
controlled clinical research was performed between June 2015 and January 2017.
Eighty-five cases of OVCF were treated with photoelectric guided navigation
unilateral puncture of the PKP (trial group, 43 cases) or C arm fluoroscopy
unilateral puncture of the PKP (control group, 42 cases) respectively. There was
no significant difference in gender, age, disease duration, segmental fracture,
AO classification, bone mineral density, and preoperative visual analogue scale
(VAS) score between 2 groups ( P>0.05). The concordance rate of puncture path and
design path, the incidence of pedicle wall breaking, the incidence of bone cement
leakage, and the rate of bone cement distribution center were observed and
calculated on postoperative CT images; the intraoperative X-ray exposure
frequency, frequency of puncture, operation time, VAS scores before operation and
at 2 days after operation, and postoperative blood vessel or nerve injury were
recorded and compared. Results: The intraoperative X-ray exposure frequency and
puncture frequency in trial group were significantly less than those in control
group ( P<0.05), but there was no significant difference in operation time
between 2 groups ( t=0.440, P=0.661). The VAS scores of 2 groups at 2 days after
operation were significantly improved when compared with preoperative ones (
P<0.05), but there was no significant difference in VAS score at 2 days after
operation between 2 groups ( t=0.406, P=0.685). All the patients were followed up
6-18 months (mean, 10 months). No blood vessel or nerve injury occurred in 2
groups. The incidence of pedicle wall breaking, the incidence of bone cement
leakage, the concordance rate of puncture path and design path, and the rate of
bone cement distribution center in trial group were 2.33% (1/43), 2.33% (1/43),
86.05% (37/43), and 88.37% (38/43) respectively, all showing significant
differences when compared with those of control group [19.05% (8/42), 21.43%
(9/42), 45.24% (19/42), and 50.00% (21/42) respectively] ( P<0.05). Conclusion:
Intraoperative photoelectric guided navigation unilateral puncture of the PKP can
improve the success rate of target puncture and reduce the incidence of pedicle
wall breaking effectively, and achieve better bone cement distribution and better
security.
PMID- 29806414
TI - [Propeller facial artery perforator flap for repairing defect after resection of
skin malignant tumor at upper lip].
AB - Objective: To explore the effectiveness of propeller facial artery perforator
flap to repair the defect after resection of skin malignant tumor at upper lip.
Methods: Between July 2012 and January 2017, 17 cases with skin malignant tumor
at upper lip underwent tumor resection and the remained defect was repaired with
propeller facial artery perforator flap. Among the 17 patients, 3 were male and
14 were female, with an average age of 57 years (range, 35-82 years). There were
5 cases of squamous cell carcinoma and 12 cases of basal cell carcinoma. The
disease duration ranged from 4 months to 11 years with an average of 20 months.
The tumor size ranged from 1.4 cm*0.3 cm to 3.1 cm*1.4 cm. The extended resection
of the tumor tissue was performed according to the characters of tumor. According
to the location, size, and shape of the defect and the position of facial artery
perforator explored with Doppler ultrasonography, the propeller facial artery
perforator flap was designed to repair the defect and partial donor site. The
flap size ranged from 5 cm*2 cm to 7 cm*3 cm. The length of the perforator
pedicle was 0.5-1.0 cm with an average of 0.8 cm. The defect at donor site was
directly closed. Results: Cyanosis occurred in 3 cases of the distal flap after
operation, then healing after symptomatic treatment. The remaining flaps survived
successfully and the wound healed by first intention. Primary healing was
obtained in the donor site. All the patients were followed up 6-36 months with an
average of 18 months. The shape of the patient's upper lip was good and the scar
on the donor site was unconspicuous. There was no lip deformity, ala nasi
deflection, facial tension, entilation dysfunction, or recurrence of tumor during
follow-up. At last follow-up, the results of self-evaluation were very
satisfactory in 13 cases and satisfactory in 4 cases. Conclusion: Based on
multiple advantages of good blood supply, large rotation range, aesthetic
outcome, and slight injury of the donor site, propeller facial artery perforator
flap is not only an optimal choice for repairing upper lip defect after resection
of skin malignant tumors, but also can achieve good functional and cosmetic
effectiveness.
PMID- 29806415
TI - [Dopamine modified and cartilage derived morphogenetic protein 1 laden
polycaprolactone-hydroxyapatite composite scaffolds fabricated by three
dimensional printing improve chondrogenic differentiation of human bone marrow
mesenchymal stem cells].
AB - Objective: To prepare dopamine modified and cartilage derived morphogenetic
protein 1 (CDMP1) laden polycaprolactone-hydroxyapatite (PCL-HA) composite
scaffolds by three-dimensional (3D) printing and evaluate the effect of 3D
scaffolds on in vitro chondrogenic differentiation of human bone marrow
mesenchymal stem cells (hBMSCs). Methods: A dimensional porous PCL-HA scaffold
was fabricated by 3D printing. Dopamine was used to modify the surface of PCL-HA
and then CDMP-1 was loaded into scaffolds. The surface microstructure was
observed by scanning electron microscope (SEM) and porosity and water static
contact angle were also detected. The cytological experiment in vitro were
randomly divided into 3 groups: group A (PCL-HA scaffolds), group B (dopamine
modified PCL-HA scaffolds), and group C (dopamine modified and CDMP-1 laden PCL
HA scaffolds). The hBMSCs were seeded into three scaffolds, in chondrogenic
culture conditions, the cell adhesive rate, the cell proliferation (MTT assay),
and cell activity (Live-Dead staining) were analyzed; and the gene expressions of
collagen type II and Aggrecan were detected by real-time fluorescent quantitative
PCR. Results: The scaffolds in 3 groups were all showed a cross-linked and pore
interconnected with pore size of 400-500 MUm, porosity of 56%, and fiber
orientation of 0 degrees /90 degrees . For dopamine modification, the scaffolds
in groups B and C were dark brown while in group A was white. Similarly, water
static contact angle was from 76 degrees of group A to 0 degrees of groups B
and C. After cultured for 24 hours, the cell adhesion rate of groups A, B, and C
was 34.3%+/-3.5%, 48.3%+/-1.5%, and 57.4%+/-2.5% respectively, showing
significant differences between groups ( P<0.05). Live/Dead staining showed good
cell activity of cells in 3 groups. MTT test showed that hBMSCs proliferated well
in 3 groups and the absorbance ( A) value was increased with time. The A value in
group C was significantly higher than that in groups B and A, and in group B than
in group A after cultured for 4, 7, 14, and 21 days, all showing significant
differences ( P<0.05). The mRNA relative expression of collagen type II and
Aggrecan increased gradually with time in 3 groups. The mRNA relative expression
of collagen type IIafter cultured for 7, 14, and 21 days, and the mRNA relative
expression of Aggrecan after cultured for 14 and 21 days in group C were
significantly higher than those in groups A and B, and in group B than in group
A, all showing significant differences ( P<0.05). Conclusion: Co-culture of
dopamine modified and CDMP1 laden PCL-HA scaffolds and hBMSCs in vitro can
promote hBMSCs' adhesion, proliferation, and chondrogenic differentiation.
PMID- 29806416
TI - [Effect of serum on the differentiation of neural stem cells].
AB - Objective: To investigate the effect of serum on the differentiation of neural
stem cells. Methods: The neural stem cells were isolated from the embryonic
hippocampus tissues of Sprague Dawley rats at 14 day of pregnancy. After
culturing and passaging, the 3rd generation cells were identified by
immunocytochemical staining. Then, the cells were divided into 3 groups according
to the concentrations of fetal bovine serum (FBS) used in the differentiation
cell culture medium: 5% (group A), 1% (group B), 0 (group C), respectively. The
other components of the culture media in 3 groups were the same. Cell viability
was determined by using the Live/Dead cell staining at 8 days; the expressions of
glial cell marker [glial fibrillary acidic protein (GFAP)] and neuronal marker
(beta-III Tubulin) were determined and analyzed by immunocytochemical staining
and real-time fluorescent PCR at 4 and 8 days of culture. Results: Based on cell
morphology and immunocytochemical staining, neural stem cells were identified.
Cells were growing well with no death in all groups. With decreasing FBS
concentration, the expression of GFAP was significantly decreased on both protein
and mRNA level, whereas the expression of beta-III Tubulin was evidently
increased. The staining of each group at 8 days was more obvious than that at 4
days. There were significant differences in mRNA expressions of GFAP and beta-III
Tubulin at 4 and 8 days between groups ( P<0.05). Conclusion: Serum can promote
the differentiation of neural stem cells into glial cells. At the same time, it
inhibits the differentiation of neural stem cells into neurons, the lower the
serum concentration, the smaller the effect.
PMID- 29806417
TI - [Enhancement of gene transfection efficiency and therapeutic effect of ultrasound
targeted microbubble destruction in vivo with cationic microbubble].
AB - Objective: To construct a cationic microbubble (CMB), and investigate the
enhancement of gene transfection efficiency and therapeutic effect of ultrasound
targeted microbubble destruction (UTMD) in vivo with CMB compared to definity MB
(DMB). Methods: In vitro, the CMB was prepared by the method of thin film
hydration. The morphology, size, zeta potential, and gene-carrying capacity of
CMB were compared with the DMB. In vivo, the firefly luciferase gene which was
used as a reporter gene was targeted transfected into myocardium of 16 rats with
CMB and DMB, respectively. The gene transfection efficiency and targeting were
observed dynamically. Then, ischemia-reperfusion (I/R) model was performed on 64
rats. The models of 60 rats were successfully confirmed by using ultrasonography
at 5 days after I/R. The rats were divided into 3 groups ( n=20) randomly. The
control group received DMB carrying empty plasmid for transfection; DMB group
received DMB carrying AKT plasmid for transfection; and CMB group received CMB
carrying AKT plasmid for transfection. The cardiac perfusion, cardiac function,
infarct size, and infarct thickness were measured by ultrasonography and
histological observations after treatment. In addition, the capillary and
arteriolar densities were measured with immunohistochemical staining. The myocyte
apoptosis was measured with TUNEL staining. The protein expressions of AKT,
phospho-AKT (P-AKT), Survivin, and phospho-BAD (P-BAD) were measured by Western
blot. Results: The size of CMB was uniformly. The zeta potential of CMB was
significantly higher than that of DMB ( t=28.680, P=0.000). The CMB bound more
plasmid DNA than the DMB ( P<0.05). The luciferase activity of myocardium were
higher in CMB group than in DMB group both in vitro and in vivo measurements (
P<0.05). There was no significant difference between groups in the ratio of
signal intensity in anterior wall to posterior wall, ejection fraction (EF), and
fractional shortening (FS) at 5 days after I/R ( P>0.05), but the above indexes
were significant higher in CMB and DMB groups than in control group at 21 days
after I/R ( P<0.05). Besides, the above indexes were significant higher in CMB
group than in DMB group at 21 days after I/R ( P<0.05). The infarct size was the
smallest and infarct thickness was the thickest in the CMB group, followed by DMB
group, control group at 21 days after I/R. The capillary and arteriolar densities
of CMB and DMB groups were significant higher than those of control group at 21
days after I/R ( P<0.05). Besides, the capillary and arteriolar densities of CMB
group were significant higher than those of DMB group ( P<0.05). The apoptotic
cells were the most in the control group, followed by DMB group, CMB group at 3
days after gene transfection, showing significant differences between groups (
P<0.05). The protein expressions of AKT, P-AKT, Survivin, and P-BAD were
significant higher in CMB and DMB groups than those in control group at 3 days
after gene transfection ( P<0.05). Besides, these protein expressions were
significant higher in CMB group than those in DMB group ( P<0.05). Conclusion:
The DNA-carrying capacity and gene transfection efficiency are elevated by CMB,
although its physicochemical property is the same as DMB. When ultrasound
targeted AKT gene transfection is used to treat myocardial I/R injury in rats,
delivery of AKT with the CMB can result in higher transfection efficiency and
greater cardiac functional improvements compared to the DMB.
PMID- 29806418
TI - [Application of cell sheet technology in bone and cartilage tissue engineering].
AB - Objective: To review the progress of cell sheet technology and its application in
bone and cartilage engineering. Methods: The recent literature concerning the
cell sheet technology used in treatment of bone and cartilage defects was
extensively reviewed and summarized. Results: Cell sheet built through many
different ways can protect extracellular matrix from proteolytic enzymes. As a
three-dimensional structure, cell sheet can repair bone and cartilige defects via
folding, wrapping scaffold, or be created by the layering of individual cell
sheets. Conclusion: The cell sheet technology would have a very broad prospects
in bone and cartilage tissue engineering in future.
PMID- 29806419
TI - [Current status and progress of clinical research on distal femoral fractures].
AB - Objective: To investigate current status and latest progress of clinical research
on distal femoral fractures. Methods: The related literature was extensively
reviewed to summarize the trend of the researches and their clinical application
in the treatment of distal femoral fractures. Results: Distal femoral fractures
are likely to occur in young people who suffer from high-energy damage and the
elderly with osteoporosis, which is always comminuted and unstable fractures, and
often involved in the articular surface and combined with serious soft tissue
injury. Therefore, the treatment faces many challenges. External fixation is now
used as a temporary means of controlling injury. The vast majority of patients
are feasible to internal fixation, including plates system and intramedullary
nail system. Different internal fixator also has its own characteristics, such as
double plates can strengthen the medial support of the femur, less invasive
stabilization system protects the blood supply of fractures, distal cortial
locking plate is theoretically more fit for the requirements of bone healing,
retrograde intramedullary nail can resist varus and valgus. Conclusion: The
treatment of distal femoral fractures should be based on the type of fracture and
the characteristics of internal fixators.
PMID- 29806420
TI - [Progress of midfacial fat compartments and related clinical applications].
AB - Objective: To review the research progress of midfacial fat compartments, and to
thoroughly understand its current state of the anatomy and the aging morphologic
characters of midfacial fat compartments, as well as the current status of
clinical applications. Methods: The recent literature concerning the midfacial
fat compartments and related clinical applications were extensively reviewed and
analyzed. Results: Midfacial fat layer has been considered as a fusion and a
continuous layer, experiencing a global atrophy when aging. As more anatomical
researches have done, recent studies have shown that midfacial fat layer is
broadly divided into superficial and deep layers, which are both divided into
different fat compartments by fascia, ligaments, or muscles. Midfacial fat
compartments tend to atrophy with age, specifically in the deep fat compartments
while hypertrophy in the superficial fat compartments. Clinical applications show
that fat volumetric restoration with deep medial cheek fat and Ristow's space can
restore the appearance of midface effectively. Conclusion: In recent years, the
researches of midfacial fat compartments have achieved obvious progress, which
will provide new ideas and basis for fat volumetric restoration. Corresponding
treatments are selected based on different sites and different layers with
different aging changes, reshaping a more youthful midface.
PMID- 29806421
TI - [Effectiveness of arthroscopy for synovial chondromatosis of hip joint].
AB - Objective: To evaluate the effectiveness of arthroscopy for synovial
chondromatosis of hip joint. Methods: Between April 2012 and September 2015, 32
patients with synovial chondromatosis of hip joint were treated by arthroscopy.
There were 19 males and 13 females, with an average age of 42.1 years (range, 22
64 years). The synovial chondromatosis located at right hip in 15 cases and left
hip in 17 cases. The main clinical symptoms were pain and swelling of hip joint.
Of all patients, 6 cases were hip hinge, 2 cases were lower limb weakness, and 1
case was snapping hip. The "4" sign was positive in 9 cases, Thomas' sign
positive in 4 cases, and rolling test positive in 2 cases. Results: All incisions
healed by first intention, and no complication occurred. All patients were
followed up 16-48 months (mean, 33.8 months). The visual analogue scale (VAS) was
1.4+/-0.8 at last follow-up, which was significantly lower than that before
operation (4.8+/-1.2) ( t=6.382, P=0.013). The hip Harris score was 92.6+/-6.7 at
last follow-up, which was significantly higher than that before operation (63.2+/
8.3) ( t=9.761, P=0.006). At last follow-up, the "4" sign and Thomas' sign were
positive in 3 cases and 1 case, respectively. The others had no positive sign. X
ray film showed no recrudescence in all cases. Conclusion: Treating synovial
chondromatosis of hip joint under arthroscopy has advantages of less trauma,
complete debridement, quick postoperative recovery, and the satisfactory short
term effectiveness.
PMID- 29806422
TI - [Contact characteristics research of acetabular weight-bearing area with
different internal fixation methods after compression fracture of acetabular
dome].
AB - Objective: To establish the model of compression fracture of acetabular dome, and
to measure the contact characteristics of acetabular weight-bearing area of
acetabulum after 3 kinds of internal fixation. Methods: Sixteen fresh adult half
pelvis specimens were randomly divided into 4 groups, 4 specimens each group.
Group D was the complete acetabulum (control group), and the remaining 3 groups
were prepared acetabular dome compression fracture model. The fractures were
fixed with reconstruction plate in group A, antegrade raft screws in group B, and
retrograde raft screws in group C. The pressure sensitive films were attached to
the femoral head, and the axial compression test was carried out on the inverted
single leg standing position. The weight-bearing area, average stress, and peak
stress were measured in each group. Results: Under the loading of 500 N, the
acetabular weight-bearing area was significantly higher in group D than in other
3 groups ( P<0.05), and the average stress and peak stress were significantly
lower than in other 3 groups ( P<0.05). The acetabular weight-bearing area were
significantly higher in group B and group C than in group A, and the average
stress and peak stress were significantly lower than in group A ( P<0.05). There
was no significant difference in the above indexes between group B and group C (
P>0.05). Conclusion: For the compression fracture of the acetabular dome, the
contact characteristics of the weight-bearing area can not restore to the normal
level, even if the anatomical reduction and rigid internal fixation were
performed; compared with the reconstruction plate fixation, antegrade and
retrograde raft screws fixations can increase the weight-bearing area, reduce the
average stress and peak stress, and reduce the incidence of traumatic arthritis.
PMID- 29806423
TI - [Effectiveness of unicompartment allografts replacement for bone tumor around the
knee].
AB - Objective: To analyze the effectiveness of unicompartment allografts replacement
for reconstructing bone defect after bone tumor resection around knee. Methods:
Between January 2007 and January 2014, a total of 9 patients received
unicompartment allografts replacement to treat bone tumor around the knee,
including 6 males and 3 females, with an average age of 25.8 years (range, 17-38
years). There were 7 patients with bone giant cell tumor (postoperative
recurrence of bone giant cell tumor in 1 case) and 2 patients with chondromyxoid
fibroma. The tumors were located at the distal femur in 7 cases and proximal
tibia in 2 cases, and the tumors were almost at the lateral limbs. The symptom
duration was 2-5 months (mean, 3.2 months). The size of lesion ranged from 6 cm*2
cm to 9 cm*4 cm by X-ray film and MRI; and the metastasis was excluded by CT. The
length of the allograft was 8.0-9.2 cm (mean, 8.6 cm). Results: The
intraoperative blood loss volume was 400-550 mL (mean, 480 mL); and 0-3 U of
erythrocyte was transfused after operation. The continuous exudate of incision
occurred in 1 patient, and cured after 3 months; the other incisions healed
primarily at 2 weeks after operation. All patients were followed up 3-10 years
(mean, 6 years). No operation area infection, allograft bone poor healing or
rupture was found. At 1 year after operation, the knee range of motion was 90-110
degrees (mean, 100 degrees ); the Musculoskeletal Tumor Society score was 24-29
(mean, 26). Low density area (osteolysis) was found in 6 allografts; no articular
surface collapse, hairline fracture, or fracture was found in patients; callus
formation was observed in the contact surface between the allograft and the host
bone, and the cortical bone showed good continuity. Conclusion: Unicompartment
allografts replacement can provide good support and function in terms of bone
tumor resection, and achieve good effectiveness by biological reconstruction.
PMID- 29806424
TI - [Effectiveness of total knee arthroplasty using three-dimensional printing
technology for knee osteoarthritis accompanied with extra-articular deformity].
AB - Objective: To evaluate the effectiveness of total knee arthroplasty (TKA) using
three-dimensional (3D) printing technology for knee osteoarthritis (KOA)
accompanied with extra-articular deformity. Methods: Between March 2013 and
December 2015, 15 patients (18 knees) with extra-articular deformity and KOA
underwent TKA. There were 6 males (6 knees) and 9 females (12 knees), aged 55-70
years (mean, 60.2 years). The mean disease duration was 10.8 years (range, 7-15
years). The unilateral knee was involved in 12 cases and bilateral knees in 3
cases. The clinical score was 57.44+/-1.06 and the functional score was 60.88+/
1.26 of Knee Society Score (KSS). The range of motion of the knee joint was
(72.22+/-0.18) degrees . The deviation of mechanical axis of lower limb was
(18.89+/-0.92) degrees preoperatively. There were 8 cases (10 knees) with extra
articular femoral deformity, 5 cases (5 knees) with extra-articular tibial
deformity, and 2 cases (3 knees) with extra-articular femoral and tibial
deformities. Bone models and the navigation templates were printed and the
operation plans were designed using 3D printing technology. The right knee joint
prostheses were chosen. Results: The operation time was 65-100 minutes (mean,
75.6 minutes). The bleeding volume was 50-150 mL (mean, 90.2 mL). There was no
poor incision healing, infection, or deep venous thrombosis after operation. All
patients were followed up 12- 30 months (mean, 22 months). Prostheses were
located in the right place, and no sign of loosening or subsidence was observed
by X-ray examination. At last follow-up, the deviation of mechanical axis of
lower limb was (2.00+/-0.29) degrees , showing significant difference when
compared with preoperative one ( t=13.120, P=0.007). The KSS clinical score was
87.50+/-0.88 and function score was 81.94+/-1.41, showing significant differences
when compared with preoperative ones ( t=27.553, P=0.000; t=35.551, P=0.000). The
range of motion of knee was (101.94+/-1.42) degrees , showing significant
difference when compared with preoperative one ( t=31.633, P=0.000). Conclusion:
For KOA accompanied with extra-articular deformity, TKA using 3D printing
technology has advantages such as individualized treatment, reducing the
difficulty of operation, and achieving the satisfactory function.
PMID- 29806425
TI - [Effect of intra-articular injection of platelet-rich plasma on interleukin-17
expression in synovial fluid and venous plasma of knee osteoarthritis patients].
AB - Objective: To investigate the interleukin-17 (IL-17) levels changes in both
synovial fluid and venous plasma of patients with primary knee osteoarthritis
(OA) after intra-articular injection of platelet-rich plasma (PRP). Methods:
Between January 2015 and January 2016, 30 patients with primary knee OA were
treated by intra-articular injection of PRP once a week for 3 weeks (trial
group). Thirty healthy individuals were recruited into the study as control.
There was no significant difference in gender, age, and body mass index between 2
groups ( P>0.05). Visual analogue scale (VAS) score and Knee Society Score (KSS)
were used to evaluate pain level and function of the knee for patients with OA.
The IL-17 levels in both venous plasma and synovial fluid were measured before
injection and at 1, 3, 6, and 12 months after injection in trial group and the IL
17 levels in venous plasma were measured in control group. The levels were
determined using ELISA method. Results: There was no knee joint swelling, fever,
local infection, or other uncomfortable symptoms for all patients in process of
PRP injection. All patients were followed up 13.5 months on average (range, 12-15
months). In trial group, the VAS scores at different time points after injection
were significantly lower than that before injection ( P<0.05). And the KSS scores
at different time points after injection were significantly higher than that
before injection ( P<0.05). There was no significant difference in VAS and KSS
scores between different time points after injection ( P>0.05). The IL-17 levels
in venous plasma before and after injection in trial group were significantly
higher than that in control group ( P<0.05). The IL-17 levels in venous plasma at
each time point after injection were significantly lower than that before
injection ( P<0.05). There was no significant difference in IL-17 levels in both
venous plasma and synovial fluid between different time points after injection (
P>0.05). Conclusion: Intra-articular injection of PRP can significantly release
the pain symptoms, improve joint function, and reduce IL-17 levels in both
synovial fluid and venous plasma of the patients with knee OA, but IL-17 levels
can not reduce to normal level.
PMID- 29806426
TI - [A modified Laprade technique in treatment of lateral multi-ligament injuries of
knee].
AB - Objective: To evaluate the short-term effectiveness of a modified Laprade
technique in the treatment of lateral multi-ligament injuries of knee by
anatomical reconstruction of posterolateral complex (PLC) and anterior lateral
ligament (ALL). Methods: Between June 2013 and July 2015, 13 cases of lateral
multi-ligament injuries of knee were treated. There were 9 males and 4 females
with an average age of 38 years (range, 23-49 years). The injury was caused by
traffic accident in 7 cases, falling from height in 4 cases, and sport injury in
2 cases. The time between injury and operation was 18-92 days (mean, 43 days).
The results of anterior and posterior drawer tests and Lachmann test were
positive, and all cases complicated by varus and external rotation instability.
The Lysholm score of the knee was 38.4+/-7.7. According to International Knee
Documentation Committee (IKDC) scoring, all were rated as grade D. According to
Fanelli classification criteria, all were classified as type C. Anterior cruciate
ligament, posterior cruciate ligament, PLC, and ALL were reconstructed
simultaneously with autogenous tendon. Results: All incisions healed at stage I
and no complication occurred. All patients were followed up 12-36 months (mean,
19 months). At last follow-up, the results of anterior and posterior drawer tests
and Lachmann test were negative; 2 cases had varus instability, and 1 cases had
external rotation instability. There was no anterior external rotation
instability. The Lysholm score of the knee was 88.6 +/-12.7, showing significant
difference when compared with preoperative score ( t=13.852, P=0.000). According
to IKDC scoring, 8 cases were rated as grade A, 4 as grade B, and 1 as grade C;
significant difference was found when compared with preoperative value ( Z=3.182,
P=0.000). Conclusion: In the treatment of lateral multi-ligament injuries knee,
anatomical reconstruction of PLC and ALL with a modified Laprade technique can
obtain good short-term effectiveness.
PMID- 29806427
TI - [Clinical study of three-dimensional strapping reduction in treatment of patellar
fracture].
AB - Objective: To investigate the effectiveness of three-dimensional strapping
reduction in treatment of patellar fracture. Methods: Between January 2015 and
June 2015, thirty-two patients were randomly allocated to three-dimensional
strapping reduction group (trial group) and towel clamp reduction group (control
group). There was no significant difference in age, gender, damage side, interval
from injury to opreration, fracture pattern, and cause of injury ( P>0.05). The
operation time, fluoroscopy time, fracture healing time, postoperative Hospital
for Special Surgery (HSS) scores, and complications were collected and analysed.
Results: All incisions healed at stage I. All patients of 2 groups were followed
up 10-14 months (mean, 12.4 months). The operation time and fluoroscopy time of
trial group were both shorter than those of control group ( t=6.212, P=0.000;
t=6.585, P=0.000). X-ray films showed that the fractures in both groups healed
successfully and there was no significant difference in healing time between
groups ( t=1.973, P=0.058). Bone nonunion, infection, and failure fixation were
not found in both groups. HSS scores of trial group (91.6+/-3.8) was higher than
that of control group (86.4+/-5.5) ( t=-3.105, P=0.004). Conclusion: Compared
with towel clamp reduction, the three-dimensional strapping reduction in
treatment of patellar fracture has the advantages of shorter operation time and
fluoroscopy time, better knee function after operation, and satisfactory fracture
healing.
PMID- 29806428
TI - [Effect of axial stress stimulation on tibial and fibular open fractures healing
after Taylor space stent fixation].
AB - Objective: To investigate the effect of axial stress stimulation on tibial and
fibular open fractures healing after Taylor space stent fixation. Methods: The
data of 45 cases with tibial and fibular open fractures treated by Taylor space
stent fixation who meet the selection criteria between January 2015 and June 2016
were retrospectively analysed. The patients were divided into trial group (23
cases) and control group (22 cases) according to whether the axial stress
stimulation was performed after operation. There was no significant difference in
gender, age, affected side, cause of injury, type of fracture, and interval time
from injury to operation between 2 groups ( P>0.05). The axial stress stimulation
was performed in trial group after operation. The axial load sharing ratio was
tested, and when the value was less than 10%, the external fixator was removed.
The fracture healing time, full weight-bearing time, and external fixator removal
time were recorded and compared. After 6 months of external fixator removal, the
function of the limb was assessed by Johner-Wruhs criteria for evaluation of
final effectiveness of treatment of tibial shaft fractures. Results: There were 2
and 3 cases of needle foreign body reaction in trial group and control group,
respectively, and healed after symptomatic anti allergic treatment. All the
patients were followed up 8-12 months with an average of 10 months. All the
fractures reached clinical healing, no complication such as delayed union,
nonunion, or osteomyelitis occurred. The fracture healing time, full weight
bearing time, and external fixator removal time in trial group were significantly
shorter than those in control group ( P<0.05). After 6 months of external fixator
removal, the function of the limb was excellent in 13 cases, good in 6 cases,
fair in 3 cases, and poor in 1 case in trial group, with an excellent and good
rate of 82.6%; and was excellent in 5 cases, good in 10 cases, fair in 4 cases,
and poor in 3 cases in control group, with an excellent and good rate of 68.2%,
showing significant difference between 2 groups ( Z=-2.146, P=0.032). Conclusion:
The axial stress stimulation of Taylor space stent fixation can promote the
healing of tibial and fibular open fractures and promote local bone formation at
fracture site.
PMID- 29806429
TI - [Application of shortened replantation combined with limb lengthening in
treatment of severe amputation of middle and distal lower leg].
AB - Objective: To investigate the effectiveness and technical points of shortened
replantation combined with limb lengthening in the treatment of severe amputation
of middle and distal lower leg. Methods: Twelve cases of severe amputation of
middle and distal lower leg were treated with shortened replantation at the 1st
stage and limb lengthening at the 2nd stage between April 2009 and May 2016.
There were 9 males and 3 females with an average age of 28 years (range, 16-32
years). The injury causes included traffic accident injury in 4 cases, heavy
pound injury in 6 cases, and machine crush injury in 2 cases. The interval from
injuries to treatment ranged from 30 minuts to 6 hours (mean, 3 hours and 12
minutes). All of 12 cases, 6 cases were completely amputated in the right middle
and distal lower leg, 4 were not completely amputated in the left middle and
distal lower leg, and 2 were ankle amputations. The limbs were 4.0-12.5 cm
shorter than the contralateral sides, with an average of 7.3 cm. Limb lengthening
was performed at 1.5-8.0 months after replantation and the time of extension was
1.7-5.3 months (mean, 3.1 months). Results: All 12 patients recovered the same
lengths of both lower extremities after shortened replantation and limb
lengthening. The lengthened segments gained good bone mineralization, bony union
was achieved at lengthened segments and broken end of fracture at 7-16 months
(mean, 11.3 months). All patients were followed up 6 months to 5 years (mean, 2
years and 5 months). The range of motion of the knee joint were 0-5 degrees
(mean, 3 degrees ) in hyperextension and 110-140 degrees (mean, 120 degrees ) in
flexion. Except for 2 cases of ankle arthrodesis, plantar flexion angles were 15
45 degrees (mean, 26 degrees ) and dorsiflexion angles were 10-25 degrees
(mean, 15 degrees ) in the other cases. The plantar sensation was restored to the
S 3 + level in 4 cases, S 3 level in 6 cases, and S 2 level in 2 cases. At last
follow-up, the affected limb function were excellent in 7 cases, good in 3 cases,
fair in 2 cases according to Kofoed functional evaluation criteria. Conclusion:
It expanded indications for replantation of lower limb amputation, reduced the
operation difficulty and trauma with shortened replantation combined with limb
lengthening in the treatment of severe amputation of middle and distal lower leg.
PMID- 29806430
TI - [Effectiveness of limbs shortening and re-lengthening in treatment of tibial
infectious bone defect and chronic osteomyelitis].
AB - Objective: To evaluate the limbs shortening and re-lengthening in the treatment
of tibial infectious bone defect and chronic osteomyelitis. Methods: Between
January 2011 and April 2016, 19 cases of tibial infectious bone defect and
chronic osteomyelitis were treated with the limbs shortening and re-lengthening
technique. There were 13 males and 6 females, aged from 22 to 62 years (mean, 44
years). The causes of injury included traffic accident injury in 16 cases, crush
injury in 1 case, and falling from height in 2 cases. One patient was infected
after plate internal fixation of closed tibial fracture and 18 patients after
external fixation of open tibial fractures (Gustilo type IIIB). The mean previous
operation times was 3 times (range, 2-5 times). The time from injury to bone
transport operation was 3-11 months (mean, 6.5 months). The bone defect length
was 2.0-5.5 cm (mean, 4.3 cm) after debridement. After tibial shortening, limb
peripheral blood supply should be checked after release of the tourniquet. Seven
wounds were closed directly, 5 were repaired with adjacent skin flap, 5 were
repaired with sural neurovascular flap, 1 was repaired with medial head of
gastrocnemius muscle flap, and 1 underwent skin grafting. Single arm external
fixator or ring type external fixator were used, and completely sawed off between
2 sets of external fixation screws at proximal and distal metaphysis of the
tibia. Limb lengthening was performed after 1 week with the speed of 1 mm/d.
Results: All patients were followed up 10-36 months with an average of 14 months.
Two cases delayed healing of the wound after operation, and the other wounds
healed primarily. Natural healing of the opposite end of the bone were found in
18 cases, and 1 case had nonunion in the opposite end of the bone because of
incomplete removal of lesion bone. There were 5 cases of slow growth of the
callus, and healed smoothly by "accordion" technology and injecting red bone
marrow in 4 cases, and by bone grafting and internal fixation in 1 case. The time
of bone lengthening was 1-3 months, the prolongation index was 1.6-2.7 cm/month
(2.20 cm/month). The bone healing time was 7-13 months (mean, 11.1 months).
According to tibial stem diagnostic criteria Johner-Wruhs score, 9 cases were
excellent, 8 cases were good, 2 cases were fair, with an excellent and good rate
of 89.5%. Conclusion: Limbs shortening and re-lengthening is an effective method
for the treatment of tibial infectious bone defect and chronic osteomyelitis,
with the advantages of improving the immediate alignment of the osteotomy ends,
significantly shortening the bone healing time of opposite ends of bone.
PMID- 29806431
TI - [Effect of functional exercise at different time and different immobilization
positions on functional recovery of elbow joint with type C distal humeral
fractures].
AB - Objective: To investigate the effect of functional exercises at different time
and different immobilization positions on the functional recovery of elbow joint
with type C distal humeral fractures. Methods: A total of 120 patients with type
C distal humeral fractures admitted to the hospital between June 2013 and July
2015 were included in the study. They were randomly allocated to 3 groups, 40
patients in each group. Group A: functional exercises began immediately after the
operation; Group B: the affected elbow was fixed at 90 degrees flexion for 1
week and then began functional exercises after 1 week of immobilization; Group C:
the affected elbow was fixed at 30 degrees extension for 1 week and then began
functional exercises after 1 week of immobilization. There was no significant
difference in gender, age, fracture pattern, fracture side, injury time, and
surgical approach between groups ( P>0.05). Results: In groups A and B, 1 case
had incision redness and swelling respectively, and the other incisions healed by
first intention. Five patients occurred myositis ossificans in group A, 4 cases
in group B, and 5 cases in group C. The incidence of complications in groups A,
B, and C was 15.0% (6/40), 12.5% (5/40), and 12.5% (5/40), respectively. There
was no significant difference between groups ( chi2=0.144, P=0.930). All patients
were followed up 6-25 months, with an average of 9.8 months. At 2 weeks after
operation, the Mayo elbow joint function score of group A was significantly
higher than those of groups B and C ( P<0.05), and the visual analogue scale
(VAS) of group A was significantly lower than those of groups B and C ( P<0.05).
There was no significant difference between groups B and C ( P>0.05). At 6 months
after operation, there was no significant difference in Mayo elbow joint function
score and VAS score between groups ( P>0.05). At 2 weeks and 6 months after
operation, the flexion and extension activities of elbow joint in groups A and C
were better than that in group B ( P<0.05), and there was no significant
difference between groups A and C ( P>0.05). There was no significant difference
in forearm rotation between groups ( P>0.05). All fractures of 3 groups achieved
clinical healing, and there was no significant difference in healing time between
groups ( P>0.05). Conclusion: Early functional exercises can relieve pain and
obtain better elbow flexion and extesion activities after operation. The elbow
joint fixed at 30 degrees extension is better than at 90 degrees flexion in
elbow flexion and extension activitis.
PMID- 29806432
TI - [Effectiveness of modified suture technique in treatment of acute closed Achilles
tendon rupture].
AB - Objective: To investigate the effectiveness of modified suture technique in the
treatment of acute closed Achilles tendon rupture. Methods: Between December 2013
and December 2016, 29 cases with acute closed Achilles tendon rupture were
treated with modified suture technique. There were 23 males and 6 females with an
average age of 34 years (range, 22-45 years). All patients were sport injuries.
The injury located at left side in 22 cases and right side in 7 cases. American
Foot and Ankle Surgery Association (AOFAS) ankle and hind foot function score was
44.6+/-3.6. According to the Amer-Lindholm criteria, 29 cases were rated as poor.
MRI showed the complete rupture of the Achilles tendon. Results: The operation
time was 35-62 minutes (mean, 46 minutes). The intraoperative blood loss volume
was 7-15 mL (mean, 10 mL). The incisions healed by first intention, and no sural
nerve injury occured. All patients were followed up 5-38 months (mean, 18.4
months). AOFAS ankle and hind foot function score was 93.1+/-4.3 at 3 months
after operation, showing significant difference when compared with the
preoperative value ( t=-49.581, P=0.000). According to the Amer-Lindholm
criteria, the results were excellent in 24 cases and good in 5 cases, and the
excellent and good rate was 100%; there was significant difference between pre-
and post-operation ( Z=-7.294, P=0.000). MRI showed the continuous and integrity
of Achilles tendon. No Achilles tendon rupture recurred during the follow-up
period. Conclusion: Modified suture technique in the treatment of acute closed
Achilles tendon rupture owns the advantages of less trauma, lower recurrence rate
of rupture, lower risk of the sural nerve injury, and satisfactory function
recovery.
PMID- 29806433
TI - [Effect of silk fibroin/poly ( L-lactic acid-co-e-caprolactone) nanofibrous
scaffold on tendon-bone healing of rabbits].
AB - Objective: To explore the effect of silk fibroin/poly( L-lactic acid-co-e
caprolactone) [SF/P(LLA-CL)] nanofibrous scaffold on tendon-bone healing of
rabbits. Methods: SF/P(LLA-CL) nanofibrous scaffold was fabricated by
electrospinning methods. The morphology of the scaffold was observed by scanning
electron microscope (SEM). Pre-osteoblasts MC3T3-E1 cells were seeded on the
scaffold and cultured for 1, 3, and 5 days. Cell adhesion and proliferation were
also observed by SEM. Meanwhile, twenty-four New Zealand white rabbits were
randomly divided into the autogenous tendon group (control group) and the
autogenous tendon wrapped with SF/P(LLA-CL) scaffold group (experimental group),
with twelve rabbits in each group. An extra-articular model was established, the
effect was evaluated by histological examination and mechanical testing. Results:
The morphology of SF/P(LLA-CL) nanofibrous scaffold was random, with a diameter
of (219.4+/-66.5) nm. SEM showed that the MC3T3-E1 cells seeded on the scaffold
were in the normal shape, growing well, and proliferating with time course. The
results of histological examination showed that inflammatory cells infltrated
into the graft-host bone interface at 6 weeks after operation in both groups.
Besides, the width of interface showed no significant difference between groups.
At 12 weeks after operation, protruding new bone tissue could be observed at the
interface in the experimental group, while scar tissue but no new bone tissue
could be seen at the interface in the control group. Mechanical testing showed
that there was no significant difference in the failure load and the stiffness
between groups at 6 weeks after operation ( P>0.05). The failure load and the
stiffness in the experimental group were significantly higher than those in the
control group at 12 weeks after operation ( P<0.05). Conclusion: The SF/P(LLA-CL)
nanofibrous scaffold has good cell biocompatibility and can effectively promote
tendon-bone healing, thus providing new method for modifying graft for ACL
reconstruction in the clinical practice.
PMID- 29806434
TI - [Effect of icariin on serum bone turnover markers expressions and histology
changes in mouse osteoarthritis model].
AB - Objective: To investigate the effects of icariin (ICA) on serum bone turnover
markers expressions and histological changes of cartilage and subchondral bone in
mouse osteoarthritis (OA) model. Methods: Eighty 8-week-old male C57BL/6J mouse
were randomly divided into 8 groups ( n=10). The OA model was established by
anterior cruciate ligament transaction (ACLT). Group A: sham operation/early
stage normal saline administration; group B: sham operation/early-stage ICA
administration; group C: ACLT/early-stage normal saline administration; group D:
ACLT/early-stage ICA administration; group E: sham operation/late-stage normal
saline administration; group F: sham operation/late-stage ICA administration;
group G: ACLT/late-stage normal saline administration; group H: ACLT/late-stage
ICA administration. Each animal received either ACLT or simply opening joint
capsule, respectively. For groups B and D, ICA was given by gavage [10
mg/(kg.day)] on the first day after ACLT. For groups F and H, ICA was given with
the same volume at 4 weeks after operation. The blood serum of the mouse was
collected and prepared at 8 weeks after operation. Serum bone turnover markers
and cytokines, including C-telopeptide of type I collagen (CTX), osteocalcin
(OC), interleukin 6 (IL-6), tumor necrosis factor alpha (TNF-alpha), and IL
1beta, were measured by ELISA. Tissue samples from the knee were stained by
alcian blue/hematoxylin & orange G (AB/H&OG). Histological changes of cartilage
and subchondral bone were observed and evaluated by Osteoarthritis Research
Society International (OARSI) scoring system. Results: Comparison between each
group with early-stage administration (groups A, B, C, and D): Compared with
groups A and B, the levels of CTX and OC in group C were significantly reduced (
P<0.05); the levels of IL-6, TNF-alpha, and IL-1beta and OARSI score was
significantly increased ( P<0.05). Compared with group C, the levels of CTX and
OC in group D were significantly increased ( P<0.05); the level of IL-6 was
significantly reduced ( P<0.05); the levels of TNF-alpha and IL-1beta were not
changed ( P>0.05), and OARSI score was significantly reduced ( P<0.05).
Histological observation showed that the tibial cartilage loss was significantly
improved. Comparison between each group with late-stage administration (groups E,
F, G, and H): Compared with groups E and F, the levels of CTX and OC in group G
were significantly reduced ( P<0.05); the levels of IL-6, TNF-alpha, and IL-1beta
and OARSI score were significantly increased ( P<0.05). Compared with group G,
the level of CTX in group H were increased ( P<0.05); the levels of OC, IL-6, TNF
alpha, and IL-1beta and OARSI score were not changed ( P>0.05). Histological
observation showed that the tibial cartilage loss had no changes after late-stage
ICA administration. Conclusion: ICA plays protective effects on subchondral bone,
hyaline, and calcified cartilage. Meanwhile, ICA can improve bone remodeling in
subchondral bone of OA to some extent. The consistent changes of serum bone
markers and pathological morphology suggest that early intervention of ICA on OA
is more effective.
PMID- 29806435
TI - [Comparative study of decompression and non-decompression surgeries in treatment
of thoracolumbar fractures with intraspinal occupying and without neurological
symptoms].
AB - Objective: To investigate the effectiveness of posterior non-decompression
surgery in the treatment of thoracolumbar fractures without neurological symptoms
by comparing with the conventional posterior decompression surgery. Methods:
Between October 2008 and October 2015, a total of 97 patients with thoracolumbar
fractures with intraspinal occupying 1/3-1/2 and without neurological symptoms
were divided into the decompression surgery group (51 cases) and the non
decompression surgery group (46 cases). There was no significant difference in
gender, age, cause of injury, injury segment, the thoracolumbar injury severity
score (TLICS), combined injury, disease duration, and preoperative relative
anterior vertebral height, kyphosis Cobb angle, intraspinal occupying percentage,
visual analogue scale (VAS), Oswestry disability index (ODI), and Japanese
Orthopaedic Association (JOA) score between 2 groups ( P>0.05). The operation
time, intraoperative blood loss volume, postoperative drainage, bed rest time,
hospitalization time, and relative anterior vertebral height, kyphosis Cobb
angle, intraspinal occupying percentage, and VAS score, ODI, JOA score at
preoperative and postoperative 3 days and 1 year were recorded and compared.
Results: The operation time, intraoperative blood loss volume, and postoperative
drainage in non-decompression surgery group were significantly less than those in
decompression surgery group ( P<0.05). There was no significant difference in the
postoperative bed rest time and hospitalization time between 2 groups ( P>0.05).
In decompression surgery group, 4 cases had cerebrospinal fluid leakage and
healed after conservative treatment. All incisions healed by first intention, and
no nerve injury or infection of incision occurred. All patients were followed up
10-18 months (mean, 11.7 months). The recovery of vertebral body height was
satisfactory in 2 groups, without secondary kyphosis and secondary nerve
symptoms. The imaging indexes and effectiveness scores of 2 groups at 3 days and
1 year after operation were significantly improved when compared with
preoperative ones ( P<0.05). The intraspinal occupying percentage, VAS score, and
ODI at 1 year after operation were significantly lower than those at 3 days after
operation in 2 groups ( P<0.05), and JOA score at 1 year after operation was
significantly higher than that at 3 days after operation ( P<0.05). Relative
anterior vertebral height at 1 year after operation was significantly higher than
that at 3 days after operation in non-decompression surgery group ( P<0.05); and
there was no significant difference in decompression surgery group ( P>0.05). At
3 days, the intraspinal occupying percentage and JOA score in non-decompression
surgery group were higher than those in decompression surgery group ( P<0.05),
and VAS score and ODI at 3 days in non-decompression surgery group were lower
than those in decompression surgery group ( P<0.05). No significant difference
was found in the other indexes between 2 groups at 3 days and 1 year after
operation ( P>0.05). Conclusion: Compared with the posterior decompression
surgery, posterior non-decompression surgery has the advantages of less bleeding,
less trauma, less postoperative pain, and so on. It is an ideal choice for the
treatment of thoracolumbar fractures with intraspinal occupying 1/3-1/2 and
without neurological symptoms under the condition of strict indication of
operation.
PMID- 29806437
TI - [Treatment of odontoid fracture combined with lower cervical spinal cord injuries
without fracture or dislocation].
AB - Objective: To discuss the clinical characteristics, mechanism, and treatment of
odontoid fracture combined with lower cervical spinal cord injuries without
fracture or dislocation. Methods: According to the inclusion and exclusion
criteria, 7 male patients aged 37-71 years (mean, 51.4 years), suffered from
odontoid fractures combined with lower cervical spinal cord injuries without
fracture or dislocation were analyzed retrospectively between June 2007 and
October 2015. The trauma causes were traffic accidents in 2 cases, fall in 2
cases, and hit injury in 3 cases. The time from injury to admission was 2 hours
to 3 days with an average of 9 hours. According to Anderson-Grauer classification
of odontoid fracture, 1 case of type IIA, 3 cases of type IIB, 2 cases of type
IIC, and 1 case of shallow type III were found. The cervical spinal cord injuries
affected segments included C 4, 5 in 1 case, C 4-6 in 2 cases, and C 5-7 in 4
cases. All the cervical spine had different degenerative changes: 2 of mild, 3 of
moderate, and 2 of severe. The lower cervical spinal cord injury was assessed by
Sub-axial Injury Classification (SLIC) with scoring of 4-6 (mean, 5.1). The
visual analogue scale (VAS) score was used to evaluate the occipital neck pain
with scoring of 7.8+/-1.0; the neurological function was assessed by American
Spinal Injury Association (ASIA) as grade B in 1 case, grade C in 4 cases, and
grade D in 2 cases; and Japanese Orthopedic Association score (JOA) was 9.2+/
3.9. For the odontoid fractures, 4 cases were fixed with anterior screw while the
others were fixed with posterior atlantoaxial fixation and fusion. For the lower
cervical spine, 4 cases were carried out with anterior cervical corpectomy and
titanium fusion while the others with anterior cervical disecotomy and Cage
fusion. Results: The operation time was 178-252 minutes (mean, 210.2 minutes);
the intraoperative blood loss was 60-140 mL (mean, 96.5 mL) and with no blood
transfusion. All incisions healed primarily. All the patients were followed up 12
66 months (mean, 18 months). There was no direct surgical related complications
during operation, and all bone grafting got a fusion at 6-9 months (mean, 7.7
months) after operation. There was no inter-fixation failure or loosening. At
last follow-up, the VAS score declined to 1.7+/-0.7 and JOA score improved to
15.1+/-1.7, showing significant differences when compared with preoperative ones
( t=18.064, P=0.000; t=-7.066, P=0.000). The neurological function of ASIA grade
were also improved to grade D in 5 cases and grade E in 2 cases, showing
significant difference ( Z=-2.530, P=0.011). Conclusion: Complex forces and
degeneration of lower cervical spine were main reasons of odontoid fracture
combined with lower cervical spinal cord injuries without fracture or
dislocation. The type of odontoid fracture and neurological deficit status of
lower cervical spinal cord were important to guide making strategy of one-stage
operation with a satisfactory clinic outcome.
PMID- 29806436
TI - [Imaging study of "shell" phenomenon of thoracolumbar fractures after posterior
reduction].
AB - Objective: To analyze the imaging characteristics of vertebral "shell" phenomenon
of thoracolumbar fractures after posterior reduction and to explore the
relationship between vertebral "shell" and fracture healing. Methods: Between
January 2013 and December 2015, the clinical data of 116 patients with
thoracolumbar fractures treated with posterior pedicle screw-rod system reduction
and internal fixation were analyzed retrospectively. There were 72 males and 44
females, aged 22-66 years (mean, 43 years). Injury causes were traffic accident
in 24 cases, falling from height in 54 cases, bruise in 38 cases. Fracture
segment located at T 11 in 5 cases, T 12 in 38 cases, L 1 in 52 cases, L 2 in 21
cases. There were 51 cases of compressive fracture and 65 cases of burst
fracture. The sagittal Cobb angle ranged from 8 to 27 degrees , with an average
of 15 degrees . Degree of preoperative spinal compression ranged from 20% to 75%,
with an average of 44%. Bone density measurement showed that normal bone mass in
30 cases, bone loss in 40 cases, osteoporosis in 41 cases, and severe
osteoporosis in 5 cases. The number, pathological characteristics, and imaging
regularity of the vertebral "shell" phenomenon were observed and analyzed by
logistic regression. Results: All patients were followed up 11-18 months with an
average of 13 months. A total of 72 cases of vertebral "shell" phenomenon mainly
located in the vertebral anterior column and the end plate near the weak area
(54/72, 75.0%). Most of them were in the irregular shape (50/72, 69.5%). The
vertebral fracture line was related to the shape of the vertebral body and the
displacement of the vertebral body after reduction. The outcome of the "shell"
can be divided into disappeared type, reduced type, and collapse type, the volume
of vertebral "shell" and its outcome were the risk factors for vertebral fracture
healing. Conclusion: The incidence of vertebral "shell" and nonuion of
thoracolumbar fractures after posterior reduction are high. The main influencing
factors are vertebral "shell" outcome and size.
PMID- 29806438
TI - [Repair of the donor defect after wrap-around flap transfer with free thinned
innervated anterolateral thigh perforator flap].
AB - Objective: To explore the feasibility of harvesting free thinned innervated
anterolateral thigh (ALT) perforator flap for repairing the donor defect after
wrap-around flap transfer. Methods: Between May 2011 and December 2013, free
thinned innervated ALT perforator flap was used to repair the donor defects after
wrap-around flap transfer in 9 patients. There were 8 males and 1 female, with a
mean age of 31.2 years (range, 19-42 years). The interval time between injury and
admission was 3-12 hours (mean, 6.5 hours). Injury causes included machine crush
injury (4 cases), traffic accident injury (3 cases), and twisting injury (2
cases). The wrap-around flaps were transferred to reconstruct thumb defects. And
the size of donor site defect ranged from 3 cm*2 cm to 8 cm*5 cm. A branch of the
lateral femoral cutaneous nerve was carried to make innervated ALT perforator
flap for donor site repair. The size of innervated ALT perforator flap ranged
from 3.0 cm*2.0 cm to 8.5 cm*5.0 cm. The thickness of innervated ALT perforator
flap before defatting ranged from 2.0 to 4.5 cm (mean, 3.2 cm); the thickness
after defatting ranged from 0.4 to 0.6 cm (mean, 0.5 cm). The defect at the
anterolateral thigh was primarily closed in all cases. Results: All reconstructed
thumbs and ALT perforator flaps survived. All patients were followed up 6-30
months (mean, 15.8 months). The ALT perforator flaps had good appearance and
color, with no further flap revision or defatting procedures. The static two
point discrimination was 8-15 mm (mean, 10.5 mm). All patients could walk and run
normally without postoperative skin erosions or ulcerations. Conclusion: The free
thinned innervated ALT perforator flap is pliable and thin. It is suitable for
repairing the donor site defects after wrap-around flap transfer for thumb
reconstruction.
PMID- 29806439
TI - [Transferring the ulnaris proper digital nerve of index finger and its dorsal
branch to repair the thumb nerve avulsion].
AB - Objective: To investigate the effectiveness of transferring the ulnaris proper
digital nerve of index finger and its dorsal branch to repair the thumb nerve
avulsion. Methods: Between January 2007 and May 2015, 23 patients with thumb
nerve avulsion were treated by transferring the ulnaris proper digital nerve of
index finger and its dorsal branch. There were 17 males and 6 females with an
average age of 32 years (range, 16-63 years). The injuries were caused by machine
twist in 10 cases, electric saw in 8 cases, and sharp article prick in 5 cases.
And thumb rotational avulsion amputation happened in 8 cases, thumb incomplete
amputation in 2 cases, laceration of thumb palmaris with the thumb nerve avulsion
of both sides in 13 caese (7 cases with tendon rupture). The time from injury to
operation was 1.0-3.5 hours (mean, 2.2 hours). Results: All incisions healed by
first intention. Ten cases of thumb reimplantation were successful. All the
patients were followed up for 5 months to 2 years and 8 months, with an average
of 1 year and 4 months. Two-point discrimination was 3-9 mm (mean, 6.8 mm).
According to Society of Hand Surgery standard for the evaluation of upper part of
the function, the sensory of the thumb was rated as S 4 in 18 cases and S3+ in 5
cases; the sensory at donor sites recovered to S3. Conclusion Transferring the
ulnaris proper digital nerve of index finger and its dorsal branch to repair the
thumb nerve avulsion is a simple and effective method to restore sensory function
of the thumb pulp. Conclusion: Transferring the ulnaris proper digital nerve of
index finger and its dorsal branch to repair the thumb nerve avulsion is a simple
and effective method to restore sensory function of the thumb pulp.
PMID- 29806440
TI - [Effectiveness of retro-orbicularis oculi fat resection in Park double eyelid
surgery to correct swollen upper eyelid].
AB - Objective: To investigate the effectiveness of retro-orbicularis oculi fat
resection in Park double eyelid surgery to correct the swollen upper eyelid.
Methods: Between September 2015 and July 2016, partial resection of the retro
orbicularis oculi fat was performed on 32 cases with bilateral swollen upper
eyelids in Park double eyelid surgery. There were 10 males and 22 females with an
average age of 25 years (range, 19-32 years). The patients had normal function of
the levator muscle, and had no history of double eyelid surgery. Results: All the
incisions healed by first intention. There was no obvious congestion, swelling,
levator muscle dysfunction. All patients were followed up 2-14 months, with an
average of 10 months. The double eyelid fold was naturally smooth, without
depressed scar; blepharochalasis was obviously improved, and the function of
upper eyelid levator muscle was normal. All patients were satisfied with the
aesthetic effect. Conclusion: Park double eyelid surgery and partial resection of
retro-orbicularis oculi fat can effectively improve the aesthetic effect in
patients with swollen upper eyelid, and can achieve the perfect function and
appearance.
PMID- 29806441
TI - [Study on the prevention of postoperative intraperitoneal adhesion of rat with
PLGA/PEG electrospun polymer membrane].
AB - Objective: Adopting poly- L-lactic/glycolic acid (PLGA) and polyethylene glycol
(PEG) as the material to fabricate PLGA/PEG electrospun polymer membrane by
electrospinning technology. And to study its preventive effect on postoperative
intraperitoneal adhesion of rat. Methods: PLGA and PEG were mixed at the ratio of
19?1( M/M), then dissolved in organic solvent. The PLGA/PEG electrospun polymer
membrane was prepared by electrospinning technology, and then the gross
observation and scanning electron microscope observation were taken. Fifty-four
Sprague Dawley rats (weighing, 180-200 g), were randomly divided into 3 groups.
The rats in control group ( n=6) were left intact. The rats in model group (
n=24) and PLGA/PEG group ( n=24) were treated with the method of mechanical
injury of the cecal serosa in order to establish the intraperitoneal adhesion
models; then the PLGA/PEG electrospun polymer membrane was used to cover the
wound in PLGA/PEG group, but was not in the model group. The intraperitoneal
adhesion in PLGA/PEG group and model group were observed at 3 days, 1 week, 2
weeks, and 8 weeks after operation, and the adhesion degree was assessed
according to the self-generated standard. The degradation of PLGA/PEG electrospun
polymer membrane was also observed in PLGA/PEG group. At each time point, the
rats were harvested for histological observation. All the above indexes were
compared with the control group. Results: Using the electrospinning technology,
PLGA/PEG electrospun polymer membrane was prepared successfully. PLGA/PEG
electrospun polymer membrane was white and opaque, with soft texture. Scanning
electron microscopy observation showed that PLGA/PEG electrospun polymer membrane
was mainly composed of disorderly staggered fibers, with microporous structure.
All rats survived to the end of the experiment. Gross observation showed that
PLGA/PEG electrospun polymer membrane gradually degraded after implantation in
vivo, and the adhesion degree in PLGA/PEG group was significantly lower than that
in model group ( P<0.05), but it had not yet reached to the level of the control
group ( P<0.05). Histological observation showed that the proliferation of cecal
fibrous connective tissue was slower in PLGA/PEG group than in model group, and
adhesion severity significantly decreased, only with a small amount of
inflammatory cell infiltration. Nevertheless, it was not up to the level of the
control group. Conclusion: PLGA/PEG electrospun polymer membrane can effectively
prevent postoperative intraperitoneal adhesion of rat, and has good
biodegradability.
PMID- 29806442
TI - [Mechanism research progress of tendon-derived stem cells in reconstruction of
fibrocartilage zone at bone-tendon junction].
AB - Objective: To summarize the mechanism research progress of tendon-derived stem
cells (TDSCs) in the reconstruction of fibrocartilage zone at bone-tendon
junction (BTJ). Methods: The domestic and abroad related literature about TDSCs
in the reconstruction of fibrocartilage zone at BTJ was summarized and analyzed.
Results: TDSCs can be induced to osteocytes, fibrochondrocytes, and tenocytes in
vitro. Therefore, TDSCs have potential to reconstruct fibrocartilage zone at BTJ.
Factors, such as mechanical stimulation, bioactive factor, extracelluar matrix,
inflammatory factors, and so on, may influence osteogenic or chondrogenic
differentiation of TDSCs. Conclusion: Because of the specificity of origin and
location of TDSCs, TDSCs have the potential to be the seed cells for BTJ
fibrocartilage zone repair. By applying external stimuli, TDSCs can be induced to
form structures which are similar to fibrocartilage zone.
PMID- 29806443
TI - [A summary of hip-preservation surgery based on peri-collapse stage of
osteonecrosis of femoral head].
AB - Objective: To summarize surgical procedures of hip-preservation based on peri
collapse stage of osteonecrosis of the femoral head (ONFH). Methods: The basic
points of peri-collapse stage theory was expounded. The related literature on
surgical procedures was summarized and analyzed based on the theory. Results:
Surgical procedures of hip-preservation tend to emphasize on mechanical repair,
giving consideration to biological repair. It is consistent with the essence of
peri-collapse stage theory, which attaches great importance to biomechanical
stability. Conclusion: Peri-collapse stage theory has a guiding significance to
the choice of surgical timing and approach, and it is one of the important
theoretical basis for hip-preservation treatment.
PMID- 29806445
TI - [Serial Cases of Troubleshooting of NeuViz CT].
AB - The article describes the specific examples of fault maintenance of the Neusoft
NeuViz series CT, so as to provide some maintenance references for colleagues.
PMID- 29806444
TI - [Research progress in osteogenesis and osteogenic mechanism of heparan sulfate].
AB - Objective: To discuss the role of heparan sulfate (HS) in bone formation and bone
remodeling and summarize the research progress in the osteogenic mechanism of HS.
Methods: The domestic and abroad related literature about HS acting on osteoblast
cell line in vitro, HS and HS composite scaffold materials acting on the ani-mal
bone defect models, and the effect of HS proteoglycans on bone development were
summarized and analyzed. Results: Many growth factors involved in fracture
healing especially heparin-binding growth factors, such as fibroblast growth
factors, bone morphogenetic protein, and transforming growth factor beta, are
connected noncovalently with long HS chains. HS proteoglycans protect these
proteins from protease degradation and are directly involved in the regulation of
growth factors signaling and bone cell function. HS can promote the
differentiation of stem cells into osteoblasts and enhance the differentiation of
osteoblasts. In bone matrix, HS plays a significant role in promoting the
formation, maintaining the stability, and accelerating the mineralization.
Conclusion: The osteogenesis of HS is pronounced. HS is likely to become the
clinical treatment measures of fracture nonunion or delayed union, and is
expected to provide more choices for bone tissue engineering with identification
of its long-term safety.
PMID- 29806446
TI - Primary sternal tuberculosis mimicking a lytic bone tumor lesion.
AB - Tubercular sternal osteomyelitis is a rare manifestation of tuberculosis. We
describe the case of a 51-years-old male patient, presenting with three months
history of pain and swelling of the central chest wall, referred to our hospital
with clinical diagnosis of chondrosarcoma of the sternum.
PMID- 29806447
TI - Mediastinal silicone lymphadenopathy revealed after thymectomy for autoimmune
myasthenia gravis.
AB - Breast reconstruction is a very popular surgical intervention performed either
for cosmetic reasons or after oncological resections. Even though silicone is
considered to be an inert material, there are side effects that have been
reported, such as silicone lymphadenopathy. In the case reported herein, a
silicone lymphadenopathy of the internal mammary and the anterior mediastinal
lymph nodes were revealed after a thymectomy for autoimmune myasthenia gravis.
Silicone lymphadenopathy should always be part of the differential diagnosis of
enlarged lymph nodes, in patients with previous cosmetic or oncoplastic surgery
with the use of silicone gel breast implants. Special attention should be paid in
case of previous breast cancer in order to rule out metastasis.
PMID- 29806448
TI - Acoustic Enrichment of Extracellular Vesicles from Biological Fluids.
AB - Extracellular vesicles (EVs) have emerged as a rich source of biomarkers
providing diagnostic and prognostic information in diseases such as cancer. Large
scale investigations into the contents of EVs in clinical cohorts are warranted,
but a major obstacle is the lack of a rapid, reproducible, efficient, and low
cost methodology to enrich EVs. Here, we demonstrate the applicability of an
automated acoustic-based technique to enrich EVs, termed acoustic trapping. Using
this technology, we have successfully enriched EVs from cell culture conditioned
media and urine and blood plasma from healthy volunteers. The acoustically
trapped samples contained EVs ranging from exosomes to microvesicles in size and
contained detectable levels of intravesicular microRNAs. Importantly, this method
showed high reproducibility and yielded sufficient quantities of vesicles for
downstream analysis. The enrichment could be obtained from a sample volume of 300
MUL or less, an equivalent to 30 min of enrichment time, depending on the
sensitivity of downstream analysis. Taken together, acoustic trapping provides a
rapid, automated, low-volume compatible, and robust method to enrich EVs from
biofluids. Thus, it may serve as a novel tool for EV enrichment from large number
of samples in a clinical setting with minimum sample preparation.
PMID- 29806449
TI - Nanoplasmonic Sensing Architectures for Decoding Membrane Curvature-Dependent
Biomacromolecular Interactions.
AB - Nanoplasmonic sensors have emerged as a promising measurement approach to track
biomacromolecular interactions involving lipid membrane interfaces. By taking
advantage of nanoscale fabrication capabilities, it is possible to design sensing
platforms with various architectural configurations. Such capabilities open the
door to fabricating lipid membrane-coated nanoplasmonic sensors with varying
degrees of membrane curvature in order to understand how biomacromolecular
interaction processes are influenced by membrane curvature. Herein, we employed
an indirect nanoplasmonic sensing approach to characterize the fabrication of
supported lipid bilayers (SLBs) on silica-coated nanowell and nanodisk sensing
platforms and to investigate how membrane curvature influences membrane-peptide
interactions by evaluating the corresponding measurement responses from different
spectral signatures that are sensitive to specific regions of the sensor
geometries. SLBs were prepared by the vesicle fusion method, as monitored in real
time by nanoplasmonic sensing measurements and further characterized by
fluorescence recovery after photobleaching (FRAP) experiments. By resolving
different spectral signatures in the nanoplasmonic sensing measurements, it was
determined that peptide binding induces membrane disruption at positively curved
membrane regions, while peptide binding without subsequent disruption was
observed at planar and negatively curved regions. These findings are consistent
with the peptide's known preference to selectively form pores in positively
curved membranes, providing validation to the nanoplasmonic sensing approach and
highlighting how the integration of nanoplasmonic sensors with different
nanoscale architectures can be utilized to study the influence of membrane
curvature on biomacromolecular interaction processes.
PMID- 29806450
TI - Measurement of Basal Neurotransmitter Levels Using Convolution-Based Nonfaradaic
Current Removal.
AB - Fast-scan cyclic voltammetry permits robust subsecond measurements of in vivo
neurotransmitter dynamics, resulting in its established use in elucidating these
species' roles in the actions of behaving animals. However, the technique's
limitations, namely the need for digital background subtraction for analytical
signal resolution, have restricted the information obtainable largely to that
about phasic neurotransmitter release on the second-to-minute time scale. The
study of basal levels of neurotransmitters and their dynamics requires a means of
isolating the portion of the background current arising from neurotransmitter
redox reactions. Previously, we reported on the use of a convolution-based method
for prediction of the resistive-capacitive portion of the carbon-fiber
microelectrode background signal, to improve the information content of
background-subtracted data. Here we evaluated this approach for direct analytical
signal isolation. First, protocol modifications (i.e., applied waveform and
carbon-fiber type) were optimized to permit simplification of the interfering
background current to components that are convolution-predictable. It was found
that the use of holding potentials of at least 0.0 V, as well as the use of pitch
based carbon fibers, improved the agreement between convolution predictions and
the observed background. Subsequently, it was shown that measurements of basal
dopamine concentrations are possible with careful control of the electrode state.
Successful use of this approach for measurement of in vivo basal dopamine levels
is demonstrated, suggesting the approach may serve as a useful tool in expanding
the capabilities of fast-scan cyclic voltammetry.
PMID- 29806451
TI - Zeolites for CO2-CO-O2 Separation to Obtain CO2-Neutral Fuels.
AB - Carbon dioxide release has become an important global issue due to the
significant and continuous rise in atmospheric CO2 concentrations and the
depletion of carbon-based energy resources. Plasmolysis is a very energy
efficient process for reintroducing CO2 into energy and chemical cycles by
converting CO2 into CO and O2 utilizing renewable electricity. The bottleneck of
the process is that CO remains mixed with O2 and residual CO2. Therefore,
efficient gas separation and recuperation are essential for obtaining pure CO,
which, via water gas shift and Fischer-Tropsch reactions, can lead to the
production of CO2-neutral fuels. The idea behind this work is to provide a
separation mechanism based on zeolites to optimize the separation of carbon
dioxide, carbon monoxide, and oxygen under mild operational conditions. To
achieve this goal, we performed a thorough screening of available zeolites based
on topology and adsorptive properties using molecular simulation and ideal
adsorption solution theory. FAU, BRE, and MTW are identified as suitable
topologies for these separation processes. FAU can be used for the separation of
carbon dioxide from carbon monoxide and oxygen and BRE or MTW for the separation
of carbon monoxide from oxygen. These results are reinforced by pressure swing
adsorption simulations at room temperature combining adsorption columns with pure
silica FAU zeolite and zeolite BRE at a Si/Al ratio of 3. These zeolites have the
added advantage of being commercially available.
PMID- 29806452
TI - Fast and Sustained Degradation of Chemical Warfare Agent Simulants Using Flexible
Self-Supported Metal-Organic Framework Filters.
AB - Self-detoxification filters against lethal chemical warfare agents (CWAs) are
highly desirable for the protection of human beings and the environment. In this
report, flexible self-supported filters of a series of Zr(IV)-based metal-organic
frameworks (MOFs) including UiO-66, UiO-67, and UiO-66-NH2 were successfully
prepared and exhibited fast and sustained degradation of CWA simulants. A half
life as short as 2.4 min was obtained for the catalytic hydrolysis of dimethyl 4
nitrophenyl phosphate, and the percent conversion remained above 90% over a long
term exposure of 120 min, well exceeding those of the previously reported
composite MOF filters and the corresponding MOF powders. The outstanding
detoxification performance of the self-supported fibrous filter comes from the
exceptionally high surface area, excellent pore accessibility, and hierarchical
structure from the nano- to macroscale. This work demonstrates, for the first
time, MOF-only filters as efficient self-detoxification media, which will offer
new opportunities for the design and fabrication of functional materials for
toxic chemical protection.
PMID- 29806453
TI - Ultrasensitive Fluorescent Assay Based on a Rolling-Circle-Amplification-Assisted
Multisite-Strand-Displacement-Reaction Signal-Amplification Strategy.
AB - Heavy metal ions are persistent environmental contaminants and pose a great
threat to human health, which has prompted demand for new methods to selectively
identify and detect these metal ions. Herein, a novel fluorescent assay based on
a rolling-circle-amplification (RCA)-assisted multisite-strand-displacement
reaction (SDR) signal-amplification strategy was proposed for the ultrasensitive
detection of heavy metal ions with lead ions (Pb2+) as a model. The proposed
strategy not only achieved the target recycling but also introduced RCA induced
by released DNAzyme. Most importantly, the RCA product was adapted as the
initiator to provide multiple sites for SDR, which could displace signal duplexes
from RCA products to effectively avoid the self-quenching of signal-probe
assembly on the RCA product. Therefore, the amplification efficiency and the
detection sensitivity could be improved significantly. As expected, the proposed
strategy demonstrated good performance for the determination of Pb2+ with a
linear range from 0.1 to 50 nM and a detection limit down to 0.03 nM. Using this
strategy for intracellular-Pb2+ detection, a favorable property was obtained.
Furthermore, the proposed strategy could be also expanded for the determination
of microRNA, proteins, and other biomolecules, offering a novel avenue for
environmental assays and clinical diagnostics.
PMID- 29806454
TI - Modulating OxyB-Catalyzed Cross-Coupling Reactions in Vancomycin Biosynthesis by
Incorporation of Diverse d-Tyr Analogues.
AB - We report a general method for synthesizing diverse d-Tyr analogues, one of the
constituents of the antibiotic vancomycin, using a Negishi cross-coupling
protocol. Several analogues were incorporated into the vancomycin substrate
peptide and reacted with the biosynthetic enzymes OxyB and OxyA, which install
the characteristic aromatic cross-links. We find that even small structural
perturbations are not accepted by OxyA. The same modifications, however, enhance
the catalytic capabilities of OxyB leading to the formation of a new macrocycle
within the vancomycin framework.
PMID- 29806455
TI - Directional Negative Thermal Expansion and Large Poisson Ratio in CH3NH3PbI3
Perovskite Revealed by Strong Coherent Shear Phonon Generation.
AB - Despite the enormous amount of attention CH3NH3PbI3 has received, we are still
lacking an in-depth understanding of its basic properties. In particular, the
directional mechanical and structural characteristics of this material have
remained elusive. Here, we investigate these properties by monitoring the
propagation of longitudinal and shear phonons following the absorption of a
femtosecond pulse along various crystalline directions of a CH3NH3PbI3 single
crystal. We first extract the sound velocities of longitudinal and transverse
phonons along these directions of the crystal. Our study then reveals the
negative directional thermal expansion of CH3NH3PbI3, which is responsible for
strong coherent shear phonon generation. Finally, from these observations, we
perform elastic characterization of this material, revealing a large directional
Poisson's ratio, which reaches 0.7 and that we associate with the weak mechanical
stability of this material. Our results also provide guidelines to fabricate a
transducer of high-frequency transverse phonons.
PMID- 29806456
TI - Examining the Potential for Agricultural Benefits from Pollinator Habitat at
Solar Facilities in the United States.
AB - Of the many roles insects serve for ecosystem function, pollination is possibly
the most important service directly linked to human well-being. However, land use
changes have contributed to the decline of pollinators and their habitats. In
agricultural landscapes that also support renewable energy developments such as
utility-scale solar energy [USSE] facilities, opportunities may exist to conserve
insect pollinators and locally restore their ecosystem services through the
implementation of vegetation management approaches that aim to provide and
maintain pollinator habitat at USSE facilities. As a first step toward
understanding the potential agricultural benefits of solar-pollinator habitat, we
identified areas of overlap between USSE facilities and surrounding pollinator
dependent crop types in the United States (U.S.). Using spatial data on solar
energy developments and crop types across the U.S., and assuming a pollinator
foraging distance of 1.5 km, we identified over 3,500 km2 of agricultural land
near existing and planned USSE facilities that may benefit from increased
pollination services through the creation of pollinator habitat at the USSE
facilities. The following five pollinator-dependent crop types accounted for over
90% of the agriculture near USSE facilities, and these could benefit most from
the creation of pollinator habitat at existing and planned USSE facilities:
soybeans, alfalfa, cotton, almonds, and citrus. We discuss how our results may be
used to understand potential agro-economic implications of solar-pollinator
habitat. Our results show that ecosystem service restoration through the creation
of pollinator habitat could improve the sustainability of large-scale renewable
energy developments in agricultural landscapes.
PMID- 29806457
TI - 2D/2D Heterostructured CdS/WS2 with Efficient Charge Separation Improving H2
Evolution under Visible Light Irradiation.
AB - Efficient water splitting for H2 evolution under visible light irradiation has
attracted more attention for solving the global environmental and energy issues,
but it is still a major challenge to develop an earth-abundant and efficient
photocatalyst. Herein, we report two-dimensional (2D)/2D heterostructured CdS/WS2
(CdS/WS2), composed of nanosheet CdS (CdS) and nanosheet WS2 (WS2), as an
efficient photocatalyst for H2 evolution. As a noble metal-free visible light
driven catalyst for H2 evolution, CdS/WS2 with 10 wt % WS2 exhibited the largest
H2 evolution rate of 14.1 mmol g-1 h-1 under visible light irradiation to be 8
times larger than that of pure CdS. The lifetime and dynamics of photogenerated
electrons were evaluated by femtosecond time-resolved diffuse reflectance
spectroscopy, indicating that WS2 works as an electron-trapping site and a
cocatalyst to cause H2 evolution under visible light irradiation. This work
suggests that CdS/WS2 has great potential as a low-cost and highly efficient
photocatalyst for water splitting.
PMID- 29806458
TI - Peptidoglycan and Teichoic Acid Levels and Alterations in Staphylococcus aureus
by Cell-Wall and Whole-Cell Nuclear Magnetic Resonance.
AB - Gram-positive bacteria surround themselves with a multilayered macromolecular
cell wall that is essential to cell survival and serves as a major target for
antibiotics. The cell wall of Staphylococcus aureus is composed of two major
structural components, peptidoglycan (PG) and wall teichoic acid (WTA), together
creating a heterogeneous and insoluble matrix that poses a challenge to
quantitative compositional analysis. Here, we present 13C cross polarization
magic angle spinning solid-state nuclear magnetic resonance (NMR) spectra of
intact cell walls, purified PG, and purified WTA. The spectra reveal the clear
molecular differences in the two polymers and enable quantification of PG and WTA
in isolated cell walls, an attractive alternative to estimating teichoic acid
content from a phosphate analysis of completely pyrolyzed cell walls.
Furthermore, we discovered that unique PG and WTA spectral signatures could be
identified in whole-cell NMR spectra and used to compare PG and WTA levels among
intact bacterial cell samples. The distinguishing whole-cell 13C NMR
contributions associated with PG include the GlcNAc-MurNAc sugar carbons and
glycyl alpha-carbons. WTA contributes carbons from the phosphoribitol backbone.
Distinguishing 15N spectral signatures include glycyl amide nitrogens in PG and
the esterified d-alanyl amine nitrogens in WTA. 13C NMR analysis was performed
with samples at natural abundance and included 10 whole-cell sample comparisons.
Changes consistent with altered PG and WTA content were detected in whole-cell
spectra of bacteria harvested at different growth times and in cells treated with
tunicamycin. This use of whole-cell NMR provides quantitative parameters of
composition in the context of whole-cell activity.
PMID- 29806459
TI - Influence of Oxalate on Ni Fate during Fe(II)-Catalyzed Recrystallization of
Hematite and Goethite.
AB - During biogeochemical iron cycling at redox interfaces, dissolved Fe(II) induces
the recrystallization of Fe(III) oxides. Oxalate and other organic acids promote
dissolution of these minerals and may also induce recrystallization. These
processes may redistribute trace metals among the mineral bulk, mineral surface,
and aqueous solution. However, the impact of interactions among organic acids,
dissolved Fe(II), and iron oxide minerals on trace metal fate in such systems is
unclear. The present study thus explores the effect of oxalate on Ni release from
and incorporation into hematite and goethite in the absence and presence of
Fe(II). When Ni is initially structurally incorporated into the iron oxides, both
oxalate and dissolved Fe(II) promote the release of Ni to aqueous solution. When
both species are present, their effects on Ni release are synergistic at pH 7 but
inhibitory at pH 4, indicating that cooperative and competitive interactions vary
with pH. In contrast, oxalate suppresses Ni incorporation into goethite and
hematite during Fe(II)-induced recrystallization, decreasing the proportion of Ni
substituting in a mineral structure by up to 36%. These observations suggest that
at redox interfaces oxalate largely enhances trace metal mobility. In such
settings, oxalate, and likely other organic acids, may thus enhance micronutrient
availability and inhibit contaminant sequestration.
PMID- 29806460
TI - Asymmetric Diels-Alder Reaction Involving Dynamic Enantioselective
Crystallization.
AB - Asymmetric Diels-Alder reaction was achieved under achiral conditions. Reaction
of prochiral 2-methylfuran and N-phenylmaleimide in heptane or hexane solution at
80 degrees C efficiently gave a conglomerate crystal of exo-type Diels-Alder
adduct selectively, and continuous suspension of the reaction mixture with glass
beads promoted attrition-enhanced deracemization, leading to an optically active
exo-adduct in 90% ee.
PMID- 29806461
TI - Efficient Charge Separation from F- Selective Etching and Doping of Anatase
TiO2{001} for Enhanced Photocatalytic Hydrogen Production.
AB - TiO2 nanomaterials with coexposed {001} and {101} facets have aroused much
interest owing to their outstanding photocatalytic performance. In this study, on
the basis of its unique characteristics of photoinduced electron and hole
transfer to different lattice planes, we synthesized F- selective etching and
doping on {001} facets of anatase TiO2 nanosheets using TiO2 nanosheets with
coexposed {001} and {101} facets as a precursor. Through a series of
measurements, such as photoluminescence, transient photocurrent response,
electrochemical impedance spectra, and Mott-Schottky measurements, it is proved
that F- selective etching and doping on {001} facets of TiO2 can extremely
accelerate the separation of photogenerated carriers by shortening the transfer
pathway of holes and introducing Ti3+ and oxygen vacancies in {001} facets.
Therefore, the as-obtained sample shows excellent photocatalytic properties under
the visible-light irradiation; the highest rate of photocatalytic H2 evolution is
up to 18270 MU mol h-1 g-1 and its quantum efficiency is up to 21.6% at lambda =
420 nm. As an innovative exploration, this study provides a direct spatial charge
separation strategy for developing highly efficient photocatalysts.
PMID- 29806462
TI - Novel Method for l-Methionine Production Catalyzed by the Aminotransferase ARO8
from Saccharomyces cerevisiae.
AB - The aminotransferase ARO8 was proved to play an efficient role in conversion of l
methionine into methionol via the Ehrlich pathway in Saccharomyces cerevisiae in
our previous work. In this work, the reversible transamination activity of ARO8
for conversion of alpha-keto-gamma-(methylthio) butyric acid (KMBA) into l
methionine was confirmed in vitro. ARO8 was cloned from S. cerevisiae S288c and
overexpressed in Escherichia coli BL21. A 2-fold higher aminotransferase activity
was detected in the recombinant strain ARO8-BL21, and ARO8 was detected in the
supernatant of ARO8-BL21 lysate with IPTG induction by SDS-PAGE analysis. The
recombinant ARO8 was then purified and used for transforming KMBA into l
methionine. An approximately 100% conversion rate of KMBA into l-methionine was
achieved by optimized enzymatic reaction catalyzed by ARO8. This work fulfilled l
methionine biosynthesis catalyzed by the aminotransferase ARO8 using glutamate
and KMBA, which provided a novel method for l-methionine production by enzymatic
catalysis with the potential application prospect in industry.
PMID- 29806463
TI - Diffusion Enhancement in Highly Excited MAPbI3 Perovskite Layers with Additives.
AB - Carrier mobility is one of the crucial parameters determining the electronic
device performance. We apply the light-induced transient grating technique to
measure independently the carrier diffusion coefficient and lifetime, and to
reveal the impact of additives on carrier transport properties in wet-cast
CH3NH3PbI3 (MAPbI3) perovskite films. We use the high excitation regime, where
diffusion length of carriers is controlled purely by carrier diffusion and not by
the lifetime. We demonstrate a four-fold increase in diffusion coefficient due to
the reduction of localization center density by additives; however, the density
dependence analysis shows the dominance of localization-limited diffusion regime.
The presented approach allows us to estimate the limits of technological
improvement-carrier diffusion coefficient in wet-cast layers can be expected to
be enhanced by up to one order of magnitude.
PMID- 29806464
TI - Inhibitory Effect of Persimmon Tannin on Pancreatic Lipase and the Underlying
Mechanism in Vitro.
AB - Pancreatic lipase (PL) is a critical enzyme associated with hyperlipidemia and
obesity. A previous study of ours suggested that persimmon tannin (PT) was the
main component accounting for the antihyperlipidemic effects of persimmon fruits,
but the underlying mechanisms were unclear. In this present study, the inhibitory
effect of PT on PL was studied and the possible mechanisms were evaluated by
fluorescence spectroscopy, circular dichroism (CD) spectra, isothermal titration
calorimetry (ITC), and molecular docking. PT had a high affinity to PL and
inhibited the activity of PL with the half maximal inhibitory concertation (IC50)
value of 0.44 mg/mL in a noncompetitive way. Furthermore, molecular docking
revealed that the hydrogen bonding and pi-pi stacking was mainly responsible for
the interaction. The strong inhibition of PT on PL in the gastrointestinal tract
might be one mechanism for its lipid-lowering effect.
PMID- 29806465
TI - Rapid Evaporative Ionization Mass Spectrometry-Based Lipidomics Tracking of Grass
Carp ( Ctenopharyngodon idellus) during In Vitro Multiple-Stage Digestion.
AB - A rapid evaporative ionization mass spectrometry (REIMS) method was developed for
lipidomics tracking of Ctenopharyngodon idellus during in vitro multiple-stage
digestion. The REIMS conditions were optimized such that the temperature of the
heating probe was 500 degrees C, sample amount was 30 mg, and the flow rate of
auxiliary solvent was 100 MUL min-1. The results showed that the phospholipids
were detected with variety and quantity in the crude and multiple-stage digested
samples. The enzymatic effect on the phospholipids is varied depending on the
phospholipid classes, and the hydrolysis rate of phospholipids increased as the
degree of unsaturation of the acyl chain increased. The principal component
analysis (PCA) indicated that the ions at m/ z 809.61, 811.63, and 857.52 were
the most noticeable species digested during the process. This method exhibited
great potential in fast lipidomics profiling for inspecting the characteristics
of nutritional lipid absorption digestion in human gastrointestin.
PMID- 29806467
TI - It's chaos out here ...
PMID- 29806468
TI - Filgrastim Labeling Confusion Leading to 10-Fold Overdose: What Is an MU?
PMID- 29806466
TI - The people living with HIV stigma survey UK 2015: HIV-related sexual rejection
and other experiences of stigma and discrimination among gay and heterosexual
men.
AB - We aim to understand the difference in stigma and discrimination, in particular
sexual rejection, experienced between gay and heterosexual men living with HIV in
the UK. The People Living with HIV StigmaSurvey UK 2015 recruited a convenience
sample of persons with HIV through over 120 cross sector community organisations
and 46 HIV clinics to complete an online survey. 1162 men completed the survey,
969 (83%) gay men and 193 (17%) heterosexual men, 92% were on antiretroviral
therapy. Compared to heterosexual men, gay men were significantly more likely to
report worrying about workplace treatment in relation to their HIV (21% vs. 11%),
worrying about HIV-related sexual rejection (42% vs 21%), avoiding sex because of
their HIV status (37% vs. 23%), and experiencing HIV-related sexual rejection
(27% vs. 9%) in the past 12 months. In a multivariate logistic regression
controlling for other sociodemographic factors, being gay was a predictor of
reporting HIV-related sexual rejection in the past 12 months (aOR 2.17, CI 1.16,
4.02). Both gay and heterosexual men living with HIV experienced stigma and
discrimination in the past 12 months, and this was higher for gay men in terms of
HIV-related sexual rejection. Due to the high proportion of men reporting sexual
rejection, greater awareness and education of the low risk of transmission of HIV
among people on effective treatment is needed to reduce stigma and sexual
prejudice towards people living with HIV.
PMID- 29806469
TI - Supporting a Culture of Health in the Workplace: A Review of Evidence-Based
Elements.
AB - OBJECTIVE:: To identify and evaluate the evidence base for culture of health
elements. DATA SOURCE:: Multiple databases were systematically searched to
identify research studies published between 1990 and 2015 on culture of health
elements. STUDY INCLUSION AND EXCLUSION CRITERIA:: Researchers included studies
based on the following criteria: (1) conducted in a worksite setting; (2) applied
and evaluated 1 or more culture of health elements; and (3) reported 1 or more
health or safety factors. DATA EXTRACTION:: Eleven researchers screened the
identified studies with abstraction conducted by a primary and secondary
reviewer. Of the 1023 articles identified, 10 research reviews and 95 standard
studies were eligible and abstracted. DATA SYNTHESIS:: Data synthesis focused on
research approach and design as well as culture of health elements evaluated.
RESULTS:: The majority of published studies reviewed were identified as
quantitative studies (62), whereas fewer were qualitative (27), research reviews
(10), or other study approaches. Three of the most frequently studied culture of
health elements were built environment (25), policies and procedures (28), and
communications (27). Although all studies included a health or safety factor, not
all reported a statistically significant outcome. CONCLUSIONS:: A considerable
number of cross-sectional studies demonstrated significant and salient
correlations between culture of health elements and the health and safety of
employees, but more research is needed to examine causality.
PMID- 29806470
TI - Khorana Score: Nuew Predictor of Early Mortality in Patients With Lung
Adenocarcinoma.
AB - Venous thromboembolism (VTE) is a typical complication in patients with lung
cancer. Khorana score is an established tool for thromboembolic risk
stratification of ambulatory patients with cancer undergoing outpatient
chemotherapy. The aim of this study was to evaluate the predictive value of the
Khorana score for VTE and death in patients with lung adenocarcinoma during first
line or adjuvant chemotherapy. Medical records of 130 patients with lung
adenocarcinoma receiving first-line or adjuvant chemotherapy were retrospectively
studied during the time period June 2013 to May 2015. Venous thromboembolism
occurred in 13 (10.0%) patients. Thromboembolic events were significantly
correlated with reduced survival during treatment period (hazard ratio [HR]:
3.24; 95% confidence interval [CI]: 1.11-9.49; P = .032). The VTE rates did not
present statistically significant difference between different Khorana score
groups ( P = .96). In univariate analysis, the risk of death during treatment
period (median: 16 weeks) was 3.75 times higher in high-risk versus intermediate
risk patients (HR: 3.75, 95% CI: 1.36-10.36; P = .001) and had 2.25 times higher
per point increase in the Khorana score (HR: 2.25, 95% CI: 1.36-3.73; P = .002);
the above results were also reproduced in multivariate analysis. Khorana score
represents a valuable tool for identifying patients with cancer in low
thromboembolic risk but does not preserve its predictive value for higher risk
individuals. Khorana score is an independent risk factor for death in patients
with lung adenocarcinoma receiving first-line or adjuvant chemotherapy.
PMID- 29806471
TI - d-Dimer Assessment Improves the Simplified Pulmonary Embolism Severity Index for
In-Hospital Risk Stratification in Acute Pulmonary Embolism.
AB - d-dimer (DD) levels are used in the diagnostic workup of suspected acute
pulmonary embolism (APE), but data on DD for early risk stratification in APE are
limited. In this post hoc analysis of a prospective observational study of 270
consecutive patients, we aimed to optimize the discriminant capacity of the
simplified pulmonary embolism severity index (sPESI), an APE risk assessment
score currently used, by combining it with DD for in-hospital adverse event
prediction. We found that DD levels were higher in patients with complicated
versus benign clinical course 7.2 mg/L (25th-75th percentile: 4.5-27.7 mg/L)
versus 5.1 mg/L (25th-75th percentile: 2.1-11.2 mg/L), P = .004. The area under
the curve of DD for serious adverse event (SAE) was 0.672, P = .003. d-dimer
=1.35 mg/L showed 100% negative predictive value for SAE and identified 11 sPESI
>=1 patients with a benign clinical course, detecting the 1 patient with SAE from
sPESI = 0. d-dimer >15 mg/L showed heart rate for SAE 3.04 (95% confidence
interval [CI]: 1-9). A stratification model which with sPESI + DD >1.35 mg/L
demonstrated improved prognostic value when compared to sPESI alone (net
reclassification improvement: 0.085, P = .04). d-dimer have prognostic value,
values <1.35 mg/L identify patients with a favorable outcome, improving the
prognostic potential of sPESI, while DD >15 mg/L is an independent predictor of
SAE.
PMID- 29806472
TI - Subclinical hypothyroidism is associated with migraine: A case-control study;
methodological and statistical issues.
PMID- 29806473
TI - Confounders for Prediction of Hemostatic Difficulty After Tooth Extraction in
Patients Taking Antiplatelet Therapy.
PMID- 29806474
TI - [Perioperative use of levosimendan in cardiac surgery. Hungarian recommendation].
AB - Low output syndrome significantly increases morbidity and mortality of cardiac
surgery and lengthens the durations of intensive care unit and hospital stays.
Its treatment by catecholamines can lead to undesirable systemic and cardiac
complications. Levosimendan is a calcium sensitiser and adenosine triphosphate
(ATP)-sensitive potassium channel (IK,ATP) opener agent. Due to these effects, it
improves myocardium performance, does not influence adversely the balance between
O2 supply and demand, and possesses cardioprotective and organ protective
properties as well. Based on the scientific literature and experts' opinions, a
European recommendation was published on the perioperative use of levosimendan in
cardiac surgery in 2015. Along this line, and also taking into consideration
cardiac surgeon, anaesthesiologist and cardiologist representatives of the seven
Hungarian heart centres and the children heart centre, the Hungarian
recommendation has been formulated that is based on two pillars: literature
evidence and Hungarian expert opinions. The reviewed fields are: coronary and
valvular surgery, assist device implantation, heart transplantation both in adult
and pediatric cardiologic practice. Orv Hetil. 2018; 159(22): 870-877.
PMID- 29806476
TI - [Placoid chorioretinopathy in systemic infections].
AB - INTRODUCTION AND AIM: To present two cases of placoid chorioretinopathy (ocular
syphilis and ocular tuberculosis) and underline the importance of differential
diagnosis. METHOD: Two young female patients presented with unilateral loss of
vision. Fundus examination showed yellowish placoid lesions in the posterior pole
in both cases. RESULTS: Performing fluorescein angiography and laboratory
investigations, ocular syphilis was diagnosed in our first case and intraocular
tuberculosis was diagnosed in our second case. CONCLUSION: It is important to
determine the etiology of the placoid choriaretinopathies due to the different
prognosis and therapy and the exclusion of systemic diseases. A comprehensive
evaluation of these patients is inevitable for the correct diagnosis and
appropriate management. Orv Hetil. 2018; 159(22): 863-869.
PMID- 29806477
TI - [Health information on the internet].
AB - We live in an information society, we search and gather on the internet almost
everything we want to know. More and more often we are also looking for
information about health issues on the world wide web. The real world is
reflected by the internet: more and more false and misleading information can be
found. From what home page and how to choose health information that is reliable
and professionally correct? If we find relevant, useful information, can we fully
understand it? These questions will be answered by this publication. Orv Hetil.
2018; 159(22): 855-862.
PMID- 29806478
TI - [Recovery rate in differentiated thyroid cancer. Experiences of one of the
Hungarian clinical centers].
AB - INTRODUCTION AND AIM: The worldwide incidence of differentiated thyroid cancer
(DTC) has markedly increased during the last few decades. According to the
international guidelines, principles of DTC management are in transformation. The
aim of our work was to evaluate patients' current likelihood of recovery. METHOD:
Data of 380 patients treated between 1/Jan/2005 and 1/May/2016 at the PTE KK Ist
Department of Internal Medicine were retrospectively analyzed. Female/male ratio
was 306/74. Median age at diagnosis was 46 years (13-86 years), while median
follow-up time was 55 months (0-144 months). Response to therapy was evaluable in
337 patients. Statistical analysis was done using SPSS (version 22.0). RESULTS:
Based on the prevalence of papillary (PTC) and follicular (FTC) carcinomas
(79/21%), moderate iodine deficiency has to be considered in this region. PTC
patients were significantly younger and were diagnosed in earlier tumor stage.
The ratio of lymph node and distant metastases was 35%/4% in PTC and 15%/14% in
FTC. Radioiodine treatment was performed in a total of 542 times. 264 patients
with PTC were followed up. 59% of patients were tumor-free, in 20% uncertain
response, in 7% incomplete biochemical response, in 14% incomplete structural
response were diagnosed and 6 patients died. Patients with FTC (n = 73) were
tumor-free in 59%, uncertain response was found in 10%, incomplete structural
response was diagnosed in 31%, while 10% of the patients died. CONCLUSIONS: In
summary, although DTC has a favorable prognosis, in 31% of FTC patients and in
14% of PTC patients, tumor-free status was not achieved. During the median 55
month follow-up period, the disease-specific mortality was 10% in FTC and 2% in
PTC. Orv Hetil. 2018; 159(22): 878-887.
PMID- 29806479
TI - [Rehabilitation of an "old fashioned" pathologist - Antal Genersich (1845-1918)
died hundred years ago].
PMID- 29806480
TI - MicroRNA-21 Mediates the Protective Effects of Mesenchymal Stem Cells Derived
from iPSCs to Human Bronchial Epithelial Cell Injury Under Hypoxia.
AB - Airway epithelial cell injury is a key triggering event to activate allergic
airway inflammation, such as asthma. We previously reported that administration
of mesenchymal stem cells (MSCs) significantly alleviated allergic inflammation
in a mouse model of asthma, and the mmu-miR-21/ACVR2A axis may be involved.
However, whether MSCs protect against bronchial epithelial cell injury induced by
hypoxia, and the underlying mechanism, remain unknown. In our study, the human
bronchial epithelial cell line BEAS-2B was induced to undergo apoptosis with a
hypoxia mimic of cobalt chloride (CoCl2) damage. Treatment of MSCs derived from
induced pluripotent stem cells (iPSCs) significantly decreased apoptosis of BEAS
2B cells. There was high miR-21 expression in injured BEAS-2B cells after MSC
treatment. Transfection of the miR-21 mimic significantly decreased apoptosis of
BEAS-2B, and transfection of a miR-21 inhibitor significantly increased
apoptosis. More importantly, the protective effects of MSCs on injured BEAS-2B
were reversed by transfection of the miR-21 inhibitor. Binding sites of human miR
21 were identified in the 3'UTR of human ACVR2A. We further determined that CoCl2
stimulation increased ACVR2A expression at both the mRNA and protein levels.
Moreover, transfection of the miR-21 mimic further up-regulated ACVR2A expression
induced by CoCl2, whereas transfection of the miR-21 inhibitor down-regulated
ACVR2A expression. In addition, MSCs increased ACVR2A expression in BEAS-2B
cells; however, this effect was reversed after transfection of the miR-21
inhibitor. Our data suggested that MSCs protect bronchial epithelial cells from
hypoxic injury via miR-21, which may represent an important target. These
findings suggest the potentially wide application of MSCs for epithelial cell
injury during hypoxia.
PMID- 29806482
TI - In Vivo Optical Imaging of Myelination Events in a Myelin Basic Protein Promoter
Driven Luciferase Transgenic Mouse Model.
AB - The compact myelin sheath is important for axonal function, and its loss can lead
to neuronal cell death and irreversible functional deficits. Myelin is vulnerable
to a variety of metabolic, toxic, and autoimmune insults. In diseases like
multiple sclerosis, there is currently no therapy to stop myelin loss,
underscoring the need for neuroprotective and remyelinating therapies.
Noninvasive, robust techniques are also needed to confirm the effect of such
therapies in animal models. This article describes the generation,
characterization, and potential uses for a myelin basic protein-luciferase (MBP
luci) transgenic mouse model, in which the firefly luciferase reporter gene is
selectively controlled by the MBP promoter. In vivo bioluminescence imaging can
be used to visualize and quantify demyelination and remyelination at the
transcriptional level, noninvasively, and in real time. Transgenic mice were
assessed in the cuprizone-induced model of demyelination, and luciferase activity
highly correlated with demyelination and remyelination events as confirmed by
both magnetic resonance imaging and postmortem histological analysis.
Furthermore, MBP-luci mice demonstrated enhanced luciferase signal and
remyelination in the cuprizone model after treatment with a peroxisome
proliferator activated receptor-delta selective agonist and quetiapine. Imaging
sensitivity was further enhanced by using CycLuc 1, a luciferase substrate, which
has greater blood-brain barrier penetration. We demonstrated the utility of MBP
luci model in tracking myelin changes in real time and supporting target and
therapeutic validation efforts.
PMID- 29806483
TI - Resting-state functional brain networks in first-episode psychosis: A 12-month
follow-up study.
AB - INTRODUCTION: Schizophrenia is increasingly conceived as a disorder of brain
network connectivity and organization. However, reports of network abnormalities
during the early illness stage of psychosis are mixed. This study adopted a data
driven whole-brain approach to investigate functional connectivity and network
architecture in a first-episode psychosis cohort relative to healthy controls and
whether functional network properties changed abnormally over a 12-month period
in first-episode psychosis. METHODS: Resting-state functional connectivity was
performed at two time points. At baseline, 29 first-episode psychosis individuals
and 30 healthy controls were assessed, and at 12 months, 14 first-episode
psychosis individuals and 20 healthy controls completed follow-up. Whole-brain
resting-state functional connectivity networks were mapped for each individual
and analyzed using graph theory to investigate whether network abnormalities
associated with first-episode psychosis were evident and whether functional
network properties changed abnormally over 12 months relative to controls.
RESULTS: This study found no evidence of abnormal resting-state functional
connectivity or topology in first-episode psychosis individuals relative to
healthy controls at baseline or at 12-months follow-up. Furthermore, longitudinal
changes in network properties over a 12-month period did not significantly differ
between first-episode psychosis individuals and healthy control. Network measures
did not significantly correlate with symptomatology, duration of illness or
antipsychotic medication. CONCLUSIONS: This is the first study to show unaffected
resting-state functional connectivity and topology in the early psychosis stage
of illness. In light of previous literature, this suggests that a subgroup of
first-episode psychosis individuals who have a neurotypical resting-state
functional connectivity and topology may exist. Our preliminary longitudinal
analyses indicate that there also does not appear to be deterioration in these
network properties over a 12-month period. Future research in a larger sample is
necessary to confirm our longitudinal findings.
PMID- 29806481
TI - Combining Induced Pluripotent Stem Cells and Genome Editing Technologies for
Clinical Applications.
AB - In this review, we introduce current developments in induced pluripotent stem
cells (iPSCs), site-specific nuclease (SSN)-mediated genome editing tools, and
the combined application of these two novel technologies in biomedical research
and therapeutic trials. The sustainable pluripotent property of iPSCs in vitro
not only provides unlimited cell sources for basic research but also benefits
precision medicines for human diseases. In addition, rapidly evolving SSN tools
efficiently tailor genetic manipulations for exploring gene functions and can be
utilized to correct genetic defects of congenital diseases in the near future.
Combining iPSC and SSN technologies will create new reliable human disease models
with isogenic backgrounds in vitro and provide new solutions for cell replacement
and precise therapies.
PMID- 29806485
TI - Examining incremental explanatory power in accounting for worry severity:
negative metacognitive beliefs uniquely predict worry severity following a worry
episode.
AB - BACKGROUND AND OBJECTIVES: Contemporary conceptual models posit that different
core variables contribute to worry, including intolerance of uncertainty (IU),
metacognitive beliefs, and experiential avoidance. To date, a concurrent
investigation of the incremental explanatory power of these variables in
accounting for worry severity remains unexamined. The present study sought to
address that gap in the literature. DESIGN/METHODS: Participants endorsing
frequent worry (N = 127) completed self-report measures assessing IU,
metacognitive beliefs, and experiential avoidance during an online session.
Participants later attended an in-person lab-based session where they completed a
worry episode and in-vivo worry severity was assessed following the worry
episode. RESULTS: IU, negative metacognitive beliefs, and experiential avoidance
each shared a bivariate association with post-episode worry severity. However, in
multivariate analyses, only baseline worry severity and negative metacognitive
beliefs surrounding the dangerousness and uncontrollability of worry predicted
post-episode worry severity. CONCLUSIONS: The present results further underscore
links between negative metacognitive beliefs and worry.
PMID- 29806484
TI - Dioxygen, an unexpected carbonic anhydrase ligand.
AB - Carbonic anhydrases (CAs, EC 4.2.1.1) are ubiquitous metalloenzymes, grouped into
seven different classes, which catalyze the reaction of CO2 hydration to
bicarbonate and protons. All of the fifteen human isoforms reported to date
belong to the alpha-class and contain zinc as a cofactor. The structure of human
Zn,Cu-CA II has been solved which contains a copper ion bound at its N-terminal,
coordinated to His4 and His64. In the active site a dioxygen molecule is
coordinated to the zinc ion. Since dioxygen is a rather unexpected CA ligand,
molecular dynamics (MD) simulations were performed which suggested a superoxide
character of the zinc bound O2.
PMID- 29806486
TI - Evaluation of Adherence to Guideline-Directed Antithrombotic Therapy for Atrial
Fibrillation at Hospital Discharge.
AB - BACKGROUND: Risk stratification for stroke in patients with atrial fibrillation
is a vital step in identifying whether antithrombotic therapy is indicated for
stroke prevention in this common arrhythmia. PURPOSE: The aim of this study was
to determine adherence to guideline-directed antithrombotic therapy based on
Congestive Heart Failure (1 point), Hypertension (1 point), Age (>=75 years old
is 2 points and 65-74 is 1 point), Diabetes (1 point), prior Stroke (2 points),
Vascular Disease (1 point), and Sex Category (1 point if female; CHA2DS2-VASc)
score in patients with atrial fibrillation (AF) on hospital discharge. METHODS: A
total of 293 patients discharged from this academic medical center with a history
of atrial fibrillation from June 2014 to June 2016 were enrolled. Demographic
data and indicators for antithrombotic therapy based on the CHA2DS2-VASc score
were recorded, and factors that affected adherence to guideline-directed therapy,
such as bleeding risk, falls, and alcohol abuse, were collected and analyzed.
RESULTS: At hospital discharge, 63% of patients with AF were on appropriate
antithrombotic therapy, 50% with a CHA2DS2-VASc score >=2. The odds ratio of
appropriate therapy in patients with a CHA2DS2-VASc score >=2 was 1.17 (95%
confidence interval [CI]: 0.95-1.30; P = .18). When chart documentation for
reasons to withhold anticoagulation was considered as appropriate therapy, 81% of
patients with AF were discharged on appropriate antithrombotic therapy with an
odds ratio of 1.57 (95% CI: 1.26 -1.96, P < .0001), with bleeding and falls risk
as the most common reasons to withhold anticoagulation. CONCLUSION: Based on risk
stratification of stroke through the CHA2DS2-VASc score, the majority of patients
with AF were discharged from the hospital on appropriate antithrombotic therapy.
Withholding anticoagulation due to falls risk should be reconsidered as a result
of the known benefits of stroke prevention in atrial fibrillation.
PMID- 29806487
TI - Bacterial translocation in patients with liver cirrhosis: physiology, clinical
consequences, and practical implications.
AB - INTRODUCTION: The gut liver axis is an operative unit that works to protect the
human body against potentially harmful substances and microorganisms, maintaining
the homeostasis of the immune system. Liver cirrhosis profoundly alters this
complex system. The intestine becomes more permeable allowing the translocation
of bacteria, bacterial products and fragments into the portal circulation,
triggering an abnormal local and systemic inflammatory response and a condition
of perpetual immunologic alarm. This immune-inflammatory disorder related to
dysbiosis is involved in the development of liver damage and liver cirrhosis
complications and increases intestinal permeability in a vicious circle. Areas
covered: The most relevant studies on bacterial translocation, the mechanism of
intestinal barrier dysfunction and its consequences in patients with liver
cirrhosis have been revised through a PubMed search. Data have been discussed
with particular regard to their significance in clinical practice. Expert
commentary: The assessment of bacterial translocation and intestinal permeability
is not currently used in clinical practice but may be useful to stratify
patients' prognosis.
PMID- 29806489
TI - Acylphloroglucinols as kinase inhibitors from Sargassum nigrifoloides.
AB - Three new acylphloroglucinols (1-3) and four known biosynthetically related
analogs (4-7) were isolated from the ethanol extract of a brown alga Sargassum
nigrifoloides. Structures for 1-7 were characterized via detailed spectroscopic
analyses especially 2D NMR data. Screening of these compounds in Alzheimer's
diseases-related bioassays revealed moderate inhibitory activities against two
therapeutically important kinases, CDK5 and GSK3beta. A preliminary structure
activity relationship was also discussed.
PMID- 29806490
TI - Esculetin Protects Human Retinal Pigment Epithelial Cells from Lipopolysaccharide
induced Inflammation and Cell Death.
AB - PURPOSE: Age-related macular degeneration (AMD) is the most common cause of
visual loss. The dry AMD is characterized by retinal pigment epithelium (RPE)
death and changes in AMD lead to severe loss of vision. Coumarin-derived
esculetin has a number of therapeutic and pharmacological effects such as anti
inflammatory and antioxidant with various mechanisms. The purpose of this study
was to investigate the effects of esculetin treatment on lipopolysaccharide (LPS)
induced inflammation, oxidative stress, and cell survival. MATERIAL AND METHODS:
Human RPE cells (ARPE-19) were incubated for 24-72 h with 5 MUg/ml LPS to induce
inflammation and oxidative stress. Esculetin (5 MUM) was used to protect the
cells from LPS-induced damage. The cell viability was evaluated by quantitative 3
(4,5-dimethylthiazol-2-yl)-2,5-diphenyl tetrazolium bromide test. Interleukin 6
(IL-6), IL-12, and vascular endothelial growth factor (VEGF) levels were
determined by enzyme-linked immunosorbent assay (ELISA). IL-1beta, tumor necrosis
factor receptor (TNFR), TNF-related apoptosis-inducing ligand (TRAIL), catalase,
glutathione peroxidase (GPx), superoxide dismutase 1 (CuZnSOD) and SOD2 (MnSOD)
mRNA expressions were analyzed by RT-quantitative polymerase chain reaction.
Apoptosis was monitored by cell-based cytometer. NF-kappa B (NF-kappaB) p65/RelA
levels were determined by ELISA, and NF-kappaB protein expression and
extracellular signal-regulated kinase (ERK1/2) phosphorylation were evaluated by
Western blot analysis. RESULTS: Esculetin treatment significantly suppressed LPS
induced cell death mediated by apoptosis and necrosis in a concentration
dependent manner. While LPS caused significant inflammation with cytokine
increase in cells, esculetin reduced the expression of LPS-induced cytokines,
VEGF, TNFR, and TRAIL. Furthermore, exposure to LPS increased the expression of
GPx and mitochondrial MnSOD, leading to oxidative stress in the cells. Esculetin
treatment attenuated phosphorylation of ERK1/2 and NF-kappaB expression mediated
by LPS. CONCLUSIONS: These results suggest that esculetin may be an alternative
treatment option for endotoxin-induced inflammation and oxidative stress, which
therefore may inhibit the development of LPS-mediated AMD.
PMID- 29806488
TI - Synthesis, antitumour activities and molecular docking of thiocarboxylic acid
ester-based NSAID scaffolds: COX-2 inhibition and mechanistic studies.
AB - A new series of NSAID thioesters were synthesized and evaluated for their in
vitro antitumor effects against a panel of four human tumor cell lines, namely:
HepG2, MCF-7, HCT-116 and Caco-2, using the MTT assay. Compared to the reference
drugs 5-FU, afatinib and celecoxib, compounds 2b, 3b, 6a, 7a, 7b and 8a showed
potent broad-spectrum antitumor activity against the selected tumour cell lines.
Accordingly, these compounds were selected for mechanistic studies about COX
inhibition and kinase assays. In vitro COX-1/COX-2 enzyme inhibition assay
results indicated that compounds 2b, 3b, 6a, 7a, 7b, 8a and 8 b selectively
inhibited the COX-2 enzyme (IC50 = ~0.20-0.69 MUM), with SI values of (>72.5-250)
compared with celecoxib (IC50 = 0.16 MUM, COX-2 SI: > 312.5); however, all the
tested compounds did not inhibit the COX-1 enzyme (IC50 > 50 MUM). On the other
hand, EGFR, HER2, HER4 and cSrc kinase inhibition assays were evaluated at a 10
MUM concentration. The selected candidates displayed limited activities against
the various tested kinases; the compounds 2a, 3b, 6a, 7a, 7b and 8a showed no
activity to weak activity (% inhibition = ~0-10%). The molecular docking study
revealed the importance of the thioester moiety for the interaction of the drugs
with the amino acids in the active sites of COX-2. The aforementioned results
indicated that thioester based on NSAID scaffolds derivatives may serve as new
antitumor compounds.
PMID- 29806491
TI - The process of disclosing a diagnosis of dementia and mild cognitive impairment:
A national survey of specialist physicians in Denmark.
AB - Background Although general recommendations for diagnostic disclosure of dementia
are available, little is known about how these recommendations are implemented.
The aim of the current study was to investigate the process and content of
dementia diagnostic disclosure meetings, and to compare key aspects of disclosing
a diagnosis of dementia and mild cognitive impairment. Method A total of 54
specialist physicians in Danish dementia diagnostic departments completed an
online survey on their practices regarding diagnostic disclosure of dementia and
mild cognitive impairment. The influence of respondent characteristics was
assessed, and differences on key aspects of disclosing a diagnosis of dementia
and mild cognitive impairment were analyzed. Results The results suggest that
among Danish specialist physicians, there is a general consensus regarding the
organization of diagnostic disclosure meetings. However, differences in employed
terminology and information provided when disclosing a dementia diagnosis were
evident. Significant differences were present on key aspects of the diagnostic
disclosure of dementia and mild cognitive impairment. For instance, 91% would use
the term dementia during diagnostic disclosures compared to just 72% for mild
cognitive impairment. Conclusion The range of practices reflected in the present
study confirms the complexity of diagnostic disclosure and highlights the
importance of preparation and follow-up strategies to tailor the disclosure
process to the needs of individual patients with dementia and their caregivers.
Due to earlier diagnosis of neurodegenerative disorders, more research is
urgently needed on this aspect of the diagnostic process, especially to develop
evidence-based models for the disclosure of mild cognitive impairment.
PMID- 29806493
TI - Drag-reducing riblets with fouling-release properties: development and testing.
AB - The manufacture and preliminary testing of a drag-reducing riblet texture with
fouling-control properties is presented. The commercial fouling-release product
Intersleek(r) 1100SR was modified to manufacture riblet-textured coatings with an
embossing technology. Hydrodynamic drag measurements in a Taylor-Couette set-up
showed that the modified Intersleek(r) riblets reduced drag by up to 6% compared
to a smooth surface. Barnacle settlement assays demonstrated that the riblets did
not substantially reduce the ability of Intersleek(r) 1100SR to prevent fouling
by cyprids of Balanus amphitrite. Diatom adhesion tests revealed significantly
higher diatom attachment on the riblet surface compared to smooth Intersleek(r)
1100SR. However, after exposure to flow, the final cell density was similar to
the smooth surface. Statically immersed panels in natural seawater showed an
increase of biofilm cover due to the riblets. However, the release of semi
natural biofilms grown in a multi-species biofilm culturing reactor was largely
unaffected by the presence of a riblet texture.
PMID- 29806492
TI - Comparing the efficacy of benzodiazepines and serotonergic anti-depressants for
adults with generalized anxiety disorder: a meta-analytic review.
AB - INTRODUCTION: Generalized anxiety disorder (GAD) is a common form of anxiety
disorder. Selective serotonin reuptake inhibitors (SSRIs), serotonin and
norepinephrine reuptake inhibitors (SNRIs), and benzodiazepines (BZs) are the
most commonly prescribed medications for GAD, but little is known about the
relative efficacy of these pharmacological treatments. Areas covered: This study
provides a meta-analytic review of the efficacy of these medications in the
treatment of adults with GAD. A comprehensive literature search yielded 54
articles reporting 56 unique studies with 12,655 participants treated with either
pill placebo (6,191 participants), SSRIs (16 trials, 2,712 participants), SNRIs
(17 trials, 2,603 participants), or BZs (23 trials, 1,149 participants). The
overall combined effect size was modest to moderate (Hedges' g = 0.37, p <
0.0001). Effect sizes decreased significantly over time. SSRIs (Hedges' g = 0.33)
and SNRIs (Hedges' g = 0.36) demonstrated significantly lower effect sizes than
BZs (Hedges' g = 0.50). These findings were not due to differences in treatment
length or publication year. Expert opinion: The results of this study suggest
that the most common forms of pharmacotherapy for adult GAD are moderately
effective, with BZs being the most effective drug.
PMID- 29806495
TI - Development and characterization of polymeric-based nanoparticles for sustained
release of amoxicillin - an antimicrobial drug.
AB - In this study, amoxicillin (AMO)-loaded poly(vinyl alcohol)/sodium alginate
(PVA/NaAlg) nanoparticles were prepared as a polymer-based controlled release
system. The physicochemical properties of the obtained nanoparticles were
investigated by XRD, DSC/TGA, particle size analyses and zeta potential
measurements. The average particle sizes were in the range from 336.3 +/- 25.66
to 558.3 +/- 31.39 nm with negative zeta potential values from -41.86 +/- 0.55 to
-47.3 +/- 2.76 mV. The influences of PVA/NaAlg ratio, span 80 concentration,
exposure time to glutaraldehyde (GA) and the drug/polymer ratio on AMO release
profiles were evaluated. In vitro drug release studies showed a controlled and pH
dependent AMO release with an initial burst effect. XRD patterns and DSC
thermograms of AMO-loaded nanoparticles revealed that the drug in the
nanoparticles was in amorphous form, which was more stable than the crystalline
form. The antibacterial activity of the optimal formulation was also
investigated. The minimum inhibitory concentration (MIC) values of this
formulation had the comparable antibacterial activity with that of pure AMO.
These results indicate that the developed nanoparticles could be a promising
candidate drug delivery system for AMO.
PMID- 29806496
TI - Self-Management Behaviors Among Patients With Liver Cirrhosis in Shanghai, China:
A Cross-Sectional Study.
AB - Effective self-management of liver cirrhosis requires medication adherence and
lifestyle modifications. The purpose of this study was to investigate the self
management behaviors of liver cirrhosis patients and how their knowledge of
cirrhosis, psychological status, and self-efficacy contributes to self-management
practices in Shanghai, China. Subjects were recruited from the hepatology units
in an infectious hospital in Shanghai, China. Self-administered questionnaires
were collected and medical charts were reviewed by the research staff. A total of
134 subjects were enrolled from November 2016 to March 2017. The results indicate
that the self-management behaviors mean score was 2.51 out of 4 and that
depression, severity of cirrhosis, and self-efficacy significantly affected self
management behaviors and explained 22.9% of the total variance. The findings also
indicate that psychological stress, disease severity, and self-efficacy affected
self-management behaviors in liver cirrhosis patients. Interventions focusing on
decreasing depression and enhancing self-efficacy according to disease severity
should improve self-management behaviors in this population.
PMID- 29806494
TI - Dysfunctional Nav1.5 channels due to SCN5A mutations.
AB - The voltage-gated sodium channel 1.5 (Nav1.5), encoded by the SCN5A gene, is
responsible for the rising phase of the action potential of cardiomyocytes. The
sodium current mediated by Nav1.5 consists of peak and late components (INa-P and
INa-L). Mutant Nav1.5 causes alterations in the peak and late sodium current and
is associated with an increasingly wide range of congenital arrhythmias. More
than 400 mutations have been identified in the SCN5A gene. Although the
mechanisms of SCN5A mutations leading to a variety of arrhythmias can be
classified according to the alteration of INa-P and INa-L as gain-of-function,
loss-of-function and both, few researchers have summarized the mechanisms in this
way before. In this review article, we aim to review the mechanisms underlying
dysfunctional Nav1.5 due to SCN5A mutations and to provide some new insights into
further approaches in the treatment of arrhythmias. Impact statement The field of
ion channelopathy caused by dysfunctional Nav1.5 due to SCN5A mutations is
rapidly evolving as novel technologies of electrophysiology are introduced and
our understanding of the mechanisms of various arrhythmias develops. In this
review, we focus on the dysfunctional Nav1.5 related to arrhythmias and the
underlying mechanisms. We update SCN5A mutations in a precise way since 2013 and
presents novel classifications of SCN5A mutations responsible for the dysfunction
of the peak (INa-P) and late (INa-L) sodium channels based on their phenotypes,
including loss-, gain-, and coexistence of gain- and loss-of function mutations
in INa-P, INa-L, respectively. We hope this review will provide a new
comprehensive way to better understand the electrophysiological mechanisms
underlying arrhythmias from cell to bedside, promoting the management of various
arrhythmias in practice.
PMID- 29806497
TI - A new lactam 28-norlimonoid from the leaves of Azadirachta indica A. Juss.
(Meliaceae).
AB - From an EtOAc-soluble fraction of the leaves of Azadirachta indica, one new
lactam 28-norlimonoid named nimbandiolactam-21 (1), together with 2 known
limonoids (2 and 3) were isolated. Their relative structures were elucidated
based on NMR spectroscopic analysis. Nimbandiolactone-23 (2) showed the most
potent alpha-glucosidase inhibitory activity, with an IC50 value of 38.7 MUM.
Compound 1 represents the first naturally occurring example of a 28-norlimonoid
having the lactam moiety. The plausible biosynthetic pathway for the formation of
lactam moiety in 1 was proposed.
PMID- 29806498
TI - Metabolomics analysis of follicular fluid in women with ovarian endometriosis
undergoing in vitro fertilization.
AB - : The purpose of this study was to investigate whether a change in the follicular
fluid metabolomics profile due to endometrioma is identifiable. Twelve women with
ovarian endometriosis (aged<40 years, with a body mass index [BMI] of <30 kg/m2)
and 12 age- and BMI-matched controls (women with infertility purely due to a male
factor) underwent ovarian stimulation for intracytoplasmic sperm injection
(ICSI). Follicular fluid samples were collected from both of groups at the time
of oocyte retrieval for ICSI. Next, nuclear magnetic resonance (NMR) spectroscopy
was performed for the collected follicular fluids. The metabolic compositions of
the follicular fluids were then compared using univariate and multivariate
statistical analyses of NMR data. Univariate and multivariate statistical
analyses of NMR data showed that the metabolomic profiles of the follicular
fluids obtained from the women with ovarian endometriosis were distinctly
different from those obtained from the control group. In comparison with the
controls, the follicular fluids of the women with ovarian endometriosis had
statistically significant elevated levels of lactate, beta-glucose, pyruvate, and
valine. We conclude that the levels of lactate, beta-glucose, pyruvate, and
valine in the follicular fluid of the women with endometrioma were higher than
those of the controls. ABBREVIATIONS: ASRM: American Society for Reproductive
Medicine; BMI: body mass index; CPMG: Carr-Purcell-Meiboom-Gill; E2: estradiol;
ESHRE: European Society of Human Reproduction and Embryology; ERETIC: electronic
to access in vivo concentration; FF: follicular fluid; FSH: follicle-stimulating
hormone; hCG: human chorionic gonadotropin; HEPES: 2-hydroxyethyl-1
piperazineethanesulfonic acid; ICSI: intracytoplasmic sperm injection; IVF: in
vitro fertilization; NMR: nuclear magnetic resonance spectroscopy; PCA: principal
component analysis; PCOS: polycystic ovary syndrome; PLS-DA: partial least
squares discriminant analysis; ppm: parts per million; PULCON: pulse length-based
concentration determination; TSP: 3-(trimethylsilyl)-1-propanesulfonic acid
sodium salt; VIP: variable importance in projection.
PMID- 29806499
TI - Corrigendum.
PMID- 29806500
TI - Tetrac-decorated chitosan-coated PLGA nanoparticles as a new platform for
targeted delivery of SN38.
AB - New integrin-targeted nanoparticles made of chitosan-stabilized PLGA matrix was
developed to specifically target colon adenocarcinoma. To this aim, SN38
encapsulated chitosan-coated PLGA NPs were conjugated with tetrac for integrin
receptor-guided delivery. To provide a sustained release pattern for SN38, it was
loaded into nanoparticles using single emulsion method. The size of NPs were
174.23 +/- 6.12 nm with drug encapsulation efficiency and loading content of
73.16 +/- 11.15 and 4.45 +/- 0.31, respectively. The in vitro results confirmed
that the designed nanoplatform showed specific cellular uptake and cytotoxicity
in integrin overexpressing cancer cells and provided a sustained release profile
for SN38. Additionally, an increased therapeutic potency of targeted formulation
over both non-targeted and free drug was shown in vivo.
PMID- 29806501
TI - Asperfurandiones A and B, two antifungal furandione analogs from a marine-derived
fungus Aspergillus versicolor.
AB - Two new furandione derivatives, designated as asperfurandiones A (1) and B (2),
were isolated from the solid culture of the marine-derived fungus Aspergillus
versicolor. Their structures were elucidated using a combination of mass
spectrometry and NMR spectroscopy. Additionally, asperfurandiones A and B both
showed moderate antifungal activity against Gaeumannomyces graminis, Cryptococcus
neoformans, and Candida albicans with MIC values of 64 MUg/mL.
PMID- 29806503
TI - Sikokianin A from Wikstroemia indica protects PC12 cells against OGD/R-induced
injury via inhibiting oxidative stress and activating Nrf2.
AB - Ischemic cerebral stroke is a severe cause of human death and disability. Natural
products play an important role in the discovery of novel therapy for cerebral
ischemia. Herein, we investigate the neuroprotective effects of sikokianin A
identifiedfrom Wikstroemia indica using PC12 cell exposed to OGD/R. The results
revealed sikokianin A can improve the poor viability and release of intracellular
LDH in PC12 cells induced by OGD/R. Further studies have demonstrated the
increased ROS and MDA together with reduced SOD activity were attenuated by
sikokianin A. Meanwhile, decreased mitochondrial membrane potential, activated
Caspase-3, down-regulated Bcl-2 and up-regulated Bax were reversed. These results
indicate the protective effects of sikokianin A are associated with inhibiting
oxidative stress and apoptosis resulting from OGD/R. Additionally, sikokianin A
can activate Nrf2 and downstream HO-1 in PC12 cells treated by OGD/R, which
implied Nrf2/HO-1 signaling pathway was involved in the protective effects of
sikokianin A.
PMID- 29806502
TI - Effects of Acupuncture on Cancer-Related Cognitive Impairment in Chinese
Gynecological Cancer Patients: A Pilot Cohort Study.
AB - BACKGROUND: Among women in China, gynecological cancers are the second most
common cancers after breast cancer. Cancer-related cognitive impairment (CRCI)
has emerged as a significant problem affecting gynecological cancer survivors.
While acupuncture has been used in different aspects of cancer care, the possible
positive effects of acupuncture on cognitive impairment have received little
attention. This study hypothesized that patients would demonstrate lower
neurocognitive performance and lower structural connectivity compared to healthy
controls. This pilot study also hypothesized that acupuncture may potentially be
effective in treating CRCI of cancer patients by increasing brain structural
connectivity and integrity. METHODS: This prospective cohort study consisted of 3
stages: the first stage included a group of gynecological cancer patients and a
group of age-matched healthy controls. This baseline stage used a core set of
neurocognitive tests to screen patients with cognitive impairment and used a
multimodal approach of brain magnetic resonance imaging (MRI) to explore the
possible neurobiological mechanism of cognitive impairment in cancer patients,
comparing the results with a group of noncancer controls. The second stage
involved assigning CRCI patients into the acupuncture intervention group, while
patients without CRCI were assigned into the cancer control group. The third
stage was a postintervention assessment of neurocognitive function by the same
set of neurocognitive tests at baseline. To explore the possible neurobiological
basis of acupuncture for treating CRCI, this study also used a multimodal MRI
approach to assess changes in brain structural connectivity, and neurochemical
properties in patients at pre- and postacupuncture intervention. RESULTS: This
study found that the prevalence of cognitive impairment in Chinese gynecological
cancer patients at diagnosis was 26.67%. When investigating the microstructural
white matter in the brain, diffusion tensor imaging data in this study indicated
that premorbid cognitive functioning (before clinical manifestations become
evident) has already existed, as the global and local connectome properties in
the entire patient group were lower than in the healthy control group. Using
magnetic resonance spectroscopy, this study indicated there was a significant
reduction of relative concentration of NAA ( N-acetyl aspartate) in the left
hippocampus, comparing these results with healthy controls. Regarding the effects
of acupuncture on reducing CRCI, patients in the acupuncture group reported
better neurocognitive test performance after matching for age, menopausal status,
cancer stage, and chemotherapy regimen dosage. On a microstructural level,
acupuncture's ability to reduce CRCI may be attributed to a reduction in
demyelination and an enhancement of the neuronal viability of white matter in the
hippocampus. CONCLUSION: This pilot study indicates that acupuncture is a
promising intervention in treating CRCI in gynecological cancer patients
undergoing chemotherapy; however, it requires evaluation in larger randomized
controlled studies to definitively assess its benefit. By using a multimodal
imaging approach, this pilot study also provides novel insights into the
neurobiological basis of cognitive impairment on the human brain that has been
induced by cancer and/or its treatment.
PMID- 29806504
TI - MRI-verified "asleep" deep brain stimulation in Malta through cross border
collaboration: clinical outcome of the first five years.
AB - INTRODUCTION: Deep Brain Stimulation (DBS) requires a specialist
multidisciplinary approach and lifelong follow-up. Patient access can be a
challenge for small nation states. Malta is an island nation with a population of
just under 450 000. The number of patients likely to benefit from DBS is around 5
to 10 per year. This study explores the outcome of a cross border collaboration
between specialist services at Queen Square, London and a tertiary centre in
Malta. MATERIAL AND METHODS: Between 2011 and 2015, 35 patients underwent MRI
Guided and MRI-Verified DBS with 29 receiving bilateral subthalamic nucleus (STN)
DBS for Parkinson's Disease under general anaesthesia. Pre-operative motor
function was compared with one year post-operative motor function assessments in
26 patients (16 male; age 60 +/- 9, range 32-70; disease duration 8.8 +/- 2.7).
Pre-operative and post-operative quality of life scores were also completed in 24
patients. RESULTS: There was significant improvement in off-medication Unified
Parkinson's Disease Rating Scale (UPDRS) III motor function (41.7%), reduction in
Levodopa Equivalent Dose (LED) (30.6%) and improvement in quality of life as
measured by the Parkinson's Disease Questionnaire (PDQ-39) (52.3%) (p < .001).
All PDQ-39 dimensions showed significant improvement except communication, with
greatest benefit in activities of daily living (ADLs) (72.4%) and stigma (66.3%).
Surgical complications did not lead to any permanent deficit. Patients receiving
DBS to other targets and for different indications also benefitted from surgery.
CONCLUSION: An MRI-guided and MRI-verified approach to DBS was successfully
implemented through cross border collaboration with achievement of expected
clinical results. This healthcare collaboration developed out of necessity and
opportunity, taking advantage of a UK-based neurosurgeon from Malta. The UK
healthcare system benefits from numerous immigrants at Consultant level. Such a
mutually beneficial arrangement could enable such individuals to offer their
expertise to citizens in the UK as well as their country of origin.
PMID- 29806505
TI - Encapsulation of beneficial probiotic bacteria in extracellular matrix from
biofilm-forming Bacillus subtilis.
AB - Probiotics, live microbial supplements, are often incorporated into foods and
beverages to provide putative health benefits. To ensure their beneficial
effects, these organisms must survive processing and storage of food, its passage
through the upper gastrointestinal tract (GIT), and subsequent chemical ingestion
processes until they reach their target organ. However, there is considerable
loss of viability of probiotic bacteria in the acidic conditions of the stomach
and the high bile concentration in the small intestine. Bacillus subtilis, a
spore-forming non-pathogenic bacterium, recently has gained interest in its
probiotic properties; it can effectively maintain a favorable balance of
microflora in the GIT. In addition, B. subtilis produces an extracellular matrix
that protects it from stressful environments. We suggested that the extracellular
matrix produced by B. subtilis could protect other probiotic bacteria and
therefore potentially could be used as a vehicle for delivering viable probiotic
cells to humans. Therefore, we developed a novel cultivation system that enables
co-culturing of B. subtilis along with probiotic lactic acid bacteria (LAB) by
increasing production of the extracellular matrix by B. subtilis cells. Moreover,
we showed that B. subtilis improved survivability of LAB during food preparation,
storage and ingestion. Therefore, we believe that the results of our study will
provide a novel technique of using a natural system for preservation and delivery
of probiotics to humans.
PMID- 29806506
TI - Predictive Role of Paracentral Corneal Toricity Using Elevation Data for
Treatment Zone Decentration During Orthokeratology.
AB - PURPOSE: To investigate the influence of paracentral corneal toricity using
elevation data on the treatment zone decentration of spherical and toric
orthokeratology (Ortho-k) lens. METHODS: Corneal elevation difference (CED) was
defined as the difference of corneal elevation between the two principle
meridians at 8-mm chord, representing the paracentral corneal toricity. Seventy
five subjects included in this prospective study were divided into a low CED
(LCED) group (LCED<30MUm, n = 25) and a high CED (HCED) group (HCED>=30MUm, n =
50). All subjects in the LCED group and 25 subjects in the HCED group (HCED I)
were fitted with spherical Ortho-k; the other 25 subjects in the HCED group (HCED
II) were fitted with toric Ortho-k. Corneal topography data from the right eyes
were obtained at baseline and after 1 month of lens wear. The amount and
direction of treatment zone decentration among the three groups were compared,
and their relationships with corneal shape parameters, including central and
paracentral corneal toricity, corneal asymmetry, flat-k and eccentricity, and
lens diameter were analyzed using univariable and multivariate linear regression
models. RESULTS: The magnitude of treatment zone decentration was the greatest in
the HCED I group ((LCED vs. HCED I vs. HCED II: 0.47 +/- 0.15mm vs. 0.73 +/-
0.15mm vs. 0.47 +/- 0.19mm, respectively; ANOVA, p < 0.01). Among participants
fitted with spherical Ortho-k, the magnitude of treatment zone decentration was
significantly correlated to paracentral CED after adjusting for the other corneal
parameters and lens diameter (standard beta = 0.599, p < 0.01). No significant
correlation between these parameters was found among those fitted with toric
Ortho-k. CONCLUSIONS: Eyes with greater paracentral CED tend to have increased
decentration of spherical Ortho-k lens, whereas toric Ortho-k appears to reduce
the amount of lens decentration in eyes with CED at 8-mm chord above 30 MUm.
PMID- 29806507
TI - miRNAs in pregnancy-related complications: an update.
PMID- 29806508
TI - Disposition and metabolism of [14C]lemborexant, a novel dual orexin receptor
antagonist, in rats and monkeys.
AB - The disposition and metabolism of lemborexant, a novel dual orexin receptor
antagonist currently under development as a therapeutic agent for insomnia
disorder, were evaluated after a single oral administration of [14C]lemborexant
in Sprague-Dawley rats (10 mg/kg) and cynomolgus monkeys (3 mg/kg). In both
species, [14C]lemborexant was rapidly absorbed: radioactivity concentration in
blood peaked at 0.83-1.8 h, and decreased with elimination half-life of 110 h.
The radioactivity administered was excreted primarily into faeces, with
relatively little excreted into urine. Lemborexant was not detected in bile,
urine or faeces, indicating that lemborexant administered orally was completely
absorbed from the gastrointestinal tract and that the main elimination pathway
was metabolism in both species. In rats, lemborexant was found to be minor in
plasma (<=5.2% of total radioactivity), and M9 (hydroxylated form) was the major
circulating metabolite. In monkeys, the major circulating components were
lemborexant, M4 (N-oxide metabolite), M13 (di-oxidised form), M14 (di-oxidised
form) and M16 (glucuronide of mono-oxidised form). In both species, lemborexant
was metabolised to various metabolites by multiple pathways, the primary of which
was oxidation of the dimethylpyrimidine or fluorophenyl moiety.
PMID- 29806509
TI - A Pilot Study of Ultrasonography-Naive Operators' Ability to Use Tele
Ultrasonography to Assess the Heart and Lung.
AB - INTRODUCTION: Remotely tele-mentored ultrasound (RTMUS) involves the real-time
guidance of US-naive providers as they perform point-of-care ultrasound (POCUS)
by remotely located, US-proficient providers via telemedicine. The concordance
between RTMUS and POCUS in the evaluation of critically ill patients has not been
reported. This study sought to evaluate the concordance between RTMUS and POCUS
for the cardiopulmonary evaluation of patients in acute respiratory insufficiency
and/or shock. METHODS: Ultrasound-naIve nurses performed RTMUS on critically ill
patients. Concordance between RTMUS and POCUS (performed by critical care
fellows) in the evaluation of the heart and lungs was reported. The test
characteristics of RTMUS were calculated using POCUS as a gold standard.
Concordance between RTMUS and available transthoracic echocardiography (TTE) and
computed tomography (CT) scans was also reported. RESULTS: Twenty patients were
enrolled. Concordance between RTMUS and POCUS was good (90%-100%) for left
ventricle function, right ventricle (RV) dilatation/dysfunction, pericardial
effusion, lung sliding, pulmonary interstitial syndrome, pleural effusion, and
fair (80%) for lung consolidation. Concordance between RTMUS and TTE or CT was
similar. RTMUS was highly specific (88%-100%) for all abnormalities evaluated and
highly sensitive (89%-100%) for most abnormalities although sensitivity for the
detection of RV dilatation/dysfunction (33%) and pulmonary interstitial syndrome
(71%) was negatively impacted by false negatives. CONCLUSIONS: RTMUS may be a
reasonable substitute for POCUS in the cardiopulmonary evaluation of patients
with acute respiratory insufficiency and/or shock. These findings should be
validated on a larger scale.
PMID- 29806511
TI - Current and future pharmacotherapy options for non-cystic fibrosis
bronchiectasis.
AB - INTRODUCTION: In recent years, there has been an increasing number of clinical
trials investigating the effect of pharmacological treatments on patients with
bronchiectasis. Areas covered: This review provides an overview of current drugs
used in bronchiectasis patients as well as those that could make a future
contribution to the management of this disease. Expert commentary: Bronchiectasis
is a very heterogeneous disease, so it can be studied from a phenotypic
standpoint and its pathophysiological mechanisms (endotypes) can be defined. This
provides an interesting field of research as it enables the identification of
patients suitable for inclusion in specific clinical trials on new drugs.
PMID- 29806510
TI - Predicting Reintubation After Unplanned Extubations in Children: Art or Science?
AB - PURPOSE: Reintubation following unplanned extubation (UE) is often required and
associated with increased morbidity; however, knowledge of risk factors leading
to reintubation and subsequent outcomes in children is still lacking. We sought
to determine the incidence, risk factors, and outcomes related to reintubation
after UEs. METHODS: All mechanically ventilated children were prospectively
tracked for UEs over a 7-year period in a pediatric intensive care unit. For each
UE event, data associated with reintubation within 24 hours and outcomes were
collected. RESULTS: Of 757 intubated patients, 87 UE occurred out of 11 335
intubation days (0.76 UE/100 intubation days), with 57 (65%) requiring
reintubation. Most of the UEs that did not require reintubation were already
weaning ventilator settings prior to UE (73%). Univariate analysis showed that
younger children (<1 year) required reintubation more frequently after an UE.
Patients experiencing UE during weaning experienced significantly fewer
reintubations, whereas 90% of patients with full mechanical ventilation support
required reintubation. Logistic regression revealed that requirement of full
ventilator support (odds ratio: 37.5) and a COMFORT score <26 (odds ratio: 5.5)
were associated with UE failure. There were no differences between reintubated
and nonreintubated patients regarding the length of hospital stay, ventilator
associated pneumonia rate, need for tracheostomy, and mortality. Cardiovascular
and respiratory complications were seen in 33% of the reintubations. CONCLUSION:
The rate of reintubation is high in children experiencing UE. Requirement of full
ventilator support and a COMFORT score <26 are associated with reintubation.
Prospective research is required to better understand the reintubation decisions
and needs.
PMID- 29806512
TI - Intractable nausea and vomiting as an uncommon presentation in an anti-aquaporin
4-positive patient.
AB - Autoantibodies targeting aquaporin 4 (AQP4) water channels are a sensitive and
specific biomarker for neuromyelitis optica spectrum disorder (NMOSD). Presence
of AQP4 antibodies distinguishes NMOSD from multiple sclerosis. We present our
experience with an anti-AQP4 antibody-positive patient diagnosed with NMOSD who
complained of intractable nausea and vomiting, not restricted to optic neuritis
or acute myelitis during the first attack. Her symptoms partially resolved after
appropriate therapy with intravenous methylprednisolone and oral prednisolone.
Through this case, we hope to draw attention to an unusual neurological
presentation of NMOSD which should be included in the differential diagnosis of
intractable nausea and vomiting.
PMID- 29806513
TI - Effects of internal electrode cooling on irreversible electroporation using a
perfused organ model.
AB - PURPOSE: This study evaluates the effects of active electrode cooling, via
internal fluid circulation, on the irreversible electroporation (IRE) lesion,
deployed electric current and temperature changes using a perfused porcine liver
model. MATERIALS AND METHODS: A bipolar electrode delivered IRE electric pulses
with or without activation of internal cooling to nine porcine mechanically
perfused livers. Pulse schemes included a constant voltage, and a preconditioned
delivery combined with an arc-mitigation algorithm. After treatment, organs were
dissected, and treatment zones were stained using triphenyl-tetrazolium chloride
(TTC) to demonstrate viability. RESULTS: Thirty-nine treatments were performed
with an internally cooled applicator and 21 with a non-cooled applicator. For the
constant voltage scenario, the average final electrical current measured was
26.37 and 29.20 A for the cooled and uncooled electrodes respectively ([Formula:
see text]). The average final temperature measured was 33.01 and 42.43 degrees C
for the cooled and uncooled electrodes respectively ([Formula: see text]). The
average measured ablations (fixed lesion) were 3.88-by-2.08 cm and 3.86-by-2.12
cm for the cooled and uncooled electrode respectively ([Formula: see text],
[Formula: see text]). Similarly, the preconditioned/arc-mitigation scenario
yielded an average final electrical current measurement of a 41.07 and 47.20 A
for the cooled and uncooled electrodes respectively ([Formula: see text]). The
average final temperature measured was 34.93 and 44.90 degrees C for the cooled
and uncooled electrodes respectively ([Formula: see text]). The average measured
ablations (fixed lesion) were 3.67-by-2.27 cm and 3.58-by-2.09 cm for the cooled
and uncooled applicators ([Formula: see text]). CONCLUSIONS: The internally
cooled bipolar applicator offers advantages that could improve clinical outcomes.
Thermally mitigating internal perfusion technology reduced tissue temperatures
and electric current while maintaining similar lesion sizes.
PMID- 29806515
TI - Malposition of a central venous catheter into azygos vein.
PMID- 29806514
TI - Volume status and arterial blood pressures are associated with arterial stiffness
in hemodialysis patients.
AB - BACKGROUND: Arterial stiffness is a strong predictor of mortality in hemodialysis
patients. In this study, we aimed to investigate possible relations of arterial
stiffness with volume status determined by bioimpedance analysis and aortic blood
pressure parameters. Also, effects of a single hemodialysis session on these
parameters were studied. METHODS: A total of 75 hemodialysis patients (M/F:
43/32; mean age: 53 +/- 17) were enrolled. Carotid-femoral pulse wave velocity,
augmentation index, and aortic pulse pressure were measured by applanation
tonometry before and after hemodialysis. Extracellular fluid and total body fluid
volumes were determined by bioimpedance analysis. RESULTS: Carotid-femoral pulse
wave velocity (9.30 +/- 3.30 vs 7.59 +/- 2.66 m/s, p < 0.001), augmentation index
(24.52 +/- 9.42 vs 20.28 +/- 10.19, p < 0.001), and aortic pulse pressure (38 +/-
14 vs 29 +/- 8 mmHg, p < 0.001) significantly decreased after hemodialysis. Pre
dialysis carotid-femoral pulse wave velocity was associated with age (r2 = 0.15,
p = 0.01), total cholesterol (r2 = 0.06, p = 0.02), peripheral mean blood
pressure (r2 = 0.10, p = 0.005), aortic-mean blood pressure (r2 = 0.06, p =
0.02), aortic pulse pressure (r2 = 0.14, p = 0.001), and extracellular
fluid/total body fluid (r2 = 0.30, p < 0.0001). Pre-dialysis augmentation index
was associated with total cholesterol (r2 = 0.06, p = 0,02), aortic-mean blood
pressure (r2 = 0.16, p < 0.001), and aortic pulse pressure (r2 = 0.22, p <
0.001). Deltacarotid-femoral pulse wave velocity was associated with Deltaaortic
mean blood pressure (r2 = 0.06, p = 0.02) and inversely correlated with baseline
carotid-femoral pulse wave velocity (r2 = 0.29, p < 0.001). Pre-dialysis
Deltaaugmentation index was significantly associated with Deltaaortic-mean blood
pressure (r2 = 0.09, p = 0.009) and Deltaaortic pulse pressure (r2 = 0.06, p =
0.03) and inversely associated with baseline augmentation index (r2 = 0.14, p =
0.001). In multiple linear regression analysis (adjusted R2 = 0.46, p < 0.001) to
determine the factors predicting Log carotid-femoral pulse wave velocity,
extracellular fluid/total body fluid and peripheral mean blood pressure
significantly predicted Log carotid-femoral pulse wave velocity (p = 0.001 and p
= 0.006, respectively). CONCLUSION: Carotid-femoral pulse wave velocity,
augmentation index, and aortic pulse pressure significantly decreased after
hemodialysis. Arterial stiffness was associated with both peripheral and aortic
blood pressure. Furthermore, reduction in arterial stiffness parameters was
related to reduction in aortic blood pressure. Pre-dialysis carotid-femoral pulse
wave velocity was associated with volume status determined by bioimpedance
analysis. Volume control may improve not only the aortic blood pressure
measurements but also arterial stiffness in hemodialysis patients.
PMID- 29806516
TI - Impact of CardioMEMS device placement on lifestyle modifications: a "pseudo
placebo" effect beyond the expected?
AB - Introduction Congestive heart failure is a leading cause of cardiovascular
mortality and morbidity in the United States and places a significant economic
burden on the health care system. The CHAMPION trial showed significant
reductions in heart failure hospitalizations and length of stay as well as
improvements in quality of life among patients who underwent implantation of the
CardioMEMS device (CardioMEMS Inc., Atlanta, GA, USA). While the benefits of the
device have been well demonstrated, we explored the "pseudo-placebo" effect of
device placement on patients' lifestyle modifications. Methods Thirty patients
with the CardioMEMS device were contacted for a follow-up survey that included
questions about lifestyle modifications, symptomatic and dietary improvement,
increased physical activity, and changes in their cardiac medication regimen.
Results Dyspnea improved in 57% of patients, 70% of patients improved their diet,
and 43% increased their physical activity. Only 7% of patients found it difficult
to transmit the data. Discussion The CHAMPION trial showed numerous benefits for
patients who underwent CardioMEMS device placement. In our study, we found that
device placement also resulted in a "pseudo-placebo" effect with most patients
making positive lifestyle modifications.
PMID- 29806517
TI - A Phenomenological Study of the Work Environment in Long-Term Care Facilities for
the Older Adults.
AB - Attempts to meet the increasing demand for long-term care (LTC) services have
been hindered by acute staff shortages and high turnover. Distinct from previous
studies, a descriptive phenomenological approach with van Kaam's controlled
explication method was adopted in this study, to delineate how attributes of the
LTC work environment shape the workforce crisis. Individual interviews were
conducted with 40 LTC workers from 10 facilities in Hong Kong. The results
suggest that the work environment in LTC facilities is not only characterized by
organization- and job-related attributes that influence staff outcomes but also
is a socially constructed concept with derogatory connotations that can influence
staff recruitment and retention. Concerted efforts from facility administrators
and policy makers are needed to improve the quality of the work environment.
Future initiatives should focus on developing a vision and strategic plan to
facilitate the rise of the LTC sector as a profession.
PMID- 29806521
TI - Suture constructs for rehabilitation using early active motion after tendon
transfer surgery.
AB - The purpose of this study was to better define an ideal tendon transfer suture
construct to allow for early active range of motion. A side-to-side tendon
construct was used to test suture technique (cross stich vs. Krackow stitch),
number of suture throws, and calibre of suture. A minimum load to failure of 100
N was used to comfortably allow early motion while minimizing rupture risk. All
constructs tested, except the 4-0 Krackow construct, were strong enough to
withstand 100 N of load. The choice of suture should be based on surgeon
preference, patient compliance, and specific surgery, and 3-0 non-absorbable
suture may be more suitable for tendon transfers from a yield force standpoint.
PMID- 29806520
TI - Role of probiotics in the treatment of minimal hepatic encephalopathy in patients
with HBV-induced liver cirrhosis.
AB - Objective This study was performed to investigate the role of probiotics (
Clostridium butyricum combined with Bifidobacterium infantis) in the treatment of
minimal hepatic encephalopathy (MHE) in patients with hepatitis B virus (HBV)
induced liver cirrhosis. Methods Sixty-seven consecutive patients with HBV
induced cirrhosis without overt hepatic encephalopathy were screened using the
number connection test and digit symbol test. The patients were randomized to
receive probiotics (n = 30) or no probiotics (n = 37) for 3 months. At the end of
the trial, changes in cognition, intestinal microbiota, venous ammonia, and
intestinal mucosal barriers were analyzed using recommended systems biology
techniques. Results The patients' cognition was significantly improved after
probiotic treatment. The predominant bacteria ( Clostridium cluster I and
Bifidobacterium) were significantly enriched in the probiotics-treated group,
while Enterococcus and Enterobacteriaceae were significantly decreased. Probiotic
treatment was also associated with an obvious reduction in venous ammonia.
Additionally, the parameters of the intestinal mucosal barrier were obviously
improved after probiotic treatment, which might have contributed to the improved
cognition and the decreased ammonia levels. Conclusion Treatment with probiotics
containing C. butyricum and B. infantis represents a new adjuvant therapy for the
management of MHE in patients with HBV-induced cirrhosis.
PMID- 29806518
TI - Adolescent and Parental Attitudes About Return of Genomic Research Results: Focus
Group Findings Regarding Decisional Preferences.
AB - Opportunities to participate in genomic sequencing studies, as well as
recommendations to screen for variants in 59 medically actionable genes anytime
clinical genomic sequencing is performed, indicate adolescents will increasingly
be involved in decisions about learning secondary findings from genome
sequencing. However, how adolescents want to be involved in such decisions is
unknown. We conducted five focus groups with adolescents (2) and parents (3) to
learn their decisional preferences about return of genomic research results to
adolescents. Discussions about decisional preferences centered around three
themes: feelings about receiving genomic risk information, adolescent involvement
and capacity to participate in decision-making, and recommendations for parental
versus collaborative decision-making. We address the contested space between
parental duties to act in their children's best interests when choosing which
results to return and adolescents' desires to make autonomous decisions. A
collaborative decision-making approach is recommended for obtaining consent from
adolescents and their parents for genome sequencing research.
PMID- 29806522
TI - A rare case of ulnar polydactyly.
PMID- 29806523
TI - Alterations in mtDNA, gastric carcinogenesis and early diagnosis.
AB - Gastric cancer remains one of the most prevalent cancers in the world. Due to
this, efforts are being made to improve the diagnosis of this neoplasm and the
search for molecular markers that may be involved in its genesis. Within this
perspective, the mitochondrial DNA is considered as a potential candidate, since
it has several well documented changes and is readily accessible. However,
numerous alterations have been reported in mtDNA, not facilitating the
visualization of which alterations and molecular markers are truly involved with
gastric carcinogenesis. This review presents a compilation of the main known
changes relating mtDNA to gastric cancer and their clinical significance.
PMID- 29806524
TI - Health Behaviors, Physical Health, and Health Care Utilization in Children With
ADHD.
AB - OBJECTIVE: To compare health behaviors, physical health outcomes, and health care
utilization between children with and without ADHD. METHOD: In this cross
sectional study, we obtained data for children with and without ADHD from the
Korean National Health and Nutrition Examination Survey. To investigate the
association between ADHD and health outcomes, we estimated the adjusted
prevalence ratios (APRs) in these groups. RESULTS: Among 10,838 children aged 7
to 18 years with and without ADHD, those with ADHD had significantly higher APRs
for overall health problems, physical illness, and injuries than those without
ADHD. Despite having health care needs, children with ADHD were less likely than
those without ADHD to use health care services. However, there were no
significant associations between most health behaviors and ADHD. CONCLUSION:
Increased efforts are needed to provide quality health care services to address
the medical conditions of children with ADHD and to enhance their health care
utilization when needed.
PMID- 29806526
TI - Comment on: Warwick medical school: A four-dimensional curriculum.
PMID- 29806525
TI - Atom-based 3D-QSAR, induced fit docking, and molecular dynamics simulations study
of thieno[2,3-b]pyridines negative allosteric modulators of mGluR5.
AB - Atom-based three dimensional-quantitative structure-activity relationship (3D
QSAR) model was developed on the basis of 5-point pharmacophore hypothesis
(AARRR) with two hydrogen bond acceptors (A) and three aromatic rings for the
derivatives of thieno[2,3-b]pyridine, which modulates the activity to inhibit the
mGluR5 receptor. Generation of a highly predictive 3D-QSAR model was performed
using the alignment of predicted pharmacophore hypothesis for the training set
(R2 = 0.84, SD = 0.26, F = 45.8, N = 29) and test set (Q2 = 0.74, RMSE = 0.235,
Pearson-R = 0.94, N = 9). The best pharmacophore hypothesis AARRR was selected,
and developed three dimensional-quantitative structure activity relationship (3D
QSAR) model also supported the outcome of this study by means of favorable and
unfavorable electron withdrawing group and hydrophobic regions of most active
compound 42d and least active compound 18b. Following, induced fit docking and
binding free energy calculations reveals the reliable binding orientation of the
compounds. Finally, molecular dynamics simulations for 100 ns were performed to
depict the protein-ligand stability. We anticipate that the resulted outcome
could be supportive to discover potent negative allosteric modulators for
metabotropic glutamate receptor 5 (mGluR5).
PMID- 29806527
TI - Nursing Home Infection Control Program Characteristics, CMS Citations, and
Implementation of Antibiotic Stewardship Policies: A National Study.
AB - Recently, the Centers for Medicare & Medicaid Services (CMS) final rule required
that nursing homes (NHs) develop an infection control program that includes an
antibiotic stewardship component and employs a trained infection preventionist
(IP). The objectives of this study were to provide a baseline assessment of (1)
NH facility and infection control program characteristics associated with having
an infection control deficiency citation and (2) associations between IP training
and the presence of antibiotic stewardship policies, controlling for NH
characteristics. A cross-sectional survey of 2514 randomly sampled US NHs was
conducted to assess IP training, staff turnover, and infection control program
characteristics (ie, frequency of infection control committee meetings and the
presence of 7 antibiotic stewardship policies). Responses were linked to
concurrent Certification and Survey Provider Enhanced Reporting data, which
contain information about NH facility characteristics and citations. Descriptive
statistics and multivariable regression analyses were conducted to account for NH
characteristics. Surveys were received from 990 NHs; 922 had complete data. One
third of NHs in this sample received an infection control deficiency citation.
The NHs that received deficiency citations were more likely to have committees
that met weekly/monthly versus quarterly ( P < .01). The IPs in 39% of facilities
had received specialized training. Less than 3% of trained IPs were certified in
infection control. The NHs with trained IPs were more likely to have 5 of the 7
components of antibiotic stewardship in place (all P < .05). The IP training,
although infrequent, was associated with the presence of antibiotic stewardship
policies. Receiving an infection control citation was associated with more
frequent infection control committee meetings. Training and support of IPs is
needed to ensure infection control and antibiotic stewardship in NHs. As the CMS
rule becomes implemented, more research is warranted. There is a need for
increase in trained IPs in US NHs. These data can be used to evaluate the
effectiveness of the CMS final rule on infection management processes in US NHs.
PMID- 29806528
TI - Recovery from anthracycline-induced cardiomyopathy with biventricular assist and
valve repairs: A case report and literature review.
AB - INTRODUCTION: Ventricular assist device is used in the patients with severe heart
failure due to cardiotoxicity of anthracyclines, which are widely used
chemotherapeutic agents for a wide range of malignant tumors. However, recovery
of cardiac function is rare. METHODS: We present the clinical course of a 43-year
old woman in remission from diffuse large B-cell lymphoma after the chemotherapy
including anthracyclines, who presented in cardiogenic shock 8 months after the
end of chemotherapy. RESULTS: The patient was initially treated with intra-aortic
balloon pumping, followed by conversion to left ventricular assist device with an
Abiomed AB5000 (Abiomed, Inc, Danvers, MA) and right ventricular assist device
with a centrifugal pump and a membrane oxygenator, in addition to tricuspid
annuloplasty, due to rapid deterioration to cardiogenic shock. With intensive
medical treatments during biventricular support, her cardiac and respiratory
functions gradually improved, although moderate mitral regurgitation persisted
despite of left ventricular unloading. At 64 days of biventricular support, she
underwent mitral valve annuloplasty to correct regurgitation under
cardiopulmonary bypass. She was consequently weaned from biventricular assist
successfully 8 days after mitral surgery (72 days of biventricular support). The
patient discharged uneventfully from our hospital and survives at home 12 months
after weaning from the ventricular assist devices. CONCLUSION: Our case and the
literature review highlight potential usefulness of aggressive mechanical
biventricular support for cardiac recovery in patients with anthracycline-induced
cardiomyopathy. Additional valve surgery and neurohormonal medications may be
also promising in such patients with cancer, who are contraindicated for heart
transplantation.
PMID- 29806530
TI - Interventions That Target Criminogenic Needs for Justice-Involved Persons With
Serious Mental Illnesses: A Targeted Service Delivery Approach.
AB - This research describes the development of a targeted service delivery approach
that tailors the delivery of interventions that target criminogenic needs to the
specific learning and treatment needs of justice-involved people with serious
mental illnesses (SMI). This targeted service delivery approach includes five
service delivery strategies: repetition and summarizing, amplification, coaching,
low-demand practice, and maximizing participation. Examples of how to apply each
strategy in session are provided, as well as recommendations on when to use each
strategy during the delivery of interventions that target criminogenic needs.
This targeted service delivery approach makes an important contribution to the
development of interventions for justice-involved people with SMI by increasing
the chances that people with SMI can participate fully in and benefit from these
interventions that target criminogenic needs. These developments come at a
critical time in the field as the next generation of services for justice
involved people with SMI are being developed.
PMID- 29806529
TI - HCN2 contributes to oxaliplatin-induced neuropathic pain through activation of
the CaMKII/CREB cascade in spinal neurons.
AB - Emerging evidence showed that hyperpolarization-activated cation channels (HCN)
participate in the development of inflammatory and neuropathic pain. However, the
role of HCN2 in oxaliplatin-induced neuropathic pain remains unknown. Here, we
found that HCN2 expression was upregulated in a rat model of oxaliplatin-induced
neuropathic pain. Intrathecal injection of ZD7288, an HCN specific inhibitor,
decreased the HCN2 level, as well as weakened the neuropathic pain behaviors
compared to naive rats. Besides, mechanistic studies revealed that the expression
of the spinal N-methyl-D-aspartate receptor subunit 2B was increased after
oxaliplatin administration and was reduced by ZD7288 administration. The
nociceptive behaviors were reversed by NR2B antagonist Ro 25-6981 in HCN2
overexpression rats. Furthermore, the underlying cellular mechanism demonstrated
that ZD7288 administration restrained the enhanced activation of the neuronal
calcium-calmodulin-dependent kinase II (CaMKII)/cyclic adenosine monophosphate
response element-binding protein cascade after oxaliplatin administration.
Moreover, pretreatment of CaMKII inhibitor KN-93 suppressed the nociceptive
behaviors, as well as NR2B upregulation induced by overexpression of HCN2. In a
word, HCN2 is conducive to oxaliplatin-induced neuropathic pain by activating the
neuronal CaMKII/CREB cascade.
PMID- 29806531
TI - Acute onset of bulbar amyotrophic lateral sclerosis after flu - look at the
differential diagnosis: A case report.
AB - Amyotrophic lateral sclerosis (ALS) is a neurodegenerative disorder affecting
upper and lower motor neurones. It can be either familial (fALS) or sporadic
(sALS). ALS is characterized by muscle weakness and atrophy that can involve the
limbs and trunk (i.e. the spinal form of the disease) or speech and swallowing
(i.e. the bulbar form). The aetiology of sALS remains unclear although a gene
environment interaction has been proposed as a concomitant trigger for the
neurodegenerative process together with viral infections, smoking, heavy metals
and pesticide exposure. Herein, we report the case of a 67-year-old woman who
experienced an acute onset of bulbar ALS with an atypical clinical course that
was probably triggered by a bout of influenza.
PMID- 29806533
TI - ADHD and the Choice of Small Immediate Over Larger Delayed Rewards: A Comparative
Meta-Analysis of Performance on Simple Choice-Delay and Temporal Discounting
Paradigms.
AB - OBJECTIVE: Impulsive choices can lead to suboptimal decision making, a tendency
which is especially marked in individuals with ADHD. We compared two different
paradigms assessing impulsive choice: the simple choice paradigm (SCP) and the
temporal discounting paradigm (TDP). METHOD: Random effects meta-analyses on 37
group comparisons (22 SCP; 15 TDP) consisting of 3.763 participants (53% ADHD).
RESULTS: Small-to-medium effect sizes emerged for both paradigms, confirming that
participants with ADHD choose small immediate over large delayed rewards more
frequently than controls. Moderation analyses show that offering real rewards in
the SCP almost doubled the odds ratio for participants with ADHD. CONCLUSION: We
suggest that a stronger than normal aversion toward delay interacts with a
demotivating effect of hypothetical rewards, both factors promoting impulsive
choice in participants with ADHD. Furthermore, we suggest the SCP as the paradigm
of choice due to its larger ecological validity, contextual sensitivity, and
reliability.
PMID- 29806532
TI - Comparing the Hospital-Acquired Condition Reduction Program and the Accreditation
of Cancer Program: A Cross-sectional Study.
AB - Under the Hospital-Acquired Condition Reduction Program (HACRP), introduced by
the Affordable Care Act, the Centers for Medicare and Medicaid must reduce
reimbursement by 1% for hospitals that rank among the lowest performing quartile
in regard to hospital-acquired conditions (HACs). This study seeks to determine
whether Accredited Cancer Program (ACP) hospitals (as defined by the American
College of Surgeons) score differently on the HACRP metrics than nonaccredited
cancer program hospitals. This study uses data from the 2014 American Hospital
Association Annual Survey database, the 2014 Area Health Resource File, the 2014
Medicare Final Rule Standardizing File, and the FY2017 HACRP database (Medicare
Hospital Compare Database). The association between ACPs, HACs, and market
characteristics is assessed through multinomial logistic regression analysis.
Odds ratios and 95% confidence intervals are reported. Accredited cancer
hospitals have a greater risk of scoring in the Worse outcome category of HAC
scores, vs Middle or Better outcomes, compared with nonaccredited cancer
hospitals. Despite this, they do not have greater odds of incurring a payment
reduction under the HACRP measurement system. While ACP hospitals can likely
improve scores, questions concerning the consistency of the message between ACP
hospital quality and HACRP quality need further evaluation to determine potential
gaps or issues in the structure or measurement. ACP hospitals should seek to
improve scores on domain 2 measures. Although ACP hospitals do likely see more
complex patients, additional efforts to reduce surgical site infections and
related HACs should be evaluated and incorporated into required quality
improvement efforts. From a policy perspective, policy makers should carefully
evaluate the measures utilized in the HACPR.
PMID- 29806534
TI - Moderator Role of Monitoring in the Inhibitory Control of Adolescents With ADHD.
AB - OBJECTIVE: The aim of this research was to analyze the role of monitoring in the
causal relationship between inhibitory control and symptoms of combined ADHD.
METHOD: It has been conducted a quantitative investigation of two phases.
RESULTS: In the first study, a moderation model was analyzed ( N = 144
adolescents with combined ADHD), where monitoring was considered as a moderating
variable in the causal relationship between the inhibitory control and the
symptomatology of ADHD F(3, 140) = 28.03, p < .001; R2 = .37. In the second
study, the model through an experimental study was tested ( N = 52 adolescents
with and without ADHD) where it was found that adolescents with ADHD improve in
their inhibitory control when they receive external support to the monitoring
F(1, 50) = 21.38, p < .001, eta2 = .30. CONCLUSION: Results suggest that
monitoring compensates the poor performance of inhibitory control in adolescents
with ADHD, which is a contribution to the theoretical construction of ADHD and to
the treatments proposed for this condition because it goes beyond the classic
conception of a causality chain among the deficit of inhibitory control and ADHD
symptomatology to propose a new explanation about this disorder, where
neuropsychology intervention of monitoring would diminish ADHD's symptomatology
impact on adolescents.
PMID- 29806535
TI - Appraisal; the next steps?
AB - Appraisal offers an opportunity for both the clinician and the employer to
demonstrate commitment to their professional responsibilities in a tangible
manner that can benefit patients, the individual clinician and the service. One
unintentional consequence of revalidation may have been to foster a belief that
it has undermined the educational and developmental aspects of appraisal. The
objective of promoting transparency and accountability through revalidation may
have led some clinicians to feel they work in a culture of greater scrutiny and
assessment, leading to an erosion of their engagement with the process. Some
appraisers previously comfortable with the educational and supportive ethos of
appraisal may be less likely to continue in that role if they are viewed or see
themselves as GMC assessors. Priorities include a need for research to evaluate
the impact and outcomes of appraisal and revalidation, to evaluate how appraisal
can meaningfully feed into improving patient care and the contribution that
medical education can make to the process.
PMID- 29806536
TI - Oocyte Donation From Donor Older Than 35 Years. Is It Worth Trying?
AB - INTRODUCTION: Due to several reasons, in some countries commercial oocyte
donation is not possible. Accordingly, patients should find their own donors who
may be over 35 years. The aim of this study was to compare the results of oocyte
donation from donors <35 years (young donors) and donors >=35 years old (older
donors). MATERIAL AND METHODS: A retrospective cohort study was conducted at a
single academic reproductive center. We compared the results of oocyte donation
from donors <35 years (345 cycles) and from donor >=35 years old (83 cycles). We
also performed subgroup analysis for single embryo transfer (SET) and fresh and
frozen embryo transfers. RESULTS: Recipient demographic characteristics of the 2
groups were comparable. The age of the donors was 29.8 +/- 3.9 years in the young
donor group and 37.6 +/- 2.1 years in the older donor group ( P < .0001).
Pregnancy and implantation rates in the recipients from young donor group were
statistically significantly higher than those from the older donor group (50.7%
and 40.9% vs 38.3% and 23%; P = .04, P < .001). Cumulative pregnancy and live
birth rates were significantly higher in the young donor group compared to the
older donor group (86.1% vs 57.4% P < .0001 and 52.2% vs 33.3%, P = .02,
respectively). Subgroup analysis showed comparable pregnancy and live birth rates
for SET cycles (45.5% vs 40.4% and 25.0% vs 21.2%, respectively) and fresh cycles
(54.7% vs 42.6% and 35.8% vs 29.6%, respectively). CONCLUSION: In nonanonymous
oocyte donation programs, donation from older donors with good ovarian reserve is
an acceptable approach when young donor is not available.
PMID- 29806538
TI - Monoclonal Antibody Against Prolactin Receptor: A Randomized Placebo-Controlled
Study Evaluating Safety, Tolerability, and Pharmacokinetics of Repeated
Subcutaneous Administrations in Postmenopausal Women.
AB - BAY 1158061 is a potent monoclonal prolactin (PRL) receptor antibody, blocking
PRL receptor (PRLR)-mediated signaling in a noncompetitive manner, which was
tested in a randomized, placebo-controlled multiple dose study in postmenopausal
women. The objective was to investigate safety, tolerability, pharmacokinetic
characteristics, and effects of BAY 1158061 on serum PRL level. The study
consisted of 4 parallel groups receiving up to 3 subcutaneous (sc)
administrations of BAY 1158061 or placebo in 2 different dosing regimens. Twenty
nine healthy postmenopausal women were randomized and treated with BAY 1158061 or
placebo: 30 mg at 14-day interval (7 participants), 60 mg at 28-day interval (8
participants), 90 mg at 14-day interval (7 participants), and placebo (7
participants). To keep the blinding, all randomized participants received sc
injections biweekly (14-day interval) on 3 occasions in the lower abdomen. The
PRLR antibody showed a favorable safety and tolerability profile in
postmenopausal women with no distinct differences in occurrence of adverse events
in BAY 1158061 or placebo-treated participants. BAY 1158061 displayed low
immunogenicity with low titers of antidrug antibodies and absence of neutralizing
antidrug antibodies. Pharmacokinetics were characterized by slow absorption after
sc administration with median peak plasma concentrations 7 to 11 days after first
dose and about 2-fold accumulation after repeated dosing every 2 weeks. The
apparent mean elimination half-life was 9 to 16 days. The PRL concentration-time
profiles over 24 hours showed no differences between verum- and placebo-treated
participants. Based on the data obtained, BAY 1158061 is considered a good
candidate for further development in endometriosis or other PRL-mediated disease
conditions.
PMID- 29806539
TI - Periorbital necrotising soft tissue infection in a 12-year-old patient.
AB - Head and neck necrotising soft-tissue infection is exceptionally uncommon in the
paediatric population. necrotising soft-tissue infection is severe and often life
threatening. Rapid spread of infection and systemic illness make necrotising soft
tissue infection a challenge for the medical and surgical teams. Early
identification and surgical intervention are essential for a favourable patient
outcome. This report details the case of periorbital necrotising soft-tissue
infection in a 12-year-old male patient following an uncomplicated facial
laceration. Prompt surgical debridement with planned return visits to theatre and
guided empirical antibiotic therapy ensured that a satisfactory patient outcome
was achieved. The failure of current necrotising soft-tissue infection diagnostic
scoring tools to be positive in this case may suggest that these tools require
refinement and validation.
PMID- 29806540
TI - Contact tracing for chronic hepatitis B in primary care? A 'snapshot' audit in
Grampian, Northeast Scotland.
AB - Introduction Contact tracing for chronic hepatitis B infection is an important
activity for preventing the spread of hepatitis B infection. In the UK, the
'Green Book' recommends that all sexual and household contacts of individuals
with chronic hepatitis B should be tested and vaccinated if required. This audit
aimed to evaluate contact tracing in primary care. Barriers to effective follow
up of contacts of patients with chronic hepatitis B were explored and
recommendations made. Methods and results Mixed method, including a survey of
general practitioners and review of hepatitis B surveillance data from 1 June
2015 to 31 December 2015 held by NHS Grampian Health Protection Team. The audit
was carried out in August 2016. Contact tracing was mainly by patient referral.
Only 20% (4/20) of identified close contacts were tested. No contact eligible for
vaccination was vaccinated, and 57% (8/14) of general practitioners who completed
the audit questionnaire suggested that general practitioners do not have a role
in contact tracing. Barriers identified were: lack of time, lack of resources and
contacts being registered with a different practice. Conclusions This audit
suggests that contact tracing for chronic hepatitis B in primary care is largely
incomplete. Moving contact tracing from general practice to health protection
teams in Boards may be a pragmatic way of improving follow-up activities.
PMID- 29806537
TI - Chikungunya fever: a threat to global public health.
AB - Chikungunya fever is an emerging arbovirus infection, representing a serious
public health problem. Its etiological agent is the Chikungunya virus (CHIKV).
Transmission of this virus is mainly vector by mosquitoes of the genus Aedes,
although transmission by blood transfusions and vertical transmission has also
been reported. The disease presents high morbidity caused mainly by the
arthralgia and arthritis generated. Cardiovascular and neurological
manifestations have also been reported. The severity of the infection seems to be
directly associated with the action of the virus, but also with the
decompensation of preexisting comorbidities. Currently, there are no therapeutic
products neither vaccines licensed to the infection CHIKV control, although
several vaccine candidates are being evaluated and human polyvalent
immunoglobulins anti-CHIKV had been tested. Antibodies can protect against the
infection, but in sub-neutralizing concentrations can augment virus infection and
exacerbate disease severity. So, the prevention still depends on the use of
personal protection measures and vector control, which are only minimally
effective.
PMID- 29806541
TI - Non-traditional skills in undergraduate medical education - the development of a
teaching programme.
AB - As a junior doctor in what is an increasingly struggling healthcare system, I am
concerned to see that many of my junior and senior colleagues have opted not to
continue onto the next stage of training. Whilst entrepreneurship, leadership and
management are now accepted as important skills for doctors to be exposed to,
this is clearly not filtering through to medical education at the undergraduate
level. We have surveyed final year medical students regarding this and used these
results to develop a national teaching programme which aims to provide junior
doctors with skills such as management, leadership and enterprise which they
would otherwise not be exposed to.
PMID- 29806542
TI - The effect of radio-frequency heating on vacuum-packed saury (Cololabis saira) in
water.
AB - We characterized vacuum-packed whole saury (Cololabis saira) treated using radio
frequency (RF) heating and compared it with that treated using conventional
retort heating. RF heating is electrical heating based on dielectric heating. In
this study, the effect of RF heating on softening and collagen in backbone was
analyzed. RF heating heated the center of fish faster than water. The backbone
was softened to a chewable level, and the heating time was shortened to one
third. The amount of crude protein and collagen in backbone decreased with
decreasing elasticity, although that heated using RF (131 degrees C) still
contained a higher amount than conventional heating. However, beta and gamma
collagen, and then alpha1 and alpha2 collagen chain in backbone disappeared with
heating; therefore, collagen was degraded to collagen peptide. Results confirmed
that RF heating provided wholly eatable fish containing low-molecular collagen
peptide in a short heating time.
PMID- 29806543
TI - A lemon myrtle extract inhibits glucosyltransferases activity of Streptococcus
mutans.
AB - Streptococcus mutans is a bacterium found in human oral biofilms (dental plaques)
that is associated with the development of dental caries. Glucosyltransferases
(GTFs) are key enzymes involved in dental plaque formation, and compounds that
inhibit their activities may prevent dental caries. We developed a screening
system for GTF-inhibitory activities, and used it to profile 44 types of herbal
tea extracts. Lemon myrtle (Backhousia citriodora) extract exhibited the highest
GTF-inhibitory activity, with an IC50 for GTF in solution of 0.14 mg mL-1.
Furthermore, lemon myrtle extracts had the third-highest polyphenol content of
all tested extracts, and strongly inhibited S. mutans biofilm. Interestingly,
lemon myrtle extracts did not inhibit cell growth.
PMID- 29806544
TI - Developing expertise for uncertainty; do we rely on a baptism of fire, the mills
of experience or could clinicians be trained?
AB - Uncertainty is pervasive in clinical practice; training for uncertainty is rarely
explicit in formal training programmes. We describe a training intervention to
explore the implications of uncertainty on learners emotions, cognitions,
decision making and attitudes. Using a mapping approach learners identified
skills for uncertain situations. Preliminary evaluation indicates helpful
outcomes at three levels of Fitzpatrick's framework for evaluation.
PMID- 29806545
TI - Reduced Disc Shedding and Phagocytosis of Photoreceptor Outer Segment Contributes
to Kava Kava Extract-induced Retinal Degeneration in F344/N Rats.
AB - There was a significant increase in the incidence of retinal degeneration in
F344/N rats chronically exposed to Kava kava extract (KKE) in National Toxicology
Program (NTP) bioassay. A retrospective evaluation of these rat retinas indicated
a similar spatial and morphological alteration as seen in light-induced retinal
degeneration in albino rats. Therefore, it was hypothesized that KKE has a
potential to exacerbate the light-induced retinal degeneration. To investigate
the early mechanism of retinal degeneration, we conducted a 90-day F344/N rat KKE
gavage study at doses of 0 and 1.0 g/kg (dose which induced retinal degeneration
in the 2-year NTP rat KKE bioassay). The morphological evaluation indicated
reduced number of phagosomes in the retinal pigment epithelium (RPE) of the
superior retina. Transcriptomic alterations related to retinal epithelial
homeostasis and melatoninergic signaling were observed in microarray analysis.
Phagocytosis of photoreceptor outer segment by the underlying RPE is essential to
maintain the homeostasis of the photoreceptor layer and is regulated by melatonin
signaling. Therefore, reduced photoreceptor outer segment disc shedding and
subsequent lower number of phagosomes in the RPE and alterations in the melatonin
pathway may have contributed to the increased incidences of retinal degeneration
observed in F344/N rats in the 2-year KKE bioassay.
PMID- 29806546
TI - The impact of an Emergency Medical Technician basic course prior to medical
school on medical students.
AB - BACKGROUND: Previous research on Emergency Medical Technician (EMT) programs as
an early clinical experience indicates that medical students' confidence in
patient care and team-building skills increases with participation. However, very
little is known about the unplanned, long-term effects of EMT courses on medical
students once they enter medical school. OBJECTIVES: This study examined the
immediate outcomes produced by the month-long summer EMT course and the unplanned
outcomes that students reported 1 year later. METHODS: Pre/postsurveys were
collected on all 25 students who graduated from the EMT course offered before
their first year. These survey data were analyzed using a paired-samples t test.
A subset of students (N = 14) consented to taking a survey and be interviewed on
the lasting impact of their EMT experience. Interviews were conducted 10 months
after the 2016 cohort completed the EMT course and at 22 months for the 2015
cohort. They were audio-recorded, transcribed, and analyzed using inductive
content analysis. RESULTS: Survey results indicated that students' confidence in
patient care and team-building skills increased significantly for all identified
skills at the P < 0.05 level. Overall confidence in patient care increased 1.5
points (P = 0.001) on 1-4 Likert-type scale. Overall confidence in team-building
skills increased at 0.7 points (P = 0.01). Qualitative analysis of interviews
discovered four themes, including the retention and transferability of practical
skills, a developed understanding of team communication, comfort with patient
interactions, and the development of a framework for assessing patients' needs.
Students applied the EMT skills in various extracurricular volunteering
experiences and in clinical skills courses. CONCLUSIONS: This study concludes
that EMT programs have both immediate and lasting effects that seem to assist
students with making sense of and navigating other learning opportunities.
Specifically, EMT courses offered to students prior to their entry into medical
school may help orient them to team-based health care and triaging patient care.
PMID- 29806547
TI - Distribution of risk factors for cardiovascular disease and the estimated 10-year
risk of acute myocardial infarction or cerebral stroke in Sami and non-Sami
populations: The SAMINOR 2 Clinical Survey.
AB - OBJECTIVE: This study aimed to assess and compare the distribution of
cardiovascular risk factors and the estimated 10-year risk of fatal or non-fatal
acute myocardial infarction (AMI) or cerebral stroke (CS) among the Sami and non
Sami populations of Northern Norway. METHODS: The SAMINOR 2 Clinical Survey is a
cross-sectional survey conducted in 10 municipalities in the counties of
Finnmark, Troms and Nordland in rural Northern Norway in 2012-2014. All
inhabitants aged 40-79 years were invited to participate, and 6004 (48.2%)
accepted. The NORRISK 2 model was used to estimate the 10-year risk of fatal or
non-fatal AMI or CS. Sex and age were included in the model, as well as the
following risk factors for cardiovascular disease (CVD): serum total cholesterol,
serum high-density lipoprotein cholesterol, systolic blood pressure, smoking
habits and anti-hypertensive treatment. RESULTS: Only minor ethnic differences
were observed between Sami and non-Sami populations in a number of individual
risk factors for CVDs. Overall, the NORRISK 2 model revealed no ethnic
differences in the 10-year risk of AMI or CS. CONCLUSIONS: There were no
differences in 10-year risk of AMI or CS between the Sami and non-Sami
populations in 10 selected municipalities in Northern Norway.
PMID- 29806548
TI - Effects of cation charges on the binding of stabilizers with human telomere and
TERRA G-quadruplexes.
AB - Both telomere and telomeric repeat-containing RNAs (TERRA) can fold into G
quadruplexes (G4) in eukaryotic cells. Given their key roles in the regulation of
telomere length and translation, telomere and TERRA G4 are interesting targets of
novel drug development strategies. It is known that the cation charge of a
stabilizer is crucial to the binding of G4 and stabilizer. However, the
quantitative relationship between the cation charge of a stabilizer and the
binding strengths with telomere and TERRA G4 remain unclear. In the current
study, by substituting positive charged TMPyP4 with neutral and negative charged
groups, the effects of cation charges on the binding conformation and binding
strength of porphyrin stabilizers are investigated via molecular docking and
molecular dynamic (MD) simulations. The results show that all TMPyP4 analogs form
stable binding complexes with telomere and TERRA G4 and that, stabilizer charges
have limited effects on binding conformation and can hardly lead to any special
conformational alternations of G4. Our hydrogen bond analysis shows that all
stabilizers can hardly form stable intermolecular hydrogen bonds with G4.
Regarding binding strength levels, a linear correlation is found between the
binding free energies and cation charges of stabilizers in all G4-stabilizer
complexes, revealing the pivotal role of electrostatic interactions. The present
work is the first to reveal a quantitative correlation between the charges and
binding strengths of stabilizers in their binding with human telomere and TERRA
G4, which will prove pivotal for G4 targeted drug design and development.
PMID- 29806549
TI - Frequent attenders in occupational health primary care: A cross-sectional study.
AB - AIMS: This study characterizes frequent attenders in primary care provided by
occupational health services (OHS) in Finland. METHODS: This is a nationwide
cross-sectional study using medical record data from an OHS provider in 2015.
Frequent attenders were defined as persons who were within the top decile of
annual visits to healthcare professionals (frequent attender 10%, FA10) at any of
the OHS's 37 stations. FA10s within this study consulted the OHS primary care
unit eight or more times during 2015. We used logistic regression to analyse
factors associated with frequent attendance in OHS primary care. The independent
variables were age, gender, employer size and industry, health professionals
visited and diagnoses given during visits to the OHS. The dependent variable was
belonging to the FA10 group. RESULTS: Altogether 31,960 patients met the
inclusion criteria and were included in the study. The FA10 group included 3617
patients, who conducted 36% of visits to healthcare professionals. The findings
indicate that working within the manufacturing industry, health and social
services, or public administration, and being employed in medium or large
companies, are associated with frequent attendance. Frequent attendance was also
associated with being female, diagnoses of the musculoskeletal system, or mental
and behavioral disorders. In particular, depressive episodes and anxiety were
associated with FA10s. CONCLUSIONS: This research characterized FA10 clients at a
Finnish OHS. Illnesses of the musculoskeletal system and mental and behavioral
disorders were accentuated among FA10s. The stability of the FA10 group, along
with their sickness absences and work disabilities, should be investigated
further.
PMID- 29806550
TI - Utility of contrast-enhanced computed tomography in the evaluation of canine
insulinoma location.
AB - OBJECTIVES: To determine 1) the sensitivity of contrast-enhanced CT (CECT) for
detection of primary canine insulinomas and metastases 2) the sensitivity of CECT
to locate canine insulinomas within the pancreas and 3) the CECT attenuation
pattern of canine insulinomas and post-contrast phase in which insulinomas have
the best visibility. METHODS: A retrospective review was performed of the medical
records of 27 canine insulinoma patients. Simultaneous occurrence of blood
glucose < 3.5 mmol/L (reference interval: 4.2-5.8 mmol/L) and plasma insulin > 10
mIU/L (reference interval: 1.4-24.5 mIU/L) were considered diagnostic for
insulinoma. The dogs had a mean age of 9.0 +/- 1.7 (SD) years and comprised 11
males and 17 females. RESULTS: Using CECT-scans, 26/27 insulinomas were
successfully detected. However, CECT-scans predicted the correct location of
insulinomas within the pancreas in only 14/27 dogs. In 9/13 inaccurately located
insulinoma cases, the location error was major. There was no significant
difference between triple, double and single-phase CECT-scans with location
accuracies of 54%, 50% and 50%, respectively. Also, there was no specific post
contrast phase in which insulinomas could be visualised best. Detection of lymph
node metastases with CECT-scans had a sensitivity of 67% (10/15 lymph node
metastases). Detection of liver metastases had a sensitivity of 75% (6/8 liver
metastases). This study highlights that major location errors mainly occurred if
single- or double-phase CECT-scans were used (6/9 cases). CONCLUSION: It is
suggested that triple-phase CECT-scans have superior outcome over single- or
double-phase CECT-scans in pre-operative imaging of canine insulinomas.
PMID- 29806551
TI - Accuracy of implementing principles of fusion imaging in the follow up and
surveillance of complex aneurysm repair.
AB - Fusion imaging is standard for the endovascular treatment of complex aortic
aneurysms, but its role in follow up has not been explored. A critical issue is
renal function deterioration over time. Renal volume has been used as a marker of
renal impairment; however, it is not reproducible and remains a complex and
resource-intensive procedure. The aim of this study is to determine the accuracy
of a fusion-based software to automatically calculate the renal volume changes
during follow up. In this study, computerized tomography (CT) scans of 16
patients who underwent complex aortic endovascular repair were analysed.
Preoperative, 1-month and 1-year follow-up CT scans have been analysed using a
conventional approach of semi-automatic segmentation, and a second approach with
automatic segmentation. For each kidney and at each time point the percentage of
change in renal volume was calculated using both techniques. After review, volume
assessment was feasible for all CT scans. For the left kidney, the intraclass
correlation coefficient (ICC) was 0.794 and 0.877 at 1 month and 1 year,
respectively. For the right side, the ICC was 0.817 at 1 month and 0.966 at 1
year. The automated technique reliably detected a decrease in renal volume for
the eight patients with occluded renal arteries during follow up. This is the
first report of a fusion-based algorithm to detect changes in renal volume during
postoperative surveillance using an automated process. Using this technique, the
standardized assessment of renal volume could be implemented with greater ease
and reproducibility and serve as a warning of potential renal impairment.
PMID- 29806552
TI - Chlamydia psittaci and C. avium in feral pigeon (Columba livia domestica)
droppings in two cities in the Netherlands.
AB - BACKGROUND: Feral pigeons (Columba livia domestica) live and breed in many city
centres and contact with their droppings can be a hazard for human health if the
birds carry Chlamydia psittaci. OBJECTIVE: The aim of this study was to establish
whether pigeon droppings in two Dutch cities (Utrecht and Haarlem) contain C.
psittaci and/or C. avium, which could be a potential hazard for transmission to
humans. METHODS: In May 2017 seven feral pigeon 'hot spots' with between 5 and
40+ pigeons present were identified in two cities by visual observations over two
days. During the following ten days fresh droppings were collected at these hot
spots and the samples were pooled per three droppings to achieve 40-41 samples
per city. Samples were analysed for Chlamydia DNA with a broad range 23S
Chlamydiaceae Real-Time PCR and positive samples were tested with a specific C.
psittaci and C. avium Real-Time PCR. Positive C. psittaci samples were genotyped.
RESULTS: C. psittaci and C. avium were detected in both cities. For C. psittaci
the prevalences in Utrecht and Haarlem were 2.4% and 7.5%, respectively; for C.
avium 36.6% and 20.0%, respectively. One sample contained both species. All C.
psittaci samples belonged to genotype B. CONCLUSION: C. psittaci and C. avium are
present in feral pigeon droppings in Utrecht and Haarlem. Human contact with
droppings from infected pigeons or inhalation of dust from dried droppings
represent a potential hazard to public health.
PMID- 29806553
TI - Brain activity and connectivity changes in response to glucose ingestion.
AB - OBJECTIVES: The regulatory role of the brain in directing eating behavior becomes
increasingly recognized. Although many areas in the brain have been found to
respond to food cues, very little data is available after actual caloric intake.
The aim of this study was to determine normal whole brain functional responses to
ingestion of glucose after an overnight fast. METHODS: Twenty-five normal weight,
adult males underwent functional MRI on two separate visits. In a single-blind
randomized study setup, participants received either glucose solution (50 g/300
ml of water) or plain water. We studied changes in Blood Oxygen Level Dependent
(BOLD) signal, voxel-based connectivity by Eigenvector Centrality Mapping, and
functional network connectivity. RESULTS: Ingestion of glucose led to increased
centrality in the thalamus and to decreases in BOLD signal in various brain
areas. Decreases in connectivity in the sensory-motor and dorsal visual stream
networks were found. Ingestion of water resulted in increased centrality across
the brain, and increases in connectivity in the medial and lateral visual cortex
network. Increased BOLD intensity was found in the intracalcarine and cingulate
cortex. DISCUSSION: Our data show that ingestion of glucose leads to decreased
activity and connectivity in brain areas and networks linked to energy seeking
and satiation. In contrast, drinking plain water leads to increased connectivity
probably associated with continued food seeking and unfulfilled reward. Trail
registration: This study combines data of two studies registered at
clinicaltrails.gov under numbers NCT03202342 and NCT03247114.
PMID- 29806554
TI - Ovarian cyst removal influences ovarian reserve dependent on histology, size and
type of operation.
AB - Previous publications suggest a reduction in the ovarian reserve following
ovarian surgery. The influence of the underlying disease, histology, size of the
ovarian cyst and type of procedure remains unclear. The aim of this study was to
investigate the influence of an ovarian operation on the ovarian reserve, based
on the anti-Mullerian hormone levels. The anti-Mullerian hormone values were
determined by means of a standardized enzyme-linked immunosorbent assay. In
total, 52 patients with one or more ovarian cysts of different histologic
entities treated at the Department of Women's Health at the Women's University
Hospital in Tubingen were included in the study. Anti-Mullerian hormone was
determined before and after surgery. The patients were 28 (range = 18-40) years
old on average. There was a statistically significant decrease in anti-Mullerian
hormone from 3.94 +/- 3.18 to 3.14 +/- 2.57 ng/mL (p = 0.001). In 80.8%, the
cysts were unilateral, and in over 90.4%, a complete cyst extirpation was
performed. A statistically significant reduction was seen in follicular cysts
(4.72 +/- 3.84 to 3.76 +/- 2.91 ng/mL; p = 0.039) and endometriosis cysts (2.55
+/- 1.87 to 1.72 +/- 1.39 ng/mL; p = 0.024). Also, the size of the cysts had an
influence on the ovarian reserve, only larger ovarian cysts with a diameter of 5
cm or more showed a statistically significant reduction in anti-Mullerian
hormone. Our data showed a significant decrease in anti-Mullerian hormone levels
after surgery on the ovaries. If this results in a long-term reduced ovarian
reserve or is merely a short-term reaction to the procedure needs to be
clarified. However, concerning young women, the indication of surgery should be
given cautiously as-at least temporarily-a reduction in the ovarian reserve can
occur.
PMID- 29806555
TI - Engineered dextranase from Streptococcus mutans enhances the production of longer
isomaltooligosaccharides.
AB - Herein, we investigated enzymatic properties and reaction specificities of
Streptococcus mutans dextranase, which hydrolyzes alpha-(1->6)-glucosidic
linkages in dextran to produce isomaltooligosaccharides. Reaction specificities
of wild-type dextranase and its mutant derivatives were examined using dextran
and a series of enzymatically prepared p-nitrophenyl alpha
isomaltooligosaccharides. In experiments with 4-mg.mL-1 dextran,
isomaltooligosaccharides with degrees of polymerization (DP) of 3 and 4 were
present at the beginning of the reaction, and glucose and isomaltose were
produced by the end of the reaction. Increased concentrations of the substrate
dextran (40 mg.mL-1) yielded isomaltooligosaccharides with higher DP, and the
mutations T558H, W279A/T563N, and W279F/T563N at the -3 and -4 subsites affected
hydrolytic activities of the enzyme, likely reflecting decreases in substrate
affinity at the -4 subsite. In particular, T558H increased the proportion of
isomaltooligosaccharide with DP of 5 in hydrolysates following reactions with 4
mg.mL-1 dextran.Abbreviations CI: cycloisomaltooligosaccharide; CITase: CI
glucanotransferase; CITase-Bc: CITase from Bacillus circulans T-3040; DP: degree
of polymerization of glucose unit; GH: glycoside hydrolase family; GTF:
glucansucrase; HPAEC-PAD: high performance anion-exchange chromatography-pulsed
amperometric detection; IG: isomaltooligosaccharide; IGn: IG with DP of n (n, 2
5); PNP: p-nitrophenol; PNP-Glc: p-nitrophenyl alpha-glucoside; PNP-IG: p
nitrophenyl isomaltooligosaccharide; PNP-IGn: PNP-IG with DP of n (n, 2-6);
SmDex: dextranase from Streptococcus mutans; SmDexTM: S. mutans ATCC25175 SmDex
bearing Gln100-Ile732.
PMID- 29806556
TI - Prevalence of Workplace Violence Against Health-Care Professionals in China: A
Comprehensive Meta-Analysis of Observational Surveys.
AB - BACKGROUND: In China, workplace violence (WPV) toward health-care professionals
has been a major concern, but no meta-analysis on this topic has been published.
This study is a meta-analysis of the pooled prevalence of WPV against health-care
professionals in China and its associated risk factors. METHOD: English- (PubMed,
PsycINFO, and Embase) and Chinese-language (Chinese National Knowledge
Infrastructure, WanFang, and SinoMed) databases were systematically searched.
Data on the prevalence of WPV and the subtypes of violence experienced by health
care professionals in China were extracted and pooled using random-effects
models. FINDINGS: A total of 47 studies covering 81,771 health-care professionals
were included in the analyses. The overall prevalence of WPV from 44 studies with
available data was 62.4% (95% confidence interval [CI] = [59.4%, 65.5%]). The
estimated prevalence of physical violence, psychological violence, verbal abuse,
threats, and sexual harassment were 13.7% (95% CI [12.2%, 15.1%]), 50.8% (95% CI
[46.2%, 55.5%]), 61.2% (95% CI [55.1%, 67.4%]), 39.4% (95% CI [33.4%, 45.4%]),
and 6.3% (95% CI [5.3%, 7.4%]), respectively. Males were more likely to
experience WPV than females. INTERPRETATION: WPV against health-care
professionals appears to be a significant issue in China. Relevant policies and
procedures related to WPV should be developed. Staff should be provided with
adequate training, education, and support to implement violence management
policies to ensure safety at the workplace.
PMID- 29806558
TI - Energo- and exergo-technical assessment of ground-source heat pump systems for
geothermal energy production from underground mines.
AB - The objective of this study is to perform the energy and exergy analysis of an
integrated ground-source heat pump (GSHP) system, along with technical
assessment, for geothermal energy production by deployment of Engineering
Equation Solver (EES). The system comprises heat pump cycle and ground heat
exchanger for extracting geothermal energy from underground mine water. A
simultaneous energy and exergy analysis of the system is brought off. These
analyses provided persuasive outcomes due to the use of an economic and green
source of energy. The energetic coefficient of performance (COP) of the entire
system is 2.33 and the exergy efficiency of the system is 28.6%. The exergetic
efficiencies of the compressor, ground heat exchanger, evaporator, expansion
valve, condenser and fan are computed to be 38%, 42%, 53%, 55%, 60% and 64%,
respectively. In the numerical investigation, different alteration such as
changing the temperature and pressure of the condenser show promising potential
for further application of GSHPs. The outcomes of this research can be used for
developing and designing novel coupled heat and power systems.
PMID- 29806557
TI - Rapid socio-economic changes, psychosocial factors and prevalence of hypertension
among men and women aged 55 years at baseline in Estonia: a 13-year follow-up
study.
AB - BACKGROUND: Hypertension is an important public health problem which causes
premature morbidity and mortality. Cardiovascular diseases are responsible for
about 55% of deaths in Estonia. THE PURPOSE OF THE STUDY: was to assess, through
a follow-up period, the prevalence of hypertension and to observe which risk
factors of cardiovascular disease impact the occurrence of the disease. The
second aim of the study was to evaluate the role of psychosocial factors and
personality traits among individuals with a diagnosis of hypertension. MATERIALS
AND METHODS: The 330 subjects from Estonia, aged 55 years at baseline, from among
whom 219 participated at follow-up. A cross-sectional study based on a self
reported questionnaire was conducted. RESULTS: Over 13 years, the prevalence of
hypertension increased from 4% to 53%. Obese (body mass index >=30 kg/m2)
individuals were four times more likely to belong to the hypertension group (p <
.01). Among individuals with hypertension the depressed mood score was >=4 points
(max. 9 points) in 54.3% of participants. Depressed mood was linked with
experiencing negative stressful life events (B = 0.047, 95% CI 0.016; 0.079; p <
.01). Mastery had a protective impact on depressed mood. The self-rated quality
of life score was lower among subjects with hypertension than among those who
were not diagnosed with hypertension (p < .05). CONCLUSIONS: According to the 13
year follow-up study, rapid socio-economic changes in Estonia have affected
psychosocial health factors among 55-year-old individuals with a diagnosis of
hypertension. There is a significant relationship between obesity and the
development of hypertension.
PMID- 29806559
TI - The Revised Animal Preference Test: An Implicit Probe of Tendencies Toward
Psychopathy.
AB - At least some forms of interpersonal violence could follow from a vision of the
self as a fierce, dominant creature. This should be particularly true when
psychopathic (more proactive, less reactive) tendencies are involved. Possible
relations of this type were examined in two studies (total N = 278) in which
college student samples were presented with a new, structured version of an old
projective test typically used in psychotherapy contexts. Participants were
presented with predator-prey animal pairs (e.g., lion-zebra) that were not
explicitly labeled as such. For each pair, the person was asked to choose the
animal that they would more prefer to be. Participants who desired to be predator
animals more often, on this Revised Animal Preference Test (RAPT), tended toward
psychopathy to a greater extent. In Study 1, such relations were manifest in
terms of correlations with psychopathic traits and with an interpersonal style
marked by hostile dominance. Further analyses, though, revealed that predator
self-identifications were more strongly related to primary psychopathy than
secondary psychopathy. Study 2 replicated the interpersonal style correlates of
the RAPT. In addition, photographs were taken of the participants in the second
study and these photographs were rated for apparent hostility and dominance. As
hypothesized, participants who wanted to be predator animals to a greater extent
also appeared more hostile and dominant in their nonverbal behaviors. These
studies suggest that projective preferences can be assessed in a reliable manner
through the use of standardizing procedures. Furthermore, the studies point to
some of the motivational factors that may contribute to psychopathy and
interpersonal violence.
PMID- 29806560
TI - Revisiting the Interactive Effect of Narcissism and Self-Esteem on Responses to
Ego Threat: Distinguishing Between Assertiveness and Intent to Harm.
AB - We addressed whether narcissism and self-esteem synergize to enhance assertive
responses and deter aggressive responses to ego-threatening provocation.
Participants ( N = 448) completed measures of narcissism and self-esteem,
imagined ego-threatening provocation, and indicated their aggressive and
assertive responses. Participants also completed individual difference measures
of agreeableness, openness, status/dominance motivation, and endorsement of the
notion that aggression begets power. Narcissism and self-esteem interacted to
reduce aggressive responses but enhance assertive responses to ego-threat;
moreover, this interaction related to enhanced agreeableness, openness,
status/dominance motivation, and reduced endorsement of the belief that
aggression begets power, and these relations accounted, in part, for relations
between the interaction and aggressive and assertive responses. Broadly, the
findings contribute to the contentious issue of how self-evaluation influences
responses to ego-threat; specifically, we would suggest that this issue be
conceptualized in terms of synergistic effects of narcissism and self-esteem and
distinguish between assertive versus aggressive responding.
PMID- 29806561
TI - Psychological Well-Being Among Women Who Experienced Intimate Partner Violence
and Received Civil Legal Services.
AB - Intimate partner violence (IPV) victimization is often associated with negative
mental health outcomes; yet, little is known about the psychological well-being
of women who experience IPV and receive civil legal services. Civil legal
services are not specifically designed to focus on women's mental health needs
but Sullivan's Social and Emotional Well-Being Framework helps to explain why
women receiving this type of formal assistance may demonstrate positive changes
in psychological well-being. Using a panel study design and data from 85 women
who experienced IPV and sought civil legal services, we examined women's
psychological well-being over a one-year period of time. Approximately two thirds
of the women received assistance from Iowa Legal Aid (ILA) for a civil protective
order ( n = 56) and the rest were represented in a family law matter. We used
measures of mental health (depression, posttraumatic stress disorder [PTSD]) and
well-being (social support, resilience, goal directed thinking, empowerment). Our
hypotheses that women would experience a decrease in mental health symptoms and
an increase in well-being were partially supported. Women reported a decrease in
depressive and PTSD symptoms over one year but there were no changes in their
goal-oriented thinking or resilience. Implications for practice and future
research are included.
PMID- 29806562
TI - Cardiac Autonomic Function and Psychological Characteristics of Heterosexual
Female Perpetrators of Intimate Partner Physical Aggression.
AB - Intimate partner violence is predominantly viewed as a social problem of men's
violence against women. However, a growing evidence base suggests an equal
prevalence rate for male and female perpetrated intimate partner physical
aggression. Moreover, female perpetrated intimate partner violence is often
assumed to be reactive, yet there is limited evidence to support this notion. In
this article, we describe the results of two studies that investigated the
prevalence of female perpetrated intimate partner physical aggression, and its
correlates in heterosexual female university students. The relationships of
personality traits, resting heart rate, and heart rate variability (a correlate
of vagal activity) were compared between females who did and did not report
having engaged in intimate partner physical aggression. In Study 1, we found that
30.9% of participants reported enacting intimate partner physical aggression
during the preceding 12 months. This finding suggests that a considerable number
of undergraduate females aggress against their intimate partners. Perpetrators,
relative to nonperpetrators, scored higher on secondary psychopathic traits. In
Study 2, female intimate partner violence was shown to be associated with low
resting heart rate and high heart rate variability. Perpetrators, relative to
nonperpetrators, scored higher on psychopathic traits that index emotional
resilience and unempathic tendencies, and reported increased proactive and
reactive aggression. This raises the possibility that some incidences of female
intimate partner physical aggression represent proactive aggressive acts. These
findings also support the frequently found association between low resting heart
rate and aggression, but raise the prospect that the reported aggressive acts
reflect high heart rate variability and strong parasympathetic nervous system
activity.
PMID- 29806563
TI - Violence, Adolescence, and Canadian Religious Communities: A Quantitative Study.
AB - Exposure to violence is recognized as a major health concern among adolescent
populations. The evidence base that links religious involvement with risks for
child violence is inconsistent. In a national analysis involving a weighted
sample of 24,307 young people, we studied the perpetration of violence (fighting
and bullying), as well as victimization by violence (bullying only), among young
people who were affiliated with religious groups. One in four young Canadians
reported involvement in such groups. Study findings confirmed some unique
patterns among this group. First, these religiously involved children reported
the same or greater levels of perpetration of violence than other children.
Second, religiously involved children reported the same or greater levels of
experiencing victimization from violence. Third, religious involvement appeared
to protect against engagement in certain overt risk-taking behaviors, but not
violence. These patterns were consistent even after adjustment for family,
community, socioeconomic, and school-related determinants. If religious
communities are to fulfill mandates that foster the protection and nurturing of
children into healthy relationships, violence is best addressed using evidence
based strategies. Development of such effective preventive strategies requires
the incorporation of contemporary evidence about the distribution, determinants,
and possible effects of violence in such groups. Findings from our study suggest
that a silence around the issue of violence may in fact be true in the context of
some Canadian religious communities. Yet, if these communities make an
intentional commitment to protecting children from violence and promoting healthy
relationships, and are willing to learn from evidence-based practices that have
shown to protect children from participating in and experiencing violent and
victim behaviors, perhaps these disturbing trends could be reversed. This message
is germane for all levels of leadership in Canadian religious communities.
PMID- 29806564
TI - What Do Parents Attribute the Cause of Bullying to? It Can Amplify or Buffer the
Vicious Cycle of Bullying Perpetration and Victimization.
AB - The purpose of this study is to examine what do parents attribute the causes of
bullying to and how the attributions of parents are related with their
adolescents' experiences of bullying perpetration and bullying victimization in
the subsequent year. The data were drawn from 3,293 Korean secondary students and
their parents from the Seoul Education Longitudinal Study across two waves
(seventh, eighth grades). The results revealed that 1,228 (37.3%) parents thought
that bullying was caused by perpetrators' faults while 512 (15.5%) parents
thought bullying was caused by victims' faults. The other 1,553 (47.2%) showed
external attributions (e.g., peer, violent media). Adolescents' bullying
perpetration and victimization interacted with parent's attribution in predicting
later bullying perpetration and victimization. These results indicate that
parent's attribution is a critical factor to understanding the alleviation or
deterioration of bullying in adolescents. Intervention programs should be
designed to concern parents' attribution as well as adolescents' prior bullying
experiences.
PMID- 29806565
TI - Marianismo Beliefs, Intimate Partner Violence, and Psychological Distress Among
Recently Immigrated, Young Adult Latinas.
AB - Marianismo is a Latino cultural value that describes both positive and negative
aspects of traditional Latina femininity. Marianismo emphasizes culturally valued
qualities such as interpersonal harmony, inner strength, self-sacrifice, and
morality. Endorsement of marianismo is hypothesized to correlate with individual
economic, educational, and personal variables. Marianismo also is theorized to
potentially influence attitudes about, experiences of, and responses to intimate
partner violence (IPV) among Latina women. The present study examined whether
endorsement of marianismo beliefs mitigated or exacerbated psychological distress
after experiences of IPV in a sample of 205 recently immigrated Latina women,
aged 18 to 23 years. Latina women experiencing higher levels of IPV and endorsing
greater marianismo beliefs were hypothesized to indicate greater psychological
distress. Unexpectedly, women who endorsed more Subordinate to Others/ Self
Silencing to Maintain Harmony marianismo beliefs indicated more psychological
distress ( p = .05), greater symptoms of psychological distress ( p = .01), and
greater average distress ( p = .03) when they also reported less IPV than peers.
Implications for understanding Latinas' responses to and reporting of IPV, as
well as for culturally tailored counseling interventions for this underserved and
understudied population, are discussed.
PMID- 29806567
TI - Development and evaluation of a digistory about autistic spectrum disorder - a
pilot study.
AB - BACKGROUND: Digital storytelling ('digistories') offers a way of sharing the
personal impact of a condition, if students have limited direct contact. Autistic
spectrum disorder (ASD) exemplifies a common condition, where there is need to
improve practise in primary care. Hence, we chose this condition to develop and
evaluate a digistory. We considered stigmatising attitudes to ASD and wider
educational effects. METHODS: In the digistory, a mother of a boy with severe ASD
describes her autobiographical experiences, illustrated by customised cartoons.
Participants completed, pre-post, a validated attitude questionnaire and word
association exercise. Views on educational value were gathered through free text
and focus group. RESULTS: Questionnaire scores indicated positive attitudes, with
no significant change. In contrast, content analysis of word association
responses showed prevalent negative associations. Thematic analysis identified
increased empathy of students with the family, enabled by the resource design.
The digistory helped students challenge stereotypes associated with the condition
and encouraged greater confidence to engage in future clinical encounters.
CONCLUSION: The digistory is an accessible and authentic patient analogue that
gives additional insight into living with autistic spectrum disorder, with
potential benefits for patient-centred learning.
PMID- 29806566
TI - Silkworm dropping extract ameliorate trimellitic anhydride-induced allergic
contact dermatitis by regulating Th1/Th2 immune response.
AB - : Allergic contact dermatitis (ACD) is an inflammatory skin disease caused by
hapten-specific immune response. Silkworm droppings are known to exert beneficial
effects during the treatment of inflammatory diseases. Here, we studied whether
topical treatment and oral administration of silkworm dropping extract (SDE)
ameliorate trimellitic anhydride (TMA)-induced ACD. In ACD mice model, SDE
treatment significantly suppressed the increase in both ear thickness and serum
IgE levels. Furthermore, IL-1beta and TNF-alpha levels were reduced by SDE. In
allergic responses, SDE treatment significantly attenuated the production of the
Th2-associated cytokine IL-4 in both ear tissue and draining lymph nodes.
However, it increased the production of the Th1-mediated cytokine IL-12. Thus,
these results showed that SDE attenuated TMA-induced ACD symptoms through
regulation of Th1/Th2 immune response. Taken together, we suggest that SDE
treatment might be a potential agent in the prevention or therapy of Th2-mediated
inflammatory skin diseases such as ACD and atopic dermatitis. ABBREVIATIONS: ACD:
allergic contact dermatitis; AD: atopic dermatitis; APC: antigen presenting
cells; CCL: chemokine (C-C motif) ligand; CCR: C-C chemokine receptor; Dex:
dexamethasone; ELISA: enzyme-linked immunosorbent assay; IFN: interferon; Ig:
immunoglobulin; IL: interleukin; OVA: ovalbumin; PS: prednisolone; SDE: silkworm
dropping extract; Th: T helper; TMA: trimellitic anhydride; TNF: tumor necrosis
factor.
PMID- 29806568
TI - Correlation between age, gender, and the number of diagnoses of temporomandibular
disorders through magnetic resonance imaging: A retrospective observational
study.
AB - Objective The aim of this study was to evaluate the correlation among age, gender
and the number of temporomandibular disorder (TMD) findings. Methods The records
from 228 patients with TMD were analyzed for the presence of these findings:
morphological changes, disc displacement with reduction (DDWR) and without
reduction (DDWOR), bone edema, effusion, and avascular necrosis. Statistical
analyses were conducted using multinomial regression with a 5% significance
level. Results DDWR was the most frequent finding. Group 1 was composed of 94
patients (41.22%), Group 2, of 67 patients (29.38%), and Group 3, of 67 patients
(29.38%). Men were significantly less likely to belong to Group 3 than women (p =
0.5517). Older patients were slightly more likely to fall in Groups 2 and 3 than
in Group 1. Discussion Women were shown to be more susceptible to developing a
higher number of concomitant conditions than men, and the number of findings
tended to increase with age.
PMID- 29806570
TI - Analysis of Leishmania mimetic neoglycoproteins for the cutaneous leishmaniasis
diagnosis.
AB - Oligosaccharides are broadly present on Leishmania cell surfaces. They can be
useful for the leishmaniases diagnosis and also helpful in identifying new cell
markers for the disease. The disaccharide Galalpha1-3Galbeta is the
immunodominant saccharide in Leishmania cell surface and is the unique non
reducing terminal glycosphingolipids structure recognized by anti-alpha-Gal. This
study describes an enzyme-linked immunosorbent assay (ELISA) used to measure
serum levels of anti-alpha-galactosyl (alpha-Gal) antibodies in patients with
cutaneous leishmaniasis (CL). Optimal ELISA conditions were established and two
neoglycoproteins (NGP) containing the Galalpha1-3Gal terminal fraction (Galalpha1
3Galbeta1-4GlcNAc-HAS and Galalpha1-3Gal-HAS) and one Galalpha1-3Gal NGP analogue
(Galalpha1-3Galbeta1-3GlcNAc-HAS) were used as antigens. Means of anti-alpha-Gal
antibody titres of CL patients were significantly higher (P < 0.05) than the
healthy individuals for all NGPs tested. Sensitivity and specificity of all NGPs
ranged from 62.2 to 78.4% and 58.3 to 96.7%, respectively. In conclusion, the
NGPs can be used for CL diagnosis.
PMID- 29806569
TI - Comparison of an epicondylitis bandage with a wrist orthosis in patients with
lateral epicondylitis.
AB - BACKGROUND:: Lateral epicondylitis is one of the most common causes of elbow
pain. It is generally observed in people between the ages of 40 and 50 years, and
the dominant extremity is more frequently affected. Various noninvasive methods
are frequently used; however, studies investigating the effectiveness of the
orthoses are limited and with controversial outcomes. OBJECTIVE:: To investigate
the effectiveness of a hand-wrist resting orthosis and an epicondylitis bandage
in lateral epicondylitis. STUDY DESIGN:: Randomized controlled trial. METHODS::
In total, 82 patients diagnosed with unilateral lateral epicondylitis were
enrolled into the study. Hand-wrist resting orthosis was applied to 45 patients
and epicondylitis bandage was applied to 41 patients. Patients were evaluated
before treatment and at 6 weeks after treatment with a Visual Analogue Scale;
Disabilities of the Arm, Shoulder, and Hand questionnaire; the Short Form 36; and
a determination of hand grasping force. RESULTS:: Both groups were similar in
terms of age, body mass index, gender, frequency of lateral epicondylitis on the
dominant side, dominant extremity, and duration of complaints ( p > 0.05). A
statistically significant recovery was detected in both groups between the pre
therapy values and the values at the sixth week in terms of Visual Analogue Scale
scores; Disabilities of the Arm, Shoulder, and Hand questionnarie scores; hand
grasping forces; and the Short Form 36 mental and physical component scores ( p <
0.05). However, there were no significant differences detected between the two
therapy methods before the therapy and at the sixth week of treatment in all
parameter values ( p > 0.05). CONCLUSION:: Our results suggest that both
epicondylitis bandage and hand-wrist resting orthosis are effective treatment
options for lateral epicondylitis-induced pain, functional status, muscle
strength, and quality of life. Furthermore, neither treatment option was superior
to the other. Large, randomized studies are necessary for more clear statements.
CLINICAL RELEVANCE: Epicondylitis bandage and hand-wrist resting orthosis are
equally effective treatment options for lateral epicondylitis-induced pain,
functional status, muscle strength, and quality of life.
PMID- 29806571
TI - Comparison of parasite loads in serum and blood samples from patients in acute
and chronic phases of Chagas disease - CORRIGENDUM.
PMID- 29806572
TI - DNA barcoding mosquitoes: advice for potential prospectors - CORRIGENDUM.
PMID- 29806573
TI - Prevalence and predictors of major depressive disorder in bereaved caregivers at
6 and 13 months.
AB - OBJECTIVE: Depressive symptoms are common in bereaved caregivers; however, there
have been few prospective studies using a structured interview. This study
investigated the prevalence and preloss predictors of major depressive disorder
(MDD) in bereaved caregivers of patients in a palliative care unit. METHOD: This
prospective cohort study collected caregiver sociodemographic and psychological
data before the death of a palliative care unit patient, including MDD, care
burden, coping style, and hopeful attitude. Postloss MDD was assessed 6 and 13
months after death, and a multivariate logistic regression analysis was conducted
to identify its predictors.ResultOf 305 caregivers contacted, 92 participated in
this study. The prevalence of preloss MDD was 21.8%; the prevalences of postloss
MDD were 34.8% and 24.7% at 6 and 13 months, respectively. Preloss MDD predicted
postloss MDD at 6 months (odds ratio [OR] = 5.38, 95% confidence interval [CI95%]
= 1.29, 22.43); preloss nonhopeful attitude and unemployment status of caregivers
predicted postloss MDD at 13 months (OR = 8.77, CI95% = 1.87, 41.13 and OR =
7.10, CI95% = 1.28, 39.36, respectively).Significance of resultsApproximately 35%
of caregivers suffered from MDD at 6 months postloss, but the prevalence of MDD
decreased to about 25% at 13 months. Preloss MDD significantly predicted postloss
MDD at 6 months, whereas hopeful attitude and unemployment at baseline were
significantly associated with postloss MDD at 13 months.
PMID- 29806574
TI - Deciphering the behaviour manipulation imposed by a virus on its parasitoid host:
insights from a dual transcriptomic approach.
AB - Behaviour manipulation imposed by parasites is a fascinating phenomenon but our
understanding is still very limited. We studied the interaction between a virus
and the parasitic wasp Leptopilina boulardi that attacks Drosophila larvae. Wasps
usually refrain to lay eggs into already parasitized hosts (superparasitism
avoidance). On the contrary, females infected by the Leptopilina boulardi
Filamentous Virus (LbFV) are much more incline to superparasitize. Interestingly,
the host-sharing induced by this behaviour modification leads to the horizontal
transmission of the virus, thus increasing its fitness at the expense of that of
the wasp. To better understand the mechanisms underlying this behaviour
manipulation, we studied by RNA sequencing the meta-transcriptome of LbFV and the
parasitic wasp both in the abdomen and in the head. We found that the abundance
of viral transcripts was independent of the wasp strain but strongly differed
between tissues. Based on the tissue pattern of expression, we identified a set
of 20 viral genes putatively involved in the manipulation process. In addition,
we identified a set of wasp genes deregulated in the presence of the virus either
in the abdomen or in the head, including genes with annotations suggesting
involvement in behaviour (i.e. Potassium-channel protein). This dataset gives new
insights into the behaviour manipulation and on the genetic basis of
superparasitism in parasitoids.
PMID- 29806575
TI - Epidemiological, clinical and laboratory aspects of human visceral leishmaniasis
(HVL) associated with human immunodeficiency virus (HIV) coinfection: a
systematic review.
AB - Coinfection with human visceral leishmaniasis (HVL) and human immunodeficiency
virus (HIV) has become an emerging public health problem in several parts of the
world, with high morbidity and mortality rates. A systematic review was carried
out in the literature available in PubMed, Scielo and Lilacs related to HVL
associated with HIV coinfection, seeking to analyze epidemiological, clinical and
laboratory aspects. Of the 265 articles found, 15 articles were included in the
qualitative analysis, which referred to the results of HVL treatment in patients
coinfected with HIV. In the published articles between 2007 and 2015, 1171 cases
of HVL/HIV coinfection were identified, 86% males, average age 34 years,
liposomal amphotericin B was the most commonly used drug, cure rates 68 and 20%
relapses and 19% deaths, five different countries, bone marrow was used in 10/15
manuscripts. HVL/HIV coinfection is a major challenge for public health, mainly
due to the difficulty in establishing an accurate diagnosis, low response to
treatment with high relapse rates and evolution to death. In addition, these two
pathogens act concomitantly for the depletion of the immune system, contributing
to worsening the clinical picture of these diseases, which requires effective
surveillance and epidemiological control measures.
PMID- 29806578
TI - Community-Centered Health Home: Life on the Other Side of the Wall.
PMID- 29806576
TI - Whole genome amplification and exome sequencing of archived schistosome
miracidia.
AB - Adult schistosomes live in the blood vessels and cannot easily be sampled from
humans, so archived miracidia larvae hatched from eggs expelled in feces or urine
are commonly used for population genetic studies. Large collections of archived
miracidia on FTA cards are now available through the Schistosomiasis Collection
at the Natural History Museum (SCAN). Here we describe protocols for whole genome
amplification of Schistosoma mansoni and Schistosome haematobium miracidia from
these cards, as well as real time PCR quantification of amplified schistosome
DNA. We used microgram quantities of DNA obtained for exome capture and
sequencing of single miracidia, generating dense polymorphism data across the
exome. These methods will facilitate the transition from population genetics,
using limited numbers of markers to population genomics using genome-wide marker
information, maximising the value of collections such as SCAN.
PMID- 29806577
TI - Lopinavir, an HIV-1 peptidase inhibitor, induces alteration on the lipid
metabolism of Leishmania amazonensis promastigotes.
AB - The anti-leishmania effects of HIV peptidase inhibitors (PIs) have been widely
reported; however, the biochemical target and mode of action are still a matter
of controversy in Leishmania parasites. Considering the possibility that HIV-PIs
induce lipid accumulation in Leishmania amazonensis, we analysed the effects of
lopinavir on the lipid metabolism of L. amazonensis promastigotes. To this end,
parasites were treated with lopinavir at different concentrations and analysed by
fluorescence microscopy and spectrofluorimetry, using a fluorescent lipophilic
marker. Then, the cellular ultrastructure of treated and control parasites was
analysed by transmission electron microscopy (TEM), and the lipid composition was
investigated by thin-layer chromatography (TLC). Finally, the sterol content was
assayed by gas chromatography-mass spectrometry (GC/MS). TEM analysis revealed an
increased number of lipid inclusions in lopinavir-treated cells, which was
accompanied by an increase in the lipophilic content, in a dose-dependent manner.
TLC and GC-MS analysis revealed a marked increase of cholesterol-esters and
cholesterol. In conclusion, lopinavir-induced lipid accumulation and affected
lipid composition in L. amazonensis in a concentration-response manner. These
data contribute to a better understanding of the possible mechanisms of action of
this HIV-PI in L. amazonensis promastigotes. The concerted action of lopinavir on
this and other cellular processes, such as the direct inhibition of an aspartyl
peptidase, may be responsible for the arrested development of the parasite.
PMID- 29806579
TI - Physical Activity in Cancer Survivors During "Re-Entry" Following Cancer
Treatment.
AB - INTRODUCTION: The transition from active cancer treatment into survivorship,
known as re-entry, remains understudied. During re-entry, clinicians can educate
survivors on the benefits of healthy behaviors, including physical activity, as
survivors adjust to life after cancer. We examine the prevalence of adherence to
established aerobic physical activity guidelines (>=150 minutes of moderate
intensity physical activity per week) in addition to related medico-demographic
factors among cancer survivors during re-entry. METHODS: Data from 1,160 breast,
colorectal, and prostate cancer survivors participating in the American Cancer
Society's National Cancer Survivor Transition Study were examined. Multinomial
logistic regression was used to calculate adjusted odds ratios (AOR) for various
medico-demographic variables in relation to 4 established levels of physical
activity (inactive, insufficiently active, 1-<2 times the guideline level, and
>=2 times the guideline level [referent group]). RESULTS: Overall, 8.1% were
inactive, 34.1% were insufficiently active, 24.3% were within 1 to less than 2
times the guidelines, and 33.4% exceeded guidelines by 2 or more times. Inactive
people had significantly higher odds of being women (AOR, 1.88; 95% confidence
interval [CI], 1.10-3.23) and having lower education levels (AOR, 2.02; 95% CI,
1.21-3.38) compared with those who exceeded guidelines by 2 or more times. Each
additional comorbidity was associated with a 26% increase in odds of inactivity
(AOR, 1.26; 95% CI, 1.08-1.47). CONCLUSION: Patient education on the benefits of
regular physical activity is important for all cancer survivors and may be
especially important to review after treatment completion to promote healthy
habits during this transition period. Survivors who are women, are less educated,
and have comorbid conditions may be less likely to be compliant with physical
activity guidelines.
PMID- 29806580
TI - Public Libraries As Partners for Health.
AB - INTRODUCTION: Public libraries are free and accessible to all and are centers of
community engagement and education, making them logical choices as partners for
improving population health. Library staff members routinely assist patrons with
unmet health and social needs. METHODS: We used a 100-question, self-administered
web survey sent to all library directors listed in the Pennsylvania Library
Association database (N = 621), to investigate staff interactions with library
patrons to address social determinants of health. We conducted statistical
comparisons of quantitative responses and a content analysis of open-ended
responses. RESULTS: Respondents (N = 262) reported frequently interacting with
patrons around health and social concerns - well beyond those related to literacy
and education - including help with employment (94%), nutrition (70%), exercise
(66%), and social welfare benefits (51%). Acute emergencies were not uncommon in
Pennsylvania's public libraries, with nearly 12% of respondents having witnessed
a drug overdose at the library in the past year. Most respondents felt that their
professional training left them inadequately prepared to assist patrons with
health and social issues. Although at least 40% of respondents offered some
health programming at their library branch, their offerings did not meet the high
level of need reflected in common patron inquiries. CONCLUSION: The challenges
library staff members experience in meeting their patrons' information needs
suggest opportunities for public libraries to advance population health. Library
staff members need additional training and resources and collaboration with
public health and health care institutions to respond to community needs through
effective, evidence-based public health programming.
PMID- 29806581
TI - Using Storytelling to Address Oral Health Knowledge in American Indian and Alaska
Native Communities.
AB - INTRODUCTION: We conducted a qualitative analysis to evaluate the acceptability
of using storytelling as a way to communicate oral health messages regarding
early childhood caries (ECC) prevention in the American Indian and Alaska Native
(AIAN) population. METHODS: A traditional story was developed and pilot tested
among AIAN mothers residing in 3 tribal locations in northern California.
Evaluations of the story content and acceptability followed a multistep process
consisting of initial feedback from 4 key informants, a focus group of 7 AIAN
mothers, and feedback from the Community Advisory Board. Upon story approval, 9
additional focus group sessions (N = 53 participants) were held with AIAN mothers
following an oral telling of the story. RESULTS: Participants reported that the
story was culturally appropriate and used relatable characters. Messages about
oral health were considered to be valuable. Concerns arose about the oral-only
delivery of the story, story content, length, story messages that conflicted with
normative community values, and the intent to target audiences. Feedback by focus
group participants raised some doubts about the relevance and frequency of
storytelling in AIAN communities today. CONCLUSION: AIAN communities value the
need for oral health messaging for community members. However, the acceptability
of storytelling as a method for the messaging raises concerns, because the
influence of modern technology and digital communications may weaken the
acceptability of the oral tradition. Careful attention must be made to the
delivery mode, content, and targeting with continual iterative feedback from
community members to make these messages engaging, appropriate, relatable, and
inclusive.
PMID- 29806582
TI - Proportion of Adults Who Identified Walking As a US Surgeon General Priority.
AB - In September 2015, Step It Up! The Surgeon General's Call to Action to Promote
Walking and Walkable Communities (Call to Action) was released. This descriptive
study reports the proportion of adults who responded to the 2016 Summer
ConsumerStyles survey (N = 4,114) who identified walking as the activity the US
Surgeon General recently promoted in the Call to Action to help Americans be more
physically active. Less than half of adults (44%) correctly identified walking.
Adults who were aged 18 to 24 years (35%), were male (43%), were non-Hispanic
white (42%), or were physically inactive (36%) were less likely to identify
walking than their counterparts. This study highlights an opportunity to raise
awareness and promote the Call to Action, especially among certain populations.
PMID- 29806583
TI - Implementing a Healthy Food Distribution Program: A Supply Chain Strategy to
Increase Fruit and Vegetable Access in Underserved Areas.
AB - Increasing access to fresh produce in small retail venues could improve the diet
of people in underserved communities. However, small retailers face barriers to
stocking fresh produce. In 2014, an innovative distribution program, Community
Markets Purchasing Real and Affordable Foods (COMPRA), was launched in Los
Angeles with the aim of making it more convenient and profitable for small
retailers to stock fresh produce. Our case study describes the key processes and
lessons learned in the first 2 years of implementing COMPRA. Considerable
investments in staff capacity and infrastructure were needed to launch COMPRA.
Early successes included significant week-to-week increases in the volume of
produce distributed. Leveraging partnerships, maintaining a flexible operational
and funding structure, and broadly addressing store owners' needs contributed to
initial gains. We describe key challenges and next steps to scaling the program.
Lessons learned from implementing COMPRA could inform other jurisdictions
considering supply-side approaches to increase access to healthy food.
PMID- 29806584
TI - Anthropometric measurements in Ponseti treated clubfeet.
AB - INTRODUCTION: We measured the foot dimensions in the Ponseti treated idiopathic
clubfeet to compare differences in foot sizes, if any. PATIENT AND METHODS: The
foot length and width in unaffected, unilateral and bilateral clubfeet were
measured and analysed statistically. RESULTS: Average follow up was 22.2 months.
Bilateral feet were similar in size. The unilateral affected feet matched in size
with contralateral unaffected feet. The size difference between bilateral and
unilateral affected feet was not significant. The bilateral feet were
significantly smaller than age matched unaffected feet [in length 0.8 cm (6.1%);
p = 0.03 and in width 0.2 cm, (3.7%); p = 0.03]. The unilateral foot was
comparable with contralateral unaffected foot both during and post bracing.
CONCLUSIONS: Post Ponseti treatment, inter bilateral, unilateral affected versus
unaffected, bilateral versus unilateral affected feet matched in size. The
overall clubfeet size especially those with bilateral disease were significantly
shorter than unaffected side. The Ponseti managed unilateral foot size was
comparable with unaffected foot during the bracing duration and size
comparability was maintained even after bracing protocol of 3 years was over.
PMID- 29806586
TI - A screening of growth inhibitory activity of Iranian medicinal plants on prostate
cancer cell lines.
AB - BACKGROUND: Prostate cancer has been known as one of the most common malignancy
in the men and it is therefore very important to prevent and treat this cancer.
In this study, the anticancer effects of 20 species of medicinal plants in Iran,
especially those grown in Chaharmahal and Bakhtiari province, were investigated
on prostate cancer cell lines to identify potential natural alternatives for the
development of prostate cancer anticancer drugs. METHODS: The plants were
gathered from Chaharmahal va Bakhtyari and their aerial parts extracted through
maceration method using ethanol 70%. Anti-proliferative activity of extracts on
PC-3, DU145 and HDF cell lines was evaluated by MTT assay 48 hours after
treatment. RESULTS: Euphorbia szovitsii Fisch. & C.A.Mey. and Achillea wilhelmsii
had anti-proliferative activity more than other plants on PC-3. Also IC50s for
Urtica dioica, Euphorbia szovitsii Fisch. & C.A.Mey. and Medicago sativa were
lower amount among the examined plants on Du-145. CONCLUSION: According to our
result, Euphorbia szovitsii Fisch. & C.A.Mey., U. dioica and Medicago sativa with
good anti-proliferative activity can serve as an effective source of natural
products to develop new antiprostate cancer drugs.
PMID- 29806585
TI - Effectiveness of low level laser therapy for treating male infertility.
AB - In half of the cases, the infertility of the couple is due to the disorder of the
male fertility. The leading factors that cause male infertility are urogenital
infections, disorders of the immune system, testicular and prostate pathology, as
well as endocrine disorders. Low level laser therapy (LLLT) is a very effective
physical therapy method, used in many areas of medicine, including obstetrics and
gynaecology, andrology and urology; and it is recommended as an integral part of
the complex treatment of infertility. The literature review showed that LLLT is
beneficial in treating male infertility. Laser can significantly improve the
survival, motility and speed of movement of spermatozoa. Laser therapy of
patients with prostatitis and vesiculitis can eliminate infiltrative-exudative
changes, improve reproductive and copulatory functions. Local illumination of red
(635 nm) and infrared (904 nm) spectra should be combined with intravenous laser
blood illumination (ILBI) of red (635 nm) and ultraviolet (UV) (365 nm) spectra.
PMID- 29806587
TI - The incidence of kidney cancer in Iran: a systematic review and meta-analysis.
AB - BACKGROUND: The incidence of kidney cancer from different areas of Iran was
reported. Nevertheless, there is no available systematic reviews in this regard.
Therefore, the present systematic review carried out to estimate the incidence
rate of kidney cancer among Iranian people. METHOD: This systematic review was
performed according to the Preferred Reporting Items for Systematic Reviews and
Meta-Analysis (PRISMA) in September 2017. A search was concluded using Medline/
PubMed, Scopus, ScienceDirect, and Google scholar for international papers and
four national databases (Scientific Information Database, MagIran, IranMedex, and
IranDoc) for Persian papers. The incidence rate of kidney cancer was calculated
using random effect model. RESULT: An aggregate of 159 papers were retrieved in
the primary search of the databases. Further screening and advanced refinement of
the retrieved studies produced 15 studies totally. The age-standardized rate
(ASR) of kidney cancer was 1.94, 95% CI (1.62-2.55) and 1.36, 95 % CI (1.09-1.62)
in males and females, respectively. CONCLUSION: In comparison to other parts of
the world, the incidence of kidney cancer was lower in Iran. Afterwards, further
studies are necessary to outline the exact incidence rate and the trend of kidney
cancer in Iran.
PMID- 29806588
TI - Content of nitric oxide and glycative compounds in cured meat products-Negative
impact upon health.
AB - The content of nitric oxide (NO), 3-nitrotyrosine, advanced glycation endproducts
(AGEs) and trans fatty acids (TFAs) in 16 kinds of cured meat products was
examined. Results showed that NO and 3-nitrotyrosine levels were in the range of
non-detectable to 4.6 MUM/mg protein, and non-detectable to 0.49 nmol/mg protein,
respectively. Carboxymethyllysine could be detected in 13 kinds of cured meat
products; its content was in the range of 48-306 MUg/100 g meat. Pentosidine was
found in 14 kinds of meat products, in the range of 109-631 MUg/100 g meat.
Furosine was presented in all test meat samples, in the range of 156-676 MUg/100
g meat. Palmitelaidic acid was found in 3 kinds of meat product, and the content
was in the range of 0.59-0.71%. Vaccenic acid was presented in 9 kinds of meat
products; its content was in the range of 0.89-1.47%. Elaidic acid was detected
in 5 kinds of meat products, and the content was in the range of 0.67-1.21%.
Because NO, 3-nitrotyrosine and AGEs might have adverse impact upon health,
people with certain healthy conditions should carefully consider the frequency
and amount of consumption for these cured meat products.
PMID- 29806589
TI - The relationship between health-promoting lifestyle and sleep quality in
postmenopausal women.
AB - BACKGROUND: Menopausal women are widely reported to have poor sleep quality and
sleep problems. It is not clear whether increases in sleep disturbance are
brought about by hormone changes associated with menopause or due to psychosocial
and physical problems. METHOD: This cross-sectional study was conducted on 600
menopausal women aged between 40 and 60 without any known severe illnesses in the
city of Qaen, Iran, from April 2015 to May 2016. Data were collected by Health
Promoting Lifestyle Profile II and Pittsburgh Sleep Quality Index. MAIN OUTCOME
MEASURES: This study was conducted to investigate the relationship between health
promoting lifestyle and sleep quality in Iranian postmenopausal women. Data were
analyzed using an independent t-test, Mann-Whitney, Chi-square, Spearman and
univariate logistic regression. RESULT: The univariate logistic regression
suggested that the physical activity dimension of lifestyle (OR = 1.095, 95% CI:
1.035-1.158, P < 0.006), non-smoking status (OR = 0.549, 95% CI: 0.331-0.912, P <
0.021) and occupation (women who were farmer compared with housewives) (OR =
0.239, 95% CI (0.074-0.775), P < 0.017) were associated with sleep quality.
CONCLUSION: Postmenopausal women in this study were at high risk for poor sleep
quality. Poor sleep quality was associated with low levels of physical activity,
smoking and being a housewife compared to being a farmer. Therefore, there is an
essential need to educate women about health-promoting behaviors including daily
physical activity and avoiding smoking which are associated with quality of
sleep.
PMID- 29806590
TI - Population-based cohort study examining the association between weight loss and
pulmonary tuberculosis in adults.
AB - BACKGROUND/PURPOSE: Little research is currently available on the relationship
between weight loss and pulmonary tuberculosis in Taiwan. This study aimed to
evaluate whether weight loss is an early clinical feature of pulmonary
tuberculosis in Taiwan. METHOD: This population-based retrospective cohort study
was conducted using the Taiwan National Health Insurance Program database. There
were 6051 subjects aged 20 to 84 years with newly diagnosed weight loss from 2000
to 2012 as the weight loss group, and 24081 randomly selected subjects without
weight loss from the same period as the non-weight loss group. The weight loss
and the non-weight loss groups were matched by sex, age, and comorbidities. The
incidence of pulmonary tuberculosis at the end of 2013 was evaluated in both
groups. A multivariable Cox proportional hazards regression model was used to
evaluate the hazard ratio (HR) and 95% confidence interval (CI) for association
of pulmonary tuberculosis with weight loss. RESULTS: The incidence of pulmonary
tuberculosis was 15.2-fold higher in the weight loss group than in the non-weight
loss group during the first 3 months of follow-up (22.8 vs. 1.50 per 1000 person
years, 95% CI 13.7, 16.9). After adjusting for covariables, the subsequent HR of
pulmonary tuberculosis was 2.36 for the weight loss group (95 % CI 1.88, 2.97),
compared with the non-weight loss group. CONCLUSION: Although our finding is not
novel, it does support the notion that weight loss is significantly associated
with increased hazard of pulmonary tuberculosis in Taiwan. The risk was found to
be particularly high during the first 3 months of follow-up.
PMID- 29806591
TI - Balantidium grimi n. sp. (Ciliophora, Litostomatea), a new species inhabiting the
rectum of the frog Quasipaa spinosa from Lishui, China.
AB - Balantidium grimi n. sp. is described from the rectum of the frog Quasipaa
spinosa (Amphibia, Dicroglossidae) from Lishui, Zhejiang Province, China. The new
species is described by both light microscopy (LM) and scanning electron
microscopy (SEM), and a molecular phylogenetic analysis is also presented. This
species has unique morphological features in that the body shape is somewhat
flattened and the vestibulum is "V"-shaped, occupying nearly 3/8 to 4/7 of the
body length. Only one contractile vacuole, situated at the posterior body, was
observed. The phylogenetic analysis based on SSU-rDNA indicates that B. grimi
groups together with B. duodeni and B. entozoon. In addition, the genus
Balantidium is clearly polyphyletic.
PMID- 29806592
TI - Analysis of Dipylidium caninum tapeworms from dogs and cats, or their respective
fleas - Part 1. Molecular characterization of Dipylidium caninum: genetic
analysis supporting two distinct species adapted to dogs and cats.
AB - A 28S rDNA PCR detection assay was previously developed to identify Dipylidium
caninum DNA inside single fleas collected from both cats and dogs. Sequence
analysis of the 28S rDNA fragment indicated two genetically distinct variations
of the target region. The two genotypes, so-called "D. caninum canine genotype"
and "D. caninum feline genotype", based on host origin, are further investigated
and described in this paper. Restriction fragment length polymorphism (RFLP)
analysis and hydrolysis probe-based genotyping assays were developed and
validated for genotyping D. caninum DNA. The complete mitochondrial (mt) genome
of the "feline genotype" was sequenced and compared to the D. caninum mt genome
available in GenBank. The molecular characterization of D. caninum isolates
collected from infected fleas, and also proglottids collected from dogs and cats,
confirmed the existence of two distinct genotypes. These genotypes are related to
host origin (dogs or cats), irrespective of their geographical origin, and they
present a biological adaptation to their respective host, as confirmed by the
comparison of biological development and host preference in another study. The
genetic differences (Part 1, present paper) and biological observations (Part 2,
in this journal) enabled us to suggest the existence of two distinct species
within D. caninum, which will have to be clarified.
PMID- 29806593
TI - Analysis of Dipylidium caninum tapeworms from dogs and cats, or their respective
fleas - Part 2. Distinct canine and feline host association with two different
Dipylidium caninum genotypes.
AB - Initial investigations suggested the existence of two distinct genotypes of
Dipylidium caninum from infected cat fleas (Ctenocephalides felis). One genotype
was found almost always (> 95%) in fleas collected from, and proglottids shed by,
domestic dogs. The other was found almost always (> 95%) in fleas collected from,
and proglottids shed by, domestic cats. Molecular investigations (Part 1, in this
journal) confirmed the presence of two distinct genotypes. Due to the apparent
host association observed, these were referred to as the "D. caninum canine
genotype" and the "D. caninum feline genotype". The current article reports on an
in vivo experimental infection study assessing the host-parasite interaction for
each genotype. Mixed infections with the two genotypes in both dogs and cats were
conducted. The specific genotyping of proglottids allowed us to assess the
specific prepatent periods, prolificity, and longevity of each genotype in dogs
versus cats. The possible hybridisation was also studied through molecular
evaluation of the proglottids expelled by infected dogs and cats. Results
demonstrate a clear distinct host interaction. The canine D. caninum genotype
occurred at a higher frequency in dogs, with a shorter prepatent period and a
longer lifespan; and the feline genotype occurred at a higher frequency in cats,
with a shorter prepatent period and a longer lifespan. The absence of any hybrids
in the mixed infections of both dogs and cats confirm the hypothesis of two
distinct genotypes, suggesting the possibility of two distinct species within
Dipylidium caninum.
PMID- 29806594
TI - Correlation Between Baseline 18F-FDG PET/CT Findings and CD38- and CD138
Expressing Myeloma Cells in Bone Marrow and Clinical Parameters in Patients with
Multiple Myeloma
AB - Objective: The aim of this study was to evaluate the relation between the rate of
fluorine-18 (18F) fludeoxyglucose (FDG) uptake and CD38 and CD138 expression in
myeloma cells in bone marrow and other clinical parameters in patients with
multiple myeloma (MM). Materials and Methods: Patients with the diagnosis of MM
who underwent 18F-FDG positron emission tomography/computed tomography (PET/CT)
for initial staging were evaluated retrospectively. We analyzed a total of 42
patients (43-83 years old, mean: 64.4+/-9.9). Hematological and biochemical tests
including hemoglobin, hematocrit, C-reactive protein, beta2-microglobulin,
creatinine, albumin, calcium, lactate dehydrogenase, and erythrocyte
sedimentation rate were recorded. In bone marrow samples, plasma cell ratio and
CD38 and CD138 immunohistochemical staining were evaluated. On PET/CT images,
mean standardized uptake values (SUVmean) of the right anterior and posterior
iliac crest and right proximal femora were calculated. The correlations between
the average SUVmean of bone marrow and CD38- and CD138-expressing myeloma cells
and other parameters were analyzed by Spearman's correlation test. Values of
p<0.05 were considered statistically significant. Results: Types of MM were IgGK
(45%), IgGL (21%), IgAK (7%), IgAL (10%), and others (17%). Thirty-two (76%)
patients were at stage III according to the Salmon-Durie staging system. There
was a statistically significant positive correlation between bone marrow FDG
uptake and percentage of plasma cells in bone marrow and CD38 and CD138
expression in plasma cells (r=0.403, r=0.339, and r=0.409) and beta2
microglobulin and C-reactive protein levels (r=0.676, r=0.541). There was a
negative correlation between bone marrow FDG uptake and hemoglobin and hematocrit
values (r=-0.377 and r=-0.368). Other hematological parameters were not
correlated with FDG uptake in bone marrow. Conclusion: Increased FDG uptake is
correlated with the percentage of CD38 and CD138 expression in plasma cells in
bone marrow. In addition to initial staging, 18F-FDG PET/CT is useful in
treatment planning and prognostic evaluation in MM patients.
PMID- 29806595
TI - Bringing Packed Red Blood Cells to the Point of Combat Injury: Are We There Yet?
AB - Objective: Hemorrhage is the leading cause of injury-related prehospital
mortality. We investigated worst-case scenarios and possible requirements of the
Turkish military. As we plan to use blood resources during casualty transport,
the impact of transport-related mechanical stress on packed red blood cells
(PRBCs) was analyzed. Materials and Methods: The in vitro experiment was
performed in the environmental test laboratories of ASELSAN(r). Operational
vibrations of potential casualty transport mediums such as Sikorsky helicopters,
Kirpi(r) armored vehicles, and the NATO vibration standard MIL-STD-810G software
program were recorded. The most powerful mechanical stress, which was created by
the NATO standard, was applied to 15 units of fresh (<=7 days) and 10 units of
old (>7 days) PRBCs in a blood cooler box. The vibrations were simulated with a
TDS v895 Medium-Force Shaker Device. On-site blood samples were analyzed at 0, 6,
and 24 h for biochemical and biomechanical analyses. Results: The mean (+/
standard deviation) age of fresh and old PRBCs was 4.9+/-2.2 and 32.8+/-11.8
days, respectively. Six-hour mechanical damage of fresh PRBCs was demonstrated by
increased erythrocyte fragmentation rates (p=0.015), hemolysis rates (p=0.003),
and supernatant potassium levels (p=0.003) and decreased hematocrit levels
(p=0.015). Old PRBC hemolysis rates (p=0.015), supernatant potassium levels
(p=0.015), and supernatant hemoglobin (p=0.015) were increased and hematocrit
levels were decreased (p=0.015) within 6 h. Two (13%) units of fresh PRBCs and
none of the old PRBCs were eligible for transfusion after 6 h of mechanical
stress. Conclusion: When an austere combat environment was simulated for 24 h,
fresh and old PRBC hemolysis rates were above the quality criteria. Currently,
the technology to overcome this mechanical damage does not seem to exist. In
light of the above data, a new national project is being performed.
PMID- 29806596
TI - Gastric adenocarcinoma expressing human epidermal growth factor receptor in South
Asian population.
AB - Background/Aim: Gastric cancer is the third leading cause of cancer mortality
worldwide. Human epidermal growth factor (Her-2/neu) has shown strong therapeutic
implication in breast cancer. Although the prevalence of Her-2/neu over
expression in gastric cancer has been reported across the world, it is still
unknown from South Asia. The aim of this study is to evaluate Her-2/neu
expression in gastric adenocarcinomas and to correlate with various
clinicopathological variables. Patients and Methods: A total of 95 consecutive
patients undergoing endoscopic biopsy or gastrectomy were recruited in this
study. Clinicopathological parameters of all patients were recorded and
hematoxylin and eosin (H and E) staining was performed. Over-expression of Her
2/neu was investigated by immunohistochemistry using alpha-Her-2 antibody. To
quantify Her-2/neu over-expression, the Hofmann validation scoring system was
used and further its association was seen with age, gender, histopathological
type, grade, and stage of the tumor. Data were entered and analyzed using SPSS
version 21. A P value of <0.05 was considered as significant. Results: Overall,
21 (22.1%) cases were positive for Her-2/neu overexpression from the total of 95
gastric adenocarcinomas. Her-2/neu was significantly expressed in low-grade
gastric cancer (grade I = 50%, grade II = 34.5%, grade III = 14.5%; P = 0.030).
Although there was insignificant difference between Her-2/neu over expression and
other variables, Her-2/neu score 3+ was predominantly seen in females, age >60
years, Laurens intestinal type, and IIIC stage tumors. Conclusion: Her-2/neu is
over-expressed in a limited group of gastric cancer patients in our population
and indicates a significant strong association with low grades of gastric cancer.
PMID- 29806598
TI - A stitch in time saves nine: Answer to the cancer burden in India.
PMID- 29806597
TI - Expression and significance of interleukin-17 and interleukin-22 in the serum and
the lower esophageal sphincter of patients with achalasia.
AB - Background/Aim: : We studied the expression of interleukin-17 and interleukin-22
in the serum and the lower esophageal sphincter (LES) in healthy individuals and
in patients diagnosed with achalasia (AC) to gain a better understanding of the
etiopathogenesis of AC. Patients and Methods: Our study comprised 14 randomly
selected patients with AC who underwent peroral endoscopic myotomy and 14
randomly selected healthy individuals who served as controls. Venous blood
samples were evaluated in all study subjects to detect the expression of
interleukin-17 and interleukin-22 in the serum using an enzyme-linked
immunosorbent assay. Immunohistochemistry studies were performed to evaluate LES
myofilaments obtained from both groups, as well as from 12 patients diagnosed
with a subendothelial non-invasive tumor and who had undergone submucosal
tunneling endoscopic resection, to assess the expression of interleukin-17 and
interleukin-22 in LES myofilaments. Results: Compared with that in the control
group, the expression of interleukin-17 and interleukin-22 in the serum and LES,
in patients with AC, was significantly increased and was positively correlated.
Conclusion: Interleukin-17 and interleukin-22 are upregulated in the serum and
LES in patients with AC, suggesting that both interleukin-17 and interleukin-22
are involved in the pathogenesis of AC, and that AC may be an immune-mediated
inflammatory disease.
PMID- 29806599
TI - Adrenal disorders in people with HIV: The highs and lows.
PMID- 29806600
TI - Blocking natural killer cells in testicular torsion may prevent autoimmunity
against low expressing major histocompatibility complex class I germ cells.
PMID- 29806601
TI - Changing facades of Vibrio cholerae: An enigma in the epidemiology of cholera.
AB - Cholera, caused by the Gram-negative bacterium Vibrio cholerae, has ravaged
humanity from time immemorial. Although the disease can be treated using
antibiotics along with administration of oral rehydration salts and controlled by
good sanitation, cholera is known to have produced mayhems in ancient times when
little was known about the pathogen. By the 21st century, ample information about
the pathogen, its epidemiology, genetics, treatment and control strategies was
revealed. However, there is still fear of cholera outbreaks in developing
countries, especially in the wake of natural calamities. Studies have proved that
the bacterium is mutating and evolving, out-competing all our efforts to treat
the disease with previously used antibiotics and control with existing vaccines.
In this review, the major scientific insights of cholera research are discussed.
Considering the important role of biofilm formation in the V. cholerae life
cycle, the vast availability of next-generation sequencing data of the pathogen
and multi-omic approach, the review thrusts on the identification of suitable
biofilm-inhibiting targets and the discovery of anti-biofilm drugs from nature to
control the disease.
PMID- 29806602
TI - Presence, patterns & predictors of hypocortisolism in patients with HIV infection
in India.
AB - Background & objectives: : Adrenal insufficiency (AI) is rarely diagnosed in
patients with HIV infection, in spite of autopsy studies showing very high rates
of adrenal involvement. This study was aimed to determine the presence, patterns
and predictors of AI in patients with HIV infection. Methods: : Consecutive HIV
patients, 18-70 yr age, without any severe co-morbid state, having at least one
year follow up at the antiretroviral therapy clinic, underwent clinical
assessment and hormone assays. Results: : From initially screened 527 patients,
359 patients having good immune function were analyzed. Basal morning cortisol <6
MUg/dl (<165 nmol/l; Group 1), 6-11 MUg/dl (165-300 nmol/l; Group 2), 11-18
MUg/dl (300-500 nmol/l; Group 3) and >=18 MUg/dl (500 nmol/l; Group 4) were
observed in 13, 71, 199 and 76 patients, respectively. Adrenocorticotropic
hormone (ACTH) stimulation test revealed 87 patients (24.23%) to have AI. AI in
groups 1-4 was 100, 56.34, 17.09 and 0 per cent, respectively. AI patients were
more likely to be females (P< 0.05), having longer disease duration (P< 0.05),
immune reconstitution inflammatory syndrome, hyperkalaemia (P< 0.01), lower
fasting glucose (P< 0.01), dehydroepiandrosterone sulphate (DHEAS) and vitamin D.
Regression analysis revealed morning cortisol and DHEAS to be best predictors of
AI (P=0.004 and 0.028, respectively). Interpretation & conclusions: : AI is a
significant problem in HIV-infected individuals, observed in nearly a quarter of
patients. Diagnosis warrants high index of suspicion and low threshold for
screening, especially in those having low DHEAS and hyperkalaemia. Morning
cortisol is a reasonable screening test, with ACTH stimulation warranted to
confirm diagnosis, especially in patients with morning cortisol <11 MUg/dl (300
nmol/l).
PMID- 29806603
TI - Chemoradiation therapy induces in vivo changes in gene promoter methylation &
gene transcript expression in patients with invasive cervical cancer.
AB - Background & objectives: Invasive cervical cancer patients are primarily treated
with chemoradiation therapy. The overall and disease-free survival in these
patients is variable and depends on the tumoral response apart from the tumour
stage. This study was undertaken to assess whether in vivo changes in gene
promoter methylation and transcript expression in invasive cervical cancer were
induced by chemoradiation. Hence, paired pre- and post-treatment biopsy samples
were evaluated for in vivo changes in promoter methylation and transcript
expression of 10 genes (ESR1, BRCA1, RASSF1A, MYOD1, MLH1, hTERT, MGMT, DAPK1,
BAX and BCL2L1) in response to chemoradiation therapy. Methods: In patients with
locally advanced invasive cervical cancer, paired pre- and post-treatment
biopsies after 10 Gy chemoradiation were obtained. DNA/RNA was extracted and gene
promoter methylation status was evaluated by custom-synthesized methylation PCR
arrays, and the corresponding gene transcript expression was determined by
absolute quantification method using quantitative reverse transcription PCR.
Results: Changes in the gene promoter methylation as well as gene expression
following chemoradiation therapy were observed. BAX promoter methylation showed a
significant increase (P< 0.01) following treatment. There was a significant
increase in the gene transcript expression of BRCA1 (P< 0.01), DAPK1 and ESR1 (P<
0.05), whereas MYOD1 and MLH1 gene transcript expression was significantly
decreased (P< 0.05) following treatment. Interpretation & conclusions: The
findings of our study show that chemoradiation therapy can induce epigenetic
alterations as well as affect gene expression in tissues of invasive cervical
cancer which may have implications in determining radiation response.
PMID- 29806604
TI - C-glycosyl flavone from Urginea indica inhibits proliferation & angiogenesis &
induces apoptosis via cyclin-dependent kinase 6 in human breast, hepatic & colon
cancer cell lines.
AB - Background & objectives: Search for novel compounds beneficial to the treatment
of cancer attracts a great deal of attention. We earlier demonstrated the
isolation of 5,7-dihydroxy-2-[4'-hydroxy-3'-(methoxymethyl)phenyl]-6-C-beta
glucopyranosyl flavone, a novel C-glycosyl flavone from Urginea indica bulb. The
present study was undertaken to investigate the effect of this novel compound on
human normal epithelial and breast, hepatic and colon cancer cell lines. Methods:
: The maximum non-toxic concentration (MNTC) and cytotoxicity of C-glycosyl
flavone were assayed by 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium
bromide (MTT). Cell cycle was analyzed by flow cytometry. Docking studies were
performed to predict possible targets. Levels of cyclin-dependent kinase 1 (CDK1)
and CDK6, Bcl2 and BAX and cytochrome c were quantified by specific ELISA.
Mitochondrial membrane potential was determined using JC-1 dye. Apoptosis was
quantified by Annexin V ELISA method. Results: : Flow cytometry analysis
demonstrated G0/G1 arrest. In silico docking studies predicted CDK1 and CDK6 as a
possible target of C-glycosyl flavone. In vitro study confirmed CDK6 as the main
target in C-glycosyl flavone-treated cancer cell lines. C-glycosyl flavone
treatment also induced membrane blebbing, chromatin fragmentation and nucleosome
formation. C-glycosyl flavone treatment caused marked loss of mitochondrial
membrane potential, decrease in Bcl2/BAX ratio and activation of caspase-3 and
release of caspase-9 and cytochrome c. In addition, C-glycosyl flavone inhibited
the tumour-induced angiogenesis and reduced the vascular endothelial growth
factor levels. Similarly, CDK6 inhibitor significantly inhibited proliferation
and angiogenesis and induced apoptosis in tested cell lines. Interpretation &
conclusions: The results indicate that C-glycosyl flavone may exert induction of
apoptosis, cell cycle arrest and inhibition of angiogenesis via CDK6. Thus,
targeting CDK6 using C-glycosyl flavone may serve as a novel therapeutic approach
for the treatment of breast, hepatic and colon cancers.
PMID- 29806605
TI - Assessment of potential biomarkers of atherosclerosis in Indian patients with
type 2 diabetes mellitus.
AB - Background & objectives: : Various biological markers of subclinical
atherosclerosis have been proposed to predict cardiovascular events in patients
with diabetes mellitus (DM). However, there are only a few clinical studies
assessing the role of invasive biomarkers [CD-36, peroxisome proliferator
activated receptor gamma (PPAR-gamma) and YKL-40] in Indian patients with type 2
DM (T2DM). Hence, the present study was conducted to assess protein levels and
gene expression of CD-36, PPAR-gamma and YKL-40 in patients with T2DM and compare
that with hypertensive and healthy controls. Methods: : All the participants were
subjected to medical history, anthropometric measurements and biochemical and
biomarker (ELISA and real-time polymerase chain reaction) estimations. The study
groups consisted of patients with T2DM (>5 yr) with hypertension (n=55), patients
with T2DM (<2 yr) without hypertension (n=28), hypertensive controls (n=31) and
healthy controls (n=30). Results: : Gene expressions of YKL-40 and CD36 were
significantly higher in patients with T2DM (>5 yr) with hypertension compared to
healthy controls (P=0.006). In addition, a significant increase in serum levels
of sCD36, PPAR-gamma and YKL-40 was observed in patients with T2DM (>5 yr) with
hypertension compared to healthy controls (P< 0.05). Serum levels as well as gene
expression of CD36 showed significant correlation with serum levels as well as
gene expression of PPAR-gamma (rho=0.45 and rho=0.51; P< 0.001), respectively.
Interpretation & conclusions: : CD36 and YKL-40 may be potential inflammatory
biomarkers for early onset of atherosclerosis in patients with T2DM.
PMID- 29806606
TI - Screening for mutation hotspots in Bardet-Biedl syndrome patients from India.
AB - Background & objectives: Bardet-Biedl syndrome (BBS) is a genetically
heterogeneous autosomal recessive disorder characterized by multiple organ
defects involving retina, kidney, liver and brain. Disease-causing mutations in
BBS genes narrowed down by homozygosity mapping in small consanguineous and non
consanguineous pedigrees were reported in 80 per cent of the study population.
This study was aimed to screen these genes (BBS3, BBS10) and specific exons of
BBS genes (BBS1, BBS5, MKKS, BBS9, BBS11 and BBS12) for recurrent mutations in a
selected sample of BBS patients. Methods: The recurrent mutations in BBS genes
were screened in the BBS affected individuals by PCR based direct sequencing. The
pathogenicity of the observed mutations were confirmed by co-segregation
analysis, screening of healthy unrelated controls and in silico analysis.
Results: In the 64 BBS patients (44 males, 20 females) were studied, mutations
were predominant in BBS10 and ARL6 genes; the c.272T>C; p.(I91T) mutation in ARL6
gene was a recurrent mutation. One novel non-sense mutation c.425T>G;
p(L142FNx01) was obtained in BBS5 gene (family BSI-31). Interpretation &
conclusions: BBS10 gene mutations clustered in exon 2 of the gene suggesting the
exon as a probable hotspot for mutations in Indian population. A cost- and time
effective strategy for the molecular diagnosis of BBS was designed based on these
results.
PMID- 29806607
TI - Medication adherence patterns in aortic dissection survivors.
AB - Background & objectives: Beta-blockers have been shown to improve survival in
both type A and type B acute aortic dissection (AAD) patients. Calcium channel
blockers have been shown to selectively improve survival only in type B AAD
patients. There is a lack of data on medication adherence in AAD survivors. The
purpose of this study was to assess medication adherence in patients who survived
an AAD. Methods: This was a cross-sectional survey-based study of individuals
from a single medical centre which was part of the larger International Registry
of Acute Aortic Dissection (IRAD). Patients with type A or B AAD who survived to
discharge were included in this study. Individuals who were deceased based on the
results of an online Social Security Death Index were excluded from the study.
Data were obtained from both a survey and also from abstraction from the local
academic institution's IRAD registry. A survey packet was sent to patients. One
section of this survey was dedicated to assessing medication adherence using the
4-item Morisky scale. Results: Eighty two completed surveys were returned; 74
patients completed the section of the survey pertaining to medication adherence
(response rate 38%). Morisky score was >=1.0 for 27 (36%) patients and 0 for 47
(64%) patients. Thirty three patients reported yes to 'forget to take
medications' and eight reported yes to 'careless with medications.' Medication
non-adherence (defined as a score of >=1.0 on Morisky) was associated with
increased follow up recurrence of chest pain at one year of follow up. Only two
patients stopped their antihypertensive on their own and did not cite a reason
for doing this. Interpretation & conclusions: The medication adherence rate for
patients who survived an AAD was 64 per cent at a median (Q1, Q3) of 7.1 yr (5.6,
11.5) after discharge, as per the Morisky scale. The clinicians should educate
their patients on the importance of antihypertensive therapy and assess for
forgetfulness and carelessness at each clinic visit, as well as understand
patients' beliefs about drug therapy, all of which have been shown to increase
medication adherence.
PMID- 29806608
TI - Bartonella henselae infection in diverse clinical conditions in a tertiary care
hospital in north India.
AB - Background & objectives: : Bartonella henselae causes infections which closely
resemble febrile illness and chronic diseases such as tuberculosis and
haematological malignancies. There are not many studies on Bartonella infections
from India. The present study was undertaken to diagnose B. henselae infection in
diverse clinical conditions in a tertiary care hospital in north India. Methods:
A total of 145 patients including those with fever and lymphadenopathy, infective
endocarditis and neuroretinitis were enrolled in the study. Whole blood, serum
and lymph node aspirate and valvular vegetations if available, were obtained.
Samples were plated on chocolate agar and brain-heart infusion agar containing
five per cent fresh rabbit blood and were incubated at 35 degrees C for at least
four weeks in five per cent CO2with high humidity. Immunofluorescent antibody
assay (IFA) was done for the detection of IgM antibodies in the serum using a
commercial kit. Whole blood was used to perform polymerase chain reaction (PCR)
for the citrate synthase gene (gltA). Results: IFA was positive in 11 of 140
(7.85%) patients and PCR was positive in 3 of 140 (2.14%) patients. Culture was
negative in all the cases. A higher incidence of Bartonella infection was seen in
patients with fever and lymphadenopathy (n=30), seven of whom were children. In
ophthalmological conditions, four cases were IFA positive. Interpretation &
conclusions: The present study shows that the threat of Bartonella infection is a
reality in India. It is also an important treatable cause of fever and
lymphadenopathy in children. Serology and PCR are useful tests for its diagnosis.
Clinicians should consider. Bartonella: infection in the differential diagnosis
of febrile illnesses and chronic diseases.
PMID- 29806609
TI - Bioinformatics characterization of envelope glycoprotein from Kyasanur Forest
disease virus.
AB - Background & objectives: Kyasanur Forest disease (KFD) is a febrile illness
characterized by haemorrhages and caused by KFD virus (KFDV), which belongs to
the Flaviviridae family. It is reported to be an endemic disease in Shimoga
district of Karnataka State, India, especially in forested and adjoining areas.
Several outbreaks have been reported in newer areas, which raised queries
regarding the changing nature of structural proteins if any. The objective of the
study was to investigate amino acid composition and antigenic variability if any,
among the envelope glycoprotein (E-proteins) from old and new strains of KFDV.
Methods: Bioinformatic tools and techniques were used to predict B-cell epitopes
and three-dimensional structures and to compare envelope glycoprotein (E
proteins) between the old strains of KFDV and those from emerging outbreaks till
2015. Results: The strain from recent outbreak in Thirthahalli, Karnataka State
(2014), was similar to the older strain of KFDV (99.2%). Although mutations
existed in strains from 2015 in Kerala KFD sequences, these did not alter the
epitopes. Interpretation & conclusions: The study revealed that though mutations
existed, there were no drastic changes in the structure or antigenicity of the E
proteins from recent outbreaks. Hence, no correlation could be established
between the mutations and detection in new geographical areas. It seems that KFDV
must be present earlier also in many States and due to availability of testing
system and alertness coming into notice now.
PMID- 29806610
TI - Aetiological spectrum of severe community-acquired pneumonia in HIV-positive
patients from Pune, India.
PMID- 29806611
TI - Celiac disease in type 1 diabetes mellitus: What are the implications of early
diagnosis?
PMID- 29806612
TI - Authors' response.
PMID- 29806613
TI - Post-obstructive pulmonary oedema in a patient following tracheal stent
implantation.
PMID- 29806614
TI - Response to estrus induction with abortion treatment in microminipigs on
different days after insemination.
AB - In microminipigs, estrus induction with abortion treatment, which is typically
performed between 25 and 40 days after mating, is not always successful. Thus,
the authors hypothesized that it may be more difficult to induce estrus by
treating microminipigs approximately 40 days after mating. Accordingly, in this
study, estrus induction was performed with abortion treatment in four
microminipigs as follows: 0.3 mg of cloprostenol, a prostaglandin F2-alpha
analog, was administered (day 0); after 24 h, 0.15 mg of cloprostenol and 250 IU
of equine chorionic gonadotrophin were administered intramuscularly and
simultaneously (day 1); after 96 h, 120 IU of human chorionic gonadotropin was
injected intramuscularly (day 4). These treatments were compared at two different
stages of pregnancy: early treatment (26.5 +/- 0.7 days) and late treatment (38.3
+/- 0.8 days). In the early treatment, all four microminipigs exhibited estrus on
day 5, whereas in the late treatment, estrus was observed clearly in only two
pigs on day 6 and slightly in 1 pig on day 10, whereas it was unclear in 1 pig.
These results suggest that it is difficult to induce estrus with abortion
treatment in microminipigs at approximately 40 days after mating.
PMID- 29806615
TI - Development of a Microfluidic System Comprising Dialysis and Secretion Components
for a Bioassay of Renal Clearance.
AB - We have developed a microfluidic bioassay system that mimics glomerular
filtration and tubular secretion in the kidney. The system consists of a
peristaltic micropump (heart), a dialysis component (renal corpuscle), and a
secretion component (renal proximal tubule). Analytes were separated by size
using a dialysis membrane in the dialysis component. Model cells were cultured on
a membrane in the secretion component, and active transport mediated by P
glycoprotein (P-gp) was confirmed using the P-gp substrate rhodamine 123 with or
without the P-gp inhibitor quinidine sulfate. The system achieved both size
separation and selective transport by P-gp on a single microchip. This proof-of
concept model may find applications in drug excretion assays, including studies
of drug-drug interactions during tubular secretion.
PMID- 29806616
TI - Separation and Detection of Hydrocarbons and Gasoline in Automotive Engine Oil
Using a Teflon(r) AF2400-coated Gold-deposited Surface Plasmon Resonance-based
Glass Rod Sensor.
AB - A gold (Au)-deposited surface plasmon resonance (SPR)-based glass rod sensor that
is coated with an alpha-mercaptoethyl-omega-methoxy polyoxyethylene (PEG thiol)
layer (approximately 13 nm thick) and a Teflon AF2400 overlayer (12 MUm thick)
was used to detect the hydrocarbon and gasoline contents of automotive engine
oil. Hydrocarbons and gasoline present in the engine oil penetrate through the
porous Teflon layer and accumulate in the PEG thiol layer, and are then detected
using the SPR sensor. The refractivities of the selective layers that contain a
hydrocarbon on the Au-deposited glass rod sensor were estimated from the sensor
responses when using light-emitting diodes (LEDs) with various operating
wavelengths as light sources. Gasoline concentrations up to 10%, w/w in
commercial engine oil can be measured directly using this sensor when it is
coated with the selective layers. The responses of an SPR-based optical waveguide
sensing system using Au films coated with identical selective layers were also
measured. The results demonstrate the value of the Au-deposited SPR glass rod
sensor coated with the selective layers for the detection of the gasoline content
and fuel dilution of automotive engine oil.
PMID- 29806617
TI - Array-based generation of response patterns with common fluorescent dyes for
identification of proteins and cells.
PMID- 29806618
TI - Assessment of physicians' proficiency in reading chest radiographs for
pneumoconiosis, based on a 60-film examination set with two factors constituting
eight indices.
AB - Two hundred and thirty-three individuals read chest x-ray images (CXR) in the
Asian Intensive Reader of Pneumoconiosis (AIR Pneumo) workshop. Their proficiency
in reading CXR for pneumoconiosis was calculated using eight indices (X1-X8), as
follows: sensitivity (X1) and specificity (X2) for pneumoconiosis; sensitivity
(X3) and specificity (X4) for large opacities; sensitivity (X5) and specificity
(X6) for pleural plaques; profusion increment consistency (X7); and consistency
for shape differentiation (X8). For these eight indices, one-way analysis of
variance (ANOVA) and Scheffe's multiple comparison were conducted on six groups,
based on the participants' specialty: radiology, respiratory medicine, industrial
medicine, public health, general internal medicine, and miscellaneous physicians.
Our analysis revealed that radiologists had a significant difference in the mean
scores of X3, X5, and X8, compared with those of all groups, excluding
radiologists. In the factor analysis, X1, X3, X5, X7, and X8 constituted Factor
1, and X2, X4, and X6 constituted Factor 2. With regard to the factor scores of
the six participant groups, the mean scores of Factor 1 of the radiologists were
significantly higher than those of all groups, excluding radiologists. The two
factors and the eight indices may be used to appropriately assess specialists'
proficiency in reading CXR.
PMID- 29806619
TI - Autonomic alterations as a clinical manifestation of encephalopathy associated
with autoimmune thyroid disease.
AB - Encephalopathy associated with autoimmune thyroid disease (EAATD), also known as
Hashimoto's encephalopathy, is a rare neurological condition that may occur in
patients with clinical or sub-clinical autoimmune thyroid disease. The
pathogenesis of EAATD has been not clearly elucidated yet. The diagnostic
criteria include neurological or psychiatric symptoms, high levels of anti
thyroid antibodies, and exclusion of other possible causes of encephalopathy. In
the large majority of cases, EAATD patients respond to immunosuppressant
therapies, in particular to corticosteroids. We report the case of a patient with
Hashimoto's thyroiditis and recurrent manifestations of encephalopathy over the
previous few years responding to corticosteroid treatment. The patient presented
with language and cognitive impairment, ataxia, and neurovegetative/autonomic
symptoms. She was euthyroid with mildly raised anti-thyroid peroxidase
antibodies. An extensive diagnostic work-up, including electroencephalogram,
brain magnetic resonance, hormonal assessment, and an exhaustive panel of
antibodies possibly associated with autoimmune encephalopathy, was carried out
and excluded other possible etiologies of encephalopathy. The diagnosis of EAATD
possibly affecting the hypothalamus and/or the neurovegetative regulatory centers
was made and treatment with prednisolone was timely commenced with a dramatic and
rapid improvement with progressive normalization of the symptoms. To the best of
our knowledge, this is the first report of neurovegetative/autonomic alterations
in the setting of EAATD.
PMID- 29806620
TI - Efficacy and safety of sodium-glucose cotransporter 2 inhibitor ipragliflozin on
glycemic control and cardiovascular parameters in Japanese patients with type 2
diabetes mellitus; Fukuoka Study of Ipragliflozin (FUSION).
AB - Sodium-glucose co-transporter-2 inhibitors are newly established anti-diabetic
agents with a unique glucose-lowering mechanism. In the present study, we
investigated the efficacy and safety of the sodium-glucose co-transporter-2
inhibitor ipragliflozin (Ipra) for metabolic markers and cardiovascular
parameters in Japanese patients with type 2 diabetes mellitus (T2DM). This study
was an investigator-initiated, open-label, single-arm, multicenter prospective
study. Patients with T2DM were treated with 50 mg Ipra for 24 and 52 weeks. The
primary outcome investigated was the reduction of glycated hemoglobin (HbA1c)
level. The secondary outcome was the change in other metabolic and cardiovascular
parameters by 24 weeks. Before and after 52 weeks of treatment, carotid intima
media thickening (IMT) was measured by echography. A total of 134 patients were
recruited in the study. A 24-week treatment with 50 mg Ipra daily significantly
reduced HbA1c level (-0.6%, p < 0.01). Body mass index (BMI), blood pressure and
serum C-peptide were reduced significantly (p < 0.05), while serum glucagon level
was unchanged. Interestingly, the serum adiponectin and high-density lipoprotein
(HDL) cholesterol levels were significantly increased by Ipra. However, 52 weeks
of Ipra treatment did not change carotid IMT. Multiple regression analysis
revealed that the only significant contributing factor for HbA1c reduction by
Ipra was baseline HbA1c level. These data suggest that Ipra decreased not only
glucose level but also BMI, blood pressure and serum C-peptide, and the
contributing factor for HbA1c reduction by Ipra was baseline HbA1c level.
Further, Ipra improved serum adiponectin and HDL cholesterol levels.
PMID- 29806621
TI - Increase in blood-brain barrier permeability does not directly induce neuronal
death but may accelerate ischemic neuronal damage.
AB - It is observed that the increase in blood-brain barrier (BBB) permeability (BBBP)
is associated with ischemic stroke and thought to trigger neuronal damage and
deteriorate ischemic infarction, even though there is no experimental proof.
Here, we investigated the effect of BBBP increase on brain damage, using a
combination of photochemically-induced thrombotic brain damage (PIT-BD) model, a
focal brain ischemic model, and transient bilateral carotid artery occlusion
model (CAO, a whole brain ischemic model), in mice. In PIT-BD, BBBP increased in
the region surrounding the ischemic damage from 4 h till 24 h with a peak at 8 h.
On day 4, the damaged did not expand to the region with BBBP increase in mice
with PIT-BD alone or with 30 min CAO at 1 h before PIT-BD, but expanded in mice
with 30 min CAO at 3.5 h after PIT-BD. This expansion was paralleled with the
increase in the number of apoptotic cells. These findings indicate that increase
in BBBP does not cause direct neuronal death, but it facilitates ischemic
neuronal loss, which was attributed, at least partially, to acceleration of
apoptotic cell death.
PMID- 29806622
TI - Independent Effect of Low Flow on Outcomes in Patients Undergoing Aortic Valve
Replacement for Severe Aortic Stenosis.
AB - BACKGROUND: Low flow (LF; i.e., reduced left ventricular stroke volume index <35
mL/m2) can occur with severe aortic stenosis (AS). However, few studies have
investigated the effects of LF on early and late outcomes after aortic valve
replacement (AVR) for severe AS.Methods and Results:In all, 285 severe AS
patients undergoing isolated AVR at Juntendo University Hospital between August
2002 and August 2015 were enrolled in the study. In this cohort, 52 patients
(18%) had LF. Compared with patients with normal flow (NF) severe AS, early
postoperative mortality (9.6% vs. 1.2%; P=0.006), gastrointestinal complications
(5.7% vs. 0.8%; P=0.04), and the duration of the intensive care unit (ICU) stay
(81.7 vs. 35.3 h; P=0.02) were increased in LF patients with severe AS. LF was an
independent predictor of early mortality (Model A, odds ratio [OR] 6.81, P=0.01;
Model B, OR 6.69, P=0.01) and composite complications (Model A, OR 2.44, P=0.02).
In propensity score-matched comparisons, early mortality (12.8% vs. 0%; P=0.02),
composite complications (28.2% vs. 10.2%; P=0.04), and duration of ICU stay (97.4
vs. 22.1 h; P=0.006) were significantly increased in LF than NF patients.
CONCLUSIONS: LF, as an important independent risk factor for postoperative
mortality and morbidity, should be included in risk stratification and assessment
in severe AS patients.
PMID- 29806623
TI - Effect of the Epicardial Adipose Tissue Volume on the Prevalence of Paroxysmal
and Persistent Atrial Fibrillation.
AB - BACKGROUND: Although increasing evidence suggests that epicardial adipose tissue
volume (EATV) is associated with atrial fibrillation (AF), it is controversial
whether there is a dose-response relationship of increasing EATV along the
continuum of AF. We evaluated the effect of the EATV on the prevalence of
paroxysmal AF (PAF) and persistent AF (PeAF) and the relationships with cardiac
structure and functional remodeling.Methods and Results:Subjects who underwent
multidetector computed tomography (MDCT) coronary angiography because of symptoms
suggestive of coronary artery disease were divided into sinus rhythm (SR)
(n=112), PAF (n=133), and PeAF (n=71) groups. The EATV index (EATV/body surface
area, mL/m2) was strongly associated with the prevalence of PAF and PeAF on the
model adjusted for known AF risk factors. The effect of the EATV index on the
prevalence of PeAF, but not on that of PAF, was modified by the left atrial (LA)
dimension, suggesting that extension of the LA dimension is related to EATV
expansion in PeAF. The cutoff value of the EATV index for the prevalence was
higher in PeAF than in PAF (64 vs. 55 mL/m2, P<0.01). CONCLUSIONS: The EATV index
is associated with the prevalence of PAF and PeAF, and its cutoff values are
predictive for PAF and PeAF development independently of other AF risk factors.
PMID- 29806624
TI - Bidirectional Shunt Trajectory in Ventricular Septal Defect With Eisenmenger's
Syndrome.
PMID- 29806625
TI - Microbial Diversity in Sediments from the Bottom of the Challenger Deep, the
Mariana Trench.
AB - The Challenger Deep is the deepest ocean on Earth. The present study investigated
microbial community structures and geochemical cycles associated with the trench
bottom sediments of the Challenger Deep, the Mariana Trench. The SSU rRNA gene
communities found in trench bottom sediments were dominated by the bacteria
Chloroflexi (SAR202 and other lineages), Bacteroidetes, Planctomycetes, "Ca.
Marinimicrobia" (SAR406), and Gemmatimonadetes and by the archaeal alpha subgroup
of MGI Thaumarchaeota and "Ca. Woesearchaeota" (Deep-sea Hydrothermal Vent
Euryarchaeotic Group 6). The SSU rRNA gene sequencing analysis indicated that the
dominant populations of the thaumarchaeal alpha group in hadal water and
sediments were similar to each other at the species or genus level. In addition,
the co-occurrence of nitrification and denitrification was revealed by the
combination of pore water geochemical analyses and quantitative PCR for
nitrifiers.
PMID- 29806626
TI - Taxon Richness of "Megaviridae" Exceeds those of Bacteria and Archaea in the
Ocean.
AB - Since the discovery of the giant mimivirus, evolutionarily related viruses have
been isolated or identified from various environments. Phylogenetic analyses of
this group of viruses, tentatively referred to as the family "Megaviridae",
suggest that it has an ancient origin that may predate the emergence of major
eukaryotic lineages. Environmental genomics has since revealed that Megaviridae
represents one of the most abundant and diverse groups of viruses in the ocean.
In the present study, we compared the taxon richness and phylogenetic diversity
of Megaviridae, Bacteria, and Archaea using DNA-dependent RNA polymerase as a
common marker gene. By leveraging existing microbial metagenomic data, we found
higher richness and phylogenetic diversity in this single viral family than in
the two prokaryotic domains. We also obtained results showing that the
evolutionary rate alone cannot account for the observed high diversity of
Megaviridae lineages. These results suggest that the Megaviridae family has a
deep co-evolutionary history with diverse marine protists since the early "Big
Bang" radiation of the eukaryotic tree of life.
PMID- 29806628
TI - Bovine esophageal and glossal ulceration associated with Pseudomonas aeruginosa
and Fusobacterium spp. in a 10-month-old Holstein heifer.
AB - An underweight 10-month-old Holstein heifer presented with anorexia and
ananastasia and was euthanized. Postmortem examination revealed extensive
ulceration in the esophagus, tongue, and omasum. Histopathological examination
revealed severe necrotic esophagitis, glossitis, and omasitis. Many Gram-negative
bacilli were detected throughout the necrotic area in the digestive tract; these
were identified as Pseudomonas aeruginosa on the basis of isolation tests,
molecular examinations, and immunohistochemistry. Gram-negative long filamentous
organisms in the superficial layers of the necrotic lesions reacted positively
with antibodies against Fusobacterium necrophorum subsp. necrophorum. Thus, the
necrotic lesions were confirmed to be associated with P. aeruginosa and
Fusobacterium spp. This is the first detection of P. aeruginosa in bovine
esophageal and glossal ulcers associated with Fusobacterium spp.
PMID- 29806629
TI - Construction of a beta-galactosidase-gene-based fusion is convenient for
screening candidate genes involved in regulation of pyrrolnitrin biosynthesis in
Pseudomonas chlororaphis G05.
AB - In our recent work, we found that pyrrolnitrin, and not phenazines, pyrrolnitrin
contributed to the suppression of the mycelia growth of Fusarium graminearum that
causes heavy Fusarium head blight (FHB) disease in cereal crops. However,
pyrrolnitrin production of Pseudomonas chlororaphis G05 in King's B medium was
very low. Although a few regulatory genes mediating the prnABCD (the prn operon,
pyrrolnitrin biosynthetic locus) expression have been identified, it is not
enough for us to enhance pyrrolnitrin production by systematically constructing a
genetically-engineered strain. To obtain new candidate genes involved in
regulation of the prn operon expression, we successfully constructed a fusion
mutant G05DeltaphzDeltaprn::lacZ, in which most of the coding regions of the prn
operon and the phzABCDEFG (the phz operon, phenazine biosynthetic locus) were
deleted, and the promoter region plus the first thirty condons of the prnA was in
frame fused with the truncated lacZ gene on its chromosome. The expression of the
fused lacZ reporter gene driven by the promoter of the prn operon made it easy
for us to detect the level of the prn expression in terms of the color variation
of colonies on LB agar plates supplemented with 5-bromo-4-chloro-3-indolyl-beta-D
galactopyranoside (X-Gal). With this fusion mutant as a recipient strain, mini
Tn5-based random insertional mutagenesis was then conducted. By picking up
colonies with color change, it is possible for us to screen and identify new
candidate genes involved in regulation of the prn expression. Identification of
additional regulatory genes in further work could reasonably be expected to
increase pyrrolnitrin production in G05 and to improve its biological control
function.
PMID- 29806627
TI - Schisantherin A alleviated alcohol-induced liver injury by the regulation of
alcohol metabolism and NF-kB pathway.
AB - Schisantherin A (SinA), one of the most abundant active ingredients of Schisandra
chinensis, was reported to protect and benefit the liver, however, its effect on
alcohol-induced liver injury (ALI) was still not clear. In the present study, an
ALI mice model was induced by feeding mice an alcohol-containing liquid diet for
four weeks. Then, 100 mg/kg or 200 mg/kg SinA was administered to mice every day
by gavage for the last two weeks. Histopathological analysis showed that alcohol
induced liver lipid vacuoles were reduced by SinA. The activities of aspartate
aminotransferase (AST, 61.90 +/- 14.65 vs. 93.65 +/- 20.50, 50.46 +/- 13.21 vs.
93.65 +/- 20.50) and alanine transaminase (ALT, 41.29 +/- 9.20 vs. 64.04 +/-
18.13, 36.52 +/- 7.71 vs. 64.04 +/- 18.13) in the serum of ALI mice were
significantly reduced by 100 mg/kg or 200 mg/kg SinA when compared with control
mice. Alcohol-induced oxidative stress and the inflammatory response in the liver
were suppressed by SinA in a dose-dependent manner. Meanwhile, treatment with
SinA decreased alcohol dehydrogenase (ADH) activity and increased acetaldehyde
dehydrogenase (ALDH) activity in ALI mice. Alcohol-induced upregulation of CYP2E1
and CYP1A2 in the liver was inhibited by SinA. Further, SinA suppressed
activation of the NF-kB pathway in ALI mice. In conclusion, our findings
demonstrate that SinA is able to protect against ALI, and this may be, at least
in part, caused by regulation of alcohol metabolism and the NF-kB pathway. Our
data suggest a therapeutic potential of SinA in the treatment of ALI.
PMID- 29806630
TI - Serum Levels of TRIM72 Are Lower among Patients with Colon Cancer: Identification
of a Potential Diagnostic Marker.
AB - Colon cancer is one of the most common malignancies causing the majority of
cancer-related deaths worldwide. The tripartite motif family protein 72 (TRIM72),
also known as mitsugumin 53, acts as an E3 ubiquitin ligase. TRIM72 is involved
in insulin resistance and metabolic syndrome, which are risk factors of colon
cancer. However, the correlation between TRIM72 and colon cancer remains unknown.
In the present study, we explored the expression profile of TRIM72 in colon
cancer tissues and the diagnostic value of serum TRIM72 in colon cancer. The
receiver operating characteristic (ROC) curves were applied for evaluating the
diagnostic value of serum TRIM72. We thus found that immunoreactive TRIM72 levels
were significantly lower in colon cancer tissues than those in normal colon
tissues. Moreover, serum TRIM72 levels were significantly lower in colon cancer
patients than those in healthy volunteers. Importantly, the lower serum TRIM72
levels were associated with advanced clinical stage, lymph node, and distant
metastases in colon cancer patients. The ROC curve analysis showed that serum
TRIM72 has a superior diagnostic value (the area under the curve (AUC) = 0.829)
than the traditional tumor biomarkers, carcinoembryonic antigen (CEA) (AUC =
0.707) and carbohydrate antigen 19-9 (CA199) (AUC = 0.750), and the combination
of TRIM72 with CEA and CA199 showed the best diagnostic value for colon cancer
(AUC = 0.928). In conclusion, serum TRIM72 may be a potential biomarker for the
diagnosis and the prognosis of colon cancer.
PMID- 29806631
TI - Altered Wnt and NF-kappaB Signaling in Facet Joint Osteoarthritis: Insights from
RNA Deep Sequencing.
AB - Facet joint osteoarthritis is common lumbar osteoarthritis characterized by facet
joint cartilage degeneration. However, the molecular basis of facet joint
osteoarthritis remains largely undetermined. In the current study, we collected
facet joint tissue samples from 10 control patients and 48 patients with facet
joint osteoarthritis (20 patients with moderate degeneration and 28 with severe
degeneration). The control patients underwent internal fixation of the lumbar
spine due to vertebral fracture. RNA deep sequencing was performed, and
Bioinformatic tools were applied. Among top 30 enriched signaling pathways, we
focused on two inflammation-related signaling pathways, Wnt and NF-kappaB
signaling pathways. Subsequently, using the quantitative RT-PCR analysis, we
confirmed that in Wnt signaling pathway, the mRNA levels of Dickkopf WNT
Signaling Pathway Inhibitor 2 (DKK2), Sex-determining Region Y-box 17 (SOX17),
MYC, Cyclin D1, Calcium/Calmodulin Dependent Protein Kinase II Alpha (CAMK2A),
and Wnt Family Member 11 and 5 were increased in facet joint osteoarthritis,
while the mRNA levels of WNT Inhibitory Factor 1, Casein Kinase 1 Alpha 1,
Transcription Factor 7/Lymphoid Enhancer Binding Factor 1 (TCF7/LEF1), and VANGL
Planar Cell Polarity Protein 2 were decreased. In NF-kappaB signaling pathway,
the mRNA levels of C-C Motif Chemokine Ligand 4 (CCL4) and C-C Motif Chemokine
Ligand 4 Like 2 (CCL4L2) were increased, while the mRNA levels of BCL2 Related
Protein A1 were decreased. These results suggest that Wnt and NF-kappaB signaling
may be altered in the process of facet joint cartilage degeneration. The present
study will expand our understanding of the molecular bases underlying facet joint
osteoarthritis.
PMID- 29806633
TI - [[Erratum] Chinese Journal of Nuclear Medicine and Molecular Imaging Volume 38,
Number 4, April 25, 2018].
PMID- 29806632
TI - Expression of glucocorticoid receptor shows negative correlation with human B
cell engraftment in PBMC-transplanted NOGhIL-4-Tg mice.
AB - The humanized mouse system is a promising tool for analyzing human immune
responses in vivo. Recently, we developed a new humanized mouse system using the
severely immunodeficient NOD/Shi-scid-IL2rgammanull (NOG)-hIL-4-Tg mouse, which
enabled us to evaluate the human humoral immune response after peripheral blood
mononuclear cell (PBMC) transplantation. However, the mechanism by which hIL-4
enhances antigen-specific IgG production in these mice is not clear. In this
study, we analyzed the relationship between human lymphocyte subsets and the
expression level of the glucocorticoid receptor (GR) to clarify the humoral
immune condition in human PBMC-transplanted NOG-hIL-4 mice. The results showed
that the human GR mRNA level was significantly lower in NOG-hIL-4-Tg splenocytes
than in conventional NOG splenocytes after immunization. Whereas no obvious
difference of the proportion of T helper-cell subsets was observed between the
NOG and NOG-hIL-4-Tg mouse strains, the B-cell proportion and antigen-specific
IgG concentration in plasma showed strong negative correlations with the GR mRNA
level. These results suggest that the GR expression level was changed in PBMCs in
the humanized NOG-hIL-4-Tg mice, which may support B-cell survival and function
in the mouse system.
PMID- 29806636
TI - Validity of a Self-administered Food Frequency Questionnaire for the Estimation
of Acrylamide Intake in the Japanese Population: The JPHC FFQ Validation Study.
AB - BACKGROUND: Acrylamide, a probable carcinogen to humans, forms during high
temperature cooking. Dietary exposure to acrylamide among the Japanese population
is unknown. We aimed to establish and validate a method to assess acrylamide
exposure among the Japanese population using a food frequency questionnaire (FFQ)
from the Japan Public Health Center-based prospective study. METHODS: Validation
studies for the FFQ were conducted in 1994 (Cohort I, n = 215) and 1996 (Cohort
II, n = 350). The 28-day dietary records (DRs) were collected over 1 year. The
FFQ was distributed before and after DR collection. Data for acrylamide exposure
were based on reported measurements in Japan, and calculations considered the
cooking process for specific vegetables in a home setting. Spearman's rank
correlation and weighted kappa coefficients were calculated from energy-adjusted
data. RESULTS: Mean acrylamide intake levels estimated from DRs for Cohorts I and
II were 6.78 (standard deviation [SD], 3.89) ug/day and 7.25 (SD, 3.33) ug/day,
and corresponding levels estimated from the FFQ were 7.03 (SD, 4.30) ug/day and
7.14 (SD, 3.38) ug/day, respectively. Deattenuated correlation coefficients for
men and women were 0.54 and 0.48 in Cohort I and 0.40 and 0.37 in Cohort II,
respectively. Weighted kappa coefficients were over 0.80 in all cases. The main
contributing food groups from DRs were beverages, confectioneries, vegetables,
potatoes and starches, and cereals. CONCLUSIONS: High kappa values validate the
use of FFQ in epidemiological studies. The marked contribution of cooked
vegetables indicates the importance of considering household cooking methods in
assessing acrylamide intake levels in the Japanese population.
PMID- 29806638
TI - Relationship Between Maternal Pre-pregnancy Weight and Offspring Weight
Strengthens as Children Develop: A Population-Based Retrospective Cohort Study.
AB - BACKGROUND: Maternal pre-pregnancy weight has been reported to be positively
associated with offspring weight. The association between maternal weight and
offspring weight might be explained by maternal lifestyle. We investigated the
strength of the relationship between maternal body mass index (BMI) at the
beginning of pregnancy and offspring BMI at several growth stages. METHODS: The
source population was all eighth graders registered in all public schools in the
city of Fukuroi, Japan, in 2012. Records of maternal anthropometry at the
beginning of pregnancy were obtained from the Maternal and Child Health (MCH)
Handbook. The height and body weight of each student were measured. A regression
model was used to assess the association between maternal BMI z-score at the
beginning of pregnancy and offspring BMI z-score at various ages. RESULTS: Of the
source population, data from the MCH Handbook were obtained for 480 students.
Among males, maternal BMI z-score was not associated with offspring BMI z-score
at birth and at age 3 years but was associated with offspring BMI z-score at age
13 years (standardized regression coefficient (beta) = 0.19; P < 0.01). Among
females, maternal BMI z-score was associated with offspring BMI z-score at birth
(beta = 0.11; P < 0.05), at age 3 years (beta = 0.22; P < 0.01) and at age 13
years (beta = 0.51; P < 0.01). CONCLUSIONS: Our results suggest that the positive
association between maternal weight at the beginning of pregnancy and offspring
weight around puberty is stronger than that between maternal weight and offspring
weight at birth. Maternal lifestyle may influence offspring weight in
adolescence.
PMID- 29806648
TI - Expression of gene proteins, interleukins and beta-defensin in cleft-affected
tissue.
AB - OBJECTIVES: The aim of the study was to investigate cleft - affected tissues in
children with cleft lip and palate in order to detect appearance of beta
defensin, interleukins (IL) and gene proteins. MATERIAL AND METHODS: The study
group included 10 patients with cleft lip and palate. During nose surgery tissue
samples from bone and cartilage have been taken, and stained with
immunohistochemistry for beta-defensin 2, IL4, IL6, IL7, IL8, IL10 and MSX1, RYK,
PAX9, IRF6 gene proteins. RESULTS: Results showed prominent expression of IL10
(mean value 47.28+/-4.26 in visual field) followed by IL7 (35.62+/-11.18) in
cartilage of patients, but slightly less pronounced expression of IL8 (30.14+/
8.74), IL6 (22.52+/-10.88) and IL4 (14.81+/-6.94). The expression of beta
defensin 2 was prominent (34.52+/-11.79) and similar to expression of IL7 in
tissue samples of cartilage. MSX1, PAX9, RYK and IRF6 (17.67+/-5.94; 16.14+/
5.52; 16.57+/-5.22 and 11.86+/-4.21) in cartilage was less pronounced than
interleukins and beta-defensin 2. MSX1 (12.44+/-3.34), PAX9 (6.89+/-2.14), RYK
(11.0+/-5.92) and IRF6 (9.1+/-4.76) gene proteins expression in bone showed
mostly rare occurrence of positive structures. CONCLUSIONS: Significant
expression of IL7 and beta-defensin 2 and IL10 in cartilage proves the prominent
immune response in cleft affected hard tissue. Mostly indistinct MSX1, PAX9, RYK
and IRF6 gene proteins expression in bone might be an indicator of not complete
cellular differentiation, proliferation and migration events in cleft disordered
hard tissue.
PMID- 29806637
TI - Dietary Antioxidant Micronutrients and All-Cause Mortality: The Japan
Collaborative Cohort Study for Evaluation of Cancer Risk.
AB - BACKGROUND: Oxidative stress, the imbalance between pro- and antioxidants, has
been implicated in the etiology and pathophysiology of the incidence and
mortality of many diseases. We aim to investigate the relations of dietary
intakes of vitamin C and E and main carotenoids with all-cause mortality in
Japanese men and women. METHODS: The Japan Collaborative Cohort Study for
Evaluation of Cancer Risk had 22,795 men and 35,539 women, aged 40-79 years at
baseline (1988-1990), who completed a valid food frequency questionnaire and were
followed up to the end of 2009. RESULTS: There were 6,179 deaths in men and 5,355
deaths in women during the median follow-up of 18.9 years for men and 19.4 years
for women. Multivariate hazard ratios for the highest versus lowest quintile
intakes in women were 0.83 (95% confidence interval [CI], 0.76-0.90; P for trend
< 0.0001) for vitamin C, 0.85 (95% CI, 0.78-0.93; P for trend < 0.0001) for
vitamin E, 0.88 (95% CI, 0.81-0.96; P for trend = 0.0006) for beta-carotene, and
0.90 (95% CI, 0.82-0.98; P for trend = 0.0002) for beta-cryptoxanthin. The joint
effect of any two of these highly correlated micronutrients showed significant 12
17% reductions in risk in the high-intake group compared with the low-intake
group in women. These significant associations were also observed in the highest
quintile intakes of vitamin C, vitamin E, and beta-carotene in female non-smokers
but were not observed in female smokers, male smokers, and non-smokers.
CONCLUSIONS: Higher dietary intakes of antioxidant vitamins may reduce the risk
of all-cause mortality in middle-aged Japanese women, especially female non
smokers.
PMID- 29806649
TI - Host responses to Candida albicans. A review.
AB - Candida albicans is the most prevalent human fungal pathogen, that is component
of the commensal microbial flora of the mouth. Under certain conditions, C.
albicans can cause severe diseases, septicaemia, and death. The mass of
infections made by this pathogen are connected with biofilm growth. This survey
highlights the pathogenicity mechanisms of C. albicans and how this may lead to
the induction of a protective immune response. The survey is based on the most
recent and important literature available from the Medline database.
PMID- 29806650
TI - Locator(r) attachment system for implant overdentures: a systematic review.
AB - OBJECTIVE: To evaluate the success rate, complications, maintenance and patient
satisfaction with implant-supported overdentures with the Locator(r) system, by
means of a systematic review. MATERIALS AND METHODS: PICO approach was used to
formulate the clinical question. Research was conducted in primary (PubMed(r) and
B-On(r)) and secondary (Cochrane(r)) information sources using different logical
combination strategies of text words and MESH terms. Articles were selected
according to research theme and scientific level evidence. RESULTS: 55 articles
were found. After reading the title and summary, and evaluating the article's
level of scientific evidence, only ten were included for analysis. Eight studies
were related to rehabilitations in the mandible and two were bi-maxillary. The
analysis of the studies showed that complications and type of maintenance are
primarily related to the loss of retention and the need to replace the nylon male
component of the system. Patient's satisfaction was highlighted in five articles
of this research. CONCLUSION: The overall satisfaction rates of patients seem to
indicate this system as a viable clinical option of prosthetic rehabilitation.
Overdentures with the Locator(r) system appear to hold a good retention, either
in the upper or lower jaw, but require frequent maintenance visits, due to
complications observed in these prosthodontic rehabilitations.
PMID- 29806651
TI - The relevance of dental surgeon on Gorlin-Goltz syndrome.
AB - Multiple odontogenic keratocysts could be linked to different conditions. Then,
to achieve the correct diagnosis whether their presence is associated to a
syndrome, some criteria must be followed. The present study aims to report a case
of a 21 years-old male patient whose was referred with several radiolucent lesion
on the maxilla-mandibular complex. The lesions were biopsied and the diagnosis of
the histological exam hypothesized as odontogenic keratocyst. After complete
evaluation, others abnormalities were also found such as calcification of falx
cerebri, palmar and plantar pits, and multiple basal cell on feet. The patient
was diagnosed with Gorlin-Goltz syndrome and was referred to other medical
specialties to adequate follow-up. Dental surgeon represents an important role on
correct diagnosis of the Gorlin-Goltz syndrome and could avoid further
complications.
PMID- 29806652
TI - Quality of life in patients with temporomandibular disorders. A systematic
review.
AB - OBJECTIVE: The purpose of this study was to systematically review the literature
concerning the quality of life of patients with temporomandibular joint disorder.
MATERIAL AND METHODS: Systematic review was performed with the information
contained in international databases: PubMed and Google Scholar. Keywords and
their combinations were used to find relevant articles and publications
concerning the subject. RESULTS: A total of 320 publications were initially
retrieved. After further examination 12 articles were selected due to their
relevance to inclusion criteria and were included in the systematic review. The
selected 12 articles published between year 2006 and 2016. CONCLUSION: In this
systematic review it was found that there is a direct correlation between
temporomandibular disorders and lower quality of life. Out of questionnaires used
for identification of patient satisfaction SF-36 and OHIP-14 were most popular in
these studies. Statistical analysis of studies mentioned lead us to believe that
psychological and physical ailments caused by TMD result in lower quality of life
in patients.
PMID- 29806653
TI - Implant-supported prosthesis treatment planning aspects of Kaunas city dentists
and dental specialists.
AB - OBJECTIVE: Rehabilitation of mastication using fixed or removable prosthesis on
dental implants is a daily procedure in modern dentistry.The huge variety of
diagnostic tools and methods, such as cone beam computed tomography or surgical
guides help to avoid complications. A vast quantity of diagnostic tools and
prosthesis require a tight communication between surgeons and prosthodontists in
order to achieve better treatment plan. METHODS: The questionnaire was approved
by LUHS Committee of Ethics. A questionnaire of 17 demographic and specialized
questions was composed. A randomized survey of dentists and dental specialists
was conducted in Kaunas. A statistical analysis was performed using chi2test and
Student's T-test criteria. RESULTS: Most of correspondents believe that treatment
plan should be created by the current dentist, regardless his/hers
specialization. All correspondents performing dental implantation, use elevation
of mucoperiosteal flap. The most common diagnostic tool among dentists and oral
surgeons is panoramic x-ray. The most common diagnostic tools between
prosthodontists are panoramic x-ray and analysis of dental stone castings. The
most common complications among dentists and prosthodontists are improper
adjustment of soft tissue and errors of dental technicians, among oral surgeons -
improper adjustment of soft tissues and implant position. CONCLUSIONS: 1. The
creation of treatment plan does not depend on specialization of dentist. 2. The
elevation of mucoperiosteal flap is more common than non-flap procedure. 3. The
most used diagnostic method is panoramic x-ray. 4. The most prevalent
complications are improper adjustment of soft tissues and errors of dental
technicians.
PMID- 29806654
TI - Uses of maxillary sinus lateral wall bony window in an open window sinus lift
procedure: literature review.
AB - AIM: To review all of the possible uses for maxillary sinus lateral wall bony
window in an open maxillary sinus lift procedure and to evaluate the influence of
each method to the rate of sinus membrane perforations. METHODS: A systematic
literature review was performed of randomized control studies in English
identified in MEDLINE (PubMed) and Cochrane online databases, published between
2007.09.01 and 2017.09.01. Surgeries had to be performed in vivo, for patients
over 18 years old. A study had to have at least 10 sinus lifting procedures, had
to detail how the bony window was used and had to report the number of
Schneiderian membrane perforations. RESULTS: 922 publications were found, out of
which 68 were selected for qualitative assessment. 29 of them were selected for
quantitative assessment. 4 distinct uses for bony window were found: bony window
is elevated into the sinus cavity under the membrane; removed and discarded;
repositioned to its original position after the surgery; used as a graft material
for sinus lift. CONCLUSIONS: there is a statistically significant difference of
sinus membrane perforations between different uses of the lateral bony window of
an open sinus lift procedure. However, due to the lack of publications that
investigate the effects of different bony window usage methods, clinical
recommendations cannot be drawn from current data.
PMID- 29806655
TI - Treatment options for odontogenic maxillary sinusitis: a review.
AB - OBJECTIVE: The aim of this article is to review the main treatment options for
odontogenic sinusitis that are used today. MATERIAL AND METHODS: Search on
PubMed, Cochrane Library, PMC, Science Direct data bases. For a literature review
search keywords were used: odontogenic sinusitis, odontogenic maxillary sinusitis
treatment OR diagnosis, maxillary sinusitis of dental source OR dental origin OR
etiology. RESULTS: 2886 articles were found in the databases using keywords.
After duplicate citations screened, inclusion/exclusion criteria applied,
excluded articles after titles, summaries and full-text reading 25 articles were
included in the literature review. CONCLUSION: Although clinical symptomatology
is not conspicuous among other types of sinusitis, the odontogenic maxillary
sinusitis treatment regimen is different. It consists of eliminating dental
infection and management of sinusitis. The usage of Caldwell-Luc approach is
limited these days and recommended only when a better access to sinus is needed,
for example, removing large foreign bodies. Endoscopic sinus surgery is widely
used these days to remove the inflamed sinus mucosa, foreign bodies, displaced
teeth, while preserving physiological function of the sinus. Sometimes dental
infection removal alone is sufficient to resolve the odontogenic maxillary
sinusitis, but sometimes concomitant endoscopic sinus surgery or Caldwell-Luc
approach is necessary for full resolution.
PMID- 29806656
TI - The oral health status and behaviour of methadone users in Lithuania.
AB - OBJECTIVE: To find out the oral health of former heroin users in Lithuania, who
are currently on methadone treatment. MATERIALS AND METHODS: Subjects of research
are patients, who were receiving methadone as a medication for heroin addiction.
The research was done in Centre for Addictive Diseases in Lithuania. Oral health
examinations were carried out using a probe and dental inspection mirror. The
decayed-missing-filled (DMF) index and Silness Loe plaque indices were used.
Patients received a questionnaire of smoking habits, dentist visits, daily dose
of methadone and symptoms of hyposalivation. All the data was entered to
Microsoft Office Excel 2016 and analyzed by SPSS 25.0 software. RESULTS: Study
included 49 patients - 7 women and 42 men. The mean age of these subjects was
40.3+/-8.1, ranging from 26 to 58 years old. Mean number of decayed, filled,
missing and residual roots were 3.1+/-2.9, 12.1+/-9.5, 0.3+/-1.3, 4.4+/-4.1,
respectively. Mean score of Silness Loe plaque index was 1.7+/-0.9. The
statistical difference (p<0.05) was found between patients DMF and their visits
to the dentist. Participants who go to the dentist at least once per year (mean
DMF 15.55+/-8.7) versus patients, who go less than one time per year/ urgent
situation or do not visit dentists at all (mean DMF 21.7+/-7.3). CONCLUSION:
Study shows the poor oral health of former illicit drugs users who are on
methadone-based addiction treatment.
PMID- 29806657
TI - Self-inflicted face gunshot injuries: two case reports.
AB - Self-inflicted gunshot injuries to the head and neck area are challenging cases.
Immediate and complex approach is required due to complexity of head and neck
anatomy. The extent of injury largely depends on velocity of a rifle and
penetrated tissues. Management of these type of patients consist of vital
resuscitation, primary wound management, soft and hard tissue reconstruction and
rehabilitation. Here we present two cases of self-inflicted gunshot injuries with
low and high velocity rifles.
PMID- 29806658
TI - Ultrasound-assisted, catheter-directed, low-dose thrombolysis for the treatment
of acute intermediate-high risk pulmonary embolism.
PMID- 29806659
TI - The Value of Combining Plasma D-Dimer and Endothelin-1 Levels to Predict No
Reflow After Percutaneous Coronary Intervention of ST-Segment Elevation in Acute
Myocardial Infarction Patients with a Type 2 Diabetes Mellitus History.
AB - BACKGROUND No-reflow phenomenon is a well-known problem, often accompanying
percutaneous coronary intervention (PCI) for ST-segment elevation acute
myocardial infarction (STEAMI). This study investigated the value of plasma D
dimer and Endothelin-1 (ET-1) levels on admission in predicting no-reflow after
primary PCI and long-term prognosis in STEAMI patients with type 2 diabetes
mellitus (T2DM). MATERIAL AND METHODS There were 822 patients with STEAMI and
T2DM undergoing successful primary PCI included in this study: 418 patients
showed normal re-flow after PCI, while 404 patients showed no-reflow phenomenon
after PCI. The predictive value of plasma ET-1 and D-dimer level, and other
clinical parameters for the no-reflow phenomenon were analyzed. RESULTS The high
plasma ET-1 and D-dimer levels showed predictive value for the no-reflow
phenomenon in STEAMI patients with T2DM. Patients with high D-dimer and ET-1
levels showed higher risk (4.212, with 95%CI of 2.973-5.967 and 2.447 with 95%CI
of 1.723-3.476, respectively) of no-reflow phenomenon compared with patients with
low plasma D-dimer and ET-1 levels. Sensitivity of high plasma ET-1 and D-dimer
levels in predicting no-reflow was 0.766. Both plasma D-dimer and ET-1 were
adverse prognosticators for STEAMI patients with a T2DM post PCI (P<0.001).
CONCLUSIONS In conclusion, plasma D-dimer and ET-1 levels on admission
independently predict no-reflow after PCI in STEAMI patients with T2DM. When
combined, the D-dimer and ET-1 levels as predictive and prognostic values are
clinically promising. The plasma D-dimer and ET-1 levels provided a novel marker
for treatment selection for the STEAIM patients with a T2DM history.
PMID- 29806660
TI - [Gastrointestinal bleeding and potentially inappropriate medication by NSAIDs].
AB - OBJECTIVE: Non-Steroidal Anti-Inflammatory Drugs (NSAIDs) are widely used but
they increase the risk of gastrointestinal haemorrage among other adverse
effects. The objective of this study was to compare potentially inappropriate
medications (PIM) by NSAIDs using the original Beers Criteria, a global reference
for evaluating elderly people's prescriptions, and the Spanish adaptation of the
same; and the relation between PIM of NSAIDs and gastrointestinal bleeding.
METHODS: The study was a retrospective observational study carried out located in
a primary care district in the province of de Murcia, south-eastern Spain. The
study population (n=7.856) were citizens aged 65 and above, with at least one
drug prescribed in a Spanish health district during the study period . We
analized illnesses and treatments registered in the primary care's electronic
medical history of patients and hospital admissions, during the 12 month study
period (2012). The original Beers Criteria and their Spanish adaptation were used
to evaluate PIM of NSAIDs in patients considering the medication globally and
also each active substance. Gastrointestinal bleeding events recorded in the data
bases studied were evaluated. RESULTS: Detection of PIM of NSAIDs was 5,6% with
the original version and 7,0% (Delta=25,5%; p less than 0,001) with the adapted
one. PIM of NSAIDs was related with an increased incidence of gastrointestinal
bleeding without significant differences between PIM exposed and NSAID exposed
patients AINE (RR=1,6; IC:0,2-14,5). CONCLUSIONS: The Spanish adaptation of the
Beers criteria identified a greater degree of PIM of NSAIDs than the original
version, and in both versions the detection of PIM was not related with a
significant increase of gastrointestinal bleeding compared to patients exposed to
NSAIDs.
PMID- 29806661
TI - [Prevalence and Risk Factors Associated with Smoking in Early Pregnancy].
AB - OBJECTIVE: Tobacco consumption during pregnancy may cause health consequences for
the pregnant woman and the future child. The aim of this research was to assess
the prevalence of tobacco consumption in the first trimester of pregnancy and the
variables related. METHODS: sample of 760 pregnant women less than 20 weeks,
recruited from 2012 to 2014 in 7 health centers belonging to the sanitary area of
Santiago de Compostela, were included in a crosssectional study. The assessment
included an ad hoc questionnaire, the Perceived Stress Scale, the State Trait
Anxiety Inventory and the Edinburg Posnatal Depresion Scale. In the bivariate
analysis, Pearson chi-square and Student t tests were used, and the multivariate
analysis was performed using binary logistic regression calculating the Odds
Ratio (OR) and their confidence intervals (95% CI). RESULTS: The prevalence of
tobacco use was 15.7%. A greater percentage of smokers compared to nonsmokers
don't have a college degree (70.6% vs. 40.7%), have smoker partner (65.5
vs.24.0), were less aware of how harmful tobacco it is (65.5% vs.24.0%), hadn't
thought to breastfeed, and had higher levels of anxiety, stress and depression.
The predictive variables of smoking were not having a college degree [OR 2.56;
1.61-4.00], having a partner who smokes [OR 5.26; 3.33-8.33], being not aware of
the effects of tobacco [OR 1.79; 1.11-2.86] and an increased perception of stress
[OR 1.07; 1.04-1.09]. CONCLUSIONS: Tobacco use during pregnancy continues to be
an important problem, which is influenced by sociodemographic variables and
related to health as well as by psychological variables.
PMID- 29806662
TI - Editorial: What type of clinical research do we need and the articles we want to
publish in EJOI.
PMID- 29806663
TI - Immediately loaded zygomatic implants vs conventional dental implants in
augmented atrophic maxillae: 1-year post-loading results from a multicentre
randomised controlled trial.
AB - PURPOSE: To compare the clinical outcome of immediately loaded cross-arch
maxillary prostheses supported by zygomatic implants vs conventional implants
placed in augmented bone. MATERIALS AND METHODS: In total, 71 edentulous patients
with severely atrophic maxillas without sufficient bone volumes for placing
dental implants, or when it was possible to place only two implants in the
anterior area (minimal diameter 3.5 mm and length of 8 mm) and less than 4 mm of
bone height subantrally, were randomised according to a parallel group design to
receive zygomatic implants (35 patients) to be loaded immediately vs grafting
with a xenograft, followed after 6 months of graft consolidation by placement of
six to eight conventional dental implants submerged for 4 months (36 patients).
For immediate loading, zygomatic implants had to be inserted with an insertion
torque superior to 40 Ncm. Screw-retained metal reinforced acrylic provisional
prostheses were provided, to be replaced by definitive Procera Implant Bridge
Titanium prostheses (Nobel Biocare, Goteborg, Sweden), with ceramic or acrylic
veneer materials 4 months after initial loading. Outcome measures were:
prosthesis, implant and augmentation failures, any complications, quality of life
(OHIP-14), patients' number of days with total or partial impaired activity, time
to function and number of dental visits, assessed by independent assessors.
Patients were followed up to 1 year after loading. RESULTS: No augmentation
procedure failed. Five patients dropped out from the augmentation group. Six
prostheses could not be delivered or failed in the augmentation group vs one
prosthesis in the zygomatic group, the difference being statistically significant
(difference in proportions = -16.5%; P = 0.045; 95% CI: -0.34 to -0.01). Eight
patients lost 35 implants in the augmentation group vs two patients who lost four
zygomatic implants, the difference being statistically significant (difference in
proportions = -20.1%; P = 0.037; 95% CI: -0.38 to -0.02). A total of 14 augmented
patients were affected by 22 complications, vs 28 zygomatic patients (40
complications), the difference being statistically significant (difference in
proportions = 34.8%; P = 0.005; 95% CI: 0.12 to 0.54). The 1-year OHIP-14 score
was 3.93 +/- 5.86 for augmented patients and 3.97 +/- 4.32 for zygomatic patients
with no statistically significant differences between groups (mean difference =
0.04; 95% CI: -2.56 to 2.65; P = 0.747). Both groups had significantly improved
OHIP-14 scores from before rehabilitation (P < 0.001 for both augmented and
zygomatic patients). On average, the number of days of total infirmity was 7.42
+/- 3.17 for the augmented group and 7.17 +/- 1.96 for the zygomatic group, the
difference not being statistically significant (mean difference = -0.25; 95% CI:
1.52 to 1.02; P = 0.692). The number of days of partial infirmity were on average
14.24 +/- 4.64 for the augmented group and 12.17 +/- 3.82 for the zygomatic
group, the difference being statistically significant (mean difference = -2.07;
95% CI: -4.12 to -0.02; P = 0.048). The mean number of days that needed to have a
functional prosthesis was 444.32 +/- 207.86 for augmented patients and 1.34 +/-
2.27 for zygomatic patients, the difference being statistically significant (mean
difference = -442.98; 95% CI: -513.10 to -372.86; P < 0.001). The average number
of dental visits was 19.72 +/- 12.22 for augmented patients and 15.12 +/- 5.76
for zygomatic patients, the difference not being statistically significant (mean
difference = -4.61; 95% CI: -9.31 to 0.92; P = 0.055). CONCLUSIONS: Preliminary 1
year post-loading data suggest that immediately loaded zygomatic implants were
associated with statistically significantly fewer prosthetic failures (one vs six
patients), implant failures (two vs eight patients) and time needed to functional
loading (1.3 days vs 444.3 days) when compared to augmentation procedures and
conventionally loaded dental implants. Even if more complications were reported
for zygomatic implants, they proved to be a better rehabilitation modality for
severely atrophic maxillae. Long-term data are absolutely needed to confirm or
dispute these preliminary results.
PMID- 29806664
TI - Immediate vs early loading of 6.6 mm flapless-placed single implants: 9 years
after-loading report of a split-mouth randomised controlled trial.
AB - PURPOSE: To evaluate the long-term effectiveness of 6.6 mm long flapless-placed
single implants loaded immediately or early loaded at 6 weeks. MATERIALS AND
METHODS: Thirty patients each received two single Nanotite External Hex Biomet 3i
implants, which were then randomly allocated to be either immediately or early
loaded, according to a split-mouth design. Implants had to be inserted with a
torque superior to 40 Ncm. Provisional crowns were put in slight occlusal contact
and replaced by definitive crowns 3 months after loading. Patients were followed
for 9 years after loading. Outcome measures were implant failures, biological and
biomechanical complications, peri-implant marginal bone level changes and patient
preference. RESULTS: A total of 29 implants were immediately loaded, while 31
were early loaded. Nine years after loading, three patients dropped out. One
implant failed in each group within 2 months after loading. One patient asked for
the immediately loaded crown to be remade for aesthetic reasons and then again to
have both metal-ceramic crowns replaced by full ceramic crowns. Another patient
had his immediately loaded crown remade after repeated debonding. Six patients
from each group experienced complications, the difference being not statistically
significant (difference of proportions = 0.00; 95% CI -0.20 to 0.20, P = 1.000).
Peri-implant bone loss was 0.60 mm for patients with immediately loaded implants
and 0.46 mm for early loaded ones, which was not significantly different (mean
difference = 0.14 mm, 95% CI -0.13 to 0.41, P = 0.283). All but one patient had
no preference for either procedure after 9 years. CONCLUSIONS: Flapless-placed
6.6 mm long single implants can be immediately loaded and remain successful up to
9 years after loading. Conflict-of-interest statement:
PMID- 29806666
TI - Natural or palatal positioning of immediate post-extractive implants in the
aesthetic zone? 1-year results of a multicentre randomised controlled trial.
AB - PURPOSE: To evaluate whether there is a difference in aesthetic outcomes
positioning immediate post-extractive implants in the natural position (where the
tooth should have been in relation to adjacent teeth/implants) or about 3 mm more
palatally. MATERIALS AND METHODS: Just after tooth extraction, 30 patients
requiring one single immediate maxillary post-extractive implant, from second to
second premolar, were randomly allocated to receive either an implant positioned
in the natural "central" position where the tooth should have been (central
group; 15 patients) or about 3 mm more palatally (palatal group; 15 patients)
according to a parallel group design at three different centres. When needed,
sites were reconstructed and bone-to-implant gaps were filled with granules of
anorganic bovine bone, covered by resorbable collagen barriers. Implants were
left submerged for 4 months and rehabilitated with provisional crowns, replaced
after 4 months by metal-ceramic definitive crowns. Patients were followed to 1
year after loading. Outcome measures were: crown and implant failures,
complications, aesthetics assessed using the pink esthetic score (PES), peri
implant marginal bone level changes and patient satisfaction, recorded by blinded
assessors. RESULTS: Two patients from the palatal group dropped-out up to 1 year
after loading. One implant failed in each group (6.7%), the difference being not
statistically significant (difference in proportion = -0.01; 95% CI -0.20 to
0.18; P (Fisher's exact test) = 1.000). One patient from the central group was
affected by one complication, vs two palatal group patients (two complications);
the difference being not statistically significant (difference in proportion =
0.09; 95% CI -0.32 to 0.15; P (Fisher's exact test) = 0.583). One year after
loading, the mean PES was 9.93 +/- 2.67 for the central and 8.75 +/- 4.37 for the
palatal group; the difference being not statistically significant (mean
difference = 1.18; 95% CI: -1.87 to 4.23; P (t test) = 0.427). One year after
loading, patients in the central group lost on average 0.23 +/- 0.17 mm of peri
implant marginal bone and those of the palatal group 0.24 +/- 0.25 mm, the
difference being not statistically significant (mean difference = -0.01; 95% CI:
0.23 to 0.21; P (t test) = 0.926). Patients in both groups were equally satisfied
at 1 year after loading for both function and aesthetics (P (Mann-Whitney U test)
= 0.494 and P (Mann-Whitney U test) = 0.076, respectively). CONCLUSIONS: These
preliminary results suggest that positioning of immediate post-extractive
implants 3 mm more palatally is not improving aesthetics, however, the sample
size of the present study was limited, thus larger trials are needed to confirm
of reject the present findings.
PMID- 29806665
TI - Posterior atrophic jaws rehabilitated with prostheses supported by 6 mm long * 4
mm wide implants or by longer implants in augmented bone. 3-year post-loading
results from a randomised controlled trial.
AB - PURPOSE: To evaluate whether 6 mm long * 4 mm wide dental implants could be an
alternative to implants of at least 10 mm long placed in bone augmented with bone
substitutes in posterior atrophic jaws. MATERIALS AND METHODS: A total of 20
patients with bilateral atrophic mandibles, and 20 patients with bilateral
atrophic maxillae, having 5 mm to 7 mm of bone height below the maxillary sinus
or 6 mm to 8 mm above the mandibular canal, had their side of the jaws randomly
allocated according to a split-mouth design. They were allocated to receive one
to three 6 mm long * 4 mm wide implants, or implants of at least 10 mm long in
augmented bone by two different surgeons at different centres. Mandibles were
vertically augmented with interpositional equine bone blocks and resorbable
barriers, and implants were placed 3 months later. Maxillary sinuses were
augmented with particulated porcine bone via a lateral window and implants were
placed simultaneously. After 4 months, all implants were submerged and loaded
with provisional prostheses. Four months later, definitive prostheses were
delivered. Outcome measures were prosthesis and implant failures, any
complication and radiographic peri-implant marginal bone level changes. RESULTS:
Five patients (three treated in mandibles and two in maxillae) dropped out before
the 3-year post-loading follow-up. Two short maxillary implants affected by peri
implantitis failed together with their prosthesis vs three mandibular prostheses
that could not be placed on implants at least 10 mm long due to graft failures;
one was associated with the loss of three implants because of infection. There
were no statistically significant differences in implant (difference in
proportions = 0.000; 95% CI: -0.140 to 0.140; P = 1.000) and prosthesis failures
(difference in proportions = 0.057; 95% CI: -0.094 to 0.216; P = 0.625). In
total, 18 complications occurred in 13 patients at augmented sites vs four
complications in three patients with 6 mm long implants. Significantly more
complications occurred at grafted sites in mandibles (difference in proportions =
0.353; 95% CI: 0.005 to 0.616; P = 0.031), but not in maxillae (difference in
proportions = 0.222; 95% CI: -0.071 to 0.486; P = 0.219). In mandibles, patients
with 6 mm long implants lost an average of 1.25 mm of peri-implant bone at 3
years vs 1.54 mm in patients with implants of at least 10 mm long. The difference
was statistically significant (mean difference = 0.29 mm; 95% CI: 0.08 to 0.51
mm; P = 0.010). In maxillas, patients with 6 mm-long implants lost an average of
1.28 mm of peri-implant bone at 3 years vs 1.50 mm in patients with implants of
at least 10 mm long. The difference was statistically significant (mean
difference = 0.22 mm; 95% CI: 0.08 to 0.35 mm; P = 0.003). CONCLUSIONS: Results
at 3 years after loading indicate that 6 mm long implants with a conventional
diameter of 4 mm achieved similar, if not better, results than longer implants
placed in augmented bone. Short implants might be a preferable choice to bone
augmentation, especially in posterior mandibles, since the treatment is faster,
cheaper and associated with less morbidity. However, data obtained 5 to 10 years
after loading are necessary before making reliable recommendations.
PMID- 29806667
TI - Computer-guided vs freehand placement of immediately loaded dental implants: 5
year postloading results of a randomised controlled trial.
AB - PURPOSE: To compare planning and patient rehabilitation using 3D implant planning
software and dedicated surgical templates with conventional freehand implant
placement for the rehabilitation of partially or fully edentulous patients using
flapless or mini-flap procedures and immediate loading. MATERIALS AND METHODS:
Patients requiring at least two implants to be restored with a single prosthesis,
having at least 7 mm of bone height and 4 mm in bone width were consecutively
enrolled. Patients were randomised according to a parallel group study design
into two groups: computerguided group or conventional freehand group. Implants
were loaded immediately with a provisional prosthesis, replaced by a definitive
prosthesis 4 months later. Outcome measures assessed by a blinded independent
assessor were: implant and prosthesis failures, any complications, marginal bone
levels, number of treatment sessions, duration of treatment, post-surgical pain
and swelling, consumption of pain killers, surgical and prosthetic time, time
required to solve complications, and patient satisfaction. Patients were followed
up to 5 years after loading. RESULTS: Ten patients (32 implants) were randomised
to the computer-guided group and 10 patients (30 implants) were randomised to the
freehand group. At the 5-year follow-up examination one patient of the computer
guided group and one of the freehand group dropped-out (both moved to another
country). No prostheses failed during the entire follow-up. Two implants failed
in the conventional group (6.6%) vs none in the computer-guided group (P =
0.158). Ten patients (five in each group) experienced 11 complications (six in
the computer-guided group and five in the freehand group), that were successfully
solved. Differences between groups for implant failures and complications were
not statistically significant. Five years after loading, the mean marginal bone
loss was 0.87 mm +/- 0.40 (95% CI: 0.54 to 1.06 mm) in the computer-guided group
and 1.29 mm +/- 0.31 (95% CI: 1.09 to 1.51 mm) in the freehand group. The
difference was statistically significant (difference 0.42 mm +/- 0.54; 95% CI:
0.05 to 0.75; P = 0.024). Patient self-reported post-surgical pain (P = 0.037)
and swelling (P = 0.007) were found to be statistically significant higher in
patients in the freehand group. Number of sessions from patient's recruitment to
delivery of the definitive prosthesis, number of days from the initial CBCT scan
to implant placement, consumption of painkillers, averaged surgical, prosthetic,
and complication times, were not statistically significant different between the
groups. At the 5-year followup, all the patients were fully satisfied with the
function and aesthetics of their definitive prostheses. CONCLUSIONS: Both
approaches achieved successful results over the 5-year follow-up period.
Statistically higher post-operative pain and swelling were experienced at sites
treated freehand with flap elevation. Less marginal bone loss (0.4 mm) was
observed in the computer-guided group, at 5 years follow-up.
PMID- 29806669
TI - Autogenous transplant of two impacted mandibular canines: a case report with 2
year follow-up.
AB - PURPOSE: To present a case report of autogenous transplant of two impacted
mandibular canines. MATERIALS AND METHODS: A 12-year-old girl, with vestibular
impaction of mandibular left and right canines had them extracted and
transplanted in the anatomically corrected sites, after an unsuccessful
orthodontic treatment including surgical exposure. RESULTS: After 2 years the
teeth are stable and their vitality is maintained. CONCLUSIONS: In this case the
transplantation of the mandibular canines was successful and maintained the
vitality of the transplanted teeth for at least 2 years. By preserving the
periodontal ligament is it possible to re-establish a functional tooth unit,
which allows a physiologic growth of the jaws.
PMID- 29806668
TI - 5-year outcomes after coverage of soft tissue dehiscence around single implants:
A prospective cohort study.
AB - PURPOSE: To report the 5-year clinical and aesthetic outcomes of a novel surgical
prosthetic approach for the treatment of buccal soft tissue dehiscence around
single dental implants. MATERIALS AND METHODS: Twenty patients with buccal soft
tissues dehiscence around single implants in the aesthetic area were treated by
removing the implant-supported crown, reducing the implant abutment, coronally
advanced flap in combination with connective tissue graft and final restoration.
After the first year, patients were recalled three times a year until the final
clinical re-evaluation performed 5 years after the final prosthetic crown.
Complications, bleeding on probing (BoP), peri-implant probing depth (PPD),
clinical attachment level (CAL), keratinized tissue height (KTH), soft tissue
coverage and thickness (STT), patient satisfaction (VAS) and aesthetic assessment
(PES/WES) were evaluated 5 years after the final restoration. RESULTS: Of the 20
patients enrolled in the study, 19 completed the study at 5 years. A total of
99.2% mean soft tissue dehiscence coverage, with 79% of complete dehiscence
coverage, was achieved at 5 years. A statistically significant increase in buccal
soft tissue thickness (0.3 mm 0.1-0.4 P < 0.001) and keratinized tissue height
(0.5 mm 0.0-1.0; P < 0.001) at 5 years with respect to 1 year was demonstrated.
The patient aesthetic evaluation showed high VAS scores with no statistical
difference between 1 year and 5 years (8.75 +/- 1.02 and 8.95 +/- 0.91
respectively). A statistical significant PES/WES score improvement was observed
between baseline and 5 years (9.48 +/- 2.68; P < 0.001), but not between 1 and 5
years. CONCLUSIONS: Successful aesthetic and soft tissue dehiscence coverage
outcomes were well maintained at 5 years. The strict regimen of post-surgical
control visits and the emphasis placed on the control of the toothbrushing
technique could be critical for the successful long-term maintenance of soft
tissue dehiscence coverage results.
PMID- 29806670
TI - Displacement of a dental implant in the mandible body: an unusual case report.
AB - PURPOSE: To present a case of a woman referred for the management of displacement
of one implant in her right posterior mandible that had occurred three days
previously. MATERIALS AND METHODS: After implant placement, the practitioner was
no longer able to detect the implant and inserted a second one at the same site
without taking a control radiograph. At the end of surgery, when a radiograph was
finally taken, the first implant appeared displaced into the mandible body. The
patient suffered total paresthesia on the right lower lip and chin. A flap was
raised and bone osteotomies were performed on the lateral side of the mandible to
create a rectangular cortical bone window that was removed. The implant was stuck
by the second implant placed coronally, and only after unscrewing this second
implant was it possible to remove the first one. RESULTS: After 18 months, the
patient recovered full sensitivity. CONCLUSIONS: In cases of implant
displacement, it is always recommended that appropriate radiographs are performed
to visualise where the implants could have been displaced in order to avoid more
serious consequences.
PMID- 29806671
TI - Research in focus.
AB - This section presents a brief review of articles on dental implants considered of
special interest for the reader, in order to encourage the developing of
research, the interest for data analysis and the attention to scientific
publications. Your comments are welcome. Please contact Dr Michele Nieri at
michelenieri@gmail.com.
PMID- 29806672
TI - [O6-methylguanine-DNA-methyltransferase (MGMT) expression in patients with
glioblastoma multiforme].
AB - BACKGROUND: Patients with Glioblastoma multiforme (GBM) have a five years
survival of less than 5%, but the response to chemotherapy with alkylating agents
can vary depending on the methylation status of O6-methylguanine-DNA
methyltransferase (MGMT). Genetic testing has limitations for routine use, while
immunohistochemistry (IHC) offers a fast and affordable technique but with
heterogeneous results in the literature. AIM: To evaluate MGMT expression by IHC
in tumor tissue of Chilean patients with GBM. MATERIAL AND METHODS: Tumor samples
of 29 patients with a pathological diagnosis of GBM were studied. We performed
IHC staining and manual analysis of positive and negative cells for MGMT
expression. A cut-off of at least 10% of cells expressing MGMT was used.
Demographic and clinical features of patients were obtained from clinical
records. RESULTS: The median number of cells counted per case was 692
(interquartile range [IQR] 492-928). Fifteen cases (52%) were positive for MGMT
expression. Median overall survival was 5.3 months (IQR 3.4-12-8). The effect of
MGMT expression on the therapeutic response was not studied since only 3 patients
received chemotherapy. CONCLUSIONS: Our results are similar to international
reports, but we were not able to determine the association between MGMT
expression and therapeutic response.
PMID- 29806674
TI - [Correlates of sedentary behaviors in Chile: evidence from the National Health
Survey 2009-2010].
AB - BACKGROUND: Sedentary behaviors are one of the major risk factors for
cardiovascular diseases. AIM: To identify factors associated with high sedentary
behaviors in the Chilean population. MATERIAL AND METHODS: We included 5,040
participants from the Chilean National Health Survey 2009-2010. Physical activity
level and sedentary behavior (sitting time) were measured using the Global
Physical Activity Questionnaire (GPAQ v2). Highly sedentary behaviors were
determined as > 4 hours per day of sitting time. Logistic regression was used to
identify correlates of highly sedentary behavior. RESULTS: Forty seven percent of
the study population reported spending more than 4 hours per day sitting. The
prevalence was higher in women. The main factors associated with high sedentary
behavior were: high income levels (Odds ratio (OR):1.91 [95% Confidence intervals
(CI:1.61-2.27]); being woman (OR:1.39 [95% CI:1.24-1.56]): having central obesity
(OR:1.15 [95% CI:1.02-1.30]), being physically inactive (OR:2.35 [95% CI:2.06
2.68]), and living in an urban area (OR:1.92 [95% CI:1.63-2.26]). Other factors
associates with high sedentary behavior were: being smoker, having a television
set, computer and private car at their homes. Participants reporting a poor self
reported health and wellbeing and those with diabetes or metabolic syndrome were
more likely to be highly sedentary. CONCLUSIONS: The main factors associated with
high levels of sedentary behavior are socio-demographic issues, lifestyles and
health status.
PMID- 29806673
TI - [Oxygen uptake kinetics to assess cardiorespiratory fitness in obese patients].
AB - BACKGROUND: The evaluation of cardiorespiratory fitness (RCC) using maximal or
peak oxygen consumption (VO2), requires a high level of effort in obese patients.
We propose a method to evaluate RCC using constant and moderate loads, called VO2
kinetics (tau). AIM: To determine the relationship between tau and peak VO2 in
patients with obesity. MATERIAL AND METHODS: Forty patients (87% females) aged 37
+/- 12 years and with a body mass index (BMI) of 34.6 +/- 4.0 kg/m2, were divided
into two groups according to the applied workload (0.5 and 0.8 Watts/kg body
mass) using a cycle ergometer and Cortex Metalyzer 3b equipment. The protocol was
started with 6 minutes at constant load and then increments of 20-25 Watts every
two min were made until determination of the peak VO2. RESULTS: The tau value was
51.8 +/- 17.6 s, the absolute peak VO2 was 2.0 +/- 0.7 L/min and the relative
peak VO2 was 26.6 +/- 30.0 ml/kg/min. There was a significant difference of tau
medians between the group that used 0.5 and 0.8 Watts/kg (p = 0.002) and a
significant inverse correlation between the absolute peak VO2 and the tau value
for a load of 0.5 Watts/kg (rho = -0.415, p = 0.0327). CONCLUSIONS: The higher
tau value, the lower the peak VO2 of an obese patient. It is suggested to apply
loads of 0.5 Watts/kg for a VO2 kinetics test in obese patients or in subjects
who do not wish to carry out higher physiological demands with a non-invasive and
low risk procedure.
PMID- 29806675
TI - [Local radiation treatment of Kaposi's sarcoma. Clinical varieties and treatment
outcomes].
AB - BACKGROUND: Kaposi's sarcoma (KS) is a rare tumor that affects mainly
immunodeficient and elderly patients. AIM: To describe the features and outcomes
of a cohort of patients with different types of KS treated locally with
radiotherapy (RT). PATIENTS AND METHODS: Retrospective review of patients with KS
referred to our radiation oncology center for local treatment of symptomatic
lesions that did not respond to high activity anti-retroviral therapy or
chemotherapy. RESULTS: Between January 1995 and December 2016, 20 patients with a
median age of 40 years (18 males) with KS were assessed and treated with RT due
to symptomatic lesions. The most common KS type was epidemic in 15 patients,
followed by the classic type in three and the iatrogenic variety in two. In
patients with non-epidemic varieties, SK was exclusively cutaneous, while
visceral involvement was present in 46% of patients with epidemic SK. Complete
response was observed in 95% of cases. Skin toxicity caused by RT was mild in all
cases. CONCLUSIONS: RT may be considered as a reasonable local treatment choice
in classic, iatrogenic and epidemic varieties of KS.
PMID- 29806676
TI - [Implementation of an early rheumatoid athritis unit for the early recognition
and treatment of the disease].
AB - BACKGROUND: Early recognition of rheumatoid arthritis (RA) provides clinical
benefits in terms of remission induction, reduced disease progression, and
eventually treatment free remission. AIM: To describe the setting of a Unit
devoted exclusively to the recognition and treatment of early RA in patients
referred from primary healthcare centers (PHC) in Chile. MATERIALS AND METHODS:
Patients were referred from nine participating PHC from 2014 through 2016. PHC
physicians received a formal training to enhance criteria recognition and program
adherence. Mandatory referral criteria were an age above 17 years, and arthralgia
of less than 1-year duration, plus at least one of the following: morning
stiffness of more than 30 minutes, swelling involving more than 3 joints for more
than 1 month, a positive squeeze test or abnormal inflammatory serum markers.
RESULTS: One hundred twenty patients aged 45 +/- 12 years (90% women) were
assessed at the early rheumatoid arthritis unit. Median time to referral from PHC
to the Unit was 14.6 days. The median duration of symptoms for the overall sample
of patients was 10.8 months. RA was identified in 43 patients (36%), with a delay
between onset of symptoms and diagnosis of 8.3 months. Regarding the performance
of referral criteria, the most sensitive was morning stiffness (80%, sensitivity
95% confidence intervals (CI) 64-89%) and synovitis was the most specific
(specificity 83%, 95% CI 72-90%). The positive predictive value of the three
clinical criteria altogether was 68.1% (95% CI 47-83%). CONCLUSIONS: Institution
of an early RA unit was feasible within the Chilean healthcare system enabling
the identification of early RA in one-third of patients.
PMID- 29806677
TI - [Written tests analysis under the principles of authentic assessment. A
comparative study of written tests of medical and other undergraduate programs].
AB - BACKGROUND: Learning assessment has great impact in students' achievement.
However, it is one of the least intervened and researched areas in higher
education institutions, all over the world. AIM: To compare the written tests
applied to students of three health science undergraduate programs (Speech
Therapy, Medical Technology and Nursing), with the written tests of three
programs of other areas (Business and Administration, Psychology and
Bioengineering). MATERIAL AND METHODS: Comparisons were done using the Authentic
Assessment Model's indicators. Also, the magnitude of the change in these
variables was evaluated in these two groups of undergraduate programs, after the
participation of the teachers in a training program based on this model. A
quantitative and repeated measurements design was used. Nineteen teachers
participated (nine from medical sciences and 10 from other areas), who drafted 88
written tests before the intervention (which involved 1,318 items) and 93 written
tests (that grouped 1,051 items) after it. Items were analyzed using a
Hierarchical Lineal Model (HLM), controlling the tests' and the teachers'
effects. RESULTS: Both groups of undergraduate programs use multiple choice items
with a higher frequency, although there were differences in the rest of the
items. Also, HLM analysis showed that these programs differed in their changes
after the intervention. Health science programs had less improvement in changing
the kind of items used, but improved more in Authentic Assessment indicators.
CONCLUSIONS: Written tests improved after an intervention aiming to improve the
teachers' skills to prepare such tests.
PMID- 29806678
TI - [Educational environment in a Peruvian medical school. A negative trend over the
academic years].
AB - BACKGROUND: Educational environment refers to the material resources and
interpersonal relationships of an educational institution. AIM: To describe the
educational environment of a Peruvian medical school and to explore a possible
association between curricular years and the educational environment. MATERIAL
AND METHODS: A cross-sectional study was conducted using the Dundee Ready
Education Environment Measure (DREEM) to evaluate the educational environment of
a Peruvian medical school. Data collection consisted in online surveys completed
voluntarily by 1st through 6th year medical students between April and October
2015. RESULTS: The questionnaire was completed by 828 of 2,421 (34.2%) students.
The mean DREEM score was 117 +/- 25.6 of a maximum of 200. A poorer perception of
the educational environment was associated with later years in the curriculum,
when analysis was adjusted for gender, age and academic status (p < 0.001).
CONCLUSIONS: The educational environment of this medical school scored positively
(> 100 points). However, it was evident that medical students in later curricular
years had a more negative perception of the educational environment compared to
those in earlier academic years.
PMID- 29806679
TI - [Diagnostic accuracy of serum protein electrophoresis and free light chain
measurements for monoclonal gammopathies].
AB - BACKGROUND: International guidelines suggest a screening panel for monoclonal
gammopathies that contains serum protein electrophoresis (SPE), free light chain
(FLC) measurements and immunofixation. This combination provides the possibility
of a timely accurate diagnosis. AIM: To evaluate the sensibility of a simple
screening panel (SPE + FLC). MATERIAL AND METHODS: We analyzed 191 consecutive
serum samples of patients with a suspected monoclonal gammopathy (MG). RESULTS:
Seventy five patients were diagnosed with MG. The sensitivity and specificity of
the combination of SPE + FLC for the diagnosis of monoclonal gammopathy were 95%
(95% confidence intervals 89-99) and 99% (95% confidence intervals 96-100),
respectively. CONCLUSIONS: We were able to validate the international
recommendations on the diagnostic accuracy of this simple combination of two
tests in serum for monoclonal gammopathy.
PMID- 29806680
TI - [Cardiotoxicity of anticancer therapies. Towards the implementation of cardio
oncology units].
AB - Recently, we have witnessed major improvements in cancer treatment. Early
diagnosis and development of new therapies have reduced cancer-related mortality.
However, these new therapies, along with greater patient survival, are associated
with an increase in untoward effects, particularly in the cardiovascular system.
Although cardiotoxicity induced by oncologic treatments affects predominantly the
myocardium, it can also involve other structures of the cardiovascular system,
becoming one of the main causes of morbidity and mortality in those who survive
cancer. The main objective of cardio-oncology is to achieve the maximum benefits
of oncologic treatments while minimizing their deleterious cardiovascular
effects. It harbors the stratification of patients at risk of cardiotoxicity, the
implementation of diagnostic tools (imaging techniques and biomarkers) for early
diagnosis, preventive strategies and early treatment options for the
complications. Herein, we discuss the basic knowledge for the implementation of
cardio-oncology units and their role in the management of cancer patients, the
diagnostic tools available to detect cardiotoxicity and the present therapeutic
options.
PMID- 29806681
TI - [Continuous renal replacement therapy in patients with extracorporeal membrane
oxygenation].
AB - The presence of renal dysfunction is not unusual in patients with pulmonary or
cardiac dysfunction who require rescue with extracorporeal membrane oxygenation
(ECMO). The complexity, implicit in the implementation of both techniques, can be
overwhelming. This review aims to explain the most important aspects of
continuous renal replacement therapy in a patient with extracorporeal support.
PMID- 29806682
TI - [A medical biography of Ludwig van Beethoven].
AB - Much emphasis has been given to the deafness of Ludwig van Beethoven and its
potential causes. However, when analyzing several symptoms reported by himself
throughout his life in many letters and his final illness, a common etiology
emerges. This article reports the medical history of this artist, based on
authoritative scientific sources.
PMID- 29806683
TI - [Recommendations for the management of patients with short-term mechanical
circulatory assistance].
AB - There has been a progressive increase in the use of mechanical circulatory
support in our country in the last years, mainly in the short-term: as a bridge
to cardiac transplant or recovery, which has allowed to rescue patients from a
population that concentrates high rates of complications and mortality. This
motivated that the Ministry of Health convened a series of experts in the area of
heart failure, transplantation and mechanical circulatory support,
representatives of different public and private health centers in Chile, with the
objective of developing recommendations on the use of short-term mechanical
assistance devices, which would serve as a reference for the proper management of
these patients. This clinical experts consensus document contains topics related
to: definitions and general concepts; indications; contraindications; specific
aspects of starting, follow-up and weaning of the devices; process of transfer of
patients in circulatory assistance from one center to another and finally
criteria of organization, means and competences to be fulfilled by the centers
that offer this therapeutic option.
PMID- 29806685
TI - [Nephropatic cystinosis: report of one case].
AB - Nephropatic cystinosis (NC) is a rare disease associated with pathogenic variants
in the CTNS gene, with a common variant that consists of a 57kb-deletion
involving CTNS. Patients with NC that are treated with cysteamine improve their
life quality and expectancy. We report a 12-month-old girl with a poor growth
rate since the 4th month of life. She was admitted to the Hospital with acute
kidney injury, severe dehydration and metabolic acidosis. She was treated with
volume restorative and bicarbonate. Proximal tubulopathy and Fanconi's syndrome
was diagnosed. Medical treatment improved renal function that was stabilized in
stage 4 chronic kidney disease (CKD). Since infantile NC was suspected, CTNS
genetic analysis was considered. Genomic DNA was isolated from peripheral blood
to perform PCR for exons 3-12 in CTNS gene and for the specific 57kb-deletion
PCR. Afterwards, variant segregation analysis was performed in the familiar trio.
The genetic analysis showed that the patient was homozygous for the common 57kb
deletion encompassing CTNS that had been inherited from her asymptomatic
heterozygous parents. The molecular confirmation allowed genetic counselling for
parents and facilitated the access to cysteamine. Oral treatment with cysteamine
resulted in improvement of renal function to CKD stage 3. After 16 months of
treatment the patient shows metabolic stability and mild recovery of height.
Ophthalmologic follow-up detected ocular cystine crystals 12 months after
diagnosis, starting cysteamine drops.
PMID- 29806684
TI - [Trichodysplasia spinulosa. Report of one case].
AB - Trichodysplasia spinulosa is a rare disease that occurs in the setting of
immunosuppression, associated with tolerogenic therapy used in allograft
recipients or patients with hematologic malignancies. Clinically, it is
characterized by a centrofacial cutaneous eruption of erythematous papules with a
central keratinous spicule, often associated with variable degrees of alopecia.
Histologic findings are characteristic, and electron microscopy reveals the
presence of trichodysplasia spinulosa associated polyomavirus. We report a 47
year-old woman with idiopathic autoimmune pancytopenia refractory to diverse
immunosuppressant regimens, with clinical and pathologic findings compatible with
the disease, in whom complementary studies were required to exclude other
differential diagnoses.
PMID- 29806686
TI - [Pseudohypoparathyroidism: report of two cases of late presentation].
AB - Pseudohypoparathyroidism (PHP) is a group of rare genetic disorders that share
organ targeted resistance to the action of parathyroid hormone (PTH) as a common
feature. Biochemically, they may present with hypocalcemia, hyperphosphatemia and
elevated PTH. Some forms present with a specific phenotype: short stature, round
facies, short neck, obesity, brachydactyly and subcutaneous calcifications,
called Albrigth's Hereditary Osteodystrophy (AHO). This spectrum of disorders are
caused by several alterations in the gene coding for the alpha subunit of the G
protein (GNAS): an ubiquitous signaling protein that mediates the action of
numerous hormones such as PTH, TSH, gonadotropins, and ACTH, among others.
According to their inheritance with maternal or paternal imprinting, they may
manifest in a diversity of clinical forms. Although most commonly diagnosed
during childhood, PHP may manifest clinically during adolescence or early
adulthood. We report two late presenting cases of pseudohypoparathyroidism. A 21
year-old female with biochemical abnormalities characteristic of
pseudohypoparathyroidism who was misdiagnosed as epilepsy and a 13-year-old boy
with the classic AHO phenotype but without alterations in phospho-calcium
metabolism, compatible with pseudopseudohypoparathyrodism.
PMID- 29806687
TI - [Traditional cigarette consumption among school-aged adolescents, a serious
Public Health problem and effective prevention measures].
PMID- 29806688
TI - [Adapted exercise: physical exercise as a therapeutic tool].
PMID- 29806689
TI - [Post-study access in Chile: the criterion of interaction between risk-benefit of
the individual and the study population].
PMID- 29806690
TI - Managing the long surviving HIV patient: a proposal for a multidimensional first
level diagnostic assessment.
AB - We propose a multidimensional first-level diagnostic assessment easy to use in
routine clinical practice to allow infectious disease specialists to have a
general and complete overview of persons living with HIV. Following the Delphi
method, articles published from January 1, 2011 on controlled trials, clinical
reports and observational studies dealing specifically with HIV and its co
morbidities were selected for review by the authors. Participants in the poll
were selected among clinicians and infectious diseases specialists, working in 38
different dedicated HIV centres in Italy. The participants were given access to a
website dedicated to the project and received a standardized information package
containing a synopsis of the study and a description of the Delphi process and
the selected literature. A total of 131 Items were divided into 10 first-level
survey areas: anamnesis, objective examination, infectious diseases, osteoporosis
diagnosis, metabolic pathologies diagnosis, cardiovascular diagnosis, nephrologic
diagnosis, hepatological diagnosis, central nervous system diagnosis, evaluation
of quality of life (QoL). This simple and concise first level tool identifies a
few areas of multi-organ diagnostic assessment beyond the infectivity area. The
identification of these areas will allow us to find shared and validated
evaluation procedures with the intent to increase the likelihood of early
recognition of patients at risk of comorbidity development, in order to
facilitate more effective prevention, thereby reducing the overall impact on the
quality of life of patients affected by this chronic illness.
PMID- 29806691
TI - Nocardia infection over 5 years (2011-2015) in an Italian tertiary care hospital.
AB - This study was conducted reviewing clinical records of 14 patients affected by
nocardiosis over 5 years in a tertiary care hospital. Nocardia abscessus was
responsible for one third of infections, deviating significantly from the results
reported by other epidemiological investigations and highlighting the key role of
molecular identification tests. Indeed, a precise identification of species is
crucial for the determination of antibiotic sensitivity patterns and,
consequently, for the choice of antibiotic treatment. Noteworthy, 40% of isolates
of N. abscessus (formerly N. asteroides complex) showed resistance to
carbapenems, which are usually recommended for empirical therapy.
PMID- 29806692
TI - Measuring cognitive assessment and intervention burden in patients with acquired
brain injured: Development of the "How Much is Too Much" questionnaire.
AB - OBJECTIVE: To design and preliminarily test a questionnaire intended to measure
patient treatment burden resulting from participation in cognitive assessments
and interventions. METHODS: An expert consensus process was used to develop the
concept of patient treatment burden and to determine the first set of
questionnaire items and administration protocol. The pilot questionnaire was
administered to 20 patients with mild to severe acquired brain injuries on
completion of a 2-h or longer neuropsychological assessment. Following
preliminary testing, the questionnaire was revised and re-evaluated by a second
expert panel and content validity was assessed. RESULTS: Burden was defined as
psychologically and/or physically aversive symptoms in response to cognitive
assessment or intervention. The first questionnaire contained 21 items assigned
to 3 categories: physical, cognitive, and emotional. Eighty-five percent of
patients endorsed symptom level increases, with "tired/fatigued" the most
frequently endorsed item (80% of patients). Instructions and test items were
easily understood, and the questionnaire was quick to administer. Content
validity ratio (CVR) of the revised questionnaire yielded 23 acceptable items and
a subset met the highest CVR threshold (>0.78). CONCLUSION: This patient-reported
outcome will ultimately help patients give voice to aversive experiences, and
help clinicians and researchers to monitor and adapt assessments/treatments
appropriately. Future steps in development are described.
PMID- 29806694
TI - Virtual reality and the new psychophysics.
AB - Virtual reality (VR) promises methodological rigour with the extra benefit of
allowing us to study the context-dependent behaviour of individuals in their
natural environment. Pan and Hamilton (2018, Br. J. Psychol.) provide a useful
overview of methodological recommendations for using VR. Here, we highlight some
other aspects of the use of VR. Our first argument is that VR can be useful by
virtue of its differences from the normal perceptual environment. That is, by
virtue of its relative non-realism and poverty of its perceptual elements, it can
actually offer increased clarity with respect to the features of interest for the
researcher. Our second argument is that VR exerts its measurable influence more
by eliciting an acceptance of the virtual world (i.e., 'suspension of disbelief')
rather than by eliciting a true belief of the realism of the VR environment. We
conclude by providing a novel suggestion for combining neuroimaging methods with
embodied VR that relies on the suspension of disbelief.
PMID- 29806695
TI - The early in-vivo effects of a single anti-emetic dose of dexamethasone on innate
immune cell gene expression and activation in healthy volunteers.
AB - Dexamethasone is often administered to surgical patients for anti-emetic
prophylaxis. This study examined the early (up to 24 h) in-vivo effects of
dexamethasone (8 mg) to demonstrate the magnitude and temporal nature of changes
on circulating peripheral blood mononuclear cell gene expression and activation
in 10 healthy male volunteers. Blood samples were drawn at baseline, 2 h, 4 h and
24 h. Gene expression was measured using quantitative real-time polymerase chain
reaction. Cytokine expression was measured using multiplex immuno-assays. Innate
immune cell phenotypes were examined with flow cytometry. Dexamethasone resulted
in rapid transient changes in immunophilin (p = 0.0247), plasminogen activator
inhibitor-1 (p = 0.0004), forkhead box P3 (p = 0.0068) and dual specific
phosphatase-1 (p = 0.0157) gene expression at 4 h compared with pre
dexamethasone. Plasma interleukin-10 levels increased within 2 h (p = 0.0071) and
returned to baseline at 24 h. Reductions in classical (p = 0.0009) and
intermediate monocytes (p = 0.0178) and dendritic cells (p = 0.0012) were
followed by increases in the level of these populations at 24 h compared with pre
dexamethasone (classical monocytes p = 0.0073, intermediate monocytes p = 0.0271,
dendritic cells p = 0.0142). There was a profound reduction in the mean
fluorescence intensity of the maturation marker, human histocompatibility
leucocyte antigen, at 24 h in all monocyte subsets (p = 0.0002 for classical and
non-classical monocytes, p = 0.0001 for intermediate monocytes) and dendritic
cells (p = 0.0001). This study confirms rapid transient effects of 8 mg
dexamethasone on innate immune cells with the potential to alter the inflammatory
response to surgery and provides support for the hypothesis that intra-operative
administration may be both immunosuppressive and immune-activating in the
immediate peri-operative period.
PMID- 29806696
TI - Nonclinical safety and pharmacokinetics of Miglyol 812: A medium chain
triglyceride in exenatide once weekly suspension.
AB - Exenatide, a glucagon-like peptide-1 receptor agonist was originally developed as
either a twice daily or once weekly injectable therapeutic for patients with type
2 diabetes. Exenatide QW suspension was developed for use with an autoinjector
device, in which the microspheres are suspended in Miglyol 812, a mixture of
medium chain triglycerides (MCTs). MCTs are a class of lipids whose fatty acid
chains contain from six to 12 carbon atoms (medium chain fatty acids or MCFAs).
While MCTs are edible oils present in many foods, including foodstuffs containing
coconut and palm kernel oils, limited information is available regarding the oral
and subcutaneous bioavailability of MCTs as well as safety following subcutaneous
injection. These studies were designed to investigate the non-clinical
pharmacokinetics and safety of MCTs. In a single dose pharmacokinetic study,
MCFAs were rapidly detected in the plasma of rats following oral administration
of either Miglyol 812 or tricaprylin at doses of 10 or 9.48 g kg-1 ,
respectively. Following subcutaneous dosing with Miglyol 812, MCFAs were rapidly
absorbed with a similar profile to that following oral dosing. Furthermore, the
toxicity of Miglyol 812 alone was evaluated in a 3 month repeat dose toxicology
studies in cynomolgus monkeys. In this study, weekly subcutaneous doses of 0.15 g
kg-1 did not elicit any treatment-related effects in cynomolgus monkeys. In
conclusion, these studies alongside the available literature data show that
Miglyol 812 is a safe excipient for use in subcutaneously administered
therapeutics.
PMID- 29806693
TI - Neuronal calcineurin transcriptional targets parallel changes observed in
Alzheimer disease brain.
AB - : Synaptic dysfunction and loss are core pathological features in Alzheimer
disease (AD). In the vicinity of amyloid-beta plaques in animal models, synaptic
toxicity occurs and is associated with chronic activation of the phosphatase
calcineurin (CN). Indeed, pharmacological inhibition of CN blocks amyloid-beta
synaptotoxicity. We therefore hypothesized that CN-mediated transcriptional
changes may contribute to AD neuropathology and tested this by examining the
impact of CN over-expression on neuronal gene expression in vivo. We found
dramatic transcriptional down-regulation, especially of synaptic mRNAs, in
neurons chronically exposed to CN activation. Importantly, the transcriptional
profile parallels the changes in human AD tissue. Bioinformatics analyses suggest
that both nuclear factor of activated T cells and numerous microRNAs may all be
impacted by CN, and parallel findings are observed in AD. These data and analyses
support the hypothesis that at least part of the synaptic failure characterizing
AD may result from aberrant CN activation leading to down-regulation of synaptic
genes, potentially via activation of specific transcription factors and
expression of repressive microRNAs. OPEN PRACTICES: Open Science: This manuscript
was awarded with the Open Materials Badge. For more information see:
https://cos.io/our-services/open-science-badges/ Read the Editorial Highlight for
this article on page 8.
PMID- 29806697
TI - The Arctic's sea ice cover: trends, variability, predictability, and comparisons
to the Antarctic.
AB - As assessed over the period of satellite observations, October 1978 to present,
there are downward linear trends in Arctic sea ice extent for all months, largest
at the end of the melt season in September. The ice cover is also thinning.
Downward trends in extent and thickness have been accompanied by pronounced
interannual and multiyear variability, forced by both the atmosphere and ocean.
As the ice thins, its response to atmospheric and oceanic forcing may be
changing. In support of a busier Arctic, there is a growing need to predict ice
conditions on a variety of time and space scales. A major challenge to providing
seasonal scale predictions is the 7-10 days limit of numerical weather
prediction. While a seasonally ice-free Arctic Ocean is likely well within this
century, there is much uncertainty in the timing. This reflects differences in
climate model structure, the unknown evolution of anthropogenic forcing, and
natural climate variability. In sharp contrast to the Arctic, Antarctic sea ice
extent, while highly variable, has increased slightly over the period of
satellite observations. The reasons for this different behavior remain to be
resolved, but responses to changing atmospheric circulation patterns appear to
play a strong role.
PMID- 29806699
TI - Implementing the Cognitive Orientation to daily Occupational Performance (CO-OP)
approach in a group format with children living with motor coordination
difficulties.
AB - BACKGROUND/AIM: Children with developmental coordination disorder demonstrate
limited participation in daily occupations which negatively impacts on their
physical and psycho-social wellbeing. Literature is emerging supporting the use
of the Cognitive Orientation to daily Occupational Performance (CO-OP) within a
group format. The purpose of this study was to explore the feasibility of the CO
OP approach in a group format for children with motor coordination difficulties.
METHODS: A single group mixed-method approach was employed. Four children with
motor coordination difficulties between seven-to-nine years of age and their
mothers, participated in a CO-OP group intervention once a week over 10 weeks.
The study examined performance (perceived and actual) and satisfaction of family
chosen goals, gross and fine motor functioning and parental experience of
participating in the intervention. RESULTS: Improvements in performance
(perceived) and satisfaction ratings of family-chosen goals bordered on achieving
statistical significance. Fine and gross motor functioning and performance
(actual) improved, however, the change in performance was variable between
participants and among the overarching goals. Semi-structured interviews were
thematically analysed. Themes included: formation of the group, moving from
disenabling to enabling, belonging and the importance of small successes.
CONCLUSIONS: CO-OP offers a feasible intervention approach when delivered in a
group format. Parental perceptions are valuable in shaping the delivery of the CO
OP in future studies. More research is needed to support these findings and
contribute to evidence-based practice.
PMID- 29806698
TI - The imbalance of circulating T helper subsets and regulatory T cells in patients
with LRBA deficiency: Correlation with disease severity.
AB - Patients with lipopolysaccharides responsive beige-like anchor protein (LRBA)
deficiency suffer from a variety of immunological abnormalities. In the current
study, we investigated the role of T helper (Th) cell subsets and regulatory T
(Treg) cells and their related cytokines and transcription factors in the immune
dysregulation of LRBA deficiency. The study population comprised of 13 LRBA
deficient patients and 13 age- and sex-matched healthy controls (HCs). Th subsets
and Treg were examined by flow cytometry. The expression of determinant cytokines
(interferon-gamma [IFN-gamma], interleukin [IL]-17, IL-22, and IL-10), and cell
subset-specific transcription factors were evaluated before and after
proliferation and activation stimuli. The frequencies of Th1, Th1-like Th17 and
Th22 cells along with the expression of T-box transcription factor (TBET) and
runt-related transcription factor 1 (RUNX1) were significantly increased in
patients with LRBA. Moreover, IFN-gamma and IL-22 production in LRBA-deficient
CD4+ T cells were elevated after lymphocyte stimulation, particularly in patients
with enteropathy. However, CD4+ CD25+ FoxP3+ CD127- cells were significantly
decreased in LRBA-deficient patients compared with those of HCs, particularly in
patients with autoimmunity. There was a negative correlation between the
frequencies of CD4+ CD25+ FoxP3+ CD127- cells and Th1-like Th17 cells in LRBA
deficient patients, and an overlapping phenotype of autoimmunity and enteropathy
were observed in ~70% of patients. The frequency of Th17 cells was lower in
patients with enteropathy, while Th1-like Th17 cells were higher than in those
without enteropathy. Our findings demonstrated an imbalance in Th subsets, mainly
in Th1-like Th17 and Treg cells and their corresponding cytokines in LRBA
deficiency, which might be important in the immunopathogenesis of autoimmunity
and enteropathy.
PMID- 29806701
TI - Neonatal leukaemia.
AB - Neonatal leukaemia is defined as occurring within the first 28 days of life and
most, if not all, cases are congenital. With the exception of Down syndrome
associated transient abnormal myelopoiesis, which is not considered here,
neonatal leukaemias are rare. In two-thirds of patients the disease manifests as
an acute myeloid leukaemia, frequently with monocytic/monoblastic
characteristics. Most other cases are acute lymphoblastic leukaemia, particularly
B lineage, but some are mixed phenotype or blastic plasmacytoid dendritic cell
neoplasms. The most frequently observed cytogenetic/molecular abnormality is
t(4;11)(q21.3;q23.3)/KMT2A-AFF1 followed by t(1;22)(p13.3;q13.1)/RBM15-MKL1 and
t(8;16)(p11.2;p13.3)/KAT6A-CREBBP. Common clinical features include prominent
hepatosplenomegaly and a high incidence of skin involvement, sometimes in the
absence of bone marrow disease. A distinctive feature is the occurrence of
spontaneous remission in some cases, particularly in association with t(8;16). In
this review, we summarise current knowledge of the clinical, cytogenetic and
molecular features of neonatal leukaemia and discuss clinical management of these
cases.
PMID- 29806700
TI - Targeting p75 neurotrophin receptors ameliorates spinal cord injury-induced
detrusor sphincter dyssynergia in mice.
AB - AIMS: To determine the role of p75 neurotrophin receptor (p75NTR ) and the
therapeutic effect of the selective small molecule p75NTR modulator, LM11A-31, in
spinal cord injury (SCI) induced lower urinary tract dysfunction (LTUD) using a
mouse model. METHODS: Adult female T8 -T9 transected mice were gavaged daily with
LM11A-31 (100 mg/kg) for up to 6 weeks, starting 1 day before, or 7 days
following injury. Mice were evaluated in vivo using urine spot analysis,
cystometrograms (CMGs), and external urethral sphincter (EUS) electromyograms
(EMGs); and in vitro using histology, immunohistochemistry, and Western blot.
RESULTS: Our studies confirm highest expression of p75NTRs in the detrusor layer
of the mouse bladder and lamina II region of the dorsal horn of the lumbar-sacral
(L6 -S1 ) spinal cord which significantly decreased following SCI. LM11A-31
prevented or ameliorated the detrusor sphincter dyssynergia (DSD) and detrusor
overactivity (DO) in SCI mice, significantly improving bladder compliance.
Furthermore, LM11A-31 treatment blocked the SCI-related urothelial damage and
bladder wall remodeling. CONCLUSION: Drugs targeting p75NTRs can moderate DSD and
DO in SCI mice, may identify pathophysiological mechanisms, and have therapeutic
potential in SCI patients.
PMID- 29806702
TI - Interaction of CacyBP/SIP with NPM1 and its influence on NPM1 localization and
function in oxidative stress.
AB - Calcyclin (S100A6) binding protein/Siah-1 interacting protein (CacyBP/SIP) is
mainly a cytoplasmic protein; however, some literature data suggested its
presence in the nucleus. In this work we examined more precisely the nuclear
localization and function of CacyBP/SIP. By applying mass spectrometry, we have
identified several nuclear proteins, among them is nucleophosmin (NPM1), that may
interact with CacyBP/SIP. Subsequent assays revealed that CacyBP/SIP forms
complexes with NPM1 in the cell and that the interaction between these two
proteins is direct. Interestingly, although CacyBP/SIP exhibits phosphatase
activity, we have found that its overexpression favors phosphorylation of NPM1 on
S125. In turn, the RNA immunoprecipitation assay indicated that the altered
CacyBP/SIP level has an impact on the amount of 28S and 18S rRNA bound to NPM1.
The overexpression of CacyBP/SIP resulted in a significant increase in the
binding of 28S and 18S rRNA to NPM1, whereas silencing of CacyBP/SIP expression
decreased 28S rRNA binding and had no effect on the binding of 18S rRNA. Further
studies have shown that under oxidative stress, CacyBP/SIP overexpression alters
NPM1 distribution in cell nuclei. In addition, staining for a nucleolar marker,
fibrillarin, revealed that CacyBP/SIP is indispensable for maintaining the
nucleolar structure. These results are in agreement with data obtained by western
blot analysis, which show that upon oxidative stress the NPM1 level decreases but
that CacyBP/SIP overexpression counteracts the effect of stress. Altogether, our
results show for the first time that CacyBP/SIP binds to and affects the
properties of a nuclear protein, NPM1, and that it is indispensable for
preserving the structure of nucleoli under oxidative stress.
PMID- 29806703
TI - Key genes and functional coexpression modules involved in the pathogenesis of
systemic lupus erythematosus.
AB - We performed a systematic review of genome-wide gene expression datasets to
identify key genes and functional modules involved in the pathogenesis of
systemic lupus erythematosus (SLE) at a systems level. Genome-wide gene
expression datasets involving SLE patients were searched in Gene Expression
Omnibus and ArrayExpress databases. Robust rank aggregation (RRA) analysis was
used to integrate those public datasets and identify key genes associated with
SLE. The weighted gene coexpression network analysis (WGCNA) was adapted to
identify functional modules involved in SLE pathogenesis, and the gene ontology
enrichment analysis was utilized to explore their functions. The aberrant
expressions of several randomly selected key genes were further validated in SLE
patients through quantitative real-time polymerase chain reaction. Fifteen genome
wide gene expression datasets were finally included, which involved a total of
1,778 SLE patients and 408 healthy controls. A large number of significantly
upregulated or downregulated genes were identified through RRA analysis, and some
of those genes were novel SLE gene signatures and their molecular roles in
etiology of SLE remained vague. WGCNA further successfully identified six main
functional modules involved in the pathogenesis of SLE. The most important
functional module involved in SLE included 182 genes and mainly enriched in
biological processes, including defense response to virus, interferon signaling
pathway, and cytokine-mediated signaling pathway. This study identifies a number
of key genes and functional coexpression modules involved in SLE, which provides
deepening insights into the molecular mechanism of SLE at a systems level and
also provides some promising therapeutic targets.
PMID- 29806704
TI - Far-Infrared Optimization of the Fragrance-Improving Process with Temperature and
Humidity Control for Green Tea.
AB - : In this study, a Box-Behnken design was used to explore the effect of a new
technology on green tea fragrance improvement and to optimize fragrance-improving
with a bilayer far-infrared fragrance-improving machine with temperature and
humidity control. Based on the results of previous single-factor experiments, the
main biochemical composition and sensory evaluation scores of the fragrance
improved samples were used as investigation indices. The new fragrance-improving
technology was compared with the traditional far-infrared fragrance-improving
process, roller pot fragrance improvement, and hot air rotary fragrance
improvement. The results show that the optimal parameter combination of the new
technology consists of a temperature of 128.00 degrees C, relative humidity of
70.00 g/h, and transmission speed of 435.00 r/min. With these process parameters,
the amino acids, tea polyphenols, flavonoids, soluble sugar, catechins, and
caffeine in the fragrance-improved samples reached 3.86%, 32.29%, 5.59%, 4.45%,
8.97%, and 2.75%, respectively. The quality material weight value was 11.72%. The
shape, color, taste, and aroma of the fragrance-improved samples made using these
parameters were found to be best, with a sensory quality score of 87.40, which is
significantly higher than that of other fragrance-improving methods. The energy
consumption was 0.19 RMB/kg, which was reduced by more than 50% compared with the
other methods, and the production efficiency was more than 30% higher than the
traditional methods. This new far-infrared fragrance-improving technology
overcomes the yellowish and grayish color of fragrance-improved tea samples that
is caused by the traditional fragrance-improving approach, and will provide
technical guidance for actual green tea production. PRACTICAL APPLICATION: Our
proposed approach innovatively integrates humidity and rotational speed as
factors for fragrance improvement in Chinese tea process. The findings of this
work provide new technical for fragrance improvement processes.
PMID- 29806705
TI - Deflections from adolescent trajectories of antisocial behavior: contextual and
neural moderators of antisocial behavior stability into emerging adulthood.
AB - BACKGROUND: Early adulthood is a critical period when young men involved in
antisocial behavior (AB) may desist. Factors including marriage and employment
have been shown to predict desistance, but little work has examined whether
biological factors (e.g. neural reactivity) predict deflections from lifelong AB
trajectories. METHODS: We examined the continuity of, or desistance from, AB in
early adulthood using group-based trajectories of AB across adolescence in a
sample of 242 men from low-income, urban families. We examined contextual factors
(romantic relationship quality, employment, neighborhood danger) and neural
factors (amygdala reactivity to fearful faces, ventral striatum reactivity to
reward) as moderators of the continuity of AB from adolescence (age 10-17) into
early adulthood (age 22-23), and whether these pathways differed by race.
RESULTS: High relationship satisfaction and employment at age 20 predicted
decreased AB at age 22-23, but only among men with adolescent-onset/moderate AB
trajectories. Ventral striatum reactivity predicted continued AB, but only among
African-American men with early-starting AB. Amygdala reactivity to fearful faces
was related to later AB for those in the early-starting group, but in divergent
directions depending on race: amygdala reactivity to fearful faces was positively
related to AB in European-Americans and negatively related to AB among African
Americans. CONCLUSIONS: Contextual factors only predicted deflections of AB in
those engaged in late-starting, moderate levels of AB, whereas neural factors
predicted continued AB only in those with early-starting, severe AB, and in
divergent ways based on participant race. Though there is limited power to infer
causality from this observational design, research on desistance broadly can
contribute to informing personalized interventions for those engaged in serious
adolescence AB.
PMID- 29806706
TI - Testing and refining the Science in Risk Assessment and Policy (SciRAP) web-based
platform for evaluating the reliability and relevance of in vivo toxicity
studies.
AB - The Science in Risk Assessment and Policy (SciRAP) web-based platform was
developed to promote and facilitate structure and transparency in the evaluation
of ecotoxicity and toxicity studies for hazard and risk assessment of chemicals.
The platform includes sets of criteria and a colour-coding tool for evaluating
the reliability and relevance of individual studies. The SciRAP method for
evaluating in vivo toxicity studies was first published in 2014 and the aim of
the work presented here was to evaluate and develop that method further.
Toxicologists and risk assessors from different sectors and geographical areas
were invited to test the SciRAP criteria and tool on a specific set of in vivo
toxicity studies and to provide feedback concerning the scientific soundness and
user-friendliness of the SciRAP approach. The results of this expert assessment
were used to refine and improve both the evaluation criteria and the colour
coding tool. It is expected that the SciRAP web-based platform will continue to
be developed and enhanced to keep up to date with the needs of end-users.
PMID- 29806707
TI - Thin idealization and causal attributions mediate the association between culture
and obesity stereotypes: An examination of Chinese and American adolescents.
AB - Few studies have examined age or cultural differences in the stereotypes
adolescents have of persons with obesity. The present research explored the
hypotheses that American adolescents have more negative obesity stereotypes than
Chinese adolescents and that the effects of culture are mediated by weight
attributions and thin idealization. Participants (N = 335; 181 female; M age =
14.83 years, SD = 1.57 years) completed measures of thin idealization and causal
attributions and made generalizations from and attributions of stereotypical
personality characteristics to obese figures. Not only did stereotypes differ
between countries, but generalizations of negative characteristics from obese
figures increased with age. In addition, American adolescents more firmly
endorsed the 'thin ideal' and were more likely to attribute obesity to internal
causes that Chinese adolescents. As anticipated, between-country differences in
stereotyping were mediated by thin idealization and causal attributions. Findings
are discussed in terms of the 'doctrine of the mean', social identity theory, and
dual-process theories. Statement of Contribution The development of obesity
stereotypes has been the subject of a number of recent studies. Although scarce,
research on adolescents' obesity stereotypes indicates that the strength of these
stereotypes increases with age and that these increases are mediated by thin
idealization and causal attributions. The current research adds to this growing
literature that differences between Chinese adolescents' and American
adolescents' obesity stereotypes - in terms of the assignment of stereotypical
traits to people with obesity and the generalization of negative traits from an
individual person with obesity to people with obesity as a group - are mediated
by thin idealization and attributions about obesity's causes. The research also
indicates that (1) age differences in obesity stereotyping vary as a function of
the method used to measure stereotypes, (2) Chinese adolescents are less likely
than American adolescents to attribute obesity to characterological flaws, and
(3) American adolescents idealize thinness more than Chinese adolescents.
PMID- 29806708
TI - The role of Pdcd4 in tumour suppression and protein translation.
AB - Programmed cell death 4 (Pdcd4), a tumour suppressor, is frequently down
regulated in various types of cancer. Pdcd4 has been demonstrated to efficiently
suppress tumour promotion, progression and proliferation. The biochemical
function of Pdcd4 is a protein translation inhibitor. Although the fact that
Pdcd4 inhibits protein translation has been known for more than a decade, the
mechanism by which Pdcd4 controls tumorigenesis through translational regulation
of its target genes is still not fully understood. Recent studies show that Pdcd4
inhibits translation of stress-activated-protein kinase interacting protein 1 to
suppress tumour invasion, depicting a picture of how Pdcd4 inhibits tumorigenesis
through translational inhibition. Thus, understanding the mechanism of how Pdcd4
attenuates tumorigenesis by translational control should provide a new strategy
for combating cancer.
PMID- 29806710
TI - Effects of practice change on outcomes of extremely preterm infants with patent
ductus arteriosus.
AB - AIM: To determine whether a decrease in patent ductus arteriosus (PDA) treatment
or ligation in extremely preterm (EP) infants was associated with changes in
rates of mortality and/or morbidities. METHODS: Observational study on EP infants
admitted from 2008 to 2015. The small baby guidelines do not mandate ligation,
however, in late 2010 the guidelines were amended based on new literature
suggested that ligation may increase rates of morbidities. RESULTS: There were
717 EP infants admitted during the study period. There were no significant
changes in gestational age, birthweight or annual admissions during the study
period. The annual rate of PDA medical treatment declined significantly (R =
0.83, p = 0.01), while the annual rate of PDA ligation declined substantially (R
= 0.88, p = 0.004). The annual mortality rate also declined significantly (R =
0.81, p = 0.014). The annual rates of bronchopulmonary dysplasia (BPD),
necrotising enterocolitis and intraventricular haemorrhage did not change
significantly. CONCLUSION: In this cohort of EP patients, the rate of PDA
ligation decreased substantially since 2010, with no apparent adverse effects on
mortality or rates of BPD. These data are consistent with the concept that
ligation does not improve outcomes in EP infants.
PMID- 29806711
TI - The role of Ano1 in mediating cholinergic neurotransmission in the murine gastric
fundus.
PMID- 29806709
TI - Relaxin-2 therapy reverses radiation-induced fibrosis and restores bladder
function in mice.
AB - AIM: To determine the efficacy of human relaxin-2 (hRLX2) in reversing radiation
induced bladder fibrosis and lower urinary tract dysfunction (LUTD). Radiation
cystitis is a consequence of radiotherapy for pelvic malignancies. Acutely,
irradiation leads to reactive oxygen/nitrogen species in urothelial cells,
apoptosis, barrier disruption, and inflammation. Chronically, this results in
collagen deposition, bladder fibrosis, and attenuated storage and voiding
functions. In severe cases, cystectomies are performed as current therapies do
not reverse fibrosis. METHODS: We developed a mouse model for selective bladder
irradiation (10 Gray; 1 Gy = 100 rads) resulting in chronic fibrosis within 6
weeks, with decreased bladder compliance, contractility, and overflow
incontinence. Seven weeks post-irradiation, female C57Bl/6 mice were continuously
infused with hRLX2 (400 MUg/kg/day/14 days) or vehicle (saline) via subcutaneous
osmotic pumps. Mice were evaluated in vivo using urine spot analysis,
cystometrograms and external urethral sphincter electromyograms; and in vitro
using length-tension measurements, Western blots, histology, and
immunohistochemistry. RESULTS: hRLX2 reversed fibrosis, decreased collagen
content, improved bladder wall architecture, and increased bladder compliance,
detrusor smooth muscle Cav1.2 expression and detrusor contractility in mice with
chronic radiation cystitis. hRLX2 treatment outcomes were likely caused by the
activation of RXFP1/2 receptors which are expressed on the detrusor. CONCLUSION:
hRLX2 may be a new therapeutic option for rescuing bladders with chronic
radiation cystitis.
PMID- 29806712
TI - Symbolic play promotes non-verbal communicative exchange in infant-caregiver
dyads.
AB - Symbolic play has long been considered a fertile context for communicative
development (Bruner, 1983, Child's talk: Learning to use language, Oxford
University Press, Oxford; Vygotsky, 1962, Thought and language, MIT Press,
Cambridge, MA; Vygotsky, 1978, Mind in society: The development of higher
psychological processes. Harvard University Press, Cambridge, MA). In the current
study, we examined caregiver-infant interaction during symbolic play and compared
it to interaction in a comparable but non-symbolic context (i.e., 'functional'
play). Fifty-four (N = 54) caregivers and their 18-month-old infants were
observed engaging in 20 min of play (symbolic, functional). Play interactions
were coded and compared across play conditions for joint attention (JA) and
gesture use. Compared with functional play, symbolic play was characterized by
greater frequency and duration of JA and greater gesture use, particularly the
use of iconic gestures with an object in hand. The results suggest that symbolic
play provides a rich context for the exchange and negotiation of meaning, and
thus may contribute to the development of important skills underlying
communicative development. Statement of contribution Current knowledge Symbolic
play has long been linked to communicative development. We do not know the extent
to which the social context of symbolic play fosters communicative skills.
Present study We test whether key communicative acts (joint attention, gesture)
are more common in infant-caregiver dyads during symbolic versus functional play.
Joint attention was established more often and sustained for longer periods in
symbolic play than in functional play. Infants and caregivers produced more in
hand representational gestures in symbolic compared to functional play.
PMID- 29806713
TI - Identification of a novel long noncoding RNA that promotes osteoblast
differentiation.
AB - Long noncoding RNAs (lncRNAs) are a heterogeneous class of transcripts, longer
than 200 nucleotides, 5'-capped, polyadenylated, and poorly conserved among
mammalian species. Several studies have shown the contribution of lncRNAs to
different cellular processes, including regulation of the chromatin structure,
control of messenger RNA translation, regulation of gene transcription,
regulation of embryonic pluripotency, and differentiation. Although limited
numbers of functional lncRNAs have been identified so far, the immense regulatory
potential of these RNAs is already evident, indicating that a functional
characterization of lncRNAs is needed. In this study, mouse preosteoblastic cells
were induced to differentiate into osteoblasts. At 3 sequential differentiation
stages, total RNA was isolated and libraries were constructed for Illumina
sequencing. The resulting sequences were aligned and transcript abundances were
determined. New lncRNA candidates that displayed differential expression patterns
during osteoblast differentiation were identified by combining bioinformatics and
reverse transcription polymerase chain reaction analyses. Among these, lncRNA-1
that exhibited increased expression during osteogenesis and was downregulated
during myogenesis. Importantly, knockdown of lncRNA-1 expression in primary mouse
preosteoblasts was found to inhibit osteogenic differentiation, reflected by a
reduced transcription of the Runx2/p57 and Sp7 bone master genes. Together, our
results indicate that lncRNA-1 represents a new regulatory RNA that plays a
relevant role during the early stages of osteogenesis.
PMID- 29806715
TI - Selective Photooxidation of Sulfides Catalyzed by Bis-cyclometalated IrIII
Photosensitizers Bearing 2,2'-Dipyridylamine-Based Ligands.
AB - A new family of heteroleptic bis-cyclometalated IrIII complexes with formula
[Ir(CN^ )2 (NN^ )]Cl (CN^ =2-phenylpyridinate and NN^ =2,2'-dipyridylamine or N
benzylated 2,2'-dipyridylamines, were synthesized, characterized, and
successfully used as photosensitizers in the catalytic photooxidation of an array
of dialkyl, dibenzyl, alkyl aryl, and diaryl sulfides, as well as sulfur
containing amino acids. Furthermore, the reactions proceeded with optimal
chemoselectivity, and atom economy under mild conditions. Experimental
observations support a dual mechanism in which singlet oxygen and superoxide are
the actual oxidants.
PMID- 29806716
TI - Comparison of four LBBB definitions for predicting mortality in patients
receiving cardiac resynchronization therapy.
AB - BACKGROUND: Left bundle branch block (LBBB) is considered an important prognostic
parameter in cardiac resynchronization therapy (CRT). We aimed to evaluate, in a
sizeable cohort of patients with CRT, long-term mortality, and morbidity
according to four different electrocardiographic definitions of LBBB. METHODS:
This longitudinal cohort study included consecutive patients who underwent CRT
device implantation in our institution in years 2006-2014. Two endpoints were
assessed: (a) death from any cause or urgent heart transplantation, and (b) death
from any cause or heart failure admission. All preimplantation ECGs were analyzed
by three physicians blinded to outcome and categorized as LBBB or non-LBBB
according to four definitions. RESULTS: A total of 552 CRT patients entered
survival analysis. According to the conventional definition, 350 (63.4%) patients
had LBBB, and the Marriott, WHO/AHA, and Strauss definitions identified LBBB in
254 (46.0%), 218 (39.5%) and 226 (40.9%) patients, respectively. During the 9
years of observation, 232 patients died, the combined endpoint was met by 292
patients. The Strauss LBBB definition was significantly better to the other
definitions in predicting survival (Kaplan-Meier analysis with comparison of C
statistics). Multivariate Cox regression model showed that LBBB was the major
determinant of all-cause mortality with the Strauss definition having the lowest
hazard ratio (0.51) of the four studied definitions. CONCLUSIONS: Criteria
included in various definitions of LBBB result in a diagnosis of LBBB in
divergent groups of patients. Differences in LBBB definitions have clinical
consequences, as patients without 'complete/true' LBBB probably get no mortality
benefit from CRT.
PMID- 29806714
TI - Effects of elevated H+ and Pi on the contractile mechanics of skeletal muscle
fibres from young and old men: implications for muscle fatigue in humans.
AB - KEY POINTS: The mechanisms responsible for the loss in muscle power and increased
fatigability with ageing are unresolved. We show that the contractile mechanics
of fibres from the vastus lateralis of old men were well-preserved compared to
those of young men, but the selective loss of fast myosin heavy chain II muscle
was strongly associated with age-related decrements in whole-muscle strength and
power. We reveal that the combination of acidosis (H+ ) and inorganic phosphate
(Pi ) is an important mediator of muscle fatigue in humans by inhibiting the low-
to high-force state of the cross-bridge cycle and peak power, but the depressive
effects of these ions on cross-bridge function were similar in fibres from young
and old men. These findings suggest that the age-related loss in muscle power is
primarily determined by the atrophy of fast fibres, but the age-related increased
fatigability cannot be explained by an increased sensitivity of the cross-bridge
to H+ and Pi . ABSTRACT: The present study aimed to identify the mechanisms
responsible for the loss in muscle power and increased fatigability with ageing
by integrating measures of whole-muscle function with single fibre contractile
mechanics. After adjusting for the 22% smaller muscle mass in old (73-89 years, n
= 6) compared to young men (20-29 years, n = 6), isometric torque and power
output of the knee extensors were, respectively, 38% and 53% lower with age.
Fatigability was ~2.7-fold greater with age and strongly associated with
reductions in the electrically-evoked contractile properties. To test whether
cross-bridge mechanisms could explain age-related decrements in knee extensor
function, we exposed myofibres (n = 254) from the vastus lateralis to conditions
mimicking quiescent muscle and fatiguing levels of acidosis (H+ ) (pH 6.2) and
inorganic phosphate (Pi ) (30 mm). The fatigue-mimicking condition caused marked
reductions in force, shortening velocity and power and inhibited the low- to high
force state of the cross-bridge cycle, confirming findings from non-human studies
that these ions act synergistically to impair cross-bridge function. Other than
severe age-related atrophy of fast fibres (-55%), contractile function and the
depressive effects of the fatigue-mimicking condition did not differ in fibres
from young and old men. The selective loss of fast myosin heavy chain II muscle
was strongly associated with the age-related decrease in isometric torque (r =
0.785) and power (r = 0.861). These data suggest that the age-related loss in
muscle strength and power are primarily determined by the atrophy of fast fibres,
but the age-related increased fatigability cannot be explained by an increased
sensitivity of the cross-bridge to H+ and Pi .
PMID- 29806718
TI - Synthesis of Mesoporous ZIF-8 Nanoribbons and their Conversion into Carbon
Nanoribbons for High-Performance Supercapacitors.
AB - ZIF-8 nanoribbons, with tunable morphology and pore structure, were synthesized
by using the tri-block co-polymer Pluronic F127 as a soft template. The as
synthesized ZIF-8 nanoribbons were converted into carbon nanoribbons by thermal
transformation with largely preserved morphology and porosity. The resulting
carbon nanoribbons feature both micro- and meso-pores with high surface areas of
over 1000 m2 g-1 . In addition, nitrogen-doping in the carbon nanoribbons was
achieved, as confirmed by XPS and EELS measurements. The hybrid carbon
nanoribbons provide pseudo-capacitance that promotes electrochemical performance,
rendering a high specific capacitance of up to 297 F g-1 at a current density of
0.5 A g-1 in a three-electrode system. A long cycle life was also demonstrated by
recording a 90.26 % preservation of capacitance after 10 000 cycles of charge
discharge at a current density of 4.0 A g-1 . Furthermore, a symmetrical
supercapacitor is fabricated by employing the carbon nanoribbons, which shows
good electrochemical performance with respect to energy, power and cycle life.
PMID- 29806717
TI - Towards the complex dependence of MTRasym on T1w in amide proton transfer (APT)
imaging.
AB - Amide proton transfer (APT) imaging is a variation of chemical exchange
saturation transfer MRI that has shown promise in diagnosing tumors, ischemic
stroke, multiple sclerosis, traumatic brain injury, etc. Specific quantification
of the APT effect is crucial for the interpretation of APT contrast in
pathologies. Conventionally, magnetization transfer ratio with asymmetric
analysis (MTRasym ) has been used to quantify the APT effect. However, some
studies indicate that MTRasym is contaminated by water longitudinal relaxation
time (T1w ), and thus it is necessary to normalize T1w in MTRasym to obtain
specific quantification of the APT effect. So far, whether to use MTRasym or the
T1w -normalized MTRasym is still under debate in the field. In this paper, the
influence of T1w on the quantification of APT was evaluated through theoretical
analysis, numerical simulations, and phantom studies for different experimental
conditions. Results indicate that there are two types of T1w effect (T1w recovery
and T1w -related saturation), which have inverse influences on the steady-state
MTRasym . In situations with no or weak direct water saturation (DS) effect,
there is only the T1w recovery effect, and MTRasym linearly depends on T1w . In
contrast, in situations with significant DS effects, the dependence of MTRasym on
T1w is complex, and is dictated by the competition of these two T1w effects.
Therefore, by choosing appropriate irradiation powers, MTRasym could be roughly
insensitive to T1w . Moreover, in non-steady-state acquisitions with very short
irradiation time, MTRasym is also roughly insensitive to T1w . Therefore, for
steady-state APT imaging at high fields or with very low irradiation powers,
where there are no significant DS effects, it is necessary to normalize T1w to
improve the specificity of MTRasym . However, in clinical MRI systems (usually
low fields or non-steady-state acquisitions), T1w normalization may not be
necessary when appropriate sequence parameters are chosen.
PMID- 29806720
TI - Manganese-Catalyzed Direct Olefination of Methyl-Substituted Heteroarenes with
Primary Alcohols.
AB - Herein, we present the first catalytic direct olefination of methyl-substituted
heteroarenes with primary alcohols through an acceptorless dehydrogenative
coupling. The reaction is catalyzed by a complex of the earth-abundant transition
metal manganese that is stabilized by a bench-stable NNN pincer ligand derived
from 2-hydrazinylpyridine. The reaction is environmentally benign, producing only
hydrogen and water as byproducts. A large number of E-disubstituted olefins were
selectively obtained with high efficiency.
PMID- 29806719
TI - Focusing quorum sensing signalling by nano-magnetic assembly.
AB - Quorum sensing (QS) exists widely among bacteria, enabling a transition to
multicellular behaviour after bacterial populations reach a particular density.
The coordination of multicellularity enables biotechnological application,
dissolution of biofilms, coordination of virulence, and so forth. Here, a method
to elicit and subsequently disperse multicellular behaviour among QS-negative
cells is developed using magnetic nanoparticle assembly. We fabricated magnetic
nanoparticles (MNPs, ~5 nm) that electrostatically collect wild-type (WT)
Escherichia coli BL21 cells and brings them into proximity of bioengineered E.
coli [CT104 (W3110 lsrFG- luxS- pCT6 + pET-DsRed)] reporter cells that exhibit a
QS response after receiving autoinducer-2 (AI-2). By shortening the distance
between WT and reporter cells (e.g., increasing local available AI-2
concentrations), the QS response signalling was amplified four-fold compared to
that in native conditions without assembly. This study suggests potential
applications in facilitating intercellular communication and modulating
multicellular behaviours based on user-specified designs.
PMID- 29806721
TI - A Glutathione-Responsive Short Sequence of Metal-Organic Complex Array.
AB - A short metal-organic complex array (MOCA) containing a sequence of RPtRRu (1Cl )
was found to exhibit unique responses to a major biothiol, glutathione (GSH).
Upon binding of GSH to 1Cl , the resultant 1:1 complex (1GS ) formed nanofibrous
assemblies that suggested supramolecular polymerization through the double-salt
bridge structure formation. The binding behavior of this MOCA sequence to calf
thymus DNA was also dependent on GSH; a larger conformational change of DNA was
observed upon binding with 1GS , relative to that with 1Cl .
PMID- 29806722
TI - Formation of a Macrocycles-in-a-Macrocycle Superstructure with All-gauche
Conformation by Reversible Radical Association.
AB - The formation of an unprecedented macrocycles-in-a-macrocycle (MIM)
superstructure by reversible radical-radical association of a triphenylamine
based monomer terminated with three dicyanomethyl radicals is presented. The
reaction yield is nearly quantitative and the obtained macrocycle contains three
small dimeric macrocycles according to X-ray crystallographic analysis. The six
monomer molecules are linked by nine long dynamic covalent C(sp3 )-C(sp3 ) bonds
that all adopt a gauche conformation. Such a conformation favors the formation of
a MIM structure rather than a 2D network with an all-anti conformation. Two
enantiomers with left-/ right-handed chirality exist in the single crystals of
the superstructure.
PMID- 29806723
TI - Dual-Responsive SPMA-Modified Polymer Photonic Crystals and Their Dynamic Display
Patterns.
AB - Light and electrothermal responsive polymer photonic crystals (PCs) modified with
1'-acryloyl chloride-3',3'-dimethyl-6-nitro-spiro(2H-1-benzopyran-2,2'-indoline)
(SPMA) are proposed, and their dynamic display patterns are achieved through the
combination of the SPMA-modified PCs and a patterned graphite layer. These PCs
exhibit fluorescence under UV light irradiation because of the isomerization of
the SPMA, which is restricted in the shell of the polymer colloidal spheres.
After a voltage is applied to the patterned graphite layer, the fluorescence of
PCs in the specific area disappears, and dynamic display patterns are obtained.
Under UV light irradiation, the PCs change from the "partial-fluorescence" state
to the initial "fluorescence" state, and the patterns disappear. Using this
technique, the PC pattern "M L N" on the glass substrate and PC patterns from "0"
to "9" on the paper substrate are fabricated. Thus, these dual-responsive PCs
have potential applications in information recording, anticounterfeiting, dynamic
display, and photoelectric devices.
PMID- 29806725
TI - A nuclease-toxin and immunity system for kin discrimination in Myxococcus
xanthus.
AB - The use of toxin to attack neighbours and immunity proteins to protect against
toxin has been observed in bacterial conflicts, including kin discrimination.
Here, we report a novel nuclease-toxin and its immunity protein function in the
colony-merger incompatibility, a kind of bacterial kin discrimination, in
Myxococcus xanthus DK1622. The MXAN_0049 gene was determined to be a genetic
determinant for colony-merger incompatibility, and the incompatibility could be
eliminated by deletion of the upstream co-transcribed MXAN_0050 gene. We
demonstrated that the MXAN_0050 protein was a nuclease, and MXAN_0049 protein was
able to bind to MXAN_0050 to block nuclease activity in vitro. Expression of
MXAN_0050 in Escherichia coli inhibited cellular growth, and the inhibition
effect could be recovered by co-expression of MXAN_0049. We found that deletion
of the PAAR-encoding gene (MXAN_0044) or the type VI secretion system led to the
colony-merger and co-existence with the DeltaMXAN_0049 mutant, suggesting that
they were associated with colony-merger incompatibility. Homologues of the
nuclease-toxin and cognate immunity pair are widely distributed in bacteria. We
propose a simplified model to explain the kin discrimination mechanism mediated
by the nuclease-toxin and immunity protein.(c) 2018 Society for Applied
Microbiology and John Wiley & Sons Ltd.
PMID- 29806724
TI - Remote Control of Anion-pi Catalysis on Fullerene-Centered Catalytic Triads.
AB - The design, synthesis and evaluation of catalytic triads composed of a central
C60 fullerene with an amine base on one side and polarizability enhancers on the
other side are reported. According to an enolate addition benchmark reaction,
fullerene-fullerene-amine triads display the highest selectivity in anion-pi
catalysis observed so far, whereas NDI-fullerene-amine triads are not much better
than fullerene-amine controls (NDI=naphthalenediimide). These large differences
in activity are in conflict with the small differences in intrinsic pi acidity,
that is, LUMO energy levels and pi holes on the central fullerene. However, they
are in agreement with the high polarizability of fullerene-fullerene-amine
triads. Activation and deactivation of the fullerene-centered triads by
intercalators and computational data on anion binding further indicate that for
functional relevance, intrinsic pi acidity is less important than induced pi
acidity, that is, the size of the oriented macrodipole of polarizable pi systems
that emerges only in response to the interaction with anions and anionic
transition states. The resulting transformation is thus self-induced, the anionic
intermediates and transition states create their own anion-pi catalyst.
PMID- 29806726
TI - Sexual dimorphism of estrogen-sensitized synoviocytes contributes to gender
difference in temporomandibular joint osteoarthritis.
AB - OBJECTIVES: Temporomandibular joint osteoarthritis (TMJOA) is approximately twice
as prevalent in women than in men. Synoviocytes are believed to play a critical
role in joint inflammation. However, it is unknown whether synoviocytes from
different genders possess sexual dimorphisms that contribute to female
predominant TMJOA. MATERIALS AND METHODS: Freund's complete adjuvant combined
with monosodium iodoacetate was used to induce TMJOA in female and male rats.
Histologic and radiographic features were used to evaluate TMJOA. The expression
of CD68, MCP-1, iNOS, and IL-1beta was detected by immunohistochemistry and real
time PCR. Primary fibroblast-like synoviocytes (FLSs) isolated from the synovial
membrane of female and male rats were used for in vitro experiments. RESULTS:
Female rats showed aggravated TMJOA features as compared to male rats. Increased
expression of iNOS and IL-1beta was detected in synovial membrane from female
TMJOA rats as compared to male rats. Furthermore, greater amounts of CD68
positive macrophage infiltration and increased MCP-1 expression around the
synovial membrane were detected in female TMJOA rats compared to males. Primary
cultured FLSs from female rats showed higher sensitivity to TNF-alpha treatment
and recruited increased macrophage migration than male FLSs. More important,
ovariectomy (OVX) by ablation in female rats repressed the sensitivity of female
FLSs to TNF-alpha treatment due to the loss of estrogen production. Blockage of
the estrogen receptor repressed estrogen-potentiated TNF-alpha-induced pro
inflammatory cytokine expression in OVX-FLSs. Moreover, the injection of estrogen
receptor antagonists relieved the cartilage destruction and bone deterioration of
TMJOA in female rats. CONCLUSION: Estrogen-sensitized synoviocytes in female rats
may contribute to gender differences in the incidence and progression of TMJOA.
PMID- 29806727
TI - Emergency laparoscopic colectomy for perforated diverticulitis - a video
vignette.
PMID- 29806729
TI - The Golden Ticket Project for peer recognition.
AB - BACKGROUND: A supportive working environment can be protective against burnout in
residency training. To help foster a positive culture, we developed the 'Golden
Ticket Project' (GTP) and evaluated it as a tool for peer appreciation. METHODS:
In October 2013-July 2014, all paediatric residents (n = 83) at a paediatric
residency programme were invited to participate in the GTP. Through an electronic
form, residents could award a co-resident with a 'Golden Ticket' for any
behaviour that they were grateful for. Two authors manually coded and analysed
the tickets using content analysis to identify recurring themes. We also
distributed an anonymous survey to all residents to assess their attitudes toward
the GTP. RESULTS: The majority (61%) of residents either gave or received 'Golden
Tickets' (51/83). Reasons for receiving tickets fell into five categories: (i)
teamwork; (ii) positive attitude; (iii) patient care; (iv) resident-resident
support; and (v) supervisory skills. Across all three classes, teamwork was
recognised most frequently, noted in 43% of all tickets. The recognition of other
behaviours varied by class. Fifty-five percent (46/83) of residents completed the
programme evaluation survey. Seventy-six percent (35/46) of respondents wanted
the programme to continue; of those, 49% (17/35) 'agreed' or 'strongly agreed'
that they were 'more aware of acts of kindness in the residency program because
of the GTP'. A supportive working environment can be protective against burnout
in residency training DISCUSSION: The GTP is a feasible and valued peer-support
initiative for residents. The GTP characterised behaviours and attitudes that
residents were grateful for in their peers. These behaviours could inform the
development of wellness interventions for residents that focus on building
supportive working environments.
PMID- 29806728
TI - Center effect on posttransplant survival among currently active United States
pediatric heart transplant centers.
AB - The official analysis of posttransplant survival considers only recently
transplanted patients and suggests absence of outcome differences among pediatric
heart transplant (HT) centers. We sought to compare posttransplant survival among
currently active pediatric HT centers in the United States over 15 years of
activity. We identified all children <18 years old who underwent their first HT
during 2000-2014 at US centers active during 2013-14. Recipients were followed
until March 2016. A mixed-effects survival model with center as a random effect
was used to assess center differences in patient and graft survival. Center case
mix and standardized mortality ratio (SMR) for 90-day mortality were assessed by
applying an internally validated risk-model. Overall, 4271 children transplanted
at 46 centers were analyzed. There was a significant center effect on risk
adjusted patient (P = .01) and graft survival (P < .001). Adjusting for 90-day
SMR or center-volume, but not for case-mix, was associated with a significant
reduction in center effect on long-term survival. There was no center effect on
conditional survival in 90-day survivors. In conclusion, there are significant
differences in posttransplant survival among pediatric HT centers in the United
States. Centers with better short-term performance (lower SMR for 90-day
mortality) maintain their outcome advantage on follow-up and have superior longer
term outcomes.
PMID- 29806730
TI - Bloom of a denitrifying methanotroph, 'Candidatus Methylomirabilis limnetica', in
a deep stratified lake.
AB - Methanotrophic bacteria represent an important biological filter regulating
methane emissions into the atmosphere. Planktonic methanotrophic communities in
freshwater lakes are typically dominated by aerobic gamma-proteobacteria, with a
contribution from alpha-proteobacterial methanotrophs and the NC10 bacteria. The
NC10 clade encompasses methanotrophs related to 'Candidatus Methylomirabilis
oxyfera', which oxidize methane using a unique pathway of denitrification that
tentatively produces N2 and O2 from nitric oxide (NO). Here, we describe a new
species of the NC10 clade, 'Ca. Methylomirabilis limnetica', which dominated the
planktonic microbial community in the anoxic depths of the deep stratified Lake
Zug in two consecutive years, comprising up to 27% of the total bacterial
population. Gene transcripts assigned to 'Ca. M. limnetica' constituted up to one
third of all metatranscriptomic sequences in situ. The reconstructed genome
encoded a complete pathway for methane oxidation, and an incomplete
denitrification pathway, including two putative nitric oxide dismutase genes. The
genome of 'Ca. M. limnetica' exhibited features possibly related to genome
streamlining (i.e. less redundancy of key metabolic genes) and adaptation to its
planktonic habitat (i.e. gas vesicle genes). We speculate that 'Ca. M. limnetica'
temporarily bloomed in the lake during non-steady-state conditions suggesting a
niche for NC10 bacteria in the lacustrine methane and nitrogen cycle.
PMID- 29806731
TI - Effects of Nodal inhibition on development of temnopleurid sea urchins.
AB - Adult rudiment formation in some temnopleurids begins with the formation of a
cell mass that is pinched off the left ectoderm in early larval development. The
cell mass forms the adult rudiment with the left coelomic pouch of the mesodermal
region. However, details of the mechanisms to establish position of the cell mass
are still unknown. We analyzed the inhibiting effect of Nodal, a factor for
morphogenesis of the oral region and right side, for location of the cell mass,
in four temnopleurids. Pulse inhibition, at least 5 min inhibition, during
coelomic pouch formation allowed a cell mass to form on both sides, whereas
treatments after that period did not. These results indicate that Nodal signaling
controls the oral-aboral axis before gastrulation and then affects the position
of the cell mass and adult rudiment up to coelomic pouch formation. They also
indicate that the position of the adult rudiment under Nodal signaling pathways
is conserved in temnopleurids, as adult rudiment formation is dependent on the
cell mass.
PMID- 29806732
TI - Nanocrystalline H-RTH Zeolite: An Efficient Catalyst for the Low-Temperature
Dehydration of Ethanol to Ethene.
AB - The low-temperature dehydration of bioethanol is an environmentally benign route
to ethene production. Here we compare the catalytic properties of a series of
cage-based small-pore zeolites with different framework structures, acid
strengths, and/or crystallite sizes for ethanol dehydration at 200 degrees C
under wet conditions (H2 O/EtOH=0.2). Among the zeolites studied here,
nanocrystalline H-RTH was found to be considerably more effective than H
mordenite, the best catalyst for this reaction known to date, which can be
rationalized by product shape selectivity. Whereas the acidity of this zeolite
also plays a crucial role in selectively forming ethene, its nanocrystallinity is
primarily responsible for the observed high catalyst durability.
PMID- 29806734
TI - Academic ability and teamworking in medical students.
AB - BACKGROUND: Teamworking is an essential skill for a doctor to develop in order to
work effectively, and is required in the UK as part of the General Medical
Council (GMC) Good Medical Practice guidance. Assessment of teamwork may be
difficult, however, with medical school assessments being more commonly focused
on knowledge and individual skills. We aim to explore the link between academic
ability as measured at final medical examinations and teamworking. METHODS: All
final-year medical students were asked to attend a simulation session in an
immersive 22-bed simulated ward, which used a combination of patient simulators
and high-fidelity manikin simulators, with nursing and telephone support.
Students were split into separate groups stratified by performance in final-year
assessments or in groups with mixed performance. Students were observed in real
time by faculty staff and assessed with the individual Teamwork Observation and
Feedback Tool (iTOFT), around which the debriefing was centred. Assessment of
teamwork may be difficult RESULTS: The performance of 119 students in simulation
was assessed, and groups with a mix of academic performance showed significantly
greater teamworking ability as measured with the iTOFT as compared with those
stratified by performance (p = 0.045). Final assessment at medical school was
shown to be a poor predictor of teamworking ability: those who performed best at
assessment seemed to underperform in teamworking. DISCUSSION: The simulated-ward
learning activity received positive feedback, although the mix of patient
simulators and high-fidelity manikins proved a challenge to some students.
Medical school assessments appear to be inadequate in the assessment of
teamworking ability, with change needed in future to ensure that this and other
non-technical skills are assessed.
PMID- 29806733
TI - Childhood Arthritis and Rheumatology Research Alliance consensus treatment plans
for juvenile idiopathic arthritis-associated and idiopathic chronic anterior
uveitis.
AB - OBJECTIVE: Systemic immunosuppressive treatment of pediatric chronic anterior
uveitis (CAU), both juvenile idiopathic arthritis (JIA)-associated and idiopathic
varies, making it difficult to identify best treatments. The Childhood Arthritis
and Rheumatology Research Alliance (CARRA) developed consensus treatment plans
(CTPs) for CAU for the purpose of reducing practice variability and allowing
future comparison of treatments by comparative effectiveness analysis techniques.
METHODS: A core group of pediatric rheumatologists, ophthalmologists with uveitis
expertise, and a lay advisor comprised the CARRA uveitis workgroup who performed
literature review on pharmacologic treatments, held teleconferences, and
developed a case-based survey administered to the CARRA membership to delineate
treatment practices. We utilized 3 face-to-face consensus meetings using nominal
group technique to develop CTPs. RESULTS: The survey identified areas of
treatment practice variability. We developed 2 CTPs for the treatment of CAU,
case definitions, and monitoring parameters. The first CTP is directed at
children naive to steroid-sparing medication, and the second at children
initiating biologic therapy with options for methotrexate, adalimumab and
infliximab. We defined a core dataset and outcome measures with data collection
at 3 and 6 months after therapy initiation. The CARRA membership voted acceptance
of the CTPs with a >95% (N = 233) approval. CONCLUSION: Using consensus
methodology, two standardized CTPs were developed for systemic immunosuppressive
treatment of CAU. These CTPs are not meant as treatment guidelines, but are
designed for further pragmatic research within the CARRA research network. Use of
these CTPs in a prospective comparison effectiveness study should improve
outcomes by identifying best practice options. This article is protected by
copyright. All rights reserved.
PMID- 29806735
TI - Culture-independent characterization of a novel magnetotactic member affiliated
to the Beta class of the Proteobacteria phylum from an acidic lagoon.
AB - Magnetotactic bacteria (MTB) comprise a group of motile microorganisms common in
most mesothermal aquatic habitats with pH values around neutrality. However,
during the last two decades, a number of MTB from extreme environments have been
characterized including: cultured alkaliphilic strains belonging to the
Deltaproteobacteria class of the Proteobacteria phylum; uncultured moderately
thermophilic strains belonging to the Nitrospirae phylum; cultured and uncultured
moderately halophilic or strongly halotolerant bacteria affiliated with the
Deltaproteobacteria and Gammaproteobacteria classes and an uncultured
psychrophilic species belonging to the Alphaproteobacteria class. Here, we used
culture-independent techniques to characterize MTB from an acidic freshwater
lagoon in Brazil (pH ~ 4.4). MTB morphotypes found in this acidic lagoon included
cocci, rods, spirilla and vibrioid cells. Magnetite (Fe3 O4 ) was the only
mineral identified in magnetosomes of these MTB while magnetite magnetosome
crystal morphologies within the different MTB cells included cuboctahedral
(present in spirilla), elongated prismatic (present in cocci and vibrios) and
bullet-shaped (present in rod-shaped cells). Intracellular pH measurements using
fluorescent dyes showed that the cytoplasmic pH was close to neutral in most MTB
cells and acidic in some intracellular granules. Based on 16S rRNA gene
phylogenetic analyses, some of the retrieved gene sequences belonged to the genus
Herbaspirillum within the Betaproteobacteria class of the Proteobacteria phylum.
Fluorescent in situ hybridization using a Herbaspirillum-specific probe
hybridized with vibrioid MTB in magnetically-enriched samples. Transmission
electron microscopy of the Herbaspirillum-like MTB revealed the presence of many
intracellular granules and a single chain of elongated prismatic magnetite
magnetosomes. Diverse populations of MTB have not seemed to have been described
in detail in an acid environment. In addition, this is the first report of an MTB
phylogenetically affiliated with Betaproteobacteria class.
PMID- 29806736
TI - Gut Glucosinolate Metabolism and Isothiocyanate Production.
AB - The glucosinolate-myrosinase system in plants has been well studied over the
years while relatively little research has been undertaken on the bacterial
metabolism of glucosinolates. The products of myrosinase-based glucosinolate
hydrolysis in the human gut are important to health, particularly the
isothiocyanates, as they are shown to have anticancer properties as well as other
beneficial roles in human health. This review is concerned with the bacterial
metabolism of glucosinolates but is not restricted to the human gut.
Isothiocyanate production and nitrile formation are discussed together with the
mechanisms of the formation of these compounds. Side chain modification of the
methylsulfinylalkyl glucosinolates is reviewed and the implications for
bioactivity of the resultant products are also discussed.
PMID- 29806737
TI - FLIP as a therapeutic target in cancer.
AB - One of the classic hallmarks of cancer is disruption of cell death signalling.
Inhibition of cell death promotes tumour growth and metastasis, causes resistance
to chemo- and radiotherapies as well as targeted agents, and is frequently due to
overexpression of antiapoptotic proteins rather than loss of pro-apoptotic
effectors. FLIP is a major apoptosis-regulatory protein frequently overexpressed
in solid and haematological cancers, in which its high expression is often
correlated with poor prognosis. FLIP, which is expressed as long (FLIP(L)) and
short (FLIP(S)) splice forms, achieves its cell death regulatory functions by
binding to FADD, a critical adaptor protein which links FLIP to the apical
caspase in the extrinsic apoptotic pathway, caspase-8, in a number of cell death
regulating complexes, such as the death-inducing signalling complexes (DISCs)
formed by death receptors. FLIP also plays a key role (together with caspase-8)
in regulating another form of cell death termed programmed necrosis or
'necroptosis', as well as in other key cellular processes that impact cell
survival, including autophagy. In addition, FLIP impacts activation of the
intrinsic mitochondrial-mediated apoptotic pathway by regulating caspase-8
mediated activation of the pro-apoptotic Bcl-2 family member Bid. It has been
demonstrated that FLIP can not only inhibit death receptor-mediated apoptosis,
but also cell death induced by a range of clinically relevant chemotherapeutic
and targeted agents as well as ionizing radiation. More recently, key roles for
FLIP in promoting the survival of immunosuppressive tumour-promoting immune cells
have been discovered. Thus, FLIP is of significant interest as an anticancer
therapeutic target. In this article, we review FLIP's biology and potential ways
of targeting this important tumour and immune cell death regulator.
PMID- 29806738
TI - Supplementation of the Diet by Exogenous Myrosinase via Mustard Seeds to Increase
the Bioavailability of Sulforaphane in Healthy Human Subjects after the
Consumption of Cooked Broccoli.
AB - SCOPE: Broccoli contains glucosinolate glucoraphanin, which, in the presence of
myrosinase, can hydrolyze to isothiocyanate sulforaphane, reported to have
anticarcinogenic activity. However, the myrosinase enzyme is denatured on
cooking. Addition of an active source of myrosinase, such as from powdered
mustard seed, to cooked Brassica vegetables can increase the release of health
beneficial isothiocyanates; however, this has not previously been proven in vivo.
METHODS AND RESULTS: The concentration of sulforaphane metabolite (sulforaphane N
acetyl-l-cysteine [SF-NAC]) in 12 healthy adults after the consumption of 200 g
cooked broccoli, with and without 1 g powdered brown mustard, was studied in a
randomized crossover design. During the 24-h period following the consumption of
the study sample, all urine was collected. SF-NAC content was assayed by HPLC.
When study subjects ingested cooked broccoli alone, mean urinary SF-NAC excreted
was 9.8 +/- 5.1 MUmol per g creatinine, and when cooked broccoli was consumed
with mustard powder, this increased significantly to 44.7 +/- 33.9 MUmol SF-NAC
per gram creatinine. CONCLUSION: These results conclude that when powdered brown
mustard is added to cooked broccoli, the bioavailability of sulforaphane is over
four times greater than that from cooked broccoli ingested alone.
PMID- 29806739
TI - Diagnostic value of microRNAs derived from exosomes in bronchoalveolar lavage
fluid of early-stage lung adenocarcinoma: A pilot study.
AB - BACKGROUND: Low-dose computed tomography can identify smaller nodules more often
than chest radiography in lung screening. However, complications from invasive
diagnostic procedures performed to detect nodules are common. Exosomes contain a
diverse array of biomolecules that reflect the biological state of the cell from
which they are released. The aim of this study was to investigate the diagnostic
value of bronchoalveolar lavage (BAL) fluid exosomal microRNAs (miRNAs) for early
stage lung adenocarcinoma. METHODS: We evaluated miRNAs (miR-7, miR-21, miR-126,
Let-7a, miR-17, and miR-19) known to have diagnostic value for lung
adenocarcinoma. Exosomes were isolated from the BAL fluid of control subjects (n
= 15) and patients with lung adenocarcinoma (n = 13). Exosomal miRNA was analyzed
using a commercial kit containing probes targeting six selected miRNAs. Results
were validated via quantitative PCR. RESULTS: The presence of miRNAs was
confirmed in exosomes from BAL fluid of both lung adenocarcinoma patients and
control subjects. miR-126 (P < 0.001) and Let-7a (P = 0.015) levels were
significantly higher in the BAL fluid of lung adenocarcinoma patients than in
control subjects. The BAL fluid miRNA signature was confirmed using an
independent set of paired adenocarcinoma and normal tissue samples (n = 4). Lung
adenocarcinoma tissues showed increased expression of miR-126 (P = 0.039)
compared to normal tissue samples. CONCLUSION: We identified a close correlation
between BAL fluid exosomal miRNAs and tumor miRNAs. BAL fluid exosomal miRNAs
obtained through noninvasive methods could serve as diagnostic biomarkers in
early-stage lung adenocarcinoma.
PMID- 29806740
TI - Artificial light at night alters behavior in laboratory and wild animals.
AB - Life has evolved to internalize and depend upon the daily and seasonal light
cycles to synchronize physiology and behavior with environmental conditions. The
nightscape has been vastly changed in response to the use of artificial lighting.
Wildlife is now often exposed to direct lighting via streetlights or indirect
lighting via sky glow at night. Because many activities rely on daily and
seasonal light cues, the effects of artificial light at night could be extensive,
but remain largely unknown. Laboratory studies suggest exposure to light at night
can alter typical timing of daily locomotor activity and shift the timing of
foraging/food intake to the daytime in nocturnal rodents. Additionally, nocturnal
rodents decrease anxiety-like behaviors (i.e., spend more time in the open and
increase rearing up) in response to even dim light at night. These are all likely
maladaptive responses in the wild. Photoperiodic animals rely on seasonal changes
in day length as a cue to evoke physiological and behavioral modifications to
anticipate favorable and unfavorable conditions for survival and reproduction.
Light at night can mask detection of short days, inappropriately signal long
days, and thus desynchronize seasonal reproductive activities. We review
laboratory and the sparse field studies that address the effects of exposure to
artificial light at night to propose that exposure to light at night disrupts
circadian and seasonal behavior in wildlife, which potentially decreases
individual fitness and modifies ecosystems.
PMID- 29806741
TI - Patterns of developmental plasticity in response to incubation temperature in
reptiles.
AB - Early life environments shape phenotypic development in important ways that can
lead to long-lasting effects on phenotype and fitness. In reptiles, one aspect of
the early environment that impacts development is temperature (termed 'thermal
developmental plasticity'). Indeed, the thermal environment during incubation is
known to influence morphological, physiological, and behavioral traits, some of
which have important consequences for many ecological and evolutionary processes.
Despite this, few studies have attempted to synthesize and collate data from this
expansive and important body of research. Here, we systematically review research
into thermal developmental plasticity across reptiles, structured around the key
papers and findings that have shaped the field over the past 50 years. From these
papers, we introduce a large database (the 'Reptile Development Database')
consisting of 9,773 trait means across 300 studies examining thermal
developmental plasticity. This dataset encompasses data on a range of phenotypes,
including morphological, physiological, behavioral, and performance traits along
with growth rate, incubation duration, sex ratio, and survival (e.g., hatching
success) across all major reptile clades. Finally, from our literature synthesis
and data exploration, we identify key research themes associated with thermal
developmental plasticity, important gaps in empirical research, and demonstrate
how future progress can be made through targeted empirical, meta-analytic, and
comparative work.
PMID- 29806742
TI - Identification of two highly promiscuous thermostable sugar
nucleotidylyltransferases for glycorandomization.
AB - Glycorandomization is a process that improves the efficacy of glycoconjugates by
the addition of a diverse array of sugars to secondary metabolites and
antibiotics of pharmaceutical importance. This process, which employs sugar
nucleotidylyltransferases (SNTs) and glycosyl transferases (GTs) in tandem, would
benefit by the employment of promiscuous enzymes, i.e. those with the ability to
utilize diverse noncanonical substrates. As promiscuous GTs are available, here
we set out to identify promiscuous SNTs. For this, we began with a detailed
family-wide characterization of SNTs. Earlier, we had proposed that SNTs could be
classified into two major groups - I and II. They share a common structural
framework and utilize a similar catalytic mechanism. Subtle variations in the way
two magnesium ions - MgA2+ and MgB2+ - are stabilized by metal ion coordination
motifs led to their classification into diverse subgroups viz. I-A, I-B, I-C, II
A, and II-B. Based on this classification, here we investigate promiscuity across
the entire family of SNTs. We study the utilization of several sugar phosphates
and nucleotides by the various subgroups of SNTs to understand substrate
specificity and promiscuity in these. We find that promiscuity is prevalent among
SNTs; and in particular, in the thermophilic homologs. In principle, promiscuity
profiling identified four new SNTs that can be employed for the production of
sugar-nucleotide libraries. However, assaying for their ability to simultaneously
utilize multiple substrates in a single-pot reaction, we find two thermophilic
SNTs- TMGA , an adenylyltransferase from Thermotoga maritima and PHGT , a
thymidylyltransferase from Pyrococcus horikoshii that are readily employable for
the production of diverse sugar-nucleotides.
PMID- 29806743
TI - Temperature fluctuations and maternal estrogens as critical factors for
understanding temperature-dependent sex determination in nature.
AB - Vertebrates with temperature-dependent sex determination (TSD) have justifiably
received a lot of attention when it comes to the potential effects of climate
change. Freshwater turtles have long been used to characterize the physiological
and genetic mechanisms underlying TSD and provide a great system to investigate
how changing climatic conditions will affect vertebrates with TSD. Unfortunately,
most of what we know about the mechanisms underlying TSD comes from laboratory
conditions that do not accurately mimic natural conditions (i.e., constant
incubation temperatures and supraphysiological steroid manipulations). In this
paper, we review recent advances in our understanding of how TSD operates in
nature that arose from studies using more natural fluctuating incubation
temperatures and natural variation in maternal estrogens within the yolk. By
incorporating more natural conditions into laboratory studies, we are better able
to use these studies to predict how changing climatic conditions will affect
species with TSD.
PMID- 29806744
TI - Intronic variant of EGFR is associated with GBAS expression and survival outcome
of early-stage non-small cell lung cancer.
AB - BACKGROUND: Genome-wide association studies have indicated that most of the
currently identified disease and trait-associated single nucleotide polymorphisms
(SNPs) are intronic or intergenic. RegulomeDB is a recently developed database
that provides functional annotations for regulatory features of SNPs located in
non-coding regions. We evaluated the potential regulatory SNPs in the EGFR gene
region using RegulomeDB and their associations with prognosis after surgery in
non-small cell lung cancer (NSCLC) patients. METHODS: A total of 698 patients
with surgically resected NSCLC were enrolled and seven SNPs were selected based
on the RegulomeDB database. All SNPs were genotyped using SEQUENOM MassARRAY
iPLEX assay. RESULTS: Among the seven SNPs evaluated, rs9642391 (EGFR
ivs19+2851C>G) was significantly associated with survival outcome (adjusted
hazard ratio [HR] for overall survival = 0.70, 95% confidence interval [CI] 0.56
0.87, P = 0.001; adjusted HR for disease-free survival = 0.82, 95% CI 0.70-0.97,
P = 0.02; under a codominant model). According to RegulomeDB, rs9642391C>G, which
is located in intron 19 of EGFR, was predicted to influence the expression of
GBAS but not EGFR. As predicted, rs9642391C>G was associated with GBAS (P =
0.024) but not EGFR messenger RNA expression in tumor tissues. CONCLUSION: In
conclusion, our study provides evidence that rs9642391C>G in the intron of EGFR
is associated with GBAS expression and survival outcomes of patients with
surgically resected early-stage NSCLC.
PMID- 29806746
TI - Valorization of Oleuropein via Tunable Acid-Promoted Methanolysis.
AB - The acid-promoted methanolysis of oleuropein was studied using a variety of
homogeneous and heterogeneous acid catalysts. Exclusive cleavage of the acetal
bond between the glucoside and the monoterpene subunits or further hydrolysis of
the hydroxytyrosol ester and subsequent intramolecular rearrangement were
observed upon identification of the most efficient catalyst and experimental
conditions. Furthermore, selected conditions were tested using oleuropein under
continuous flow and using a crude mixture extracted from olive leaves under
batch. Formation of (-)-methyl elenolate was also observed in this study, which
is a reported precursor for the synthesis of the antihypertensive drug (-)
ajmalicine.
PMID- 29806745
TI - Feasibility of implementing cervical cancer screening program using smartphone
imaging as a training aid for nurses in rural India.
AB - BACKGROUND: The Indian national program stresses implementation of cervical
cancer screening by health workers/nurses using VIA (Visual Inspection under
Acetic acid). We demonstrate its feasibility and reliability in rural setting,
assessing the role of smartphone-imaging for continuous training of nurses.
DESIGN: A cross-sectional design to assess the reliability of the nurse-led VIA
test. To assess feasibility, VIA positive patients were observed till
confirmative diagnosis was made. SAMPLE: Hospital-based purposive sampling was
used to recruit participants. MEASURES: A structured questionnaire for recording
participants' details, VIA findings and follow-up information; and an
observational checklist to record implementation parameters during each clinic.
An expert assessed the nurse's judgment using smartphone-images of cervix.
RESULTS: During October 2016-June 2017, 2758 patients attended the weekly clinic;
238 (8.6%) met the criteria, of those 180 (75.6%) tested after consent. Nurse
reported 25 (13.8%) VIA-positive cases, but only 19 accessed the referral
service. Kappa statistic: 0.45 (CI: 0.26-0.63) suggested moderate nurse-expert
agreement. Image retrieval and quality affected expert's evaluation.
Implementation challenges include low awareness among the population and referral
link-up. CONCLUSION: Appropriately trained nurses can reliably conduct screening.
Real-time expert feedback might improve reporting. Rigorous awareness activities
and on-site treatment can reduce drop-outs. The medical institute's involvement
and administrative will were instrumental.
PMID- 29806747
TI - For Debate: Personalized Health Care: As Exemplified by Home Sodium Measurements
in a Child with Central Diabetes Insipidus and Impaired Thirst Perception.
AB - BACKGROUND: We describe a 6-year old boy with central diabetes insipidus (CDI)
caused by destruction of the pituitary gland due to treatment of an optical
pathway glioma. He has been treated with chemotherapy and has had several
debulking operations over the past years and consequently developed central
hypocortisolism, hypothyroidism and CDI. The treatment of CDI was gravely
complicated by an impaired thirst perception and compulsive drinking behavior. He
was frequently seen at the ER or admitted due to dysregulation of fluid balance.
METHODS: In order to provide better self-reliance, home point of care testing
(POCT) sodium measurement was introduced. RESULTS: Realizing POCT sodium
measurement resulted in a significant decrease of ER visits and clinical
admissions due to dysregulation of fluid balance. CONCLUSION: This case is an
example of personalized health care and has led to better self-reliance and
quality of life.
PMID- 29806748
TI - Review of Current Care Models for Transgender Youth and Application to the
Development of a Multidisciplinary Clinic - The Seattle Children's Hospital
Experience.
AB - Care of transgender and gender diverse youth is complex and requires a
multidisciplinary approach. Many transgender patients and providers feel the
limited availability of affirming, knowledgeable professionals is a barrier to
obtaining care. Such care can be provided through a clinic with providers from
different disciplines who are trained in the unique care of transgender youth. In
this paper, we discuss the care guidelines for transgender youth and the
unresolved challenges that need to be addressed during the development of a
transgender clinic. We describe our experience at Seattle Children's Hospital in
the development of a multidisciplinary Gender Clinic which incorporates the
expertise of social work, mental health professionals, pediatric endocrinology,
adolescent medicine, and bioethics. Other institutions may build from our
experience, with the ultimate goal of further decreasing health disparities for
young transgender patients.
PMID- 29806749
TI - The Effects of Diuretics on Mineral and Bone Metabolism.
AB - The effects of diuretics on water and electrolyte metabolism are well
established, but less known to the clinician are their effects on bone and
mineral metabolism, and in particular on that of calcium homeostasis. In general,
and clinically most relevant, diuretics acting at the thick ascending limb of the
loop of Henle cause loss of calcium into the urine, thus making them a useful
tool in treating hypercalcemia. However the hypercalciuria caused by loop
diuretics may lead to the development of urolithiasis and nephrocalcinosis, as
well as secondary hyperparathyroidism and bone disease. On the other hand,
thiazide diuretics that act more distally, increase tubular calcium reabsorption,
thus providing protection against hypercalciuria, and with that may raise serum
calcium, suppress PTH secretion and improve bone metabolism. Additional
hypocalciuric effect may be observed with the use of potassium-sparing diuretics.
This review will address the effects of diuretics on mineral metabolism in the
kidney and consequently on systemic mineral and bone metabolism.
PMID- 29806750
TI - Gonadotropin-Releasing Hormone (GnRHa) Therapy for Central Precocious Puberty
(CPP): Review of Nuances in Assessment of Height, Hormonal Suppression,
Psychosocial Issues, and Weight Gain, with Patient Examples.
AB - This review suggests a central theme: that the treatment of each patient
presenting with evidence consistent with central precocious puberty (CPP) needs
to be individualized. This pertains to multiple factors relating to growth and
growth potential, monitoring patients on treatment with gonadotropin-releasing
hormone analogue (GnRHa), evaluating psychological issues with CPP and therapy,
and concerns about weight gain during GnRHa therapy. Individual cases are
presented. New data on adult height and rate of bone age advance are included.
GnRHa treatment is effective in improving adult height in children with
precocious onset of puberty, rapid progression, and good growth potential.
Monitoring suppression adequacy involves a random LH level < 0.6 IU/L or a GnRHa
stimulated peak LH level < 4 IU/L as long as physical exam, growth rate, and rate
of bone age progression, are also consistent with suppression. Abnormal
psychosocial issues are rare with concerns primarily being related perceptions,
real or perceived by others.
PMID- 29806751
TI - Thyroid Dimensions Using Handheld Point-of-Care (bedside) Ultrasound Scan of the
Thyroid Gland in Neonates in Port Harcourt and a Review of Literature.
AB - INTRODUCTION: Handheld point-of-care (bedside) ultrasound scan machine is gaining
popularity in clinical practice. Using point-of-care ultrasound scan can check
the presence (anatomy) and blood flow within the thyroid gland and may be used as
screening tool for CH. METHODOLOGY: Neonates aged 0-3 days underwent ultrasound
scan of the neck using a point-of-care (bedside) pocket sized GE V scan machine
(r) to demonstrate the thyroid dimensions and colour flow for each lobe of the
gland. The mean dimensions generated were compared with those from a center in
Glasgow, Scotland using Student's "t"test. RESULTS: Seventy healthy neonates were
recruited, 33 males and 37 females before being discharged from maternity units.
The mean gestational age at birth was 39.4 weeks (range 37- 2) mean weight of the
children was 2.98 kg (+/-0.51). The mean total thyroid volume was 1.62 mL (+/
0.18) and this was to that from Glasgow. CONCLUSION: Handheld point-of-care
(bedside) US is useful in determining thyroid gland dimensions in newborn babies
and the volume measurements obtained thus far are comparable to those from
Glasgow.
PMID- 29806752
TI - Meeting Report: Growth and Social Environment. Proceedings of the 25th Aschauer
Soiree, held at Krobielowice, Poland, November 18th 2017.
AB - Twenty-two scientists met at Krobielowice, Poland, to discuss the impact of the
social environment, spatial proximity, migration, poverty, but also psychological
factors such as body perception and satisfaction, and social stressors such as
elite sports, and teenage pregnancies, on child and adolescent growth. The data
analysis included linear mixed effects models with different random effects,
Monte Carlo analyses, and network simulations. The work stressed the importance
of the peer group, but also included historic material, some considerations about
body proportions, and growth in chronic liver, and congenital heart disease.
PMID- 29806753
TI - Emergent treatment of carotid stenosis: an evidence-based systematic review.
AB - INTRODUCTION: Stroke is one of the major causes of death in the world, but above
all is the condition most associated with severe long-term disabilities. It is
clear that this condition therefore requires the best therapeutic approach
possible to minimize the consequences that this can lead to. The major issues
concern the type of treatment to be used for revascularization (carotid
endarterectomy [CEA] or stenting of the carotid artery [CAS]) and the timing of
the treatment itself. Many studies have been conducted on this issue, but a
definitive and unanimous verdict has not yet been reached on account of the great
variety of results obtained from the various study group. The aim of this review
is to analyze the latest scientific findings focused on revascularization
following a symptomatic carotid stenosis (SCS). EVIDENCE ACQUISITION: We searched
all publications addressing treatments and timing of approach to SCS. Randomized
trials, cohort studies and reviews were contemplated in order to give a breadth
of clinical data. Medline and Science Direct were searched from January 2013 to
April 2017. EVIDENCE SYNTHESIS: Of the 819 records found, 76 matched our
inclusion criteria. After reading the full-text articles, we decided to exclude
54 manuscripts because of the following reasons: 1) no innovative or important
content; 2) insufficient data; 3) no clear potential biases or strategies to
solve them; 4) no clear endpoints; and 5) inconsistent or arbitrary conclusions.
The final set included 22 articles. CONCLUSIONS: CEA is considered a less
problematic method than CAS, especially for patients over the age of 75; CAS
remains recommended in patients with a favorable anatomy or high surgical risks.
Studies that showed more solid results seem to lead to the conclusion that
optimal timing may be between 2 days and the end of the first week from the onset
of symptoms in patients who are appropriate candidates for surgery.
PMID- 29806754
TI - Endovascular repair versus open repair in the treatment of ruptured aortic
aneurysms. A systematic review.
AB - INTRODUCTION: Rupture of abdominal aortic aneurysm remains a fatal event in up to
65% of cases and emergency open surgery (ruptured open aneurysm repair or rOAR)
has a great intraoperative mortality of about 30-50%. The introduction of
endovascular repair of abdominal aortic aneurysm (ruptured endovascular aneurysm
repair or rEVAR) has rapidly challenged the conventional approach to this
catastrophic event. The purpose of this systematic review is to compare the
outcomes of open surgical repair and endovascular interventions. EVIDENCE
ACQUISITION: A literature search was performed using Medline , Scopus, and
Science Direct from August 2010 to March 2017 using keywords identified and
agreed by the authors. Randomized trials, cohort studies, and case-report series
were contemplated to give a breadth of clinical data. EVIDENCE SYNTHESIS: 77
studies were included in the final analysis. Thirty-five (50.7%) of the listed
studies evaluating the within 30 days mortality rates deposed in favour of rEVAR,
while the others (comprising all four included RCTs) failed detecting any
difference. Late mortality rates were found to be lower in rEVAR group in seven
on twenty-seven studies (25.9%), while one (3.7%) reported higher mortality rates
following rEVAR performed before 2005, one found lower incidence of mortality at
6 months in the endovascular group but higher rates in the same population at 8
years of follow-up, and the remaining (66.7%) (including all three RCTs) failed
finding any benefit of rEVAR on rOAR. A lower incidence of complications was
reported by thirteen groups (46.4%), while other thirteen studies did not find
any difference between rEVAR and rOAR. Each of these two conclusion was
corroborated by one RCTs. Other two studies (7.2%) found higher rates of
tracheostomies, myocardial infarction, and acute tubular necrosis or respiratory,
urinary complications, and acute renal failure respectively in rOAR group. The
majority of studies (59.0%, 72.7%, and 89.3% respectively) and all RCTs found
significantly lower rates of length of hospitalization, intensive care unit
transfer, and blood loss with or without transfusion need in rEVAR group. The
large majority of the studies did not specified neither the type nor the brands
of employed stent grafts. CONCLUSIONS: The bulk of evidence regarding the
comparison between endovascular and open surgery approach to RAAA points to: 1)
non inferiority of rEVAR in terms of early (within 30 days) and late mortality as
well as rate of complications and length of hospitalization, with trends of
better outcomes associated to the endovascular approach; 2) significantly better
outcomes in terms of intensive care unit transfer and blood loss with or without
transfusion need in the rEVAR group. These conclusions reflect the results of the
available RCTs included in the present review. Thus rEVAR can be considered a
safe method in treating RAAA and we suggest that it should be preferred when
technically feasible. However, more RCTs are needed in order to give strength of
these evidences, bring to definite clinical recommendations regarding this
subject, and assess the superiority (if present) of one or more brands of stent
grafts over the others.
PMID- 29806755
TI - Robotic surgery for rectal cancer: the future?
AB - Robotic technology currently offers some technical advantages in pelvic
dissection compared with competing minimally invasive techniques, and adoption
for the surgical treatment of rectal cancer is rapidly increasing worldwide.
While there are some early data demonstrating modest improvement in patient
outcomes, benefits in terms of long-term oncological outcomes, as well as
potential improvements in surgeon-centered outcomes such as fatigue and
repetitive stress injury are actively being investigated. Rapid innovation, with
the impending release of several new robotic platforms, is likely to further
expand the application of these technologies, improve on current limitations, and
reduce capital and consumable costs. It is imperative that, as the technology
develops and adoption increases further, clinician and research led programs
drive safe implementation with a patient-first approach.
PMID- 29806756
TI - The evolution of pelvic dissection for rectal cancer from blunt dissection to
total mesorectal excision.
AB - "Modern" rectal cancer treatment began in the 18th century. However, initial
results of the pioneer surgeons were very poor. During the next several decades,
significant progress was made towards the cure of rectal cancer. Improvements
have included lowering mortality, reducing recurrence, and optimizing functional
outcomes. This article reviews the individuals and their advancements in rectal
cancer treatment. It describes the changes in the surgical approach for tumor
resection, the study of the lymphatic spread of rectal cancer and the advances in
sphincter preservation procedures from the era of blunt dissection until the
paradigm changing revolution of total mesorectal excision.
PMID- 29806757
TI - Radiofrequency ablation for Barrett's esophagus-related neoplasia.
AB - Barrett's esophagus (BE) is a premalignant condition associated with increased
risk of developing esophageal adenocarcinoma. In the past, BE patients with high
grade intraepithelial neoplasia (IEN) or early adenocarcinoma (EAC) were
indicated for esophagectomy. With the recent advance in endoscopy, endoscopic
techniques have surpassed esophagectomy in the treatment of Barrett's esophagus
related neoplasia and minimized the treatment-related morbidity. Patients with
IEN are candidates for endoscopic treatment - endoscopic mucosal resection (ER)
of visible lesions and/or ablation therapy of flat Barrett's mucosa. ER combined
with radiofrequency ablation (RFA) is now considered as a gold standard for
treatment of patients with early Barrett's cancer. RFA is currently the most
effective method of ablation used in the treatment of low-grade intraepithelial
neoplasia/high-grade intraepithelial neoplasia without visible lesions and for
ablation of residual Barrett's mucosa following ER/ESD of EAC or HGIN aiming to
achieve complete eradication of Barrett's surface and thus, decreasing the risk
of recurrent dysplasia or cancer. The rates of complete remission of neoplasia
and metaplasia after completion of endoscopic treatment are 81-92.6% and 75
88.2%, respectively. The aim of this article is to review the principles,
techniques, indications, efficacy and safety of this ablative method and
surveillance of patients after successful treatment with RFA.
PMID- 29806760
TI - Oligometastatic prostate cancer and salvage lymph node dissection: systematic
review.
AB - INTRODUCTION: We provide a comprehensive description of the physio-pathological
theories behind oligometastatic prostate cancer (PCa) and analyse modern imaging
techniques, presenting a systematic review of the available evidences regarding
salvage lymph node dissection (sLND). EVIDENCE ACQUISITION: A systematic review
was attempted. The PubMed/Medline database was searched for "salvage" AND ("lymph
node dissection" OR "lymphadenectomy") AND "prostate" AND "cancer". Only English
publications were targeted. Relevant original articles addressing the role of
sLND in PCa were selected. EVIDENCE SYNTHESIS: Biochemical response (BR) was
reported in 10-79.5% of the cases overall. These results were not durable and
biochemical recurrence occurred in 54.5-93.8% of the cases, within 5 years.
Furthermore, 50-80% of patients received some kind of adjuvant treatment right
after sLND, regardless post-operative prostate-specific antigen levels. Surgery
related morbidity was low, with a 0-27% incidence of Clavien-Dindo III
complications. No sLND-related deaths were observed. CONCLUSIONS: sLND is not
associated with a durable response over time but may postpone HT and its related
complications, in selected patients. Although a limited morbidity was reported,
sLND remains technically demanding and a careful selection of patients is
advisable.
PMID- 29806759
TI - Role of confocal laser endomicroscopy in patients with early esophageal
neoplasia.
AB - Probe-based confocal laser endomicroscopy (pCLE) enables real-time
histopathological assessment during endoscopic procedures to evaluate epithelial
and subepithelial structures with a 1000x magnification. It may be used in
various localizations not only in the digestive tract, but its role in clinical
practice is still a matter of discussion. The main advantages of pCLE compared to
standard biopsies may be: 1) real-time diagnosis; 2) which may be done by the
endoscopist; and 3) a larger evaluated area compared to standard biopsies. In
theory, pCLE has the potential to eliminate the need for biopsy. However, pCLE
cannot replace standard biopsies at this time, among others, standard forceps
biopsies are presently more cost-effective. pCLE may be used to enhance the
diagnostic arsenal and improve mucosal visualization and evaluation in patients
with Barrett's esophagus (BE), with visible esophageal lesions and in patients
undergoing surveillance endoscopy after endoscopic treatment of BE related
neoplasia. pCLE requires sufficient training and use of validated classifications
systems. At present, the majority of endoscopic centers do not use pCLE routinely
and no guidelines recommend its routine use for patients with different
esophageal diseases, although pCLE is (in selected indications) reimbursed in
some countries. This article describes the principle and performance of pCLE and
reviews its use in patients with BE and early esophageal neoplasia.
PMID- 29806758
TI - Selective use of radiation for locally advanced rectal cancer: one size does not
fit all.
AB - The last three decades have seen several major advances in the multidisciplinary
management of locally advanced rectal cancer (LARC). Although rectal cancer
management varies globally, the standard of care for clinical stage II/III rectal
cancer in North America remains chemoradiation followed by total mesorectal
excision and adjuvant therapy. In this review we evaluate the evidence for
neoadjuvant therapy in LARC and the variety of treatment options available. We
identify heterogeneity of outcomes in stage II/III rectal cancer, leading to the
potential for overtreatment. The PROSPECT Trial is a multicenter, international
effort to determine whether a selective approach to provision of radiotherapy in
stage II/III LARC is a viable treatment option. Unlike many other studies, the
aim of PROSPECT is to reduce treatment rather than increase the intensity of
preoperative therapy. LARC is a heterogeneous disease with varying risk of
relapse. Studies are underway to attempt to individualize care to avoid
overtreatment while maintaining excellent oncologic outcomes.
PMID- 29806761
TI - Iatrogenic spleen injury risk during robotic left colonic and rectal resections
by routine left flexure mobilization technique: a retrospective study.
AB - BACKGROUND: The routine mobilization of the left colonic flexure as a standard
procedure during left colonic/rectal resection is a controversial topic in open
and minimally invasive surgery. According to some authors, this maneuver may
increase the risk of iatrogenic spleen damage; for others this does not change
the odds. Ligaments over-traction is the most frequent injury mechanism. Some
documented risk factors are reported: laparotomic approach, male gender, vascular
disease, cancer, diverticulitis, surgery performed in emergency-setting. The type
of procedure influences the associated risk: transverse colectomy is the
riskiest, followed by left colonic resection and pancolectomy. METHODS:
Retrospective original paper. Sample size - a total of 125 patients have been
considered. 75 robotic left colonic resections (60%), 40 robotic rectal
resections (32%) and 10 robotic pancolectomy (8%). Primary outcomes - 1)
percentage of iatrogenic splenic injuries; 2) conversion rate. Secondary outcomes
- 1) intra-/postoperative complications; 2) anastomotic leakage rate; 3)
mortality. In order to avoid potential confounding factors and
technical/expertise heterogeneity, all the procedures included have been
performed using the same standardized operative technique and by the same
experienced surgeon (P.C.G.). RESULTS: We retrospectively analyzed 125
procedures. Primary outcomes - 1) iatrogenic splenic injuries: 0%; 2) conversion
rate: 1.6%. Secondary outcomes - 1) intraoperative complications: 0%; 2)
anastomotic leakage rate: 1 case of leakage out of 125 cases (1.3% of the left
colectomy sub-sample); in this case the leakage was probably due to an infectious
process rather than a vascular deficit; 3) mortality: 0%; 4) miscellanea
postoperatory complications (small bowel obstructions, wound infection, pelvic
collections, pneumonia and acute kidney injury) are detailed in the manuscript.
CONCLUSIONS: In our experience, and according to some of the literature data as
well, during robotic left colonic/rectal resections the routine mobilization of
the left flexure as a standard procedure is not a risk factor in terms of
iatrogenic spleen injury rate. Conversely, this technique may be beneficial as it
does not excessively extend the operative time, increases the surgical skills
acquirement, and reduces the tension-related anastomotic ischemia. It also allows
a better oncological dissection. Standard laparoscopic approach reduces the rate
of spleen by almost 3.5 times in comparison to open surgery. The improved
technical accuracy provided by the robotic platform may decrease the rate of
splenic injury. More studies are needed on the topic to confirm our findings.
PMID- 29806762
TI - Operative technique in robotic left colonic resection.
AB - Minimally invasive techniques have changed the clinical practice in general
surgery and provided an improvement of outcomes. Laparoscopic surgery has similar
oncological outcomes vs open in the colorectal field. Those findings have been
proven by prospective randomized multicenter trials and systematic reviews.
However, part of the colorectal operations are still being performed by the open
approach. This is partially related to the technical hurdles of the laparoscopic
approach (particularly for more complex cases). Robotic surgery can be beneficial
in overcoming the laparoscopic hurdles and limitations. Indeed, given the
improved dexterity, the 3-D stereotactic magnified view (with the camera
controlled directly by the Surgeon), the tremor filtering technology and the 7
degrees of liberty of the surgical instruments can guarantee a more accurate
surgical dissection and tissue manipulation. Herein, after a large robotic
experience in this field connected to a robotic program started by Giulianotti et
al1 in October 2000, we present our approach to robotic left colonic resection
with routine splenic flexure mobilization. This approach may be helpful to get
more reproducible results, it may be a technical guide and also an additional
training tool for surgical residents.
PMID- 29806763
TI - Operative technique in robotic rectal resection.
AB - A still too high percentage of the colorectal resections are currently performed
by open technique. This in part because laparoscopy has some technical hurdles:
not ideal ergonomics, poor control on the traction exerted by the Assistant,
long/steep learning curve, confined dexterity, low tactile feedback, hand-tremor
and 2D vision with a not completely stable camera. The robotic approach, given
the increased surgical dexterity and the better surgical view, may be used to
solve the laparoscopic downsides (in particular in the most complex cases). In
the present work, after an extensive robotic experience performed at the UIC and
a robotic program started by Giulianotti et al1 in October 2000, we show our
operative steps for the robotic rectal resection. The aim is to propose a model
to standardize the surgical technique and potentially paving the way for the
acquisition of more reproducible data among different centers. This proposal may
be also a technical guide to learn the robotic way and also for the expert
surgeons as an adjunct in the teaching strategy.
PMID- 29806764
TI - [Mycotic aneurysm of the abdominal aorta due to Listeria monocytogenes].
PMID- 29806765
TI - Achromobacter xylosoxidans bacteremia: clinical and microbiological features in a
10-year case series.
AB - OBJECTIVE: The treatment of Achromobacter xylosoxidans bacteremia is challenged
by antimicrobial resistance and the paucity of data. We aimed at offering a
contemporary description of this uncommon entity. METHODS: Retrospective case
series of 13 episodes of A. xylosoxidans bacteremia diagnosed over a 10-year
period (November 2007 to May 2017) in our tertiary care center. RESULTS: Solid
organ cancer and heart failure were the most common comorbidities (4/13 [30.7%]).
All but one episodes were hospital-acquired. Most patients had received previous
antibiotic therapy (7/13 [53.8%]) and had a central venous catheter in place
(6/13 [46.1%]). Primary and intravascular catheter were the most common sources
(4/13 [30.7%] each). Meropenem was the agent with best in vitro activity (92.3%
[12/13] of susceptible isolates). All-cause 30-day mortality (overall 23.1%) was
higher in patients with primary bacteremia (50.0% vs. 11.1%; P-value=0.203) and
prior chemotherapy (66.7% vs. 10.0%; P-value=0.108). CONCLUSIONS: Bacteremia due
to A. xylosoxidans constitutes a serious infection among immunocompromised hosts.
Carbapenem-based therapy may be appropriate in most cases.
PMID- 29806766
TI - [Colonization rates by Streptococcus agalactiae in Spanish and foreign pregnant
women in the Fuenlabrada University Hospital].
AB - OBJECTIVE: In pregnant women, the rectovaginal colonization by Streptococcus
agalactiae (GBS) is related with geographic area of origin (6.5% to 36%). It was
analysed GBS carriage in pregnant women in 2012-2014 in our hospital. METHODS:
Observational retrospective study about GBS isolates from rectovaginal samples
(RVS) and urine cultures of Spanish and immigrant pregnant women in 2012-2014. It
was considered only a single isolation for patient. There were excluded women
with GBS in urine samples of RVS study. RESULTS: A total of 4,648 Spanish and
1,405 immigrant women were analysed. GBS was detected in urine samples in 231
Spanish (5%) and 106 immigrant (7.6%). A total of 5,716 RVS were analysed, GBS
was detected in 10.5% of Spanish women and in 18.9% of immigrant women.
CONCLUSIONS: The overall colonization in immigrant women is higher than in
Spanish with the exception of Asian women. Cases of GBS detected in urine samples
might serve as a possible explanation for the high rate of GBS carriage.
PMID- 29806767
TI - Efficacy of a new guide extension catheter in endovascular therapy for
infrapopliteal occlusive disease.
PMID- 29806768
TI - The frozen elephant trunk treatment is the operation of choice for all kinds of
arch disease.
AB - BACKGROUND: Frozen elephant trunk (FET) is considered to be the treatment of
choice in complex multi-segmental thoracic aortic disease involving the distal
arch. Institutional results of FET technique are presented. METHODS: From January
2005 to October 2017, 286 patients underwent FET surgery in our department.
Patients (mean age 59+/-11 years) were operated for acute type I (55%) aortic
dissection (AD), chronic AD (23%) and aneurysm (22%). Arch repair was performed
with the E-vita Open prosthesis under with selective cerebral perfusion and
hypothermic circulatory arrest. Zone 2 arch repair was applied in combination
with debranching of the left subclavian artery. Redo-surgery after previous
sternotomy underwent 52 patients. RESULTS: Distal FET was moved from Zone 3 (36%)
to Zone 2 (64%) during the past 10 years. Thirty-day mortality was 11% and
similar in patients after first or redo-sternotomy (P=1.000). Proximalization of
the anastomosis in Zone 2 improved permanent cerebral (4% vs. 8%, P=0.285) as
wells as spinal events (2% vs. 4%, P=0.256) though not yet statistically
significant. However, postoperative renal (26% vs. 43%, P=0.004) and pulmonary
failure (19% vs. 42%, P<0.001) could be decreased significantly. Five-year
survival was 75% and also improved with Zone 2 arch repair (P=0.022). Distal
aortic arch pathology was excluded in all but one patient. Freedom from re
intervention downstream was 81% and was improved in acute AD compared to chronic
AD and aneurysm (P=0.001). Not a single endoleak type I was encountered with this
surgical-endovascular approach. CONCLUSIONS: FET is the surgical treatment option
of choice to achieve lasting results down to the stent-graft end for all comers
with all kinds of arch disease and facilitates additional endovascular or
surgical treatment downstream, if required. FET in combination with debranching
enabling Zone 2 arch repair improved the results. However, FET remains major
surgery and less invasive techniques including complete endovascular arch repair
methods are welcome to increase our treatment armamentarium especially in frail
multi-morbid patients.
PMID- 29806769
TI - The use of drug-coated balloons in the treatment of femoropopliteal and
infrapopliteal disease.
AB - While the field of endovascular interventions has evolved in the last decade,
technological advancements have rendered drug-coated balloons (DCBs) to be the
first line therapy for femoropopliteal artery disease. As the knowledge continues
to advance, the application of DCB to the infrapopliteal segments as well as its
role in in conjunction with plaque modification to minimize stent utilization,
will be further elucidated.
PMID- 29806770
TI - Wet Pretreatment-Induced Modification of Cu(In,Ga)Se2/Cd-Free ZnTiO Buffer
Interface.
AB - We report a novel Cd-free ZnTiO buffer layer deposited by atomic layer deposition
for Cu(In,Ga)Se2 (CIGS) solar cells. Wet pretreatments of the CIGS absorbers with
NH4OH, H2O, and/or aqueous solution of Cd2+ ions were explored to improve the
quality of the CIGS/ZnTiO interface, and their effects on the chemical state of
the absorber and the final performance of Cd-free CIGS devices were investigated.
X-ray photoelectron spectroscopy (XPS) analysis revealed that the aqueous
solution etched away sodium compounds accumulated on the CIGS surface, which was
found to be detrimental for solar cell operation. Wet treatment with NH4OH
solution led to a reduced photocurrent, which was attributed to the thinning (or
removal) of an ordered vacancy compound (OVC) layer on the CIGS surface as
evidenced by an increased Cu XPS peak intensity after the NH4OH treatment.
However, the addition of Cd2+ ions to the NH4OH aqueous solution suppressed the
etching of the OVC by NH4OH, explaining why such a negative effect of NH4OH is
not present in the conventional chemical bath deposition of CdS. The band
alignment at the CIGS/ZnTiO interface was quantified using XPS depth profile
measurements. A small cliff-like conduction band offset of -0.11 eV was
identified at the interface, which indicates room for further improvement of
efficiency of the CIGS/ZnTiO solar cells once the band alignment is altered to a
slight spike by inserting a passivation layer with a higher conduction band edge
than ZnTiO. Combination of the small cliff conduction band offset at the
interface, removal of the Na compound via water, and surface doping by Cd ions
allowed the application of ZnTiO buffer to CIGS treated with Cd solutions,
exhibiting an efficiency of 80% compared to that of a reference CIGS solar cell
treated with the CdS.
PMID- 29806771
TI - Electrochemically Switchable Polymeric Membrane Ion-Selective Electrodes.
AB - We present here for the first time a solid contact ion-selective electrode
suitable for the simultaneous sensing of cations (tetrabutylammonium) and anions
(hexafluorophosphate), achieved by electrochemical switching. The membrane is
based on a thin plasticized polyurethane membrane deposited on poly(3
octylthiophene) (POT) and contains a cation exchanger and lipophilic electrolyte
(ETH 500). The cation exchanger is initially in excess; the ion-selective
electrode exhibits an initial potentiometric response to cations. During an
oxidative current pulse, POT is converted into POT+, which results in the
expulsion of cations from the membrane followed by the extraction of anions from
the sample solution to fulfill the electroneutrality condition. This creates a
defined excess of lipophilic cation in the membrane, resulting in a
potentiometric anion response. A reductive current pulse restores the original
cation response by triggering the conversion of POT+ back into POT, which is
accompanied by the expulsion of anions from the membrane and the extraction of
cations from the sample solution. Various current pulse magnitudes and durations
are explored, and the best results in terms of response slope values and signal
stability were observed with an oxidation current pulse of 140 MUA cm-2 applied
for 8 s and a reduction current pulse of -71 MUA cm-2 applied for 8 s.
PMID- 29806772
TI - Stereospecific Autocatalytic Surface Explosion Chemistry of Polycyclic Aromatic
Hydrocarbons.
AB - Autocatalytic processes are important in many fields of science, including
surface chemistry. A better understanding of its mechanisms may improve the
current knowledge on heterogeneous catalysis. The thermally induced decomposition
of eight different polycyclic aromatic hydrocarbons (PAHs) on a saturated
monolayer of atomic oxygen on a Cu(100) surface is studied using temperature
programmed reaction spectroscopy (TPRS), X-ray photoelectron spectroscopy (XPS),
and scanning tunneling microscopy (STM). 9-Bromo-heptahelicene decomposes
autocatalytically in a narrow temperature range into CO2 and H2O, while non
halogenated heptahelicene decomposes into the same products but does not show
autocatalytic behavior. Fixation of the hydrocarbon to the surface via the
organometallic bond after elimination of the bromine is identified as a
prerequisite for the autocatalytic reaction mechanism. Of all the hydrocarbons
studied, only those being sterically overcrowded decompose autocatalytically.
Such an observation can be explained by facile dehydrogenation of the overcrowded
PAHs. The reaction of such hydrogen with oxygen creates vacancies in the oxygen
layer which act as active sites and catalyze further decomposition.
PMID- 29806773
TI - Selective Substrates and Activity-Based Probes for Imaging of the Human
Constitutive 20S Proteasome in Cells and Blood Samples.
AB - The proteasome is an enzyme complex critical for maintaining protein homeostasis.
Perturbed proteasome function leads to pathologies including cancer and
autoimmune and neurodegenerative disease. Therefore, the proteasome constitutes
an excellent molecular target for pharmaceutical development. Here, using the
HyCoSuL approach, we designed and synthesized novel and selective fluorogenic
substrates for each of these three constitutive 20S proteasome activities and
applied them to assess inhibition of proteasome subunits by MG-132 and a
clinically used inhibitor bortezomib. Our results confirm the utility of designed
substrates in biochemical assays. Furthermore, selective peptide sequences
obtained in this manner were used to construct fluorophore-labeled activity-based
probes and then utilized to detect each constitutive 20S proteasome subunit
simultaneously in lysates of HEK-293F cells and red blood cells. Overall, we
describe a simple and rapid method useful to measure constitutive 20S proteasome
activity in whole human blood samples that could enable early diagnosis of
pathological states associated with aberrantly upregulated proteasome activity.
PMID- 29806774
TI - Metabolic Redesign of Rhodobacter sphaeroides for Lycopene Production.
AB - Lycopene plays an important role as an antioxidative and anticancer agent, and is
an increasingly valuable commodity in the global market. Rhodobacter sphaeroides,
a carotenogenic and phototrophic bacterium, is an efficient and practical host
for carotenoid production. Herein, we explored the potential of metabolically
engineered Rb. sphaeroides as a novel platform to produce lycopene. The basal
lycopene-producing strain was generated by introducing an exogenous crtI4 from
Rhodospirillum rubrum to replace the native crtI3 and deleting crtC in Rb.
sphaeroides. Furthermore, knocking out zwf blocked the competitive pentose
phosphate pathway and improved the lycopene content by 88%. Finally, the
methylerythritol phosphate pathway was reinforced by integration of dxs combined
with zwf deletion, which further increased the lycopene content. The final
engineered strain produced lycopene to 10.32 mg/g dry cell weight. This study
describes a new lycopene producer and provides insight into a photosynthetic
bacterium as a host for lycopene biosynthesis.
PMID- 29806775
TI - Influence of an Interfacial Effect on the Laser Performance of a Rhodamine
6G/Cellulose Acetate Waveguide on a Vinylidene Fluoride Copolymer Layer.
AB - The fluorescent properties of dyes and fluorophores in condensed matter
significantly affect the laser performance of organic dye lasers and fluorescent
polymer lasers. Concentration quenching of fluorescence is commonly observed in
condensed matter. Several approaches have been presented to suppress such
quenching, such as the use of a dendrimer and the use of effective energy
transfer in a guest-host system. The enhanced fluorescence of rhodamine 6G (R6G)
dye on a vinylidene fluoride polymer is an alternative method for enhancing laser
performance because of the roughness of the P(VDF-TrFE) surface and the
interaction between polar beta-crystals of P(VDF-TrFE) and R6G dye. In this
paper, a significant improvement in slope efficiency (SE) is demonstrated without
a significant depression in the lasing threshold for distributed feedback (DFB)
and distributed Bragg reflector (DBR) lasers fabricated using an R6G-dispersed
cellulose acetate (CA) matrix spin-coated onto a copolymer of vinylidene fluoride
and trifluoroethylene P(VDF-TrFE) thin film. SEs of 3.4 and 1.3% were measured
for DBR and DFB laser devices with CA/R6G on a P(VDF-TrFE) thin film,
respectively, whereas an SE of less than 1.0% was measured for both corresponding
laser devices without a P(VDF-TrFE) thin film. From the aspect of simple
fabrication procedures, repeatability in device fabrication and performance,
stability of the device, time for device fabrication, the present approach is the
most preferable way for industrial applications, requiring only the additional
step of spin-coating of a P(VDF-TrFE) thin film.
PMID- 29806776
TI - Defective autophagy degradation and abnormal tight junction-associated signaling
drive epithelial dysfunction in cystinosis.
AB - Cystinosis is a lysosomal storage disease due to inactivating mutations in CTNS,
the cystinosin transporter that exports cystine out of lysosomes. The lysosomal
accumulation of cystine leads to severe dysfunction of the epithelial cells
lining the proximal tubule of the kidney, causing defective endocytosis and
massive losses of solutes in the urine. The mechanisms linking lysosomal defect
and epithelial dysfunction were unknown, preventing the development of disease
modifying therapies. We recently reported that lysosomal alterations in
cystinosis lead to defective autophagic clearance of damaged mitochondria,
generating oxidative stress. The latter destabilizes tight junctions and
activates an abnormal YBX3 (Y box binding protein 3) transcriptional program
driving a loss of differentiation and defective apical endocytosis in cystinosis
cells. Correction of the primary lysosomal defect, neutralization of
mitochondrial oxidative stress, or blockage of tight junction-associated YBX3
signaling rescue epithelial function and endocytic uptake. Our findings suggest a
cascade that links lysosomal disease, defective autophagy and epithelial
dysfunction, providing new perspectives for cystinosis and lysosomal storage
disorders.
PMID- 29806777
TI - The biochemistry, signalling and disease relevance of RYK and other WNT-binding
receptor tyrosine kinases.
AB - The receptor tyrosine kinases (RTKs) are a well-characterized family of growth
factor receptors that have central roles in human disease and are frequently
therapeutically targeted. The RYK, ROR, PTK7 and MuSK subfamilies make up an
understudied subset of WNT-binding RTKs. Numerous developmental, stem cell and
pathological roles of WNTs, in particular WNT5A, involve signalling via these WNT
receptors. The WNT-binding RTKs have highly context-dependent signalling outputs
and stimulate the beta-catenin-dependent, planar cell polarity and/or WNT/Ca2+
pathways. RYK, ROR and PTK7 members have a pseudokinase domain in their
intracellular regions. Alternative signalling mechanisms, including proteolytic
cleavage and protein scaffolding functions, have been identified for these
receptors. This review explores the structure, signalling, physiological and
pathological roles of RYK, with particular attention paid to cancer and the
possibility of therapeutically targeting RYK. The other WNT-binding RTKs are
compared with RYK throughout to highlight the similarities and differences within
this subset of WNT receptors.
PMID- 29806778
TI - Design and construction of an Unintentional Injury Risk Index (UIRI) to measure
frequency and severity of accidental injuries in Europe.
AB - In today's Risk Society, accidental injuries are considered an important public
health problem. To design coherent programmes aimed at reducing risk, it is
necessary to measure the magnitude of this phenomenon with its changing meaning
from random misfortune to foreseeable and preventable risk. To calculate the
total volume of accidental injuries in Europe, the empirical aim of this article,
we have designed an adequate measurement instrument: the Unintentional Injury
Risk Index (UIRI) counts both the probability (incidence) and the consequences
(severity) of injuries. The index calculated for EU countries in 2009 revealed
that accidents caused injuries and suffering equivalent to 96.4 deaths per
100,000 population. Based on the index, other specific indices can be calculated,
such as personal proneness, country risk or site hazard indices, useful for
deepening knowledge on the risk factors for unintentional injuries.
PMID- 29806780
TI - Corrigendum.
PMID- 29806779
TI - Geographic analysis of road accident severity index in Nigeria.
AB - Before 2030, deaths from road traffic accidents (RTAs) will surpass
cerebrovascular disease, tuberculosis, and HIV/AIDS. Yet, there is little
knowledge on the geographic distribution of RTA severity in Nigeria. Accident
Severity Index is the proportion of deaths that result from a road accident. This
study analysed the geographic pattern of RTA severity based on the data retrieved
from Federal Road Safety Corps (FRSC). The study predicted a two-year data from a
historic road accident data using exponential smoothing technique. To determine
spatial autocorrelation, global and local indicators of spatial association were
implemented in a geographic information system. Results show significant clusters
of high RTA severity among states in the northeast and the northwest of Nigeria.
Hence, the findings are discussed from two perspectives: Road traffic law
compliance and poor emergency response. Conclusion, the severity of RTA is high
in the northern states of Nigeria, hence, RTA remains a public health concern.
PMID- 29806781
TI - Pediatric reference intervals for general clinical chemistry components - merging
of studies from Denmark and Sweden.
AB - BACKGROUND: Reference intervals are crucial tools aiding clinicians when making
medical decisions. However, for children such values often are lacking or
incomplete. The present study combines data from separate pediatric reference
interval studies of Denmark and Sweden in order to increase sample size and to
include also pre-school children who were lacking in the Danish study. METHODS:
Results from two separate studies including 1988 healthy children and adolescents
aged 6 months to 18 years of age were merged and recalculated. Eighteen general
clinical chemistry components were measured on Abbott and Roche platforms. To
facilitate commutability, the NFKK Reference Serum X was used. RESULTS: Age- and
gender-specific pediatric reference intervals were defined by calculating 2.5 and
97.5 percentiles. CONCLUSION: The data generated are primarily applicable to a
Nordic population, but could be used by any laboratory if validated for the local
patient population.
PMID- 29806782
TI - A feasibility study of predictable and unpredictable surf-like sounds for
tinnitus therapy using personal music players.
AB - OBJECTIVE: To evaluate the feasibility of predictable or unpredictable amplitude
modulated sounds for tinnitus therapy. DESIGN: The study consisted of two parts.
(1) An adaptation experiment. Loudness level matches and rating scales (10-point)
for loudness and distress were obtained at a silent baseline and at the end of
three counterbalanced 30-min exposures (silence, predictable and unpredictable).
(2) A qualitative 2-week sound therapy feasibility trial. Participants took home
a personal music player (PMP). STUDY SAMPLE: Part 1: 23 individuals with chronic
tinnitus and part 2: seven individuals randomly selected from Part 1. RESULTS:
Self-reported tinnitus loudness and annoyance were significantly lower than
baseline ratings after acute unpredictable sound exposure. Tinnitus annoyance
ratings were also significantly lower than the baseline but the effect was small.
The feasibility trial identified that participant preferences for sounds varied.
Three participants did not obtain any benefit from either sound. Three
participants preferred unpredictable compared to predictable sounds. Some
participants had difficulty using the PMP, the average self-report hours of use
were low (less <1 h/day). CONCLUSIONS: Unpredictable surf-like sounds played
using a PMP is a feasible tinnitus treatment. Further work is required to improve
the acceptance of the sound and ease of PMP use.
PMID- 29806783
TI - Evaluation of the ultrasound effect on treated municipal wastewater.
AB - In this research, ultrasound (US; 26 kHz) application was evaluated as tertiary
treatment of treated municipal wastewater coming from conventional activated
sludge (AS) and constructed wetland (CW) systems. The degree of disinfection was
evaluated through the total (TC) and faecal (FC) coliforms and by somatic
coliphages (SCs) determinations. The experiments were carried out without
temperature control at times of 200, 400 and 600 s and with temperature control
(298.1 K) at 600, 1200 and 1800 s. Changes in the concentrations of C, N and P
were also studied. The results shown that treatment without temperature control
allowed 100% inactivation for TC, FC and SC at 600 s, while maximum with
temperature was achieved at 1800 s. Temperature was an important factor
influencing pathogens inactivation. In both cases, microorganism concentrations
complied with different international guidelines for the reuse of treated
wastewater. At 1800 s sonication concentrations of biochemical oxygen demand,
chemical oxygen demand and total phosphorus were reduced 39.5, 39.4, 50.0 and
37.3% TN in the AS-treated water and 24.0, 49.8, 20.2 and 7.7% in the CW-treated
water, respectively. In both cases, the formation of [Formula: see text] and
[Formula: see text] radicals is most likely related to the observed pollutants
removal. While energy consumption of ultrasound was higher than other advanced
treatments such as electrocoagulation, its implementation allows the simultaneous
removal of pathogens and organic pollutants without the generation of toxic by
products. In conclusion, ultrasound can be implemented as tertiary treatment of
municipal wastewater for the removal of biological and organic pollution,
according to reuse guidelines in terms of pathogens presence.
PMID- 29806784
TI - Age-associated and tissue-specific decline in autophagic activity in the nematode
C. elegans.
AB - Macroautophagy/autophagy is a cellular recycling process that is required for the
extended life span observed in many longevity paradigms, including in the
nematode C. elegans. However, little is known regarding the spatiotemporal
changes in autophagic activity in such long-lived mutants as well as in wild-type
animals during normal aging. In a recent study, we report that autophagic
activity decreases with age in several major tissues of wild-type C. elegans,
including the intestine, body-wall muscle, pharynx, and nerve-ring neurons.
Moreover, long-lived daf-2/insulin-signaling mutants and glp-1/Notch receptor
mutants display increased autophagic activity, yet with different time- and
tissue-specific differences. Notably, the intestine appears to be a critical
tissue in which autophagy contributes to longevity in glp-1, but not in daf-2
mutants. Our findings indicate that autophagic degradation is reduced with age,
possibly with distinct kinetics in different tissues, and that long-lived mutants
increase autophagy in a tissue-specific manner, resulting in increased life span.
PMID- 29806785
TI - Out with the old, in with the new: how changes in cricket helmet regulations
affect the vision of batters.
AB - The International Cricket Council recently introduced new regulations for helmets
in cricket. Amongst other changes, these regulations limit batters from adjusting
the gap between the peak and the grille, resulting in some controversy over
whether the new helmet design reduces visibility of the ball. This study compared
the visual field of individuals when wearing an old helmet that does not conform
to the new regulations, and the equivalent replacement which does. The visual
field of 10 male participants was tested whilst wearing an old and new helmet.
The new helmet resulted in a significant reduction in the visual field of the
wearer (M = 66.1 out of 76 points seen in the new helmet vs. 74.8 seen with the
old helmet), with the restriction predominantly confined to the superior visual
field. The new regulations do appear to restrict the visual field of batters,
confirming the anecdotal reports of players. However, the majority of this
restriction occurs in the superior field, suggesting that the impact on batting
performance may be limited. The importance of considering the impact that new
helmet regulations can have on vision, batting performance, and player safety is
discussed.
PMID- 29806786
TI - A chimeric mutant analysis in yeast cells suggests BiP independent regulation of
the mammalian endoplasmic reticulum-stress sensor IRE1alpha.
AB - An endoplasmic reticulum (ER)-located transmembrane protein, Ire1, triggers
cytoprotective events upon ER stress. Chimeric yeast Ire1 carrying the luminal
domain of the mammalian major Ire1 paralogue IRE1alpha is upregulated in ER
stressed yeast cells, but is poorly associated with the ER-located chaperone BiP
even under non-stressed conditions. This observation contradicts the theory that
BiP is the master regulator of IRE1alpha.
PMID- 29806787
TI - Investigation on ultrasonication mediated biosurfactant disintegration method in
sludge flocs for enhancing hydrolytic enzymes activity and polyhydroxyalkanoates.
AB - In this study, a novel biosurfactant potential bacterial strain Pseudomonas
pachastrellae RW43 was isolated from pulp and paper sludge and the biosurfactant
namely rhamnolipid produced by Pseudomonas pachastrellae RW43 was investigated by
varying pH and incubation time in batch liquid fermentation process. The maximal
yield of rhamnolipid was found to be 12.1 g/L at an optimized condition of pH 7
and incubation time of 168 h. NMR analysis was performed for identification of
molecular structure of produced rhamnolipid and its results concluded that the
product was identified as di rhamnolipid. Then, statistically the global optimum
conditions for hydrolytic enzymes extraction parameters (sonication power (100
W), extraction time (15 min) and rhamnolipid dosage (2% v/v)) were established.
At 30,456 kJ/kg TS specific energy, ultrasonication with rhamnolipid
disintegration method extracted maximal consortium activity of hydrolytic enzymes
from mixed sludge (municipal and pulp & paper sludge) and the maximum observed
were found to be 42.22, 51.75, 34.26, 24.21, 11.35 Units/g VSS respectively for
protease, alpha-amylase, cellulase, lipase and alpha-glucosidase.
Polyhydroxyalkanoates was recovered from enzymes extracted sludge using various
solvents namely chloroform, sodium hypochlorite with chloroform and sodium lauryl
sulfate with sodium hypochlorite. The maximum recovery was found to be 74 g/kg
using sodium hypochlorite and chloroform extraction solvents.
PMID- 29806788
TI - Integration and Utilization of Peer Leaders for Diabetes Self-Management Support:
Results From Project SEED (Support, Education, and Evaluation in Diabetes).
AB - Purpose The purpose of the study was to evaluate the effectiveness of a peer
leader-led (PL) diabetes self-management support (DSMS) group in achieving and
maintaining improvements in A1C, self-monitoring of blood glucose (SMBG), and
diabetes distress in individuals with diabetes. Diabetes self-management support
is critical; however, effective, sustainable support models are scarce. Methods
The study was a cluster randomized controlled trial of 221 people with diabetes
from 6 primary care practices. Practices and eligible participants (mean age:
63.0 years, 63.8% female, 96.8% white, 28.5% at or below poverty level, 32.5%
using insulin, A1C >=7%: 54.2%) were randomized to diabetes self-management
education (DSME) + PL DSMS (n = 119) or to enhanced usual care (EUC) (DSME +
traditional DSMS with no PL; n = 102). Data were collected at baseline, after
DSME (6 weeks), after DSMS (6 months), and after telephonic DSMS (12 months).
Results Decreases in A1C occurred between baseline and post-DSME in both groups.
Both groups sustained improvements during DSMS, but A1C levels increased during
telephonic DSMS. Improvements in self-monitoring of blood glucose were observed
in both groups following DSME and were sustained throughout. At study end, the
intervention group was 4.3 times less likely to have diabetes regimen-related
distress compared to EUC. Conclusions PL DSMS is as effective as traditional DSMS
in helping participants to maintain glycemic control and self-monitoring of blood
glucose (SMBG) and more effective at improving distress. With increasing diabetes
prevalence and shortage of diabetes educators, it is important to integrate and
use low-cost interventions in high-risk communities that build on available
resources.
PMID- 29806790
TI - Proposal and application of a regional methodology of comparative risk assessment
for potentially contaminated sites.
AB - A possible approach for determining soil and groundwater quality criteria for
contaminated sites is the comparative risk assessment. Originating from but not
limited to Italian interest in a decentralised (regional) implementation of
comparative risk assessment, this paper first addresses the proposal of an
original methodology called CORIANREG-M, which was created with initial attention
to the context of potentially contaminated sites in the Marche Region (Central
Italy). To deepen the technical-scientific knowledge and applicability of the
comparative risk assessment, the following characteristics of the CORIANREG-M
methodology appear to be relevant: the simplified but logical assumption of three
categories of factors (source and transfer/transport of potential contamination,
and impacted receptors) within each exposure pathway; the adaptation to quality
and quantity of data that are available or derivable at the given scale of
concern; the attention to a reliable but unsophisticated modelling; the
achievement of a conceptual linkage to the absolute risk assessment approach; and
the potential for easy updating and/or refining of the methodology. Further, the
application of the CORIANREG-M methodology to some case-study sites located in
the Marche Region indicated the following: a positive correlation can be expected
between air and direct contact pathway scores, as well as between individual
pathway scores and the overall site scores based on a root-mean-square algorithm;
the exposure pathway, which presents the highest variability of scores, tends to
be dominant at sites with the highest computed overall site scores; and the
adoption of a root-mean-square algorithm can be expected to emphasise the overall
site scoring.
PMID- 29806789
TI - Metal oxide nanoparticle-modified graphene oxide for removal of elemental
mercury.
AB - Mercury is an extremely toxic element that is primarily released by anthropogenic
activities and natural sources. Controlling Hg emissions, especially from coal
combustion flue gas, is of practical importance in protecting the environment and
preventing human health risks. In the present work, three metal oxides (MnO2,
CuO, and ZnO) were loaded on graphene oxide (GO) sorbents (designated as MnO2-GO,
CuO-GO, and ZnO-GO). All three adsorbents were successfully synthesized and were
well characterized using scanning electron microscopy (SEM), transmission
electron microscopy (TEM), X-ray diffraction (XRD) and X-ray photoelectron
spectroscopy (XPS). The results indicated that the metal oxide nanoparticles
(NPs) successfully decorated the GO. The elemental Hg adsorption capabilities of
the three sorbents were subsequently evaluated using an in-house built setup for
cold vapour atomic fluorescence spectrophotometry (CVAFS) with argon as the
carrier gas for mercury detection. The testing temperature ranged from 50 degrees
C to 200 degrees C at intervals of 50 degrees C. MnO2-GO showed an excel lent Hg0
adsorption capacity via chemisorption from 50 to 150 degrees C and a mercury
removal efficiency as high as 85% at 200 degrees C, indicating that the MnO2-NP
modified GO is applicable for enhancing gas-phase elemental mercury removal.
However, neither CuO-GO nor ZnO-GO performed well. This work provides useful
insights into the development of novel sorbent materials for the elemental
mercury removal from flue gases.
PMID- 29806791
TI - Electrospun sodium titanate fibres for fast and selective water purification.
AB - From the environmental and end-users' viewpoints, electrospun ion exchange fibres
provide highly efficient and sustainable material for separation of for example
trace pollutants, such as radionuclides and heavy metals. This work aimed to
reduce the amount of ion exchange material needed per unit volume of raw material
subjected to an ion exchange process. We present a very simple process to
electrospinning of sodium titanate fibres, but also test results of ion exchange
kinetics measurements. Sodium titanate fibres are very promising material and it
is possible that by exploiting electrospun inorganic sub-micron fibres the ion
exchanger mass required for a given capacity can be decreased significantly.
PMID- 29806792
TI - Matching of police and hospital road crash casualty records - a data-linkage
study in Malaysia.
AB - Underreporting of road crashes hampers the development of appropriate road safety
countermeasures in many countries. In this study, police and hospital records
from road crash casualties in the Melaka Tengah district in Malaysia from 2014
were collected to determine their matching and reporting rates. Based on
authentic personal identifiers from both types of records, Microsoft SQL was used
to reveal how the matching rate varies due to multiple factors. The results
showed that 311 cases (of 7625 hospital records) could be linked to both
databases, yielding a 4.1% matching rate and a 4.7% police reporting rate. Both
the reporting and matching rates increased with the level of injury severity. The
significant underreporting in the police database showed that complementary data
are necessary for enhancing the current official crash data records.
PMID- 29806793
TI - Curcumin reduces the expression of interleukin 1beta and the production of
interleukin 6 and tumor necrosis factor alpha by M1 macrophages from patients
with Behcet's disease.
AB - OBJECTIVE: Behcet's disease (BD) is an auto-inflammatory disorder. Curcumin as a
bio-active agent has anti-inflammatory properties. Effects of curcumin on the
pathogenesis of BD are still not clear. In this study, we investigated the effect
of curcumin on the inflammatory cytokines expression and production in M1
macrophages from BD patients compared with healthy controls. METHODS: Monocytes
were collected from 10 healthy controls and 20 active BD patients, differentiated
to macrophages by macrophage-colony stimulating factor for 7 d. Macrophages were
then treated with interferon gamma, lipopolysaccharide, and curcumin (10 or 30
ug/ml) for 24 h. Analysis of tumor necrosis factor-alpha (TNFalpha), interleukin
1beta (IL-1beta), and IL-6 mRNA expression and protein production was performed
using SYBR Green qPCR and ELISA method. RESULTS: Treatment with 30 ug/ml curcumin
significantly down-regulated mRNA expression of IL-1beta (p < .05) and protein
production of IL-6 (p < .05) in M1 macrophages from BD patients but not in M1
macrophage from controls. Treatment with 30 ug/ml curcumin also significantly
diminishes the protein production of TNFalpha in BD patients (p < .01) and
healthy controls (p < .05) M1 macrophages. CONCLUSIONS: We demonstrated that
curcumin can inhibit the expression and production of inflammatory cytokines in
M1 macrophages from BD patients. Our results suggest that curcumin can modulate
inflammatory signaling more specifically in macrophages from BD patients than
healthy macrophages.
PMID- 29806794
TI - The history of natural progesterone, the never-ending story.
AB - The term progesterone should only be used for the natural hormone produced by the
ovaries or included in a registered drug. The modern history of progesterone
begins with the first book-length description of the female reproductive system
including the corpus luteum and later with the Nobel Prize winner, Adolf
Butenandt who took a crucial step when he succeeded in converting pregnanediol
into a chemically pure form of progesterone, the corpus luteum hormone. The
deficient production of progesterone was shown first to be the cause of the
luteal-phase deficiency responsible for infertility and early pregnancy loss due
to inadequate secretory transformation of the endometrium. Later, progesterone
was confirmed to be the best and safest method of providing luteal-phase support
in assisted reproductive technology. Progesterone provides adequate endometrial
protection and is suggested to be the optimal progestagen in menopausal hormone
therapy in terms of cardiovascular effects, venous thromboembolism, probably
stroke and even breast cancer risk. Neuroprotective effects of progesterone have
also been demonstrated in several of experimental models including cerebral
ischemic stroke and Alzheimer's disease. Vaginal progesterone was shown to
decrease the risk of preterm birth in women with a mid-trimester sonographic
short cervix and to improve perinatal outcomes in singleton and twin gestations.
PMID- 29806795
TI - Long-term outcomes of bilateral direct-to-implant breast reconstruction in women
at high breast cancer risk.
AB - INTRODUCTION: Challenges of direct-to-implant breast reconstruction (BR) are to
achieve sufficient implant coverage and lower pole projection. We assessed
reoperation rates, long-term patient satisfaction and aesthetic outcome after
direct-to-implant BR without acellular dermal matrix (ADM) in women with high
breast cancer risk. METHODS: Women who underwent bilateral skin or nipple-sparing
mastectomy and immediate direct-to-implant BR between 1994 and 2006 completed a
survey on reoperations and the Breast-Q Reconstruction questionnaire. Photographs
taken during follow-up were rated for long-term aesthetic outcome (scale 1-10) by
five plastic surgeons. Outcomes were compared between women who never underwent
unanticipated reoperations after immediate BR and women who underwent one or more
reoperations, adjusted for potential confounders using multivariable linear
regression. RESULTS: Of 143 women, 70 (49%) were never reoperated and 73 (51%)
had undergone reoperations. Median follow-up was 12 years in both groups (range 7
17 and 6-19 years, respectively). Baseline characteristics were comparable except
for history of prophylactic oophorectomy with 81% in the no-reoperations group
versus 66% in the reoperated group (p = .03). Breast-Q scores were 59.7 +/- 17.3
versus 58.0 +/- 17.8 (p = .67) for 'satisfaction with breasts' and 71.1 +/- 20.3
versus 68.1 +/- 22.9 (p = .47) for 'satisfaction with outcome' in the no
reoperation versus reoperation group, respectively. Aesthetic outcome was scored
5.8 +/- 1.1 in the no-reoperation group versus 5.3 +/- 1.3 in the reoperation
group (p = .01). CONCLUSIONS: The single-stage intent did not prevent
unanticipated surgical reinterventions in 51% of the patients. Long-term patient
satisfaction was reasonable and not affected by reoperations. Aesthetic outcome,
however, was only poor to reasonable and scores were significantly lower in the
reoperated group.
PMID- 29806796
TI - Response of microcystin biosynthesis and its biosynthesis gene cluster
transcription in Microcystis aeruginosa on electrochemical oxidation.
AB - Microcystin-LR (MC-LR), which is one of the most commonly found microcystins
(MCs) in fresh water, has been proved to be a potential tumour promoter and
classified as 2B by the International Agency for Research on Cancer. MC-LR
decomposition and inhibition of MC-LR production in Microcystis aeruginosa were
investigated under electrolysis condition using an electrolysis cell consisting
of Ti/Pt electrodes and Nafion membrane. The relationship between the decrease in
MC-LR concentration and transcription of MC-LR synthesis gene clusters was
determined by performing real-time reverse transcription polymerase chain
reaction (RT-qPCR) to monitor changes in the levels of transcription encoding
mcyB and mcyD (cDNA to DNA) in M. aeruginosa NIES 1086 under electrolysis
condition and three different conditions (i.e. oxygenated, air aerated and
unaerated) as controls. Cell density decreased from day 2 under electrolysis than
under the three controls. Intracellular MC-LR concentration was approximately 33
fg cell-1 under electrolysis from days 4 to 8, while those in the other
conditions ranged in 40-50 fg cell-1. The mcyB transcription continuously
decreased from day 2 to nondetectable level in day 6 under electrolysis, while
this transcription was stabilised under the three controls. This result suggested
that oxidative stress, such as hydroxyl radicals, played an important role in the
down-regulation of mcyB and mcyD gene transcription level and the MC-LR
concentration and cell density of M. aeruginosa.
PMID- 29806797
TI - State-of-the-art pulmonary arterial imaging - Part 1.
AB - The pulmonary arteries are affected by a variety of congenital and acquired
abnormalities. Multiple state-of-the art imaging modalities are available to
evaluate these pulmonary arterial abnormalities, including computed tomography
(CT), magnetic resonance imaging (MRI), echocardiography, nuclear medicine
imaging and catheter pulmonary angiography. In part one of this two-part series
on state-of-the art pulmonary arterial imaging, we review these imaging
modalities, focusing particularly on CT and MRI. We also review the utility of
these imaging modalities in the evaluation of pulmonary thromboembolism.
PMID- 29806798
TI - Evaluation of fouling mechanisms in nanofiltration as a polishing step of yeast
MBR-treated landfill leachate.
AB - The aim of this study was to evaluate the nanofiltration process as a polishing
step of a membrane bioreactor inoculated with commercial baker yeast
(Saccharomyces cerevisiae) used to treat sanitary landfill leachate. The
contaminants retention and influence of concentration polarization and fouling
phenomena on the permeate flux decline (FD) at different operating pressures were
analysed. The greatest total flux reductions of 63.57% and 70.83% were observed
for the lowest and the highest pressures, respectively, being this reduction
attributed mainly to the concentration polarization. Membrane itself and
concentration polarization phenomena were the main resistances to the
nanofiltration process. Hermia model adjustment to the experimental data revealed
that cake formation was the main mechanism that explained the FD at pressures of
8, 10 and 12 bar. At recovery rates above 40%, there was a significant decrease
in permeate quality, so this value was chosen as the viable value for the
proposed system. Integrated MBR-nanofiltration system led to the high removal of
pollutants and made the treated effluent feasible for reuse in the landfill
itself.
PMID- 29806799
TI - State-of-the-art pulmonary arterial imaging - Part 2.
AB - Although pulmonary embolism is the most common abnormality of the pulmonary
artery, there is a broad spectrum of other congenital and acquired pulmonary
arterial abnormalities. Multiple imaging modalities are now available to evaluate
these abnormalities of the pulmonary arteries. CT and MRI are the most commonly
used cross-sectional imaging modalities that provide comprehensive information on
several aspects of these abnormalities, including morphology, function, risk
stratification and therapy-monitoring. In this article, we review the role of
state-of-the-art pulmonary arterial imaging in the evaluation of non
thromboembolic disorders of pulmonary artery.
PMID- 29806800
TI - Sensorineural hearing loss in children with sickle cell anemia and its
association with endothelial dysfunction.
AB - OBJECTIVES: To investigate the prevalence of sensorineural hearing loss (SNHL) in
children and adolescents with sickle cell anemia (SCA) and its association with
endothelial dysfunction (ED). METHODS: Fifty-two participants with stable SCA and
44 apparently healthy (AA genotype) participants aged 6-18 years were evaluated
for pure tone audiometry and endothelial function using ultrasonographic imaging
of the brachial artery to assess flow-mediated dilation (FMD). Laboratory
analysis of the lipid profile and C-reactive protein levels was performed.
RESULTS: In the SCA group, 15 (28.8%) patients presented with SNHL. The FMD
values were reduced in the SCA with SNHL group compared with the SCA without SNHL
and healthy groups. Logistic regression analysis showed that FMD was associated
with SNHL independent of the lipid profile and SCA characteristics (odds ratio
[95% confidence interval] = 0.614 [0.440-0.858]; p = 0.004). DISCUSSION: SNHL is
a common complication in SCA; furthermore, this study identified a significant
association between ED and SNHL. Damage to the vascular endothelium because of
inflammation in SCA reduced blood flow in the inner ear. Thus, this circulatory
disorder culminates in vaso-occlusive process and induces auditory disorders,
such as SNHL.
PMID- 29806801
TI - A Thematic Analysis of Health Care Workers' Adoption of Mindfulness Practices.
AB - Mindfulness training, which teaches individuals to bring awareness and acceptance
to the present moment, has been effective in improving the well-being of health
care workers. Limited research examines the adoption of mindfulness practices
using health behavior theories. The current study sought to conceptualize
hospital health care workers' experiences in adopting mindfulness practices using
the Health Belief Model (HBM), a theoretical framework used by health promotion
practitioners to design and implement health behavior change interventions.
Hospital health care workers in Colorado participated in an 8-week Mindfulness
Based Stress Reduction (MBSR) course. Participants ( n = 19) answered open-ended
questions about their experiences adopting mindfulness practices. A theory-driven
thematic analysis approach was used to analyze data with key constructs of the
HBM acting as the framework for the analysis. Results showed that HBM constructs,
including internal cues to action, perceived benefits and barriers, and self
efficacy, helped portray the participants' experiences and challenges in adopting
and adhering to the mindfulness practices taught in the MBSR course.
PMID- 29806802
TI - Assessing resectability of colorectal liver metastases: How do different
subspecialties interpret the same data?
AB - BACKGROUND: Multimodal treatment of colorectal liver metastases (CRLMs) relies on
precise upfront assessment of resectability. Variability in the definition of
resectable disease and the importance of early consultation by a liver surgeon
have been reported. In this pilot study we investigated the initial resectability
assessment and patterns of referral of patients with CRLMs. METHODS: Surgeons and
medical oncologists involved in the management of colorectal cancer at 2 academic
institutions and affiliated community hospitals were surveyed. Opinions were
sought regarding resectability of CRLMs and the type of initial specialty
referral (hepatobiliary surgery, medical oncology, palliative care or other) in 6
clinical cases derived from actual cases of successfully performed 1- or 2-stage
resection/ablation of hepatic disease. Case scenarios were selected to illustrate
critical aspects of assessment of resectability, best therapeutic approaches and
specialty referral. Standard statistical analyses were performed. RESULTS: Of the
75 surgeons contacted, 64 responded (response rate 85%; 372 resectability
assessments completed). Hepatic metastases were more often considered resectable
by hepatobiliary surgeons than all other respondents (92% v. 57%, p < 0.001).
Upfront systemic therapy was most commonly prioritized by surgical oncologists (p
= 0.01). Hepatobiliary referral was still considered in 73% of "unresectable"
assessments by colorectal surgeons, 59% of those by general surgeons, 57% of
those by medical oncologists and 33% of those by surgical oncologists (p = 0.1).
CONCLUSION: Assessment of resectability varied significantly between specialties,
and resectability was often underestimated by nonhepatobiliary surgeons.
Hepatobiliary referral was not considered in a substantial proportion of cases
erroneously deemed unresectable. These disparities result largely from an
imprecise understanding of modern surgical indications for resection of CRLMs.
PMID- 29806803
TI - Beyond just the operating room: characterizing the complete caseload of a
tertiary acute care surgery service.
AB - BACKGROUND: Most studies evaluating acute care surgery (ACS) models of care for
patients with emergency general surgery (EGS) conditions have focused on patients
who undergo surgery while admitted under the care of the ACS service. The purpose
of this study was to prospectively examine the case-mix of admissions and
consultations to an ACS service at a tertiary centre to identify the frequency
and distribution of both operatively and nonoperatively managed EGS conditions.
METHODS: In this prospective cohort study, we evaluated consecutive patients
assessed by the ACS team between July 1 and Aug. 31, 2015, at a large Canadian
tertiary care centre. This included all consultations and outside hospital
transfers. Diagnoses, demographic characteristics, comorbidities,
intervention(s), complications, readmission and in-hospital death were captured.
RESULTS: The ACS team was involved in the care of 359 patients, 176 (49.0%) of
whom were admitted under the direct care of the ACS team. Nonoperative care was
indicated in 82 patients (46.6%) admitted to the ACS service and 151 (82.5%) of
those admitted to a non-ACS service (p < 0.001). Bowel obstruction (37 patients
[21.0%]) was the most common reason for admission, followed by wound/abscess (24
[13.6%), biliary disease (24 [13.6%]) and appendiceal disease (23 [13.1%]). Rates
of 30-day return to the emergency department and readmission were 17.0% and 9.1%,
respectively, and the in-hospital mortality rate was 1.7%. CONCLUSION: Acute care
surgery teams care for a wide breadth of disease, a considerable amount of which
is managed nonoperatively.
PMID- 29806804
TI - Practice and attitudes regarding double gloving among staff surgeons and surgical
trainees.
AB - BACKGROUND: Despite supporting evidence, many staff surgeons and surgical
trainees do not routinely double glove. We performed a study to assess rates of
and attitudes toward double gloving and the use of eye protection in the
operating room. METHODS: We conducted an electronic survey among all staff
surgeons and surgical trainees at 2 tertiary care centres in Alberta between
September and November 2015.We analyzed the data using log-binomial regression
for binary outcomes to account for multiple independent variables and
interactions. For 2-group comparisons, we used a 2-group test of proportions.
RESULTS: The response rate was 34.3% (361/1051); 205/698 staff surgeons (29.4%)
and 156/353 surgical trainees (44.2%) responded. Trainees were more likely than
staff surgeons to ever double glove in the operating room (p = 0.01) and to do so
routinely (p = 0.01). Staff surgeons were more likely than trainees to never
double glove (p = 0.01). A total of 300/353 respondents (85.0%) reported using
eye protection routinely in the operating room. Needle-stick injury was common
(184 staff surgeons [92.5%], 115 trainees [74.7%]). Reduced tactile feedback,
decreased manual dexterity and discomfort/poor fit were perceived barriers to
double gloving. CONCLUSION: Rates of double gloving leave room for improvement.
Surgical trainees were more likely than staff surgeons to double glove. Barriers
remain to routine double gloving among staff surgeons and trainees. Increased
education on the benefits of double gloving and early introduction of this
practice may increase uptake.
PMID- 29806805
TI - A day in the life of emergency general surgery in Canada: a multicentre
observational study.
AB - BACKGROUND: Emergency general surgery (EGS) services are gaining popularity in
Canada as systems-based approaches to surgical emergencies. Despite the high
volume, acuity and complexity of the patient populations served by EGS services,
little has been reported about the services' structure, processes, case-mix or
outcomes. This study begins a national surveillance effort to define and advance
surgical quality in an important and diverse surgical population. METHODS: A
national cross-sectional study of EGS services was conducted during a 24-hour
period in January 2017 at 14 hospitals across 7 Canadian provinces recruited
through the Canadian Association of General Surgeons Acute Care Committee.
Patients admitted to the EGS service, new consultations and off-service patients
being followed by the EGS service during the study period were included. Patient
demographic information and data on operations, procedures and complications were
collected. RESULTS: Twelve sites reported resident coverage. Most services did
not include trauma. Ten sites had protected operating room time. Overall, 393
patient encounters occurred during the study period (195/386 [50.5%] operative
and 191/386 [49.5%] nonoperative), with a mean of 3.8 operations per service. The
patient population was complex, with 136 patients (34.6%) having more than 3
comorbidities. There was a wide case-mix, including gallbladder disease (69 cases
[17.8%]) and appendiceal disease (31 [8.0%]) as well as complex emergencies, such
as obstruction (56 [14.5%]) and perforation (23 [5.9%]). CONCLUSION: The
characteristics and case-mix of these Canadian EGS services are heterogeneous,
but all services are busy and provide comprehensive operative and nonoperative
care to acutely ill patients with high levels of comorbidity.
PMID- 29806808
TI - Patient outcomes versus financial outcomes: Which should we listen to?
PMID- 29806809
TI - Resultats chez les patients ou resultats financiers : Que faut-il prioriser?
PMID- 29806806
TI - Propofol administration by endoscopists versus anesthesiologists in
gastrointestinal endoscopy: a systematic review and meta-analysis of patient
safety outcomes.
AB - BACKGROUND: With a growing demand for endoscopic services, the role of
anesthesiologists in endoscopy units must be reassessed. The aim of this study
was to compare patient outcomes in non-anesthesiologist-administered propofol
(NAAP) versus anesthesiologist-administered propofol (AAP) during routine
endoscopy. METHODS: We systematically searched Medline, CINAHL, Embase, Web of
Science, CENTRAL and the grey literature for studies comparing NAAP and AAP.
Primary outcomes included endoscopy- and sedation-related complications.
Secondary outcomes included measures of endoscopy quality and of patient and
endoscopist satisfaction. We reported treatment effects using random-effects
models. RESULTS: Of 602 articles identified, 5 met the inclusion criteria. Most
studies included only patients with an American Society of Anesthesiologists
(ASA) classification of I or II. Non-anesthesiologist-administered propofol did
not result in increased rates of airway intervention (odds ratio [OR] 1.07, 95%
confidence interval [CI] 0.29 to 3.95; 3443 patients) or hypotension (OR 1.47,
95% CI 0.40 to 5.41; 17 978 patients) but did result in higher rates of
bradycardia (OR 3.68, 95% CI 1.65 to 8.17; 17 978 patients). Nonanesthesiologists
administered lower propofol dosages than anesthesiologists (mean difference
61.79, 95% CI -114.46 to -9.12; 3443 patients), and their patients more commonly
experienced awareness with recall (OR 19.99, 95% CI 7.88 to 50.76; 2090
patients). However, NAAP neither compromised patient willingness to repeat the
procedure (OR 0.42, 95% CI 0.10 to 1.83; 2367 patients) nor lengthened total
procedure time (mean difference -0.08, 95% CI -3.51 to 3.34; 2367 patients).
CONCLUSION: Endoscopists may safely administer propofol without compromising
procedural quality in patients classified as ASA I or II undergoing routine
endoscopy. The results of this meta-analysis are limited by a lack of available
high-quality studies. Further, large-scale studies are needed for definitive
conclusions.
PMID- 29806807
TI - Thirty-day hospital readmission and emergency department visits after vascular
surgery: a Canadian prospective cohort study.
AB - BACKGROUND: Rates of hospital readmission following surgery can serve as a marker
for quality of care. The aim of this study was to establish the rates and causes
of readmission and emergency department visits after vascular surgery and to
understand how these patients are managed. METHODS: We conducted a prospective
observational cohort study including all inpatients who underwent major vascular
surgery between September 2015 and June 2016 at a tertiary vascular care centre
in Toronto. Patients were followed at 30 days after discharge via telephone
interview. RESULTS: We enrolled 133 patients (94 men [70.7%] and 39 women [29.3%]
with a mean age of 65.3 years). The most common index admission diagnosis was
peripheral artery disease (67 patients [50.4%]). At 30 days, 19 patients (14.8%)
had been readmitted or had visited the emergency department, most commonly after
lower extremity revascularization (19.4%). Ten patients were readmitted a mean of
16.8 days following discharge; surgical site infection was the most common cause
for readmission (3 patients). The most common treatment was antimicrobial therapy
(4 patients). The mean hospital length of stay was 14.4 days. Nine patients
presented to the emergency department a mean of 10.6 days after discharge; 6
reported a wound issue, and most (6 of 9) were managed with oral antibiotic
treatment. CONCLUSION: Early readmission/emergency department visits after lower
extremity revascularization surgery in patients with peripheral artery disease
are common and are often due to surgical site infection or wound-related issues.
Follow-up within 7-10 days and a specialized wound care team may help reduce the
occurrence of these events.
PMID- 29806810
TI - One thousand consecutive in-hospital deaths following severe injury: Has the
etiology of traumatic inpatient death changed in Canada?
AB - SUMMARY: A wide range of factors have traditionally led to early in-hospital
death following severe injury. The primary goal of this commentary was to
evaluate the causes of early posttraumatic inpatient deaths over an extended
period. Although early posttraumatic in-hospital death remains multifactorial,
severe traumatic brain injuries are the dominant cause and have increased in
proportion over time. Other traditional causes of death have also decreased owing
to improved clinical care.
PMID- 29806811
TI - The current state of resident trauma training: Are we losing a generation?
AB - SUMMARY: General surgeons provide life-saving trauma care to a large portion of
Canadians. Although trauma care has evolved significantly over the last few
decades and now requires fewer operations, when a life-saving operation is
required the expectation of competence to perform this operation has not been
reduced. A recent study from the United States found decreased resident case-log
volumes of trauma operations. Such findings raise the alarm of declining exposure
of residents to trauma operations and beg the question of whether graduating
residents are competent to care for trauma patients. Examination of the Canadian
setting reveals a dearth of published information about the actual exposure of
Canadian general surgery residents to trauma care. With the forthcoming evolution
of general surgery education into competency-based medical education, we sound a
call to action to ensure that all graduating general surgeons are able to provide
the care that both the Royal College of Physicians and Surgeons of Canada and the
Canadian public demand.
PMID- 29806812
TI - Massacre of Canadian Army Medical Corps personnel after the sinking of HMHS
Llandovery Castle and the evolution of modern war crime jurisprudence.
AB - SUMMARY: Events after the sinking of the hospital ship Llandovery Castle on June
27, 1918, by the German submarine U-86 outraged Canadians. Survivors aboard a
single life raft gave evidence that many of the 234 souls lost had made it to
lifeboats but were rammed and shot by the submarine. Many of those who died were
nurses. Three German officers were charged with war crimes after the war. The
submarine's captain evaded capture. The remaining two officers' defence that they
were following the captain's orders failed and they were convicted. This ruling
was used as a precedent to dismiss similar claims at the war crime trials after
the Second World War. It is also the basis of the order given to members of
modern militaries, including the Canadian Armed Forces, that it is illegal to
carry out an illegal order.
PMID- 29806813
TI - Management of intra-abdominal vascular injury in trauma laparotomy: a South
African experience.
AB - BACKGROUND: Intra-abdominal vascular injury (IAVI) is uncommon but continues to
be associated with high mortality rates despite technological advances in the
past decades. In light of these ongoing developments, we reviewed our
contemporary experience with IAVI in an attempt to clarify and refine our
management strategies and the outcome of these patients. METHODS: We
retrospectively reviewed the charts of all patients admitted between January 2011
and December 2014 at a major trauma centre in South Africa who were found to have
an IAVI during laparotomy for trauma. We collected demographic and clinical data
including mechanism of injury, location and severity of the injury, concurrent
injuries, physiologic parameters and clinical outcome. RESULTS: We identified 110
patients with IAVIs, of whom 98 had sustained penetrating injuries (55 gunshot
wounds and 43 stab wounds). There were 84 arterial injuries (including 21 renal
and 17 mesenteric) and 74 venous injuries (including 21 renal and 17 inferior
vena caval). Combined venous and arterial injuries were found in almost one-third
of patients (34 [30.9%]). Fifty-seven patients (51.8%) required intensive care
admission. The overall mortality rate was 28.2% (31 patients); the rate was 62%
for aortic injuries and 47% for inferior vena cava injuries. Liver injury, large
bowel injury, splenic injury and elevated lactate level were all associated with
a statistically significantly higher mortality rate. CONCLUSION: The mortality
rate for IAVI remains high despite decades of operative experience in high-volume
centres. Open operative techniques alone are unlikely to achieve further
reduction in mortality rates. Integration of endovascular techniques may provide
an alternative strategy to improve outcomes.
PMID- 29806814
TI - Risk factors for infection, revision, death, blood transfusion and longer
hospital stay 3 months and 1 year after primary total hip or knee arthroplasty.
AB - BACKGROUND: Total joint replacement (TJR) is increasingly performed in older
patients with more comorbidities, who are considered at higher risk for
postoperative complications. We aimed to identify and calculate the odds ratio of
the risk factors for infection, revision and death 3 months and 1 year after TJR
as well as for postoperative blood transfusion and longer hospital stay. METHODS:
We analyzed all primary total hip arthroplasty (THA) and total knee arthroplasty
(TKA) cases in Nova Scotia between Apr. 1, 2000, and Mar. 31, 2014, as identified
from the Discharge Abstract Database. We used the Charlson Comorbidity Index as a
surrogate measure of comorbidities. We used hospital and physician billings data
and Nova Scotia Vital Statistics data to identify the postoperative events in
this cohort. RESULTS: A total of 10 123 primary THA and 17 243 primary TKA
procedures were performed during the study period. The mean patient age was 66.1
(standard deviation 11.7) years and 67.1 (standard deviation 9.3) years,
respectively. With THA, the risk of infection was higher in patients with heart
failure and those with diabetes. For TKA, liver disease and blood transfusion
were associated with a higher risk of infection. Revision rates were higher among
patients with hypertension and those with paraparesis/hemiparesis for THA, and
among patients with metastatic disease for TKA. Significant risk factors for
death included metastatic disease, older age, heart failure, myocardial
infarction, dementia, rheumatologic disease, renal disease, blood transfusion and
cancer. Multiple medical comorbidities and older age were associated with higher
rates of blood transfusion and longer hospital stay. CONCLUSION: We have
identified the risk factors associated with higher rates of postoperative
complications and longer hospital stay after TJR. The results enable
individualized risk stratification during the preoperative consultation.
PMID- 29806815
TI - Tranexamic acid administration to older patients undergoing primary total hip
arthroplasty conserves hemoglobin and reduces blood loss.
AB - BACKGROUND: Tranexamic acid effects in older people are difficult to predict.
This study investigated the following research questions: 1) Is tranexamic acid
effective in older patients undergoing primary total hip arthroplasty (THA)? and
2) Is there a difference in the effect of tranexamic acid between younger and
older patients? METHODS: This was a 2-phase retrospective matched-pair study of
patients who underwent THA in 2007-2013. All procedures were performed by
surgeons with at least 10 years' experience as senior consultant. In the first
phase, 58 patients aged 65 years or more who received tranexamic acid were
matched 1:1 with patients who did not receive tranexamic acid for age, sex,
American Society of Anesthesiologists (ASA) classification and body mass index.
In the second phase, 58 patients aged 65 years or more who received tranexamic
acid were matched 1:1 with patients less than 65 years of age who received
tranexamic acid for sex, ASA classification and body mass index. The primary
outcome measures were percent maximum decrease in hemoglobin level and estimated
blood loss after surgery. RESULTS: In the first phase, patients who received
tranexamic acid conserved postoperative hemoglobin by a mean of 10.26 g/L
(standard deviation [SD] 9.89 g/L) compared to the control group (p < 0.001). The
mean difference in the estimated perioperative blood loss between the 2 groups
was 410 mL (SD 376 mL) (p < 0.001), which indicated less bleeding in the
treatment group. In the second phase, there was no difference between the younger
(mean age 55.1 [SD 7.28] yr) and older (mean age 75.6 [SD 6.35] yr) groups in
mean lowest postoperative hemoglobin level or percent decrease in hemoglobin
level. CONCLUSION: Tranexamic acid reduced the postoperative decrease in
hemoglobin level and blood loss in older patients. Moreover, the significant
hemoglobin-sparing effect of tranexamic acid in older patients was similar to
that observed in younger patients.
PMID- 29806816
TI - Troponin T monitoring to detect myocardial injury after noncardiac surgery: a
cost-consequence analysis.
AB - BACKGROUND: Myocardial injury after noncardiac surgery (MINS) is a mostly
asymptomatic condition that is strongly associated with 30-day mortality;
however, it remains mostly undetected without systematic troponin T monitoring.
We evaluated the cost and consequences of postoperative troponin T monitoring to
detect MINS. METHODS: We conducted a model-based cost-consequence analysis to
compare the impact of routine troponin T monitoring versus standard care
(troponin T measurement triggered by ischemic symptoms) on the incidence of MINS
detection. Model inputs were based on Canadian patients enrolled in the Vascular
Events in Noncardiac Surgery Patients Cohort Evaluation (VISION) study, which
enrolled patients aged 45 years or older undergoing inpatient noncardiac surgery.
We conducted probability analyses with 10 000 iterations and extensive
sensitivity analyses. RESULTS: The data were based on 6021 patients (48% men,
mean age 65 [standard deviation 12] yr). The 30-day mortality rate for MINS was
9.6%. We determined the incremental cost to avoid missing a MINS event as $1632
(2015 Canadian dollars). The cost-effectiveness of troponin monitoring was higher
in patient subgroups at higher risk for MINS, e.g., those aged 65 years or more,
or with a history of atherosclerosis or diabetes ($1309). CONCLUSION: The costs
associated with a troponin T monitoring program to detect MINS were moderate.
Based on the estimated incremental cost per health gain, implementation of
postoperative troponin T monitoring seems appealing, particularly in patients at
high risk for MINS.
PMID- 29806817
TI - Clinical and operative outcomes of patients with acute cholecystitis who are
treated initially with image-guided cholecystostomy.
AB - BACKGROUND: Percutaneous cholecystostomy (PC) tube placement followed by delayed
cholecystectomy has been shown to be an effective treatment option in high-risk
populations such as older and critically ill patients. The goal of this study was
to review the short- and long-term clinical and operative outcomes of patients
with acute cholecystitis initially treated with PC tube placement. METHODS: We
conducted a retrospective review of patients who underwent image-guided PC tube
insertion between 2001 and 2011 at the Royal University Hospital or St. Paul's
Hospital, Saskatoon. Clinical outcomes, complications and elective
cholecystectomy follow-up were noted. RESULTS: A total of 140 patients underwent
PC tube insertion, 76 men and 64 women with a mean age of 68.4 (standard
deviation 17.7) years. Of the 140, 94 (67.1%) had an American Society of
Anesthesiologists classification score of III or IV. Percutaneous cholecystostomy
tubes remained in place for a median of 21.0 days, and the median hospital stay
was 7.0 days. Readmission owing to complications from PC tubes occurred in 21
patients (15.0%), and 10 (7.1%) were readmitted with recurrent cholecystitis
after tube removal. Forty-four patients (31.4%) returned for subsequent elective
cholecystectomy, of whom 32 (73%) underwent laparoscopic cholecystectomy, 4 (9%)
underwent open cholecystectomy, and 8 (18%) underwent laparoscopic converted to
open cholecystectomy. CONCLUSION: Percutaneous cholecystostomy is a safe
procedure that can be performed in patients who are older or have numerous
comorbidities. However, less than one-third of such patients in our cohort
subsequently had the definitive intervention of elective cholecystectomy, with a
high rate of conversion from laparoscopic to open cholecystectomy.
PMID- 29806818
TI - Diagnostic accuracy of transabdominal ultrasonography for gallbladder polyps:
systematic review.
AB - BACKGROUND: Previous research has shown variable but generally poor accuracy of
transabdominal ultrasonography in the diagnosis of gallbladder polyps. We
performed a systematic review of the literature with the aim of helping surgeons
interpret and apply these findings in the preoperative assessment and counselling
of their patients. METHODS: We searched PubMed, MEDLINE and the Cochrane database
using the keywords "gallbladder," "polyp," "ultrasound," "pathology" and
"diagnosis" for English-language articles published after 1990 with the full-text
article available through our institutional subscriptions. Polyps were defined as
immobile features that on transabdominal ultrasonography appear to arise from the
mucosa and that lack an acoustic shadow, and pseudopolyps were defined as
features such as inflammation, hyperplasia, cholesterolosis and adenomyomatosis
that convey no risk of malignant transformation. RESULTS: The search returned
1816 articles, which were narrowed down to 14 primary sources involving 15 497
(range 23-13 703) patients who had preoperative transabdominal ultrasonography,
underwent cholecystectomy and had postoperative pathology results available.
Among the 1259 patients in whom a gallbladder polyp was diagnosed on
ultrasonography, 188 polyps were confirmed as true polyps on pathologic
examination, and 81 of these were found to be malignant. Of the 14 238 patients
for whom a polyp was not seen on ultrasonography, 38 had a true polyp on
pathologic examination, none of which were malignant. For true gallbladder
polyps, transabdominal ultrasonography had a sensitivity of 83.1%, specificity of
96.3%, positive predictive value of 14.9% (7.0% for malignant polyps) and
negative predictive value of 99.7%. CONCLUSION: Transabdominal ultrasonography
has a high false-positive rate (85.1%) for the diagnosis of gallbladder polyps.
Further study of alternative imaging modalities and reevaluation of existing
management guidelines are warranted.
PMID- 29806819
TI - Users' guide to the surgical literature: how to assess a qualitative study.
AB - SUMMARY: Qualitative research contributes to the medical literature through the
observation, description and interpretation of theories about social interactions
and individual experiences as they occur in their natural setting. This type of
research has the potential to enhance the understanding of surgeons' and
patients' preferences, attitudes and beliefs, as well as assess how these may
change with time. To date, there is no widely accepted standard for the
methodological assessment of qualitative research. Despite ongoing debate, this
article seeks to familiarize surgeons with the basic techniques for the critical
appraisal of qualitative studies in the surgical literature.
PMID- 29806820
TI - Left atrial appendage occlusion with the AMPLATZER Amulet device: one-year follow
up from the prospective global Amulet observational registry.
AB - AIMS: Left atrial appendage occlusion (LAAO) is a stroke prevention therapy for
patients with non-valvular atrial fibrillation (AF). This study reports one-year
outcomes from patients enrolled in the prospective global Amulet registry.
METHODS AND RESULTS: A total of 1,088 patients were recruited, aged 75+/-9 years;
65% of patients were male. The CHA2DS2-VASc and HAS-BLED scores were 4.2+/-1.6
and 3.3+/-1.1, respectively. Eighty-three percent (83%) of patients had
contraindications to anticoagulation (OAC); 72% had a history of major bleeding.
An AMPLATZER Amulet LAA occluder was successfully implanted in 99% of cases.
Transoesophageal echocardiography one to three months after implant showed no
residual flow or flow <3 mm in 98.4%. The observed ischaemic stroke rate was
2.9%/year. Device-related thrombus was noted in 1.7% of patients. There were ten
cases between 0 and 90 days and eight cases between 91 and 365 days. Patients
discharged without OAC (>80%), in particular those on single aspirin therapy, did
not appear to have a higher risk of device-related thrombus. In the first year,
major bleeding occurred at an annualised rate of 10.3%. All-cause mortality was
8.4% at one year. CONCLUSIONS: In the global prospective Amulet registry of
patients at high risk of stroke and bleeding, the annualised ischaemic stroke
rate was 2.9%. The LAA was sealed in 98.4% after one to three months and device
related thrombus was observed in 1.7% of cases with only a minority of all
patients on anticoagulation treatment.
PMID- 29806821
TI - Influenza A Infection and Stem Cell Mobilization.
PMID- 29806822
TI - Markers of lipid peroxidation and antioxidant status in the serum and saliva of
patients with active Crohn disease.
AB - Introduction Increased oxidative stress has been implicated in the pathogenesis
of Crohn disease (CD). Except for C-reactive protein (CRP), good biological
markers of CD activity are lacking. Objectives We aimed to investigate the
diagnostic usefulness of selected markers of oxidative stress in the serum and
saliva of patients with active and inactive CD. Patients and methods A total of
58 patients with confirmed CD (32 with active CD, 26 with inactive CD, and 26
healthy controls) were prospectively enrolled to the study. The markers examined
were malondialdehyde (MDA), ferric reducing ability of plasma (FRAP), reduced
glutathione (GSH), and catalase (CAT). Results MDA levels were higher in the
serum and saliva of patients with active CD than in those with inactive CD and
controls and were positively correlated with the Crohn's Disease Activity Index
(r = 0.8, P <0.001) and CRP (P <0.001). Serum and saliva antioxidant indicators
(FRAP and GSH) were decreased in both CD groups compared with controls and were
negatively correlated with clinical activity and inflammation (FRAP, r = -0.5, P
<0.001; GSH, r = -0.5, P <0.001; and CAT, r = -0.5, P <0.001). Conclusions The
increased lipid peroxidation and decreased antioxidant activity in serum and
saliva confirm that CD patients are under oxidative stress. The positive
correlations of MDA with the clinical activity and inflammation, as well as the
comparison of the receiver operating characteristic curves for MDA and CRP,
suggest that MDA could be a good diagnostic marker of CD.
PMID- 29806823
TI - Tickling, a Technique for Inducing Positive Affect When Handling Rats.
AB - Handling small animals such as rats can lead to several adverse effects. These
include the fear of humans, resistance to handling, increased injury risk for
both the animals and the hands of their handlers, decreased animal welfare, and
less valid research data. To minimize negative effects on experimental results
and human-animal relationships, research animals are often habituated to being
handled. However, the methods of habituation are highly variable and often of
limited effectiveness. More potently, it is possible for humans to mimic aspects
of the animals' playful rough-and-tumble behavior during handling. When applied
to laboratory rats in a systematic manner, this playful handling, referred to as
tickling, consistently gives rise to positive behavioral responses. This article
provides a detailed description of a standardized rat tickling technique. This
method can contribute to future investigations into positive affective states in
animals, make it easier to handle rats for common husbandry activities such as
cage changing or medical/research procedures such as injection, and be
implemented as a source of social enrichment. It is concluded that this method
can be used to efficiently and practicably reduce rats' fearfulness of humans and
improve their welfare, as well as reliably model positive affective states.
PMID- 29806824
TI - Surface Functionalization of Hepatitis E Virus Nanoparticles Using Chemical
Conjugation Methods.
AB - Virus-like particles (VLPs) have been used as nanocarriers to display foreign
epitopes and/or deliver small molecules in the detection and treatment of various
diseases. This application relies on genetic modification, self-assembly, and
cysteine conjugation to fulfill the tumor-targeting application of recombinant
VLPs. Compared with genetic modification alone, chemical conjugation of foreign
peptides to VLPs offers a significant advantage because it allows a variety of
entities, such as synthetic peptides or oligosaccharides, to be conjugated to the
surface of VLPs in a modulated and flexible manner without alteration of the VLP
assembly. Here, we demonstrate how to use the hepatitis E virus nanoparticle
(HEVNP), a modularized theranostic capsule, as a multifunctional delivery
carrier. Functions of HEVNPs include tissue-targeting, imaging, and therapeutic
delivery. Based on the well-established structural research of HEVNP, the
structurally independent and surface-exposed residues were selected for cysteine
replacement as conjugation sites for maleimide-linked chemical groups via thiol
selective linkages. One particular cysteine-modified HEVNP (a Cys replacement of
the asparagine at 573 aa (HEVNP-573C)) was conjugated to a breast cancer cell
specific ligand, LXY30 and labeled with near-infrared (NIR) fluorescence dye
(Cy5.5), rendering the tumor-targeted HEVNPs as effective diagnostic capsules
(LXY30-HEVNP-Cy5.5). Similar engineering strategies can be employed with other
macromolecular complexes with well-known atomic structures to explore potential
applications in theranostic delivery.
PMID- 29806825
TI - Adaptation of Microelectrode Array Technology for the Study of Anesthesia-induced
Neurotoxicity in the Intact Piglet Brain.
AB - Every year, millions of children undergo anesthesia for a multitude of
procedures. However, studies in both animals and humans have called into question
the safety of anesthesia in children, implicating anesthetics as potentially
toxic to the brain in development. To date, no studies have successfully
elucidated the mechanism(s) by which anesthesia may be neurotoxic. Animal studies
allow investigation of such mechanisms, and neonatal piglets represent an
excellent model to study these effects due to their striking developmental
similarities to the human brain. This protocol adapts the use of enzyme-based
microelectrode array (MEA) technology as a novel way to study the mechanism(s) of
anesthesia-induced neurotoxicity (AIN). MEAs enable real-time monitoring of in
vivo neurotransmitter activity and offer exceptional temporal and spatial
resolution. It is hypothesized that anesthetic neurotoxicity is caused in part by
glutamate dysregulation and MEAs offer a method to measure glutamate. The novel
implementation of MEA technology in a piglet model presents a unique opportunity
for the study of AIN.
PMID- 29806826
TI - Treatment of Liver Metastases Using an Internal Target Volume Method for
Stereotactic Body Radiotherapy.
AB - The prognosis of patients with metastatic cancers has improved in the past
decades due to effective chemotherapy and oligometastatic surgery. For inoperable
patients, local ablation therapies, such as stereotactic body radiotherapy
(SBRT), can provide effective local tumor control with minimal toxicity. Because
of its high precision and accuracy, SBRT delivers a higher radiation dose per
fraction, is more effective, and targets smaller irradiation volumes than does
conventional radiotherapy. In addition, steep dose gradients from target lesions
to surrounding normal tissues are achieved using SBRT; thus, SBRT provides more
effective tumor control and exhibits fewer side effects than conventional
radiotherapy. The use of SBRT is prevalent for treating intracranial lesions
(known as stereotactic radiosurgery); however, it is now also used for treating
spinal and adrenal metastases. Because of advancements in image-guided assistance
and respiratory motion management, several studies have investigated the use of
SBRT for treating lung or liver tumors, which move as a patient breathes. The
results of these studies have suggested that SBRT favorably controls tumors in
the case of moving lesions. Four-dimensional computed tomography (4D-CT) with an
abdominal compressor (AC) is clinically convenient for effective respiratory
motion management. Because this method is noninvasive and allows free breathing,
its use reduces complications. Furthermore, patients consider this method
convenient. Moreover, it is considered more efficient than other methods of
respiratory motion management by physicians and therapists. The use of 4D-CT with
an AC for treating pulmonary lesions has also been widely investigated, and the
technique is gaining acceptance for treating hepatic lesions. However, the
protocols for using 4D-CT with an AC for treating hepatic lesions are different
from those used for treating pulmonary lesions. In this article, we describe a
new protocol for SBRT with 4D-CT and an AC for treating liver metastases.
PMID- 29806827
TI - Assessment of the Cytotoxic and Immunomodulatory Effects of Substances in Human
Precision-cut Lung Slices.
AB - Respiratory diseases in their broad diversity need appropriate model systems to
understand the underlying mechanisms and enable development of new therapeutics.
Additionally, registration of new substances requires appropriate risk assessment
with adequate testing systems to avoid the risk of individuals being harmed, for
example, in the working environment. Such risk assessments are usually conducted
in animal studies. In view of the 3Rs principle and public skepticism against
animal experiments, human alternative methods, such as precision-cut lung slices
(PCLS), have been evolving. The present paper describes the ex vivo technique of
human PCLS to study the immunomodulatory potential of low-molecular-weight
substances, such as ammonium hexachloroplatinate (HClPt). Measured endpoints
include viability and local respiratory inflammation, marked by altered secretion
of cytokines and chemokines. Pro-inflammatory cytokines, tumor necrosis factor
alpha (TNF-alpha), and interleukin 1 alpha (IL-1alpha) were significantly
increased in human PCLS after exposure to a sub-toxic concentration of HClPt.
Even though the technique of PCLS has been substantially optimized over the past
decades, its applicability for the testing of immunomodulation is still in
development. Therefore, the results presented here are preliminary, even though
they show the potential of human PCLS as a valuable tool in respiratory research.
PMID- 29806828
TI - Preparing Fresh Retinal Slices from Adult Zebrafish for Ex Vivo Imaging
Experiments.
AB - The retina is a complex tissue that initiates and integrates the first steps of
vision. Dysfunction of retinal cells is a hallmark of many blinding diseases, and
future therapies hinge on fundamental understandings about how different retinal
cells function normally. Gaining such information with biochemical methods has
proven difficult because contributions of particular cell types are diminished in
the retinal cell milieu. Live retinal imaging can provide a view of numerous
biological processes on a subcellular level, thanks to a growing number of
genetically encoded fluorescent biosensors. However, this technique has thus far
been limited to tadpoles and zebrafish larvae, the outermost retinal layers of
isolated retinas, or lower resolution imaging of retinas in live animals. Here we
present a method for generating live ex vivo retinal slices from adult zebrafish
for live imaging via confocal microscopy. This preparation yields transverse
slices with all retinal layers and most cell types visible for performing
confocal imaging experiments using perfusion. Transgenic zebrafish expressing
fluorescent proteins or biosensors in specific retinal cell types or organelles
are used to extract single-cell information from an intact retina. Additionally,
retinal slices can be loaded with fluorescent indicator dyes, adding to the
method's versatility. This protocol was developed for imaging Ca2+ within
zebrafish cone photoreceptors, but with proper markers it could be adapted to
measure Ca2+ or metabolites in Muller cells, bipolar and horizontal cells,
microglia, amacrine cells, or retinal ganglion cells. The retinal pigment
epithelium is removed from slices so this method is not suitable for studying
that cell type. With practice, it is possible to generate serial slices from one
animal for multiple experiments. This adaptable technique provides a powerful
tool for answering many questions about retinal cell biology, Ca2+, and energy
homeostasis.
PMID- 29806829
TI - Toeprinting Analysis of Translation Initiation Complex Formation on Mammalian
mRNAs.
AB - Translation initiation is the rate-limiting step of protein synthesis and
represents a key point at which cells regulate their protein output. Regulation
of protein synthesis is the key to cellular stress-response, and dysregulation is
central to many disease states, such as cancer. For instance, although cellular
stress leads to the inhibition of global translation by attenuating cap-dependent
initiation, certain stress-response proteins are selectively translated in a cap
independent manner. Discreet RNA regulatory elements, such as cellular internal
ribosome entry sites (IRESes), allow for the translation of these specific mRNAs.
Identification of such mRNAs, and the characterization of their regulatory
mechanisms, have been a key area in molecular biology. Toeprinting is a method
for the study of RNA structure and function as it pertains to translation
initiation. The goal of toeprinting is to assess the ability of in vitro
transcribed RNA to form stable complexes with ribosomes under a variety of
conditions, in order to determine which sequences, structural elements, or
accessory factors are involved in ribosome binding-a pre-cursor for efficient
translation initiation. Alongside other techniques, such as western analysis and
polysome profiling, toeprinting allows for a robust characterization of
mechanisms for the regulation of translation initiation.
PMID- 29806830
TI - Adeno-associated Virus-mediated Transgene Expression in Genetically Defined
Neurons of the Spinal Cord.
AB - Selective manipulation of spinal neuronal subpopulations has mainly been achieved
by two different methods: 1) Intersectional genetics, whereby double or triple
transgenic mice are generated in order to achieve selective expression of a
reporter or effector gene (e.g., from the Rosa26 locus) in the desired spinal
population. 2) Intraspinal injection of Cre-dependent recombinant adeno
associated virus (rAAV); here Cre-dependent AAV vectors coding for the reporter
or effector gene of choice are injected into the spinal cord of mice expressing
Cre recombinase in the desired neuronal subpopulation. This protocol describes
how to generate Cre-dependent rAAV vectors and how to transduce neurons in the
dorsal horn of the lumbar spinal cord segments L3-L5 with rAAVs. As the lumbar
spinal segments L3-L5 are innervated by those peripheral sensory neurons that
transmit sensory information from the hindlimbs, spontaneous behavior and
responses to sensory tests applied to the hindlimb ipsilateral to the injection
side can be analyzed in order to interrogate the function of the manipulated
neurons in sensory processing. We provide examples of how this technique can be
used to analyze genetically defined subsets of spinal neurons. The main
advantages of virus-mediated transgene expression in Cre transgenic mice compared
to classical reporter mouse-induced transgene expression are the following: 1)
Different Cre-dependent rAAVs encoding various reporter or effector proteins can
be injected into a single Cre transgenic line, thus overcoming the need to create
several multiple transgenic mouse lines. 2) Intraspinal injection limits
manipulation of Cre-expressing cells to the injection site and to the time after
injection. The main disadvantages are: 1) Reporter gene expression from rAAVs is
more variable. 2) Surgery is required to transduce the spinal neurons of
interest. Which of the two methods is more appropriate depends on the neuron
population and research question to be addressed.
PMID- 29806831
TI - Novel Passive Clearing Methods for the Rapid Production of Optical Transparency
in Whole CNS Tissue.
AB - Since the development of CLARITY, a bioelectrochemical clearing technique that
allows for three-dimensional phenotype mapping within transparent tissues, a
multitude of novel clearing methodologies including CUBIC (clear, unobstructed
brain imaging cocktails and computational analysis), SWITCH (system-wide control
of interaction time and kinetics of chemicals), MAP (magnified analysis of the
proteome), and PACT (passive clarity technique), have been established to further
expand the existing toolkit for the microscopic analysis of biological tissues.
The present study aims to improve upon and optimize the original PACT procedure
for an array of intact rodent tissues, including the whole central nervous system
(CNS), kidneys, spleen, and whole mouse embryos. Termed psPACT (process-separate
PACT) and mPACT (modified PACT), these novel techniques provide highly
efficacious means of mapping cell circuitry and visualizing subcellular
structures in intact normal and pathological tissues. In the following protocol,
we provide a detailed, step-by-step outline on how to achieve maximal tissue
clearance with minimal invasion of their structural integrity via psPACT and
mPACT.
PMID- 29806832
TI - Control of Eating Behavior Using a Novel Feedback System.
AB - Subjects eat food from a plate that sits on a scale connected to a computer that
records the weight loss of the plate during the meal and makes up a curve of food
intake, meal duration and rate of eating modeled by a quadratic equation. The
purpose of the method is to change eating behavior by providing visual feedback
on the computer screen that the subject can adapt to because her/his own rate of
eating appears on the screen during the meal. The data generated by the method is
automatically analyzed and fitted to the quadratic equation using a custom made
algorithm. The method has the advantage of recording eating behavior objectively
and offers the possibility of changing eating behavior both in experiments and in
clinical practice. A limitation may be that experimental subjects are affected by
the method. The same limitation may be an advantage in clinical practice, as
eating behavior is more easily stabilized by the method. A treatment that uses
this method has normalized body weight and restored the health of several hundred
patients with anorexia nervosa and other eating disorders and has reduced the
weight and improved the health of severely overweight patients.
PMID- 29806833
TI - Preparation Steps for Measurement of Reactivity in Mouse Retinal Arterioles Ex
Vivo.
AB - Vascular insufficiency and alterations in normal retinal perfusion are among the
major factors for the pathogenesis of various sight-threatening ocular diseases,
such as diabetic retinopathy, hypertensive retinopathy, and possibly glaucoma.
Therefore, retinal microvascular preparations are pivotal tools for physiological
and pharmacological studies to delineate the underlying pathophysiological
mechanisms and to design therapies for the diseases. Despite the wide use of
mouse models in ophthalmic research, studies on retinal vascular reactivity are
scarce in this species. A major reason for this discrepancy is the challenging
isolation procedures owing to the small size of these retinal blood vessels,
which is ~ <= 30 um in luminal diameter. To circumvent the problem of direct
isolation of these retinal microvessels for functional studies, we established an
isolation and preparation technique that enables ex vivo studies of mouse retinal
vasoactivity under near-physiological conditions. Although the present
experimental preparations will specifically refer to the mouse retinal
arterioles, this methodology can readily be employed to microvessels from rats.
PMID- 29806834
TI - Long-term Live-cell Imaging to Assess Cell Fate in Response to Paclitaxel.
AB - Live-cell imaging is a powerful technique that can be used to directly visualize
biological phenomena in single cells over extended periods of time. Over the past
decade, new and innovative technologies have greatly enhanced the practicality of
live-cell imaging. Cells can now be kept in focus and continuously imaged over
several days while maintained under 37 degrees C and 5% CO2 cell culture
conditions. Moreover, multiple fields of view representing different experimental
conditions can be acquired simultaneously, thus providing high-throughput
experimental data. Live-cell imaging provides a significant advantage over fixed
cell imaging by allowing for the direct visualization and temporal quantitation
of dynamic cellular events. Live-cell imaging can also identify variation in the
behavior of single cells that would otherwise have been missed using population
based assays. Here, we describe live-cell imaging protocols to assess cell fate
decisions following treatment with the anti-mitotic drug paclitaxel. We
demonstrate methods to visualize whether mitotically arrested cells die directly
from mitosis or slip back into interphase. We also describe how the fluorescent
ubiquitination-based cell cycle indicator (FUCCI) system can be used to assess
the fraction of interphase cells born from mitotic slippage that are capable of
re-entering the cell cycle. Finally, we describe a live-cell imaging method to
identify nuclear envelope rupture events.
PMID- 29806835
TI - Construction of an Improved Multi-Tetrode Hyperdrive for Large-Scale Neural
Recording in Behaving Rats.
AB - Monitoring the activity patterns of a large population of neurons over many days
in awake animals is a valuable technique in the field of systems neuroscience.
One key component of this technique consists of the precise placement of multiple
electrodes into desired brain regions and the maintenance of their stability.
Here, we describe a protocol for the construction of a 3D-printable hyperdrive,
which includes eighteen independently adjustable tetrodes, and is specifically
designed for in vivo extracellular neural recording in freely behaving rats. The
tetrodes attached to the microdrives can either be individually advanced into
multiple brain regions along the track, or can be used to place an array of
electrodes into a smaller area. The multiple tetrodes allow for simultaneous
examination of action potentials from dozens of individual neurons, as well as
local field potentials from populations of neurons in the brain during active
behavior. In addition, the design provides for simpler 3D drafting software that
can easily be modified for differing experimental needs.
PMID- 29806836
TI - Evaluation of Exon Inclusion Induced by Splice Switching Antisense
Oligonucleotides in SMA Patient Fibroblasts.
AB - Spinal muscular atrophy (SMA), a lethal neurological disease caused by the loss
of SMN1, presents a unique case in the field of antisense oligonucleotide (AON)
mediated therapy. While SMN1 mutations are responsible for the disease, AONs
targeting intronic splice silencer (ISS) sites in SMN2, including FDA-approved
nusinersen, have been shown to restore SMN expression and ameliorate the
symptoms. Currently, many studies involving AON therapy for SMA focus on
investigating novel AON chemistries targeting SMN2 that may be more effective and
less toxic than nusinersen. Here, we describe a protocol for in vitro evaluation
of exon inclusion using lipotransfection of AONs followed by reverse
transcription polymerase chain reaction (RT-PCR), quantitative polymerase chain
reaction (qPCR), and Western blotting. This method can be employed for various
types of AON chemistries. Using this method, we demonstrate that AONs composed of
alternating locked nucleic acids (LNAs) and DNA nucleotides (LNA/DNA mixmers)
lead to efficient SMN2 exon inclusion and restoration of SMN protein at a very
low concentration, and therefore, LNA/DNA mixmer-based antisense oligonucleotides
may be an attractive therapeutic strategy to treat splicing defects caused by
genetic diseases. The in vitro evaluation method described here is fast, easy,
and sensitive enough for the testing of various novel AONs.
PMID- 29806837
TI - Synthesis of Multi-walled Carbon Nanotubes Modified with Silver Nanoparticles and
Evaluation of Their Antibacterial Activities and Cytotoxic Properties.
AB - In this study, multi-walled carbon nanotubes (MWCNTs) were treated with an
aqueous sulfuric acid solution to form an oxygen-based functional group. Silver
MWCNTs were prepared by the reductive deposition of silver from an aqueous
solution of AgNO3 on the oxidized MWCNTs. Given the unique color of the CNTs, it
was not possible to apply them to the minimum inhibitory concentration or
mitochondrial toxicity assays to evaluate the toxicity and antibacterial
properties, since they would interfere with the assays. The inhibition zone and
minimum bactericidal concentration for the Ag-MWCNTs were measured and Live/Dead
and Trypan Blue assays were used to measure the toxicity and antibacterial
properties without interfering with the color of the CNTs.
PMID- 29806838
TI - Assessing Activity-based Anorexia in Mice.
AB - Rodents develop activity-based anorexia (ABA) when exposed to a restricted
feeding schedule and allowed free access to a running wheel. These conditions
lead to a life-threatening reduction in body weight. However, rodents exposed to
only one of these conditions ultimately adapt to re-establish normal body weight.
Although increased running coupled with reduction in voluntary food intake appear
paradoxical under ABA conditions, ABA behavior is observed across numerous
mammalian species. The ABA paradigm provides an animal model for anorexia nervosa
(AN), an eating disorder with severe dysregulation of appetite-behavior. Subjects
are singly housed with free access to a running wheel. Each day, the subject is
offered food for a limited amount of time. During the course of the experiment, a
subject's body weight decreases from high activity and low caloric intake. The
duration of the study varies based on how long food is offered daily, the type of
food offered, the strain of mouse, if drugs are being tested, and environmental
factors. A lack of effective pharmacological treatments for AN patients, their
low quality of life, high cost of treatment, and their high mortality rate
indicate the urgency to further research AN. We provide a basic outline for
performing ABA experiments with mice, offering a method to investigate AN-like
behavior in order to develop novel therapies. This protocol is optimized for use
in Balb/cJ mice, but can easily be manipulated for other strains, providing great
flexibility in working with different questions, especially related to genetic
factors of ABA.
PMID- 29806839
TI - Chronic Transcranial Electrical Stimulation and Intracortical Recording in Rats.
AB - Transcranial electrical stimulation (TES) is a powerful and relatively simple
approach to diffusely influence brain activity either randomly or in a closed
loop event-triggered manner. Although many studies are focusing on the possible
benefits and side-effects of TES in healthy and pathologic brains, there are
still many fundamental open questions regarding the mechanism of action of the
stimulation. Therefore, there is a clear need for a robust and reproducible
method to test the acute and the chronic effects of TES in rodents. TES can be
combined with regular behavioral, electrophysiological, and imaging techniques to
investigate neuronal networks in vivo. The implantation of transcranial
stimulation electrodes does not impose extra constraints on the experimental
design while it offers a versatile, flexible tool to manipulate brain activity.
Here we provide a detailed, step-by-step protocol to fabricate and implant
transcranial stimulation electrodes to influence brain activity in a temporally
constrained manner for months.
PMID- 29806840
TI - Photoselective Vaporesection of the Prostate via an End-firing Lithium Triborate
Crystal Laser.
AB - The occurrence of lower urinary tract symptoms (LUTS) caused by benign prostatic
hyperplasia (BPH) is a common problem with a high incidence in the aging male
population. Although it is not a life-threatening disease, BPH causes problems
that seriously impact the quality of life. Here, we introduce a new technique
called photoselective vaporesection of the prostate (PVRP) in treating BPH, which
can be seen as a variation of photoselective vaporization of the prostate (PVP).
This procedure presents several advantages compared to the PVP technique
including less laser energy loss, less intraoperative complications as well as
more tissue resection rate.
PMID- 29806841
TI - Clonal Analysis of Embryonic Hematopoietic Stem Cell Precursors Using Single Cell
Index Sorting Combined with Endothelial Cell Niche Co-culture.
AB - The ability to study hematopoietic stem cell (HSC) genesis during embryonic
development has been limited by the rarity of HSC precursors in the early embryo
and the lack of assays that functionally identify the long-term multilineage
engraftment potential of individual putative HSC precursors. Here, we describe
methodology that enables the isolation and characterization of functionally
validated HSC precursors at the single cell level. First, we utilize index
sorting to catalog the precise phenotypic parameter of each individually sorted
cell, using a combination of phenotypic markers to enrich for HSC precursors with
additional markers for experimental analysis. Second, each index-sorted cell is
co-cultured with vascular niche stroma from the aorta-gonad-mesonephros (AGM)
region, which supports the maturation of non-engrafting HSC precursors to
functional HSC with multilineage, long-term engraftment potential in
transplantation assays. This methodology enables correlation of phenotypic
properties of clonal hemogenic precursors with their functional engraftment
potential or other properties such as transcriptional profile, providing a means
for the detailed analysis of HSC precursor development at the single cell level.
PMID- 29806842
TI - Predicting Catalyst Extrudate Breakage Based on the Modulus of Rupture.
AB - The mechanical strength of extruded catalysts and their natural or forced
breakage by either collision against a surface or by a compressive load in a
fixed bed are important phenomena in catalyst technology. The mechanical strength
of the catalyst is measured here by its bending strength or flexural strength.
This technique is relatively new from the perspective of applying it to
commercial catalysts of typical sizes used in the industry. Catalyst breakage by
collision against a surface is measured after a fall of the extrudates through
the ambient air in a vertical pipe. Quantifying the impact force is done
theoretically by applying Newton's second law. Measurement of catalyst breakage
due to stress in a fixed bed is done following the standard procedure of the bulk
crush strength test. Novel here is the focus on measuring the reduction in the
length to diameter ratio of the extrudates as a function of the stress.
PMID- 29806843
TI - Investigating Teliospore Germination Using Microrespiration Analysis and
Microdissection.
AB - Smut fungi are the etiological agents of several devastating agricultural
diseases. They are characterized by the production of teliospores, which are
thick-walled dispersal agents. Teliospores can remain dormant for decades. The
dormancy is characterized by low metabolic rates, paused macromolecular
biosynthesis and greatly reduced levels of respiration. Upon receiving required
environmental signals, teliospores germinate to produce haploid cells, which can
initiate new rounds of infection. Teliospore germination is characterized by the
resumption of macromolecular biosynthesis, increased respiration and dramatic
morphological changes. In order to precisely measure changes in cellular
respiration during the early stages of germination, we have developed a simple
protocol employing a Clark-type respirometer. The later stages of germination are
distinguished by specific morphological changes, but germination is asynchronous.
We developed a microdissection technique that enables us to collect teliospores
at distinct germination stages.
PMID- 29806844
TI - Visualization of Motor Axon Navigation and Quantification of Axon Arborization In
Mouse Embryos Using Light Sheet Fluorescence Microscopy.
AB - Spinal motor neurons (MNs) extend their axons to communicate with their
innervating targets, thereby controlling movement and complex tasks in
vertebrates. Thus, it is critical to uncover the molecular mechanisms of how
motor axons navigate to, arborize, and innervate their peripheral muscle targets
during development and degeneration. Although transgenic Hb9::GFP mouse lines
have long served to visualize motor axon trajectories during embryonic
development, detailed descriptions of the full spectrum of axon terminal
arborization remain incomplete due to the pattern complexity and limitations of
current optical microscopy. Here, we describe an improved protocol that combines
light sheet fluorescence microscopy (LSFM) and robust image analysis to
qualitatively and quantitatively visualize developing motor axons. This system
can be easily adopted to cross genetic mutants or MN disease models with Hb9::GFP
lines, revealing novel molecular mechanisms that lead to defects in motor axon
navigation and arborization.
PMID- 29806845
TI - A Modular Microfluidic Technology for Systematic Studies of Colloidal
Semiconductor Nanocrystals.
AB - Colloidal semiconductor nanocrystals, known as quantum dots (QDs), are a rapidly
growing class of materials in commercial electronics, such as light emitting
diodes (LEDs) and photovoltaics (PVs). Among this material group,
inorganic/organic perovskites have demonstrated significant improvement and
potential towards high-efficiency, low-cost PV fabrication due to their high
charge carrier mobilities and lifetimes. Despite the opportunities for perovskite
QDs in large-scale PV and LED applications, the lack of fundamental and
comprehensive understanding of their growth pathways has inhibited their
adaptation within continuous nanomanufacturing strategies. Traditional flask
based screening approaches are generally expensive, labor-intensive, and
imprecise for effectively characterizing the broad parameter space and synthesis
variety relevant to colloidal QD reactions. In this work, a fully autonomous
microfluidic platform is developed to systematically study the large parameter
space associated with the colloidal synthesis of nanocrystals in a continuous
flow format. Through the application of a novel translating three-port flow cell
and modular reactor extension units, the system may rapidly collect fluorescence
and absorption spectra across reactor lengths ranging 3 - 196 cm. The adjustable
reactor length not only decouples the residence time from the velocity-dependent
mass transfer, it also substantially improves the sampling rates and chemical
consumption due to the characterization of 40 unique spectra within a single
equilibrated system. Sample rates may reach up to 30,000 unique spectra per day,
and the conditions cover 4 orders of magnitude in residence times ranging 100 ms
17 min. Further applications of this system would substantially improve the rate
and precision of the material discovery and screening in future studies. Detailed
within this report are the system materials and assembly protocols with a general
description of the automated sampling software and offline data processing.
PMID- 29806847
TI - Micromanipulation Techniques Allowing Analysis of Morphogenetic Dynamics and
Turnover of Cytoskeletal Regulators.
AB - Examining the spatiotemporal dynamics of proteins can reveal their functional
importance in various contexts. In this article, it is discussed how fluorescent
recovery after photobleaching (FRAP) and photoactivation techniques can be used
to study the spatiotemporal dynamics of proteins in subcellular locations. We
also show how these techniques enable straightforward determination of various
parameters linked to actin cytoskeletal regulation and cell motility. Moreover,
the microinjection of cells is additionally described as an alternative treatment
(potentially preceding or complementing the aforementioned photomanipulation
techniques) to trigger instantaneous effects of translocated proteins on cell
morphology and function. Micromanipulation such as protein injection or local
application of plasma membrane-permeable drugs or cytoskeletal inhibitors can
serve as powerful tool to record immediate consequences of a given treatment on
cell behavior at the single cell and subcellular level. This is exemplified here
by immediate induction of lamellipodial cell edge protrusion by the injection of
recombinant Rac1 protein, as established a quarter-century ago. In addition, we
provide a protocol for determining the turnover of enhanced green fluorescent
protein (EGFP)-VASP, an actin filament polymerase prominently accumulating at
lamellipodial tips of B16-F1 cells, employing FRAP and including associated data
analysis and curve fitting. We also present guidelines for estimating the rates
of lamellipodial actin network polymerization, as exemplified by cells expressing
EGFP-tagged beta-actin. Finally, instructions are given for how to investigate
the rates of actin monomer mobility within the cell cytoplasm, followed by actin
incorporation at sites of rapid filament assembly, such as the tips of protruding
lamellipodia, using photoactivation approaches. None of these protocols is
restricted to components or regulators of the actin cytoskeleton, but can easily
be extended to explore in analogous fashion the spatiotemporal dynamics and
function of proteins in various different subcellular structures or functional
contexts.
PMID- 29806846
TI - Homogeneous Time-resolved Forster Resonance Energy Transfer-based Assay for
Detection of Insulin Secretion.
AB - The detection of insulin secretion is critical for elucidating mechanisms of
regulated secretion as well as in studies of metabolism. Though numerous insulin
assays have existed for decades, the recent advent of homogeneous time-resolved
Forster Resonance Energy Transfer (HTRF) technology has significantly simplified
these measurements. This is a rapid, cost-effective, reproducible, and robust
optical assay reliant upon antibodies conjugated to bright fluorophores with long
lasting emission which facilitates time-resolved Forster Resonance Energy
Transfer. Moreover, HTRF insulin detection is amenable for the development of
high-throughput screening assays. Here we use HTRF to detect insulin secretion in
INS-1E cells, a rat insulinoma-derived cell line. This allows us to estimate
basal levels of insulin and their changes in response to glucose stimulation. In
addition, we use this insulin detection system to confirm the role of dopamine as
a negative regulator of glucose-stimulated insulin secretion (GSIS). In a similar
manner, other dopamine D2-like receptor agonists, quinpirole, and bromocriptine,
reduce GSIS in a concentration-dependent manner. Our results highlight the
utility of the HTRF insulin assay format in determining the role of numerous
drugs in GSIS and their pharmacological profiles.
PMID- 29806848
TI - Use of Two Intracorporeal Ventricular Assist Devices As a Total Artificial Heart.
AB - Mechanical circulatory support (MCS) has been introduced as a viable alternative
to heart transplantation primarily through the use of intracorporeal ventricular
assist devices (VADs) for support of the left ventricle. However, certain
clinical scenarios warrant biventricular mechanical support. One strategy for
some patients is the excision of both ventricles and the implantation of two VAD
pumps as a total artificial heart (TAH). This has recently been made possible by
the improvements in device design and the small profile of centrifugal devices.
This TAH approach remains experimental with many important challenges such as the
device settings to balance the right and left circulation, the orientation of the
devices and the outflow graft with their influence on hemolysis and stability,
and the outcome of chronic support using such an orientation. This protocol aims
to provide a reproducible approach for total artificial heart replacement with
two intracorporeal centrifugal VADs in a cow model.
PMID- 29806849
TI - Photosynthetic artificial organelles sustain and control ATP-dependent reactions
in a protocellular system.
AB - Inside cells, complex metabolic reactions are distributed across the modular
compartments of organelles. Reactions in organelles have been recapitulated in
vitro by reconstituting functional protein machineries into membrane systems.
However, maintaining and controlling these reactions is challenging. Here we
designed, built, and tested a switchable, light-harvesting organelle that
provides both a sustainable energy source and a means of directing intravesicular
reactions. An ATP (ATP) synthase and two photoconverters (plant-derived
photosystem II and bacteria-derived proteorhodopsin) enable ATP synthesis.
Independent optical activation of the two photoconverters allows dynamic control
of ATP synthesis: red light facilitates and green light impedes ATP synthesis. We
encapsulated the photosynthetic organelles in a giant vesicle to form a
protocellular system and demonstrated optical control of two ATP-dependent
reactions, carbon fixation and actin polymerization, with the latter altering
outer vesicle morphology. Switchable photosynthetic organelles may enable the
development of biomimetic vesicle systems with regulatory networks that exhibit
homeostasis and complex cellular behaviors.
PMID- 29806850
TI - Editorial: Nonpharmacological Treatment of Cognitive Impairment.
PMID- 29806851
TI - Editorial: Rethinking the Staff-Quality Relationship in Nursing Homes.
PMID- 29806852
TI - Links between Atherosclerosis and Osteoporosis in Middle Aged and Elderly Men.
AB - BACKGROUND: Although the incidences of osteoporosis and atherosclerosis increase
with age, there is growing evidence that the coincidental occurrence of both
diseases may be independent of age. In general, studies in men are scarce and
results are inconsistent. OBJECTIVE: to investigate the relationship between
atherosclerosis and bone mineral density, and the influence of insulin
sensitivity and low grade inflammation on this relationship in 332 men without
CVD. METHODS: Aortic Pulse wave velocity (PWV), augmentation index (AIX) and
measurements of carotid intima media thickness (CIMT) were assessed. BMD
measurements were performed with dual-X-ray absorptiometry (DEXA), subcutaneous
fat by ultrasonography. Serum concentrations of lipids, hsCRP, glucose and
insulin were measured. Insulin sensitivity was calculated by use of the
quantitative insulin sensitivity (QUICKI). We used multivariate linear regression
models to examine the association of hsCRP, insulin sensitivity, PWV, Aix, CIMT
with BMD. RESULTS: A higher CIMT was significantly associated with higher BMD
after multivariate adjustment (beta 99.7; p=0.02). Further adjustment for weight
attenuated the estimates towards non-significant. No association was found
between PWV or AIX and BMD. Lower insulin sensitivity was associated with higher
BMD (beta -645.1; p<0.01). After adjustment for weight this association was no
longer significant. A similar effect was seen for the association between hsCRP
and BMD. CONCLUSION: In this population of healthy, non-obese, men without a
history of cardiovascular disease the positively association between
cardiovascular parameters and BMD was mainly explained by weight, suggesting that
in this population weight plays a protective role in the development of
osteoporosis.
PMID- 29806853
TI - Latent Profiles of Macronutrient Density and their Association with Mobility
Limitations in an Observational Longitudinal Study of Older U.S. Adults.
AB - OBJECTIVES: Our first objective was to estimate empirically-derived subgroups
(latent profiles) of observed carbohydrate, protein, and fat intake density in a
nationally representative sample of older U.S. adults. Our second objective was
to determine whether membership in these groups was associated with levels of,
and short term change in, physical mobility limitations. DESIGN AND SETTING:
Measures of macronutrient density were taken from the 2013 Health Care and
Nutrition Study, an off-year supplement to the Health and Retirement Study, which
provided indicators of physical mobility limitations and sociodemographic and
health-related covariates. PARTICIPANTS: 3,914 community-dwelling adults age 65
years and older. MEASUREMENTS: Percent of daily calories from carbohydrate,
protein, and fat were calculated based on responses to a modified Harvard food
frequency questionnaire. Latent profile analysis was used to describe unobserved
heterogeneity in measures of carbohydrate, protein, and fat density. Mobility
limitation counts were based on responses to 11 items indicating physical
limitations. Poisson regression models with autoregressive controls were used to
identify associations between macronutrient density profile membership and
mobility limitations. Sociodemographic and health-related covariates were
included in all Poisson regression models. RESULTS: Four latent subgroups of
macronutrient density were identified: "High Carbohydrate", "Moderate with Fat",
"Moderate", and "Low Carbohydrate/High Fat". Older adults with the lowest
percentage of daily calories coming from carbohydrate and the greatest percentage
coming from fat ("Low Carbohydrate/High Fat") were found to have greater reported
mobility limitations in 2014 than those identified as having moderate
macronutrient density, and more rapid two-year increases in mobility limitations
than those identified as "Moderate with Fat" or "Moderate". CONCLUSION: Older
adults identified as having the lowest carbohydrate and highest fat energy
density were more likely to report a greater number of mobility limitations and
experience greater increases in these limitations than those identified as having
moderate macronutrient density. These results suggest that the interrelation of
macronutrients must be considered by those seeking to reduce functional
limitations among older adults through dietary interventions.
PMID- 29806854
TI - Mediterranean Diet and Musculoskeletal-Functional Outcomes in Community-Dwelling
Older People: A Systematic Review and Meta-Analysis.
AB - BACKGROUND: Population aging is increasing and this process together with its
characteristics influence the prevalence and incidence of chronic conditions and
musculoskeletal-functional outcomes such as frailty, functional disability and
sarcopenia. Nutritional strategies focused on dietary patterns, such as a
Mediterranean diet, can be protective from these outcomes. PURPOSE: To
investigate the association between adherence to a Mediterranean diet and
frailty, functional disability and sarcopenia in community-dwelling older people.
METHODS: We systematically reviewed electronic databases (MEDLINE, EMBASE, and
others) and grey literature for articles investigating the relationship between
adherence to a Mediterranean diet and frailty, functional disability and
sarcopenia in community-dwelling people aged 60 and over. Study selection,
quality of study assessment and data extraction were conducted independently by
two authors. Random effects meta-analyses were performed, and pooled Odds Ratios
(OR) were obtained. RESULTS: After the literature search, screening and
eligibility investigation, we included 12studies, with a total of 20,518
subjects. A higher adherence to a Mediterranean diet was found to be inversely
associated with frailty (OR 0.42, 95% CI: 0.28-0.65, I2=24.9%, p=0.262) and
functional disability (OR 0.75, 95% CI: 0.61-0.93, I2=0.0%, p=0.78). Highly
different study characteristics prevented us from performing a meta-analysis for
sarcopenia. Cohort data indicated no association between adherence to a
Mediterranean diet and sarcopenia; however, cross-sectional results showed a
positive relationship. CONCLUSION: A Mediterranean diet is protective of frailty
and functional disability, but not of sarcopenia. More longitudinal studies are
needed to understand the relationship between a Mediterranean diet and
sarcopenia.
PMID- 29806855
TI - Role of Oral Nutritional Supplements Enriched with beta-Hydroxy-beta
Methylbutyrate in Maintaining Muscle Function and Improving Clinical Outcomes in
Various Clinical Settings.
AB - Aging and disease-related malnutrition are well associated with loss of muscle
mass and function. Muscle mass loss may lead to increased health complications
and associated increase in health care costs, especially in hospitalized
individuals. High protein oral nutritional supplements enriched with beta-hydroxy
beta-methylbutyrate (HP-ONS+HMB) have been suggested to provide benefits such as
improving body composition, maintaining muscle mass and function and even
decreasing mortality rates. The present review aimed to examine current evidence
on the effect of HP-ONS+HMB on muscle-related clinical outcomes both in community
and peri-hospitalization patients. Overall, current evidence suggests that
therapeutic nutrition such as HP-ONS+HMB seems to be a promising tool to mitigate
the decline in muscle mass and preserve muscle function, especially during
hospital rehabilitation and recovery.
PMID- 29806858
TI - Relationship between Economic Security and Self-Rated Health in Elderly Japanese
Residents Living Alone.
AB - OBJECTIVES: The purpose of this study was to assess the relationship between
economic security and self-rated health for elderly Japanese residents living
alone. DESIGN: A secondary analysis of a cross-sectional study. SETTING: N City,
H. Prefecture, Japan. PARTICIPANTS: Survey questionnaires were distributed to
2,985 elderly residents living alone, aged >=70 years, of which, 1,939 (65.0%)
were returned and treated as valid responses. MEASUREMENTS: The survey included
questions about gender, age, number of years spent in N City, self-rated health,
economic security, number of years spent living alone, reason for living alone,
life satisfaction, cooking frequency, frequency of seeing a doctor, long-term
care service usage, as well as whether they enjoyed their lives, participated in
social organizations. RESULTS: Of the respondents, 1,563 (80.6%) reported that
they were economically secure, and 376 (19.4%) responded that they were insecure.
The odds ratio predicting poor self-rated health for the economically insecure
participants was significantly high (odds ratio: 3.19, 95%, Confidence Interval
(CI): 2.53-4.02, and P < 0.001). Similarly, the adjusted odds ratio for poor self
rated health was significantly high for the economically insecure participants in
multivariate analyses controlling for factors such as age, gender, cooking
frequency, and social participation (adjusted odds ratio: 2.21, 95%, CI: 1.70
2.88, and P < 0.001). Furthermore, a similar trend was observed in stratified
analyses based on gender and age groups. CONCLUSION: Economic security predicted
self-rated health independently of confounders, including social participation
and cooking frequency, among the elderly Japanese living alone in communities.
PMID- 29806857
TI - Longitudinal Analysis of Outpatient Physician Visits in the Oldest Old: Results
of the AgeQualiDe Prospective Cohort Study.
AB - OBJECTIVES: The aim of this study was to identify determinants of outpatient
health care utilization among the oldest old in Germany longitudinally. DESIGN:
Multicenter prospective cohort "Study on Needs, health service use, costs and
health-related quality of life in a large sample of oldest-old primary care
patients (85+)" (AgeQualiDe). SETTING: Individuals in very old age were recruited
via GP offices at six study centers in Germany. The course of outpatient health
care was observed over 10 months (two waves). PARTICIPANTS: Primary care patients
aged 85 years and over (at baseline: n=861, with mean age of 89.0 years+/-2.9
years; 85-100 years). MEASUREMENTS: Self-reported numbers of outpatient visits to
general practitioners (GP) and specialists in the past three months were used as
dependent variables. Widely used scales were used to quantify explanatory
variables (e.g., Geriatric Depression Scale, Instrumental Activities of Daily
Living Scale, or Global Deterioration Scale). RESULTS: Fixed effects regressions
showed that increases in GP visits were associated with increases in cognitive
impairment, whereas they were not associated with changes in marital status,
functional decline, increasing number of chronic conditions, increasing age, and
changes in social network. Increases in specialist visits were not associated
with changes in the explanatory variables. CONCLUSION: Our findings underline the
importance of cognitive impairment for GP visits. Creating strategies to postpone
cognitive decline might be beneficial for the health care system.
PMID- 29806856
TI - Interventions Against Disability in Frail Older Adults: Lessons Learned from
Clinical Trials.
AB - As the population ages, the number of older people with frailty is expected to
increase worldwide with consequent rising of expenditures for healthcare and long
term care. Effective methods for preventing or delaying the onset of disability
are urgently required. Frailty is a common and important geriatric condition
characterized by age-associated declines in multiple physiological mechanisms,
leading to increased vulnerability to stressors and higher risk for adverse
health outcomes. Significant advancements have been made in the understanding of
the frailty pathophysiological background. Given its multidimensional nature,
reversing frailty requires a comprehensive approach. In this context, several
studies testing the effects of pharmacological approach, physical activity,
nutritional intervention, or cognitive training showed evidence of efficacy in
frail older adults. Important innovations in ongoing trials include the
development of multidomain interventions. Challenges include the use of trial
designs, the development of standardized, sensitive outcome measures, and the
need for interventions that can be implemented in resource-poor settings. In this
viewpoint paper, based on recent literature, our aim was to identify relevant
studies performed to reverse or delay disability in frail older adults.
PMID- 29806859
TI - Dietary Protein Intake and Overall Diet Quality Are Associated with Handgrip
Strength in African American and White Adults.
AB - OBJECTIVE: To determine the association of handgrip strength (HS) with protein
intake, diet quality, and nutritional and cardiovascular biomarkers in African
American and White adults. DESIGN: Cross-sectional wave 3 (2009-2013) of the
cohort Healthy Aging in Neighborhoods of Diversity across the Life Span (HANDLS)
study. PARTICIPANTS: Socioeconomically diverse urban population of 2,468 persons
aged 33 to 71 years. MEASUREMENTS: Socio-demographic correlates, dietary intakes
and biomarkers, HS, physical performance measures were collected. HS was measured
using a dynamometer with the dominant hand. Functional measures included chair,
tandem, and single leg stands. Two 24-hour recalls were collected using the US
Department of Agriculture Automated Multiple Pass Method. The total protein
intake and diet quality, evaluated by adherence to the DASH eating plan and
Healthy Eating Index-2010, were calculated. Biomarkers included nutritional
anemia, and serum levels of albumin, cholesterol, magnesium, and glucose.
RESULTS: The mean +/-SE age of the sample was 52.3+/-0.2 years. Approximately 61%
were African American and 57% were women. The mean +/-SE HS of women was 29.1+/
0.2kg and for men was 45.9+/-0.4 kg. Protein, gm, per kg body weight for the
women was 0.94+/-0.02 compared to 1.16 +/-0.02 for men. After adjusting for socio
demographic factors, hypertension, and diabetes, HS/BMI ratio was significantly
associated with protein intake per kg body weight (p<0.001) and diet quality,
assessed by either the DASH adherence (p=0.009) or Health Eating Index-2010
(p=0.031) scores. For both men and women, participants in the upper tertile of HS
maintained a single leg and tandem stances longer and completed 5 and 10 chair
stands in shorter time compared to individuals in the lower HS tertile. Of the
nutritional status indicators, the percent of men in the upper HS tertile with
low serum magnesium and albumin, was significantly lower than those in the lower
HS tertile [magnesium,7.4% vs 16.1%; albumin, 0.4% vs 4.5%]. The only difference
observed for women was a lower percent of diabetes (14.4% for the upper HS
tertile compared to 20.5% for the lower HS tertile. CONCLUSIONS: The findings
confirm the role of protein and a healthful diet in the maintenance of muscle
strength. In this community sample, HS was significantly associated with other
physical performance measures but did not appear to be strongly associated with
indicators of nutritional risk. These findings support the use of HS as a proxy
for functional status and indicate the need for research to explore its role as a
predictor of nutritional risk.
PMID- 29806860
TI - Berberine Improves Cognitive Deficiency and Muscular Dysfunction via Activation
of the AMPK/SIRT1/PGC-1a Pathway in Skeletal Muscle from Naturally Aging Rats.
AB - OBJECTIVE: The manifestations of aging include cognitive deficits and muscular
dysfunction, which are closely linked to impairment of mitochondrial biogenesis.
Berberine, an isoquinoline alkaloid, presents multiple anti-diabetic
pharmacological effects. Evidence has indicated that insulin resistance and
cognitive impairment share the same pathogenesis, and berberine could reverse
glucose metabolism abnormalities and muscle mitochondrial dysfunction induced by
a high-fat diet. This study was used to investigate whether berberine could be
used as an anti-aging drug to prevent cognitive deficits and muscular dysfunction
in natural aging. METHODS: Biochemical indicators and an intraperitoneal glucose
tolerance test were tested in 5-month-old rats (5 mo group), 24-month-old rats
(24 mo group) and 24-month-old rats that had undergone 6 months of berberine
treatment (BBR group). A Morris water maze test was conducted to assess the
cognitive ability of the rats. Insulin resistance in whole-body was evaluated by
intraperitoneal glucose tolerance test (IPGTT). The morphology of the skeletal
muscle tissue was observed by hematoxylin-eosin (HE) staining. The levels of
total cholesterol, triglyceride, ATP and reactive oxygen species (ROS) were
assessed with corresponding reagent kits. The protein expressions of GLUT4, AMPK,
SIRT1 and PGC-1alpha in skeletal muscle were examined by Western blot. RESULTS:
The results showed that administration of berberine for 6 months significantly
improved cognitive deficits and insulin resistance in naturally aging rats
(p<0.01). Furthermore, berberine treatment helped normalize the disordered
alignment and the decreased number of muscle fibers (p<0.01) in the skeletal
muscle of 24 mo rats. Berberine decreased the levels of ROS in both the serum and
the skeletal muscle of 24 mo rats (p<0.01). Berberine increased the protein
expression of p-AMPK, SIRT1 and PGC-1alpha and increased the production of ATP in
the skeletal muscle of aging rats (p<0.01). CONCLUSIONS: Berberine markedly
ameliorates aging-related reductions in cognitive ability and muscular function,
and the activation of the AMPK/SIRT1/PGC-1alpha pathway in skeletal muscle may be
the underlying protective mechanism of berberine on muscular function.
PMID- 29806861
TI - Associations between Plasmatic Polyunsaturated Fatty Acids Concentrations and
Cognitive Status and Decline in Neurocognitive Disorders.
AB - OBJECTIVE: To examine the association of plasmatic and erythrocyte concentrations
polyunsaturated fatty acids (PUFA) with both cognitive status and decline.
DESIGN: Longitudinal observational cohort study. SETTING: Memory Clinic of Lyon
Sud university hospital. PARTICIPANTS: 140 patients, aged 60 and older, were
referred to the memory clinic, and successively included in the cohort, between
March 2010 and February 2014. MEASUREMENTS: Concentration of omega-3 PUFA
(eicosapentaenoic: EPA and docosahexaenoic: DHA) and omega-6 PUFA (arachidonic:
AA), were measured at baseline in plasma and in the erythrocytes membrane.
Cognitive status was assessed using the mini mental state examination (MMSE), at
baseline and every six months during follow-up. The median follow-up period was
of 11,5 months. RESULTS: Compared to participants with minor neurocognitive
disorders (MMSE>=24), participants with major neurocognitive disorders (NCD) had
lower plasmatic concentrations of EPA and DHA (p<0.05) at baseline. Erythrocyte
AA and DHA concentrations were significantly lower in patients with cognitive
decline (defined as a >=2 points loss of MMSE per year), while no difference in
plasmatic concentrations was observed. CONCLUSIONS: Our study suggests that omega
3 PUFA plasma concentrations (mainly EPA and DHA) could be associated with
cognitive status in older people. Moreover, in this exploratory study, lower
erythrocyte PUFA concentrations (AA and DHA) were associated with accelerated
decline and could be proposed as a surrogate marker for prediction of cognitive
decline.
PMID- 29806862
TI - Potato Consumption Is not Associated with Higher Risk of Mortality: A
Longitudinal Study among Southern Italian Older Adults.
AB - OBJECTIVE: The consumption of potatoes is increasing worldwide, but few studies
have assessed the association between potato consumption and mortality,
particularly in Mediterranean countries. We therefore investigated whether potato
consumption is associated with higher risk of death in a large cohort of people
living in South Italy. DESIGN: Longitudinal. SETTING: Community-dwelling.
MEASUREMENTS: 2,442 participants coming from MICOL and NUTRIHEP studies aged more
than 50 years at baseline were followed-up for 11 years. Dietary intake was
assessed by means of a Food Frequency Questionnaire. Potato consumption was
categorized in quintiles according to their daily consumption (< 3.95, 3.96-8.55,
8.56-15.67, 15.68-22.0, and > 22.0 g/day). Mortality was ascertained through
validated cases of death. The association between potato consumption and
mortality was assessed through Cox's regression models, adjusted for potential
confounders, and reporting the data as hazard ratios (HRs) with 95% confidence
intervals (CIs). RESULTS: The 2,442 eligible participants were prevalently males
(54.6%) and aged a mean of 64.3+/-9.3 years. During the 11-year follow-up, 396
(=16.2%) participants died. After adjusting for 12 potential baseline
confounders, and taking those with the lowest consumption of potatoes as the
reference group, participants with the highest consumption of potatoes did not
have an increased overall mortality risk (HR=0.75; 95%CI: 0.53-1.07). Modelling
the potato consumption as continuous (i.e. as increase in 10 g/day) did not
substantially change our findings (fully-adjusted HR=0.93; 95%CI: 0.84-1.02).
CONCLUSION: Overall potato consumption was not associated with higher risk of
death in older people living in a Mediterranean area. Future studies are
warranted to elucidate the role of potato consumption on all-cause and cause
specific mortality.
PMID- 29806863
TI - Glucagon-Like Peptide-2 Improve Intestinal Mucosal Barrier Function in Aged Rats.
AB - Glucagon-like peptide-2 (GLP-2) plays a major role in repairing impaired
intestinal mucosa, but its mechanism in the improvement of intestinal barrier
function during the aging process remains unclear. In this study, 26-month-old
male Sprague-Dawley rats were randomized to control group and GLP-2 group treated
with a dose of 250 MUg*kg-1*d-1 by intraperitoneal injection. After 14 days of
treatment, intestinal mucosal morphometric changes were observed by light
microscopy and transmission electron microscopy (TEM). Small intestinal
permeability was evaluated by fluorescein isothiocyanate (FITC)-labeled dextran.
The mRNA and protein expression of Zonula Occludens-1 (ZO-1), occludin, claudin-1
and the GLP-2 receptor (GLP-2R) were detected by Real-time PCR and Western blot.
Our results showed that GLP-2 administration significantly improved the age
related atrophy of intestinal mucosa and villi and increased small intestinal
permeability. The mRNA and protein expression of ZO-1and occludin in ileum were
up regulated in the GLP-2-treated old rats. In addition, the serum GLP-2 levels
were negatively correlated with small intestinal permeability measured by FITC
dextran levels (r=-0.610, P<0.01). Taking all these data together, it is
concluded that GLP-2 improved small intestinal epithelial barrier function in
aged rats mainly by facilitating intestinal mucosa growth, alleviating the
increased small intestinal permeability and increasing ZO-1 and occludin
expression. Our observations provide evidence for the clinical significance of
GLP-2 in preventing the intestinal epithelial barrier dysfunction during aging.
PMID- 29806865
TI - Encoding and readout strategies in magnetic resonance elastography.
AB - Magnetic resonance elastography (MRE) has evolved significantly since its
inception. Advances in motion-encoding gradient design and readout strategies
have led to improved encoding and signal-to-noise ratio (SNR) efficiencies, which
in turn allow for higher spatial resolution, increased coverage, and/or shorter
scan times. The purpose of this review is to summarize MRE wave-encoding and
readout approaches in a unified mathematical framework to allow for a comparative
assessment of encoding and SNR efficiency of the various methods available.
Besides standard full- and fractional-wave-encoding approaches, advanced
techniques including flow compensation, sample interval modulation and multi-shot
encoding are considered. Signal readout using fast k-space trajectories, reduced
field of view, multi-slice, and undersampling techniques are summarized and put
into perspective. The review is concluded with a foray into displacement and
diffusion encoding as alternative and/or complementary techniques.
PMID- 29806864
TI - Effect of A Minimal-Massive Intervention in Hospitalized Older Patients with
Oropharyngeal Dysphagia: A Proof of Concept Study.
AB - BACKGROUND: Oropharyngeal dysphagia (OD) is a newly defined geriatric syndrome
that causes nutritional and respiratory complications in older hospitalized
patients. Following hospital discharge, OD also causes hospital readmission and
mortality in this population. OBJECTIVE: Our aim was to assess the effect of a
minimal-massive intervention (MMI) in reducing nutritional and respiratory
complications in older hospitalized patients with OD. DESIGN AND PARTICIPANTS: An
open label trial was performed on 186 hospitalized older patients (>70y) with OD;
62 of these patients with OD were treated with the MMI and paired by sex, age,
functionality, comorbidities and body mass index with two controls. INTERVENTION:
The MMI consisted of: a) fluid thickening and texture-modified foods, b) caloric
and protein supplementation; and c) oral health and hygiene recommendations
during hospitalization and following discharge. The control group followed the
standard clinical practice without MMI. MEASUREMENTS: Main study outcomes were
hospital readmissions, respiratory infections, nutritional status and survival
after 6 months follow up. RESULTS: Both groups had similar advanced age (84.87+/
6.02MMI and 84.42+/-5.31 years), poor functionality (Barthel 59.51+/-26.76 MMI
and 58.84+/-26.87), and high comorbidities (Charlson 3.00+/-1.60 MMI and 3.06+/
1.45). Main results showed that MMI improved nutritional status (MNA 9.84+/-2.05
pre-MMI vs. 11.31+/-2.21 post-MMI; p=0.0038) and functionality (Barthel 62.34+/
25.43 pre-MMI vs. 73.44+/-25.19 post-MMI; p=0.007). In addition MMI decreased
hospital readmissions (68.8 readmissions/100 persons-year (28.1-109.38) MMI vs.
190.8 (156.0-225.7); p=0.001), respiratory infections (12.50 readmissions/100
persons-year (0-29.82) MMI vs. 74.68 (52.86-96.50); p=0.002), and increased 6
month survival (84.13% MMI vs. 70.96%; p=0.044). CONCLUSIONS: Our results suggest
that a MMI in hospitalized older patients with OD improves nutritional status and
functionality and reduces hospital readmissions, respiratory infections and
mortality. MMI might become a new simple and cost-effective strategy to avoid OD
complications in the geriatric population admitted with an acute disease to a
general hospital.
PMID- 29806866
TI - Near final height in patients with idiopathic growth hormone deficiency: A single
centre experience.
AB - AIM: We analysed near final height (NFH) data in children with growth hormone
deficiency (GHD) treated with recombinant human GH (rhGH). METHODS: We divided
the idiopathic GHD patients into two groups, isolated GHD (IGHD) and multiple
pituitary hormone deficiency, to evaluate NFH. Then, data were grouped according
to gender, pre-pubertal/pubertal status and spontaneous or induced puberty. The
trial was performed as a retrospective study. Median values are given, and
measurements are expressed as standard deviation scores (SDSs). RESULTS: rhGH
therapy was started at a median age of 12.1 (range 9.1-14.9) years in the IGHD
group (n = 162, 83 males) and 9.1 (range 4.9-13.4) years in the multiple
pituitary hormone deficiency group (n = 33, 22 males) at a median dose of 0.20
mg/kg/week. Height SDSs at the onset of therapy were -3.2 (range -4.4 to -2.6)
and -3.9 (-6.8 to -2.8) in the two groups, respectively (P < 0.001). NFH SDSs
were -1.8 (-2.9 to -1) and -1.6 (-3.1 to -0.4) (P = 0.139), and delta height SDSs
(finish - start) were 1.4 (0.3-2.5) and 2.6 (1.5-4.6) (P < 0.001), respectively.
Total delta height was 1.4 SDS (0.4-3.1) in patients who started rhGH treatment
in the pre-pubertal period and 1.3 SDS (0.3-2.4) (P = 0.106) in those who started
rhGH in the pubertal period. CONCLUSIONS: About 85% of the cases reached their
genetic height potential. Delta height SDSs were higher than expected in cases
that started treatment during the pubertal period. Therefore, it is possible to
achieve NFH within the mid-parental height range in patients who start therapy
during puberty.
PMID- 29806867
TI - A Cyclometalated IrIII Complex as a Lysosome-Targeted Photodynamic Therapeutic
Agent for Integrated Imaging and Therapy in Cancer Cells.
AB - Organelle-targeted photosensitizers (PSs) having luminescence properties are
potential theranostic agents for simultaneous luminescence imaging and
photodynamic therapy. Herein, we report a water-soluble luminescent
cyclometalated IrIII complex, Ir-Bp-Ly, as a lysosome-targeted theranostic probe.
Ir-Bp-Ly exhibits exceptional photophysical properties, with good triplet-state
quantum yield (0.90), singlet oxygen generation quantum yield (0.71 at pH 4), and
long lifetime (1.47 MUs). Interestingly, Ir-Bp-Ly localizes mostly in lysosomes
due to the presence of morpholine units, suggesting its potential use as a lyso
tracker. Ir-Bp-Ly displays a notable PDT effect in C6 glioma cells, efficiently
generating reactive oxygen species owing to close proximity between the energy
levels of its triplet state and those of molecular oxygen (3 O2 ). The mechanism
of cell death has been studied through caspase-3/7 and flow cytometry analyses,
which clearly established the apoptotic pathway.
PMID- 29806868
TI - Segmental storiform collagenomas: Expanding the spectrum of PTEN hamartoma tumor
syndrome in children.
AB - A 4-year-old girl with autism spectrum disorder and congenital heart disease
presented to dermatology clinic for evaluation of skin growths present since
infancy. Physical examination was significant for macrocephaly and agminated skin
colored to pink papulonodules in a segmental distribution on the right lower back
and buttocks, biopsy of which showed storiform collagenomas (sclerotic fibromas).
Genetic testing revealed a pathogenic missense mutation in the PTEN gene, and a
diagnosis of PTEN hamartoma tumor syndrome was made. The segmental nature of her
storiform collagenomas is unique, to our knowledge, and may be explained by a
postzygotic second-hit PTEN mutation, contributing to the growing spectrum of
clinical findings associated with PTEN hamartoma tumor syndrome.
PMID- 29806870
TI - Schools as Vehicles to Assess Experiences, Improve Outcomes, and Effect Social
Change.
AB - Schools are important settings that can be utilized to yield a positive impact on
youth and the many issues our society faces. In this Presidential Address, I
identify key issues and directions for the field, advocating that we need to
expand our ecological focus, improve school climate, and collaborate with schools
to effect change. To illustrate these key themes, findings from four projects
with k-12 youth and educators in the United States are described, and these
projects have the following foci: protective factors for youth exposed to
violence, teacher-directed violence as part of an APA Task Force, school climate
and neighborhood factors in relation to academic outcomes, and school transitions
for students with disabilities. Challenges and future directions to build upon
community psychology theory, research, practice, and policy are discussed.
PMID- 29806869
TI - The effect of parental drinking on alcohol use in young adults: the mediating
role of parental monitoring and peer deviance.
AB - BACKGROUND AND AIMS: Evidence demonstrating an association between parental
alcohol use and offspring alcohol use from robust prospective studies is lacking.
We tested the direct and indirect associations between parental and young adult
alcohol use via early alcohol initiation, parental monitoring and associating
with deviant peers. DESIGN: Prospective birth cohort study. Path analysis was
used to assess the possible association between parental alcohol use (assessed at
12 years) and alcohol use in young adults (assessed at 18 years) via potential
mediators (assessed at 14 and 15.5 years, respectively). SETTING: South West
England. PARTICIPANTS: Data were available on 3785 adolescents and their parents
from the Avon Longitudinal Study of Parents and Children. MEASUREMENTS: The
continuous Alcohol Use Disorders Identification Test (AUDIT) score was used as
the primary outcome measure. Maternal alcohol use was defined as light (< 4 units
on any day), moderate (>= 4 units on 1-3 days) and high-risk (>= 4 units on >= 4
days in 1 week). Partner alcohol use was also defined as light, moderate and high
risk. Socio-economic variables were included as covariates. FINDINGS: There was
strong evidence of a total effect from maternal alcohol use to young adult
alcohol use [moderate: b = 1.07, 95% confidence interval (CI) = 0.64, 1.49, P <
0.001; high risk: b = 1.71, 95% CI = 1.07, 2.35, P < 0.001]. The majority of this
association was explained through early alcohol initiation (moderate: b = 0.14,
95% CI = 0.04, 0.25, P = 0.01; high risk: b = 0.24, 95% CI = 0.07, 0.40, P <
0.01) and early alcohol initiation/associating with deviant peers (moderate: b =
0.06, 95% CI = 0.02, 0.10, P < 0.01; high risk: b = 0.10, 95% CI = 0.03, 0.16, P
< 0.01). There was strong evidence of a remaining direct effect (moderate: b =
0.81, 95% CI = 0.39, 1.22, P < 0.001; high risk: b = 1.28, 95% CI = 0.65, 1.91, P
< 0.001). A similar pattern of results was evident for partner alcohol use.
CONCLUSIONS: Young adults whose parents have moderate or high-risk alcohol
consumption are more likely to consume alcohol than those with parents with lower
alcohol consumption. This association appears to be partly accounted for by
earlier alcohol use initiation and higher prevalence of association with deviant
peers.
PMID- 29806871
TI - Association between seizure frequency and fatigue levels in children with
epilepsy.
AB - AIM: The purpose of this study was to examine the association between seizure
related features and fatigue levels in children with epilepsy. METHODS: All
children were classified into three subgroups based on the state of their seizure
control: well-controlled epilepsy (WCE; seizure-free), intermediate-controlled
epilepsy (ICE; seizure frequency < 1*/month) and uncontrolled epilepsy (UCE;
seizure frequency > 1*/month). Participants were asked to rate on a 7-point
scale, from 1 (strongly disagree) to 7 (strongly agree), how often they felt the
ways described by nine items on the Fatigue Severity Scale (FSS). A higher score
is suggestive of greater fatigue. RESULTS: The study participants comprised 58
children with epilepsy and 15 children without seizures, who served as the
healthy (non-epilepsy) group. The mean FSS scores of the children with epilepsy
were significantly higher than those of the healthy (non-epilepsy) group (4.40
vs. 1.55, respectively; P < 0.0001). Multiple linear regression analysis showed
that seizure frequency was the only characteristic significantly associated with
fatigue (P < 0.0001). In the three epilepsy subgroups, the mean FSS scores for
the WCE, intermediate-controlled epilepsy and UCE groups were 2.30, 3.97 and
6.28, respectively. A higher seizure frequency was associated with more severe
fatigue. In particular, children in the UCE group had significantly more severe
fatigue than those in the WCE group (P < 0.0001). CONCLUSIONS: The results
suggest that seizure frequency is also associated with fatigue in children with
epilepsy. Improved control of seizures may help reduce fatigue levels and improve
the quality of life of children with epilepsy.
PMID- 29806872
TI - Effectiveness, safety and feasibility of extended-release naltrexone for opioid
dependence: a 9-month follow-up to a 3-month randomized trial.
AB - BACKGROUND AND AIM: This is a follow-up study of a previously published
randomized clinical trial conducted in Norway that compared extended-release
naltrexone (XR-NTX) to buprenorphine-naloxone (BP-NLX) over 3 months. At the
conclusion of the trial, participants were offered their choice of study
medication for an additional 9 months. While BP-NLX was available at no cost
through opioid maintenance treatment programmes, XR-NTX was available only
through study participation, accounting for why almost all participants chose XR
NTX in the follow-up. The aim of this follow-up study was to compare differences
in outcome between adults with opioid dependence continuing XR-NTX and those
inducted on XR-NTX for a 9-month period, on measures of effectiveness, safety and
feasibility. DESIGN: In this prospective cohort study, participants were either
continuing XR-NTX, changed from BP-NLX to XR-NTX or re-included into the study
and inducted on XR-NTX treatment. SETTING: Five urban, out-patient addiction
clinics in Norway. PARTICIPANTS: Opioid-dependent adults continuing (n = 54) or
inducted on (n = 63) XR-NTX. INTERVENTION: XR-NTX administrated as intramuscular
injections (380 mg) every fourth week. MEASUREMENTS: Data on retention, use of
heroin and other illicit substances, opioid craving, treatment satisfaction,
addiction-related problems and adverse events were reported every fourth week.
FINDINGS: Nine-month follow-up completion rates were 51.9% among participants
continuing XR-NTX in the follow-up and 47.6% among those inducted on XR-NTX.
Opioid abstinence rates were, respectively, 53.7 and 44.4%. No significant group
differences were found in use of heroin and other opioids. CONCLUSIONS: Opioid
dependent individuals who elect to switch from buprenorphine-naltrexone treatment
after 3 months to extended-release naltrexone treatment for 9 months appear to
experience similar treatment completion and abstinence rates and similar adverse
event profiles to individuals who had been on extended-release naltrexone from
the start of treatment.
PMID- 29806873
TI - Factors associated with infants receiving their mother's own breast milk on
discharge from hospital in a unit where pasteurised donor human milk is
available.
AB - AIM: To determine the proportion of very preterm infants who were exclusively fed
breast milk at the time of discharge home, before and after the availability of
pasteurised donor human milk (PDHM). METHODS: This was an observational
retrospective cohort study with historical comparison, comparing two cohorts (<32
weeks gestational age or very low birthweight) before and after the availability
of donor human milk. The main explanatory variable was the PDHM cohort: pre-PDHM
or post-PDHM. The primary dichotomous outcome variable was defined as whether the
baby was being fed with breast milk only at the time of discharge home, compared
with those fed with artificial formula alone or mixed feeding (artificial formula
and breast milk). RESULTS: There were 1088 babies in the pre-PDHM cohort and 330
in the post-PDHM cohort (total n = 1418). Following the introduction of PDHM, 56%
(185/330) were exclusively fed breast milk at the time of hospital discharge and
57% (620/1088) in the pre-PDHM cohort. The availability of PDHM is not a
significant predictor of feeding outcome upon discharge (P = 0.45) when adjusted
for maternal age, log-transformed post-natal age at discharge home and any
congenital abnormality. CONCLUSIONS: The availability of donor human milk in our
unit is not associated with a decrease in the number of very preterm infants
receiving mother's own breast milk at time of discharge home. Other factors that
positively impact the successful establishment of breastfeeding in preterm babies
were older maternal age, the absence of any congenital abnormality and a shorter
duration of hospital stay.
PMID- 29806874
TI - Fabrication of Photonic Bandgap Materials by Shifting Double Frameworks.
AB - Biological organisms have evolved over millions of years to generate tremendously
complex structures on a nanometer to micrometer scale. Among them, a range of
three-dimensional (3D) biological photonic structures with minimal surface or
constant mean curvature surfaces have been discovered in the wing scales of
insects, attracting a great deal of interest because of their unique optical
properties, such as structural color, antireflection, light collection, and
photonic band gaps. Single-diamond and single-gyroid surface structures are
considered to be excellent photonic crystals with complete band gaps. Although
the corresponding bicontinuous architectures have been synthesized by self
assembly, single-framework structures are thermodynamically unfavorable and have
been only achieved by physical fabrications and the alternating gyroid method.
The production of materials derived from the thermodynamically stable double
framework structures provides a feasible solution for their chemical
construction. This concept article highlights the significant progress in
understanding 3D photonic structures by shifting double-frameworks to form low
symmetry structures, the physical properties of which can be greatly altered.
Specifically, a complete photonic band gap can be achieved via a shifted double
diamond structure composed of materials with high dielectric contrast and high
refractive index. We believe this concept will provide new insights in
interdisciplinary research areas including the study of photonic structures, the
self-assembly of amphiphilic molecules and the formation of biological
architectures.
PMID- 29806875
TI - Light-Gated Rotation in a Molecular Motor Functionalized with a Dithienylethene
Switch.
AB - A multiphotochromic hybrid system is presented in which a light-driven
overcrowded alkene-based molecular rotary motor is connected to a dithienylethene
photoswitch. Ring closing of the dithienylethene moiety, using an irradiation
wavelength different from the wavelength applied to operate the molecular motor,
results in inhibition of the rotary motion as is demonstrated by detailed 1 H-NMR
and UV/Vis experiments. For the first time, a light-gated molecular motor is thus
obtained. Furthermore, the excitation wavelength of the molecular motor is red
shifted from the UV into the visible-light region upon attachment of the
dithienylethene switch.
PMID- 29806876
TI - Whole-of-community interventions to reduce population-level harms arising from
alcohol and other drug use: a systematic review and meta-analysis.
AB - BACKGROUND AND AIMS: Whole-of-community interventions aim to reduce alcohol and
other drug (AOD) use and harms by mobilizing community leaders, organizations and
policy-makers to respond effectively to AOD use. The aim of this review is to
estimate the effectiveness of whole-of-community interventions in reducing
population-level harms arising from AOD use. DESIGN: A systematic review of
electronic databases CENTRAL, Embase, Medline, Medline in Process and PsycINFO
was conducted from database inception to August 2017. Eligible trials had a
parallel comparison group, implemented interventions in two or more community
settings, and reported data on AOD use or harms. SETTING: Intervention settings
included schools, sporting clubs, police and law enforcement agencies, community
centres, local media and retail premises. PARTICIPANTS: Twenty-four trials from
63 publications were included (n = 249 125 participants). MEASUREMENTS: Outcomes
from AOD consumption (quantity and frequency), AOD-related crime and AOD-related
accidents, injuries and hospital admissions. Data were pooled using random
effects inverse variance meta-analysis in Review Manager version 5.3. FINDINGS:
Risk of bias was mostly high, due to lack of random allocation, selective
reporting and significant attrition. Meta-analyses indicated significant
reductions in risky drinking [Alcohol Use Disorders Identification Scale (AUDIT)
> 8; three trials (7 data points), relative risk (RR) = 0.78, 95% confidence
interval (CI) = 0.62-0.99)], but found no impact on past-month alcohol use (five
trials, RR = 0.95, 95% CI = 0.89-1.02), binge drinking (five trials, RR = 0.97,
95% CI = 0.89-1.06) or 12-month marijuana use (two trials, RR = 0.98, 95% CI =
0.86-1.11). Narrative synthesis indicated some reductions in AOD-related assault
rates and arrests, but were equivocal for quantity of alcohol consumed, 12-month
illicit drug use, assault or abuse, motor vehicle accidents and hospital
admissions. CONCLUSIONS: Interventions to reduce alcohol and other drug use and
harms applied to whole communities have resulted so far in small reductions in
risky alcohol consumption, but have had little impact upon past month alcohol
use, binge drinking or 12-month marijuana use and the studies have been subject
to high risk of bias.
PMID- 29806877
TI - No proof of a causal relationship between antiepileptic drug treatment and
incidence of dementia. Comment on: Use of antiepileptic drugs and dementia risk
An analysis of Finnish health register and German health insurance data.
PMID- 29806878
TI - Study of movement of umbilical venous catheters over time.
AB - AIM: To quantify, in well-positioned umbilical venous catheters (UVC), the
direction and magnitude of catheter tip migration. METHODS: In this prospective,
single-centre, observational, cohort study, infants with a UVC inserted that
reached the target zone - inferior vena cava, from ductus venosus to cavoatrial
junction (CAJ) - were included. Ultrasound was used to position the catheter tip
as near to the CAJ as possible at insertion and to guide any subsequent
withdrawals of the catheter. Radiographs and ultrasound were repeated the day
following the insertion of the UVC and on any day following adjustment. A final
scan was performed on the day of catheter removal. The anatomical position of the
catheter and its distance from the CAJ was recorded with each scan. RESULTS: The
study ran from May 2015 to August 2016 in the neonatal unit at the Royal Brisbane
and Women's Hospital. Thirty-eight patients were recruited, but 18 failed to
negotiate the ductus venosus. For the remaining 20, the initial UVC position was
within 3 mm of the CAJ on ultrasound. Subsequently, on day 2, 25% of catheters
were well positioned, 65% were high and 10% were below the target zone. On the
late scan prior to UVC removal, 90% of catheters had migrated from their position
on the preceding scan: outward migration in 80% of cases ranging from 2 to 23 mm
(median 8.5 mm). Only 35% of catheters remained well positioned. CONCLUSION: The
majority of UVCs migrate over time, usually inwards initially and then outwards
to a low position.
PMID- 29806879
TI - Palladium(II)-Catalyzed Enantioselective Arylation of Unbiased Methylene C(sp3 )
H Bonds Enabled by a 2-Pyridinylisopropyl Auxiliary and Chiral Phosphoric Acids.
AB - Enantioselective functionalizations of unbiased methylene C(sp3 )-H bonds of
linear systems by metal insertion are intrinsically challenging and remain a
largely unsolved problem. Herein, we report a palladium(II)-catalyzed
enantioselective arylation of unbiased methylene beta-C(sp3 )-H bonds enabled by
the combination of a strongly coordinating bidentate PIP auxiliary with a
monodentate chiral phosphoric acid (CPA). The synergistic effect between the PIP
auxiliary and the non-C2 -symmetric CPA is crucial for effective stereocontrol. A
broad range of aliphatic carboxylic acids and aryl bromides can be used,
providing beta-arylated aliphatic carboxylic acid derivatives in high yields (up
to 96 %) with good enantioselectivities (up to 95:5 e.r.). Notably, this reaction
also represents the first palladium(II)-catalyzed enantioselective C-H activation
with less reactive and cost-effective aryl bromides as the arylating reagents.
Mechanistic studies suggest that a single CPA is involved in the
stereodetermining C-H palladation step.
PMID- 29806880
TI - DJ1 and microRNA-214 act synergistically to rescue myoblast cells after
ischemia/reperfusion injury.
AB - Ischemia/reperfusion injury is a tissue injury occurring post-reperfusion of
tissues with pre-existing ischemia. A good blood supply to tissues aids in the
survival of ischemic tissue, however, due to prolonged ischemia the levels of ATP
decrease and pH declines leading to acidosis. Reduced ATP leads to an increase in
the AMP/ATP ratio, causing cessation of intracellular calcium transport, hence
calcium overload and cell death. In this study, we demonstrate the synergistic
and antagonistic effect of DJ1 and microR-214 (miR-214) in rescuing myoblast
C2C12 cells after ischemia/reperfusion in an in vitro model. Both DJ1 and miR-214
were cloned into a hypoxic inducible expression cassette and transfected into the
C2C12 cells. We showed that DJ1 and miR-214 have synergistic effects in reducing
intracellular lactate dehydrogenase and intracellular transient calcium levels
after reoxygenation compared to control cells, in addition to reducing cell death
via necrosis. Western blotting revealed a decrease in autophagosome formation in
LC3II/I ratio and an increase in AKT expression in cells transfected with DJ1 and
miR-214. Using quantitative real-time PCR, we demonstrated that DJ1 and miR-214
significantly reduced the expression of pro-apoptotic factors and autophagy
compared to control. The results indicated DJ1 is an endogenous oxidative stress
molecule and miR-214 is a potent inhibitor of the sodium calcium exchanger
channel. DJ1 had the greatest effect to inhibiting mitochondrial cell death
pathways by possibly acting as a modulator of autophagy. Additionally, we have
concluded that miR-214 has an inhibitory effect on extrinsic cell death pathways
such as necrosis and autophagy.
PMID- 29806881
TI - Unveiling the Binding Modes of the Crystallophore, a Terbium-based Nucleating and
Phasing Molecular Agent for Protein Crystallography.
AB - Crystallophores are lanthanide complexes that act as powerful auxiliary for
protein crystallography due to their strong nucleating and phasing effects. To
get first insights on the mechanisms behind nucleation induced by Crystallophore,
we systematically identified various elaborated networks of supramolecular
interactions between Tb-Xo4 and subset of 6 protein structures determined by X
ray diffraction in complex with terbium-Crystallophore (Tb-Xo4). Such interaction
mapping analyses demonstrate the versatile binding behavior of the Crystallophore
and pave the way to a better understanding of its unique properties.
PMID- 29806883
TI - Is there gender convergence in risky drinking when taking birth cohorts into
account? Evidence from an Australian national survey 2001-13.
AB - BACKGROUND AND AIMS: Recent studies have argued that there has been substantial
gender convergence in alcohol consumption. However, many of these rely on
comparisons of different cohorts and do not adjust for age differences in male
and female drinking patterns. We aimed to examine (1) whether the gender gap in
risky drinking in Australia narrowed between 2001 and 2013, (2) if the evidence
for gender convergence (or divergence) was consistent across age groups and (3)
how a cohort-focused analysis of gender convergence compared with an age-focused
approach. DESIGN: Repeated cross-sectional data from five waves of the National
Drug Strategy Household Survey (2001, 2004, 2007, 2010, 2013). Interaction terms
in logistic and linear regression models were used to test for significantly
different trends between men and women. SETTING: Australia. PARTICIPANTS:
Australians aged 14 years and older (n = 125 215) who provided data on alcohol
consumption in the National Drug Strategy Household Survey. MEASUREMENTS:
Prevalence of long-term risky drinking (average of 20 g or more per day) and
prevalence of risky single occasion drinking (12 or more sessions of 50 g or
more). FINDINGS: Gender differences were large for all age groups except
adolescents (14-17-year-olds), with men typically reporting levels of drinking at
least twice as high as women across the study period. Overall, there was
statistically significant gender convergence in alcohol use measures showing a
slight decrease in men's drinking and a slight increase in women's drinking.
However, when age-specific analyses were conducted gender convergence was only
observed for 50-69-year-olds. CONCLUSIONS: In Australia, gender convergence in
risky drinking since 2001 has been evident only in people aged 50-69 years.
PMID- 29806884
TI - Ligustrazine protects chondrocyte against IL-1beta induced injury by regulation
of SOX9/NF-kappaB signaling pathway.
AB - Ligustrazine is extracted from rhizoma ligustici wallichii, is known for its
vasodilatory properties in the traditional Chinese herbal medicine. The study
aimed to determine the effect and the underlying mechanism of ligustrazine on
interleukin-1beta (IL-1beta)-induced injury in osteoarthritis (OA). Human
articular cartilages and joint effusion were obtained from patients with OA, and
the chondrocytes were isolated and treated with IL-1beta. The different
inflammatory cytokines were analyzed by ELISA. Cell viability, apoptosis, and
apoptosis-related factors were examined by MTT, flow cytometry, and Western blot.
Then, the expressions of COL2A1 and ACAN, and the concentrations of sGAG and MMP
13 were measured. The level of SOD and MDA and ROS production were then analyzed.
Furthermore, the protein levels of SOX9 and NF-kappaB pathway were detected by
Western blot. Ligustrazine significantly suppressed inflammatory reaction in
joint effusion of OA patients. Moreover, ligustrazine attenuated IL-1beta-induced
chondrocytes injury and matrx degradation in chondrocytes. Ligustrazine promoted
oxidative stress response by increasing SOD level, decreasing MDA level, and
inhibiting ROS production in IL-1beta-induced chondrocytes. Besides, ligustrazine
increased SOX9 expression, and SOX9 silencing reversed the effect of ligustrazine
on matrx degradation in IL-1beta-injured chondrocytes. Furthermore, ligustrazine
blocked NF-kappaB pathway in IL-1beta-induced chondrocytes, and PTDC (NF-kappaB
inhibitor) enhanced the effect of ligustrazine on viability, apoptosis, SOX9
expression, and ROS production in IL-1beta-induced chondrocytes. These results
indicated that ligustrazine protected chondrocytes against IL-1beta-induced
injury possibly by regulation of SOX9 and inactivation of NF-kappaB signaling
pathway. It could act as a therapeutic agent for the treatment of OA.
PMID- 29806882
TI - Chromophore Activation of alpha,beta-Unsaturated Carbonyl Compounds and Its
Application to Enantioselective Photochemical Reactions.
AB - The first law of photochemistry, as described by Theodor von Grotthubeta and John
W. Draper, states that only the light absorbed by the irradiated matter can
effect photochemical change. Consequently, the photochemical behavior of a
molecule can be controlled by bringing its absorbance properties in line with the
emission of the light source. A compound with a chromophore that only absorbs
light at short wavelengths will not be excited by light of longer wavelengths. If
one can reversibly modify the photophysical properties of a compound with a
chemical activator, then it is possible to photoexcite only the activated
species. For alpha,beta-unsaturated carbonyl compounds, the use of Lewis acids,
Bronsted acids, or the formation of the respective iminium ions can bring about
the desired chromophore activation to catalyze a photochemical reaction at a
given wavelength. In this Minireview, the concept of chromophore activation will
be illustrated, and examples of its implementation in enantioselective catalysis
will be discussed.
PMID- 29806885
TI - Evolution of clinical characteristics and outcomes of synthetic cannabinoid
receptor agonist exposure in the United States: analysis of National Poison Data
System data from 2010 to 2015.
AB - BACKGROUND AND AIMS: New synthetic cannabinoid receptor agonists (SCRAs) are
synthesized each year to evade US governmental regulation and sold for
recreational use. Our aim was to estimate the changes in the clinical effects and
patient disposition associated with SCRA exposure from 2010 to 2015. DESIGN: A
retrospective observational cohort study. SETTING: National Poison Data System
that collects data on reports of poisonings from US poison centers. PARTICIPANTS:
A total of 19 388 isolated SCRA cases between 1 January 2010 and 31 December 2015
were identified. The mean age was 24.6 years and 77.8% were male. MEASUREMENTS:
Primary outcome was the change in the trend of patient disposition, i.e. treated
and released versus hospitalization (e.g. non-critical care, critical care unit
or psychiatry) between 2010 and 2015. Secondary outcomes included the trends in
the clinical effects and their duration, and therapeutic interventions nationally
and regionally. FINDINGS: Reports of SCRA exposure peaked in 2011 (n = 5305) and
2015 (n = 5475). The majority of patients required supportive care and were
treated and released from an emergency department. Hospitalization increased by
annual percentage change in the log odds (APCO) of 21.0% (P < 0.0001) during the
6 years, with significant increases in admissions to critical care units and non
critical care units. Overall, tachycardia (32.1%), agitation/irritation (25.6%)
and drowsiness/lethargy (20.4%) were the most frequently reported clinical
effects from SCRA exposure. Clinical effects resolved within 2-8 hours in 52.8%
of cases, but their duration increased markedly by 2015. Regionally, the largest
number of SCRA cases was reported in the South (n = 9374, 48.6%). SCRA cases in
the Northeast were hospitalized more frequently (27.4%), with cases in the
Midwest being admitted more frequently to critical care units (15.3%). However,
there were no significant differences in clinical toxicity or disposition among
the regions. CONCLUSION: Hospitalization resulting from toxicity from synthetic
cannabinoid receptor agonists exposure in the United States increased
significantly between 2010 and 2015.
PMID- 29806886
TI - Nitrogen-Based Lewis Acids Derived from Phosphonium Diazo Cations.
AB - Reaction of PPh3 and [(p-ClC6 H4 )N2 ][BF4 ] affords [(p-ClC6 H4 )N(PPh3 )N(PPh3
)][BF4 ] 1, while reaction with (Ph2 PCH2 )2 gave [(p-ClC6 H4 )(NPh2 PCH2 )2
)][BF4 ] 2. These species confirm the Lewis acidity of [(p-ClC6 H4 )N2 (PR3
)][BF4 ] cations at N. In contrast, use of bulky phosphines afford the species
[ArN2 (PR3 )][BF4 ] (R=tBu 3, Mes 4). Compound 3 undergoes one electron reduction
to give the stable radical [(p-ClC6 H4 )N2 (PtBu3 )]. 5. Combination of 3 and
PtBu3 acts as an FLP to effect (SPh)2 cleavage, generating [PhSPtBu3 ]+ and the
radical [ArN2 (PR3 )]. . Collectively, these data affirm the ability of the
cations [ArN2 (PR3 )]+ to behave as one or two electron acceptors.
PMID- 29806887
TI - Coordination-Assisted Bioorthogonal Chemistry: Orthogonal Tetrazine Ligation with
Vinylboronic Acid and a Strained Alkene.
AB - Bioorthogonal chemistry can be used for the selective modification of
biomolecules without interfering with any other functionality that might be
present. Recent developments in the field include orthogonal bioorthogonal
reactions to modify multiple biomolecules simultaneously. During our research, we
observed that the reaction rates for the bioorthogonal inverse-electron-demand
Diels-Alder (iEDDA) reactions between nonstrained vinylboronic acids (VBAs) and
dipyridyl-s-tetrazines were exceptionally higher than those between VBAs and
tetrazines bearing a methyl or phenyl substituent. As VBAs are mild Lewis acids,
we hypothesised that coordination of the pyridyl nitrogen atom to the boronic
acid promoted tetrazine ligation. Herein, we explore the molecular basis and
scope of VBA-tetrazine ligation in more detail and benefit from its unique
reactivity in the simultaneous orthogonal tetrazine labelling of two proteins
modified with VBA and norbornene, a widely used strained alkene. We further show
that the two orthogonal iEDDA reactions can be performed in living cells by
labelling the proteasome by using a nonselective probe equipped with a VBA and a
subunit-selective VBA bearing a norbornene moiety.
PMID- 29806888
TI - Multi-Valent Group 14 Chalcogenide Architectures from Ionic Liquids: 0D
{[Cs@SnII4 (GeIV4 Se10 )4 ]7- } and 2D-{[SnII (GeIV4 Se10 )]2- }.
AB - In order to explore if and how salts comprising polycations and salts comprising
polyanions might interact, the [AlBr4 ]- salt of the [Pt@Bi10 ]4+ cluster cation
was added to the reaction mixture for the synthesis of the supersphere cluster
anion [Ge24 Sn36 Se132 ]24- from Cs4 [Ge4 Se10 ]?H2 O and SnCl4 ?5 H2 O under
ionothermal conditions at 120 degrees C. Indeed, the reaction yields two new
compounds, depending on the cation of the used ionic liquid. Apparently, the
polycation is not retained under the given conditions, but it acts as a reductant
affording SnII . In a (C4 C1 C1 im)+ -based ionic liquid mixture, a unique
supertetrahedral anion is obtained that embeds a Cs+ cation, 0D-{[Cs@SnII4 (GeIV4
Se10 )4 ]7- }, while (C4 C1 im)+ cations stabilize an unprecedented ternary
layered anion, 2D-{[SnII (GeIV4 Se10 )]2- }. Test reactions with common sources
of SnII did not afford the new compounds, indicating the necessity of an in situ
reduction, for which the polycation seems appropriate.
PMID- 29806889
TI - Returning Incidental Findings in Low-Resource Settings: A Case of Rescue?
AB - In a carefully argued article, Haley K. Sullivan and Benjamin E. Berkman address
the important question of whether investigators have a duty to report incidental
findings to research participants in low-resource settings. They suggest that the
duty to rescue offers the most plausible justification for the duty to return
incidental findings, and they explore the implications of this duty for the
context of research in low-resource settings. While I think they make valuable
headway on an important problem, in this commentary, I identify a significant
difference between the paradigmatic rescue case and the return of incidental
findings in low-resource settings. This difference, I suggest, implies that their
framework may be too narrow in scope. If investigators (and their sponsors)
really wish to fulfill their duty to rescue, they must consider factors that are
left out of Sullivan and Berkman's framework.
PMID- 29806890
TI - Only in Silence.
AB - The oncology floor can be a silent place. Unlike the cardiology floor, with its
insistent telemetry beeping, or the incessant bustle of the general ward below.
Silence can be healing, and the oncology floor reveres all forms of healing it
can find. Yet some voices ring loud on this floor. As an intern, I would grimace
into my scut list as oncology attendings intoned dire diagnoses and exhorted
still-reeling victims to altruistically enroll in clinical trials. I mutely
ground my teeth listening to the relentless stream of probabilities and adverse
reactions flooding into the shocked silence of a child baffled by his or her
metamorphosis into a cancer patient between breakfast and lunch. The practiced
script unwound, preemptively striking down every potential worry already
foreseen. But sometimes what was unspoken was even worse. Silence can give
patients space to comprehend, digest, formulate questions, and enunciate fears. I
believe in the silence of the "great empty cup of attention." Still, ethics can
founder in silence.
PMID- 29806891
TI - The Human Being in Full.
AB - Anyone who has paid attention to the work of Leon Kass over the years is likely
to have read earlier versions of many of the essays collected in Leading a Worthy
Life: Finding Meaning in Modern Times. Even so, they will repay repeated
readings, if only because they are evidence that one who has spent his life in
the academy can write prose that is clear, readable, and often arresting.
Moreover, the essays, taken as a whole, exemplify nicely, as Kass puts it, "the
two major activities of my professional life"-namely, "examining closely the
human meaning of the new biology" and "teaching searchingly great books that
offer profound but competing accounts of the good life" (p. 12).
PMID- 29806892
TI - Genetic Privacy, Disease Prevention, and the Principle of Rescue.
AB - Suppose that you have deeply personal information that you do not want to share.
Further suppose that this information could help others, perhaps even saving
their lives. Should you reveal the information or keep it secret? With the
increasing prevalence of genetic testing, more and more people are finding
themselves in this situation. Although a patient's genetic results are
potentially relevant to all her biological family members, her first-degree
relatives-parents, children, and full siblings-are most likely to be affected.
This is especially true for genetic mutations-like those in the BRCA1 and BRCA2
genes-that are associated with a dramatically increased risk of disease.
Fortunately, people are usually willing to share results with their at-risk
relatives. Occasionally, however, a patient refuses to disclose her findings to
anyone outside her clinical team. Ethicists have written little on patients'
moral duties to their at-risk relatives. Moreover, the few accounts that have
been advanced are problematic. Some unnecessarily expose patients' genetic
information to relatives who are unlikely to benefit from it, and others fail to
ensure that patients' most vulnerable relatives are informed of their genetic
risks. Patients' duty to warn can be defended in a way that avoids these
problems. I argue that the duty to share one's genetic results is grounded in the
principle of rescue-the idea that one ought to prevent, reduce, or mitigate the
risk of harm to another person when the expected harm is serious and the cost or
risk to oneself is sufficiently moderate. When these two criteria are satisfied,
a patient will most likely have a duty to warn.
PMID- 29806893
TI - Incidental Findings in Low-Resource Settings.
AB - Much new global genetic research employs whole genome sequencing, which provides
researchers with large amounts of data. The quantity of data has led to the
generation and discovery of more incidental or secondary findings and to vigorous
theoretical discussions about the ethical obligations that follow from these
incidental findings. After a decade of debate in the genetic research community,
there is a growing consensus that researchers should, at the very least, offer to
return incidental findings that provide high-impact, medically relevant
information, when it is not unduly burdensome to the research enterprise to do
so. Much as genetic research has been limited to U.S. and European settings, the
incidental findings debate has primarily focused on research conducted in high
income countries. In a 2015 paper, Alberto Ortiz-Osorno, Linda Ehler, and Judith
Brooks note salient differences between the circumstances of research
participants in low- and high-resource settings that alter the analysis of when
and why incidental findings should be offered to research participants. In this
article, we expand on their analysis and present a framework for thinking about
how investigators' obligations to return genomic data might change in low
resource settings, particularly in settings where participants do not have access
to the medical care needed to treat, assess, or monitor incidental findings that
are actionable in settings with plentiful resources.
PMID- 29806894
TI - Rescue via Genetic Findings.
AB - Two articles in this issue of the Hastings Center Report (May-June 2018) argue
that having knowledge of genetic mutations can entail a moral responsibility to
rescue others. In the lead article, Madison Kilbride, a philosopher at the
Perelman School of Medicine, assigns to the patient, under certain conditions, a
task physicians are prohibited from taking on without patient consent: to
disclose a finding of a serious, clinically actionable genetic mutation to the
patient's relatives who are likely to have the same mutation. Kilbride emphasizes
that the responsibility to family members that comes with such a finding has
nothing to do with special obligations, duties to people with whom one has
special relationships, such as people one lives with, works with, or loves.
Rather, Kilbride maintains, the patient's duty to warn is grounded, in the
principle of rescue; "another person ... who just happens to be the patient's
relative ... is at risk of suffering serious harm." In an article on disclosing
incidental findings, Haley Sullivan and Benjamin Berkman, both at the National
Institutes of Health, consider the ethical professional responsibility of those
conducting genetic research on human subjects in low- and middle-income
countries, where many people are made vulnerable by the scarcity and inferiority
of medical and other resources.
PMID- 29806895
TI - In the Balance: Weighing Preferences of Decisionally Incapacitated Patients.
AB - In this issue of the Hastings Center Report, Jason Wasserman and Mark Navin argue
that patients without decisional capacity can still have relatively stable wishes
or inclinations toward one treatment option over another and that these
preferences are "not devoid of moral weight and might therefore guide or at least
influence treatment decisions when they cannot be defeated by other
considerations." This position is not controversial among most bioethicists. The
hard work comes in sussing out the details of this position. How much moral
weight do these preferences have? When should preferences be defeated by other
considerations? How should we identify actual preferences, and how should
preferences be differentiated and weighed against one another?
PMID- 29806896
TI - Change without Change? Assessing Medicare Reimbursement for Advance Care
Planning.
AB - In January 2016, Medicare began reimbursing clinicians for time spent engaging in
advance care planning with their patients or patients' surrogates. Such planning
involves discussions of the care an individual would want to receive should he or
she one day lose the capacity to make health care decisions or have conversations
with a surrogate about, for example, end-of-life wishes. Clinicians can be
reimbursed for face-to-face explanation and discussion of care and advance
directives and for the completion of advance care planning forms. Although it
seems that political barriers to reimbursement for such planning have largely
faded, the Medicare policy's impact on provider billing practices appears to be
limited, suggesting other barriers to clinician engagement in advance care
planning. Additionally, the effects of this policy on patient behavior and the
clinician-patient relationship are not yet known.
PMID- 29806897
TI - Genetic Information, the Principle of Rescue, and Special Obligations.
AB - In "Genetic Privacy, Disease Prevention, and the Principle of Rescue," Madison
Kilbride argues that patients have a duty to warn biological family members about
clinically actionable adverse genetic findings. The duty does not stem from the
special obligations that we may have to family members, she argues, but rather
follows from the principle of rescue, which she understands as the idea that one
ought to prevent, reduce, or mitigate the risk of harm to another person when the
expected harm is serious and the cost or risk to oneself is sufficiently
moderate. We doubt, however, whether the principle of rescue can ground a duty to
warn in the cases Kilbride envisages, and we suggest that Kilbride may have
underappreciated the role that special obligations could play in generating a
duty to warn family members.
PMID- 29806898
TI - A note-and a call-from the weeds.
AB - For the past few years I've had the distinct privilege to edit the Hastings
Center Report's Policy & Politics column. The column-as indicated by a little
block of text at its end-was originally conceived as, and remains, a joint
production of HCR and the American Society for Bioethics and Humanities. For me,
as column editor, this means that I can accept contributions only from ASBH
members. Luckily this presents me with an extremely large pool of talent from
which to draw! I am very proud of the fact that a large majority of contributors
to the column in the last several years had never written in HCR before I invited
them to contribute. But naturally, I don't know everyone, and I can't keep track
of everything. I'd love to get even more unsolicited offers of P&P contributions
than I already enjoy.
PMID- 29806899
TI - You Can't Always Get (or Give) What You Want: Preferences and Their Limits.
AB - People who lack decision-making capacity may be able to communicate preferences,
which can and should inform surrogate decision-making on their behalf. It is
unclear whether making a further distinction about "capacity for preferences," as
Jason Wasserman and Mark Navin propose in this issue of the Hastings Center
Report , would improve the process of surrogate decision-making. Anyone who is
regularly involved in surrogate decision-making or who has worked to articulate
decision-making standards and processes can think of cases in which a patient's
voice was ignored or his or her preferences and ability to communicate them
overlooked. However, we can also think of cases in which it was unclear whether
information provided by a patient, recently or in the past, should have been
characterized as a preference relevant to a medical decision that the patient
lacked the capacity to make. We should also recognize that clear preferences of a
patient who lacks decision-making capacity may conflict with the limits of
another person or of a family's resources such that these preferences cannot
guide a surrogate's decision. This patient will not get what he wants. A
situation in which preferences are known and make sense but cannot be applied in
a feasible way demonstrates the limits of the medical decision-making framework
concerning care that is not covered by medical insurance.
PMID- 29806900
TI - GINA at Ten and the Future of Genetic Nondiscrimination Law.
AB - May 21, 2018, marks the tenth anniversary of the signing into law of the Genetic
Information Nondiscrimination Act. The Congressional deliberations for GINA were
long and difficult. The original bill was introduced in 1995, and for many years,
it did not look as if the bill would ever emerge from committee. Several of its
provisions raised concerns for insurers, employers, and other stakeholders. After
thirteen years, the controversial provisions were either deleted, revised, or
clarified. At this ten-year mark, it is appropriate to take stock of GINA. In
light of GINA's glacial legislative history, it is reasonable to start thinking
about the necessity, wisdom, and feasibility of amending GINA or enacting new
legislation to address unresolved or emerging issues of genetic discrimination
and trends in genetics, genomics, precision medicine, and related technologies.
PMID- 29806902
TI - Groundhog Day for Medical Artificial Intelligence.
AB - Following a boom in investment and overinflated expectations in the 1980s,
artificial intelligence entered a period of retrenchment known as the "AI
winter." With advances in the field of machine learning and the availability of
large datasets for training various types of artificial neural networks, AI is in
another cycle of halcyon days. Although medicine is particularly recalcitrant to
change, applications of AI in health care have professionals in fields like
radiology worried about the future of their careers and have the public tittering
about the prospect of soulless machines making life-and-death decisions. Medicine
thus appears to be at an inflection point-a kind of Groundhog Day on which either
AI will bring a springtime of improved diagnostic and predictive practices or the
shadow of public and professional fear will lead to six more metaphorical weeks
of winter in medical AI.
PMID- 29806903
TI - Capacity for Preferences: Respecting Patients with Compromised Decision-Making.
AB - When a patient lacks decision-making capacity, then according to standard
clinical ethics practice in the United States, the health care team should seek
guidance from a surrogate decision-maker, either previously selected by the
patient or appointed by the courts. If there are no surrogates willing or able to
exercise substituted judgment, then the team is to choose interventions that
promote a patient's best interests. We argue that, even when there is input from
a surrogate, patient preferences should be an additional source of guidance for
decisions about patients who lack decision-making capacity. Our proposal builds
on other efforts to help patients who lack decision-making capacity provide input
into decisions about their care. For example, "supported," "assisted," or
"guided" decision-making models reflect a commitment to humanistic patient
engagement and create a more supportive process for patients, families, and
health care teams. But often, they are supportive processes for guiding a patient
toward a decision that the surrogate or team believes to be in the patient's
medical best interests. Another approach holds that taking seriously the
preferences of such a patient can help surrogates develop a better account of
what the patient's treatment choices would have been if the patient had retained
decision-making capacity; the surrogate then must try to integrate features of
the patient's formerly rational self with the preferences of the patient's
currently compromised self. Patients who lack decision-making capacity are well
served by these efforts to solicit and use their preferences to promote best
interests or to craft would-be autonomous patient images for use by surrogates.
However, we go further: the moral reasons for valuing the preferences of patients
without decision-making capacity are not reducible to either best-interests or
(surrogate) autonomy considerations but can be grounded in the values of liberty
and respect for persons. This has important consequences for treatment decisions
involving these vulnerable patients.
PMID- 29806904
TI - Erratum.
PMID- 29806905
TI - Personalized Medicine Is the Postgenomic Condition.
AB - When President Obama laid out his vision for the U.S. Precision Medicine
Initiative in a 2016 Boston Globe op-ed, he cautioned, "[I]t only works if we
collect enough information first." "Collecting information" is an apt way to
describe the subject of both books reviewed here. Jenny Reardon's The Postgenomic
Condition: Ethics, Justice, and Knowledge after the Genome traces the history of
the Human Genome Project and efforts around the globe to obtain blood samples to
extract not only genetic data but also meaning from them. Barbara Prainsack's
Personalized Medicine: Empowered Patients in the 21st Century? concerns the
capture of digital, quantifiable, and computable information about nearly all
aspects of people's lives in the pursuit of personalized medicine. Reardon and
Prainsack critique scientists' and corporations' appeals to liberal democracy,
justice, and empowerment to collect more and more information about people. They
reveal the limitations and frequent superficiality of those appeals and remind us
that person-centric ethics rather than data-centric research is needed to realize
a more democratic, just, and empowered society.
PMID- 29806906
TI - Mental health consumer participation in undergraduate occupational therapy
student assessment: No negative impact.
AB - BACKGROUND/AIM: Australian accreditation standards for occupational therapy
courses require consumer participation in the design, delivery and evaluation of
programs. This study investigated whether a mental health consumer - as one of
two assessors for an oral assessment in a mental health unit - impacted
engagement, anxiety states and academic performance of undergraduate occupational
therapy students. METHODS: Students (n = 131 eligible) self-selected into two
groups but were blinded to the group differences (assessor panel composition)
until shortly prior to the oral assessment. Control group assessors were two
occupational therapy educators, while consumer group assessors included an
occupational therapy educator and a mental health consumer. RESULTS: Pre- and
post-assessment data were successfully matched for 79 students (overall response
rate = 73.1%). No evidence was found of significant differences between the two
groups for engagement, anxiety or academic performance (all P values >0.05).
CONCLUSION: Including mental health consumers as assessors did not negatively
impact student engagement and academic performance, nor increase student anxiety
beyond that typically observed in oral assessment tasks. The findings provide
support for expanding the role of mental health consumers in the education and
assessment of occupational therapy students. Development of methods to determine
the efficacy of consumer involvement remains an area for future research.
PMID- 29806908
TI - Setting the pace for labour.
PMID- 29806907
TI - Expression of TRPV1 channels by Cajal-Retzius cells and layer-specific modulation
of synaptic transmission by capsaicin in the mouse hippocampus.
AB - KEY POINTS: By taking advantage of calcium imaging and electrophysiology, we
provide direct pharmacological evidence for the functional expression of TRPV1
channels in hippocampal Cajal-Retzius cells. Application of the TRPV1 activator
capsaicin powerfully enhances spontaneous synaptic transmission in the
hippocampal layers that are innervated by the axons of Cajal-Retzius cells.
Capsaicin-triggered calcium responses and membrane currents in Cajal-Retzius
cells, as well as layer-specific modulation of spontaneous synaptic transmission,
are absent when the drug is applied to slices prepared from TRPV1- /- animals. We
discuss the implications of the functional expression of TRPV1 channels in Cajal
Retzius cells and of the observed TRPV1-dependent layer-specific modulation of
synaptic transmission for physiological and pathological network processing.
ABSTRACT: The vanilloid receptor TRPV1 forms complex polymodal channels that are
expressed by sensory neurons and play a critical role in nociception. Their
distribution pattern and functions in cortical circuits are, however, much less
understood. Although TRPV1 reporter mice have suggested that, in the hippocampus,
TRPV1 is predominantly expressed by Cajal-Retzius cells (CRs), direct functional
evidence is missing. As CRs powerfully excite GABAergic interneurons of the
molecular layers, TRPV1 could play important roles in the regulation of layer
specific processing. Here, we have taken advantage of calcium imaging with the
genetically encoded indicator GCaMP6s and patch-clamp techniques to study the
responses of hippocampal CRs to the activation of TRPV1 by capsaicin, and have
compared the effect of TRPV1 stimulation on synaptic transmission in layers
innervated or non-innervated by CRs. Capsaicin induced both calcium responses and
membrane currents in ~50% of the cell tested. Neither increases of intracellular
calcium nor whole-cell currents were observed in the presence of the TRPV1
antagonists capsazepine/Ruthenium Red or in slices prepared from TRPV1 knockout
mice. We also report a powerful TRPV1-dependent enhancement of spontaneous
synaptic transmission onto interneurons with dendritic trees confined to the
layers innervated by CRs. In conclusion, our work establishes that functional
TRPV1 is expressed by a significant fraction of CRs and we propose that TRPV1
activity may regulate layer-specific synaptic transmission in the hippocampus.
Lastly, as CR density decreases during postnatal development, we also propose
that functional TRPV1 receptors may be related to mechanisms involved in CR
progressive reduction by calcium-dependent toxicity/apoptosis.
PMID- 29806909
TI - Ring-to-Cage Structural Conversion via Template Effect in a Gold(I)
Metallosupramolecular System.
AB - A unique example of a ring-to-cage structural conversion in a multinuclear
gold(I) coordination system with d-penicillamine (d-H2 pen) is reported. The
reaction of [Au2 Cl2 (dppe)] (dppe=1,2-bis(diphenylphosphino)ethane) with d-H2
pen in a 1:1 ratio gave [Au4 (dppe)2 (d-pen)2 ] ([1]), in which two [Au2
(dppe)]2+ units are linked by two d-pen S atoms in a cyclic form so as to have
two bidentate-N,O coordination arms. The subsequent reaction of [1] with Cu(OTf)2
afforded [Au4 Cu(dppe)2 (d-pen)2 ]2+ ([2]2+ ), in which a CuII ion is chelated by
the two coordination arms in [1] to form an AuI4 CuII bicyclic metallocage. A
similar reaction using Cu(NO3 )2 was accompanied by the ring expansion of [1] to
[Au8 (dppe)4 (d-pen)4 ], leading to the production of [Au8 Cu2 (dppe)4 (d-pen)4
]4+ ([3]4+ ). In [3]4+ , two CuII ions are each chelated by the two coordination
arms to form an AuI8 CuII2 tricyclic metallocage, accommodating a nitrate ion.
The use of Ni(NO3 )2 or Ni(OAc)2 instead of Cu(NO3 )2 commonly gave a tricyclic
metallocage of [Au8 Ni2 (dppe)4 (d-pen)4 ]4+ ([4]4+ ), but a water molecule was
accommodated inside the AuI8 NiII2 metallocage.
PMID- 29806933
TI - Porous Silica-Coated Gold Sponges with High Thermal and Catalytic Stability.
AB - A method to fabricate porous silica-coated Au sponges that show high thermal and
catalytic stability has been developed for the first time. The method involves
dense surface functionalization of Au sponges (made by self-assembly of Au
nanoparticles) with thiolated poly(ethylene glycol) (SH-PEG), which provides
binding and condensation sites for silica precursors. The silica coating
thickness can be controlled by using SH-PEG of different molecular weights. The
silica-coated Au sponge prepared by using 5 kDa SH-PEG maintains its morphology
at temperature as high as 700 degrees C. The calcination removes all organic
molecules, resulting in porous silica-coated Au sponges, which contain
hierarchically connected micro- and mesopores. The hierarchical pore structures
provide an efficient pathway for reactant molecules to access the surface of Au
sponges. The porous silica-coated Au sponges show an excellent catalytic
recyclability, maintaining the catalytic conversion percentage of 4-nitrophenol
by NaBH4 to 4-aminophenol as high as 93% even after 10 catalytic cycles. The
method may be applicable for other porous metals, which are of great interests
for catalyst, fuel cell, and sensor applications.
PMID- 29806934
TI - High-Temperature Magnetism in Graphene Induced by Proximity to EuO.
AB - Addition of magnetism to spectacular properties of graphene may lead to novel
topological states and design of spin logic devices enjoying low power
consumption. A significant progress is made in defect-induced magnetism in
graphene-selective elimination of p z orbitals (by vacancies or adatoms) at
triangular sublattices tailors graphene magnetism. Proximity to a magnetic
insulator is a less invasive way, which is being actively explored now.
Integration of graphene with the ferromagnetic semiconductor EuO has much to
offer, especially in terms of proximity-induced spin-orbit interactions. Here, we
synthesize films of EuO on graphene using reactive molecular beam epitaxy. Their
quality is attested by electron and X-ray diffraction, cross-sectional electron
microscopy, and Raman and magnetization measurements. Studies of electron
transport reveal a magnetic transition at TC* ~ 220 K, well above the Curie
temperature 69 K of EuO. Up to TC*, the dependence R xy( B) is strongly
nonlinear, suggesting the presence of the anomalous Hall effect. The role of
synthesis conditions is highlighted by studies of an overdoped structure. The
results justify the use of the EuO/graphene system in spintronics.
PMID- 29806935
TI - Surface Forces Apparatus Measurements of Interactions between Rough and Reactive
Calcite Surfaces.
AB - nm-Range forces acting between calcite surfaces in water affect macroscopic
properties of carbonate rocks and calcite-based granular materials and are
significantly influenced by calcite surface recrystallization. We suggest that
the repulsive mechanical effects related to nm-scale surface recrystallization of
calcite in water could be partially responsible for the observed decrease of
cohesion in calcitic rocks saturated with water. Using the surface forces
apparatus, we simultaneously followed the calcite reactivity and measured the
forces in water in two surface configurations: between two rough calcite surfaces
(CC) and between rough calcite and a smooth mica surface (CM). We used nm-scale
rough, polycrystalline calcite films prepared by atomic layer deposition. We
measured only repulsive forces in CC in CaCO3-saturated water, which was related
to roughness and possibly to repulsive hydration effects. Adhesive or repulsive
forces were measured in CM in CaCO3-saturated water depending on calcite
roughness, and the adhesion was likely enhanced by electrostatic effects. The
pull-off adhesive force in CM became stronger with time, and this increase was
correlated with a decrease of roughness at contacts, the parameter which could be
estimated from the measured force-distance curves. That suggested a progressive
increase of real contact areas between the surfaces, caused by gradual pressure
driven deformation of calcite surface asperities during repeated loading
unloading cycles. Reactivity of calcite was affected by mass transport across nm-
to MUm-thick gaps between the surfaces. Major roughening was observed only for
the smoothest calcite films, where gaps between two opposing surfaces were nm
thick over MUm-sized areas and led to force of crystallization that could
overcome confining pressures of the order of MPa. Any substantial roughening of
calcite caused a significant increase of the repulsive mechanical force
contribution.
PMID- 29806936
TI - Localization and Stabilization of Photogenerated Electrons at TiO2 Nanoparticle
Surface by Oxygen at Ambient Temperature.
AB - Understanding the mechanism by which oxygen adsorption influences the separation
behavior of charge carriers is important in photocatalytic removal of air
pollutants. In this study, we performed steady-state surface photovoltage and
surface photocurrent spectroscopy combined with an atmosphere control system to
determine the effect of oxygen on the charge separation behavior at the surface
of anatase TiO2 nanoparticles at ambient temperature. Results showed that
photogenerated electrons were movable in N2 atmosphere but were localized in O2
atmosphere. O2 obviously enhanced the stabilization of the localized
photogenerated electrons when the surface defects of TiO2 were fully occupied by
adsorbed O2. Moreover, O2 adsorption increased the energy demand for exciting
electrons from the valence band to localized surface defect states and reduced
the density of band tail states. These findings suggest us that the effect of
gaseous species on the mobility and stability of charge carriers should be
considered to understand the photocatalytic degradation of air pollutants.
PMID- 29806937
TI - Learning Effective Molecular Models from Experimental Observables.
AB - Coarse-grained models are an attractive tool for studying the long time scale
dynamics of large macromolecules at a level that cannot be studied directly by
experiment and is still out of reach for atomistic simulation. However, coarse
models involve approximations that may affect their predictive power. We propose
a modeling framework that allows us to design simplified models to accurately
reproduce experimental observables. We demonstrate the approach on the folding
mechanism of a WW domain. We show that when the correct coarsening resolution is
used not only do the optimized models match the Reference model simulated
experimental data accurately but additional observables not directly targeted
during the optimization procedure are also reproduced. Additionally, the analysis
of the results shows that localized frustration plays an important role in the
folding mechanism of this protein and suggests that nontrivial aspects of the
protein dynamics are evolutionary conserved.
PMID- 29806938
TI - Hydrogel Effects Rapid Biofilm Debridement with ex situ Contact-Kill to Eliminate
Multidrug Resistant Bacteria in vivo.
AB - Multidrug resistance and the refractory character of bacterial biofilms are among
the most difficult challenges in infection treatment. Current antimicrobial
strategies typically are much more effective for prevention of biofilm formation
than for eradication of established biofilms; these strategies also leave dead
bacteria and endotoxin in the infection site, which impairs healing. We report a
novel hydrogel that eradicates biofilm bacteria by non-leaching-based debridement
followed by ex situ contact-killing (DESCK) away from the infection site. The
debridement effect is likely due to the high water swellability and microporosity
of the cross-linked network which is made from polyethylene glycol dimethacrylate
tethered with a dangling polyethylenimine (PEI) star copolymer. The large pore
size of the hydrogel makes the cationic pore walls highly accessible to bacteria.
The hydrogel also degrades in the presence of infection cells, releasing star
cationic PEI into the infection site to contact-kill bacteria remaining there.
DESCK hydrogel effectively kills (>99.9% reduction) biofilms of methicillin
resistant Staphylococcus aureus (MRSA) and carbapenem-resistant Pseudomonas
aeruginosa (CR-PA) and Acinetobacter baumannii in a murine excisional wound
infection model. Silver-based wound dressings (controls) showed almost no killing
of CR-PA and MRSA biofilms. This DESCK hydrogel greatly reduces the bioburden and
inflammation and promotes wound healing. It has great potential for diverse
infection treatment applications.
PMID- 29806939
TI - Dynamic and Structural Modeling of the Specificity in Protein-DNA Interactions
Guided by Binding Assay and Structure Data.
AB - How transcription factors (TFs) recognize their DNA sequences is often
investigated complementarily by high-throughput protein binding assays and by
structural biology experiments. The former quantifies the specificity of TF
binding sites for numerous DNA sequences, often represented as the position
weight-matrix (PWM). The latter provides mechanistic insights into the
interactions via the protein-DNA complex structures. However, these two types of
data are not readily integrated. Here, we propose and test a new modeling method
that incorporates the PWM with complex structure data. On the basis of pretuned
coarse-grained models for proteins and DNAs, we model the specific protein-DNA
interactions, PWMcos, in terms of an orientation-dependent potential function,
which enables us to perform molecular dynamics simulations at unprecedentedly
large scales. We show that the PWMcos model reproduces subtle specificity in the
protein-DNA recognition. During the target search in genomic sequences, TF moves
on highly rugged landscapes and occasionally flips on DNA depending on the
sequence. The TATA-binding protein exhibits two remarkably distinct binding
modes, of which frequencies differ between TATA-containing and TATA-less
promoters. The PWMcos is general and can be applied to any protein-DNA
interactions given their PWMs and complex structure data are available.
PMID- 29806940
TI - Supramolecular Assembly of Single-Source Metal-Chalcogenide Nanocrystal
Precursors.
AB - In this feature article, we discuss our recent work in the synthesis of novel
supramolecular precursors for semiconductor nanocrystals. Metal chalcogenolates
that adopt liquid-crystalline phases are employed as single-source precursors
that template the growth of shaped solid-state nanocrystals. Supramolecular
assembly is programmed by both precursor chemical composition and molecular
parameters such as the alkyl chain length, steric bulk, and the intercalation of
halide ions. Here, we explore the various design principles that enable the
rational synthesis of these single-source precursors, their liquid-crystalline
phases, and the various semiconductor nanocrystal products that can be generated
by thermolysis, ranging from highly anisotropic two-dimensional nanosheets and
nanodisks to spheres.
PMID- 29806941
TI - Magnetically Responsive Superhydrophobic Surface: In Situ Reversible Switching of
Water Droplet Wettability and Adhesion for Droplet Manipulation.
AB - A smart, magnetically responsive superhydrophobic surface was facilely prepared
by combining spray coating and magnetic-field-directed self-assembly. The surface
comprised a dense array of magnetorheological elastomer micropillars (MREMPs).
Benefitting from the magnetic field-stiffening effect of the MREMPs, the surface
exhibited reversible switching of the wettability and adhesion that was
responsive to an on/off magnetic field. The wettability and adhesion properties
of the surfaces with MREMPs were investigated under different magnetic fields.
The results revealed that the adhesion force and sliding behaviors of these
surfaces were strongly dependent on the intensity of the applied magnetic field
and the mixing ratio of poly(dimethylsiloxane) (PDMS), iron particles, and
solvent (in solution) used for preparation of the magnetically responsive
superhydrophobic surfaces. The adhesion transition was attributed to the tunable
mechanical properties of the MREMPs, which was easily controlled by an external
magnetic field. It was also demonstrated that the magnetically responsive
superhydrophobic surface can be used as a "mechanical hand" for no-loss liquid
droplet transportation. This magnetically responsive superhydrophobic surface not
only provides a novel interface for microfluidic control and droplet
transportation, but also opens up new avenues for achieving smart liquid
repellent skin, programmable fluid collection and transport, and smart
microfluidic devices.
PMID- 29806942
TI - Diffusion and Binding of Laponite Clay Nanoparticles into Collagen Fibers for the
Formation of Leather Matrix.
AB - Understanding accessibility and interactions of clay nanoparticles with collagen
fibers is an important fundamental issue for the conversion of collagen to
leather matrix. In this study, we have investigated the diffusion and binding of
Laponite into the collagen fiber network. Our results indicate that the diffusion
behaviors of Laponite into the collagen exhibit the Langmuir adsorption,
verifying its affinity for collagen. The introduction of Laponite leads to a
shift in the isoelectric point of collagen from ~6.8 to ~4.5, indicating the
ionic bonding between the positively charged amino groups of the collagen and
negatively charged Laponite under the tanning conditions. Fluorescence
microscopy, atomic force microscopy, field-emission scanning electron microscopy,
energy-dispersive X-ray spectroscopy, and wide-angle X-ray diffraction analyses
reveal that Laponite nanoparticles can penetrate into collagen microstructure and
evenly distributed onto collagen fibrils, not altering native D-periodic banding
patterns of collagen fibrils. Attenuated total reflectance-Fourier transform
infrared and Raman spectroscopy detections further demonstrate the presence of
noncovalent interactions, namely, ionic and hydrogen bonding, between Laponite
and collagen. These findings provide a theoretical basis for the use of Laponite
as an emerging tanning agent in leather manufacture.
PMID- 29806943
TI - Block Copolymer as a Surface Modifier to Monodisperse Patchy Silica Nanoparticles
for Superhydrophobic Surfaces.
AB - Monodisperse patchy silica nanoparticles (PSNPs) less than 100 nm are prepared
based on the seed-regrowth method using a poly(ethylene oxide) (PEO)
poly(propylene oxide) (PPO)-PEO-type block copolymer as a surface modifier. Well
defined patches are controllably synthesized through area-selective deposition of
silica onto the surface of seeds. After colloidal PSNPs are further modified with
trimethylchlorosilane, the advancing and receding contact angles of water for
PSNPs are 168 +/- 2 degrees and 167 +/- 2 degrees , respectively. The
superhydrophobic and transparent coatings on the various types of substrates are
obtained by a simple drop-casting procedure. Additionally, almost the same
superhydrophobicity can be achieved by using colloidal PSNPs via redispersing the
powder of superhydrophobic PSNPs in ethanol.
PMID- 29806944
TI - Tuning the Properties of Charged Polymers at the Solid/Liquid Interface with
Ions.
AB - In conventional theories, where ions are treated as point charges, the properties
of charged polymers can be tuned using ions via the ionic strength. However, this
article will show that the properties of charged polymers at the solid/liquid
interface, including charged polymer brushes and polyelectrolyte multilayers, can
be tuned by ions beyond ionic strength effects. Ion specificity, multivalency,
ionic hydrogen bonding, and ionic hydrophobicity/hydrophilicity are used to tune
a range of properties of charged polymers at the solid/liquid interface such as
hydration, conformation, stiffness, surface wettability, lubricity, adhesion, and
protein adsorption. The ionic effects demonstrated here greatly broaden our
understanding of the use of ions to tune the interfacial properties of charged
polymers. It is anticipated that these ionic effects can be further expanded by
incorporating new types of important ion-charged polymer interactions and can
also be extended to neutral polymer systems.
PMID- 29806945
TI - Regenerative Core-Shell Nanoparticles for Simultaneous Removal and Detection of
Endotoxins.
AB - Detection and removal of lipopolysaccharides (LPS) from food and pharmaceutical
preparations is important for their safe intake and administration to avoid
septic shock. We have developed an abiotic system for reversible capture,
removal, and detection of LPS in aqueous solutions. Our system comprises long C18
acyl chains tethered to Fe3O4/Au/Fe3O4 nanoflowers (NFs) that act as solid
supports during the separation process. The reversible LPS binding is mediated by
facile hydrophobic interactions between the C18 chains and the bioactive lipid A
component present on the LPS molecule. Various parameters such as pH, solvent,
sonication time, NF concentration, alkane chain length, and density are optimized
to achieve a maximum LPS capture efficiency. The NFs can be reused at least three
times by simply breaking the NF-LPS complexes in the presence of food-grade
surfactants, making the entire process safe, efficient, and scalable. The
regenerated particles also serve as colorimetric labels in dot blot bioassays for
simple and rapid estimation of the LPS removed.
PMID- 29806947
TI - A preliminary evaluation of the associations among functional performance tasks
and quality of life in obese and healthy weight youth.
AB - The associations among lower extremity functional performance and quality of life
in obese youth are unknown. The aims of this study were to compare lower
extremity strength, lower extremity functional performance, and health related
quality of life between obese and healthy-weight youth and evaluate the
relationships between lower extremity performance and health related quality of
life in obese youth. Twenty obese and 20 age and sex matched healthy-weight youth
were recruited. Peak torque of the major lower extremity muscles were measured.
Functional performance was measured with single leg hop and single leg balance
tests. The Paediatric Quality of Life questionnaire's physical and psychosocial
health subscales were used. Paired t-tests and multiple regression analyses were
performed. Obese youth demonstrated decreased peak torque in all muscles measured
(P < 0.05), poorer functional performance (P < 0.05), and worse physical health
related quality of life (P < 0.05) compared to healthy-weight youth. Lower
extremity functional performance was associated with aspects of quality of life
in the obese group (P = 0.002), but not in the healthy-weight group (P < 0.05).
These results may assist in encouraging best practices in the promotion of
exercise, physical activity, and quality of life in obese youth.
PMID- 29806946
TI - WTX101 - an investigational drug for the treatment of Wilson disease.
AB - INTRODUCTION: Wilson disease (WD) is a genetic disorder in which excess toxic
copper accumulates in the liver, brain, and other tissues leading to severe and
life-threatening symptoms. Copper overload can be assessed as non-ceruloplasmin
bound copper non-ceruloplasmin-bound copper (NCC) in blood. Current therapies are
limited by efficacy, safety concerns, and multiple-daily dosing. Areas covered:
This article reviews the literature on WTX101 (bis-choline tetrathiomolybdate),
an oral first-in-class copper-protein-binding agent in development for the
treatment of WD. Expert opinion: In a proof-of-concept phase II trial, once-daily
WTX101 over 24 weeks rapidly lowered NCC levels and this was accompanied by
improved neurological status without apparent initial drug-induced paradoxical
worsening, reduced disability, stable liver function, with a favorable safety
profile. WTX101 directly removes excess copper from intracellular hepatic copper
stores and also forms an inert tripartite complex with copper and albumin in the
circulation and promotes biliary copper excretion. These mechanisms may explain
the rapid biochemical and clinical improvements observed. A phase III trial of
WTX101 is ongoing and results are eagerly awaited to confirm if WTX101 can
improve the treatment of this devastating disease.
PMID- 29806948
TI - Keeping it in the family: the case for considering late-onset combined
immunodeficiency a subset of common variable immunodeficiency disorders.
AB - INTRODUCTION: Common variable immunodeficiency disorders (CVID) are the most
frequent symptomatic primary immune defect in adults. Within the broad spectrum
of CVID, a proportion of patients present with a predominant T cell phenotype
associated with increased mortality. These patients are termed late-onset
combined immunodeficiency (LOCID) and are currently separated from patients
suffering from CVID. Areas covered: We have recently codiscovered a new CVID-like
disorder caused by mutations of the NFKB1 gene. Members of this non
consanguineous New Zealand kindred have a very diverse spectrum of phenotypes in
spite of carrying the identical mutation. The proband appears to have the
autoimmune variant. The proband's recently deceased sister best matched LOCID
while other family members are less severely affected, including one asymptomatic
adult brother, who has an affected daughter. Differences in genetics was one of
the main arguments for separating these disorders in the past. Expert commentary:
Given the recent advances in the understanding of the genetic basis of these
conditions, we present the case that LOCID should now be considered a subset of
CVID, rather than a separate disorder. At a clinical level, this distinction is
less important but it is imperative these patients are carefully evaluated, the
relevant complications are treated, and they are offered prognostic information.
PMID- 29806949
TI - Agreement of white-to-white measurements with the IOLMaster 700, Atlas 9000, and
Sirius systems.
AB - BACKGROUND: Current advances in ocular surgery require the evaluation of
differences in automated white-to-white (WTW) measurements between systems. We
aim to analyze three different commonly used devices in clinical practice: the
IOLMaster 700, the Atlas 9000, and the Sirius. METHODS: Ninety right eyes of
ninety healthy subjects were included. Measurements of WTW distances were taken
with each device for all subjects in the same session and by the same observer.
Statistical comparison was carried out by means of the rANOVA procedure and the
Bonferroni post-hoc test. In addition, the Bland-Altman analysis was applied in
order to perform pairwise comparisons. RESULTS: Average WTW values obtained by
the IOLMaster 700, the Atlas 9000, and the Sirius devices were 12.18 +/- 0.40-mm,
12.32 +/- 0.37-mm and 11.90 +/- 0.37-mm, respectively. There were statistically
significant differences in all pairwise comparisons. Mean differences revealed
that the Atlas 9000 provided the highest WTW values, followed by the IOLMaster
700 values and finally by the Sirius results, which had the lowest values. The
limits of agreement obtained in all pairwise comparisons were wide, with a range
between 0.64 and 0.75 mm. CONCLUSIONS: According to our results, these three
devices should not be used interchangeably for WTW diameter measurements during
the clinical practice.
PMID- 29806950
TI - Acute-on-chronic liver failure 2018: a need for (urgent) liver biopsy?
AB - INTRODUCTION: 'Acute-on-Chronic-Liver Failure (ACLF)' entered hepatology practice
by the end of the 20th century. Although we lack precise and universally agreed
definitions, acute decompensation of chronic liver disease with jaundice and
deranged clotting, multi-organ failure and high, short-term mortality are
hallmarks of the syndrome. Timely recognition and and treatment, including urgent
liver transplantation, may save the life of certain patients. The diagnosis and
management are mostly based on clinical features, but some have suggested to
incorporate histopathology (liver biopsy). This may add to the differentiation
between acute and chronic disease, primary and concomitant etiologies, and
identify prognostic determinants. Areas covered: A review of the literature on
ACLF and the outcome of the discussions at a topical international meeting on
specific histopathological aspects of diagnosis and prognosis of the syndrome.
Expert commentary: There is a lack of standardized descriptions of
histopathological features and there is limited prospective experience with the
role of pathology of ACLF. It is important for the clinical hepatologist to
understand the potential and limitations of (transjugular) liver biopsy in ACLF
and for the pathologist to help address the clinical question and recognise the
histopathological features that help to characterize ACLF, both in terms of
diagnosis and prognosis.
PMID- 29806951
TI - An update on the potential role of intestinal first-pass metabolism for the
prediction of drug-drug interactions: the role of PBPK modeling.
AB - INTRODUCTION: The intestinal absorption process is a combination of several
events that are governed by various factors. Several transport mechanisms are
involved in drug absorption through enterocytes via active and/or passive
processes. The transported molecules then undergo intestinal metabolism, which
together with intestinal transport may affect the systemic availability of drugs.
Many studies have provided clear evidence on the significant role of intestinal
first-pass metabolism on drug bioavailability and degree of drug-drug
interactions (DDIs). Areas covered: This review provides an update on the role of
intestinal first-pass metabolism in the oral bioavailability of drugs and
prediction of DDIs. It also provides a comprehensive overview and summary of the
latest update in the role of physiologically based pharmacokinetic models
modeling in prediction of intestinal metabolism and DDIs in humans. Expert
opinion: The contribution of intestinal first-pass metabolism in the oral
bioavailability of drugs and prediction of DDIs has become more evident over the
last few years. Several in vitro, in situ, and in vivo models have been developed
to evaluate the role of first-pass metabolism and to predict DDIs. Currently,
physiologically based pharmacokinetic modeling is considered the most valuable
tool for the prediction of intestinal first-pass metabolism and DDIs.
PMID- 29806952
TI - The influence of golf shaft torque on clubhead kinematics and ball flight.
AB - The purpose of this study was to investigate the influence of shaft torque
(torsional rigidity) on clubhead kinematics and the resulting flight of the ball.
Two driver shafts with disparate levels of torque, but otherwise very similar
properties, were tested by 40 right-handed golfers representing a range of
abilities. Shaft deflection data as well as grip and clubhead kinematics were
collected from 14 swings, with each shaft, for each golfer using an optical
motion capture system. Ball flight and additional clubhead kinematics were
collected using a Doppler radar launch monitor. At impact, the high torque shaft
(HT) was associated with increased delivered loft (P = .028) and a more open face
(P < .001) relative to the low torque shaft (LT). This resulted in the HT shaft
being associated with a ball finishing position that was further right (P =
.002). At the individual level, the change in face angle due solely to shaft
deformation was significantly higher for the HT shaft for 25/40 participants.
Although shaft twist was not directly measured, it was logically deduced using
the collected data that these outcomes were the result of the HT being twisted
more open relative to the LT shaft at impact.
PMID- 29806953
TI - Pediatric physiology in relation to the pharmacokinetics of monoclonal
antibodies.
AB - INTRODUCTION: Dose design for pediatric trials with monoclonal antibodies (mAbs)
is often extrapolated from the adult dose according to weight, age, or body
surface area. While these methods account for the size differences between adults
and children, they do not account for the maturation of processes that may play a
key role in the pharmacokinetics and/or pharmacodynamics of mAbs. With the same
weight-based dose, infants and young children typically receive lower plasma
exposures when compared to adults. Areas covered: The mechanistic features of mAb
distribution, elimination, and absorption are explored in detail and literature
based hypotheses are generated to describe their age-dependence. This knowledge
can be incorporated into a physiologically based pharmacokinetic (PBPK) modeling
approach to pediatric dose determination. Expert opinion: As data from pediatric
clinical trials become increasingly available, we have the opportunity to reflect
on the physiologic drivers of pharmacokinetics, safety, and efficacy in children
with mathematical models. A modeling approach that accounts for the age-related
features of mAb disposition can be used to derive first-in-pediatric doses,
design optimal sampling schemes for children in clinical trials and even explore
new pharmacokinetic end-points as predictors of safety and efficacy in children.
PMID- 29806954
TI - Evaluation of Mandarin Chinese Speech Recognition in Adults with Cochlear
Implants Using the Spectral Ripple Discrimination Test.
AB - BACKGROUND The aim of this study was to explore the value of the spectral ripple
discrimination test in speech recognition evaluation among a deaf (post-lingual)
Mandarin-speaking population in China following cochlear implantation. MATERIAL
AND METHODS The study included 23 Mandarin-speaking adult subjects with normal
hearing (normal-hearing group) and 17 deaf adults who were former Mandarin
speakers, with cochlear implants (cochlear implantation group). The normal
hearing subjects were divided into men (n=10) and women (n=13). The spectral
ripple discrimination thresholds between the groups were compared. The
correlation between spectral ripple discrimination thresholds and Mandarin speech
recognition rates in the cochlear implantation group were studied. RESULTS
Spectral ripple discrimination thresholds did not correlate with age (r=-0.19;
p=0.22), and there was no significant difference in spectral ripple
discrimination thresholds between the male and female groups (p=0.654). Spectral
ripple discrimination thresholds of deaf adults with cochlear implants were
significantly correlated with monosyllabic recognition rates (r=0.84; p=0.000).
CONCLUSIONS In a Mandarin Chinese speaking population, spectral ripple
discrimination thresholds of normal-hearing individuals were unaffected by both
gender and age. Spectral ripple discrimination thresholds were correlated with
Mandarin monosyllabic recognition rates of Mandarin-speaking in post-lingual deaf
adults with cochlear implants. The spectral ripple discrimination test is a
promising method for speech recognition evaluation in adults following cochlear
implantation in China.
PMID- 29806955
TI - An evaluation by the Norwegian Health Care Supervision Authorities of events
involving death or injuries in maternity care.
AB - INTRODUCTION: We aimed to determine how serious adverse events in obstetrics were
assessed by supervision authorities. MATERIAL AND METHODS: We selected cases
investigated by supervision authorities during 2009-2013. We analyzed information
about who reported the event, the outcomes of the mother and infant, and whether
events resulted from errors at the individual or system level. We also assessed
whether the injuries could have been avoided. RESULTS: During the study period,
there were 303 034 births in Norway, and supervision authorities investigated 338
adverse events in obstetric care. Of these, we studied 207 cases that involved a
serious outcome for mother or infant. Five mothers (2.4%) and 88 infants (42.5%)
died. Of the 207 events reported to the supervision authorities, patients or
relatives reported 65.2%, hospitals reported 39.1%, and others reported 4.3%. In
8.7% of cases, events were reported by more than 1 source. The supervision
authority assessments showed that 48.3% of the reported cases involved serious
errors in the provision of health care, and a system error was the most common
cause. We found that supervision authorities investigated significantly more
events in small and medium-sized maternity units than in large units. Eighteen
health personnel received reactions; 15 were given a warning, and 3 had their
authority limited. We determined that 45.9% of the events were avoidable.
CONCLUSIONS: The supervision authorities investigated 1 in 1000 births, mainly in
response to complaints issued from patients or relatives. System errors were the
most common cause of deficiencies in maternity care.
PMID- 29806957
TI - The importance of phyllosphere on plant functional ecology: a phyllo trait
manifesto.
PMID- 29806956
TI - Increased cardiovascular disease risk in women with a history of recurrent
miscarriage.
AB - INTRODUCTION: Cardiovascular disease is the leading cause of death in women.
Observational studies suggest that women with a history of recurrent miscarriage
have an increased risk of cardiovascular disease. MATERIAL AND METHODS: Women who
visited the recurrent miscarriage clinic at Leiden University Medical Center
between 2000 and 2010 and who had their third consecutive miscarriage before the
age of 31 years, were invited to participate in this follow-up study (between
2012 and 2014). The reference group consisted of women with at least one
uncomplicated pregnancy and no miscarriage, matched by zip code, age, and date of
pregnancy. All women were invited for risk factor screening, including physical
examination and blood collection. Main outcome measures were the (extrapolated)
10- and 30-year cardiovascular risk scores using the Framingham risk score. A
subanalysis was performed for women with idiopathic recurrent miscarriage.
RESULTS: Thirty-six women were included in both groups. Mean follow up was 7.5
years. Women with recurrent miscarriage had a significantly higher extrapolated
10-year cardiovascular risk score (mean 6.24%, SD 5.44) compared with women with
no miscarriage (mean 3.56%, SD 1.82, P = .007) and a significantly higher 30-year
cardiovascular risk score (mean 9.86%, SD 9.10) compared with women with no
miscarriage (mean 6.39%, SD 4.20, P = .04). Similar results were found in women
with idiopathic recurrent miscarriage (n = 28). CONCLUSIONS: Women with a history
of recurrent miscarriage differ in cardiovascular risk profile at a young age
compared with women with no miscarriage. The findings support an opportunity to
identify women at risk of cardiovascular disease later in life and a possible
moment for intervention.
PMID- 29806958
TI - Homoarginine and all-cause mortality: A systematic review and meta-analysis.
AB - BACKGROUND: Homoarginine, a basic amino acid and analogue of L-arginine, has been
shown to exert salutary effects on vascular homoeostasis, possibly through
interaction with the enzymes nitric oxide synthase and arginase. This might
translate into improved survival outcomes, particularly in subjects with moderate
high cardiovascular risk. We conducted a systematic review and meta-analysis to
investigate the association between circulating homoarginine concentrations and
all-cause mortality in observational studies of human cohorts. MATERIALS AND
METHODS: Studies reporting baseline circulating homoarginine concentrations and
all-cause mortality as outcome were searched using the MEDLINE, Scopus and
Cochrane databases until January 2018. Hazard ratios (HRs) with 95% confidence
intervals (CIs) derived from multivariate Cox's proportional-hazards analysis
were extracted from individual studies. RESULTS: A total of 13 studies in 11 964
participants were included in the final analysis. Homoarginine concentrations
were inversely associated with all-cause mortality (HR 0.64, 95% CI 0.57-0.73).
This association remained significant in participant sub-groups with predominant
cardiovascular disease (HR 0.64, 95% CI 0.55-0.76) and renal disease (HR 0.60,
95% CI 0.46-0.68). CONCLUSIONS: This meta-analysis of observational studies
showed an inverse association between circulating homoarginine concentrations and
all-cause mortality. Further research is warranted to investigate the direct
effects of homoarginine on cardiovascular homoeostasis, the associations between
homoarginine and all-cause mortality in other population groups, and the effects
of interventions on homoarginine concentrations on clinical outcomes.
PMID- 29806959
TI - Partner communication and role of nutrients in the arbuscular mycorrhizal
symbiosis.
AB - Contents Summary 1031 I. Introduction 1031 II. Interkingdom communication
enabling symbiosis 1032 III. Nutritional and regulatory roles for key metabolites
in the AM symbiosis 1035 IV. The plant-fungus genotype combination determines the
outcome of the symbiosis 1039 V. Perspectives 1039 Acknowledgements 1041
References 1041 SUMMARY: The evolutionary and ecological success of the
arbuscular mycorrhizal (AM) symbiosis relies on an efficient and multifactorial
communication system for partner recognition, and on a fine-tuned and reciprocal
metabolic regulation of each symbiont to reach an optimal functional integration.
Besides strigolactones, N-acetylglucosamine-derivatives released by the plant
were recently suggested to trigger fungal reprogramming at the pre-contact stage.
Remarkably, N-acetylglucosamine-based diffusible molecules also are symbiotic
signals produced by AM fungi (AMF) and clues on the mechanisms of their
perception by the plant are emerging. AMF genomes and transcriptomes contain a
battery of putative effector genes that may have conserved and AMF- or host plant
specific functions. Nutrient exchange is the key feature of AM symbiosis. A
mechanism of phosphate transport inside fungal hyphae has been suggested, and
first insights into the regulatory mechanisms of root colonization in accordance
with nutrient transfer and status were obtained. The recent discovery of the
dependency of AMF on fatty acid transfer from the host has offered a convincing
explanation for their obligate biotrophism. Novel studies highlighted the
importance of plant and fungal genotypes for the outcome of the symbiosis. These
findings open new perspectives for fundamental research and application of AMF in
agriculture.
PMID- 29806960
TI - Neoadjuvant chemotherapy or chemoradiotherapy for adenocarcinoma of the
esophagus.
AB - BACKGROUND: The optimal treatment strategy for patients with esophageal
adenocarcinoma (EAC) remains undetermined. This study compared outcomes in
patients undergoing neoadjuvant chemotherapy (nCT) and neoadjuvant
chemoradiotherapy (nCRT) for EAC. METHODS: Patients who underwent nCT or nCRT
followed by surgery for EAC were identified from a prospective database (2000
2017) and included. After propensity score matching, the impact of the treatments
on postoperative complications, in-hospital mortality, pathological outcomes, and
survival rates were compared. RESULTS: Of the 396 eligible patients, 262 patients
were analysed following matching with 131 patients in both groups. There were no
significant differences between the nCT and nCRT groups for overall complications
(59% vs 57%, P = 0.802) or in-hospital mortality (2% vs 0%, P = 0.156). Patients
who had nCRT had more R0 resections (93% vs 83%, P = 0.013), and higher
pathological complete response rates (15% vs 5%, P < 0.001). No differences in 5
year overall survival rates (nCT vs nCRT; 44% vs 33%, P = 0.645) were found.
CONCLUSION: In this study no differences between nCT and nCRT were seen in
postoperative complications and in-hospital mortality in patients treated for
EAC. Inspite of improved complete resection and pathological response there was
no difference in the overall survival between the treatment modalities.
PMID- 29806961
TI - Perspectives for personalized therapy for patients with multidrug-resistant
tuberculosis.
AB - According to the World Health Organization (WHO), tuberculosis is the leading
cause of death attributed to a single microbial pathogen worldwide. In addition
to the large number of patients affected by tuberculosis, the emergence of
Mycobacterium tuberculosis drug-resistance is complicating tuberculosis control
in many high-burden countries. During the past 5 years, the global number of
patients identified with multidrug-resistant tuberculosis (MDR-TB), defined as
bacillary resistance at least against rifampicin and isoniazid, the two most
active drugs in a treatment regimen, has increased by more than 20% annually.
Today we experience a historical peak in the number of patients affected by MDR
TB. The management of MDR-TB is characterized by delayed diagnosis, uncertainty
of the extent of bacillary drug-resistance, imprecise standardized drug regimens
and dosages, very long duration of therapy and high frequency of adverse events
which all translate into a poor prognosis for many of the affected patients.
Major scientific and technological advances in recent years provide new
perspectives through treatment regimens tailor-made to individual needs. Where
available, such personalized treatment has major implications on the treatment
outcomes of patients with MDR-TB. The challenge now is to bring these adances to
those patients that need them most.
PMID- 29806962
TI - First comprehensive tool for screening pain in Parkinson's disease: the King's
Parkinson's Disease Pain Questionnaire.
AB - BACKGROUND AND PURPOSE: Pain is highly prevalent in Parkinson's disease (PD),
impacting patients' ability, mood and quality of life. Detecting the presence of
pain in its multiple modalities is necessary for adequate personalized management
of PD. A 14-item, PD-specific, patient-based questionnaire (the King's
Parkinson's Disease Pain Questionnaire, KPPQ) was designed corresponding to the
rater-based KPP Scale (KPPS). The present multicentre study was aimed at testing
the validity of this screening tool. METHODS: First, a comparison between the
KPPQ scores of patients and matched controls was performed. Next, convergent
validity, reproducibility (test-retest) and diagnostic performance of the
questionnaire were analysed. RESULTS: Data from 300 patients and 150 controls are
reported. PD patients declared significantly more pain symptoms than controls
(3.96 +/- 2.56 vs. 2.17 +/- 1.39; P < 0.0001). The KPPQ convergent validity was
high with KPPS total score (rS = 0.80) but weak or moderate with other pain
assessments. Test-retest reliability was satisfactory with kappa values >=0.65
except for item 5, Dyskinetic pains (kappa = 0.44), and the intraclass
correlation coefficient (ICC) for the KPPQ total score was 0.98. After the scores
of the KPPS were adapted for screening (0, no symptom; >=1, symptom present), a
good agreement was found between the KPPQ and the KPPS (ICC = 0.88). A strong
correlation (rS = 0.80) between the two instruments was found. The diagnostic
parameters of the KPPQ were very satisfactory as a whole, with a global accuracy
of 78.3%-98.3%. CONCLUSIONS: These results suggest that the KPPQ is a useful,
reliable and valid screening instrument for pain in PD to advance patient-related
outcomes.
PMID- 29806963
TI - Neural correlates of gait variability in people with multiple sclerosis with fall
history.
AB - BACKGROUND AND PURPOSE: The aim was to investigate the association between step
time variability and related brain structures in accordance with fall status in
people with multiple sclerosis (PwMS). METHODS: The study included 225 PwMS.
Whole-brain magnetic resonance imaging was performed with a high-resolution 3.0 T
magnetic resonance scanner in addition to volumetric analysis based on 3D T1
weighted images using the FreeSurfer image analysis suite. Step time variability
was measured with an electronic walkway. Participants were defined as 'fallers'
(at least two falls during the previous year) and 'non-fallers'. RESULTS: In all,
105 PwMS were defined as fallers and had a greater step time variability compared
to non-fallers [5.6% (SD = 3.4) vs. 3.4% (SD = 1.5); P = 0.001]. MS fallers
exhibited a reduced volume in the left caudate and both cerebellum hemispheres
compared to non-fallers. On using a linear regression analysis no association was
found between gait variability and related brain structures in the total cohort
and the non-fallers group. However, the analysis found an association between the
left hippocampus and left putamen volumes with step time variability in the
faller group: P = 0.031, 0.048, respectively, controlling for total cranial
volume, walking speed, disability, age and gender. Nevertheless, according to the
hierarchical regression model, the contribution of these brain measures to
predict gait variability was relatively small compared to walking speed.
CONCLUSIONS: An association between low left hippocampal, putamen volumes and
step time variability was found in PwMS with a history of falls, suggesting that
brain structural characteristics may be related to falls and increased gait
variability in PwMS.
PMID- 29806964
TI - Human impacts in African savannas are mediated by plant functional traits.
AB - Tropical savannas have a ground cover dominated by C4 grasses, with fire and
herbivory constraining woody cover below a rainfall-based potential. The savanna
biome covers 50% of the African continent, encompassing diverse ecosystems that
include densely wooded Miombo woodlands and Serengeti grasslands with scattered
trees. African savannas provide water, grazing and browsing, food and fuel for
tens of millions of people, and have a unique biodiversity that supports wildlife
tourism. However, human impacts are causing widespread and accelerating
degradation of savannas. The primary threats are land cover-change and
transformation, landscape fragmentation that disrupts herbivore communities and
fire regimes, climate change and rising atmospheric CO2 . The interactions among
these threats are poorly understood, with unknown consequences for ecosystem
health and human livelihoods. We argue that the unique combinations of plant
functional traits characterizing the major floristic assemblages of African
savannas make them differentially susceptible and resilient to anthropogenic
drivers of ecosystem change. Research must address how this functional diversity
among African savannas differentially influences their vulnerability to global
change and elucidate the mechanisms responsible. This knowledge will permit
appropriate management strategies to be developed to maintain ecosystem
integrity, biodiversity and livelihoods.
PMID- 29806965
TI - Hypoglycemic Effects of a Polysaccharide from Tetrastigma hemsleyanum Diels &
Gilg in Alloxan-Induced Diabetic Mice.
AB - Tetrastigma hemsleyanum Diels & Gilg, a well-known traditional Chinese medicine,
possesses antitumor and anti-inflammatory activity, etc. However, the anti
diabetic effect has not been determined. In our present study, a water-soluble
polysaccharide, named THP with molecular weight of 93 307 Da, was isolated from
T. hemsleyanum by DEAE-52 ion-exchange and Sephadex G-100 chromatography. It
contains rhamnose, arabinose, mannose, glucose, and galactose in the molar ratio
of 0.07:0.14:0.38:0.21:0.31. Then anti-diabetic effects of THP were examined by
treating alloxan-induced diabetic mice with different doses (100, 200, and 300
mg/kg) of THP orally. The results showed that THP could decrease the blood
glucose, TC, TG, LDL-C levels, increase the body weight, HDL-C, insulin levels,
and enhance the activities of antioxidant enzyme system in alloxan-induced
diabetic mice. Furthermore, the histopathological examination of pancreas, liver,
and kidney indicated that THP could protect and reverse beta-cells in diabetic
mice with low damage to liver and kidney, which suggests that THP may stimulate
pancreatic release of insulin and can be an effectively potential candidate for
diabetes mellitus.
PMID- 29806966
TI - Post-exercise cardiac troponin I release and clearance in normal Standardbred
racehorses.
AB - BACKGROUND: There are currently no studies detailing cardiac troponin I (cTnI)
release in normal horses post-exercise using an analytically validated assay.
These data are essential for selecting appropriate sampling times in equine
athletes with suspected myocardial injury. OBJECTIVE: To plot the magnitude and
time course of cTnI release after maximal effort, using validated cTnI assays.
STUDY DESIGN: Descriptive longitudinal study. METHODS: Five clinically normal
Standardbred racehorses in race training were included in the study. Horses were
exercised in harness at near-race intensity. Blood samples were taken immediately
pre- and post-exercise and then hourly for 24 h. Samples were analysed using the
validated high-sensitivity cTnI assay and a contemporary sensitivity cTnI assay.
RESULTS: Mean resting cTnI was 1.33 +/- 0.6 s.d. ng/L (range, 0.82-2.33 ng/L)
using assay A. All horses were below the detection limit at rest using assay B.
Peak elevation occurred 2-6 h post-exercise with both assays (mean, 4.6 +/- 1.7
and 4.0 +/- 2 h, respectively). Mean peak increase in cTnI was 11.96 +/- 9.41
ng/L (range, 1.72-23.76 ng/L) using assay A. Peak concentrations were detectable
in three of the horses using assay B and were between 0.039 and 0.051 MUg/L
(mean: 0.043 +/- 0.006 MUg/L). All horses returned to baseline within 24 h. MAIN
LIMITATIONS: A small (n = 5) convenience sample was used as random sampling was
not logistically possible. CONCLUSIONS: All horses experienced an increase in
cTnI post-exercise, with peak occurring 2-6 h post-exercise. Cardiac troponin I
elevation was detected earlier using the high-sensitivity assay, which may convey
a diagnostic advantage. Targeted studies are needed to determine the significance
of these increases.
PMID- 29806967
TI - Low dose posaconazole delayed release tablets for fungal prophylaxis in lung
transplant recipients.
AB - In November 2013, posaconazole delayed release (DR) tablets were approved by the
FDA with the labeled dose of 300 mg daily for fungal prophylaxis. There are no
studies demonstrating the appropriate dose in lung transplant recipients (LTR).
We performed a 2-center retrospective cohort study of LTR taking posaconazole DR
tablets for prophylaxis between January 2014 and January 2017. Mean serum trough
concentrations and percentage of measurements >=0.7 mcg/mL were compared by daily
dose. Forty-nine subjects with 156 steady state serum posaconazole concentrations
were included. There was a significant difference in percentage of first measured
concentration >=0.7 mcg/mL by initial daily dose (P = .04). The mean serum
posaconazole concentration by dose was 0.9 (+/-0.42) mcg/mL for 100 mg daily,
1.66 (+/-0.91) mcg/mL for 200 mg daily, 2.39 (+/-1.49) mcg/mL for 300 mg daily,
and 1.75 (+/-0.21) mcg/mL for 400 mg daily (P < .001). Mean concentrations were
at goal in 63.3%, 96.9%, 94.9%, and 100% of subjects taking 100 mg, 200 mg, 300
mg, and 400 mg daily respectively (P = .04). Our results suggest that doses less
than 300 mg daily of posaconazole DR tablets may be adequate to achieve target
serum concentrations in LTR. Larger studies are needed to confirm these findings.
PMID- 29806968
TI - Thalamic branches of corticofugal axons from view of a critical eye and great
mentor, Ray Guillery.
PMID- 29806969
TI - Antiviral Triketone-Phloroglucinol-Monoterpene Adducts from Callistemon rigidus.
AB - Callistrilones F - K (1 - 6), six new triketone-phloroglucinol-monoterpene
hybrids were isolated from the twigs and leaves of Callistemon rigidus. Their
structures with absolute configurations were established by a combination
analysis of NMR spectra, X-ray diffraction, and electronic circular dichroism
(ECD) calculations. Compounds 3 and 4 exhibited moderate inhibitory activities
against herpes simplex virus (HSV-1) with IC50 values of 10.00 +/- 2.50 and 12.50
+/- 1.30 MUm, respectively.
PMID- 29806970
TI - Incremental peritoneal dialysis: New ideas about an old approach.
AB - Coping with the transition from end-stage kidney disease to dialysis can be
challenging for patients and their care partners. Introducing incident dialysis
patients to incremental forms of dialysis is associated with better quality of
life and reduced cost. Incremental hemodialysis (HD) has generated significant
interest over the last decade with treatments that focus on clinical criteria
rather than prespecified Kt/Vurea targets. Incremental peritoneal dialysis (PD)
has traditionally focused on the sum of residual renal and peritoneal clearances
to achieve a specific Kt/Vurea value. Gradual increases in the PD dose were
prescribed as the residual kidney function declined. Adopting a new approach to
incremental PD similar to what has been done for incremental HD would obviate the
need for Kt/Vurea and focus exclusively on clinical criteria. New incremental PD
may be considered less disruptive to incident dialysis patients, and may be more
likely to be accepted as treatment. It will also reduce our obsession with small
solute kinetics and enhance encounters with patients by focusing instead on the
holisitc clinical assessment.
PMID- 29806971
TI - Should obesity affect suitability for kidney donation?
AB - As with the suitability for kidney transplantation for obese recipients, there is
no universally accepted guideline for clinicians for obese donors; criteria for
acceptance are variable among transplant centers. Obesity is on the rise in the
United States and the number of living kidney donors who are obese parallel this
national trend. Careful risk assessment and informed consent must be undertaken
predonation prior to accepting an obese kidney donor in order to minimize long-
and short-term complications. Likewise, close postdonation follow-up for this
higher risk group should be implemented.
PMID- 29806972
TI - Epidemiology of race-day distal limb fracture in flat racing Thoroughbreds in
Great Britain (2000-2013).
AB - BACKGROUND: A key focus of the racing industry is to minimise the number of race
day distal limb fractures although no studies have identified risk factors for
both fatal and non-fatal distal limb fractures. OBJECTIVE: To determine risk
factors for race-day distal limb fractures experienced by Thoroughbred racehorses
participating in flat racing in Great Britain (GB). STUDY DESIGN: Retrospective
cohort. METHODS: Information was collected from all flat racing starts occurring
on GB racecourses between 2000 and 2013, including horse, race, course, trainer
and jockey data for each horse start and race-day injury data as reported by on
course veterinarians. Associations between exposure variables and cases of distal
limb fracture were assessed using mixed-effects logistic regression analyses
using data from all starts, and turf starts only. RESULTS: A total of 806,764
starts and 624 cases of distal limb fracture were included, of which 548,571
starts and 379 cases of distal limb fracture occurred on turf surfaces. In both
models, increasing firmness of the going, increasing racing distance and horses
in their first year of racing were at a higher risk of distal limb fracture while
increasing number of previous race starts were protective. Trainer performance
was associated with distal limb fracture. Generally, the risk of distal limb
fracture increased with increasing horse age. Starts in selling or claiming races
or Group 1, Group 3 or claiming races were at higher odds of distal limb fracture
in the all starts and turf models, respectively. MAIN LIMITATIONS: Clinical
diagnosis of distal limb fracture and all types of distal limb fracture
considered as one outcome. CONCLUSIONS: This study confirmed previously
identified risk factors for distal limb fracture including going, race distance
and number of horse starts. Novel risk factors were related to trainer and horse
performance, and race type. Identification of at risk groups will help inform
interventions to reduce distal limb fracture occurrence in flat racing horses.
PMID- 29806974
TI - Oral anticoagulation and hip fracture risk: a common misconception?
PMID- 29806973
TI - Ground- and Excited-State Acidity of Analogs of Red Wine Pyranoanthocyanins.
AB - Pyranoflavylium cations are synthetic analogs containing the same basic
chromophore as the pyranoanthocyanins that form in red wine during maturation and
are responsible for its final color. Determination of the ground- and excited
state acidities for a series of eight substituted hydroxy pyranoflavylium cations
shows that they are weak acids in the ground state (pKa ranging from 3.4 to 4.4
in aqueous buffer solution), but substantially more acidic in the first excited
singlet state (pKa * ranging from ca. 0.2 to 0.7 in 30% methanol-water). Unlike
the ground-state acidities, which show no obvious trend with electronic effects
of the substituents, the excited-state pKa * values correlate well with Hammett
sigma parameters for the substituents on the pyranoflavylium chromophore. This
difference in the transmission of electronic effects between ground and excited
state is reflected in the localization of the HOMO of the cation and conjugate
base in distinct regions of the chromophore as compared to delocalization of the
LUMO over the entire molecule. The current results provide further support for
the conclusion that excited-state proton transfer is the dominant deactivation
pathway for the pyranoflavylium cation excited singlet state in aqueous or
aqueous-organic media and presumably for pyranoanthocyanins as well.
PMID- 29806975
TI - Leptin/osteopontin axis contributes to enhanced T helper 17 type responses in
allergic rhinitis.
AB - BACKGROUND: Recent studies suggest that T helper 17 (Th17) cell subset, a
distinct pro-inflammatory CD4 + T cell lineage, may play an important role in
the pathophysiology of allergic rhinitis (AR). However, the regulation of Th17
response in allergic disease is not well characterized. METHODS: Thirty AR and 30
healthy children were enrolled. Serum leptin and OPN levels were measured, and
their correlation with IL-17 expression was analyzed using enzyme-linked
immunosorbent assay (ELISA). Th17 cell differentiation and cytokine production in
peripheral blood mononuclear cell (PBMCs) stimulated by leptin and OPN and
related inhibitors were analyzed by ELISA. AR mice models were also established
to verify the effect of leptin and OPN on Th17 cell regulation.
Immunoprecipitation was performed to explore the interaction between OPN and
leptin in Th17 cells. RESULTS: Our results showed that elevated serum leptin and
OPN in AR children were correlated with serum IL-17 level (r = .53, P < .01). The
recombinant leptin and OPN enhanced Th17 responses from PBMCs synergistically
through nuclear factor kappaB (NF-kappaB), mitogen-activated protein kinase
(MAPK), and c-Jun N-terminal kinase (JNK) pathway and beta3 integrin receptor.
The AR mice showed as more severe Th17 responses and symptoms compared with
control mice. Immunoprecipitation showed that OPN and leptin may interact with
each other directly, and this process may be mediated by beta3 integrin.
CONCLUSIONS: Our data provide evidence that upregulation of leptin and OPN
promotes Th17 responses in AR, and this process may be achieved through NF
kappaB, MAPK, and JNK pathway and beta3 integrin.
PMID- 29806977
TI - Cortical layer with no known function.
PMID- 29806976
TI - Analysis of gene expression profiles of multiple skin diseases identifies a
conserved signature of disrupted homeostasis.
AB - Triggers of skin disease pathogenesis vary, but events associated with the
elicitation of a lesion share many features in common. Our objective was to
examine gene expression patterns in skin disease to develop a molecular signature
of disruption of cutaneous homeostasis. Gene expression data from common
inflammatory skin diseases (eg psoriasis, atopic dermatitis, seborrhoeic
dermatitis and acne) and a novel statistical algorithm were used to define a
unifying molecular signature referred to as the "unhealthy skin signature" (USS).
Using a pattern-matching algorithm, analysis of public data repositories revealed
that the USS is found in diverse epithelial diseases. Studies of milder
disruptions of epidermal homeostasis have also shown that these conditions
converge, to varying degrees, on the USS and that the degree of convergence is
related directly to the severity of homeostatic disruption. The USS contains
genes that had no prior published association with skin, but that play important
roles in many different disease processes, supporting the importance of the USS
to homeostasis. Finally, we show through pattern matching that the USS can be
used to discover new potential dermatologic therapeutics. The USS provides a new
means to further interrogate epithelial homeostasis and potentially develop novel
therapeutics with efficacy across a spectrum of skin conditions.
PMID- 29806978
TI - Approach or avoidance: Neural correlates of intelligence evaluation from faces.
AB - Intelligence is among the key determinants of power and social status in modern
societies. In this functional magnetic resonance imaging study, we examined the
neural correlates of intelligence evaluation from faces. Participants underwent
scans while they evaluated the perceived intelligence and friendliness of faces.
We found that medial orbitofrontal cortex activity increased linearly with
friendliness ratings. The relationship between perceived intelligence and brain
activity was positively linear in the right caudate nucleus and U-shaped (i.e.,
strong responses to unintelligent-looking or intelligent-looking faces) in the
right anterior insula/inferior frontal gyrus. Perceived intelligence was also
significantly positively correlated with both friendliness and attractiveness.
Furthermore, intelligence rating scores had a positive linear effect on reaction
times in the friendliness rating task, suggesting that participants had greater
conflicts when making friendliness judgments for faces that appeared to belong to
intelligent individuals. In addition, the degree of this effect predicted
individual differences in the positive linear modulatory effect of intelligence
scores in the right caudate nucleus. Our interpretation was that the activity in
the caudate nucleus revealed an approach-avoidance conflict with regard to highly
intelligent people, that is, they were perceived as attractive but also
potentially threatening. Although our interpretations are merely suggestive
because we did not measure the approach-avoidance behaviors directly, our
findings have important implications for understanding the dynamics of human
interaction in modern societies that increasingly allocate power and status based
on intelligence.
PMID- 29806979
TI - Directed Chemical Assembly of Single and Clustered Nanoparticles with Silanized
Templates.
AB - The assembly of nanoscale materials into arbitrary, organized structures remains
a major challenge in nanotechnology. Herein, we report a general method for
creating 2D structures by combining top-down lithography with bottom-up chemical
assembly. Under optimal conditions, the assembly of gold nanoparticles was
achieved in less than 30 min. Single gold nanoparticles, from 10 to 100 nm, can
be placed in predetermined patterns with high fidelity, and higher-order
structures can be generated consisting of dimers or trimers. It is shown that the
nanoparticle arrays can be transferred to, and embedded within, polymer films.
This provides a new method for the large-scale fabrication of nanoparticle arrays
onto diverse substrates using wet chemistry.
PMID- 29806980
TI - Langmuir Nanoarchitectonics from Basic to Frontier.
AB - Methodology to combine nanotechnology and these organization processes has been
proposed as a novel concept of nanoarchitectonics, which can fabricate functional
materials with nanolevel units. As an instant nanoarchitectonics approach,
confining systems within a two-dimensional plane to drastically reduce
translational motion freedom can be regarded as one of the rational approaches.
Supramolecular chemistry and nanofabrication and their related functions at the
air-water interface with the concept of nanoarchitectonics would lead to the
creation of a novel methodology of Langmuir nanoarchitectonics. In this feature
article, we briefly summarize research efforts related to Langmuir
nanoarchitectonics including the basics for anomalies in molecular interactions
such as highly enhanced molecular recognition capabilities. It is also extended
to frontiers including the fabrication of supramolecular receptors and two
dimensional patterns with subnanometer-scale structural regulation, manual
control of molecular machines and receptors by hand-motion-like macroscopic
actions, and the regulation of cell fates at nanoarchitected arrays of nanocarbon
assemblies and at direct liquid interfaces.
PMID- 29806981
TI - Internally Supported Metal-Oxide Nanocatalyst for Hydrogenation of
Nitroaromatics.
AB - The uncalcined but highly dispersive oxide-supported metal catalyst for liquid
phase reactions may suffer from the agglomeration of metal nanoparticles and the
drop of metal catalyst in solution, which will decrease the activity and shorten
their life in catalysis. Here, a one-pot successive polyol reaction was developed
to prepare M-E xO y colloidal particles as heterogeneous nanocatalysts, which
merge the controlled synthesis of metal catalysts and oxide supports, the in situ
loading of catalyst, and even the mesopore amplification into a highly integrated
process. Unlike the traditional surface-deposited catalysts, the noble metal
nanoparticles even with a large amount of loading are internally dispersed in the
mesoporous oxide particles, which show higher activity and stability in the
hydrogenation of nitroaromatics compared to the isolated nanocatalysts or surface
deposited catalysts. The improved activity and stability comes from the physical
confinement of metal nanoparticles and good mass transportation of
substrate/product within the support particles. This work proposed a novel method
to prepare highly dispersed metal catalysts, which could be potentially useful to
heterogeneous catalytic reactions with high-throughput and long-life demands.
PMID- 29806982
TI - Diverse culturable bacterial communities with cellulolytic potential revealed
from pristine habitat in Indian trans-Himalaya.
AB - The Pangi-Chamba Himalaya (PCH) region is very pristine, unique, and virgin niche
for bioresource exploration. In the current study, for the first time, the
bacterial diversity of this region was investigated for potential cellulose
degraders. A total of 454 pure bacterial isolates were obtained from diverse
sites in the PCH region, and 111 isolates were further selected for 16S rDNA
characterization based on ARDRA grouping. The identified bacteria belonged to 28
genera representing four phyla: Firmicutes, Proteobacteria, Actinobacteria, and
Bacteroidetes. Pseudomonas was most abundant genus, followed by Bacillus,
Geobacillus, Arthrobacter, Paenibacillus, and Flavobacterium. In addition, six
putative novel bacteria (based on 16S rDNA sequence similarity) and thermophiles
from non-thermogenic sites were also reported for the first time. Screening for
cellulose degradation ability on carboxymethyl cellulose plates revealed that
70.92% of bacteria were cellulolytic. The current study reports diverse bacterial
genera (Arthrobacter, Paenibacillus, Chryseobacterium, Pedobacter, Streptomyces,
Agromyces, Flavobacterium, and Pseudomonas) with high capacity for cellulose
hydrolysis and cellulolytic functionality at wide pH and temperature not
previously reported in the literature. Diverse bacterial genera with high
cellulolytic activity in broad pH and temperature range provide opportunity to
develop a bioprocess for efficient pretreatment of lignocellulosic biomass, which
is currently being investigated.
PMID- 29806983
TI - A high omega-3 fatty acid diet rapidly changes the lipid composition of cardiac
tissue and results in cardioprotection.
AB - The present study was designed to ascertain the effects of 3 diets with different
omega-3/6 fatty acid ratios on infarct size and the modifications that these
diets induce in the lipid composition of cardiac tissue. Sprague-Dawley rats were
fed omega-3/6 fatty acid diets with 1:1, 1:5, or 1:20 ratios for at least 10
days, followed by occlusion of the left anterior descending artery for 40 min and
24 h of reperfusion. Infarct size was significantly smaller in the 1:1 group than
in the other groups. Significantly higher concentrations of the omega-3 fatty
acids eicosapentaenoic acid, docosapentaenoic acid, and docosahexaenoic acid were
found in the 1:1 group than in the other groups. Omega-6 polyunsaturated fatty
acid levels were similar between groups, although they were higher in the 1:5 and
1:20 groups than in the 1:1 group. Margaric acid concentrations were higher in
the 1:1 group than in the other groups. Docosahexaenoic acid levels in cardiac
tissue and infarct size were significantly correlated with no other significant
links being apparent. The present study indicated that a 1:1 omega-3/6 fatty acid
ratio protected against ischemia and was associated with increased omega-3 fatty
acid composition of cardiac tissue.
PMID- 29806984
TI - Impact of flaxseed and soy nuts as dietary supplements on lipid profile, insulin
sensitivity, and GLUT4 expression in ovariectomized rats.
AB - We assessed the effects of a diet with flaxseed or soy nuts versus estradiol on
the lipid profile, insulin sensitivity, and glucose transporter type 4 (GLUT4)
expression in ovariectomized female rats. Forty-four female Wistar rats (90 days
old) underwent ovariectomy and were divided into 4 groups: C (standard diet), E
(standard diet + subcutaneous 17beta-estradiol pellets), L (standard diet +
flaxseed + subcutaneous placebo pellets), and S (standard diet + soy nuts +
subcutaneous placebo pellets). Customized diets and the insertion of pellets were
started 21 days after ovariectomy and were continued for another 21 days. We
measured body mass, insulin tolerance, total cholesterol, low-density lipoprotein
cholesterol, high-density lipoprotein cholesterol, triglycerides, and GLUT4 (in
cardiac and adipose tissues). We found a lower body mass and a lower Lee index in
group E and a trend toward improved insulin sensitivity in group S (p = 0.066).
Groups L and S showed a better lipid profile when compared with group C.
Microsomal GLUT4 increased in group L (in cardiac and adipose tissues), and
plasma membrane GLUT4 increased in groups E, L, and S (in both tissues). We
conclude that flaxseed and soy nuts as dietary supplements improve lipid profile
and increase GLUT4 expression.
PMID- 29806985
TI - Transient receptor potential melastatin 4 channel inhibitor 9-phenanthrol
inhibits K+ but not Ca2+ currents in canine ventricular myocytes.
AB - The role of transient receptor potential melastatin 4 (TRPM4) channels has been
frequently tested using their inhibitor 9-phenanthrol in various cardiac
preparations; however, the selectivity of the compound is uncertain. Therefore,
in the present study, the concentration-dependent effects of 9-phenanthrol on
major ionic currents were studied in canine isolated ventricular cells using
whole-cell configuration of the patch-clamp technique and 10 mM BAPTA-containing
pipette solution to prevent the Ca2+-dependent activation of TRPM4 channels.
Transient outward (Ito1), rapid delayed rectifier (IKr), and inward rectifier
(IK1) K+ currents were suppressed by 10 and 30 MUM 9-phenanthrol with the
blocking potency for IK1 < IKr < Ito1 and partial reversibility. L-type Ca2+
current was not affected up to the concentration of 30 MUM. In addition, a steady
outward current was detected at voltages positive to -40 mV in 9-phenanthrol,
which was larger at more positive voltages and larger 9-phenanthrol
concentrations. Action potentials were recorded using microelectrodes. Maximal
rate of depolarization, phase-1 repolarization, and terminal repolarization were
decreased and the plateau potential was depressed by 9-phenanthrol (3-30 MUM),
congruently with the observed alterations of ionic currents. Significant action
potential prolongation was observed by 9-phenanthrol in the majority of the
studied cells, but only at 30 MUM concentration. In conclusion, 9-phenanthrol is
not selective to TRPM4 channels in canine ventricular myocardium; therefore, its
application as a TRPM4 blocker can be appropriate only in expression systems but
not in native cardiac cells.
PMID- 29806986
TI - Deferiprone increases endothelial nitric oxide synthase phosphorylation and
nitric oxide production.
AB - Iron chelation can improve endothelial function. However, effect on endothelial
function of deferiprone has not been reported. We hypothesized deferiprone could
promote nitric oxide (NO) production in endothelial cells. We studied effects of
deferiprone on blood nitrite and blood pressure after single oral dose (25 mg/kg)
in healthy subjects and hemoglobin E/beta-thalassemia patients. Further, effects
of deferiprone on NO production and endothelial NO synthase (eNOS)
phosphorylation in primary human pulmonary artery endothelial cells (HPAEC) were
investigated in vitro. Blood nitrite levels were higher in patients with
deferiprone therapy than those without deferiprone (P = 0.023, n = 16 each).
Deferiprone increased nitrite in plasma and whole blood of healthy subjects (P =
0.002 and 0.044) and thalassemia patients (P = 0.003 and 0.046) at time 180 min
(n = 20 each). Asymptomatic reduction in diastolic blood pressure (P = 0.005) and
increase in heart rate (P = 0.009) were observed in healthy subjects, but not in
thalassemia patients. In HPAEC, deferiprone increased cellular nitrite and
phospho-eNOS (Ser1177) (P = 0.012 and 0.035, n = 6) without alteration in total
eNOS protein and mRNA. We conclude that deferiprone can induce NO production by
enhancing eNOS phosphorylation in endothelial cells.
PMID- 29806987
TI - Body mass changes during training in elite rugby union: Is a single test of
hydration indices reliable?
AB - There is limited research studying fluid and electrolyte balance in rugby union
players, and a paucity of information regarding the test-retest reliability. This
study describes the fluid balance of elite rugby union players across multiple
squads and the reliability of fluid balance measures between two equivalent
training sessions. Sixty-one elite rugby players completed a single fluid balance
testing session during a game simulation training session. A subsample of 21
players completed a second fluid balance testing session during an equivalent
training session. Players were weighed in minimal clothing before and after each
training session. Each player was provided with their own drinks which were
weighed before and after each training session. More players gained body weight
(9 (14.8%)) during training than lost greater than 2% of their initial body mass
(1 (1.6%)). Pre-training body mass and rate of fluid loss were significantly
associated (r = 0.318, p = .013). There was a significant correlation between
rate of fluid loss in sessions 1 (1.74 +/- 0.32 L h-1) and 2 (1.10 +/- 0.31 L. h
1), (r = 0.470, p = .032). This could be useful for nutritionists working with
rugby squads to identify players with high sweat losses.
PMID- 29806988
TI - Aponeurosis behaviour during muscular contraction: A narrative review.
AB - There is an abundance of evidence that suggests elastic tendons can enhance both
animal and human muscle performance. However, in many terrestrial animals,
including humans, a large proportion of the elastic tissue within the muscle
tendon unit is located within the muscle. This continuous elastic sheet, which
provides muscle fibre attachment, is known as the aponeurosis. The aponeurosis
has a much more complicated shape than the free tendon and it undergoes a more
complicated loading regime during contraction, due to its relationship with the
bulging muscle fibres, which remain isovolumetric during force production. Muscle
contraction may dynamically modulate the stiffness of the aponeurosis at the same
active versus passive force, by increasing the intramuscular pressure and
transverse forces within the muscle, which may stretch the aponeurosis in width
and subsequently reduce its longitudinal strain. Some evidence also suggests that
the aponeurosis mechanical properties may be affected by muscle length, which
appears to reduce the fascicle strains for a given muscle force at longer muscle
lengths. This narrative review outlines the animal and human studies that have
investigated aponeurosis behaviour during contraction and discusses how an
elastic sheet with a variable stiffness under activation might be beneficial for
muscle performance. While it is clear that our understanding of the role of
aponeurosis is lacking, it is hoped that further work will attempt to determine
how this tissue contributes to power amplification and elastic energy savings
during locomotion and potentially uncover how aponeurosis behaviour contributes
to injury risk.
PMID- 29806989
TI - CASA: tracking the past and plotting the future.
AB - The human semen sample carries a wealth of information of varying degrees of
accessibility ranging from the traditional visual measures of count and motility
to those that need a more computational approach, such as tracking the flagellar
waveform. Although computer-aided sperm analysis (CASA) options are becoming more
widespread, the gold standard for clinical semen analysis requires trained
laboratory staff. In this review we characterise the key attitudes towards the
use of CASA and set out areas in which CASA should, and should not, be used and
improved. We provide an overview of the current CASA landscape, discussing
clinical uses as well as potential areas for the clinical translation of existing
research technologies. Finally, we discuss where we see potential for the future
of CASA, and how the integration of mathematical modelling and new technologies,
such as automated flagellar tracking, may open new doors in clinical semen
analysis.
PMID- 29806990
TI - Adenosquamous Carcinoma in the Midline Dorsum of the Tongue: A Rare Case Report.
AB - Adenosquamous carcinoma (ASC) is a rare malignant tumor of the oral and
maxillofacial region that displays histologic features of both adenocarcinoma and
squamous cell carcinoma. ASC in the midline dorsum of the tongue is exceedingly
rare. We report the case of a 48-year-old man who presented with a painless mass
in the midline dorsum of the tongue. Although the case was diagnosed as
adenocarcinoma by biopsy, a final diagnosis of ASC was established after surgery.
Ten months after the patient's initial visit, no recurrence or metastasis has
been noted. ASC in the middle dorsum of the tongue is exceedingly rare, and no
examples have been reported hitherto.
PMID- 29806991
TI - Characteristics of Neovascularization in Early Stages of Proliferative Diabetic
Retinopathy by Optical Coherence Tomography Angiography.
AB - PURPOSE: To classify retinal neovascularization in untreated early stages of
proliferative diabetic retinopathy (PDR) based on optical coherence tomography
angiography (OCTA). DESIGN: A cross-sectional study. METHODS: Thirty-five eyes
were included. They underwent color fundus photography, fluorescein angiography
(FA), and OCTA examinations. Neovascularizations elsewhere (NVEs),
neovascularizations at the disc (NVDs), and intraretinal microvascular
abnormalities (IRMAs) were scanned by OCTA. The origin and morphology of
NVE/NVD/IRMA on OCTA were evaluated. Retinal nonperfusion areas (NPAs) were
measured using ImageJ software. RESULTS: In 35 eyes successfully imaged, 75 NVEs,
35 NVDs, and 12 IRMAs were captured. Three proposed subtypes of NVE were
identified based on the origins and morphologic features. Type 1 (32 of 75,
42.67%) originated from the venous side, in a tree-like shape. Type 2 (30 of 75,
40.00%) originated from capillary networks, with an octopus-like appearance. Type
3 (13 of 75, 17.33%) originated from the IRMAs, having a sea fan shape. NVD
originated from the retinal artery, from the retinal vein, or from the choroid,
and arose from the bending vessels near the rim of the optic disc. IRMA
originated from and drained into retinal venules, extending into the retina. The
initial layer and affiliated NPA were significantly different in the 3 subtypes
of NVEs (all P < .01). CONCLUSIONS: OCTA allowed identification of the origins
and morphologic patterns of neovascularization in PDR. The new classification of
retinal neovascularization may be useful to better understand pathophysiological
mechanisms and to guide efficient therapeutic strategies.
PMID- 29806992
TI - Breastfeeding and Maternal Age-related Cataract.
AB - PURPOSE: No studies addressed the influence of breastfeeding on cataract
formation. The objective of this study was to address the relationship between
breastfeeding and maternal age-related cataract. DESIGN: A nationwide cross
sectional study. METHODS: This study analyzed data for 3821 parous women aged 50
years or above in the Korea National Health and Nutrition Examination Survey 2010
2012. Participants were aggregated into quartiles according to the number of
breastfed children and duration of breastfeeding. Logistic regression analysis
was used to examine the relationships between less or short duration of
breastfeeding and increased risks of cataract. RESULTS: A total of 2197 women
(57.5%) were classified as having age-related cataract. Women who breastfed 4-12
children (odds ratio [OR] = 0.56; 95% confidence interval [CI] = 0.35-0.89) had
significantly lower risks for cortical cataract, compared to those who breastfed
no or 1 child (P for trend across quartiles = .010). Women who breastfed for 36
60 months (OR = 0.61, 95% CI = 0.42-0.90) or 61-324 months (OR = 0.53, 95% CI =
0.33-0.83) had lower risks for cortical cataract compared to those who breastfed
16 months or less (P for trend across tertiles = .003). The population
attributable fractions of cortical cataract induced by number of children
breastfed less than 3 and duration of breastfeeding less than 36 months were 9.4%
(95% CI = 1.3%-17.6%) and 10.7% (95% CI = 3.0%-18.4%), respectively. CONCLUSIONS:
Breastfeeding more children and long-term breastfeeding were associated with
lower risk of cortical cataract formation in parous women.
PMID- 29806993
TI - Examining the effects of cholesterol on model membranes at high temperatures:
Laurdan and Patman see it differently.
AB - At high temperature, the presence of cholesterol in phospholipid membranes alters
the influence of membrane dipoles, including water molecules, on naphthalene
based fluorescent probes such as Laurdan and Patman (solvatochromism). Although
both of these probes report identical changes to their emission spectra as a
function of temperature in pure phosphatidylcholine bilayers, they differ in
their response to cholesterol. Computer simulations of the spectra based on a
simple model of solvatochromism indicated that the presence of cholesterol
reduces the probability of bilayer dipole relaxation and also blunts the tendency
of heat to enhance that probability. While the overall effect of cholesterol on
membrane dipoles was detected identically by the two probes, Laurdan was
influenced much more by the additional effect on temperature sensitivity than was
Patman. A comparison of the fluorescence data with simulations using a coarse
grained bilayer model (de Meyer et al., 2010) suggested that these probes may be
differentially sensitive to two closely related properties distinguishable in the
presence of cholesterol. Specifically, Patman fluorescence correlated best with
the average phospholipid acyl chain order. On the other hand, Laurdan
fluorescence tracked more closely with the area per lipid molecule which,
although affected generally by chain order, is also impacted by additional
membrane-condensing effects of cholesterol. We postulate that this difference
between Laurdan and Patman may be attributed to the bulkier charged headgroup of
Patman which may cause the probe to preferentially locate in juxtaposition to the
diminutive headgroup of cholesterol as the membrane condenses.
PMID- 29806994
TI - Interaction of gabaergic ketones with model membranes: A molecular dynamics and
experimental approach.
AB - gamma-Aminobutyric-acid receptor (GABAA-R), a membrane intrinsic protein, is
activated by GABA and modulated by a wide variety of recognized drugs. GABAA-R is
also target for several insecticides which act by recognition of a non
competitive blocking site. Mentha oil is rich in several ketones with established
activity against various insects/pests. Considering that mint ketones are highly
lipophilic, their action mechanism could involve, at least in part, a non
specific receptor modulation by interacting with the surrounding lipids. In the
present work, we studied in detail the effect on membranes of five cyclic ketones
present in mint plants, with demonstrated insecticide and gabaergic activity.
Particularly, we have explored their effect on the organization and dynamics of
the membrane, by using Molecular Dynamics (MD) Simulation studies in a bilayer
model of DPPC. We performed free diffusion MD and obtained spatially resolved
free energy profiles of ketones partition into bilayers based on umbrella
sampling. The most favored location of ketones in the membrane corresponded to
the lower region of the carbonyl groups. Both hydrocarbon chains were slightly
affected by the presence of ketones, presenting an ordering effect for the
methylene groups closer to the carbonyl. MD simulations results were also
contrasted with experimental data from fluorescence anisotropy studies which
evaluate changes in membrane fluidity. In agreement, these assays indicated that
the presence of ketones between lipid molecules induced an enhancement of the
intermolecular interaction, increasing the molecular order throughout the bilayer
thickness.
PMID- 29806995
TI - Giant Colonic Cavernous Hemangioma Causing Hematochezia in a 14-Year-Old Boy.
PMID- 29806997
TI - On the Nose: Disseminated Mucormycosis.
PMID- 29806996
TI - Fatal case of donor-derived colistin-resistant carbapenemase-producing Klebsiella
pneumoniae transmission in cardiac transplantation.
AB - Herein we report a fatal case of donor-derived transmission of XDR-resistant
carbapenemase-producing Klebsiella pneumoniae (KPC-Kp) in cardiac
transplantation. A 59-year-old male patient with non-obstructive hypertrophic
cardiomyopathy underwent heart transplantation. On day 5 post-operation, blood
cultures from the donor were positive for colistin-resistant carbapenemase
producing K. pneumoniae (ColR KPC-Kp) susceptible only to amikacin. Recipient
blood cultures were also positive for ColR KPC-Kp with the same sensitivity
profile as the donor isolate with an identical PFGE pattern. The patient was
treated with double-carbapenems and amikacin. The patient evolved to
pericarditis, osteomyelitis, and pulmonary necrosis, all fragment cultures
positive for the same agent. The patient developed septic shock, multiple organ
failure and died on day 50 post-transplantation. Based on current microbiological
scenario worldwide the possibility of transmitting multidrug resistant (MDR)
organisms should be considered.
PMID- 29806998
TI - Development and Preliminary Feasibility of an Automated Hypertension Self
Management System.
AB - BACKGROUND: Uncontrolled hypertension constitutes a significant challenge
throughout the world. Blood pressure measurement by patients is informative for
both patients and providers but is rarely performed systematically, thereby
reducing its utility. Mobile phones can be used to efficiently prompt individuals
to measure blood pressure and automate data management while avoiding technology
barriers to widespread adoption. Presented is the design and pilot test results
of MyBP, an automated texting intervention to support blood pressure self
monitoring and patient self-management. METHODS: Three sequential phases are
described: (1) stakeholders' needs assessment, (2) preliminary design pilot (n =
10), and (3) a 6-week pilot of the redesigned comprehensive program with
hypertensive patients (n = 43) recruited from 3 clinical sites (Emergency
Department, Primary Care, Hypertension Center). Outcomes of interest included
participant adherence, perceived importance of blood pressure monitoring, and
healthy behavior change. RESULTS: Median adherence to MyBP prompts over 6 weeks
was 79% (72% emergency department, 84% primary care, and 96% hypertension center,
H[2] = 5.56, P = .06). Adherence did not vary by age, sex, education, or baseline
use of texting but was lowest among patients recruited from the emergency
department (chi[2]2 = 6.66, P = .04). In the exit survey, MyBP was associated
with increased importance of blood pressure self-monitoring and particularly
motivated primary care and emergency department groups to improve dietary habits,
increase daily physical activity, and focus on stress reduction. The majority of
participants (88%) indicated interest in using the program for 6 months.
CONCLUSIONS: Automated mobile-phone-based blood pressure self-monitoring using
MyBP is feasible, acceptable, and scalable, and may improve self-management and
support clinical care.
PMID- 29806999
TI - A 'Shocking' Cause of Facial Edema.
PMID- 29807000
TI - Arterial Ischemic Events Are a Major Complication in Cancer Patients with Venous
Thromboembolism.
AB - BACKGROUND: Venous thromboembolism is common in patients with malignancies,
affecting up to 10% of this patient population. The association between arterial
ischemic events and venous thromboembolism also has been established. However,
the influence of arterial ischemic events on outcomes in cancer patients with
venous thromboembolism has not been fully determined. METHODS: The current study
analyzed clinical characteristics, time course, risk factors, incidence and
severity of venous thromboembolism recurrences, arterial ischemic events and
major bleeding in 5717 patients with active cancer and venous thromboembolism
recruited into RIETE (multi-center prospective registry of patients with
objectively confirmed venous thromboembolism). RESULTS: During the
anticoagulation course (median 7.3 months), 499 (8.7%) patients developed venous
thromboembolism recurrences, 63 (1.1%) developed arterial events, and 346 (6.1%)
suffered from major bleeding. Overall, major bleeding and arterial events
appeared earlier (median 35 and 36 days, respectively) than venous
thromboembolism recurrences (median 97 days). Thirty-day mortality rates after
each event were: 20% after recurrent pulmonary embolism, 13% after recurrent deep
vein thrombosis, 41% after major bleeding, 40% after myocardial infarction, 64%
after ischemic stroke, and 83% after lower limb amputation. Bleeding was the
leading cause of death (67 fatal bleeds), whereas cumulative mortality due to
arterial ischemic events (n = 27) was similar to that related to pulmonary
embolism recurrences (n = 26). CONCLUSIONS: In this study, arterial ischemic
events and major bleeding appeared early after venous thromboembolism in patients
with active cancer and were among frequent causes of their deaths. The risk and
severity of arterial events need to be considered in this clinical setting.
PMID- 29807001
TI - Effectiveness and Safety of Anticoagulants in Adults with Non-valvular Atrial
Fibrillation and Concomitant Coronary/Peripheral Artery Disease.
AB - BACKGROUND: Direct oral anticoagulants (DOAC) are at least non-inferior to
warfarin in efficacy and safety among patients with nonvalvular atrial
fibrillation. Limited evidence is available regarding outcomes for nonvalvular
atrial fibrillation patients with coronary/peripheral artery disease. METHODS:
Non-valvular atrial fibrillation patients aged >=65 years diagnosed with
coronary/peripheral artery disease in the US Medicare population, newly
initiating DOACs (apixaban, rivaroxaban, dabigatran) or warfarin were selected
from January 1, 2013 to September 30, 2015. Propensity score matching was used to
compare DOACs vs warfarin. Cox proportional hazards models were used to estimate
the risk of stroke/systemic embolism, major bleeding, and composite of
stroke/myocardial infarction/all-cause mortality. RESULTS: There were 15,527
apixaban-warfarin, 6,962 dabigatran-warfarin, and 25,903 rivaroxaban-warfarin
matched pairs, with a mean follow-up of 5-6 months. Compared with warfarin,
apixaban was associated with lower rates of stroke/systemic embolism (hazard
ratio [HR] 0.48; 95% confidence interval [CI], 0.37-0.62), major bleeding (HR
0.66; 95% CI, 0.58-0.75), and stroke/myocardial infarction/all-cause mortality
(HR 0.63; 95% CI, 0.58-0.69); dabigatran and rivaroxaban were associated with
lower rates of stroke/myocardial infarction/all-cause mortality (HR 0.79; 95% CI,
0.70-0.90 and HR 0.87; 95% CI, 0.81-0.92, respectively). Rivaroxaban was
associated with a lower rate of stroke/systemic embolism (HR 0.72; 95% CI, 0.60
0.89) and a higher rate of major bleeding (HR 1.14; 95% CI, 1.05-1.23) vs
warfarin. CONCLUSIONS: All DOACs were associated with lower stroke/myocardial
infarction/all-cause mortality rates compared with warfarin; differences were
observed in rates of stroke/systemic embolism and major bleeding. Findings from
this observational analysis provide important insights about oral anticoagulation
therapy among non-valvular atrial fibrillation patients with coronary/peripheral
artery disease and may help physicians in the decision-making process when
treating this high-risk group of patients.
PMID- 29807002
TI - Association of Dairy Consumption and 24-Hour Blood Pressure in Older Adults with
Hypertension.
AB - BACKGROUND: The aim was to examine the association between habitual consumption
of dairy products and 24-hour ambulatory blood pressure monitoring among older
adults with hypertension. METHODS: We conducted an analysis of 715 community
living hypertensive adults age >=60. Habitual dairy consumption was assessed with
a validated diet history. Blood pressure was recorded by 24-hour ambulatory blood
pressure monitoring; controlled blood pressure was defined as 24-hour blood
pressure <130/80mm Hg in those under drug treatment. Analyses were adjusted for
main confounders, including diet, hypertension duration, and being on
antihypertensive treatment. RESULTS: After adjustment for confounders, consumers
of >=7 servings/week of whole-fat milk/yogurt had a diastolic blood pressure
1.40mm Hg higher (95% confidence interval, 0.01, 2.81) than consumers of <1
serving/week. By contrast, consumers of >=7 servings/week of low-fat milk/yogurt
had a diastolic blood pressure 1.74mm Hg lower (95% confidence interval, -3.26,
0.23) than consumers of <1 serving/week. Moreover, the odds ratio (95% confidence
interval) for controlled blood pressure was 1.83 (1.05-3.08) for those consuming
>=7 servings/week of low-fat milk/yogurt, when compared with consumers of <1
serving/week. Cheese consumption was not associated with blood pressure.
CONCLUSIONS: Regular consumption of low-fat milk/yogurt was associated with lower
24-hour diastolic blood pressure and with better blood pressure control among
older adults with hypertension.
PMID- 29807003
TI - Do Medical Complications Impact Long-Term Outcomes in Prolonged Disorders of
Consciousness?
AB - OBJECTIVE: To investigate medical complications (MCs) occurring within 6 months
postinjury in brain-injured patients with prolonged disorders of consciousness
(DoC) and to evaluate impact of MC on mortality and long-term clinical outcomes.
DESIGN: Prospective observational cohort study. SETTING: Rehabilitation unit for
acquired DoC. PARTICIPANTS: Patients (N=194) with DoC (142 in vegetative state
[VS], 52 in minimally conscious state; traumatic etiology 43, anoxic 69, vascular
82) consecutively admitted to a neurorehabilitation unit within 1-3 months
postonset. INTERVENTIONS: Not applicable. MAIN OUTCOME MEASURES: Mortality and
improvements in clinical diagnosis and functional disability level (assessed by
Coma Recovery Scale-Revised [CRS-R] and Disability Rating Scale) at 12, 24, and
36 months postonset. RESULTS: Within 6 months postinjury, 188 of 194 patients
(>95%) developed at least 1 MC and 142 of them (73%) showed at least 1 severe MC.
Respiratory and musculoskeletal-cutaneous MCs were the most frequent, followed by
endocrino-metabolic abnormalities. Follow-up, complete in 189 of 194 patients,
showed that male sex and endocrine-metabolic MCs were associated with higher risk
of mortality at all timepoints. Old age, anoxic etiology, lower CRS-R total
scores, and diagnosis of VS at study entry predicted no clinical and functional
improvements at most timepoints; however, epilepsy predicted no improvement in
diagnosis at 24 months postonset only. CONCLUSIONS: MCs are very frequent in
patients with DoC within at least 6 months after brain injury, regardless of
clinical diagnosis, etiology, and age. Endocrino-metabolic MCs are independent
predictors of mortality at all timepoints; however,epilepsy predicted poor long
term outcome. Occurrence and severity of MCs in patients with DoC call for long
term appropriate levels of care after the postacute phase.
PMID- 29807004
TI - The Adult Assisting Hand Assessment Stroke: Psychometric Properties of an
Observation-Based Bimanual Upper Limb Performance Measurement.
AB - OBJECTIVE: To investigate interrater and intrarater reliability, measurement
error, and convergent and discriminative validity of the Adult Assisting Hand
Assessment Stroke (Ad-AHA Stroke). DESIGN: Cross-sectional observational study.
SETTING: A total of 7 stroke rehabilitation centers. PARTICIPANTS: Stroke
survivors (reliability sample: n=30; validity sample: N=118) were included
(median age 67y; interquartile range [IQR], 59-76); median time poststroke 81
days (IQR 57-117). INTERVENTIONS: N/A. MAIN OUTCOME MEASURES: Ad-AHA Stroke,
Action Research Arm Test (ARAT), upper extremity Fugl-Meyer Assessment (UE-FMA).
The Ad-AHA Stroke is an observation-based instrument assessing the effectiveness
of the spontaneous use of the affected hand when performing bimanual activities
in adults poststroke. Reliability of Ad-AHA Stroke was examined using intraclass
correlation coefficients (ICCs), Bland-Altman plots, and weighted kappa
statistics for reliability on item level. SEM was calculated based on Ad-AHA
units. Convergent validity was assessed by calculating Spearman rank correlation
coefficients between Ad-AHA Stroke and ARA test and UE-FMA. Comparison of Ad-AHA
Stroke scores between subgroups of patients according to hand dominance, neglect,
and age evaluated discriminative validity. RESULTS: Intrarater and interrater
agreement showed an ICC of 0.99 (95% confidence interval, 0.99-0.99), an SEM of
2.15 and 1.64 out of 100, respectively, and weighted kappa for item scores were
all above 0.79. The relation between Ad-AHA and other clinical assessments was
strong (rho=0.9). Patients with neglect had significantly lower Ad-AHA scores
compared to patients without neglect (P=.004). CONCLUSIONS: The Ad-AHA Stroke
captures actual bimanual performance. Therefore, it provides an additional aspect
of upper limb assessment with good to excellent reliability and low SEM for
patients with subacute stroke. High convergent validity with the ARA test and UE
FMA and discriminative validity were supported.
PMID- 29807005
TI - Postoperative Radiotherapy for Surgically Resected ypN2 Non-Small Cell Lung
Cancer.
AB - BACKGROUND: The role of postoperative radiotherapy (PORT) in patients with
clinical stage III-N2 (cIII-N2) non-small cell lung cancer (NSCLC) treated with
induction chemotherapy and surgical resection with persistent ypN2 disease is not
well established. METHODS: We retrospectively reviewed a prospectively maintained
database for patients with cIII-N2 NSCLC who underwent induction chemotherapy
followed by resection (2004-2016). Exclusion criteria included induction
radiotherapy, non-biopsy-confirmed cN2 disease, incomplete resection, ypN0/1, and
nonanatomic resection. The primary outcome was locoregional recurrence (LR);
secondary outcomes were disease-free survival (DFS), lung cancer-specific death
(LCSD), and overall survival (OS). Associations between variables and outcomes
were assessed using Fine and Gray competing risk regression for LR/LCSD and Cox
proportional hazard models for survival. RESULTS: Of the 501 patients identified
with cIII-N2 disease, 99 met the inclusion criteria. Median follow-up was 25
months (range, 3-137 months). Sixty-nine patients (70%) received PORT. Sixty
(61%) developed a recurrence: 3 (5%) with an initial isolated LR and 57 (95%)
with an initial distant recurrence. On multivariable analysis, PORT was not
associated with LR (HR, 0.51 [95% CI, 0.22-1.21], p = 0.13). PORT was also not
associated with DFS (p = 0.6) or LCSD (p = 0.1). PORT was associated with
improved 3-year OS (55% [95% CI, 42%-71%]) versus the no-PORT group (50% [95% CI,
34%-74%]) (p = 0.04). CONCLUSIONS: PORT is not independently associated with
decreased LR or improved DFS/LCSD in this patient population. Given that the
predominant failure pattern was distant recurrence, future clinical trials should
focus on adjuvant systemic therapies, which may decrease distant recurrences in
ypN2 patients.
PMID- 29807006
TI - Thoracoabdominal Resection of Mucormycosis Lesions in a Leukemic Patient.
AB - Mucormycosis is a rare fungal infection that poses a severe threat to
immunocompromised patients. In the case presented herein, a 56-year-old leukemic
patient, who was treated with amphotericin B and posaconazole, was scheduled for
surgical resection of mucormycosis lesions that had spread to the thorax and
abdomen. Surgery was aggressive and the resection involved the left lateral
thoracoabdominal wall, 2 ribs, the left diaphragm, and the spleen. The patient
tolerated the procedure well and the leukemia went into remission. Aggressive
surgery can benefit immunocompromised patients with mucormycosis.
PMID- 29807007
TI - Minimally Invasive Thymectomy and Lung Volume Reduction in a Patient With
Myasthenia Gravis.
AB - We describe the case of a patient with myasthenia gravis and severe pulmonary
emphysema who underwent concomitant bilateral video/robotic-assisted thymectomy
with unilateral lung volume reduction surgery. We review the important
pathophysiologic considerations that must be appreciated to ensure safe surgery
in this unusual situation with two diseases that independently affect the
respiratory system-each of which requires preoperative optimization.
PMID- 29807008
TI - Higher Mortality in Patients Undergoing Nighttime Surgical Procedures for Acute
Type A Aortic Dissection.
AB - BACKGROUND: The aim of this study was to investigate whether nighttime surgical
procedures contribute to higher in-hospital mortality in patients with acute type
A aortic dissection. METHODS: All patients with acute type A aortic dissection
who underwent surgical procedures at Fuwai Hospital in Beijing, China from 2010
to 2015 were included in the present study. Depending on the start and end time
of the surgical procedures, patients were divided in daytime and nighttime
groups. Propensity-matching analysis was used to compare in-hospital mortality
and postoperative complications between these groups. RESULTS: A total of 698
patients with acute type A aortic dissection underwent operation. Of these, 321
(45.98%) patients underwent nighttime surgical procedures, whereas 377 (54.02%)
patients underwent daytime procedures. The operation time, cardiopulmonary bypass
time, and aortic cross-clamp time showed statistical differences between the two
groups (p < 0.01). There was a significant difference between the daytime and
nighttime groups in in-hospital mortality (6.42% vs 12.08%; p < 0.05). The
nighttime group had a higher incidence rate of reintubation and continuous renal
replacement therapy compared with the daytime group (p < 0.05). Furthermore,
patients who underwent nighttime operations had significantly higher adjusted in
hospital mortality than patients who underwent daytime operations (odds ratio,
2.13; 95% confidence interval, 1.19 to 3.81; p = 0.01). CONCLUSIONS: Patients
with acute type A aortic dissection and certain serious medical conditions were
more likely to die in the hospital if they underwent emergency nighttime surgical
procedures.
PMID- 29807009
TI - An Alternative Approach by HeartWare Ventricular Assist Device in Hypertrophic
Cardiomyopathy.
AB - Hypertrophic cardiomyopathy is known to be difficult to support by left
ventricular assist device because of the small ventricular cavity and inadequate
drainage. Therefore, instead of cannulating on the left ventricular apex, a
HeartWare ventricular assist device (HeartWare, Framingham, MA) was connected to
the left atrium through right atrium onto atrial septum using ringed Gore-Tex
(W.L. Gore & Associates, Flagstaff, AZ) interposition graft. The patient has been
discharged home after ventricular assist device implant and underwent successful
heart transplant after 111 days of support without any complications including
any thromboembolic events. This new transatrial left atrial cannulation technique
can be an alternative approach for ventricular assist device cannulation in
hypertrophic cardiomyopathy. It can be safely performed with the HeartWare
ventricular assist device.
PMID- 29807010
TI - Circular RNA hsa_circ_0008039 promotes breast cancer cell proliferation and
migration by regulating miR-432-5p/E2F3 axis.
AB - As the development of sequencing technology, more and more circular RNAs
(circRNAs) are identified in human cancer tissues. Increasing evidences imply
circRNAs are important regulators in tumor progression. Nevertheless, how
circRNAs participate in breast cancer development and progression is not well
understood. In the present study, we identified a novel circRNA hsa_circ_0008039
with upregulated expression level in breast cancer tissues. By functional
experiments, we found that hsa_circ_0008039 depletion significantly suppressed
the proliferation, arrested cell-cycle progression and reduced migration in
breast cancer. Mechanistic investigations suggested that hsa_circ_0008039 served
as a competing endogenous RNA (ceRNA) of miR-432-5p. Subsequently, E2F3 was
identified as the functional target of miR-432-5p and overexpression of
hsa_circ_0008039 elevated E2F3 expression in breast cancer. On the whole, our
study indicated that hsa_circ_0008039 exerted oncogenic roles in breast cancer
and suggested the hsa_circ_0008039/miR-432-5p/E2F3 axis might be a potential
therapeutic target.
PMID- 29807011
TI - MicroRNA 148a-3p promotes Thrombospondin-4 expression and enhances angiogenesis
during tendinopathy development by inhibiting Kruppel-like factor 6.
AB - Tendinopathy is a common musculoskeletal disorder with characteristic
hypervascularity. The mechanism of angiogenesis in tendinopathy remains unclear.
The present study aimed to investigate the roles of miR-148a-3p in angiogenesis
development of tendinopathy. In this study, we demonstrated that miR-148a-3p
expression was increased in tendinopathy tissues and positively correlated with
CD34 levels which is a specific marker for angiogenesis. We identified Kruppel
like factor 6 (KLF6) as a direct target gene of miR-148a-3p in tenocytes.
Furthermore, reduced levels of KLF6 in tendinopathy tissues was showed using qRT
PCR and immunohistochemical analysis, compared with controls. A negative
correlation between the levels of KLF6 mRNA and miR-148a-3p was observed. Then,
we verified that miR-148a-3p could regulate Tsp-4 expression by targeting KLF6 in
tenocyte and was positively correlated with Tsp-4 levels in tendinopathy tissues.
In a coculture system of tenocytes with endothelial cells (ECs), we observed that
transfection of Lv-miR-148a-3p markedly upregulated EC angiogenesis. In summary,
our data establish a novel molecular mechanism by which miR-148a-3p upregulates
Tsp-4 expression in tenocytes to promote EC angiogenesis by targeting KLF6, which
could be helpful for the treatment of tendinopathy in the future.
PMID- 29807012
TI - SIRT1 promotes proliferation, migration, and invasion of breast cancer cell line
MCF-7 by upregulating DNA polymerase delta1 (POLD1).
AB - Sirtuin 1 (SIRT1), class III histone deacetylase, plays an important character in
cell proliferation, cell cycle, apoptosis, energy metabolism and DNA repair. In
recent years, researchers have attached increasing attention on the role of SIRT1
in tumorigenesis, development and drug resistance. The effect of SIRT1 on breast
cancer is still controversial and its exact role remains to be elucidated. In the
present study, we investigated the significant role of SIRT1 in breast cancer by
exploring the effect of SIRT1 on DNA polymerase delta1 (POLD1), the gene coding
for DNA polymerase delta catalytic subunit p125. Immunohistochemistry showed that
the protein expression level of SIRT1 was higher in breast cancer tissues
relative to adjacent normal tissues. Knockdown of SIRT1 by shRNA decreased the
proliferation, migration, and invasion of human breast cancer cell line MCF-7,
while the overexpression of SIRT1 promoted the proliferation, migration, and
invasion of MCF-7 cells. Clinically, the immunohistochemistry results revealed
that the expression of SIRT1 was positively correlated with p125. Further
analysis demonstrated that silencing of SIRT1 increased the expression of p53,
while the expression level of POLD1/p125 decreased, and the result by
overexpressing SIRT1 was opposite. Collectively, these data suggest that SIRT1 is
an oncogenic factor in breast cancer cells and can be involved in the progression
of breast cancer by inhibiting p53 and activating POLD1. Our finding provides new
insights into the mechanisms of breast cancer.
PMID- 29807013
TI - Generation of H1 PAX6WT/EGFP reporter cells to purify PAX6 positive neural
stem/progenitor cells.
AB - Neural conversion from human pluripotent cells (hPSCs) is a potential therapy to
neurological disease in the future. However, this is still limited by efficiency
and stability of existed protocols used for neural induction from hPSCs. To
overcome this obstacle, we developed a reporter system to screen PAX6+ neural
progenitor/stem cells using transcription activator like effector nuclease
(TALEN). We found that knock-in 2 A-EGFP cassette into PAX6 exon of human
embryonic stem cells H1 with TALEN-based homology recombination could establish
PAX6WT/EGFP H1 reporter cell line fast and efficiently. This reporter cell line
could differentiate into PAX6 and EGFP double positive neural progenitor/stem
cells (NPCs/NSCs) after neural induction. Those PAX6WT/EGFP NPCs could be
purified, expanded and specified to post-mitotic neurons in vitro efficiently.
With this reporter cell line, we also screened out 1 NPC-specific microRNA, hsa
miR-99a-5p, and 3 ESCs-enriched miRNAs, hsa-miR-302c-5p, hsa-miR-512-3p and hsa
miR-518 b. In conclusion, the TALEN-based neural stem cell screening system is
safe and efficient and could help researcher to acquire adequate and pure neural
progenitor cells for further application.
PMID- 29807014
TI - Revisit the effect of fibrillization on functions of prion protein from the
perspective of Cu(II) binding.
AB - Conversion of prion protein (PrP) from its alpha-helical form to a beta-sheet
rich scrapie form constitutes the key event of the etiology of prion diseases.
Fundamental questions remain concerning the functions of prion protein and the
mechanisms leading to the formation of misfolded forms. A wealth of evidence
links physiological functions of PrP to its ability to bind Cu(II), suggesting
that it may act as a copper buffer or be part of the copper transportation
system. In contrast, much less attention has been devoted to understanding Cu(II)
binding to the scrapie forms. The goal of this work is to comparatively
investigate the coordination geometries among PrP conformers at different pH
values using continuous X-band electron paramagnetic resonance (EPR)
spectroscopy. We have found that while both alpha-helical monomeric and fibrillar
forms of PrP bind Cu(II) similarly, the multi-His configuration is more favored
in the fibrillar form. Our results have provided insights into the effect of
fibrillization on the functions of prion protein.
PMID- 29807015
TI - MiR-199b-5p promotes tumor growth and metastasis in cervical cancer by down
regulating KLK10.
AB - MiR-199 b-5p and kallikrein-related peptidase 10 (KLK10) are related to various
disease processes and pathogenesis. However, little is known about the molecular
mechanisms of miR-199 b-5p and KLK10 in human cervical cancer. In the present
study, we found that miR-199 b-5p was highly expressed in cervical cancer tissues
and cell lines, and was positively correlated with overall survival (OS) and
progression-free survival (PFS), higher incidences of larger tumor sizes, late
International Federation of Gynecology and Obstetrics (FIGO) stages and
preoperative metastasis. Further, we found that transfecting miR-199 b-5p mimics
into cervical cancer cells promoted tumor progression through enhancing the cell
viability, migration, and suppressing apoptosis by using 3-(4,5-dimethylthiazolyl
2)-2,5-diphenyltetrazolium bromide (MTT), wound healing and flow cytometry
analysis. Luciferase reporter assays indicated that miR-199 b-5p targeted the 3'
untranslated region (3'-UTR) of KLK10. Over-expressing KLK10 reversed the role of
miR-199 b-5p in accelerating cervical cancer progression. Suppressing miR-199 b
5p expressions improved apoptosis and reduced the cell viability, while the
process was reversed in KLK10-knockdown cervical cancer cells. In vivo analysis
verified the effects of miR-199 b-5p on promoting cervical cancer progression,
accompanied with reduced KLK10 expressions. In summary, we identified that miR
199 b-5p played as a tumor promoter in cervical cancer cell growth by targeting
KLK10, and miR-199 b-5p might function as a novel biomarker for diagnosis or
therapeutic targets of human cervical cancer.
PMID- 29807016
TI - Human kallikrein-related peptidase 12 (KLK12) splice variants discriminate benign
from cancerous breast tumors.
AB - OBJECTIVES: As kallikrein-related peptidase 12 (KLK12) has been implicated in the
cancer progression and alternative splicing plays significant role in this
disease, the aim of this study was to examine the expression profile and the
clinical impact of the KLK12 splice variants in breast cancer. DESIGN AND
METHODS: Total RNA was isolated and reverse transcripted from 141 tissues.
Afterwards, quantitative real-time PCR were conducted, followed by the
performance of the comparative CT (2-DeltaDeltaCT) method for relative
quantification, whilst their correlation with the clinicopathological features of
breast malignancies were assessed by statistical analysis. RESULTS: Both
KLK12sv1/2 and KLK12sv3 showed higher expression in non-cancerous than in
cancerous samples. KLKsv1/2 (P = 0.001) upregulated and KLK12sv3 (P < 0.001)
downregulated in the malignant compared to the benign tumors and their
discriminative ability was verified by ROC curve analysis. Moreover, KLK12sv3 was
associated with grade (P = 0.012) and hormonal receptor status (P = 0.001).
Furthermore, Kaplan-Meier and Cox regression analyses showed that patients with
positive KLK12sv1/2 and KLK12sv3 levels presented a significantly longer disease
free survival (P = 0.014 and P = 0.013, respectively) and overall survival (P =
0.062 and P = 0.004, respectively). CONCLUSIONS: Our results demonstrate the
discriminative value of KLK12sv1/2 and KLK12sv3 between benign and malignant
breast tumors as well as their potential favorable prognostic significance in
breast adenocarcinoma.
PMID- 29807017
TI - Striking parallels between carotid body glomus cell and adrenal chromaffin cell
development.
AB - Carotid body glomus cells mediate essential reflex responses to arterial blood
hypoxia. They are dopaminergic and secrete growth factors that support
dopaminergic neurons, making the carotid body a potential source of patient
specific cells for Parkinson's disease therapy. Like adrenal chromaffin cells,
which are also hypoxia-sensitive, glomus cells are neural crest-derived and
require the transcription factors Ascl1 and Phox2b; otherwise, their development
is little understood at the molecular level. Here, analysis in chicken and mouse
reveals further striking molecular parallels, though also some differences,
between glomus and adrenal chromaffin cell development. Moreover, histology has
long suggested that glomus cell precursors are 'emigres' from neighbouring
ganglia/nerves, while multipotent nerve-associated glial cells are now known to
make a significant contribution to the adrenal chromaffin cell population in the
mouse. We present conditional genetic lineage-tracing data from mice supporting
the hypothesis that progenitors expressing the glial marker proteolipid protein
1, presumably located in adjacent ganglia/nerves, also contribute to glomus
cells. Finally, we resolve a paradox for the 'emigre' hypothesis in the chicken -
where the nearest ganglion to the carotid body is the nodose, in which the
satellite glia are neural crest-derived, but the neurons are almost entirely
placode-derived - by fate-mapping putative nodose neuronal 'emigres' to the
neural crest.
PMID- 29807018
TI - Genotype-phenotype correlation among Malaysian patients with osteogenesis
imperfecta.
AB - BACKGROUND: Osteogenesis imperfecta (OI) is a rare genetic bone disease
characterized by bone fragility and low bone mass. OI was mainly caused by
genetic mutations in collagen genes, COL1A1 and COL1A2. Nevertheless, new genes
have been identified to be causally linked to OI. The clinical features between
each OI groups share great similarities and it is sometimes difficult for
clinicians to diagnose the disease accurately. Here, we identify the genetic
mutations of OI patients from Malaysia and correlate the genetic mutations with
the clinical features. METHOD: Targeted sequencing of fourteen genes panel was
performed to identify the mutations in 29 OI patients with type I, III, IV and V
disease. The mutations were determined using Ion Torrent Suite software version 5
and variant annotation was conducted using ANNOVAR. The identified mutations were
confirmed using Sanger sequencing and in silico analysis was performed to
evaluate the effects of the candidate mutations at protein level. RESULTS:
Majority of patients had mutations in collagen genes, 48% (n = 14) in COL1A1 and
14% (n = 4) in COL1A2. Type I OI was caused by quantitative mutations in COL1A1
whereas most of type III and IV were due to qualitative mutations in both of the
collagen genes. Those with quantitative mutations had milder clinical severity
compared to qualitative mutations in terms of dentinogenesis imperfecta (DI),
bone deformity and the ability to walk with aid. Furthermore, a few patients
(28%, n = 8) had mutations in IFITM5, BMP1, P3H1 and SERPINF1. CONCLUSION:
Majority of our OI patients have mutations in collagen genes, similar to other OI
populations worldwide. Genotype-phenotype analysis revealed that qualitative
mutations had more severe clinical characteristics compared to quantitative
mutations. It is crucial to identify the causative mutations and the clinical
severity of OI patients may be predicted based on the types of mutations.
PMID- 29807019
TI - Critically low sodium levels due to concentration gradients formed in patient
samples after undergoing a freeze-thaw cycle.
AB - BACKGROUND: Concentrations gradients that form in plasma as a result of freezing
and thawing is a well-known phenomenon. As the water fraction converts into ice,
plasma constituents diffuse from the freezing front by natural convection in the
liquid phase. This process can lead to erroneous lab results, if the sample is
not thoroughly mixed prior to testing. METHODS: A series of patient samples
received at the clinical chemistry core lab were found to have low sodium levels
that normalized after tube inversion. We suspected that the samples may have
frozen during shipping and therefore examined the effects of freezing and thawing
on serum. RESULTS: Our investigation revealed that prior to arriving at the core
lab, samples from one of our satellite clinics were undergoing a freeze-thaw
cycle during shipping, which resulted in the formation of concentration gradients
and spurious lab results on arrival. CONCLUSIONS: Large hospitals that have a
central core lab and receive patient samples from satellite clinics need to be
aware of this phenomena, which can contribute to erroneous lab results being
posted in a patient's electronic medical record, resulting in a misdiagnosis.
PMID- 29807020
TI - Immunohistologic analysis of the duodenal bulb: a new method for celiac disease
diagnosis in children.
AB - BACKGROUND AND AIMS: Anti-tissue transglutaminase antibodies (anti-tTG) have
simplified celiac disease (CD) diagnosis. However, in atypical forms of CD,
intestinal biopsy sampling is still required. This prospective study investigates
whether histologic analysis of the duodenal bulb combined with intestinal IgA
anti-tTG deposit immunoassay makes CD diagnosis possible in at-risk children with
low concentrations of serum anti-tTG. METHODS: Histologic and intestinal IgA anti
tTG deposit immunoassays were used. RESULTS: Two hundred forty-five symptomatic
children positive for serum anti-tTG (>7 U/mL) were enrolled and divided into 3
groups: extensive duodenal atrophy (n = 209), with IgA anti-tTG deposits
throughout the duodenum and high serum anti-tTG concentrations (157 +/- 178
U/mL); bulb duodenal atrophy (n = 22), with widespread IgA anti-tTG deposits in 9
and in the bulb alone in 13 and low serum anti-tTG concentrations (13.9 +/- 8.7
U/mL); and normal duodenum (n = 14), with widespread IgA anti-tTG deposits in 8
and in the bulb alone in 6 and low serum anti-tTG concentrations (10.6 +/- 6.2
U/mL). All patients in the first 2 groups were diagnosed with CD and 8 from the
third group. All improved after 1 year of gluten-free diet. Bulb duodenal
analysis led to a 12% (30/245) increase in CD diagnosis. No CD-related lesions
were observed in the 30 control subjects. CONCLUSIONS: In children at risk for
CD, bulb duodenum biopsy sampling is essential to identify villous atrophy and
detect IgA anti-tTG deposits even in absence of intestinal lesions. These mucosal
autoantibodies could well represent a new standard for diagnosing CD.
PMID- 29807021
TI - The clinical utility of anti-double-stranded DNA antibodies and the challenges of
their determination.
AB - Autoantibodies against double-stranded DNA (dsDNA) were first described >60 years
ago and although they are still one of the most clinically relevant
autoantibodies, test results may be more challenging to interpret compared to
other autoantibody tests. They are a serological hallmark of systemic lupus
erythematosus (SLE) and are included in both the American College of Rheumatology
(ACR) and the Systemic Lupus International Collaborating Clinics (SLICC)
classification criteria for SLE. Furthermore, anti-dsDNA antibodies (a-dsDNA)
have been shown to associate with SLE disease activity and coincide with renal
involvement. Given their importance and long history, one might assume that
immunological tests for a-dsDNA are standardized and give comparable results.
However, even though there has been an international reference standard serum
(the WHO Wo/80), different methods for the detection of a-dsDNA and tests from
different manufacturers give different results for the same samples. This
disparity is due to the diversity of possible antibodies generated to this
biochemically complex antigen, which may have different clinical associations.
The goal of this review is to summarize the current knowledge regarding the
clinical associations with a-dsDNA, highlight challenges in a-dsDNA testing, and
elucidate the reasons for discrepant results between methods or manufacturers.
PMID- 29807022
TI - The face of epicardial and endocardial derived cells in zebrafish.
AB - Zebrafish hearts can regenerate through activation of growth factors and trans
differentiation of fibroblasts, epicardial, myocardial and endocardial cells, all
positive for GATA4 during the process. A possible model of regeneration of the
whole heart and the regenerating cells in ex-vivo culture is presented here by a
stimulation of cocktail of growth factors. In ex-vivo growth-factors-supplemented
culture the heart regeneration was quite complete without signs of fibrosis.
Epicardial- and endocardial-derived cells have been analyzed by electron
microscopy evidencing two main types: 1) larger/prismatic and 2) small/rounded.
Type (1) showed on the surface protein-sculptures, while type(2) was smooth with
sparse globular proteins. To confirm their nature we have contemporarily analyzed
their proliferative capability and markers-positivity. The cells treated by
growth factors have at least two-fold more proliferation with GATA4-positivity.
The type (1) cell evidenced WT1+(marker of embryonic epicardium); the type (2)
showed NFTA2+(marker of embryonic endocardium); whereas cTNT-cardiotroponin was
negative. Under growth factors stimulation, GATA4+/WT1+ and GATA4+/NFTA2+ could
be suitable candidates to be the cells with capability to move in/out of the
tissue, probably by using their integrins, and it opens the possibility to have
long term selected culture to future characterization.
PMID- 29807023
TI - HuR translocation to the cytoplasm of cancer cells in actin-independent manner.
AB - Human antigen R (HuR) is a RNA-binding protein, which binds to the AU-rich
element (ARE) in the 3'-untranslated region (3'-UTR) of certain mRNA and is
involved in the export and stabilization of ARE-mRNA. HuR constitutively
relocates to the cytoplasm in many cancer cells, however the mechanism of
intracellular HuR trafficking is poorly understood. To address this question, we
examined the functional role of the cytoskeleton in HuR relocalization. We tested
the effect of actin depolymerizing macrolide latrunculin A or myosin II ATPase
activity inhibitor blebbistatin for HuR relocalization induced by the vasoactive
hormone Angiotensin II in cancer and control normal cells. Western blot and
confocal imaging data revealed that both inhibitors attenuated the cytoplasmic
HuR in normal cells but no such alteration was observed in cancer cells.
Concomitant with changes in intracellular HuR localization, both inhibitors
markedly decreased the accumulation and half-lives of HuR target ARE-mRNAs in
normal cells, whereas no change was observed in cancer cells. Furthermore, co
immunoprecipitation experiments with HuR proteins revealed clear physical
interaction with beta-actin only in normal cells. The current study is the first
to verify that cancer cells can implicate a microfilament independent HuR
transport. We hypothesized that when cytoskeleton structure is impaired, cancer
cells can acquire an alternative HuR trafficking strategy.
PMID- 29807025
TI - Dependence of absence seizure dynamics on physiological parameter evolution.
AB - A neural field model of the corticothalamic system is applied to investigate the
temporal and spectral characteristics of absence seizures in the presence of a
temporally varying connection strength between the cerebral cortex and thalamus.
Increasing connection strength drives the system into an absence seizure-like
state once a threshold is passed and a supercritical Hopf bifurcation occurs. The
dynamics and spectral characteristics of the resulting model seizures are
explored as functions of maximum connection strength, time above threshold, and
the rate at which the connection strength increases (ramp rate). Our results
enable spectral and temporal characteristics of seizures to be related to changes
in the underlying physiological evolution of connections via nonlinear dynamics
and neural field theory. Spectral analysis reveals that the power of the
harmonics and the duration of the oscillations increase as the maximum connection
strength and the time above threshold increase. It is also found that the time to
reach the stable limit-cycle seizure oscillation from the instability threshold
decreases with the square root of the ramp rate.
PMID- 29807026
TI - Spodoptera albula susceptibility to Bacillus thuringiensis-based biopesticides.
AB - Single concentration and virulence (mean lethal concentration) bioassays were
performed to evaluate the susceptibility of S. albula second instar larvae to
seven Bacillus thuringiensis-based biopesticides. Bioassays were conducted using
three replicates and repeated three times at 25 degrees C, 70 +/- 10% RH, and a
12:12 (light/dark) photoperiod; mortality was recorded seven days after
treatment. The results were subjected to a Tukey's test and Probit analysis.
Agree, DiPel SC, and XenTari achieved mortality rates of up to 80%, with the
first of these being the most virulent against S. albula. Different Dipel
formulations showed different degrees of larvicidal activity.
PMID- 29807024
TI - Beta1-adrenoceptor antagonist, metoprolol attenuates cardiac myocyte Ca2+
handling dysfunction in rats with pulmonary artery hypertension.
AB - Right heart failure is the major cause of death in Pulmonary Artery Hypertension
(PAH) patients but is not a current, specific therapeutic target. Pre-clinical
studies have shown that adrenoceptor blockade can improve cardiac function but
the mechanisms of action within right ventricular (RV) myocytes are unknown. We
tested whether the beta1-adrenoceptor blocker metoprolol could improve RV myocyte
function in an animal model of PAH, by attenuating adverse excitation-contraction
coupling remodeling. PAH with RV failure was induced in rats by monocrotaline
injection. When PAH was established, animals were given 10 mg/kg/day metoprolol
(MCT + BB) or vehicle (MCT). The median time to the onset of heart failure signs
was delayed from 23 days (MCT), to 31 days (MCT + BB). At 23 +/- 1 days post
injection, MCT + BB showed improved in vivo cardiac function, measured by
echocardiography. RV hypertrophy was reduced despite persistent elevated
afterload. RV myocyte contractility during field stimulation was improved at
higher pacing frequencies in MCT + BB. Preserved t-tubule structure, more uniform
evoked Ca2+ release, increased SERCA2a expression and faster ventricular
repolarization (measured in vivo by telemetry) may account for the improved
contractile function. Sarcoplasmic reticulum Ca2+ overload was prevented in MCT +
BB myocytes resulting in fewer spontaneous Ca2+ waves, with a lower pro
arrhythmic potential. Our novel finding of attenuation of defects in excitation
contraction coupling by beta1-adrenoceptor blockade with delays in the onset of
HF, identifies the RV as a promising therapeutic target in PAH. Moreover, our
data suggest existing therapies for left ventricular failure may also be
beneficial in PAH induced RV failure.
PMID- 29807027
TI - Ventilatory-depressant effects of opioids alone and in combination with
cannabinoids in rhesus monkeys.
AB - Pain is a serious health problem that is commonly treated with opioids, although
the doses of opioids needed to treat pain are often similar to those that
decrease respiration. Combining opioids with drugs that relieve pain through non
opioid mechanisms can decrease the doses of opioids needed for analgesia,
resulting in an improved therapeutic window, but only if the doses of opioids
that decrease respiration are not similarly decreased. Using small doses of
opioids to treat pain has the potential to reduce the number of overdoses and
deaths. This study investigated whether the cannabinoid receptor agonists Delta9
tetrahydrocannabinol (Delta9-THC) and CP 55,940 modify the ventilatory-depressant
effects of morphine and fentanyl in three monkeys. Ventilatory parameters,
including minute volume (VE), were monitored with a head plethysmograph. When
given alone, morphine (0.032 - 10 mg/kg) and fentanyl (0.00032 - 0.1 mg/kg) dose
dependently decreased VE. Doses of Delta9-THC (1 mg/kg) and CP 55,940 (0.01
mg/kg) that enhance the potency of opioids to produce antinociception modestly
decreased ventilation when given alone but did not significantly change morphine
or fentanyl dose-effect curves. A larger dose of CP 55,940 (0.032 mg/kg) shifted
the fentanyl dose-effect curve downward in two monkeys, without significantly
changing the morphine dose-effect curve. In summary, cannabinoid receptor
agonists, which increase the potency of opioids to produce antinociception, did
not increase their potency to depress ventilation. Thus, the therapeutic window
is greater for opioids when they are combined with cannabinoid receptor agonists,
indicating a possible advantage for these drug mixtures in treating pain.
PMID- 29807028
TI - Identification of compounds acting as negative allosteric modulators of the LPA1
receptor.
AB - The Lysophosphatidic Acid 1 Receptor (LPA1 receptor) has been linked to the
initiation and progression of a variety of poorly treated fibrotic conditions.
Several compounds that have been described as LPA1 receptor antagonists have
progressed into clinical trials: 1-(4-{4-[3-methyl-4-({[(1R)-1
phenylethoxy]carbonyl}amino)-1,2-oxazol-5-yl]phenyl}phenyl)cyclopropane-1
carboxylic acid (BMS-986202) and 2-{4-methoxy-3-[2-(3
methylphenyl)ethoxy]benzamido}-2,3-dihydro-1H-indene-2-carboxylic acid (SAR
100842). We considered that as LPA1 receptor function is involved in many normal
physiological processes, inhibition of specific signalling pathways associated
with fibrosis may be therapeutically advantageous. We compared the binding and
functional effects of a novel compound; 4-({(Cyclopropylmethyl)[4-(2
fluorophenoxy)benzoyl]amino}methyl}benzoic acid (TAK-615) with BMS-986202 and SAR
100842. Back-scattering interferometry (BSI) was used to show that the apparent
affinity of TAK-615 was enhanced in the presence of LPA. The binding signal for
BMS-986202 was not detected in the presence of LPA suggesting competition but
interestingly the apparent affinity of SAR-100842 was also enhanced in the
presence of LPA. Only BMS-986202 was able to fully inhibit the response to LPA in
calcium mobilisation, beta-arrestin, cAMP, GTPgammaS and RhoA functional assays.
TAK-615 and SAR-100842 showed different inhibitory profiles in the same
functional assays. Further binding studies indicated that TAK-615 is not
competitive with either SAR-100842 or BMS-986202, suggesting a different site of
binding. The results generated with this set of experiments demonstrate that TAK
615 acts as a negative allosteric modulator (NAM) of the LPA1 receptor.
Surprisingly we find that SAR-100842 also behaves like a NAM. BMS-986202 on the
other hand behaves like an orthosteric antagonist.
PMID- 29807029
TI - Involvement of mTOR, JNK and PI3K in the negative effect of ethanol and metformin
on the human first-trimester extravillous trophoblast HTR-8/SVneo cell line.
AB - Our aim was to investigate the effect of two xenobiotics to which pregnant woman
may be exposed, the drug of abuse ethanol (EtOH) (and its metabolite acetaldehyde
(ACA)) and the therapeutic agent metformin (METF), on placentation-related
processes in an extravillous trophoblastic (EVTs) cell line (HTR-8/SVneo cells).
EtOH, ACA and METF (24 h) significantly reduced cell proliferation rates, culture
growth, viability and migratory capacity of HTR-8/SVneo cells. Moreover, both
EtOH (100 MUM) and METF (1 mM) increased the apoptosis index and inhibited 3H
deoxy-D-glucose (3H-DG) and 3H-folic acid (3H-FA) uptake. mTOR, JNK and PI3K
intracellular signaling pathways were involved in the effect of EtOH upon 3H-FA
uptake and in the effect of METF upon cell viability, and mTOR and JNK in the
effect of EtOH upon cell viability and 3H-DG uptake. We show that EtOH and METF
have a detrimental effect in placentation-related processes of HTR-8/SVneo cells.
Moreover, mTOR, JNK and PI3K appear to mediate some of these negative effects.
PMID- 29807030
TI - Protective effect of propofol on ischemia-reperfusion injury detected by HPLC
MS/MS targeted metabolic profiling.
AB - Ischemia-reperfusion injury(IRI), described as tissue damage caused by reversible
ischemic injury or hypoxia prior to the blood supply restoration, is a common
pathological phenomenon. In recent study, a hypoxia-reoxygenation (H/R) in the
presence or absence of propofol posthypoxia treatment (P-PostH) cell model was
built to simulate the condition of IRI, and researchers found propofol may
protect cells by suppressing autophagic cell death. To investigate the mechanism
underling the protective effect of propofol. A metabolomic analysis was performed
in this study using ultra performance liquid chromatography/quadrupole time-of
flight mass spectrometry (UPLC/Q-TOF- MS) to compare the metabolism during the
process of H/R in the presence or absence of P-PostH. A total of 22 metabolites
were detected varied after propofol posthypoxia treatment. Pathway analysis
revealed these metabolites were mainly involved in the purine metabolic pathway,
three carboxylic acid metabolic pathways, alanine, aspartate and glutamate
metabolism pathway and lipid metabolism pathway. We measured the level of
Hypoxanthine to verify the metabolomics work, for pathway analysis, we detect the
level of reactive oxygen species with H/R and P-PostH treatment. Our study
achieved a global comparison of metabolism profiling of H/R cell model with or
without propofol posthypoxic treatment. The result indicated that propofol can
attenuate endothelial injury caused by IRI by reducing oxidative damage.
PMID- 29807031
TI - Neuroprotective mechanisms of sildenafil and selenium in PTZ-kindling model:
Implications in epilepsy.
AB - Epilepsy is one of the furthermost common neurodegenerative diseases affecting
above 50 million individuals worldwide. The pathogenesis of epileptic seizures is
not satisfactorily explored, and hence more effective anti-convulsive therapies
are indispensable. Current study aimed to investigate the mechanisms of the
potential neuroprotective effects of sildenafil/selenium on chemically-induced
convulsions in mice. Kindling model was induced using pentylenetetrazol (PTZ; 35
mg/Kg, 11 doses, intraperitoneally, every other day). PTZ-insulted groups were
treated intraperitoneally with sildenafil (20 mg/Kg), selenium (0.2 mg/Kg) or
their combination; 30 min before PTZ administration. PTZ-kindled model showed a
significant loss of neuronal cells concurrently with nitrative/oxidative stress
and lipid peroxidation. This was associated with enhanced expression of inducible
nitric oxide synthase (iNOS), hemeoxygenase-1 (HO-1) and vascular endothelial
growth factor (VEGF) along with increased activity of thioredoxin reductase
(TrxR) in hippocampal tissue. Individual treatment with sildenafil or selenium
showed partial neuroprotection, simultaneously with lower hippocampal expression
of 4-hydroneonenal (4-HNE), nitrotyrosine, iNOS and HO-1, yet without reaching
normal levels. Sildenafil, but not selenium, enhanced the expression of VEGF and
the endothelial cell marker CD34. The joint treatment with sildenafil and
selenium preserved hippocampal neuronal count, improved kindling score, blunted
lipid peroxides and nitrotyrosine levels, concomitantly with iNOS inhibition,
normalization of TrxR activity and HO-1 expression, and evident neo-angiogenesis.
Current study demonstrated the roles of several central signalling cascades in
the sildenafil/selenium-evoked neuroprotection represented in, at least in part,
amelioration of nitrative/oxidative stress alongside modulation of angiogenesis.
Thus, sildenafil combined with selenium could be repurposed as a potential
therapeutic regimen for delaying epilepsy progression.
PMID- 29807032
TI - Corticotropin-releasing factor regulates caspase-3 and may protect developing
zebrafish from stress-induced apoptosis.
AB - The corticotropin-releasing factor (CRF) system is expressed in the earliest
stages of zebrafish development, long before its canonical function in the
endocrine stress response is realized, and yet its function during embryogenesis
is unknown. We tested the hypothesis that CRF protects embryos from stress
induced apoptosis. Here we confirm that a 1 h heat shock applied at either 6 h
post-fertilization (hpf) or 30 hpf elicits an increase in caspase-3 activity, a
key effector of apoptosis. Temporal changes in the expression of crf and its
binding protein (crf-bp) during recovery from heat shock indicate that the CRF
system is responsive to stressors experienced as early as gastrulation. Next, we
heat shocked embryos that were microinjected with crf mRNA, and showed that
caspase-3 induction is significantly reduced in embryos that overexpress CRF
relative to control embryos. In addition, incubating embryos in the presence of
the CRF receptor type 1 (CRF-R1) antagonist, antalarmin, during recovery from
heat shock significantly increased caspase-3 activity, suggesting that CRF
regulates caspase-3 via a CRF-R1-dependent pathway. Finally, we show that most
heat shock-induced mortality occurred during the first hour of recovery, long
before a significant increase in caspase-3 activity was detected. Indeed, the
delayed caspase-3 induction coincided with a mortality plateau, and neither CRF
overexpression nor antalarmin treatment altered heat shock induced mortality,
supporting previous in vitro evidence that CRF-mediated cytoprotection occurs
through the slow and tightly controlled apoptotic pathway. This study provides
novel in vivo evidence that CRF regulates stress-induced apoptosis in a
vertebrate model species, and demonstrates for the first time a function for the
CRF system in early development that precedes its role in the endocrine stress
response.
PMID- 29807033
TI - Sex and seasonal differences in mRNA expression of estrogen receptor alpha (ESR1)
in red-sided garter snakes (Thamnophis sirtalis parietalis).
AB - Estrogens are important regulators of reproductive physiology including sexual
signal expression and vitellogenesis. For the regulation to occur, the hormone
must bind and activate receptors in target tissues, and expression of the
receptors can vary by sex and/or season. By simultaneously comparing circulating
hormone levels with receptor expression, a more complete understanding of hormone
action can be gained. Our study species, the red-sided garter snake (Thamnophis
sirtalis parietalis), provides an excellent opportunity to study the interaction
between sex steroid hormones and receptor expression in addition to sexual
dimorphism and seasonality. During the spring mating season, male garter snakes
rely exclusively on the female's skin-based, estrogen-dependent sex pheromone to
direct courtship. Males can be stimulated to produce this sexual attractiveness
pheromone by treatment with estradiol (E2), which also induces male
vitellogenesis. Estrogen receptors (ESRs) are required to transduce the effects
of estrogens, thus we used quantitative RT-PCR to analyze expression of ESR alpha
(ERalpha; gene ESR1) mRNA in the skin and liver of wild caught male and female
garter snakes across simulated spring and fall conditions in the laboratory.
While ESR1 was present in the skin of both sexes, there were no sex or seasonal
differences in expression levels. Liver expression of ESR1, however, was sexually
dimorphic, with females showing greatest expression in fall when circulating E2
concentrations were lowest. There were no statistically significant correlations
between E2 and ESR1 expression. Our data suggest that the skin of both sexes is
sensitive to estrogen signaling and thus the production of sex pheromone is
dependent on bioavailable levels of E2. Female expression of ESR1 in the liver
may increase in the fall to prime energy storage mechanisms required for
vitellogenesis the following year.
PMID- 29807034
TI - Seasonal testosterone and corticosterone patterns in relation to body condition
and reproduction in a subtropical pitviper, Sistrurus miliarius.
AB - Seasonal constraints on the timing and intensity of reproductive events shape
observed variation in life history strategies across latitudes. Selection acts on
the endocrine mechanisms that underlie reproductive investment. It is therefore
important to examine the seasonal relationship between hormones and reproduction
in geographically and phylogenetically diverse taxa. Snakes have proven to be a
valuable model in investigations of seasonal hormone production and behavior in
field-active vertebrates, but most research has focused on temperate populations
from highly seasonal environments. To reduce this bias, we provide a description
of the seasonal relationships among testosterone, corticosterone, body condition,
and reproductive behavior in a subtropical population of Pygmy Rattlesnakes,
Sistrurus miliarius. In central Florida, Sistrurus miliarius exhibits a prolonged
breeding season (September-January) compared to most temperate zone snakes.
Despite the extended breeding season, the pattern of testosterone in the
population was highly seasonal and very similar to temperate pitvipers with a
shorter mating season. Testosterone declined steadily through the mating season,
but males sampled while engaging in mating behaviors had higher testosterone
compared to solitary males throughout the mating season. Testosterone was
negatively related to corticosterone throughout the breeding season and during
times of year when the gonads were presumed to be quiescent and no mating
behavior was observed. Testosterone was positively related to individual body
condition both within and outside of the breeding season. A review of the
literature reveals no consistent pattern regarding the relationship between
corticosterone and testosterone in snakes, but suggests that the condition
dependence of steroid production may be consistent across snake taxa.
PMID- 29807035
TI - Testosterone production and social environment vary with breeding stage in a
competitive female songbird.
AB - In many vertebrates, males increase circulating testosterone (T) levels in
response to seasonal and social changes in competition. Females are also capable
of producing and responding to T, but the full extent to which they can elevate T
across life history stages remains unclear. Here we investigated T production
during various breeding stages in female tree swallows (Tachycineta bicolor),
which face intense competition for nesting sites. We performed GnRH and saline
injections and compared changes in T levels 30 min before and after injection. We
found that GnRH-injected females showed the greatest increases in T during
territory establishment and pre-laying stages, whereas saline controls
dramatically decreased T production during this time. We also observed elevated
rates of conspecific aggression during these early stages of breeding. During
incubation and provisioning, however, T levels and T production capabilities
declined. Given that high T can disrupt maternal care, an inability to elevate T
levels in later breeding stages may be adaptive. Our results highlight the
importance of saline controls for contextualizing T production capabilities, and
they also suggest that social modulation of T is a potential mechanism by which
females may respond to competition, but only during the period of time when
competition is most intense. These findings have broad implications for
understanding how females can respond to their social environment and how
selection may have shaped these hormone-behavior interactions.
PMID- 29807037
TI - Hair and salivary cortisol in a cohort of women with chronic fatigue syndrome.
AB - Hypocortisolism has been found in CFS patients in blood, urine, and saliva. It is
unclear if hypocortisolism can also be demonstrated using long-term cortisol
measurements, such as cortisol in hair. In addition, the interaction between the
HPA axis and the immune system, both expected to play an important role in CFS,
is unclear. The objective of the current study was to compare hair and salivary
cortisol concentrations in a cohort of female CFS patients to those in healthy
controls, and to test the effect of an interleukin-1 receptor antagonist
(anakinra) on the HPA axis. Salivary cortisol concentrations of 107 CFS patients
were compared to 59 healthy controls, with CFS patients showing a decreased
cortisol awakening response (4.2 nmol/L +/- 5.4 vs 6.1 nmol/L +/- 6.3, p =
0.036). Total cortisol output during the day did not differ significantly in
saliva, but there was a trend to lower hair cortisol in a subset of 46 patients
compared to 46 controls (3.8 pg/mg +/- 2.1 vs 4.3 pg/mg +/- 1.8, p = 0.062).
After four weeks of treatment with either daily anakinra (100 mg/day) or placebo,
there was a slight decrease of hair cortisol concentrations in the anakinra group
compared to an increase in the placebo group (p = 0.022). This study confirms the
altered dynamics of the HPA axis in a group of CFS patients, and for the first
time shows that this might also be present for long-term cortisol measures.
PMID- 29807038
TI - Does qualitative examination of Elongated Mineral Particles (EMP) recovered from
human and animal lungs provide reliable information on their carcinogenic and
other effects?
PMID- 29807036
TI - Selective activation of estrogen receptors, ERalpha and GPER-1, rapidly decreases
food intake in female rats.
AB - Many of estradiol's behavioral effects are mediated, at least partially, via
extra-nuclear estradiol signaling. Here, we investigated whether two estrogen
receptor (ER) agonists, targeting ERalpha and G protein-coupled ER-1 (GPER-1),
can promote rapid anorexigenic effects. Food intake was measured in
ovariectomized (OVX) rats at 1, 2, 4, and 22 h following subcutaneous (s.c.)
injection of an ERalpha agonist (PPT; 0-200 MUg/kg), a GPER-1 agonist (G-1; 0
1600 MUg/kg), and a GPER-1 antagonist (G-36; 0-80 MUg/kg). To investigate
possible cross-talk between ERalpha and GPER-1, we examined whether GPER-1
blockade affects the anorexigenic effect of PPT. Feeding was monitored in OVX
rats that received s.c. injections of vehicle or 40 MUg/kg G-36 followed 30 min
later by s.c. injections of vehicle or 200 MUg/kg PPT. Selective activation of
ERalpha and GPER-1 alone decreased food intake within 1 h of drug treatment, and
feeding remained suppressed for 22 h following PPT treatment and 4 h following G
1 treatment. Acute administration of G-36 alone did not suppress feeding at any
time point. Blockade of GPER-1 attenuated PPT's rapid (within 1 h) anorexigenic
effect, but did not modulate PPT's ability to suppress food intake at 2, 4 and 22
h. These findings demonstrate that selective activation of ERalpha produces a
rapid (within 1 h) decrease in food intake that is best explained by a non
genomic signaling pathway and thus implicates the involvement of extra-nuclear
ERalpha. Our findings also provide evidence that activation of GPER-1 is both
sufficient to suppress feeding and necessary for PPT's rapid anorexigenic effect.
PMID- 29807039
TI - Large-scale screening of circulating microRNAs in individuals with HIV-1 mono
infections reveals specific liver damage signatures.
AB - Human immunodeficiency virus type 1 (HIV-1)-induced inflammation and/or long-term
antiretroviral drug toxicity may contribute to the evolution of liver disease. We
investigated circulating plasma microRNAs (miRNAs) as potential biomarkers of
liver injury in patients mono-infected with HIV-1. We performed large-scale deep
sequencing analyses of small RNA level on plasma samples from patients with HIV-1
mono-infection that had elevated or normal levels of alanine aminotransferase
(ALT) or focal nodular hyperplasia (FNH). Hepatitis C virus (HCV) mono-infected
patients were also studied. Compared to healthy donors, patients with HIV-1 or
HCV mono-infections showed significantly altered (fold change >2, adjusted p <
0.05) level of 25 and 70 miRNAs, respectively. Of the 25 altered miRNAs found in
patients with HIV-1, 19 were also found in patients mono-infected with HCV.
Moreover, 13 of the 14 most up-regulated miRNAs (range: 9.3-3.4-fold increase) in
patients with HCV mono-infections were also up-regulated in patients with HIV-1
mono-infections. Importantly, most of these miRNAs significantly and positively
correlated with ALT and aspartate aminotransferase (AST) levels, and liver
fibrosis stage (p < 0.05). MiR-122-3p and miR-193b-5p were highly up-regulated
HIV-1 mono-infected patients with elevated ALT or FNH, but not in HIV-1 patients
with normal levels of ALT. These results reveal that HIV-1 infections impacted
liver-related miRNA levels in the absence of an HCV co-infection, which
highlights the potential of miRNAs as biomarkers for the progression of liver
injury in HIV-1 infected patients.
PMID- 29807040
TI - Structure-activity relationship study of itraconazole, a broad-range inhibitor of
picornavirus replication that targets oxysterol-binding protein (OSBP).
AB - Itraconazole (ITZ) is a well-known, FDA-approved antifungal drug that is also in
clinical trials for its anticancer activity. ITZ exerts its anticancer activity
through several disparate targets and pathways. ITZ inhibits angiogenesis by
hampering the functioning of the vascular endothelial growth receptor 2 (VEGFR2)
and by indirectly inhibiting mTOR signaling. Furthermore, ITZ directly inhibits
the growth of several types of tumor cells by antagonizing Hedgehog signaling.
Recently, we reported that ITZ also has broad-spectrum antiviral activity against
enteroviruses, cardioviruses and hepatitis C virus, independent of established
ITZ-activities but instead via a novel target, oxysterol-binding protein (OSBP),
a cellular lipid shuttling protein. In this study, we analyzed which structural
features of ITZ are important for the OSBP-mediated antiviral activity. The
backbone structure, consisting of five rings, and the sec-butyl chain are
important for antiviral activity, whereas the triazole moiety, which is critical
for antifungal activity, is not. The features required for OSBP-mediated
antiviral activity of ITZ overlap mostly with published features required for
inhibition of VEGFR2 trafficking, but not Hh signaling. Furthermore, we use in
silico studies to explore how ITZ could bind to OSBP. Our data show that several
pharmacological activities of ITZ can be uncoupled, which is a critical step in
the development of ITZ-based antiviral compounds with greater specificity and
reduced off-target effects.
PMID- 29807041
TI - Bombyx mori nucleopolyhedrovirus utilizes a clathrin and dynamin dependent
endocytosis entry pathway into BmN cells.
AB - Bombyx mori nucleopolyhedrovirus (BmNPV) is a leading cause of silkworm mortality
and economic loss to sericulture. The entry of BmNPV budded virus (BV) into host
cells is a fundamental process required for the initiation of infection. However,
our understanding of the mechanism of virus entry is limited and it is unclear
whether BV enter BmN cells via clathrin-mediated endocytosis. In this study, we
found that BV enter BmN cells through a low-pH-dependent endocytosis pathway.
Inhibition assays, transmission electron microscopy (TEM) analysis, and small
interfering RNAs (siRNAs) knockdown assays revealed that BV entry into BmN cells
is mediated by clathrin-dependent endocytosis. Moreover, after treated with
dynasore, an inhibitor of dynamin, BmNPV entry was markedly reduced, indicating
that dynamin also participates in the efficient internalization of BmNPV. In
addition, suppression of Rab5, Rab7 or Rab11 through siRNAs demonstrated that BV
requires early and late endosomes for endocytosis in infection of BmN cells.
Taken together, BmNPV uses a clathrin- and dynamin-mediated endocytic pathway
into BmN cells that requires participation of Rab5 and Rab7 but not Rab11.
PMID- 29807043
TI - Mobile macrolide resistance genes in staphylococci.
AB - Macrolide resistance in staphylococci is based on the expression of a number of
genes which specify four major resistance mechanisms: (i) target site
modification by methylation of the ribosomal target site in the 23S rRNA, (ii)
ribosome protection via ABC-F proteins, (iii) active efflux via Major Facilitator
Superfamily (MFS) transporters, and (iv) enzymatic inactivation by
phosphotransferases or esterases. So far, 14 different classes of erm genes,
which code for 23S rRNA methylases, have been reported to occur in staphylococci
from humans, animals and environmental sources. Inducible or constitutive
expression of the erm genes depends on the presence and intactness of a
regulatory region known as translational attenuator. The erm genes commonly
confer resistance not only to macrolides, but also to lincosamides and
streptogramin B compounds. In contrast, the msr(A) gene codes for an ABC-F
protein which confers macrolide and streptogramin B resistance whereas the mef(A)
gene codes for a Major Facilitator Superfamily protein that can export only
macrolides. Enzymatic inactivation of macrolides may be due to the macrolide
phosphotransferase gene mph(C) or the macrolide esterase genes ere(A) or ere(B).
Many of these macrolide resistance genes are part of either plasmids,
transposons, genomic islands or prophages and as such, can easily be transferred
across strain, species and genus boundaries. The co-location of other
antimicrobial or metal resistance genes on the same mobile genetic element
facilitates co-selection and persistence of macrolide resistance genes under the
selective pressure of metals or other antimicrobial agents.
PMID- 29807042
TI - Development and optimization of a direct plaque assay for trypsin-dependent human
metapneumovirus strains.
AB - Human metapneumovirus (HMPV) is a non-segmented, negative strand RNA virus
belonging to the family Pneumoviridae, previously a subfamily of Paramyxoviridae.
It is a leading cause of lower respiratory tract infection in infants, children,
and adults with underlying medical conditions. HMPV grows poorly in cell culture
and requires trypsin to cleave and mature the virus particles, which adds to the
challenge of HMPV research. Currently, an indirect immuno-staining assay is
commonly used to titrate HMPV, which is time-consuming and costly. In order to
simplify virus quantification for HMPV, a direct plaque assay was developed. By
optimizing trypsin concentration and other supplements in the agarose overlay, it
was found that HMPV strains from all four subgroups formed clear and countable
plaques 5-7 days post-infection. Animal tissue homogenate can also be directly
titrated with this assay. Compared with the traditional assay, the direct plaque
assay yields similar titer result, but saves time and eliminates the use of
antibodies. Potentially, it can also be applied to plaque purification for HMPV
clinical isolates. The direct plaque assay will be a valuable tool in HMPV
research.
PMID- 29807044
TI - Mitochondria in cancer metabolism, an organelle whose time has come?
AB - Mitochondria have long been controversial organelles in cancer. Early discoveries
in cancer metabolism placed much emphasis on cytosolic contributions. Initial
debate focused on if mitochondria had a role in cancer formation and progression
at all. More recently the contributions of mitochondria to cancer development and
progression have become firmly established. This has led to the identification of
novel targets and inhibitors being studied as new therapeutic approaches. This
review will summarize the role of mitochondria in cancer and highlight several
agents under development.
PMID- 29807045
TI - Androgen blockade based clinical trials landscape in triple negative breast
cancer.
AB - Androgen receptor (AR) targeted treatment has shown promising preliminary results
in triple negative breast cancer (TNBC). Identification of AR-associated
signaling pathways is of great significance for in-depth understanding of their
roles in pathogenesis of TNBC. To meet this objective, preclinical and clinical
studies were conducted to clarify the biological interactions of AR signaling and
combination strategies based on AR-targeted therapy. Biologically, AR signaling
in TNBC which not only interacts with a network of key pathways, involving
PI3K/AKT/mTOR, cell cycle, and DNA damage repair pathways, but mediates pivotal
processes of tumor initiation and immunogenic modulation, may present an
opportunity to overcome the insensitivity of single AR-targeted therapy. Research
in investigating androgen-blockade based combination therapy in this aggressive
tumor has demonstrated promising benefit in preclinical studies, and comparable
clinical trials of combined strategies with CDK4/6 inhibitors, PI3K inhibition,
chemotherapy, and immunotherapy, are ongoing. Accordingly, clinical
interpretation of AR-related biological interactions, aiming at combined blockade
of the signaling pathways may pave a new way for endocrine-based therapy in the
treatment of TNBC.
PMID- 29807046
TI - Growing Teratoma Syndrome of Testicular Origin.
PMID- 29807047
TI - Combined Bladder Neck Reconstruction and Continent Stoma Creation as a Suitable
Alternative for Continence in Bladder Exstrophy: A Preliminary Report.
AB - OBJECTIVE: To explore the use of concomitant bladder neck reconstruction (BNR)
and creation of a continent stoma (CS) in patients who are not quite eligible for
BNR but still strongly desire volitional voiding. METHODS: The authors
retrospectively reviewed an institutional database of patients with exstrophy
epispadias complex who underwent BNR-CS between 2000 and 2015. Indications for a
BNR-CS, perioperative outcomes, and continence status were evaluated. Method of
voiding and continence status were analyzed for patients with greater than 6
months of follow-up after the BNR-CS. RESULTS: A total of 24 patients with
exstrophy-epispadias complex (15 male and 9 female) underwent BNR-CS at a median
age of 8.9 years (range 5.4-17.4). This included 18 patients with classic bladder
exstrophy, 5 with epispadias, and 1 with a cloacal exstrophy variant. There were
5 surgical complications (20.1%) following the BNR-CS, including 3 febrile
urinary tract infections, 1 superficial wound infection, and 1 urethrocutaneous
fistula. The median follow-up time from the time of BNR-CS was 1.1 years (range
0.1-14.1). Seventeen of 24 patients (71%) had a follow-up greater than 6 months
and were evaluated for continence. Twelve patients (71%) were completely dry for
intervals greater than 3 hours following BNR-CS. Five (29%) did not achieve
continence with BNR-CS. Of those 5 patients, 3 (60%) underwent subsequent bladder
neck transection. CONCLUSION: Combined BNR and CS is a suitable alternative to
achieve urinary continence in patients who are not ideal candidates for BNR
alone. This approach can offer a select group of patients the opportunity for
volitional voiding.
PMID- 29807048
TI - Portfolio Dietary Pattern and Cardiovascular Disease: A Systematic Review and
Meta-analysis of Controlled Trials.
AB - BACKGROUND: The evidence for the Portfolio dietary pattern, a plant-based dietary
pattern that combines recognized cholesterol-lowering foods (nuts, plant protein,
viscous fibre, plant sterols), has not been summarized. OBJECTIVE: To update the
European Association for the Study of Diabetes clinical practice guidelines for
nutrition therapy, we conducted a systematic review and meta-analysis of
controlled trials using GRADE of the effect of the Portfolio dietary pattern on
the primary therapeutic lipid target for cardiovascular disease prevention, low
density lipoprotein cholesterol (LDL-C), and other established cardiometabolic
risk factors. METHODS: We searched MEDLINE, EMBASE, and The Cochrane Library
through April 19, 2018. We included controlled trials >= 3-weeks assessing the
effect of the Portfolio dietary pattern on cardiometabolic risk factors compared
with an energy-matched control diet free of Portfolio dietary pattern components.
Two independent reviewers extracted data and assessed risk of bias. The primary
outcome was LDL-C. Data were pooled using the generic inverse-variance method and
expressed as mean differences (MDs) with 95% confidence intervals (CIs).
Heterogeneity was assessed (Cochran Q statistic) and quantified (I2-statistic).
GRADE assessed the certainty of the evidence. RESULTS: Eligibility criteria were
met by 7 trial comparisons in 439 participants with hyperlipidemia, in which the
Portfolio dietary pattern was given on a background of a National Cholesterol
Education Program (NCEP) Step II diet. The combination of a portfolio dietary
pattern and NCEP Step II diet significantly reduced the primary outcome LDL-C by
~17% (MD, -0.73 mmol/L, [95% CI, -0.89 to -0.56 mmol/L]) as well as non-high
density lipoprotein cholesterol, apolipoprotein B, total cholesterol,
triglycerides, systolic and diastolic blood pressure, C-reactive protein, and
estimated 10-year coronary heart disease (CHD) risk, compared with an NCEP Step 2
diet alone (p < 0.05). There was no effect on high-density lipoprotein
cholesterol or body weight. The certainty of the evidence was high for LDL
cholesterol and most lipid outcomes and moderate for all others outcomes.
CONCLUSIONS: Current evidence demonstrates that the Portfolio dietary pattern
leads to clinically meaningful improvements in LDL-C as well as other established
cardiometabolic risk factors and estimated 10-year CHD risk.
PMID- 29807049
TI - Improving cellulosic ethanol fermentability of Zymomonas mobilis by
overexpression of sodium ion tolerance gene ZMO0119.
AB - Inhibition of sodium ion (Na+) on Zymomonas mobilis represents an important
obstacle for efficient cellulosic ethanol production. This study screened and
overexpressed the genes responsible for transporting metal ions in Z. mobilis for
increasing its Na+ tolerance. The ZMO0119 gene encoding Na+/H+ antiporter was
identified to be highly effective for reducing intracellular Na+ concentration of
Z. mobilis by improving the Na+ transport capacity. Overexpression of ZMO0119
gene in Z. mobilis significantly accelerated the cell growth, glucose
consumption, and cellulosic ethanol production from the dry acid pretreated and
biodetoxified corn stover feedstock. This study provided an important gene
responsible for increasing the cellulosic ethanol fermentability by Z. mobilis.
PMID- 29807050
TI - A comparative study of structure, stability and function of sc-tenecteplase in
the presence of stabilizing osmolytes.
AB - The aim of the present study was to investigate the effect of three routine drug
excipients, as osmolytes, in three different concentrations, on structure,
thermal stability and the activity of single-chain (sc-) tenecteplase. To see the
influence of trehalose, mannitol, and sucrose on the structure, stability and
function of sc-tenecteplase, thermal stability, fluorescence, circular dichroism
(CD) and enzyme kinetic measurements and molecular docking studies were carried
out. To measure the effect of osmolytes on stability of sc-tenecteplase,
thermodynamic parameters (transition temperature (Tm), standard enthalpy change
(DeltaH degrees ), standard entropy change (DeltaS degrees ) and DeltaG degrees ,
the standard Gibbs free energy change, were determined from heat-induced
transition curves of the protein in absence and presence of each osmolyte. It was
observed that all three osmolytes acted as an enhancer for the sc-tenecteplase
stability, with varying efficacies and efficiencies. The results of the kinetic
study showed that the activity of sc-tenecteplase is increased in the presence of
osmolytes. The near-UV and far-UV CD studies showed transfer of Trp, Phe and Tyr
residues to a more flexible environment in the presence of osmolytes. The sc
tenecteplase fluorescence quenching suggested the more polar location of Trp
residues. Molecular docking studies revealed that (i) Gibbs free energy of
interaction between the osmolyte and sc-tenecteplase is negative, and (ii)
hydrogen bond and hydrophobic interactions dominate within the interaction sites.
PMID- 29807051
TI - Improving the comprehension of sarcopenic state determinants: An multivariate
approach involving hormonal, nutritional, lifestyle and genetic variables.
AB - It is known that sarcopenia is a multifaceted phenomenon, which involves genetic,
nutritional, hormonal and living habits aspects. Then, an integrated analysis, as
a multivariate approach, could improve the comprehension about the determinants
of sarcopenic state in old adults. The present study aimed to investigate the
interaction among serum vitamin D, daily caloric and protein intake, lifestyle
habits, ACE I/D gene polymorphism and sarcopenic state in community-dwelling old
adults. One hundred one community-dwelling old adults were clinically stratified
as sarcopenic or non-sarcopenic. Serum vitamin D, daily caloric and protein
intake, lifestyle habits (smoking, physical activity level and sedentary
behavior) and ACE I/D gene polymorphism were recorded. A multivariate logistic
regression technique was applied to investigate the interaction among the
selected independent variables and the sarcopenic state. The independent
variables age, smoking, serum Vitamin D and ACE I/D polymorphism achieved the
statistical criteria to be inserted in the multivariate analysis. After a
stepwise procedure from the multivariate logistic regression, the variables age,
serum Vitamin D and ACE I/D polymorphism remained, together, in the final model.
Sarcopenic state was significantly associated to older age, II-genotype and low
serum Vitamin D in old adults from 60 years old.
PMID- 29807053
TI - c-Abl phosphorylation of Yin Yang 1's conserved tyrosine 254 in the spacer region
modulates its transcriptional activity.
AB - Yin Yang 1 (YY1) is a multifunctional transcription factor that can activate or
repress transcription depending on the promotor and/or the co-factors recruited.
YY1 is phosphorylated in various signaling pathways and is critical for different
biological functions including embryogenesis, apoptosis, proliferation, cell
cycle regulation and tumorigenesis. Here we report that YY1 is a substrate for c
Abl kinase phosphorylation at conserved residue Y254 in the spacer region.
Pharmacological inhibition of c-Abl kinase by imatinib, nilotinib and GZD824,
knock-down of c-Abl using siRNA, and the use of c-Abl kinase-dead drastically
reduces tyrosine phosphorylation of YY1. Both radioactive and non-radioactive in
vitro kinase assays, as well as co-immunoprecipitation in different cell lines,
show that the target of c-Abl phosphorylation is tyrosine residue 254. c-Abl
phosphorylation has little effect on YY1 DNA binding ability or cellular
localization in asynchronous cells. However, functional studies reveal that c-Abl
mediated phosphorylation of YY1 regulates YY1's transcriptional ability in vivo.
In conclusion, we demonstrate the novel role of c-Abl kinase in regulation of
YY1's transcriptional activity, linking YY1 regulation with c-Abl tyrosine kinase
signaling pathways.
PMID- 29807052
TI - Frequent expression of somatostatin receptor 2a in olfactory neuroblastomas: a
new and distinctive feature.
AB - Olfactory neuroblastoma (ONB) is a malignant neuroendocrine neoplasm with a
usually slow course, but with considerable recurrence rate. Many neuroendocrine
tumors have shown good response to the treatment with somatostatin analogs and
somatostatin radioreceptor therapy. In ONBs, there are scarce data on
somatostatin-based treatment and the cellular expression of somatostatin
receptors (SSTR), the prerequisite for binding and effect of somatostatin on
normal and tumor cells. The aim of our study was to investigate the
immunohistochemical expression of SSTR2A and SSTR5 in a cohort of 40 ONBs. In
addition, tissue microarrays containing 40 high-grade sinonasal carcinomas as
well as 6 sinonasal lymphomas, 3 rhabdomyosarcomas, and 3 Ewing sarcomas were
evaluated. Volante system was applied for staining evaluation. Thirty cases (75%)
were immunopositive for SSTR2A and 3 (7.5%) for SSTR5. Among the 30 SSTR2A
positive ONBs, 19 tumors (63.3%) scored 2+ and 11 (36.7%) scored 3+. All SSTR5
positive ONBs scored 2+. Neither sinonasal carcinomas nor sinonasal small round
blue cell neoplasms expressed SSTR2A or SSTR5. The frequent expression of SSTR2A
provides a rationale for radioreceptor diagnosis and therapy with SST analogs in
ONBs. SSTR2A expression in ONBs is a helpful adjunct in the differential
diagnosis of ONBs.
PMID- 29807054
TI - Self-selected music-induced reduction of perceived exertion during moderate
intensity exercise does not interfere with post-exercise improvements in
inhibitory control.
AB - Acute aerobic exercise improves inhibitory control (IC). This improvement is
often associated with increases in perceived exertion during exercise. However,
listening to music during aerobic exercise mitigates an exercise-induced increase
in perceived exertion. Thus, it is hypothesized that such effects of music may
interfere with exercise-induced improvements in IC. To test this hypothesis, we
examined the effect of music on post-exercise IC improvements that were induced
by moderate-intensity exercise. Fifteen healthy young men performed cycle
ergometer exercise with music or non-music. The exercise was performed using a
moderate-intensity of 60% of VO2 peak for 30 min. The music condition was
performed while listening to self-selected music. The non-music condition
involved no music. To evaluate IC, the Stroop task was administered before
exercise, immediately after exercise, and during the 30-min post-exercise
recovery period. The rate of perceived exertion immediately before moderate
intensity exercise completed was significantly lower in music condition than in
non-music condition. The IC significantly improved immediately after exercise and
during the post-exercise recovery period compared to before exercise in both
music and non-music conditions. The post-exercise IC improvements did not
significantly differ between the two conditions. These findings indicate that
self-selected music-induced mitigation of the increase in perceived exertion
during moderate-intensity exercise dose not interfere with exercise-induced
improvements in IC. Therefore, we suggest that listening to music may be a
beneficial strategy in mitigating the increase in perceived exertion during
aerobic exercise without decreasing the positive effects on IC.
PMID- 29807056
TI - Advances in stroke pharmacology.
AB - Stroke occurs when a cerebral blood vessel is blocked or ruptured, and it is the
major cause of death and adult disability worldwide. Various pharmacological
agents have been developed for the treatment of stroke either through
interrupting the molecular pathways leading to neuronal death or enhancing
neuronal survival and regeneration. Except for rtPA, few of these agents have
succeeded in clinical trials. Recently, with the understanding of the
pathophysiological process of stroke, there is a resurrection of research on
developing neuroprotective agents for stroke treatment, and novel molecular
targets for neuroprotection and neurorestoration have been discovered to predict
or offer clinical benefits. Here we review the latest major progress of
pharmacological studies in stroke, especially in ischemic stroke; summarize
emerging potential therapeutic mechanisms; and highlight recent clinical trials.
The aim of this review is to provide a panorama of pharmacological interventions
for stroke and bridge basic and translational research to guide the clinical
management of stroke therapy.
PMID- 29807057
TI - Pharmacological modulation of the CO2/HCO3-/pH-, calcium-, and ATP-sensing
soluble adenylyl cyclase.
AB - Cyclic AMP (cAMP), the prototypical second messenger, has been implicated in a
wide variety of (often opposing) physiological processes. It simultaneously
mediates multiple, diverse processes, often within a single cell, by acting
locally within independently-regulated and spatially-restricted microdomains.
Within each microdomain, the level of cAMP will be dependent upon the balance
between its synthesis by adenylyl cyclases and its degradation by
phosphodiesterases (PDEs). In mammalian cells, there are many PDE isoforms and
two types of adenylyl cyclases; the G protein regulated transmembrane adenylyl
cyclases (tmACs) and the CO2/HCO3-/pH-, calcium-, and ATP-sensing soluble
adenylyl cyclase (sAC). Discriminating the roles of individual cyclic nucleotide
microdomains requires pharmacological modulators selective for the various PDEs
and/or adenylyl cyclases. Such tools present an opportunity to develop
therapeutics specifically targeted to individual cAMP dependent pathways. The
pharmacological modulators of tmACs have recently been reviewed, and in this
review, we describe the current status of pharmacological tools available for
studying sAC.
PMID- 29807058
TI - Platelet-rich plasma for the treatment of lichen planopilaris.
PMID- 29807055
TI - Vascular effects of apelin: Mechanisms and therapeutic potential.
AB - Apelin is a vasoactive peptide and is an endogenous ligand for APJ receptors,
which are widely expressed in blood vessels, heart, and cardiovascular regulatory
regions of the brain. A growing body of evidence now demonstrates a regulatory
role for the apelin/APJ receptor system in cardiovascular physiology and
pathophysiology, thus making it a potential target for cardiovascular drug
discovery and development. Indeed, ongoing studies are investigating the
potential benefits of apelin and apelin-mimetics for disorders such as heart
failure and pulmonary arterial hypertension. Apelin causes relaxation of isolated
arteries, and systemic administration of apelin typically results in a reduction
in systolic and diastolic blood pressure and an increase in blood flow.
Nonetheless, vasopressor responses and contraction of vascular smooth muscle in
response to apelin have also been observed under certain conditions. The goal of
the current review is to summarize major findings regarding the apelin/APJ
receptor system in blood vessels, with an emphasis on regulation of vascular
tone, and to identify areas of investigation that may provide guidance for the
development of novel therapeutic agents that target this system.
PMID- 29807059
TI - Effect of bioactive glass-containing resin composite on dentin remineralization.
AB - OBJECTIVES: The purpose of this study was to evaluate the effect of bioactive
glass (BAG)-containing composite on dentin remineralization. METHODS: Sixty-six
dentin disks with 3 mm thickness were prepared from thirty-three bovine incisors.
The following six experimental groups were prepared according to type of
composite (control and experimental) and storage solutions (simulated body fluid
[SBF] and phosphate-buffered saline [PBS]): 1 (undemineralized); 2
(demineralized); 3 (demineralized with control composite in SBF); 4
(demineralized with control composite in PBS); 5 (demineralized with experimental
composite in SBF); and 6 (demineralized with experimental composite in PBS).
BAG65S (65% Si, 31% Ca, and 4% P) was prepared via the sol-gel method. The
control composite was made with a 50:50 Bis-GMA:TEGDMA resin matrix, 57 wt%
strontium glass, and 15 wt% aerosol silica. The experimental composite had the
same resin and filler, but with 15 wt% BAG65S replacing the aerosol silica. For
groups 3-6, composite disks (20 * 10 * 2 mm) were prepared and approximated to
the dentin disks and stored in PBS or SBF for 2 weeks. Micro-hardness test,
attenuated total reflection Fourier-transform infrared spectroscopy (ATR-FTIR)
and field-emission scanning electron microscopy (FE-SEM) was investigated.
RESULTS: The BAG-containing composite significantly increased the micro-hardness
of the adjacent demineralized dentin. ATR-FTIR revealed calcium phosphate peaks
on the surface of the groups which used BAG-containing composite. FE-SEM revealed
surface deposits partially occluding the dentin surface. No significant
difference was found between SBF and PBS storage. CLINICAL SIGNIFICANCE:
Bioactive glass is a potentially useful material for remineralization of tooth
structure. When incorporated into a resin composite, it may aid in remineralizing
the adjacent demineralized dentin, thus preventing further destruction of the
tooth. CONCLUSION: BAG-containing composites placed in close proximity can
partially remineralize adjacent demineralized dentin.
PMID- 29807060
TI - 10-year randomized trial (RCT) of zirconia-ceramic and metal-ceramic fixed dental
prostheses.
AB - OBJECTIVES: To monitor zirconia-ceramic and metal-ceramic posterior FDPs with
respect to survival and technical/biological complication rates. MATERIALS AND
METHODS: Fifty-eight patients received 76 3- to 5-unit posterior FDPs. The sites
were randomly assigned to 40 zirconia-based (ZC) and 36 metal-based (MC) FDPs.
FDPs were examined at baseline (cementation), at 6 months, at 1 year and then
yearly up to 10 years. Technical outcomes were assessed using modified United
States Public Health Service (USPHS) criteria. Biologic outcomes included probing
depth, plaque, bleeding on probing and tooth vitality. Statistical analysis was
performed applying Kaplan-Meier (KM) estimation, log-rank, Mann-Whitney and
Fisher exact test. RESULTS: During the 10-year follow-up thirteen patients (17
FDPs) dropped out and 6 FDPs in 6 patients (5 ZC,1 MC) were considered
catastrophic failures for technical and/or biological reasons. Forty-four
patients with 53 FDPs (29 ZC, 24 MC) were available for examination. The median
observation period was 10.3 years (ZC) and 10.0 years (MC). The 10-year KM
survival estimate of ZC FDPs was 91.3% (95%CI:69.5;97.8) and 100% of MC FDPs.
Minor chipping of the veneering ceramic and occlusal wear were found to a similar
extent at ZC and MC FDPs. ZC FDPs demonstrated a significantly higher rate of
framework fracture, de-bonding, major fractures of the veneering ceramic and poor
marginal adaption. Biological outcomes were similar in both groups and between
abutment and control teeth. CONCLUSION: At 10 years, ZC and MC posterior FDPs
resulted in similar outcomes for the majority of the outcome measures (p > 0.05).
PMID- 29807061
TI - Functional brain lateralization in schizophrenia based on the variability of
resting-state fMRI signal.
AB - Abnormal brain lateralization has been implicated in schizophrenia but few
studies have focused on the variability of resting-state fMRI signal and its
lateralization in schizophrenia. Here we utilized standard deviations (SD) to
quantify the variability of resting-state fMRI signal and measured the
lateralization index (LI), on the basis of SD of the resting-state fMRI signal in
order to assess the difference of brain signal variability across the
hemispheres. We recruited 180 patients with schizophrenia and 358 age- and sex
matched healthy volunteers. Between-group comparison revealed that in comparison
to healthy volunteers, schizophrenia patients have significantly higher SD of
resting-state fMRI activity in left inferior temporal, left fusiform, and right
superior medial frontal cortex, and lower SD in right precuneus, posterior
cingulum on both sides, right lingual, and left calcarine in the occipital
region. Using region of interest approach, most brain regions showed increased
leftward lateralization in patients with schizophrenia, as compared with healthy
controls. SD and LI were also found to be correlated to age of onset or duration
of illness. These results provide further evidence that abnormal variability and
lateralization exist in schizophrenia patients, and abnormality in fusiform,
lingual and inferior temporal could have potential help to identify the
dysfunctional brain lateralization in schizophrenia.
PMID- 29807062
TI - Hemoglobin disorders: lentiviral gene therapy in the starting blocks to enter
clinical practice.
AB - The beta-hemoglobinopathies, transfusion-dependent beta-thalassemia and sickle
cell disease, are the most prevalent inherited disorders worldwide and affect
millions of people. Many of these patients have a shortened life expectancy and
suffer from severe morbidity despite supportive therapies, which impose an
enormous financial burden to societies. The only available curative therapy is
allogeneic hematopoietic stem cell transplantation, although most patients do not
have an HLA-matched sibling donor, and those who do still risk life-threatening
complications. Therefore, gene therapy by one-time ex vivo modification of
hematopoietic stem cells followed by autologous engraftment is an attractive new
therapeutic modality. The first proof-of-principle of conversion to transfusion
independence by means of a lentiviral vector expressing a marked and anti
sickling betaT87Q-globin gene variant was reported a decade ago in a patient with
transfusion-dependent beta-thalassemia. In follow-up multicenter Phase II trials
with an essentially identical vector (termed LentiGlobin BB305) and protocol, 12
of the 13 patients with a non-beta0/beta0 genotype, representing more than half
of all transfusion-dependent beta-thalassemia cases worldwide, stopped red blood
cell transfusions with total hemoglobin levels in blood approaching normal
values. Correction of biological markers of dyserythropoiesis was achieved in
evaluated patients. In nine patients with beta0/beta0 transfusion-dependent beta
thalassemia or equivalent severity (betaIVS1-110), median annualized transfusion
volume decreased by 73% and red blood cell transfusions were stopped in three
patients. Proof-of-principle of therapeutic efficacy in the first patient with
sickle cell disease was also reported with LentiGlobin BB305. Encouraging results
were presented in children with transfusion-dependent beta-thalassemia in another
trial with the GLOBE lentiviral vector and several other gene therapy trials are
currently open for both transfusion-dependent beta-thalassemia and sickle cell
disease. Phase III trials are now under way and should help to determine
benefit/risk/cost ratios to move gene therapy toward clinical practice.
PMID- 29807064
TI - Frequent MRSA nasal colonization among hospitalized children and their parents in
Angola and Sao Tome and Principe.
AB - BACKGROUND: The prevalence of nosocomial meticillin-resistant Staphylococcus
aureus (MRSA) was previously estimated as 23% in a paediatric hospital in Luanda,
Angola and 18% in a general hospital in Sao Tome and Principe. AIM: To evaluate
the prevalence of S. aureus/MRSA colonization among hospitalized children and
their parents at two hospitals in Angola and Sao Tome and Principe. METHODS: In
2017, 127 hospitalized children and 129 of their parents had nasal swabs for S.
aureus/MRSA carriage in the two countries. The isolates were tested for the
presence of the mecA and Panton-Valentine leukocidin (PVL) genes, and
characterized by pulsed-field gel electrophoresis (PFGE), spa typing, multi-locus
sequence typing and SCCmec typing. FINDINGS: Twenty of 127 children (15.7%) and
13 of 129 parents (10.1%) were MRSA nasal carriers. Three lineages comprised 88%
of the MRSA isolates: (i) PFGE A-ST5-SCCmec IVa (N=15; 45%), associated with spa
type t105, recovered in Angola alone; (ii) PFGE N-ST8-IV/V (N=7; 21%), associated
with spa types t008/t121, recovered in Sao Tome and Principe alone; and (iii)
PFGE B-ST88-IVa (N=7; 21%), associated with spa types t325/t786, present in both
countries. Fifteen child/guardian pairs were colonized with identical MRSA (N=8)
or meticillin-susceptible S. aureus (N=7) strains. PVL was detected in 25% of
isolates, including two MRSA (ST30-V and ST8-IVa). CONCLUSION: Hospitalized
children and their parents are important reservoirs of MRSA. Infection control
measures should focus on parents in order to minimize the spread of MRSA to the
community.
PMID- 29807065
TI - Dopamine D3 receptor partial agonist LS-3-134 attenuates cocaine-motivated
behaviors.
AB - AIMS: The dopamine D3 receptor (D3R) is a pharmacotherapeutic target for drug
dependence. We have successfully imaged human D3Rs using radiolabeled LS-3-134,
an arylamide phenylpiperazine with moderate selectivity for the D3R over D2R and
low efficacy at the D2 and D3R. In this study, we screened for effects of LS-3
134 as a potential anti-cocaine therapeutic. METHODS: Male rats were pretreated
with LS-3-134 (0, 1.0, 3.2, or 5.6 mg/kg, IP) 15 min prior to tests for its
effects on spontaneous and cocaine-induced locomotion. We next investigated the
effects of LS-3-134 (0, 1.0, 3.2, 5.6, or 10.0 mg/kg, IP) on operant responding
on a multiple variable-interval (VI) 60-second schedule with alternating cocaine
(0.375 mg/kg, IV) and sucrose (45 mg) reinforcer components. Additionally, we
tested LS-3-134 (5.6 mg/kg, IP) effects on a progressive ratio (PR) schedule of
cocaine reinforcement, on extinction of cocaine-seeking behavior, and on
reinstatement of extinguished cocaine-seeking behavior by cocaine-associated
light/tone cues. RESULTS: LS-3-134 did not alter spontaneous locomotion, but at
5.6 mg/kg, it reduced cocaine-induced locomotion, break points on the high-effort
progressive ratio schedule of reinforcement, and responding during extinction and
cue reinstatement. In contrast, LS-3-134 did not alter cocaine or sucrose
reinforcement on the low-effort multiple VI 60-second schedule. CONCLUSIONS: The
effects of LS-3-134 are similar to other dopamine D3 low efficacy partial
agonists and antagonists in attenuating cocaine intake under high effort
schedules of reinforcement and in attenuating cocaine-seeking behavior elicited
by cocaine-associated cues. These findings are consistent with the anti-craving
profile of other dopamine D3 drugs.
PMID- 29807066
TI - Male rats with same-sex preference show higher immobility in the forced swim
test, but similar effects of fluoxetine and desipramine than males that prefer
females.
AB - Sex preference in male rats is partly determined by the organizational action of
estradiol. Thus, several paradigms have used aromatase inhibitors to manipulate
sex preference. We recently showed that a subpopulation of male rats prenatally
treated with letrozole (0.56 MUg/kg, G10-G22), a non-steroidal third generation
aromatase inhibitor, had same-sex preference, female sexual behavior (including
lordosis and proceptivity) and penile erections when exposed to other males.
These males, in addition, displayed high levels of experimental anxiety in the
plus maze test and were insensitive to the anxiogenic-like acute effect of FLX
(10 mg/kg). The two main purposes of the present work were: a) to study the
behavioral profile of males displaying same-sex preference in the forced swim
test (FST), and b) to analyze if the antidepressant-like effect of the subchronic
treatment with FLX (10 mg/kg, 3 times) or desipramine (DMI, 10 mg/kg, 3 times)
vary according to sex preference. Males treated prenatally with letrozole with
same-sex preference showed more immobility and less active behaviors (swimming
and climbing) in the FST than males with female preference. Subchronic treatment
with FLX and DMI reduced immobility when compared to saline controls, while FLX
increased swimming and DMI increased climbing behavior. Treatments were equally
effective in males with preference for other males and those that preferred
females. These results indicate that an association exists between prenatal
letrozole treatment, same-sex preference and immobility in the FST.
PMID- 29807063
TI - Hematopoietic stem cell fate through metabolic control.
AB - Hematopoietic stem cells maintain a quiescent state in the bone marrow to
preserve their self-renewal capacity, but also undergo cell divisions as
required. Organelles such as the mitochondria sustain cumulative damage during
these cell divisions and this damage may eventually compromise the cells' self
renewal capacity. Hematopoietic stem cell divisions result in either self-renewal
or differentiation, with the balance between the two affecting hematopoietic
homeostasis directly; however, the heterogeneity of available hematopoietic stem
cell-enriched fractions, together with the technical challenges of observing
hematopoietic stem cell behavior, has long hindered the analysis of individual
hematopoietic stem cells and prevented the elucidation of this process. Recent
advances in genetic models, metabolomics analyses, and single-cell approaches
have revealed the contributions made to hematopoietic stem cell self-renewal by
metabolic cues, mitochondrial biogenesis, and autophagy/mitophagy, which have
highlighted mitochondrial quality control as a key factor in the equilibrium of
hematopoietic stem cells. A deeper understanding of precisely how specific modes
of metabolism control hematopoietic stem cells fate at the single-cell level is
therefore not only of great biological interest, but will also have clear
clinical implications for the development of therapies for hematological
diseases.
PMID- 29807067
TI - Agomelatine treatment corrects symptoms of depression and anxiety by restoring
the disrupted melatonin circadian rhythms of rats exposed to chronic constant
light.
AB - Desynchronization of circadian rhythms is a hallmark of depression. The
antidepressant agomelatine, which is an MT1/MT2 melatonin receptor agonist/5-HT2C
serotonin receptor antagonist has advantages compared to the selective serotonin
reuptake inhibitors as a circadian phase-shifting agent. The present study was
designed to explore whether agomelatine is able to have an antidepressant effect
on rats exposed to chronic constant light (CCL) for 6 weeks. Focus is also placed
on whether this activity affects diurnal rhythms of depressive-like symptoms and
is associated with restoration of impaired circadian rhythms in plasma melatonin
and corticosterone. We report that CCL induced a depressive-like symptoms
associated with decreased grooming in the splash test during the subjective
light/inactive phase. Anhedonia-like deficit in the saccharine preference test
and increased immobility in the forced swimming test were both detected during
the subjective dark/active phase. The disturbed emotional fluctuations due to CCL
were corrected by agomelatine treatment (40 mg/kg, i.p. for 3 weeks). Agomelatine
also restored novelty-induced hypophagia, which reflects an anxiety state, during
the subjective Light and Dark phase, respectively, in rats exposed to CCL.
Parallel to the observed positive influence on behavior, this melatonin analogue
restored impaired circadian patterns of plasma melatonin but not that of
corticosterone. These findings demonstrated the antidepressant-like effect of
agomelatine in rats exposed to CCL possibly exerted via correction of melatonin
rhythms and are suggestive of the therapeutic potential of this drug in a
subpopulation of people characterized by a melatonin deficit.
PMID- 29807068
TI - Comparison of permeation mechanisms in sodium-selective ion channels.
AB - Voltage-gated sodium channels are the molecular components of electrical
signaling in the body, yet the molecular origins of Na+-selective transport
remain obscured by diverse protein chemistries within this family of ion
channels. In particular, bacterial and mammalian sodium channels are known to
exhibit similar relative ion permeabilities for Na+ over K+ ions, despite their
distinct signature EEEE and DEKA sequences. Atomic-level molecular dynamics
simulations using high-resolution bacterial channel structures and mammalian
channel models have begun to describe how these sequences lead to analogous high
field strength ion binding sites that drive Na+ conduction. Similar complexes
have also been identified in unrelated acid sensing ion channels involving
glutamate and aspartate side chains that control their selectivity. These studies
suggest the possibility of a common origin for Na+ selective binding and
transport.
PMID- 29807069
TI - Fasudil hydrochloride ameliorates memory deficits in rat model of streptozotocin
induced Alzheimer's disease: Involvement of PI3-kinase, eNOS and NFkappaB.
AB - Restoration of PI3-kinase signaling portrays therapeutic potential in Alzheimer's
disease (AD). Hyperactive Rho-kinase in AD negatively modulates PI3-kinase
pathway, thereby cause cognitive decline. Fasudil is a Rho-kinase inhibitor that
has shown therapeutic benefits in brain disorders. The present study is aimed to
decipher the role of PI3-kinase pathway in neuroprotective activity of fasudil
using STZ-ICV model of AD. MWM and NORT showed that fasudil (300 MUg/kg, ICV)
averted the STZ-ICV (3 mg/kg) induced memory dysfunctions in rats. Wortmannin (5
MUg/rat) or l-NAME (20 mg/kg) attenuated the memory restorative function of
fasudil in STZ treated rats. However, l-Arginine (50 mg/kg) group exhibited
marked improvement in memory functions. Markers of oxidative stress (TBARS, GSH,
SOD, CAT), nitrite, AChE, TNF-alpha, eNOS and NFkappaB were measured in whole
brain of rats. STZ-ICV group exhibited significant elevation in brain oxidative
stress, AChE activity, TNF-alpha, NFkappaB expression and decrease in eNOS level.
These effects of STZ were effectively ameliorated by administration of fasudil
for 21 days. Wortmannin (PI3-kinase inhibitor) or l-NAME (NOS blocker) attenuated
the antioxidative, anti-inflammatory and cholinergic activities of fasudil.
Although brain nitrite content was decreased by l-NAME and wortmannin, the l-NAME
group depicted rise in eNOS content (not activity) and NFkappaB expression,
whereas, decrease in same was observed in wortmannin group. l-Arginine lowered
the brain oxidative stress, inflammation, AChE activity, eNOS expression (not
activity), NFkappaB levels and elevated nitrite content. In STZ-ICV rat model of
AD, fasudil (Rho-kinase inhibitor) ameliorated the AD symptoms by reinstating PI3
kinase mediated upregulation of eNOS and control over brain NFkappaB activity.
PMID- 29807070
TI - Immediate and long-term antidepressive-like effects of pre-pubertal escitalopram
and omega-3 supplementation combination in young adult stress-sensitive rats.
AB - Major depressive disorder (MDD) affects a significant number of children and
adolescents, yet treatment options for this population remain very limited.
Escitalopram (ESC) is one of only two antidepressants approved as treatment for
juvenile depression. Still, delayed onset of action, and immediate plus the risk
of lasting side effects contribute to low patient adherence, and places the
medical prescriber in a difficult situation weighing the potential long-term
effects of juvenile treatment against the known consequences of untreated MDD.
Research into alternative or augmentation strategies and their long-term effects
are needed to improve clinical outcome and better our understanding of the long
term consequences of early-life treatment. We investigated the early-life
(postnatal day 35 (PND35)) and lasting (PND60) bio-behavioural effects of pre
pubertal (PND21 to PND34) escitalopram (ESC) administration and/or omega-3
supplementation (OM3) in stress sensitive Flinders Sensitive Line rats. Only ESC
treatment showed a strong trend to decrease depressive-like behaviour via
significantly increased climbing behaviour on PND35. However, OM3 treatment
reduced locomotor activity and increased hippocampal neuroplasticity on PND35,
suggesting improved coping behaviour and masking of possible antidepressant-like
effects. Reduced locomotor activity lasted into early-adulthood on PND60, despite
a treatment-free period from PND35 to PND60. Regardless, early-adulthood
antidepressive-like behaviour was only observed in the combination treatment (ESC
+ OM3) group, despite a significant increase in serotonin turnover, suggesting
strong neurodevelopmental process to be involved. Taken together, the combination
of ESC and OM3 might induce lasting beneficial neurodevelopmental effects in a
stress-sensitive population, suggesting a possible role in current treatment
strategies.
PMID- 29807071
TI - Apolipoprotein E DNA methylation and posttraumatic stress disorder are associated
with plasma ApoE level: A preliminary study.
AB - Mild traumatic brain injury (mTBI) occurred in 15-30% of Veterans returning from
Iraq and Afghanistan. We examined whether DNA methylation of the apolipoprotein E
(APOE) gene promoter region or plasma ApoE protein levels are altered in mTBI.
APOE promoter region DNA methylation, APOE genotype, and plasma ApoE
concentration were determined in 87 Veterans with or without mTBI who were
recruited from 2010-2014. Plasma ApoE concentration was found to be associated
with Posttraumatic Stress Disorder (PTSD) symptom severity ratings by
hierarchical linear regression (p = .013) and ANCOVA (p = .007). Hierarchical
linear regression revealed that plasma ApoE concentration was associated with
APOE-epsilon4 genotype status (p=.022). Higher ApoE plasma levels were found in
epsilon3/epsilon3 Veterans than in APOE-epsilon4 carriers (p = .031).
Furthermore, plasma ApoE concentration was associated experiment-wise with DNA
methylation at CpG sites -877 (p = .021), and -775 (p = .014). The interaction
between APOE-epsilon4 genotype and having a PTSD diagnosis was associated with
DNA methylation at CpG site -675 (p = .009).
PMID- 29807072
TI - Efficient selenocysteine-dependent reduction of toxoflavin by mammalian
thioredoxin reductase.
AB - BACKGROUND: Toxoflavin (1,6-dimethylpyrimido[5,4-e][1,2,4]triazine-5,7-dione;
xanthothricin) is a well-known natural toxin of the pyrimidinetriazinedione type
that redox cycles with oxygen under reducing conditions. In mammalian systems,
toxoflavin is an inhibitor of Wnt signaling as well as of SIRT1 and SIRT2
activities, but other molecular targets in mammalian cells have been scarcely
studied. Interestingly, in a library of nearly 400,000 compounds (PubChem assay
ID 588456), toxoflavin was identified as one out of only 56 potential substrates
of the mammalian selenoprotein thioredoxin reductase 1 (TrxR1, TXNRD1). This
activity was here examined in further detail. METHODS: Kinetic parameters in
interactions of toxoflavin with rat or human TrxR isoenzymes were determined and
compared with those of juglone (5-Hydroxy-1,4-naphthoquinone; walnut toxin) and
9,10-phenanthrene quinone. Selenocysteine dependence was examined using Sec-to
Cys and Sec-to-Ser substituted variants of recombinant rat TrxR1. RESULTS:
Toxoflavin was confirmed as an efficient substrate for TrxR. Rat and human
cytosolic TrxR1 supported NADPH-dependent redox cycling coupled to toxoflavin
reduction, accompanied by H2O2 production under aerobic conditions. Apparent
kinetic parameters for the initial rates of reduction showed that rat TrxR1
displayed higher apparent turnover (kcat = 1700 +/- 330 min-1) than human TrxR1
(kcat = 1100 +/- 82 min-1) but also a higher Km (Km = 24 +/- 4.3 MUM for human
TrxR1 versus Km = 54 +/- 18 MUM for rat TrxR1). Human TrxR2 (TXNRD2) was less
efficient in reduction of toxoflavin (Km = 280 +/- 110 MUM and kcat = 740 +/- 240
min-1). The activity was absolutely dependent upon selenocysteine (Sec).
Toxoflavin was also a subversive substrate indirectly inhibiting reduction of
other substrates of TrxR1. CONCLUSIONS: Our results identify toxoflavin as an
efficient redox cycling substrate of mammalian TrxR enzymes, in a strict Sec
dependent manner. GENERAL SIGNIFICANCE: The interactions of toxoflavin with
mammalian TrxR isoenzymes can help to explain parts of the molecular mechanisms
giving rise to the well-known toxicity as well as pro-oxidant properties of this
toxin.
PMID- 29807073
TI - Catalytic domain mutation in CYLD inactivates its enzyme function by structural
perturbation and induces cell migration and proliferation.
AB - Tumor suppressor cylindromatosis protein (CYLD), which specifically cleaves
lysine 63-linked ubiquitin chain from its substrate molecules, contributes to
myriad of important cellular events including cellular differentiation,
oncogenesis, DNA repair and cell cycle control. It is a ubiquitously expressed
protein, which negatively regulates NF-kB and JNK signaling pathways and mediates
caspase dependent apoptosis through RIP1 deubiqutination. Germline mutations in
CYLD are associated with a rare, hypertrophic skin cancer, termed Familial
Cylindromatosis. Catalogue of Somatic Mutations in Cancer database ensembles
accumulating CYLD point mutations in multiple benign and malignant tumors.
However, the functional role of CYLD mutations and their association with cancer
progression remains elusive. In the present report, we have shown that cancer
associated mutations impose structural alteration in CYLD which impairs its
binding to K63 ubiquitin chain. Here, we conclude that loss of CYLD catalytic
activity potentiates its oncogenic gain of function through increased cell
survival and migration.
PMID- 29807074
TI - A QbD approach for the fabrication of immediate and prolong buoyant cinnarizine
tablet using polyacrylamide-g-corn fibre gum.
AB - The main hurdle in the oral delivery of cinnarizine is its supersaturation,
precipitation and re-dissolution process, influencing the oral bioavailability.
To overcome this problem, an attempt was made to develop immediate and prolong
buoyant tablet of cinnarizine. For this purpose, polyacrylamide-g-corn fibre gum
(p-CFG) was synthesized as mucoadhesive cum swellable polymer and it was compared
with already used HPMC K4M polymer. The central composite design with two numeric
and one categorical factor was choosen to optimize conc. of p-CFG (X1),
concentration of NaHCO3 (X2) and type of effervescent agents (X3). The
bioadhesive strength of p-CFG tablet was 2.4 times higher than HPMC K4M
containing tablet. The formulation composition comprises of p-CFG (64.3%), sodium
bi-carbonate (12.9%) and citric acid (2%) (FCNZ) fulfilled the maximum
requirement of an optimized formulation. The in-vivo animal pharmacokinetic
performance revealed larger plasma half-life and reduced elimination rate as
compared to CNZ suspension. Interestingly, the absorption of CNZ from optimized
formulation was 3 times enhanced than from CNZ suspension. Overall, the
enhancement in the oral bioavailability of CNZ was evident that is due to its
prolonged gastric residence time. Furthermore, the swelling associated floating
followed by mucoadhesive nature of tablet was observed by X-ray imaging studies.
PMID- 29807075
TI - Characterization and immobilization of protease and lipase on chitin-starch
material as a novel matrix.
AB - Protease and lipase were purified from sunflower seeds by frequent purification
steps with molecular weights of 72.90 kDa and 27.50 kDa, respectively. The
purified lipase and protease were immobilized on various carriers by different
methods of immobilization including physical adsorption, ionic binding and
covalent binding. The enzymes prepared by covalent binding on a new support
materials were made via the combination of chitin and starch had the highest
activates. The immobilization was carried out in a simpler way compared with the
other immobilization methods which require various chemicals and complicated
procedures which is difficult and expensive. The influence of reusability, pH,
thermal and storage stability of immobilizing enzymes compared to the free enzyme
were studied. The immobilizing protease and lipase with chitin and chitin +
starch were used to catalyze reactions through enhanced washing process. After
adding immobilizing enzymes with chitin and chitin starch, the stain removal
percentage of detergents was enhanced by 78% and 84%, respectively. We approve
that these novel immobilizing protease and lipase with chitin + starch present a
new approach to the synthesis of multi enzyme biocatalysts from cheap and
friendly environmental sources.
PMID- 29807076
TI - A novel Se-polysaccharide from Se-enriched G. frondosa protects against
immunosuppression and low Se status in Se-deficient mice.
AB - In this study, a Se-deficient mice model was successfully developed by feeding a
Se-deficient diet (0.02 mg Se/kg diet) for 4 weeks, and Se supplementation by Se
polysaccharides (Se-GFP-22) was lasted for 4 weeks. The immunomodulatory activity
and Se supplementation of Se-GFP-22 from Se-enriched G. frondosa was
investigated. Results showed that Se-GFP-22 remarkably enhanced glutathione
peroxidase (GSH-Px) and thioredoxin reductase (TrxR) activities in liver, kidney
and plasma, and serum, liver, spleen and kidney Se levels of Se-deficient mice.
Se-GFP-22 increased the thymus and spleen indices, phagocytic index, co-mitogenic
(ConA- or LPS-stimulated) activities on splenocytes and DTH reaction. Se-GFP-22
caused significant increments in cytokine (IL-1beta, TNF-alpha and IFN-gamma)
levels and Ig G, Ig A, Ig M and Ig E levels. Se-GFP-22 exhibited superior
immunomodulatory effects than GFP-22. These findings indicated that Se-GFP-22
promote the protective effects against Se deficiency-induced immunosuppression
and could be a potential immunomodulatory agent and a dietary Se-supplement.
PMID- 29807077
TI - In vitro and in vivo study of PCL/COLL wound dressing loaded with insulin
chitosan nanoparticles on cutaneous wound healing in rats model.
AB - In the current study, insulin delivering chitosan nanoparticles were coated onto
the electrospun poly (epsilon-caprolactone) (PCL)/Collagen (COLL) to produce a
potential wound care material. Electrospun matrices were fabricated from PCL/COLL
(1:1 (w/w)) solution. The insulin-loaded chitosan nanoparticles were produced by
ionic gelation process and then attached onto the yarns. The dressings were
investigated regarding their surface wettability, microstructure, the capacity to
absorb water, water vapour permeability, mechanical properties, blood
compatibility, microbial penetration, and cellular behavior. Full-thickness
excisional wound model was used to assess the in vivo healing capacity of the
dressings. Our data showed that after 14 days the wounds covered with PCL/COLL/Cs
Ins wound dressing could reach to nearly full wound closure compared with the
sterile gauze which exhibited nearly 45% of wound size reduction. Our results
suggest that fabricated scaffolds can be potentially applied in clinical practice
for wound treatment.
PMID- 29807078
TI - Improved removal of dyes by [sodium alginate/4-methyl-2-(naphthalen-2-yl)-N
propylpentanamide-functionalized ethoxy-silica] composite gel beads.
AB - In this paper, the synthesis of [sodium alginate/4-methyl-2-(naphthalen-2-yl)-N
propylpentanamide-functionalized ethoxy-silica] composite gel beads is described.
The characterizations were carried out using Fourier Transform InfraRed, Scanning
Electron Microscopy, point zero charge and water content. The morphology of the
alginate gel beads are changed after functionalization and are characterized by
aggregates of different sizes and irregular shapes. The adsorption is checked for
Methylene Blue and Acid Blue 25 in batch mode with reference to the change of pH,
time, temperature, and adsorbate concentration. A reliance on the studied
experimental conditions is observed. The sorption capacities were improved using
composite gel beads compared to gel beads. A possible complex formation of
composite gel beads and the studied adsorbates is proposed. The kinetic data
complies well with the second order. The intra-particular Diffusion exhibits the
existence of two successive adsorption stages of mass transport. The data fitted
with Freundlich indicate that the adsorption might occur in the heterogeneous
adsorption sites. The prepared beads are considered moderate for the removal of
the dyes (n > 1). The negative value of enthalpy (-23.7 < DeltaH* < -7.14)
confirms that the process is exothermic which is, also, supported by the decrease
of the adsorption energy constants (B) of Temkin model.
PMID- 29807079
TI - Solution behaviour of lysozyme in the presence of novel biodegradable gemini
surfactants.
AB - Extensive applications of biomacromolecule-surfactant mixtures in cosmetics,
industry, agriculture, laundry and biomedical realms have raised environmental
and ecological issues. Therefore, scientists now-a-days are concerned to design
environmentally benevolent surfactant-protein mixtures which are not only
efficient, but ecological too. In this context, we have studied the solution
behaviour of hen egg white lysozyme (HEWL) in the presence of two novel
biodegradable gemini surfactants by utilizing various spectroscopic and
computational methods. The results confirmed the complex formation between Cm-E2O
Cm and HEWL via static mechanism and hydrophobic forces were found to be major
contributing forces during interactions. C12-E2O-C12 was found to bind strongly
to HEWL than C14-E2O-C14, owing to its smaller size and better penetration
efficacy into the hydrophobic domains of HEWL. Upon Cm-E2O-Cm addition,
conformational/microenvironmental alterations in HEWL were revealed,
respectively, by circular dichroism and pyrene micro-polarity studies. Molecular
modeling demonstrates that Cm-E2O-Cm binds in the locality of aromatic residues
(Trp/Tyr). This study in future may lend a hand in designing safe and
environmentally green surfactant-protein mixtures for their ultimate exploitation
in food, laundry, and agriculture prefecture.
PMID- 29807080
TI - Fabrication of engineered nanoparticles on biological macromolecular (PEGylated
chitosan) composite for bio-active hydrogel system in cardiac repair
applications.
AB - The development of advanced nano-mediated biological macromolecular (PEGylated
Chitosan) hydrogel materials is a vital approach to enhance the efficiency of
cardiac tissue applications for treatment of cardiac tissue repair. Definite
properties of PEG and chitosan hydrogel matrixes including swelling, mechanical
stability and porosity need to be further improved with effective and non-toxic
nanoparticles to promote the cell adhesion and organization of cardiac cells. In
the current study, we fabricated engineered spherical TiO2 nanoparticles into the
biologically active macromolecular (PEG/CTS) hydrogel matrixes with enhanced
physico-chemical and biological properties. The morphological improved spherical
TiO2 NPs have been highly dispersed in the porous hydrogel structure and
effectively promoted young modulus and swelling properties and also exhibited
favorable cell adhesion and organization with the cardiomyocytes cells. The
stained fluorescence images of TiO2-PEG/CTS hydrogels on the cardiomyocytes cells
show the excellent cell-hydrogel matrix interactions comparable to the PEG/CTS
hydrogel in the absence of TiO2 NPs. Thus, the investigation results of the
present study clearly suggested that efficient cardiac patches with superior
bioactive and mechanical properties for cardiac tissue repair.
PMID- 29807081
TI - Rheological behavior of biodegradable N-succinyl chitosan-g-poly (acrylic acid)
hydrogels and their applications as drug carrier and in vitro theophylline
release.
AB - Novel pH sensitive N-succinyl chitosan-g-poly (acrylic acid) hydrogels were
synthesized through free radical mechanism. Rheometer was used to observe the
mechanical strength of the hydrogels. In vitro degradation was conducted in SIF
(pH 7.4). The effect of concentration of monomers, initiator, and crosslinking
agent and pH and ionic strength of NaCl, CaCl2, and AlCl3 on swelling of the
hydrogels was observed. The results showed that equilibrium swelling ratio was
highly influenced by concentration of monomers, initiator, and crosslinking agent
concentration, and pH and salt solutions of NaCl, CaCl2, and AlCl3. The swelling
kinetics revealed that swelling followed non-Fickian anomalous transport.
Furthermore, theophylline loading (DL %) and encapsulation efficiency (EE %) of
the hydrogels was in the range of 15.5 +/- 0.15-22.8 +/- 0.06% and 62 +/- 0.15-91
+/- 0.26%, respectively. The release of theophylline in physiological mediums was
strongly influenced by the pH. The theophylline release was in the range of 51 +/
0.20-92 +/- 0.12% in SIF and 7.4 +/- 0.02-14.9 +/- 0.03% in SGF (pH 1.2),
respectively. The release data fitted well to Korsmeyer-Peppas model. The
chemical activity of the theophylline suggested that drug maintained its chemical
activity after release in vitro. The results suggest that synthesized hydrogels
are excellent drug carriers.
PMID- 29807082
TI - Antimicrobial cellulosic hydrogel from olive oil industrial residue.
AB - The cellulose-based antimicrobial hydrogel was prepared from seed and husk
cellulosic fibers of olive industry residues by load silver nanoparticles (AgNPs)
onto grafted acrylamide monomer (Am) cellulosic fibers. The grafting approach was
the free radical mechanism by utilizing ceric ammonium nitrate (CAN) as initiator
in aqueous medium and N,N methylene bisacrylamide (MBAm) as a cross linker. The
effect of different grafting conditions on the properties of produced hydrogels
has been studied by determining the grafting parameters, i.e. concentration of
Am, MBAm, grafting time and temperature to optimize grafting yield (G %),
grafting efficiency (GE %), and swelling %. Characterizations of the obtained
hydrogels were performed through monitoring swelling behavior, FTIR spectroscopy,
SEM, and EDX. AgNPs were grown into the prepared hydrogel. Hydrogel/AgNPs were
characterized by FT-IR spectroscopy, X-ray diffraction (XRD) and scanning
electron microscopy (SEM). The hydrogel loaded AgNPs exhibit high efficient
antimicrobial activity against Staphylococcus aureus, Pseudomonas aeruginosa, and
Candida albicans.
PMID- 29807083
TI - Two-step enzymatic strategy for the synthesis of a smart phenolic polymer and
further immobilization of a beta-galactosidase able to catalyze
transglycosydation reaction.
AB - A rapid and efficient enzymatic procedure for the preparation of an immobilized
beta-galactosidase has been described. In a first step, soybean peroxidase was
used to catalyze the polymerization of a strategically activated phenol (N
Succinimidyl 3-(4-hydroxyphenyl)propionate, known as Bolton-Hunter reagent). The
phenolic support was directly employed for immobilizing beta-galactosidase from
Bacillus circulans (ATCC 31382, beta-Gal-3), giving rise to a new biocatalyst
subsequently applied in the synthesis of a beta-galatodisaccharide (Gal-beta(1-3)
GlcNAc and Gal-beta(1-3)-GalNAc). The reaction proceeded with high conversion
rates and total regioselectivity. Reusability assays were performed with the same
reaction conditions finding that the immobilized enzyme retains about 55% of its
activity after eight batches. Finally and based on our results, the two-step
enzymatic procedure presented here is a good and green alternative to the
preparation of carbohydrates with biological activities.
PMID- 29807084
TI - pH-Dependent intestine-targeted delivery potency of the O-carboxymethyl chitosan
gum Arabic coacervates.
AB - Bovine serum albumin (BSA)-loaded microcapsules were prepared at pH 3.0, 4.5, and
6.0 through O-carboxymethyl (O-CMC) - gum Arabic (GA) coacervation followed by
genipin crosslinking to explore the effects of coacervation acidity on the
intestine-targeted delivery potency of resultant microcapsules. Confocal laser
scanning microscope observation revealed that microcapsules with the multilayer
structure were successfully prepared. As the coacervation pH rose from 3.0 to
6.0, the amount of O-CMC deposited on the microcapsule surface and the particle
size increased accordingly. Swelling and BSA release results indicated that
coacervation at higher pH conferred greater stability against simulated gastric
fluid and better intestine-targeted delivery potency to the microcapsules.
Circular dichroism analysis demonstrated that the structural integrity of
entrapped BSA was well maintained during microencapsulation and incubation in
simulated gastrointestinal fluids. Hence, genipin-crosslinked O-CMC - GA
coacervates could be used to deliver nutraceuticals to the intestine and its
delivery performance could be tailored by varying the coacervation pH.
PMID- 29807086
TI - Extraversion, neuroticism, and the electrocortical response to monetary rewards
in adolescent girls.
AB - Affective personality traits, such as extraversion and neuroticism, are
associated with individual differences in reward system functioning. The reward
positivity (DeltaRewP) is an event-related potential (ERP) component that indexes
sensitivity to reward, and can be elicited by feedback indicating monetary gains
relative to losses. In a sample of 508 adolescent girls, the current study
examined the relationship between extraversion, neuroticism, and their respective
facets and the DeltaRewP. Results indicated an Extraversion * Neuroticism
interaction, such that greater extraversion was associated with an increased
DeltaRewP, but only in the context of low neuroticism. This association was
primarily due to the extraversion facet positive emotionality-high levels of
positive emotionality were associated with an increased DeltaRewP, but only in
the context of low neuroticism. In addition, increased neuroticism diminished the
age-related increase in the DeltaRewP. The current study suggests that both
extraversion and neuroticism are associated with reward system function in
adolescence.
PMID- 29807085
TI - Capecitabine encapsulated chitosan succinate-sodium alginate macromolecular
complex beads for colon cancer targeted delivery: in vitro evaluation.
AB - The present study aims to investigate the efficacy of the novel biopolymeric
complex multiparticulate system consisting of chitosan succinate and alginate for
the capecitabine-targeted delivery to colon cancer. A Box-Behnken design was used
to optimize the CS-SA beads by considering the effect of three factors: CS
(A;X1), CaCl2 (B;X2), and SA (C;X3), on the response variables Y1 (EE), Y2
(Size), and Y3 (Release). The results of response surface plots allowed an
optimized bead to be identified with high drug EE and maximum drug release at
colon. The swelling index showed that the beads reached a maximum good swelling
at pH 7.4, and nil or little swelling at acidic pH, which proves that the beads
completely protect the release of drug. The in vitro release portrayed a maximum
release at pH 7.4, due to the large swelling force that was created by
electrostatic repulsion between the ionized carboxylic acid groups of the CS-SA
network. In vitro cytotoxicity assay (MTT) of CS-SA beads shows inhibition of the
proliferation of HT-29 tumour cell to induce apoptosis over a longer period of
time. The above results show that CS-SA beads prolong the release of CP in the
colonic region, and also enhance antitumor efficacy.
PMID- 29807087
TI - Effect of the peptides Relaxin, Neuregulin, Ghrelin and Glucagon-like peptide-1,
on cardiomyocyte factors involved in the molecular mechanisms leading to
diastolic dysfunction and/or heart failure with preserved ejection fraction.
AB - Heart failure with preserved ejection fraction (HFpEF) represents an important
cardiac condition because of its increasing prevalence, resistance to treatment
and high associated morbidity and mortality. Two of the major mechanisms
responsible for HFpEF are impaired cardiomyocyte sarcoplasmic reticulum (SR) Ca2+
ATPase (SERCA2a), which is responsible for calcium reuptake into the SR, and
cardiac fibroblasts/myofibroblasts that produce collagen or myocardial fibrosis.
Phospholamban (PLB), in the SR and endoplasmic reticulum, is the primary
regulator of SERCA2a in the heart and acts as a reversible inhibitor of SERCA2a.
Glucagon-like peptide-1, a 30 amino acid peptide, improves diastolic function
through increasing SERCA2a expression and activity as well as by decreasing
phosphorylation of Ryanodine receptors. It also enhances collagen production
through enhanced procollagen IalphaI/IIIalphaI, connective tissue growth factor,
fibronectin, TGF-beta3 as well as Interleukin -10, -1beta, and -6 gene
expression. Relaxin-2, a two chain, 53 amino acid peptide, increases Ser16- and
Thr17-phosphorylation levels of PLB, thereby relieving SERCA2a of its inhibition.
H3 Relaxin inhibits TGF-beta1-stimulated collagen deposition through H3 relaxin
induced increases in pSmad2. Neuregulin-1, an epidermal growth factor, induces
nitric oxide and PI-3 kinase activation that enhance SERCA2 activity. Neuregulin
1 was associated with less myocardial macrophage infiltration and cytokine
expression reducing collagen deposition. Ghrelin, a 28 amino acid peptide,
improves SERCA2a function by inducing PLB phosphorylation. Ghrelin also reduces
cardiac fibrosis. In summary, Glucagon-like peptide-1, Relaxin-2, Neuregulin-1,
and Ghrelin each modify calcium dynamics, collagen expression, and myocardial
fibrosis through attenuation of deleterious signaling cascades, and induction of
adaptive pathways, representing potential therapeutic targets for HFpEF.
PMID- 29807088
TI - Train the trainer education in limited resource settings.
PMID- 29807089
TI - Diagnostic test accuracy of adenosine deaminase for tuberculous meningitis: A
systematic review and meta-analysis - An update.
PMID- 29807090
TI - Evolutionary dynamics of avian influenza A H7N9 virus across five waves in
mainland China, 2013-2017.
AB - Since its emergence in March 2013, novel avian influenza A H7N9 virus has
triggered five epidemics of human infections in China. This raises concerns about
the pandemic threat of this quickly evolving H7N9 subtype for humans. In this
study, we evaluated all available genomes for H7N9 and H9N2 influenza A viruses.
Our assessment discovered that H7N9 of the 1st wave had the lowest nucleotide
diversity, which then experienced substantial and rapid population expansion from
a small founder population. From the 2nd wave, their nucleotide diversity
increased quickly, indicating that H7N9 viruses had acquired larger populations
and mutations after their initial emergence in 2013. After the phylogeographic
divergence in the 2nd wave, although the HA and NA genes from different regions
differed, compared to previous epidemics, the evolving H7N9 viruses in the 5th
wave lost most of their previous clades. The highly pathogenic avian influenza
(HPAI) H7N9 viruses in the 5th wave clustered together, and clustered close to
the low pathogenic avian influenza (LPAI) virus isolated from the Pearl River
Delta in the 3rd and 4th waves. This result supports the origin of HPAI H7N9
viruses was in the Pearl River Delta. In the 5th wave, although both HPAI and
LPAI H7N9 viruses were isolated from the Pearl River Delta, their HA and NA genes
were phylogenetically distinct.
PMID- 29807091
TI - Biomarker guided triage can reduce hospitalization rate in community acquired
febrile urinary tract infection.
AB - OBJECTIVES: Febrile urinary tract infections (fUTI) can often be treated safely
with oral antimicrobials in an outpatient setting. However, a minority of
patients develop complications that may progress into septic shock. An accurate
assessment of disease severity upon emergency department (ED) presentation is
therefore crucial in order to guide the most appropriate triage and treatment
decisions. METHODS: Consecutive patients were enrolled with presumptive fUTI
across 7 EDs in the Netherlands. The biomarkers mid-regional proadrenomedullin
(MR-proADM), procalcitonin (PCT), C-reactive protein (CRP), and a clinical score
(PRACTICE), were compared in their ability to predict a clinically severe course
of fUTI, initial hospital admission and subsequent readmission using area under
the receiver operating characteristic (AUROC) curves. RESULTS: Biomarker
concentrations were measured in 313 patients, with 259 (83%) hospitalized upon ED
presentation, and 54 (17%) treated as outpatients. Of these outpatients, 12 (22%)
were later hospitalized. MR-proADM had the highest diagnostic accuracy for
predicting a complicated fUTI (AUROC [95% CI]: 0.86 [0.79-0.92]), followed by PCT
(AUROC [95% CI]: 0.69 [0.58-0.80]). MR-proADM concentrations were unique in being
significantly elevated in patients directly admitted and in outpatients requiring
subsequent hospitalization, compared to those completing treatment at home. A
virtual triage algorithm with an MR-proADM cut-off of 0.80 nmol/L resulted in a
hospitalization rate of 66%, with only 2% secondary admissions. CONCLUSION: MR
proADM could accurately predict a severe course in patients with fUTI, and
identify greater patient numbers who could be safely managed as outpatients. An
initial assessment on ED presentation may focus resources to patients with
highest disease severities.
PMID- 29807092
TI - Elective distribution of resistance to beta-lactams among Enterobacter cloacae
genetic clusters.
AB - OBJECTIVES: The Enterobacter cloacae complex (Ecc), routinely referred to as "E.
cloacae" in clinical microbiology, encompasses several species with 12 genetic
clusters and one sequence crowd that can be identified based on hsp60 sequencing.
Little is known about the pathogenicity and distribution of resistance to
antibiotics among the Ecc. METHODS AND RESULTS: In this prospective multicentre
study, a total of 193 Ecc clinical isolates were collected from 10 academic
hospitals distributed nationally across France and identified at the genetic
cluster level on the basis of hsp60 sequencing. E. hormaechei isolates, which
belong to clusters VI-VIII, were the largest group (53%), followed by cluster III
that accounted for 28% of clinical isolates. All other Ecc clusters were present
except cluster VII (E. hormaechei subsp. hormaechei). Cephalosporinase
overproduction and ESBL were significantly more present in E. hormaechei (33% and
20%) than in other clusters (19% and 3%, respectively). CONCLUSIONS: These
results suggest that rapid identification of "E. cloacae" at the genetic cluster
level could improve adequacy of empirical antibiotic treatment and reduce the
unnecessary use of broad spectrum antibiotics.
PMID- 29807093
TI - Pentaglycine lipid derivates - rp-HPLC analytics for bioorthogonal anchor
molecules in targeted, multiple-composite liposomal drug delivery systems.
AB - The quantification of lipids and assessment of lipid composition is an
indispensable step during the pharmaceutical development of novel lipid based
drug delivery systems such as liposomes. Broad excipient screenings of such
formulations raise the need for versatile analytical methods. Even more demanding
complexity is generated by introduction of targeted systems requiring
functionalized lipids. We addressed this demand by developing an rp-HPLC based
analytical method with evaporative light scattering detection (ELSD) for the
simultaneous analysis of commonly used phosphatidylcholines, cholesterol and
bilayer surface-modifying cationic, anionic or PEGylated lipids, which can be
analyzed in combination with novel pentaglycine lipids suitable as targeting
ligand anchor. The method was validated for specificity, precision, accuracy and
sample stability. We monitor the continuous and scalable manufacturing of two
pentaglycine-modified liposomal formulations and track the modification of these
drug delivery systems with a single-domain antibody utilizing bioorthogonal
Sortase-A technology. Both the presented analytical and preparative techniques
can help to improve the quality control and to accelerate the pharmaceutical
development of such targeted drug delivery systems.
PMID- 29807094
TI - Optical coherence tomography assessment of gingival epithelium inflammatory
status in periodontal - Systemic affected patients.
AB - INTRODUCTION: Optical coherence tomography (OCT) is an imaging tool used in
various medical fields (ophthalmology, dermatology), which allows the observation
of morphological particularities on the surface of tissues or internal
constructive details of about 2-3mms in depth. In periodontology, it has been
used as an experimental tool for periodontal pocket analysis (depth, calculus
deposits) but not for the assessment of periodontal inflammation in the gingival
tissues, which has been the subject of our in-vitro study. MATERIAL AND METHOD:
Gingival samples were collected from three types of patients: patients with
periodontal disease; patients with periodontal disease and a systemic
comorbidity; periodontal and systemic healthy patients. The samples were scanned
with an OCT light beam, resulting two-dimensional images of the gingival tissue
(full thickness epithelium and partial connective tissue). The images were
assessed using dedicated software, which allowed the quantification of pixels on
a given segment in the epithelium. The average pixel densities were then
calculated for each patient group and statistically analyzed. RESULTS: The
resulted pixel densities were highest for the control group samples, while the
lowest pixel densities were found in samples originating from periodontal
patients with diabetes mellitus. For the other possible periodontal comorbidity,
chronic hepatitis C, image assessment also exhibited lower pixel densities than
those of the periodontal group, suggesting that this condition could also have an
added effect on the tissular changes induced by periodontal disease. CONCLUSION:
OCT has proven that in an in-vitro environment it can be a useful tool for the
assessment of periodontal inflammation in gingival samples of periodontal
patients. In terms of inflammatory tissular changes observed by OCT analysis,
chronic hepatitis C could be regarded as possible periodontal disease's
comorbidity.
PMID- 29807095
TI - Role of miRNAs in cell signaling of cancer associated fibroblasts.
AB - The tumor microenvironment (TME) of cancer cells is regarded as a strong
determinant for cancer development and acquisition of metastatic potential of
cancer cells. Because of its influence on tumorigenesis, the TME increasingly
gained attention in research within the last years. Activated fibroblasts, so
called cancer-associated fibroblasts (CAFs), which are the most prominent cell
type in the stromal compartment, are responsible for the synthesis, deposition
and remodeling of the extracellular matrix in tumor stroma thus creating a
favorable microenvironment for cancer cells. Besides, they secrete paracrine
factors, such as growth factors, chemokines and exosomes impacting on
proliferation, invasion and cell signaling of cancer cells. Molecular mechanisms
responsible for activation of fibroblasts and regulation of metastatic
microenvironment are complex and not yet fully elucidated. However, mounting
evidence suggests that miRNAs play a powerful role in the communication between
cancer cells and TME. Via regulation of various signaling pathways, release of
cytokines/growth factors or exosomes, miRNAs are able to regulate tumor promoting
effects of CAFs. In this review, we describe baseline differences in miRNAs
signatures between CAFs and normal fibroblasts and highlight the influence of
miRNAs on cell signaling within CAFs.
PMID- 29807096
TI - Molecular mechanisms of AcrB-mediated multidrug export.
AB - The over-expression of multidrug efflux pumps belonging to the Resistance
Nodulation-Division (RND) superfamily is one of the main causes of multidrug
resistance (MDR) in Gram-negative pathogenic bacteria. AcrB is the most
thoroughly studied RND transporter and has functioned as a model for our
understanding of efflux-mediated MDR. This multidrug-exporter can recognize and
transport a wide range of structurally unrelated compounds (including
antibiotics, dyes, bile salts and detergents), while it shows a strict inhibitor
specificity. Here we discuss our current knowledge of AcrB, and include recent
advances, regarding its structure, mechanism of drug transport, substrate
recognition, different intramolecular entry pathways and the drug export driven
by remote conformational coupling.
PMID- 29807097
TI - Antimicrobial and cytotoxic effects of denture base acrylic resin impregnated
with cleaning agents after long-term immersion.
AB - The coadjutant method for denture cleansing most used by denture wearers is
immersion in chemical agents, which are toxic when in direct contact with cells.
However, clinically, the contact between these chemical agents and prosthetic
tissues does not occur directly, but rather with what remained impregnated into
acrylic bases, even after rinsing the disinfected dentures. This study evaluated
the antimicrobial and cytotoxic effects of a denture acrylic resin after
successive cycles of daily overnight immersion in 1% sodium hypochlorite
(1%NaClO) and 2% chlorhexidine digluconate (2%CHX), simulating the periods of 9
months or 1.5 year. Microbiological and cytotoxic assays were performed,
respectively, by broth microdilution method (Candida albicans or Staphylococcus
aureus) and MTT assay. Chemical residues of 2%CHX impregnated into the denture
acrylic resin had an antimicrobial effect on both immersion periods, which was
not observed with those of 1%NaClO. However, residues of 2%CHX were severely
cytotoxic to human gingival fibroblasts compared to those of 1%NaClO and acrylic
resin (not submitted to the denture cleansers), which were slightly cytotoxic.
Even at low concentrations recommended for overnight soaking of removable
dentures, the chemical residues of CHX may result in some degree of toxicity to
the denture-bearing mucosa after long-term daily immersion.
PMID- 29807098
TI - Corrigendum to 'Inositol hexakisphosphate kinase 1 is a metabolic sensor in
pancreatic beta-cells' [Cellular Signalling 46 (2018) 120-128].
PMID- 29807099
TI - Metabolic syndrome and cancer: "The common soil hypothesis".
AB - Metabolic syndrome (MetS) and cancer share many modifiable risk factors including
age, genetic factors, obesity, physical inactivity, unhealthy diet, alcohol,
smoking, endocrine disruptors exposure, circadian clock disturbances, and air
pollution. MetS is closely linked to cancer, as it increases cancer risk and
cancer-related mortality; moreover, cancer survivors have an increased risk of
MetS. Elucidating the mechanisms linking MetS to cancer is important to prevent
or delay these two conditions. Possible mechanisms explaining the relationship
between MetS and cancer include hyperinsulinemia and alterations of insulin-like
growth factor system, chronic subclinical inflammation, abnormalities in sex
hormones metabolism and adipokines, hyperglicemia, alterations in both gene
expression and hormonal profile by endocrine disruptors and air pollution,
desynchronization of circadian clock. The common soil hypothesis claims that MetS
may be considered a surrogate marker for dietary risk factors of cancer, and a
warning sign for susceptible individuals exposed to an unhealthy diet. The common
soil hypothesis and the clepsydra of foods represent a theoretical substrate to
preventive intervention strategies against the pandemics of MetS and cancer:
adherence to healthy dietary patterns is associated with a reduced risk of MetS,
and improvement of the quality of diet is consistently associated with a
reduction in cancer-related mortality.
PMID- 29807100
TI - Effect of different glucose-lowering therapies on cancer incidence in type 2
diabetes: An observational population-based study.
AB - AIM: To assess the effect of metformin on cancer incidence in type 2 diabetes
(T2DM), considering possible interactions with other glucose-lowering drugs and
diabetes duration. METHODS: Study cohort included diabetes patients aged 20-84 on
December 2009, still alive and resident in Reggio Emilia province as of December
2011. Drug exposure was assessed for 2009-2011; subjects taking metformin
continuously, with or without other hypoglycaemic drugs, were compared to
subjects on diet-only therapy. The cohort was followed up from 2012 to 2014
through the cancer registry. Age- and sex-adjusted incidence rate ratios (IRRs)
were computed using Poisson regression models for all sites, lung, breast, liver,
colorectal, prostate and pancreatic cancer. RESULTS: The cohort includes 17,026
people with T2DM, 7460 taking metformin. 887 cancers occurred during follow-up,
348 among metformin users. Cancer risk was similar in T2DM patients using
metformin and those on diet-only. The risk for prostate (IRR = 0.65; 95%CI:0.36;
1.17), liver (IRR = 0.82; 95%CI:0.36; 1.85) and breast (IRR = 0.77; 95%CI:0.43;
1.40) cancers only was slightly reduced; for lung (IRR = 1.52; 95%CI:0.92; 2.50),
pancreas (IRR = 1.51; 95%CI:0.59:3.89) and colon-rectum (IRR = 1.71; 95%CI:0.94;
3.08) the risk was slightly increased. CONCLUSIONS: There is no evidence of
antitumor effect of metformin. A possible decrease only for breast, liver and
prostate cancer, is compatible with random fluctuations.
PMID- 29807101
TI - Metformin in cancer.
AB - Metformin is a lipophilic biguanide which inhibits hepatic gluconeogenesis and
improves peripheral utilization of glucose. It is the first line pharmacotherapy
for glucose control in patients with Type 2 diabetes due to its safety, efficacy
and tolerability. Metformin exhibits pleotropic effects, which may have
beneficial effects on a variety of tissues independent of glucose control. A
potential anti-tumourigenic effect of metformin may be mediated by its role in
activating AMP-kinase, which in turn inhibits mammalian target of rapamycin
(mTOR). Non-AMPK dependent protective pathways may include reduction of insulin,
insulin-like growth factor-1, leptin, inflammatory pathways and potentiation of
adiponectin, all of which may have a role in tumourigenesis. A role in inhibiting
cancer stem cells is also postulated. A number of large scale observational and
cohort studies suggest metformin is associated with a reduced risk of a number of
cancers, although the data is not conclusive. Recent randomised studies reporting
use of metformin in treatment of cancer have revealed mixed results, and the
results of much larger randomised trials of metformin as an adjuvant therapy in
breast and colorectal cancers are awaited.
PMID- 29807102
TI - Efficacy and safety of Subetta add-on therapy in type 1 diabetes mellitus: The
results of a multicenter, double-blind, placebo-controlled, randomized clinical
trial.
AB - BACKGROUND: To examine efficacy of Subetta as an add-on to insulin therapy in
patients with type 1 diabetes mellitus (T1DM) a multicenter, double-blind,
placebo-controlled, randomized clinical trial was performed. Derived by
technological treatment of antibodies to insulin receptor beta-subunit and
endothelial NO synthase Subetta was previously proved to activate insulin
signaling pathway. METHODS: A total of 144 randomized patients with poor glycemic
control in basal-bolus insulin regime were included in intention-to-treat
analysis in Subetta add-on therapy or placebo (n = 72 in both groups). Hemoglobin
A1c (HbA1c), fasting plasma glucose (FPG), basal and prandial insulin doses,
number of hypoglycemia episodes confirmed by self-monitoring of blood glucose
were recorded for 36 weeks. RESULTS: The baseline characteristics of subjects did
not differ between the two groups. HbA1c mean (+/-standard deviation) change was
0.59 +/- 0.99% (95% CI -0.84 to -0.37) after 36 weeks in Subetta (vs. -0.20 +/-
1.14%; 95% CI -0.44 to 0.11 in placebo; p = 0.028). The rate of overall
hypoglycemia events was 7.9 per patient year (95% CI 7.1-8.6) in Subetta group
and 7.6 (95% CI 6.9-8.4) in Placebo group (p = 0.63). The basal and total insulin
doses did not change at the end of 36 weeks in both groups. CONCLUSIONS: Subetta
add-on therapy boosting insulin activity and improving glycemic control in
patients with T1DM is proved to be beneficial. CLINICAL TRIAL REGISTRATION:
ClinicalTrials.gov identifier: NCT01868594.
PMID- 29807103
TI - Continuous glucose monitoring is more sensitive than HbA1c and fasting glucose in
detecting dysglycaemia in a Spanish population without diabetes.
AB - AIMS: To investigate whether continuous glucose monitoring (CGM) reveals patterns
of glycaemic behaviour, the detection of which might improve early diagnosis of
dysglycaemia. METHODS: A total 1521 complete days of valid CGM data were recorded
under real-life conditions from a healthy sample of a Spanish community, as were
matching FPG and HbA1C data. No participant was pregnant, had a history of kidney
or liver disease, or was taking drugs known to affect glycaemia. RESULTS: CGM and
fingerstick measurements showed a mean relative absolute difference of 6.9 +/-
2.2%. All subjects were normoglycaemic according to FPG and HbA1C except 21% who
were prediabetic. The normoglycaemic subjects had a 24-hour mean blood glucose
concentration (MBG) of 5.7 +/- 0.4 mmol/L, spending a median of 97% of their time
within the target range (3.9-7.8 mmol/L). 73% of them experienced episodes with
blood glucose levels above the threshold for impaired glucose tolerance, and 5%
levels above the threshold for diabetes. These normoglycaemic participants with
episodes of high glycaemia had glycaemic variabilities similar to those of
prediabetic subjects with episodes of similar intensity or combined duration.
CONCLUSIONS: CGM is a better indicator of possible early dysglycaemia than either
FPG or HbA1c.
PMID- 29807104
TI - Dapagliflozin improves blood glucose in diabetes on triple oral hypoglycemic
agents having inadequate glucose control.
AB - AIMS: The aim of this study was to evaluate whether a combination drug therapy
that consists of dapagliflozin with three other oral hypoglycemic agents (OHAs)
would have a beneficial safety and efficacy profile in T2DM patients who have
uncontrolled glucose levels compared to a treatment regimen that contains of
basal insulin with two different OHAs. METHODS: A total of 162 type 2 diabetic
patients who are unable to control glucose on their current therapy consisting of
3 OHAs were enrolled in dapagliflozin group and 148 patients in insulin glargine
group for the 24-week study period. RESULTS: The mean changes in HbA1c level were
comparable as -0.97 +/- 1.29% in dapagliflozin group and -0.95 +/- 1.41% in
insulin glargine group (p = 0.911). Also, the fasting plasma glucose or post
prandial 2 h glucose were comparably decreased in dapagliflozin or insulin
glargine. In terms of the body-weight, there was a significant decrease of -2.36
+/- 0.51 kg following treatment of dapagliflozin, whereas the increment of 1.93
+/- 0.49 kg was in insulin glargine (p < 0.001). In terms of adverse events,
hypoglycemic events were higher in insulin glargine rather than dapagliflozin
(15.1% vs. 1.6%, p < 0.05). CONCLUSIONS: Our findings demonstrated that the
addition of dapagliflozin to an existing drug regimen consisting of three
different OHAs in patients exhibiting inadequate blood glucose control could be
alternate treatment modality in T2D who hesitate to initiate insulin therapy.
PMID- 29807105
TI - Characteristics, prevalence, and outcomes of diabetic foot ulcers in Africa. A
systemic review and meta-analysis.
AB - BACKGROUND: Among non-communicable diseases, diabetes represents a growing public
health problem in Africa, where diabetes-related needs remain mostly unmet and
the disabling features of foot are worsened by hygienic, cultural, and healthcare
issues. We aimed to review clinical characteristics, prevalence, and outcomes of
patients with diabetic foot ulcer in Africa. METHODS: We searched the literature
for cross-sectional and longitudinal studies reporting the characteristics of
patients with diabetic foot in African countries, with a particular focus on
ulcer prevalence, amputation rate, and mortality. FINDINGS: Fifty-five full-text
papers and ten abstracts were retrieved, reporting data from 19 African countries
on 56,173 diabetic patients. According to the data collected, the overall
prevalence of foot ulcers was 13% and increased over time, especially since 2001.
Approximately 15% of patients with foot lesions underwent major amputation and
14.2% died during hospitalization. In patients with diabetic ulcers, insulin
therapy was uncommon and neuropathy was the most common predisposing factor, but
the prevalence of peripheral arterial disease correlated with amputation rates.
Amputation and mortality decreased over time, probably as result of the
implementation of screening programs in the last ten years. Mortality was
directly related to previous amputation. INTERPRETATION: The diabetic foot
disease in Africa is a growing problem and is burden by high rate of in-hospital
mortality. Educational interventions and screening programs including evaluation
of the vascular status may play a crucial role to counter diabetic foot disease
in Africa.
PMID- 29807106
TI - Differentiation of neural crest stem cells from nasal mucosa into motor neuron
like cells.
AB - Cell transplantation is a potential therapeutic approach for repairing
neuropathological and neurodegenerative disorders of central nervous system by
replacing the degenerated cells with new ones. Among a variety of stem cell
candidates to provide these new cells, olfactory ectomesenchymal stem cells (OE
MSCs) have attracted a great attention due to their neural crest origin, easy
harvest, high proliferation, and autologous transplantation. Since there is no
report on differentiation potential of these cells into motor neuron-like cells,
we evaluated this potential using Real-time PCR, flowcytometry and
immunocytochemistry after the treatment with differentiation cocktail containing
retinoic acid and Sonic Hedgehog. Immunocytochemistry staining of the isolated OE
MSCs demonstrated their capability to express nestin and vimentin, as the two
markers of primitive neuroectoderm. The motor neuron differentiation of OE-MSCs
resulted in changing their morphology into bipolar cells with high expression of
motor neuron markers of ChAT, Hb-9 and Islet-1 at the level of mRNA and protein.
Consequently, we believe that the OE-MSCs have great potential to differentiate
into motor neuron-like cells and can be an ideal stem cell source for the
treatment of motor neuron-related disorders of central nervous system.
PMID- 29807107
TI - A fast level set method for inhomogeneous image segmentation with adaptive scale
parameter.
AB - It is difficult to segment images in the presence of intensity inhomogeneity due
to the overlap of the intensity ranges between different object regions. To deal
with this problem, this paper presents a novel level set method to segment
inhomogeneous images. Based on the inhomogeneous image model, an optimal
segmentation plane is derived in image domain to provide the optimal partition
for every pixel. With the plane, a new region-based pressure force function is
proposed and used to define an energy functional in the level set formulation on
the whole image region. By minimizing the energy functional, the proposed method
can segment the inhomogeneous image and estimate the bias field at the same time.
Besides, a new bias field initialization is introduced to improve the robustness
to the initial contour. In addition, a novel adaptive scale parameter is designed
for the kernel function in order to estimate the bias field accurately. The
proposed method is first presented as a two-phase level set formulation and then
extended to a multi-phase one. Finally, the experimental results on both
synthetic and real images demonstrate the superiority of the proposed method in
terms of accuracy, efficiency and robustness.
PMID- 29807108
TI - Functional role of a long non-coding RNA LIFR-AS1/miR-29a/TNFAIP3 axis in
colorectal cancer resistance to pohotodynamic therapy.
AB - Colorectal Cancer (CRC) is one of the most common digestive system malignant
tumors. Recently, PDT has been used as a first-line treatment for colon cancer;
however, limited curative effect was obtained due to resistance of CRC to PDT.
During the past decades, accumulating CRC-related long non-coding RNAs (lncRNAs),
microRNAs (miRNAs) and mRNAs have been reported to exert diverse functions
through various biological processes; their dysregulation might trigger and/or
promote the pathological changes. Herein, we performed microarrays analysis to
identify dysregulated lncRNAs, miRNAs and mRNAs in PDT-treated HCT116 cells to
figure out the lncRNA-miRNA interactions related to the resistance of CRC to PDT
treatment, and the downstream mRNA target, as well as the molecular mechanism. We
found a total of 1096 lncRNAs dysregulated in PDT-treated CRC HCT116 cells; among
them, LIFR-AS1 negatively interacted with miR-29a, one of the dysregulated miRNAs
in PDT-treated CRC cells, to affect the resistance of CRC to PDT. LIFR-AS1
knockdown attenuated, whereas miR-29a inhibition enhanced the cellular effect of
PDT on HCT116 cell proliferation and apoptosis. Furthermore, among the
dysregulated mRNAs, TNFAIP3 was confirmed to be a direct target of miR-29a and
exerted a similar effect to LIFR-AS1 on the cellular effects of PDT. In summary,
LIFR-AS1 serves as a competitive endogenous RNA (ceRNA) for miR-29a to inhibit
its expression and up-regulate downstream target TNFAIP3 expression, finally
modulating the resistance of CRC to PDT. We provide an experimental basis for
this lncRNA/miRNA/mRNA network being a promising target in CRC resistance to PDT
treatment.
PMID- 29807109
TI - Affinity network fusion and semi-supervised learning for cancer patient
clustering.
AB - Defining subtypes of complex diseases such as cancer and stratifying patient
groups with the same disease but different subtypes for targeted treatments is
important for personalized and precision medicine. Approaches that incorporate
multi-omic data are more advantageous to those using only one data type for
patient clustering and disease subtype discovery. However, it is challenging to
integrate multi-omic data as they are heterogeneous and noisy. In this paper, we
present Affinity Network Fusion (ANF) to integrate multi-omic data for patient
clustering. ANF first constructs patient affinity networks for each omic data
type, and then calculates a fused network for spectral clustering. We applied ANF
to a processed harmonized cancer dataset downloaded from GDC data portal
consisting of 2193 patients, and generated promising results on clustering
patients into correct disease types. Moreover, we developed a semi-supervised
model combining ANF and neural network for few-shot learning. In several cases,
the model can achieve greater than 90% accuracy on test set with training less
than 1% of the data. This demonstrates the power of ANF in learning a good
representation of patients, and shows the great potential of semi-supervised
learning in cancer patient clustering. .
PMID- 29807111
TI - Transport of a manganese/zinc ethylene-bis-dithiocarbamate fungicide may involve
pre-synaptic dopaminergic transporters.
AB - Mancozeb (MZ), an organic-metal fungicide used predominantly on vegetables and
fruits, has been linked to neurodegeneration and behavioral disruptions in a
variety of organisms, including humans. Both gamma-aminobutyric acid and dopamine
neurons appear to be more vulnerable to MZ exposure than other neuronal
populations. Based on these observations, we hypothesized that MZ may be
differentially transported into these cells through their presynaptic
neurotransmitter transporters. To test this, we pretreated Caenorhabditis elegans
with transporter antagonists followed by exposure to various concentrations of
MZ. Potential neuroprotection was monitored via green fluorescence associated
with various neuron populations in transgenic worm strains. Neurodegeneration
associated with subacute MZ treatment (30 min) was not altered by transporter
antagonist pretreatment. On the other hand, pretreatment with a dopamine
transporter antagonist (GBR12909) appeared to protect dopaminergic neurons from
chronic (24 h) MZ treatment. These results are consistent with other reports that
dopamine transporter levels or activity may modulate toxicity for neurotoxicants.
PMID- 29807112
TI - Pinocembrin induces ER stress mediated apoptosis and suppresses autophagy in
melanoma cells.
AB - Melanoma, one of the toughest tumors to treat, features high metastasis and high
lethality. Pinocembrin is a natural flavanone with versatile biological and
pharmacological activities. Here, we evaluated the anti-tumor effects of
pinocembrin against melanoma in vitro and in vivo. In vitro, pinocembrin
inhibited the proliferation of melanoma cells (B16F10 and A375) in a dose
dependent manner. It induced endoplasmic reticulum stress via IRE1alpha/Xbp1
pathway and triggered caspase-12/-4 mediated apoptosis in both cell lines.
Furthermore, we discovered that pinocembrin suppressed autophagy through the
activation of PI3K/Akt/mTOR pathway, which serves as a dual mechanism to enhance
the pro-death effect of pinocembrin. In vivo, pinocembrin inhibited the growth of
B16F10 by inducing apoptosis. Taken together, our results demonstrated that
pinocembrin can induce ER stress mediated apoptosis and suppress autophagy in
melanoma, indicating its application potential for melanoma therapy.
PMID- 29807110
TI - Anaerobic production of medium-chain fatty alcohols via a beta-reduction pathway.
AB - In this report, we identify the relevant factors to increase production of medium
chain n-alcohols through an expanded view of the reverse beta-oxidation pathway.
We began by creating a base strain capable of producing medium chain n-alcohols
from glucose using a redox-balanced and growth-coupled metabolic engineering
strategy. By dividing the heterologous enzymes in the pathway into different
modules, we were able to identify and evaluate homologs of each enzyme within the
pathway and identify several capable of enhancing medium chain alcohol titers
and/or selectivity. In general, the identity of the trans-2-enoyl-CoA reductase
(TER) and the direct overexpression of the thiolase (FadA) and beta-hydroxy-acyl
CoA reductase (FadB) improved alcohol titer and the identity of the FadBA complex
influenced the dominant chain length. Next, we linked the anaerobically induced
VHb promoter from Vitreoscilla hemoglobin to each gene to remove the need for
chemical inducers and ensure robust expression. The highest performing strain
with the autoinduced reverse beta-oxidation pathway produced n-alcohols at titers
of 1.8 g/L with an apparent molar yield of 0.2 on glucose consumed in rich medium
(52% of theoretical yield).
PMID- 29807113
TI - Comprehensive pharmacogenomic profiling of human papillomavirus-positive and
negative squamous cell carcinoma identifies sensitivity to aurora kinase
inhibition in KMT2D mutants.
AB - To address the unmet need for effective biomarker-driven targeted therapy for
human papillomavirus (HPV)-associated head and neck squamous cell carcinoma
(HNSCC) and cervical cancer, we conducted a high-throughput drug screen using
1122 compounds in 13 HPV-positive and 11 matched HPV-negative cell lines. The
most effective drug classes were inhibitors of polo-like kinase, proteasomes,
histone deacetylase, and Aurora kinases. Treatment with a pan-Aurora inhibitor,
danusertib, led to G2M arrest and apoptosis in vitro. Furthermore, danusertib
decreased tumor size compared with controls in patient derived xenograft models
of HNSCC. To identify biomarkers predicting response, we determined associations
between mutations and drug sensitivity. Our data and the Genomics of Drug
Sensitivity in Cancer database showed that cancer cells with KMT2D mutations were
more sensitive to Aurora kinase inhibitors than were cells without mutations.
Knockdown of KMT2D in wild-type cells led to increased Aurora kinase inhibitor
induced apoptosis. We identified Aurora kinase inhibitors as effective and
understudied drugs in HNSCC and CESC. This is the first published study to
demonstrate that mutations in KMT2D, which are common in many cancers, correlate
with drug sensitivity in two independent datasets.
PMID- 29807114
TI - Sulforaphane-N-Acetyl-Cysteine inhibited autophagy leading to apoptosis via Hsp70
mediated microtubule disruption.
AB - Sulforaphane-N-acetyl-cysteine (SFN-NAC) is a potential drug to inhibit human non
small cell lung cancer (NSCLC), but the underlying mechanisms are elusive. Here,
we uncovered that SFN-NAC induced apoptosis via flow cytometer assay and
transmission electron microscopy. Further, SFN-NAC increased LC3 II/LC3 I and the
number of LC3 punctas, but Western blot showed that SFN-NAC inhibited cell
autophagy in response to a co-treatment of Bafilomycin A1 and SFN-NAC.
Furthermore, immunofluorescence staining and Western blot showed that SFN-NAC
triggered microtubule disruption causing apoptosis via downregulating alpha
tubulin and phosphorylated ERK1/2-mediated Stathmin-1. Besides, SFN-NAC
upregulated Hsp70 via phosphorylating ERK1/2. Confocal microscopy and
immunoprecipitation assay showed that SFN-NAC promoted the colocalization and
interaction of Hsp70 and alpha-tubulin; knockdown of Hsp70 enhanced SFN-NAC
induced microtubule disruption, lowered LC3 II/LC3 I and promoted apoptosis.
Interestingly, tissue microarray analysis showed that the increased expression of
either alpha-tubulin or Hsp70 correlated to NSCLC malignant grading, indicating
that microtubule and Hsp70 are two key targets for SFN-NAC. These results will
give us a new insight into SFN-NAC-induced apoptosis so that we develop more
efficient therapeutics to treat NSCLC.
PMID- 29807115
TI - Curcumin suppresses oncogenicity of human colon cancer cells by covalently
modifying the cysteine 67 residue of SIRT1.
AB - SIRT1, an NAD+-dependent histone/protein deacetylase, has diverse physiological
actions. Recent studies have demonstrated that SIRT1 is overexpressed in
colorectal cancer, suggesting its oncogenic potential. However, the molecular
mechanisms by which overexpressed SIRT1 induces the progression of colorectal
cancer and its inhibition remain largely unknown. Curcumin (diferuloymethane), a
major component of the spice turmeric derived from the plant Curcuma longa L.,
has been reported to exert chemopreventive and anti-carcinogenic effects on colon
carcinogenesis. In the present study, we found that curcumin reduced the
expression of SIRT1 protein without influencing its mRNA expression in human
colon cancer cells, suggesting posttranslational regulation of SIRT1 by this
phytochemical. Notably, ubiquitination and subsequent proteasomal degradation of
SIRT1 were induced by curcumin treatment. Results of nano-LC-ESI-MS/MS revealed
the direct binding of curcumin to cysteine 67 of SIRT1. In line with this result,
the protein stability and clonogenicity of a mutant SIRT1 in which cysteine 67
was substituted by alanine were unaffected by curcumin. Taken together, these
observations suggest that curcumin facilitates the proteasomal degradation of
oncogenic SIRT1 through covalent modification of SIRT1 at the cysteine 67
residue.
PMID- 29807116
TI - Perfluorooctane sulfonate (PFOS) can alter the hypothalamic-pituitary-adrenal
(HPA) axis activity by modifying CRF1 and glucocorticoid receptors.
AB - Perfluorooctane sulfonate (PFOS) is an endocrine disruptor highly persistent,
bioaccumulative and neurotoxic, whose presence has been detected in different
compartments of the environment. The aim of this study was to investigate whether
PFOS could alter the HPA axis activity by modifying the gene and protein
expression of corticotropin-releasing factor 1 receptor (CRF1r) and
glucocorticoid receptor (Gr). For that purpose, Sprague-Dawley adult male rats
were orally treated by gavage with 0.5; 1.0; 3.0 and 6.0 mg of PFOS/kg/day for 28
consecutive days. After PFOS administration, gene and protein expression of CRF1r
were analysed in the hypothalamus, hippocampus, pituitary and adrenal glands.
Moreover, Gr gene and protein expression were measured in hypothalamus, pituitary
gland, prefrontal cortex, amygdala and hippocampus. The reported results indicate
that (1) PFOS could inhibit HPA axis activity by diminishing gene and protein
expression of CRF1r in the pituitary gland; (2) PFOS inhibits Gr protein
expression in both prefrontal cortex and amygdala, which could be related to the
toxic effects of this contaminant in this neuroendocrine axis and finally, (3)
PFOS-treated rats would try to maintain the physiological levels of
corticosterone by reducing the protein expression of Gr in the pituitary gland.
PMID- 29807117
TI - The neuromuscular junction of Xenopus tadpoles: Revisiting a classical model of
early synaptogenesis and regeneration.
AB - The frog neuromuscular junction (NMJ) has been extensively used as a model system
to dissect the mechanisms involved in synapse formation, maturation, maintenance,
regeneration, and function. Early NMJ synaptogenesis relies on a combination of
cell-autonomous and interdependent pre/postsynaptic communication processes. Due
to their transparency, comparatively easy manipulation, and remarkable
regenerative abilities, frog tadpoles constitute an excellent model to study NMJ
formation and regeneration. Here, we aimed to contribute new aspects on the
characterization of the ontogeny of NMJ formation in Xenopus embryos and to
explore the morphological changes occurring at the NMJ after spinal cord injury.
Following analyses of X. tropicalis tadpoles during development we found that the
early pathfinding of rostral motor axons is likely helped by previously formed
postsynaptic specializations, whereas NMJ formation in recently differentiated
ventral muscles in caudal segments seems to rely on presynaptic inputs. After
spinal cord injury of X. laevis tadpoles our results suggest that rostral motor
axon projections help caudal NMJ re-innervation before spinal cord connectivity
is repaired.
PMID- 29807118
TI - CMI and 'primary-care' infections.
PMID- 29807119
TI - Antipsoriatic effect of fatty acid enriched fraction of Vernonia anthelmintica
Willd. fruits.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Vernonia anthelmintica has been utilized
conventionally as an ingredient in Ayurveda and traditional Uighur medicine for
management of various skin ailments, and scientific data's have substantiated its
use in treating vitiligo, dermatosis and leucoderma. The present investigation
was focused to evaluate the antipsoriatic activity of V. anthelmintica fruit
extracts and fractions. MATERIALS AND METHODS: Ointment containing
dichloromethane (DCM) and methanol (MeOH) extracts at topical dose of 2.5% and 5%
(w/w) was evaluated using mouse tail model of psoriasis. Bioactivity-guided
fractionation (F1-F7) of most active extract was carried out and fractions were
again subjected to mouse tail model. Further the activity of bioactive fraction
was confirmed in HaCaT (human keratinocyte) cell line using MTT (3-(4,5
dimethylthiazol-2-yl)- 2,5-diphenyltetrazolium bromide) assay and its chemical
characterization was done via gas chromatography mass spectrometry (GC-MS).
RESULTS: The dichloromethane extract (5%, w/w) showed statistically significant
(* p < 0.05) antipsoriatic activity (66.97 +/- 2.68%) with respect to control
(25.45 +/- 1.80%) and equivalent to that of the standard drug, retino-A 0.05%,
(72.47 +/- 2.14%) in terms of degree of orthokeratosis, whereas methanol extract
(5%, w/w) showed significant (* p < 0.05) differentiation (45.86 +/- 2.02%) in
comparison to the control group. Out of all fractions, F6 showed statistically
significant (* p < 0.05) antipsoriatic activity (69.27 +/- 2.76%) with respect to
control and equivalent to that of the standard. F6 (15.6-1000 ug/ml) showed dose
dependent inhibition of HaCaT cell lines proliferation which suggests
keratinocyte modulating activity of V. anthelmintica. Chemical characterization
of F6 revealed that essential fatty acids (i.e., linoleic acid, palmitic acid,
oleic acid and stearic acid) formed the bulk of bioactive fraction. CONCLUSION:
Ameliorative effect of V. anthelmintica in psoriasis might be attributed to the
presence of essential fatty acids and thus corroborates its traditional use in
the treatment of skin ailments.
PMID- 29807120
TI - Identification of a novel galectin in Sinonovacula constricta and its role in
recognition of Gram-negative bacteria.
AB - Galectins are soluble lectins that perform a pattern recognition function in
invertebrate immunity and specifically recognise beta-galactoside residues via
conserved carbohydrate recognition domains. However, their function in bivalve
molluscs has received little attention. Herein, a galectin (ScGal2) in razor clam
(Sinonovacula constricta) consisting of a 507 bp open reading frame encoding a
protein of 168 amino acids was identified and characterised. The protein includes
a carbohydrate recognition domain (CRD), and several residues involved in
dimerisation were found. ScGal2 mRNAs were mainly detected in hemolymph and
liver, and expression was upregulated significantly following challenge with
Vibrio anguillarum. Recombinant rScGal2 protein displayed strong agglutination
activity toward Gram-negative bacteria, and flow cytometry revealed that ScGal2
strongly promoted phagocytosis in hemocytes. These results suggest that ScGal2
plays an indispensable role in innate immunity in razor clam, and likely
participates in immune recognition and clearance processes.
PMID- 29807121
TI - Molecular characteristics, expression, and antimicrobial activities of i-type
lysozyme from the razor clam Sinonovacula constricta.
AB - Lysozyme is a key component of the innate immune system, which plays a pivotal
role in early defense against pathogen infection. In this study, an i-type
lysozyme homology was identified from the razor clam Sinonovacula constricta
(designated as ScLYZ) through RACE approaches. The full-length cDNA of ScLYZ was
768 bp and encoded a polypeptide of 140 amino acid residues. SMART analysis
revealed that ScLYZ processed a signal peptide (1-18 aa) and a destabilase domain
from 25 to 133 aa. Two catalytic residues (Glu36 and Asp47) and two specific
motifs ["CL(E/L/R/H)C(I/M)C" and "MDVGSLSCG(P/Y) (F/Y)QIK"] of the i-type
lysozyme were highly conserved in the ScLYZ sequence. Multiple sequence
alignments and phylogenetic analysis indicated that ScLYZ could be a new member
of the i-type lysozyme subfamily. Tissue distribution analysis revealed that
ScLYZ was constitutively expressed in all examined tissues, and the highest
expression was found in the hepatopancreas. After the razor clams were challenged
by Vibrio parahaemolyticus, the mRNA levels of ScLYZ increased in the gill and
hepatopancreas. Moreover, the recombinant protein was expressed in Escherichia
coli, and the refolded ScLYZ showed highly antimicrobial activities against V.
parahaemolyticus and Vibrio splendidus. The minimal inhibitory concentration
toward V. parahaemolyticus was 8.2 MUmol/mL. All our results supported that ScLYZ
was involved in the innate immune defense of razor clam by inhibiting the growth
of invasive pathogens.
PMID- 29807122
TI - Testing the relative associations of different components of dietary restraint on
psychological functioning in anorexia nervosa and bulimia nervosa.
AB - Although empirical evidence identifies dietary restraint as a transdiagnostic
eating disorder maintaining mechanism, the distinctiveness and significance of
the different behavioural and cognitive components of dietary restraint are
poorly understood. The present study examined the relative associations of the
purportedly distinct dietary restraint components (intention to restrict, delayed
eating, food avoidance, and diet rules) with measures of psychological distress
(depression, anxiety, and stress), disability, and core eating disorder symptoms
(overvaluation and binge eating) in patients with anorexia nervosa (AN) and
bulimia nervosa (BN). Data were analysed from a treatment-seeking sample of
individuals with AN (n = 124) and BN (n = 54). Intention to restrict, food
avoidance, and diet rules were strongly related to each other (all r's > 0.78),
but only weakly-moderately related to delayed eating behaviours (all r's < 0.47).
In subsequent moderated ridge regression analyses, delayed eating was the only
restraint component to independently predict variance in measures of
psychological distress. Patient diagnosis did not moderate these associations.
Overall, findings indicate that delayed eating behaviours may be a distinct
component from other indices of dietary restraint (e.g., intention to restrict,
food avoidance, diet rules). This study highlights the potential importance of
ensuring that delayed eating behaviours are screened, assessed, and targeted
early in treatment for patients with AN and BN.
PMID- 29807123
TI - Fast-food, everyday life and health: A qualitative study of 'chicken shops' in
East London.
AB - The higher prevalence of fast food outlets in deprived areas has been associated
with the production and maintenance of geographical inequalities in diet. In the
UK one type of fast food outlet - the 'chicken shop' - has been the focus of
intense public health and media interest. Despite ongoing concerns and
initiatives around regulating these establishments, the 'chicken shop' is both a
commercially successful and ubiquitous feature of disadvantaged urban
neighbourhoods. However, little is known about how they are perceived by local
residents. We report data from a qualitative study of neighbourhood perceptions
in a low SES urban setting. Narrative family interviews, go-along interviews and
school video focus group workshops with 66 residents of East London were
conducted over two waves. The topic of chicken shops was a prolific theme and a
narrative analysis of these accounts revealed that local perceptions of chicken
shops are complex and contradictory. Chicken shops were depicted as both
potentially damaging for the health of local residents and, at the same time, as
valued community spaces. This contradiction was discursively addressed in
narrative via a series of rhetorical rebuttals that negated their potential to
damage health on the grounds of concepts such as trust, choice, balance, food
hygiene and compensatory physical activity. In some instances, chicken shops were
described as 'healthy' and patronising them constructed as part of a healthy
lifestyle. Chicken shops are embedded in the social fabric of neighbourhoods.
Successful strategies to improve diet therefore requires context-sensitive
environmental interventions.
PMID- 29807124
TI - Peas, please! Food familiarization through picture books helps parents introduce
vegetables into preschoolers' diets.
AB - Repeated taste exposure is an established means of increasing children's liking
and intake of fruit and vegetables. However, parents find it difficult to offer
children disliked foods repeatedly, often giving up after a few attempts. Studies
show that familiarizing children to fruit and vegetables through picture books
can increase their interest in tasting targeted foods. This study explored
whether looking at picture books before providing foods to taste improved the
outcomes of a home-delivered taste exposure regime. Parents of 127 toddlers (aged
21-24 months) identified two 'target' foods they wanted their child to eat (1
fruit, 1 vegetable). Families were randomly assigned to one of three groups.
Parents and children in two experimental groups looked at books about either the
target fruit or vegetable every day for two weeks; the control group did not
receive a book. Parents in all three groups were then asked to offer their child
both target foods every day during a 2-week taste-exposure phase. Parental
ratings of children's liking and consumption of the foods were collected at
baseline, immediately following taste-exposure (post-intervention), and 3 months
later (follow-up). In all groups, liking of both foods increased following taste
exposure and remained above baseline at follow-up (all ps < .001). In addition,
compared to the control group who experienced only taste exposure, looking at
vegetable books enhanced children's liking of their target vegetable post
intervention (p < .001) and at follow-up (p < .05), and increased consumption of
the vegetable at follow-up (p < .01). Exposure to vegetable books was also
associated with smaller increases in neophobia and food fussiness over the period
of the study compared to controls (ps < .01), suggesting that picture books may
have positive, long-term impacts on children's attitudes towards new foods.
PMID- 29807125
TI - Investigating the impact of a health game on implicit attitudes towards food and
food choice behaviour of young adults.
AB - Improving diets by stimulating fruit and vegetable consumption might be
beneficial, in particular when they substitute energy-dense products. The aim of
present study was to investigate whether a health game can be used to positively
affect healthy implicit attitudes (IAs) towards food and subsequent food choice
behaviour of young adults. A 2 (Time: baseline vs. post-test) x 2 (Condition:
health game vs. control game) x 2 (Baseline IAs: healthy IAs vs. less healthy
IAs) mixed-subjects design was used with 125 participants (age: M = 20.17, SD =
1.88). IAs towards food were assessed at baseline and post-test using an Implicit
Association Test (IAT). Additionally, food choice behaviour was assessed after
game play. At baseline, the majority of participants had healthy IAs (i.e.,
favouring fruit over chocolate snacks). At post-test, significantly less healthy
IAs were observed in the control condition, while this reduction was not
significant in the health game condition. Regarding food choice behaviour,
participants with healthy baseline IAs were more likely to select fruit in the
health game condition than participants with healthy baseline IAs in the control
game condition. However, participants with less healthy baseline IAs were less
likely to select fruit in the health game condition than in the control
condition. We found tentative support that health games can be used to influence
IAs towards food and positively affect food choice behaviour. However, this
influence was only observed for those with healthy baseline IAs. The current
version of the health game would primarily benefit those already healthy and
could negatively affect those that need the intervention most, so modifications
are recommendable.
PMID- 29807126
TI - An acute bout of cycling does not induce compensatory responses in pre-menopausal
women not using hormonal contraceptives.
AB - There is a clear need to improve understanding of the effects of physical
activity and exercise on appetite control. Therefore, the acute and short-term
effects (three days) of a single bout of cycling on energy intake and energy
expenditure were examined in women not using hormonal contraceptives. Sixteen
active (n = 8) and inactive (n = 8) healthy pre-menopausal women completed a
randomised crossover design study with two conditions (exercise and control). The
exercise day involved cycling for 1 h (50% of maximum oxygen uptake) and resting
for 2 h, whilst the control day comprised 3 h of rest. On each experimental day
participants arrived at the laboratory fasted, consumed a standardised breakfast
and an ad libitum pasta lunch. Food diaries and combined heart rate-accelerometer
monitors were used to assess free-living food intake and energy expenditure,
respectively, over the subsequent three days. There were no main effects or
condition (exercise vs control) by group (active vs inactive) interaction for
absolute energy intake (P > 0.05) at the ad libitum laboratory lunch meal, but
there was a condition effect for relative energy intake (P = 0.004, etap2 = 0.46)
that was lower in the exercise condition (1417 +/- 926 kJ vs. 2120 +/- 923 kJ).
Furthermore, post-breakfast satiety was higher in the active than in the inactive
group (P = 0.005, etap2 = 0.44). There were no main effects or interactions (P >
0.05) for mean daily energy intake, but both active and inactive groups consumed
less energy from protein (14 +/- 3% vs. 16 +/- 4%, P = 0.016, etap2 = 0.37) and
more from carbohydrate (53 +/- 5% vs. 49 +/- 7%, P = 0.031, etap2 = 0.31)
following the exercise condition. This study suggests that an acute bout of
cycling does not induce compensatory responses in active and inactive women not
using hormonal contraceptives, while the stronger satiety response to the
standardised breakfast meal in active individuals adds to the growing literature
that physical activity helps improve the sensitivity of short-term appetite
control.
PMID- 29807128
TI - Preparation, validation and user-testing of pictogram-based patient information
leaflets for tuberculosis.
AB - INTRODUCTION: Patient education is of paramount importance with regard to the
condition of the disease and the treatment given besides lifestyle remodelling in
order to get the desired therapeutic outcomes. When verbal information is
provided to the patients, they often tend to forget it. Pictorial aids or
pictograms, as they are commonly known, are tools that are widely used for
imparting knowledge to the patients. The aim of the study is to prepare and
validate a Pictogram-based Patient Information Leaflet (P-PILs) on Tuberculosis
(TB). METHODS: P-PILs have been prepared from tertiary, secondary and primary
sources. The knowledge-based questions are prepared with respect to the P-PILs.
The baseline knowledge of the volunteers and patients has been analyzed before
administering the P-PILs by using the validated questionnaire. The post-knowledge
of the volunteers and patients has been analyzed after administering the P-PILs
(20 minutes) by using the same questionnaire and the user-opinion has also been
obtained at the end. RESULTS: The study results show that the mean scores of the
overall user-testing knowledge assessment are found to have improved
significantly from the pre-P-PILs administration score of 62.67 to the post-P
PILs administration score of 91. The overall user-opinion about the P-PILs has
been found to be good (75%) followed by average (25%). CONCLUSION: The present
study shows that there is significant improvement in the knowledge levels of the
patients and volunteers after reading the validated leaflets. The P-PILs are
found to be an effective educational tool for TB patients.
PMID- 29807127
TI - Contextual fear retrieval-induced Fos expression across early development in the
rat: An analysis using established nervous system nomenclature ontology.
AB - The neural circuits underlying the acquisition, retention and retrieval of
contextual fear conditioning have been well characterized in the adult animal. A
growing body of work in younger rodents indicates that context-mediated fear
expression may vary across development. However, it remains unclear how this
expression may be defined across the full range of key developmental ages. Nor is
it fully clear whether the structure of the adult context fear network
generalizes to earlier ages. In this study, we compared context fear retrieval
induced behavior and neuroanatomically constrained immediate early-gene
expression across infant (P19), early and late juvenile (P24 and P35), and adult
(P90) male Long-Evans rats. We focused our analysis on neuroanatomically defined
subregions and nuclei of the basolateral complex of the amygdala (BLA complex),
dorsal and ventral portions of the hippocampus and the subregions of the medial
prefrontal cortex as defined by the nomenclature of the Swanson (2004) adult rat
brain atlas. Relative to controls and across all ages tested, there were greater
numbers of Fos immunoreactive (Fos-ir) neurons in the posterior part of the
basolateral amygdalar nuclei (BLAp) following context fear retrieval that
correlated statistically with the expression of freezing. However, Fos-ir within
regions having known connections with the BLA complex was differentially
constrained by developmental age: early juvenile, but not adult rats exhibited an
increase of context fear-dependent Fos-ir neurons in prelimbic and infralimbic
areas, while adult, but not juvenile rats displayed increases in Fos-ir neurons
within the ventral CA1 hippocampus. These results suggest that juvenile and adult
rodents may recruit developmentally unique pathways in the acquisition and
retrieval of contextual fear. This study extends prior work by providing a
broader set of developmental ages and a rigorously defined neuroanatomical
ontology within which the contextual fear network can be studied further.
PMID- 29807129
TI - Immunization with Mycobacterium vaccae induces an anti-inflammatory milieu in the
CNS: Attenuation of stress-induced microglial priming, alarmins and anxiety-like
behavior.
AB - Exposure to stressors induces anxiety- and depressive-like behaviors, which are
mediated, in part, by neuroinflammatory processes. Recent findings demonstrate
that treatment with the immunoregulatory and anti-inflammatory bacterium,
Mycobacterium vaccae (M. vaccae), attenuates stress-induced exaggeration of
peripheral inflammation and stress-induced anxiety-like behavioral responses.
However, the effects of M. vaccae on neuroimmune processes have largely been
unexplored. In the present study, we examined the effect of M. vaccae NCTC11659
on neuroimmune regulation, stress-induced neuroinflammatory processes and anxiety
like behavior. Adult male rats were immunized 3* with a heat-killed preparation
of M. vaccae (0.1 mg, s.c.) or vehicle. M. vaccae induced an anti-inflammatory
immunophenotype in hippocampus (increased interleukin (Il)4, Cd200r1, and Mrc1
mRNA expression) and increased IL4 protein 8 d after the last immunization.
Central administration of recombinant IL4 recapitulated the effects of M. vaccae
on Cd200r1 and Mrc1 mRNA expression. M. vaccae reduced basal levels of genes
(Nlrp3 and Nfkbia) involved in microglial priming; thus, we explored the effects
of M. vaccae on stress-induced hippocampal microglial priming and HMGB1, which
mediates priming. We found that M. vaccae blocked stress-induced decreases in
Cd200r1, increases in the alarmin HMGB1, and priming of the microglial response
to immune challenge. Furthermore, M. vaccae prevented stress-induced increases in
anxiety-like behavior. The present findings suggest that M. vaccae enhances
immunomodulation in the CNS and mitigates the neuroinflammatory and behavioral
effects of stress, which may underpin its capacity to impart a stress resilient
phenotype.
PMID- 29807131
TI - Differences in pathogenicity and virulence of Trypanosoma brucei gambiense field
isolates in experimentally infected Balb/C mice.
AB - Trypanosoma brucei gambiense (T. b. gambiense) is the major causative agent of
human African trypanosomiasis (HAT). A great variety of clinical outcomes have
been observed in West African foci, probably due to complex host-parasite
interactions. In order to separate the roles of parasite genetic diversity and
host variability, we have chosen to precisely characterize the pathogenicity and
virulence of T. b. gambiense field isolates in a mouse model. Thirteen T. b.
gambiense strains were studied in experimental infections, with 20 Balb/C
infected mice per isolate. Mice were monitored for 30 days, in which mortality,
parasitemia, anemia, and weight were recorded. Mortality rate, prepatent period,
and maximum parasitemia were estimated, and a survival analysis was performed to
compare strain pathogenicity. Mixed models were used to assess parasitemia
dynamics, weight, and changes in Packed Cell Volume (PCV). Finally, a
multivariate analysis was performed to infer relationships between all variables.
A large phenotypic diversity was observed. Pathogenicity was highly variable,
ranging from strains that kill their host within 9 days to a non-pathogenic
strain (no deaths during the experiment). Virulence was also variable, with
maximum parasitemia values ranging from 42 million to 1 billion trypanosomes/ml.
Reduced PCV and weight occurred in the first two weeks of the infection, with the
exception of two strains. Finally, the global analysis highlighted three groups
of strains: a first group with highly pathogenic strains showing an early
mortality associated with a short prepatent period; a second group of highly
virulent strains with intermediate pathogenicity; and a third group of isolates
characterized by low pathogenicity and virulence patterns. Such biological
differences could be related to the observed clinical diversity in HAT. A better
understanding of the biological pathways underlying the observed phenotypic
diversity could thus help to clarify the complex nature of the host-parasite
interactions that determine the resistance/susceptibility status to T. brucei
gambiense.
PMID- 29807132
TI - Enhanced recovery after hepatectomy: A systematic review.
AB - BACKGROUND: Hepatectomy is a surgery with high postoperative complication rates.
Enhanced recovery after surgery (ERAS) clinical pathways in liver surgery have
been studied and may become a standard of care. However, few specific
recommendations have been published so far. OBJECTIVE: The aim of this study was
to assess the efficacy and safety of the enhanced recovery program in liver
surgery. METHODS: Randomised controlled trials (RCTs) comparing ERAS group with
traditional care published between 2007 and 2017 were included in this review.
The outcomes were length of stay (LoS), complications, mortality and readmission
rate for all liver surgeries except transplantation. RESULTS: Five hundred and
twenty-four patients randomised in 4 RCTs were analysed. Two hundred and fifty
four patients were in ERAS group and 270 patients in traditional care (TC) group.
Two studies compared cares in laparoscopic surgery and 2 in open surgery.
Postoperative LoS was significantly lower in the ERAS group whereas readmission
and mortality rate were similar. ERAS group had also significant lower
complication rate in 2 studies of the 4. The complication rate in the 2 other
studies was similar. CONCLUSION: ERAS protocols in liver surgery appeared to be
safe and effective. Recent recommendations from the ERAS group in liver surgery
are the only ones published so far. Other studies evaluating ERAS components in
liver surgery and recommendations from scientific societies are needed to spread
this clinical care pathway.
PMID- 29807133
TI - Antibacterial potential of silver nanoparticles synthesized using Madhuca
longifolia flower extract as a green resource.
AB - The green and one-step synthesis of silver nanoparticles (AgNPs) has been
proposed as simple and ecofriendly. In the present study, a flower extract of
Madhuca longifolia was used for the reduction of silver nitrate into AgNPs, with
phytochemicals from the flower extract as a reducing and stabilizing agents. The
synthesized AgNPs were spherical and oval shaped and about 30-50 nm sizes. The
appearance of a brown color in the reaction mixture is a primary indication of
AgNPs formation, and it was confirmed by observing UV-visible spectroscopy peak
at 436 nm. The Energy Dispersive X-ray spectra and X-ray diffraction analysis
results together confirm that the synthesized nanoparticles contain silver and
silver chloride nanoparticles. The Zeta potential analysis indicates presence of
negative charges on synthesized AgNPs. The FT-IR study represents involvement of
functional groups in AgNPs synthesis. Synthesized AgNPs shows potential
antibacterial activity against Gram-positive and Gram-negative pathogens. M.
longifolia flower is a good source for AgNPs synthesis and synthesized AgNPs are
applicable as antibacterial agent in therapeutics.
PMID- 29807130
TI - It's not magic - Hsp90 and its effects on genetic and epigenetic variation.
AB - Canalization, or phenotypic robustness in the face of environmental and genetic
perturbation, is an emergent property of living systems. Although this phenomenon
has long been recognized, its molecular underpinnings have remained enigmatic
until recently. Here, we review the contributions of the molecular chaperone
Hsp90, a protein that facilitates the folding of many key regulators of growth
and development, to canalization of phenotype - and de-canalization in times of
stress - drawing on studies in eukaryotes as diverse as baker's yeast, mouse ear
cress, and blind Mexican cavefish. Hsp90 is a hub of hubs that interacts with
many so-called 'client proteins,' which affect virtually every aspect of cell
signaling and physiology. As Hsp90 facilitates client folding and stability, it
can epistatically suppress or enable the expression of genetic variants in its
clients and other proteins that acquire client status through mutation. Hsp90's
vast interaction network explains the breadth of its phenotypic reach, including
Hsp90-dependent de novo mutations and epigenetic effects on gene regulation.
Intrinsic links between environmental stress and Hsp90 function thus endow living
systems with phenotypic plasticity in fluctuating environments. As environmental
perturbations alter Hsp90 function, they also alter Hsp90's interaction with its
client proteins, thereby re-wiring networks that determine the genotype-to
phenotype map. Ensuing de-canalization of phenotype creates phenotypic diversity
that is not simply stochastic, but often has an underlying genetic basis. Thus,
extreme phenotypes can be selected, and assimilated so that they no longer
require environmental stress to manifest. In addition to acting on standing
genetic variation, Hsp90 perturbation has also been linked to increased frequency
of de novo variation and several epigenetic phenomena, all with the potential to
generate heritable phenotypic change. Here, we aim to clarify and discuss the
multiple means by which Hsp90 can affect phenotype and possibly evolutionary
change, and identify their underlying common feature: at its core, Hsp90
interacts epistatically through its chaperone function with many other genes and
their gene products. Its influence on phenotypic diversification is thus not
magic but rather a fundamental property of genetics.
PMID- 29807134
TI - Quorum sensing signals and related virulence inhibition of Pseudomonas aeruginosa
by a potential probiotic strain's organic acid.
AB - Studies conducted in recent years show that pathogen bacteria are not asocial
assets and they use the cell to cell communication mechanism called quorum
sensing that depends on population density to adapt changing environmental
conditions. This mechanism is coordinate gene expression of various bacterial
factors like bioluminescence, antibiotic biosynthesis, plasmid conjugation and
virulence. Bacteria communicate with each other by producing signal molecules and
regulate the production of virulence factors that have importance in the
pathogenity formation. Virulence mechanisms of Pseudomonas aeruginosa, which
causes various types of infections in humans, are also regulated by quorum
sensing. Nowadays, biotechnological researches are focused on the development of
homoserine lactone antagonists. The use of these type of molecules are considered
to be a new treatment approach for blocking communication between bacteria and
reducing virulence, therefore improving infection control. In this study, lactic
acid of a potential probiotic Pediococcus acidilactici M7 strain isolated from
newborn faeces was used to evaluate the inhibitory effect on quorum sensing
signal molecules and some virulence factors of clinical Pseudomonas aeruginosa
isolates. Results showed that lactic acid has an inhibitory effect on short-chain
HSL production and swarming-swimming-twitching motility, elastase, protease,
pyocyanin, and biofilm production of Pseudomonas aeruginosa isolates in certain
quantities that are regulated by the quorum sensing system.
PMID- 29807135
TI - Biologically synthesized zinc oxide nanoparticles as nanoantibiotics against
ESBLs producing gram negative bacteria.
AB - The accelerative outgrowth of extended spectrum beta-lactamases (ESBLs) producing
Escherichia coli (E. coli) and Proteus mirabilis (P. mirabilis) was mainly due to
incessant relentless influence of antibiotics thereby increasing incidence and
death rate which was obvious from the survey of ESBLs producing bacteria related
health problem. In the present paper, we synthesized and characterized zinc oxide
nanoparticles (ZnO NPs) employing using Camellia japonica leaf extract,
bactericidal action of these NPs against extended spectrum beta lactamases
(ESBLs) positive E. coli and P. mirabilis clinical strains owing the minimal
inhibitory concentration (MIC) percentage 83, 81% at 100 MUg/mL concentration and
minimum bactericidal concentration (MBC) final inhibiting concentration at 150
MUg/mL. Moreover, confocal laser scanning microscopy (CLSM) and scanning electron
microscope (SEM) results evident for loss of viability, cell shrinkage,
disarrangement of cell membrane, and cell wall lysis activity of ZnO NPs against
ESBLs positive E. coli BDUMS3 (KY617770) and P. mirabilis BDUMS1 (KY617768)
strains. From the results, it was observed that the biologically synthesized ZnO
NPs has stronger antibacterial effect against ESBLs producing bacterial strains.
Nevertheless, current date there is no reports of antibacterial activity of metal
oxide (ZnO) NPs against ESBL producing gram negative bacteria. Consequently, this
finding is the first report in this respect and it shows band gap energy and ROS
accumulation to damage the cell wall and inhibit the growth of ESBL producing
gram negative strains.
PMID- 29807136
TI - Retrieval of a Micra transcatheter pacing system in a heart with a preexisting
lead.
AB - We report the case of a 74-year-old man with a previously implanted pacemaker
lead. He had undergone MedtronicTM Micra Transcatheter Pacing System (TPS,
Medtronic plc, MN, USA) implantation because of lead fracture. We implanted a new
TPS and retrieved the dislodged one. We used a multiple-loop snare (EN snare(r))
and an 8.5F steerable sheath (Agilis NXT; St. Jude Medical, St Paul, MN, USA).
The TPS was obstructed by the chordae tendineae of the tricuspid valve and the
pacemaker lead. We pushed the TPS to the apex site; this enabled us to move the
TPS away from the chordae tendineae and pacemaker lead. The TPS body was caught
in the inferior vena cava and was successfully retrieved. To our knowledge, this
is the first case reporting TPS retrieval in a heart with preexisting lead.
PMID- 29807137
TI - Proteomic approaches unravel the intricacy of secreted proteins of Leishmania: An
updated review.
AB - Leishmaniasis, a parasitic protozoan disease, is still a worldwide concern due to
persistent issues with chemotherapy, rapid emerging drug resistance; and non-
availability of approved vaccine for the control of disease. Therefore, the
search of parasite specific proteins to identify new anti-leishmanial drug
targets and vaccine candidates is an urgent priority. In this context, proteins
that are secreted, in vitro during parasite growth under defined conditions, can
be explored as potential tool for studying their roles in parasite survival
inside host and disease pathogenesis. From the last few years, various approaches
have been exploited to identify the proteins secreted out by the parasites under
defined conditions at particular stage or time. Due to availability of genomic
information on various Leishmania species, proteomics have been emerged as most
promising approach for analyzing the complexity of exoproteome of different
Leishmania species. Herein, we have summarized various secretion mechanisms used
by Leishmania parasites to export the proteins into the extracellular space;
followed by the role of proteomics in exoproteome analysis along with special
emphasis on various applications to study the exoproteome, which might provide
potential targets for drug design or novel antigens for vaccine development.
PMID- 29807138
TI - Femoral neck cortical bone in female and male hip fracture cases: Differential
contrasts in cortical width and sub-periosteal porosity in 112 cases and
controls.
AB - OBJECTIVES: To quantitate differences between cases of hip fracture and controls
in cortical width around the mid-femoral neck in men and women. METHODS: Over 5
years, 64 (14 male) participants over age 55 (mean 79) years, who had never taken
bone-active drugs and suffered intra-capsular hip fracture treated by
arthroplasty, donated their routinely discarded distal intra-capsular femoral
neck bone for histomorphometry. After embedding, complete femoral neck cross
sections from the cut surface near the narrowest part of the neck were stained
with von Kossa and cortical width was measured radially every 5 degrees of arc.
Control material (n = 48, 25 male) was available through consented post mortems
prior to the year 2000. Cortical widths were averaged for circumferential
octants, each representing 45 degrees of arc. Divergence of individual cortical
widths from their means was also examined. RESULTS: Because sections were
required to have a complete cortex, sampling was biased towards cases with sub
capital versus trans-cervical fractures. Compared to sex- and age matched
controls, male cases showed larger relative differences in cortical widths than
female cases. Unexpectedly, cortical widths in female but not male cases also
showed marked over-representation of extremely narrow (<0.1 mm) cortical widths,
located mainly posteriorly. The numbers of these very narrow cortical widths
observed per subject retrospectively predicted female fracture status in logistic
regression independently of mean cortical width values. Together with mean
cortical width differences, the numbers of measured cortical widths <0.1 mm (out
of 72 measured) raised the sensitivity of predicting fracture status in women
from 48 to 80% at 80% specificity. In almost all cases, very narrow cortical
widths were identified in regions enclosing a cortical pore roofed on its
endosteal surface by thin structural bone defined a priori as trabecular.
CONCLUSIONS: Cortical widths <0.1 mm probably reflect zones where endosteal
cortex has been trabecularised through expansion of an un-refilled sub-endosteal
canal close to the periosteum. Persistent cortical defects occurring near the
periosteal surface, where mechanical loading exerts its greatest stresses, are
likely to result in extremes of localized concentrations of stress during a fall,
unknown in young normal fallers. Such defects have the potential to help explain
the excess of hip fractures among elderly women. Prevention of sub-periosteal
tunnelling by osteoclasts might explain in part the additional benefits, beyond
an increase in bone density, of treatments that reduce excessive bone resorption
or else stimulate new bone formation on previously resorbed surfaces.
PMID- 29807139
TI - Adrenaline activation of the carotid body: Key to CO2 and pH homeostasis in
hypoglycaemia and potential pathological implications in cardiovascular disease.
AB - Ventilatory and neuroendocrine counter-regulatory responses during hypoglycaemia
are essential in order to maintain glycolysis and prevent rises in PaCO2 leading
to systemic acidosis. The mammalian carotid body has emerged as an important
driver of hyperpnoea and glucoregulation in hypoglycaemia. However, the adequate
stimulus for CB stimulation in hypoglycaemia has remained controversial for over
a decade. The recent finding that adrenaline is a physiological activator of CB
in hypoglycaemia raises the intriguing possibility that CB stimulation and
hyperpnoea may be necessary to maintain pH in other adrenaline-related
hypermetabolic states such as exercise. This review will therefore focus on 1)
The important functional contribution of the CB in the counter-regulatory and
ventilatory response to hypoglycaemia, 2) the proposed mechanisms that cause CB
stimulation in hypoglycaemia including hormonal activation by adrenaline and
direct low glucose sensing and 3) the possible pathological consequences of
repetitive CB activation by adrenaline that could potentially be targeted to
reduce CB-mediated cardiovascular disease.
PMID- 29807140
TI - Biochemical characterization of ParI, an orphan C5-DNA methyltransferase from
Psychrobacter arcticus 273-4.
AB - Cytosine-specific DNA methyltransferases are important enzymes in most living
organisms. In prokaryotes, most DNA methyltransferases are members of the type II
restriction-modification system where they methylate host DNA, thereby protecting
it from digestion by the accompanying restriction endonucleases. DNA
methyltransferases can also act as solitary enzymes having important roles in
controlling gene expression, DNA replication, cell cycle and DNA post-replicative
mismatch repair. They have potential applications in biotechnology, such as in
labeling of biopolymers, DNA mapping or epigenetic analysis, as well as for
general DNA-protein interaction studies. The parI gene from the psychrophilic
bacterium Psychrobacter arcticus 273-4 encodes a cytosine-specific DNA
methyltransferase. In this work, recombinant ParI was expressed and purified in
fusion to either an N-terminal hexahistidine affinity tag, or a maltose binding
protein following the hexahistidine affinity tag, for solubility improvement.
After removal of the fusion partners, recombinant ParI was found to be monomeric
by size exclusion chromatography, with its molecular mass estimated to be 54 kDa.
The apparent melting temperature of the protein was 53 degrees C with no
detectable secondary structures above 65 degrees C. Both recombinant and native
ParI showed methyltransferase activity in vivo. In addition, MBP- and His-tagged
ParI also demonstrated in vitro activity. Although the overall structure of ParI
exhibits high thermal stability, the loss of in vitro activity upon removal of
solubility tags or purification from the cellular milieu indicates that the
catalytically active form is more labile. Horizontal gene transfer may explain
the acquisition of a protein-encoding gene that does not display common cold
adapted features.
PMID- 29807141
TI - A Rare Case of Ectopic Pregnancy at a Previous Laparoscopic Adenomyomectomy Scar.
PMID- 29807142
TI - Relationship between Race/Ethnicity and Hysterectomy Outcomes for Benign
Gynecologic Conditions.
AB - STUDY OBJECTIVE: To examine the association between race/ethnicity, route of
hysterectomy, and risk of inpatient surgical complications. DESIGN: Cross
sectional analysis (Canadian Task Force classification III). SETTING: Inpatient
hospitals in the United States. PATIENTS AND INTERVENTIONS: There were 114 719
women aged 18 and older from the Nationwide Inpatient Sample who underwent an
elective hysterectomy for benign indications using International Classification
of Diseases codes. MEASUREMENTS AND MAIN RESULTS: Multivariable logistic
regression was performed to examine the association between race/ethnicity and
route of hysterectomy and surgical complications, after adjusting for patient
characteristics, clinical factors, and hospital characteristics. Analyses were
weighted to provide national estimates of prevalence. The rate of minimally
invasive hysterectomy was 55.0% in white women, 28.6% in black women, 50.1% in
Hispanic women, and 45.6% in other race/ethnic categories. Compared with white
women, black women had a .55 odds (95% confidence interval, .52-.59) of
undergoing minimally invasive hysterectomy, after adjusting for patient,
clinical, and hospital characteristics. This finding remained consistent across
quartiles of median household income of residence, primary payer, and diagnosis
of myomas. Among women who had an elective hysterectomy, 6091 experienced a
complication, representing an estimated 30 455 women nationwide. The rate of
surgical complications was 5.3% in white women, 5.9% in black women, 4.6% in
Hispanic women, and 5.1% in women of other racial/ethnic groups. There was no
difference in odds of experiencing a surgical complication between white and
black women (odds ratio, 1.03; 95% confidence interval, .93-1.13) after adjusting
for patient, clinical, and hospital characteristics. This finding remained
consistent across quartiles of median household income of residence, primary
payer, and route of hysterectomy. CONCLUSION: Among women undergoing an elective
hysterectomy, black women were less likely to receive minimally invasive
hysterectomy compared with white women. However, the rate of inpatient surgical
complications did not vary significantly by race/ethnicity. Further research is
encouraged to identify and address the influential factors behind the disparity
in minimally invasive hysterectomy use among black women in the United States.
PMID- 29807143
TI - Benefits of school nurse training sessions for food allergy and anaphylaxis
management.
PMID- 29807145
TI - Raloxifene, a promising estrogen replacement, limits TDP-25 cell death by
enhancing autophagy and suppressing apoptosis.
AB - Amyotrophic lateral sclerosis (ALS) is a fatal adult-onset neurodegenerative
disease, and at present, therapies for ALS are limited. Estrogen is a potential
therapeutic agent for ALS but has undesirable effects that might increase the
risk of breast and uterine cancers or stroke. Raloxifene (Ral) has estrogenic
properties but does not exhibit these adverse effects. However, the mechanism of
Ral in ALS has not been studied. We thus investigated the effects of Ral in an
NSC34 model of ALS that stably expresses the 25-kDa C-terminal fragment of TDP-43
(i.e., TDP-25 cells) and found that GPR30 (G protein-coupled receptor 30) and ER
(estrogen receptor) alpha/ERbeta were expressed in TDP-25 cells, which show
significantly different morphology compared with controls. Both E2 (17beta
estradiol) and Ral increased the expression of ERalpha and GPR30 and enhanced TDP
25 cell viability, and these effects were completely abolished by treatment with
an ERalpha/beta antagonist (ICI 182,780) or GPR30 antagonist (G15). The P62,
caspase-9 and Bax levels were significantly decreased in TDP-25 cells treated
with Ral or E2, and the LC3-II levels were elevated in E2-treated cells but
reduced in Ral-treated cells. All these changes were abolished by treatment with
ICI 182,780 or G15. These data suggest that Ral, similar to E2, enhances
autophagy and suppresses apoptosis to limit motor neuron death by binding to
ERalpha/beta or GPR30 in TDP-25 cells. These results demonstrate the protective
effects of Ral in an ALS cell model and suggest that Ral is a promising
replacement for estrogen and a promising therapeutic strategy for ALS.
PMID- 29807144
TI - Neuroprotective effects of isosteviol sodium through increasing CYLD by the
downregulation of miRNA-181b.
AB - NF-kappaB signaling pathway plays a critical role in cerebral ischemic stroke.
MicroRNA-181b (miR-181b) induces the expression of NF-kappaB signaling pathways
indirectly, and isosteviol sodium (STVNa) protects against ischemic injury via
the inhibition of NF-kappaB-mediated inflammatory and apoptotic responses.
However, the function of miR-181b and the actual relationship between STVNa and
miR-181b in the ischemia-induced activation of NF-kappaB signaling pathways
remains unclear. In this study, we found that miR-181b expression was
significantly decreased in N2A neuroblastoma cells after CoCl2-induced hypoxic
injury in vitro. We further found, via western blot analysis and quantitative
polymerase chain reaction assay, that altering miR-181b expression could induce
changes in one of its target proteins, cylindromatosis (CYLD). Specifically,
upregulation and downregulation of miR-181b (through transfection of either pre-
or small interfering miR-181b, respectively) could negatively regulate CYLD
protein levels as well as N2A cell survival rate and apoptosis following CoCl2
induced injury. Furthermore, STVNa treatment following ischemic injury
significantly downregulated the expression of miR-181b to alter apoptotic
proteins downstream of the NF-kappaB signaling pathway through increasing CYLD
protein levels in vivo and in vitro. STVNa also had a protective effect on CoCl2
injured N2A cells, increasing cell survival rate, inhibiting apoptosis, reducing
the damage of mitochondrial membrane potential (MMP), and the generation of
reactive oxygen species (ROS). Together, these results suggest that STVNa may
downregulate miRNA-181b to protect mouse brain with ischemia stroke and against
hypoxic injury in N2A cells by repressing NF-kappaB signaling pathways through
the activation of CYLD, providing a novel therapy for ischemic stroke.
PMID- 29807147
TI - Evaluation of red light scattering in gingival tissue - in vivo study.
AB - Antimicrobial photodynamic therapy (aPDT) has been used to treat periodontal
disease, thus the aim of this study was to investigate red light (y = 660 nm)
attenuation in gingival tissue. This clinical trial included 30 patients with
chronic periodontitis; three incisors from each patient were selected for the
experimental procedures. A laser source with a radiant power output of 100 mW was
used. Two digital photographs were taken of each selected incisor (in frontal and
occlusal position). The images were analyzed in the ImageJ program. The results
demonstrated that at a 3 mm distance from the laser probe, there is an
attenuation of light intensity of 50%, along frontal and occlusal views. Light
attenuation in gingival tissue should be considered when setting optimal
parameters for antimicrobial photodynamic therapy or photobiomodulation.
PMID- 29807148
TI - Optical diagnosis of dentin caries lesions using quantitative light-induced
fluorescence technology.
AB - The precise diagnosis of dental caries and determination of their severity are
very important when planning for treatment. Low diagnostic power of traditional
methods such as radiographic and visual-tactile examinations could increase in
the ambiguity of clinical decision about some borderline lesions. The aim of this
study was to identify the extent of dentin lesions by using Qraypen (AIOBIO,
Seoul, Korea), a device that utilizes QLF technology, at the dentin level through
representative 2 cases in this study. In the first case of chronic and deep
dentin caries, the fluorescence loss and strong red fluorescence were still
detected from the lesions when observed by Qraypen after removing the suspicious
lesions using conventional methods. It was possible to gradually remove red
fluorescent area until it reached an almost invisible state. In addition, from
the second case of acute and secondary caries, it was difficult to detect the
crack using conventional diagnostic methods. Based on the result of the Qraypen
examination, we could verify the presence of the crack and its severity which had
progressed into the pulp as a distinct red fluorescence. In conclusion, the QLF
technology could be applied not only to detect dentin caries but also to provide
evidences for determining extent of caries removal non-invasively and
objectively.
PMID- 29807149
TI - The benefits of targeted endoscopic biopsy performed using the autofluorescence
based diagnostic technique in 67 cases of diagnostically difficult
gastrointestinal tumors.
AB - INTRODUCTION: The search for new diagnostic and therapeutic procedures is an
essential task in contemporary oncology. The purpose of our study was the
evaluation of the practical usefulness of autofluorescence endoscopy (AFE) using
the Onco-LIFE system, compared with the use of white light endoscopy (WLE), and
the estimation of the correlation between the histopathological evaluation with
the degree of lesions' Numerical Color Value (NCV index) and the method's
sensitivity and specificity valuation. MATERIAL: 67 patients were analyzed at the
Center for Laser Diagnostics and Therapy. All patients previously had a
gastrointestinal tract tumor, which appeared malignant, but without
histopathological confirmation. We measured NCV, estimated the correlation of the
clinical diagnosis based on histopathological evaluation with the degree of NCV
index from gastrointestinal lesions, and calculated the sensitivity and
specificity of this method. RESULTS: In the group of 67 patients, we found 44
cases of primary or secondary cancers and 7 cases of non-epithelial malignancies.
In this group (51 patients) we identified 13 colorectal cancers and 38 upper
gastrointestinal cancers. Based on the NCV index at NCV > 1.0, we revealed, that
the sensitivity for malignant neoplastic lesions was 100% and the specificity was
73%, while for NCV > 1.5, the sensitivity for malignant neoplastic lesions was
86% and the specificity 100%. CONCLUSION: AFE using the Onco-LIFE system is a
helpful tool to perform targeted biopsies at the outset. A significant
correlation was found between lesions' NCV index and the grade of dysplasia or
tumor malignancy. AFE sensitivity and specificity is higher than WLE. Further
studies are needed, especially performed by expert endoscopists.
PMID- 29807150
TI - Modulation of neuronal oscillatory activity in the beta- and gamma-band is
associated with current individual anxiety levels.
AB - Human faces are among the most salient visual stimuli and act both as socially
and emotionally relevant signals. Faces and especially faces with emotional
expression receive prioritized processing in the human brain and activate a
distributed network of brain areas reflected, e.g., in enhanced oscillatory
neuronal activity. However, an inconsistent picture emerged so far regarding
neuronal oscillatory activity across different frequency-bands modulated by
emotionally and socially relevant stimuli. The individual level of anxiety among
healthy populations might be one explanation for these inconsistent findings.
Therefore, we tested the hypothesis whether oscillatory neuronal activity is
associated with individual anxiety levels during perception of faces with neutral
and fearful facial expressions. We recorded neuronal activity using
magnetoencephalography (MEG) in 27 healthy participants and determined their
individual state anxiety levels. Images of human faces with neutral and fearful
expressions, and physically matched visual control stimuli were presented while
participants performed a simple color detection task. Spectral analyses revealed
that face processing and in particular processing of fearful faces was
characterized by enhanced neuronal activity in the theta- and gamma-band and
decreased activity in the beta-band in early visual cortex and the fusiform gyrus
(FFG). Moreover, the individuals' state anxiety levels correlated positively with
the gamma-band response and negatively with the beta response in the FFG and the
amygdala. Our results suggest that oscillatory neuronal activity plays an
important role in affective face processing and is dependent on the individual
level of state anxiety. Our work provides new insights on the role of oscillatory
neuronal activity underlying processing of faces.
PMID- 29807151
TI - A generative model of whole-brain effective connectivity.
AB - The development of whole-brain models that can infer effective (directed)
connection strengths from fMRI data represents a central challenge for
computational neuroimaging. A recently introduced generative model of fMRI data,
regression dynamic causal modeling (rDCM), moves towards this goal as it scales
gracefully to very large networks. However, large-scale networks with thousands
of connections are difficult to interpret; additionally, one typically lacks
information (data points per free parameter) for precise estimation of all model
parameters. This paper introduces sparsity constraints to the variational
Bayesian framework of rDCM as a solution to these problems in the domain of task
based fMRI. This sparse rDCM approach enables highly efficient effective
connectivity analyses in whole-brain networks and does not require a priori
assumptions about the network's connectivity structure but prunes fully (all-to
all) connected networks as part of model inversion. Following the derivation of
the variational Bayesian update equations for sparse rDCM, we use both simulated
and empirical data to assess the face validity of the model. In particular, we
show that it is feasible to infer effective connection strengths from fMRI data
using a network with more than 100 regions and 10,000 connections. This
demonstrates the feasibility of whole-brain inference on effective connectivity
from fMRI data - in single subjects and with a run-time below 1 min when using
parallelized code. We anticipate that sparse rDCM may find useful application in
connectomics and clinical neuromodeling - for example, for phenotyping individual
patients in terms of whole-brain network structure.
PMID- 29807146
TI - Stroke, cerebrovascular diseases and vascular cognitive impairment in Africa.
AB - With increased numbers of older people a higher burden of neurological disorders
worldwide is predicted. Stroke and other cerebrovascular diseases do not
necessarily present with different phenotypes in Africa but their incidence is
rising in tandem with the demographic change in the population. Age remains the
strongest irreversible risk factor for stroke and cognitive impairment.
Modifiable factors relating to vascular disease risk, diet, lifestyle, physical
activity and psychosocial status play a key role in shaping the current spate of
stroke related diseases in Africa. Hypertension is the strongest modifiable risk
factor for stroke but is also likely associated with co-inheritance of genetic
traits among Africans. Somewhat different from high-income countries, strokes
attributed to cerebral small vessel disease (SVD) are higher >30% among sub
Saharan Africans. Raised blood pressure may explain most of the incidence of SVD
related strokes but there are likely other contributing factors including
dyslipidaemia and diabetes in some sectors of Africa. However, atherosclerotic
and cardioembolic diseases combined also appear to be common subtypes as causes
of strokes. Significant proportions of cerebrovascular diseases are ascribed to
various forms of infectious disease including complications of human
immunodeficiency virus. Cerebral SVD leads to several clinical manifestations
including gait disturbance, autonomic dysfunction and depression. Pathological
processes are characterized by arteriolosclerosis, lacunar infarcts, perivascular
spaces, microinfarcts and diffuse white matter changes, which can now all be
detected on neuroimaging. Except for isolated cases of cerebral autosomal
dominant arteriopathy with subcortical infarcts and leukoencephalopathy or
CADASIL, hereditary arteriopathies have so far not been reported in Africa.
Prevalence estimates of vascular dementia (2-3%), delayed dementia after stroke
(10-20%) and vascular cognitive impairment (30-40%) do not appear to be vastly
different from those in other parts of the world. However, given the current
demographic transition in both urban and rural settings these figures will likely
rise. Wider application of neuroimaging modalities and implementation of stroke
care in Africa will enable better estimates of SVD and other subtypes of stroke.
Stroke survivors with SVD type pathology are likely to have low mortality and
therefore portend increased incidence of dementia.
PMID- 29807153
TI - What if? Neural activity underlying semantic and episodic counterfactual
thinking.
AB - Counterfactual thinking (CFT) is the process of mentally simulating alternative
versions of known facts. In the past decade, cognitive neuroscientists have begun
to uncover the neural underpinnings of CFT, particularly episodic CFT (eCFT),
which activates regions in the default network (DN) also activated by episodic
memory (eM) recall. However, the engagement of DN regions is different for
distinct kinds of eCFT. More plausible counterfactuals and counterfactuals about
oneself show stronger activity in DN regions compared to implausible and other-
or object-focused counterfactuals. The current study sought to identify a source
for this difference in DN activity. Specifically, self-focused counterfactuals
may also be more plausible, suggesting that DN core regions are sensitive to the
plausibility of a simulation. On the other hand, plausible and self-focused
counterfactuals may involve more episodic information than implausible and other
focused counterfactuals, which would imply DN sensitivity to episodic
information. In the current study, we compared episodic and semantic
counterfactuals generated to be plausible or implausible against episodic and
semantic memory reactivation using fMRI. Taking multivariate and univariate
approaches, we found that the DN is engaged more during episodic simulations,
including eM and all eCFT, than during semantic simulations. Semantic simulations
engaged more inferior temporal and lateral occipital regions. The only region
that showed strong plausibility effects was the hippocampus, which was
significantly engaged for implausible CFT but not for plausible CFT, suggestive
of binding more disparate information. Consequences of these findings for the
cognitive neuroscience of mental simulation are discussed.
PMID- 29807152
TI - Brain-to-brain synchrony in parent-child dyads and the relationship with emotion
regulation revealed by fNIRS-based hyperscanning.
AB - Parent-child synchrony, the coupling of behavioral and biological signals during
social contact, may fine-tune the child's brain circuitries associated with
emotional bond formation and the child's development of emotion regulation. Here,
we examined the neurobiological underpinnings of these processes by measuring
parent's and child's prefrontal neural activity concurrently with functional near
infrared spectroscopy hyperscanning. Each child played both a cooperative and a
competitive game with the parent, mostly the mother, as well as an adult
stranger. During cooperation, parent's and child's brain activities synchronized
in the dorsolateral prefrontal and frontopolar cortex (FPC), which was predictive
for their cooperative performance in subsequent trials. No significant brain-to
brain synchrony was observed in the conditions parent-child competition, stranger
child cooperation and stranger-child competition. Furthermore, parent-child
compared to stranger-child brain-to-brain synchrony during cooperation in the FPC
mediated the association between the parent's and the child's emotion regulation,
as assessed by questionnaires. Thus, we conclude that brain-to-brain synchrony
may represent an underlying neural mechanism of the emotional connection between
parent and child, which is linked to the child's development of adaptive emotion
regulation. Future studies may uncover whether brain-to-brain synchrony can serve
as a neurobiological marker of the dyad's socio-emotional interaction, which is
sensitive to risk conditions, and can be modified by interventions.
PMID- 29807154
TI - Molecular phylogeny of the genus Fissidens (Fissidentaceae, Bryophyta) and a
refinement of the infrageneric classification.
AB - The genus Fissidens (ca. 440 spp.) is one of the phylogenetically poorly studied
groups of mosses (Bryophyta). While various classifications of this genus have
been proposed, no attempt at a classification of the genus based on combined
molecular and morphological evidence has been made. Here, we present for the
first time a comprehensive phylogenetic tree consisting of 50 representatives of
Fissidens, reconstructed using sequence data from chloroplast rbcL and rps4
genes. Ancestral state reconstructions provide three clear apomorphies within
Fissidens: peristome teeth, limbidium and chromosome number. Based on the
phylogeny and morphological reassessment, we recognize three subgenera,
Pachyfissidens, Neoamblyothallia, and Fissidens. Subgenus Neoamblyothallia
consists of two sections: Neoamblyothallia and Crispidium. Subgenus Fissidens
consists of five sections: Fissidens, Polypodiopsis, Aloma, Areofissidens, and
Semilimbidium. High diversity of the most derived sect. Semilimbidium in the
tropics suggests that the evolutionary history of the genus is through adaptation
and diversification in tropical regions.
PMID- 29807155
TI - Using a multi-gene approach to infer the complicated phylogeny and evolutionary
history of lorises (Order Primates: Family Lorisidae).
AB - Extensive phylogenetic studies have found robust phylogenies are modeled by using
a multi-gene approach and sampling from the majority of the taxa of interest.
Yet, molecular studies focused on the lorises, a cryptic primate family, have
often relied on one gene, or just mitochondrial DNA, and many were unable to
include all four genera in the analyses, resulting in inconclusive phylogenies.
Past phylogenetic loris studies resulted in lorises being monophyletic,
paraphyletic, or an unresolvable trichotomy with the closely related galagos. The
purpose of our study is to improve our understanding of loris phylogeny and
evolutionary history by using a multi-gene approach. We used the mitochondrial
genes cytochrome b, and cytochrome c oxidase subunit 1, along with a nuclear
intron (recombination activating gene 2) and nuclear exon (the melanocortin 1
receptor). Maximum Likelihood and Bayesian phylogenetic analyses were conducted
based on data from each locus, as well as on the concatenated sequences. The
robust, concatenated results found lorises to be a monophyletic family
(Lorisidae) (PP >= 0.99) with two distinct subfamilies: the African Perodictinae
(PP >= 0.99) and the Asian Lorisinae (PP >= 0.99). Additionally, from these
analyses all four genera were all recovered as monophyletic (PP >= 0.99). Some of
our single-gene analyses recovered monophyly, but many had discordances, with
some showing paraphyly or a deep-trichotomy. Bayesian partitioned analyses
inferred the most recent common ancestors of lorises emerged ~42 +/- 6 million
years ago (mya), the Asian Lorisinae separated ~30 +/- 9 mya, and Perodictinae
arose ~26 +/- 10 mya. These times fit well with known historical tectonic shifts
of the area, as well as with the sparse loris fossil record. Additionally, our
results agree with previous multi-gene studies on Lorisidae which found lorises
to be monophyletic and arising ~40 mya (Perelman et al., 2011; Pozzi et al.,
2014). By taking a multi-gene approach, we were able to recover a well-supported,
monophyletic loris phylogeny and inferred the evolutionary history of this
cryptic family.
PMID- 29807156
TI - Phylogenetic analysis of the tree-kangaroos (Dendrolagus) reveals multiple
divergent lineages within New Guinea.
AB - Amongst the Australasian kangaroos and wallabies (Macropodidae) one anomalous
genus, the tree-kangaroos, Dendrolagus, has secondarily returned to arboreality.
Modern tree-kangaroos are confined to the wet tropical forests of north
Queensland, Australia (2 species) and New Guinea (8 species). Due to their
behavior, distribution and habitat most species are poorly known and our
understanding of the evolutionary history and systematics of the genus is limited
and controversial. We obtained tissue samples from 36 individual Dendrolagus
including representatives from 14 of the 17 currently recognised or proposed
subspecies and generated DNA sequence data from three mitochondrial (3116 bp) and
five nuclear (4097 bp) loci. Phylogenetic analysis of these multi-locus data
resolved long-standing questions regarding inter-relationships within
Dendrolagus. The presence of a paraphyletic ancestral long-footed and derived
monophyletic short-footed group was confirmed. Six major lineages were
identified: one in Australia (D. lumholtzi, D. bennettianus) and five in New
Guinea (D. inustus, D. ursinus, a Goodfellow's group, D. mbaiso and a Doria's
group). Two major episodes of diversification within Dendrolagus were identified:
the first during the late Miocene/early Pliocene associated with orogenic
processes in New Guinea and the second mostly during the early Pleistocene
associated with the intensification of climatic cycling. All sampled subspecies
showed high levels of genetic divergence and currently recognized species within
both the Doria's and Goodfellow's groups were paraphyletic indicating that
adjustments to current taxonomy are warranted.
PMID- 29807157
TI - Comparison of EORTC QLQ-C30 and PRO-CTCAETM Questionnaires on Six Symptom Items.
AB - CONTEXT: Clinical studies have over the past decade paid increasing attention to
health-related quality of life data. Multiple questionnaires are often
administered resulting in overlapping questions increasing patient burden.
OBJECTIVES: To examine the correlations between the commonly used European
Organization for Research and Treatment of Cancer Quality of Life Questionnnaire
C30 (QLQ-C30) and the Patient-Reported Outcomes version of the Common Terminology
Criteria for Adverse Events (PRO-CTCAETM) on six coinciding items to determine
consistency between overlapping items. METHODS: Data were prospectively collected
from patients attending two cancer centers in the U.K. Participants completed the
QLQ-C30 version 3.0 every four weeks and the PRO-CTCAE at least once a week for
12 weeks. Data were collected via the Internet or an interactive voice response.
For the six coinciding items in QLQ-C30 and PRO-CTCAE: pain, nausea, vomiting,
constipation, diarrhea, and fatigue, comparisons were made between all possible
related responses by aligning the four responses in the QLQ-C30 with two
condensed versions of the five responses in the PRO-CTCAE. Consistency and
reliability was determined with the intraclass correlation coefficient (ICC) and
Cronbach's alpha. RESULTS: About 247 patients completed 785 QLQ-C30 and 2501 PRO
CTCAE questionnaires. Moderate (ICC >0.5) to good (ICC >0.75) reliability and
Cronbach's alpha >0.7 were found on all coinciding questions except for questions
concerning the severity of nausea and vomiting as a result of relatively few
patients responding to these questions. Items on frequency showed better
correlations than the severity and interference items. CONCLUSION: The good
reliability and consistency between the QLQ-C30 and PRO-CTCAE support future
attempts to minimize patient burden by shortening health-related quality of life
questionnaires.
PMID- 29807158
TI - Overview of Systematic Reviews of Advance Care Planning: Summary of Evidence and
Global Lessons.
AB - BACKGROUND: Advance care planning (ACP) involves important decision making about
future medical needs. The high-volume and disparate nature of ACP research makes
it difficult to grasp the evidence and derive clear policy lessons for
policymakers and clinicians. AIM: The aim of this study was to synthesize ACP
research evidence and identify relevant contextual elements, program features,
implementation principles, and impacted outcomes to inform policy and practice.
DESIGN: An overview of systematic reviews using the Cochrane Handbook of
Systematic Reviews of Interventions was performed. Study quality was assessed
using a modified version of the AMSTAR (A MeaSurement Tool to Assess Reviews)
tool. DATA SOURCES: MEDLINE, EBM Reviews, Cochrane Reviews, CINAHL, Global
Health, PsycINFO, and EMBASE were searched for ACP-related research from
inception of each database to April 2017. Searches were supplemented with gray
literature and manual searches. Eighty systematic reviews, covering over 1660
original articles, were included in the analysis. RESULTS: Legislations,
institutional policies, and cultural factors influence ACP development. Positive
perceptions toward ACP do not necessarily translate into more end-of-life
conversations. Many factors related to patients' and providers' attitudes, and
perceptions toward life and mortality influence ACP implementation, decision
making, and completion. Limited, low-quality evidence points to several ACP
benefits, such as improved end-of-life communication, documentation of care
preferences, dying in preferred place, and health care savings. Recurring
features that make ACP programs effective include repeated and interactive
discussion sessions, decision aids, and interventions targeting multiple
stakeholders. CONCLUSIONS: Preliminary evidence highlights several elements that
influence the ACP process and provides a variety of features that could support
successful, effective, and sustainable ACP implementation. However, this evidence
is compartmentalized and limited. Further studies evaluating ACP as a unified
program and assessing the impact of ACP for different populations, settings, and
contexts are needed to develop programs that are able to unleash ACP's full
potential.
PMID- 29807160
TI - Nano-liposomes of lycopene reduces ischemic brain damage in rodents by regulating
iron metabolism.
AB - In order to discover new drug delivery approaches and to understand the mechanism
of iron overload in cerebral ischemia/reperfusion (I/R), we aimed to investigate
the effects of lycopene (LYC) in the form of nano-liposomes (L-LYC) on iron
regulating proteins and ischemic brain injury. We found that L-LYC significantly
increased the LYC content in serum and the brain. Adult male Sprague-Dawley rats
treated with L-LYC for 14 days were subjected to 60 min of ischemia and 7 days of
reperfusion. The effects of L-LYC were evaluated by infarction volume,
neurological score, neuronal apoptosis, and markers for oxidative stress. Levels
of iron-regulating protein such as hepcidin and ferroportin (FPN1) were examined.
L-LYC reduced cerebral infarction and improved neurobehavior of the rats more
efficiently than "naked" LYC. L-LYC reduced protein levels of oxidases (e.g.
nitric oxide synthase and NOX2), increased the level of Bcl-2, lowered caspase-3,
and suppressed apoptosis through inhibiting MAPK-JNK. Furthermore, L-LYC
suppressed hepcidin-mediated decrease in FPN1, a sole iron exporter, and
normalized the levels of iron. We further demonstrated that the effect of L-LYC
on hepcidin expression might result from its ability to attenuate the release of
the inflammatory factor interleukin 6. The results demonstrated that nano
liposomal encapsulation significantly improved LYC efficacy in providing neuronal
protection against I/R injury. The data also revealed a novel mechanism of L
LYC's neuroprotection by regulating iron metabolism in an ischemic brain.
PMID- 29807159
TI - Nitrate-responsive oral microbiome modulates nitric oxide homeostasis and blood
pressure in humans.
AB - Imbalances in the oral microbial community have been associated with reduced
cardiovascular and metabolic health. A possible mechanism linking the oral
microbiota to health is the nitrate (NO3-)-nitrite (NO2-)-nitric oxide (NO)
pathway, which relies on oral bacteria to reduce NO3- to NO2-. NO (generated from
both NO2- and L-arginine) regulates vascular endothelial function and therefore
blood pressure (BP). By sequencing bacterial 16S rRNA genes we examined the
relationships between the oral microbiome and physiological indices of NO
bioavailability and possible changes in these variables following 10 days of NO3-
(12 mmol/d) and placebo supplementation in young (18-22 yrs) and old (70-79 yrs)
normotensive humans (n = 18). NO3- supplementation altered the salivary
microbiome compared to placebo by increasing the relative abundance of
Proteobacteria (+225%) and decreasing the relative abundance of Bacteroidetes (
46%; P < 0.05). After NO3-supplementation the relative abundances of Rothia
(+127%) and Neisseria (+351%) were greater, and Prevotella (-60%) and Veillonella
(-65%) were lower than in the placebo condition (all P < 0.05). NO3-
supplementation increased plasma concentration of NO2- and reduced systemic blood
pressure in old (70-79 yrs), but not young (18-22 yrs), participants. High
abundances of Rothia and Neisseria and low abundances of Prevotella and
Veillonella were correlated with greater increases in plasma [NO2-] in response
to NO3- supplementation. The current findings indicate that the oral microbiome
is malleable to change with increased dietary intake of inorganic NO3-, and that
diet-induced changes in the oral microbial community are related to indices of NO
homeostasis and vascular health in vivo.
PMID- 29807161
TI - Development of a novel monoclonal antibody against 4-hydroxy-2E,6Z-dodecadienal
(4-HDDE)-protein adducts: Immunochemical application in quantitative and
qualitative analyses of lipid peroxidation in vitro and ex vivo.
AB - Non-enzymatic peroxidation of polyunsaturated fatty acids (PUFA) results in the
formation of various alpha,beta-unsaturated aldehydes, of which 4-hydroxyalkenals
are abundant. The propensity of n-6 PUFA, such as linoleic acid, gamma-linolenic
acid and arachidonic acid, to undergo radical-induced peroxidation and generate 4
hydroxy-2E-nonenal (4-HNE) has been widely demonstrated. The ability of the
latter to form covalent adducts with macromolecules and modify cellular functions
has been linked to numerous pathological processes. Concomitantly, evidence has
accumulated on specific signaling properties of low concentrations of 4-HNE that
may induce hormetic and protective responses to peroxidation stress in cells. It
has long been known that peroxidation of PUFA, and particularly arachidonic acid,
also give rise to 4-hydroxy-2E,6Z-dodecadienal (4-HDDE), which is more chemically
reactive than 4-HNE. Few studies on 4-HDDE revealed its ability to avidly
interact covalently with electronegative moieties in macromolecules and to its
ability to selectively activate the transcriptional regulator Peroxisome
Proliferator-Activated Receptor (PPAR)-beta/delta. The research on 4-HDDE has
been impeded due to the lack of available pure 4-HDDE and antibodies that
recognize 4-HDDE-modified epitopes in proteins. The purpose of this study was to
employ an established procedure to synthesize 4-HDDE and use it to create and
characterize a monoclonal antibody against 4-HDDE-modified proteins and establish
its application for ELISA and immunohistochemical analysis of cells and tissues
and further expand lipid peroxidation research.
PMID- 29807162
TI - Selenocysteine in mammalian thioredoxin reductase and application of ebselen as a
therapeutic.
AB - Thioredoxin system is a ubiquitous disulfide reductase system evolutionarily
conserved through all living organisms. It contains thioredoxin (Trx),
thioredoxin reductase (TrxR) and NADPH. TrxR can use NADPH to reduce Trx which
passes the reducing equivalent to its downstream substrates involved in various
biomedical events, such as ribonucleotide reductase for deoxyribonucleotide and
DNA synthesis, or peroxiredoxins for counteracting oxidative stress. Obviously,
TrxR stays in the center of the system to maintain the electron flow. Mammalian
TrxR contains a selenocysteine (Sec) in its active site, which is not present in
the low molecular weight prokaryotic TrxRs. Due to the special property of Sec,
mammalian TrxR employs a different catalytic mechanism from prokaryotic TrxRs and
has a broader substrate-spectrum. On the other hand, Sec is easily targeted by
electrophilic compounds which inhibits the TrxR activity and may turn TrxR into
an NADPH oxidase. Ebselen, a synthetic seleno-compound containing selenazol, has
been tested in several clinical studies. In mammalian cells, ebselen works as a
GSH peroxidase mimic and mainly as a peroxiredoxin mimic via Trx and TrxR to
scavenge hydrogen peroxide and peroxynitrite. In prokaryotic cells, ebselen is an
inhibitor of TrxR and leads to elevation of reactive oxygen species (ROS). Recent
studies have made use of the difference and developed ebselen as a potential
antibiotic, especially in combination with silver which enables ebselen to kill
multi-drug resistant Gram-negative bacteria. Collectively, Sec is important for
the biological functions of mammalian TrxR and distinguishes it from prokaryotic
TrxRs, therefore it is a promising drug target.
PMID- 29807163
TI - Comparison of the composition and in vitro activity of polymyxin B products.
AB - A number of companies manufacture polymyxin B using United States Pharmacopeia
(USP) metrics, rather than chemical composition, to report biological activity.
Given that polymyxin B contains several different components, it is unknown
whether pharmacokinetic and pharmacodynamic variability exists between the
different brands and whether USP metrics capture this variability. Here we
investigated the composition of polymyxin B obtained from four manufacturers
(Sigma-Aldrich, AK Scientific, USP and MP Biomedicals) and evaluated their rate
and extent of killing against multidrug-resistant Acinetobacter baumannii and
Klebsiella pneumoniae using in vitro static time-kill experiments. Ultraviolet
(UV) fingerprinting and liquid chromatography-tandem mass spectrometry (LC-MS/MS)
analysis revealed similarities and differences between component distributions.
The significant differences between products, based on UV fingerprinting and LC
MS/MS, did not translate into pharmacodynamic differences at the three
concentrations evaluated. The aggregate polymyxin B concentration, rather than
that of the individual components, influences overall bacterial killing.
PMID- 29807164
TI - Outbreak of IMI-1 carbapenemase-producing colistin-resistant Enterobacter cloacae
on the French island of Mayotte (Indian Ocean).
AB - The spread of carbapenemase-producing Enterobacteriaceae in the Southwest Indian
Ocean islands is poorly known. Here we describe an outbreak of colistin-resistant
Enterobacter cloacae harbouring blaIMI-1 in the French overseas department of
Mayotte. Between October 2015 and January 2017, all isolates of imipenem-non
susceptible E. cloacae at Mayotte Medical Center and University Hospital of
Reunion Island were screened for carbapenemase production. Positive isolates were
typed by pulsed-field gel electrophoresis and whole-genome sequencing (WGS)-based
multilocus sequence typing (MLST), and all beta-lactamase genes were identified
by PCR and sequencing. Resistance profiles were determined by agar diffusion and
Etest. Genetic support of the blaIMI-1 gene was determined by WGS. A total of 18
E. cloacae isolates harbouring blaIMI-1 were detected in 17 patients from
Mayotte. Pulsed-field gel electrophoresis (PFGE) analysis showed 16 of the 18
strains to be clonally related and belonging to ST820. Based on clinical data,
this outbreak most likely had a community origin. The blaIMI-1 gene in the 18
isolates was carried by a new variant of an integrative mobile element involving
the Xer recombinases, called EcloIMEX-8. The mcr-1-mcr-5 genes were absent from
the collection. The isolates belonged to E. cloacae cluster XI, known to be
colistin heteroresistant. Here we report the first outbreak of IMI-1-producing
Enterobacteriaceae. IMI-1-producers may be underdetected in microbiology
laboratories because of their unusual antimicrobial resistance profile (resistant
to imipenem but with intermediate resistance to ertapenem and susceptible to
extended-spectrum cephalosporins) and the absence of blaIMI-1 in the panel of
genes targeted by molecular diagnostic kits.
PMID- 29807165
TI - Vascular extracellular vesicles in comorbidities of heart failure with preserved
ejection fraction in men and women: The hidden players. A mini review.
AB - Left ventricular diastolic dysfunction, the main feature of heart failure with
preserved ejection fraction (HFpEF), is thought to be primarily caused by
comorbidities affecting the endothelial function of the coronary
microvasculature. Circulating extracellular vesicles, released by the endothelium
have been postulated to reflect endothelial damage. Therefore, we reviewed the
role of extracellular vesicles, in particularly endothelium microparticles, in
these comorbidities, including obesity and hypertension, to identify if they may
be potential markers of the endothelial dysfunction underlying left ventricular
diastolic dysfunction and HFpEF.
PMID- 29807166
TI - Fluorescence spectroscopic study on malignant and premalignant oral mucosa of
patients undergoing treatment: An observational prospective study.
AB - BACKGROUND: To evaluate the changes of oral mucosa in malignancy and pre
malignant oral conditions using fluorescence spectroscopy during various phases
of treatment. MATERIAL AND METHODS: The study involved patients of squamous cell
carcinoma of the oral cavity and the premalignant lesions coming for the follow
up/post-operative radiotherapy. The autofluorescence spectra were recorded in
vivo using a Nitrogen laser based fluorimeter. Three sites of each patient were
examined-right & the left buccal mucosa and the tongue. For a given pathology,
spectra from all the individuals were grouped and mean spectra after different
radiation cycles were compared. The quantitative analysis of the spectra involved
extraction of diagnostically relevant spectral information through Maximum
Representation and Discrimination Feature. RESULTS: As different patients had
different response to the radiation, it was difficult to visualize any particular
trend with increased number of radiation cycles. However, for a given pathology
and an individual, when mean spectra after different radiation cycles and surgery
were compared, the observation was: Intensity of the 460 nm fluorescence band for
each pathology was increased with the number of radiation cycle. That had
indicated tissue was being reverted back to its grossly normal features. As 460
nm fluorescence spike was a standard spectra for normal mucosa. CONCLUSION: The
results strengthened the hypothesis that fluorescence spectroscopy has
considerable potential for use as a tool to evaluate the response to treatment in
oral malignancy. These spectra of radiotherapy and surgically treated patients
can be used as standards for treated patients in further studies.
PMID- 29807167
TI - Prognostic significance of lymphocyte to monocyte ratio in colorectal cancer: A
meta-analysis.
AB - PURPOSE: The lymphocyte to monocyte ratio, an inflammation-based score, has been
used to predict the biological behavior of malignant tumors. However, the
relationship between the lymphocyte to monocyte ratio and clinical outcomes in
patients with colorectal cancer remains controversial. We conducted a meta
analysis to identify the association between the lymphocyte to monocyte ratio and
the prognosis of patients with colorectal cancer. METHODS: Data were retrieved
from PubMed, Embase, and Web of science, the hazard ratio and odds ratios with
95% confidence intervals were used to assess the prognostic value of the
lymphocyte to monocyte ratio. Outcomes of interest included prognosis, such as
overall survival, disease-free survival, and cancer-specific survival in patients
with colorectal cancer. RESULTS: fifteen retrospective, observational, cohort
studies involving 11,783 patients were identified. A high lymphocyte to monocyte
ratio (LMR) was a significant predictor of better overall survival (hazard ratio
= 0.57, 95% confidence interval: 0.52-0.62, P < 0.001), disease-free survival
(hazard ratio = 0.77, 95% confidence interval: 0.70-0.84, P < 0.001), and cancer
specific survival (hazard ratio = 0.55, 95% confidence interval: 0.32-0.95, P =
0.031). Increased LMR is also significantly associated with the tumor invasion
depth (OR: 0.77, 95% CI: 0.61-0.97) and tumor size (OR: 0.74, 95% CI: 0.61-0.89).
CONCLUSIONS: Our study indicated that a high lymphocyte to monocyte ratio might
be a useful marker for colorectal cancer prognosis. As most of these findings
were from retrospective studies, further studies are needed to verify the
significance of the lymphocyte to monocyte ratio in clinical practice.
PMID- 29807168
TI - Colorectal cancer outcomes in nonagenarian patients: A case series.
AB - BACKGROUND: Increased life expectancy and improved medical management of co
morbidities has led to an increasing number of nonagenarian patients with
colorectal cancer being considered for surgical intervention. This study aims to
describe the morbidity and mortality of nonagenarians who had operative and non
operative management for colorectal cancer. MATERIALS AND METHODS: A
retrospective study of consecutive colorectal cancer patients from 2010 to 2016
in a district general hospital in Scotland who were 90 years old or above was
performed. Demographic and perioperative data were obtained from case note
review. Survival analysis and multivariable regression was conducted to determine
factors associated with cancer-specific and all-cause mortality. RESULTS: Forty
nine patients were identified; 24 patients underwent operative management (median
age: 91) while 25 received non-operative management (median age: 92). Fifteen
patients (62.5%) had an elective operation, and 8 (37.5%) had an urgent or
emergency procedure. None of the patients treated operatively suffered a
significant complication or anastomotic leakage. Median hospital stay was 14
days. Five patients (20.8%) required a higher level of care in the community
following discharge. Surgical mortality within 30 days was 4.2%. Patients
undergoing an elective operation had a significantly improved survival compared
to those undergoing an emergency operation or non-operative management. On
multivariable analyses, non-operative management, and presence of metastases at
diagnosis were associated with higher cancer-specific mortality. CONCLUSION:
Elective operative management for carefully selected nonagenarian patients with
colorectal cancer is generally acceptable in terms of morbidity and mortality.
The majority of operatively managed patients returned to the same functional
level of care following discharge. Patients with metastases at the outset and
those requiring emergency surgery have a poorer prognosis.
PMID- 29807169
TI - Short-course radiotherapy with immediate or delayed surgery in rectal cancer: A
meta-analysis.
AB - BACKGROUND: The safety and efficacy of preoperative short-course radiotherapy had
been verified in rectal cancer. However, the timing of surgery after radiation
had not been well defined. Thus, we performed this meta-analysis to compare the
interval time of surgery after short-course radiotherapy in rectal cancer:
immediate surgery (<4 weeks) vs delayed surgery (>4 weeks). METHODS: We searched
the PubMed, EMBASE, MEDLINE, and Cochrane Library database. The primary endpoints
were survival rates and pathological outcomes, and the second endpoints included
sphincter preservation rate, R0 resection rate and postoperative complications.
RevMan 5.3 was used to calculate pooled risk ratio (RRs) and 95% confidence
interval (CIs). RESULTS: In total, 5 eligible studies including 1244 participants
were identified. The delayed surgery group had a markedly higher pathological
complete response rate [RR = 15.71, 95% CI (2.10, 117.30), P = 0.007] and
downstaging rate [RR = 2.63, 95% CI (1.77, 3.90), P < 0.00001], a higher
proportion of patients with adjuvant pathologic stage 0 + I disease [RR = 1.49,
95% CI (1.23, 1.81), P < 0.0001] and a lower incidence of postoperative
complications [RR = 0.81, 95% CI (0.70, 0.95), P = 0.008] than did the immediate
surgery group, but the survival rate, sphincter preservation rate and R0
resection rate were similar between the two groups. CONCLUSION: Based on better
pathologic outcomes and fewer postoperative complications, we recommended short
course radiotherapy with delayed surgery for more than 4 weeks.
PMID- 29807170
TI - Red cell distribution width and neutrophil to lymphocyte ratio as predictors of
outcomes in acute pancreatitis: A retrospective cohort study.
AB - BACKGROUND: Acute pancreatitis is a commonly encountered emergency but accurately
predicting that subset of patients who will become systemically unwell has proven
difficult. Simple haematological prognostic markers, such as red cell
distribution width (RDW) and neutrophil to lymphocyte ratio (NLR), could identify
such patients. The aim of this study was to assess the usefulness of RDW and NLR
measured on admission as predictors of mortality and intensive care (ICU) or high
dependency unit (HDU) admission in patients with acute pancreatitis. MATERIALS
AND METHODS: All patient who presented to our institution with acute pancreatitis
between August 2013 and August 2016 were retrospectively identified using the
prospectively maintained Hospital In-Patient Enquiry (HIPE) discharge audit. Data
on survival, admission to HDU or ICU, length of stay and haematological
parameters including RDW and NLR on presentation to the emergency department were
collected. RESULTS: A total of 185 patients with acute pancreatitis were included
of which 23 (12%) patients had a RDW above the upper limit of normal (ULN), which
was associated with a significantly increased likelihood of admission to ICU or
HDU (RR3.5; p = 0.01); 117 (63%) patients had a NLR above 5 on presentation,
which also increased the risk of ICU or HDU admission (RR 8.1; p = 0.01).
Patients who had both a RDW above the ULN and a raised NLR had an increased risk
of inpatient mortality (RR 9.9; p = 0.04). CONCLUSION: RDW and NLR can identify
patients at increased risk of severe acute pancreatitis on presentation to the
Emergency Department.
PMID- 29807171
TI - Oncological outcome of laparoscopically assisted pancreatoduodenectomy for ductal
adenocarcinoma in a retrospective cohort study.
AB - INTRODUCTION: Laparoscopic resections of the pancreatic head are increasingly
performed. Several studies show that they are comparable to open operations in
terms of postoperative morbidity. However, since a substantial proportion of
pancreatic head resections are necessary for pancreatic adenocarcinoma the
oncologic safety and outcome of minimally invasive operations is of interest. In
this study we evaluated oncologic outcome and survival after laparoscopically
assisted pancreatic head resection for ductal adenocarcinoma. METHODS:
Perioperative and oncological outcome of sixty-two laparoscopically assisted
pancreatic head resections for pancreatic ductal adenocarcinoma performed between
2010 and 2016 was compared to outcome of 278 open resections between 2001 and
2016 in a retrospective study. Data was continuously collected in a prospectively
maintained database. RESULTS: Operation time was significantly longer in the
laparoscopic group (477 vs. 428 min. p < 0.001). Tumor size, lymph node yield and
lymph node state and need of portal vein resection were comparable. There was a
higher rate of free resection margins in the laparoscopic group (87% vs. 71%, p <
0.01). There was no difference in postoperative mortality and morbidity. Patients
with laparoscopic resection stayed in hospital significantly shorter (median 14
vs. 16 days, p < 0.003). Postoperative survival after 5 years was not different
in both groups. CONCLUSION: Laparoscopically assisted resection of adenocarcinoma
of the pancreatic head is equal to open resection concerning oncologic outcome
and actuarial survival. However, minimally invasive resection shortened the
hospital stay. However, further evaluations with a longer follow up time are
needed.
PMID- 29807172
TI - Trauma laparoscopy: A prospect of skills training (cohort study).
AB - BACKGROUND: Diagnostic laparoscopy is well-accepted in management of penetrating
abdominal trauma (PAT) with the rate of missed injuries below 1%. However, there
is a reluctance to accept therapeutic laparoscopy in trauma society. The possible
reason is a lack of laparoscopic skills by trauma surgeons. Moreover, no formal
laparoscopy training program for trauma exists. The aim of this study was to
interrogated our laparoscopy training particularly in trauma setting, and to
investigate a possible relation between the seniority of surgeons performing the
procedures and the complication rates. METHODS: All patients managed
laparoscopically for PAT from January 2012 to December 2015 were analyzed. The
seniority of operating surgeon was correlated with adverse outcomes, and with
conversion. Surgeon-consultant (SC), assistant-consultant (AC), surgeon-senior
resident (SSR) and surgeon-junior-resident (SJC) groups were identified.
Laparoscopic maneuvers used in this cohort were investigated and the set of
essential laparoscopic skills was identified. The laparoscopic training program
at our institution was described and discussed. RESULTS: Out of 283 patients with
PAT approached with laparoscopy 33 (11.7%) were converted to laparotomy. Majority
(49.6%) of laparoscopy was performed by senior resident. Consultant was an
operating surgeon in 21.2% and an assistant in 8% of cases. Consultant was
involved in cases with higher severity of injury and the complication rate was
higher in the SC and AC groups. Essential laparoscopic skills were camera
navigation, mobilization of intraabdominal organs, bowel run and intracorporeal
suturing. During training, a senior resident was involved in 19% of operations
for trauma. Trauma constituted 16% of all laparoscopy. CONCLUSION: Laparoscopy
for trauma can be safely performed by residents under appropriate supervision.
Laparoscopic skills should preferably be obtained during elective non-trauma
procedures and transferred to trauma setting. Multimodal goal-directed, proctored
training with regular assessments and feedback is effective and skills are
transferable to trauma setting.
PMID- 29807173
TI - Neurovascular Surgical Keys Related to Sphenoid Window: Radiologic Study of
Egyptian's Sphenoid.
AB - OBJECTIVES: The anatomic variations of the sphenoid sinus and its relation to the
surrounding neurovascular structures are a subject of interest. The purpose of
this study was to radiologically assess the frequency of protrusion/dehiscence of
key neurovascular landmarks surrounding the Egyptians' sphenoid. METHODS: This is
a cross-sectional descriptive study of sinonasal computed tomography of the
sphenoid sinus in 500 adult Egyptians, conducted at Mansoura University
Hospitals; Egypt, over a period of 1 year. Two archives were used for collecting
the data; the hard archive and the electronic archive. Variables of interest
included the extent of sphenoid sinus pneumatization; intersphenoid septation
pattern; protrusion/dehiscence of the internal carotid artery, optic nerve,
maxillary nerve, and vidian nerve; and detection of the Onodi cell. RESULTS:
Population of this study included 265 women and 235 men. Their ages ranged from
18-68 years. The bilateral sellar-type pneumatization was shown as the most
common pneumatization type (96.8%). Pneumatizations of the pterygoid process,
anterior clinoid process, and greater wing of the sphenoid were identified in
9.3%, 8.9%, and 31.8% consequently. A single intersphenoid septum was detected in
85.2%, whereas multiple intersphenoid septums were noted in 11.6%. Protrusion of
the internal carotid artery, optic nerve, vidian nerve, and maxillary nerve was
recorded in 8.5%, 9.1%, 6.6%, and 3.4% consequently, while dehiscence was
reported in 7.2%, 15%, 11.9%, and 4.2% consequently as well. Onodi cell was
recognized in 18%. CONCLUSIONS: This radiologic study highlights significant
variations of surgically important anatomic structures related to the sphenoid
sinus in Egyptians.
PMID- 29807174
TI - Association Between Gray-White Matter Ratio in Computed Tomography and Outcome in
Patients with Extra-Axial Hematoma.
AB - OBJECTIVE: To evaluate relationship between gray-white matter ratio (GWR) on
computed tomography and prognosis in patients with extra-axial hematoma. METHODS:
Patients with extra-axial hematoma who had undergone surgical treatment at
Qinghai Provincial People's Hospital from September 2016 to December 2017 were
included. GWR values were calculated by measuring values of gray matter and white
matter in Hounsfield units in noninjury sites of basal ganglia. According to
Glasgow Outcome Scale score at 3 months after operation, patients were divided
into good prognosis (Glasgow Outcome Scale score 4-5) and poor prognosis (Glasgow
Outcome Scale score 1-3) groups. RESULTS: Of 103 patients who met inclusion
criteria and were analyzed, 24 had with a poor outcome, including 5 deaths, and
79 patients had a good outcome. There were significant differences between the 2
groups in terms of age, type of hematoma, admission Glasgow Coma Scale score, and
presence of hernia (all P < 0.05). Postoperative HU values of posterior capsule,
postoperative GWR values, and difference between preoperative and postoperative
GWR values had statistical significance (all P < 0.05). Receiver operating
characteristic curve showed that difference between preoperative and
postoperative GWR values was the best predictor of poor outcome. CONCLUSIONS: The
difference between preoperative or postoperative GWR values has a higher
sensitivity and greater area under the curve to predict patient outcome.
Measuring the difference between preoperative and postoperative GWR values may be
useful as an objective early predictor of outcome in patients with extra-axial
hematoma. Larger samples and multicenter prospective studies are still required.
PMID- 29807175
TI - Recurrent Brain Arteriovenous Malformations (AVMs): A Systematic Review.
AB - OBJECTIVE: Risk factors for the recurrence of surgically excised brain
arteriovenous malformations (AVMs) are poorly understood. In addition, ideal
follow-up imaging paradigms to catch AVM recurrences are not well defined. We
present a systematic review on risk factors for the recurrence of surgically
resected AVMs and identify potential theories of recurrence. METHODS: A
literature search was performed by a reference librarian, and after screening, 14
case reports and 16 case series were left for inclusion in the review. All
possible data were abstracted by 2 authors, and the results were tabulated and
descriptive statistics (mean, range; and proportions) were reported. No formal
statistical analysis was performed as part of this study. RESULTS: Systematic
review of the literature revealed 73 patients with a surgically resected AVM that
recurred. The average age of first AVM presentation was 13.8 years, and most
patients presented with hemorrhage (90%). After angiographically confirmed
complete surgical resection, average time to AVM recurrence was 4.2 years. Rate
of recurrence was 2.7% in adult series or case reports (n = 8). When we analyzed
only pediatric case reports or series (n = 12), the average rate of recurrence
was 9.5% but was as high as almost 14% in a series with compulsory short-term
follow-up serial imaging. Four (5.5%) patients experienced re-recurrence of AVM
after complete surgical excision of first AVM recurrence. CONCLUSIONS: AVM
recurrence after complete surgical resection is a recognized risk that occurs
primarily in children. Follow-up imaging within 1 year of surgery is strongly
indicated for pediatric patients with surgically resected AVMs, even with
postoperative angiographically confirmed complete excision.
PMID- 29807177
TI - Evaluation of Microvascular Decompression as Rescue Therapy for Trigeminal
Neuralgia in Patients with Failed Gamma Knife Surgery.
AB - OBJECTIVE: Owing to increasing use of Gamma Knife surgery (GKS) for trigeminal
neuralgia (TN), physicians are challenged over the problem of choosing an
appropriate treatment if GKS fails. The aim of this study was to determine
whether microvascular decompression (MVD) is a safe and effective alternative
therapy for trigeminal neuralgia in patients with failed GKS. METHODS: Between
January 1, 2010, and January 1, 2012, data of 32 patients with trigeminal
neuralgia who presented with persistent or recurrent pain after GKS and elected
to undergo MVD were collected. Clinical characteristics, operative findings,
outcomes of MVD, and complications were reviewed. RESULTS: Mean interval time
between GKS and MVD was 16 +/- 5.64 months (range, 6-27 months). During MVD, the
most common offending vessel was the superior cerebellar artery, followed by the
anterior inferior cerebellar artery and vertebral artery. Immediately after MVD,
29 patients (90.63%) experienced complete pain relief without medication. At the
end of the follow-up period, 25 patients were pain-free without medication.
CONCLUSIONS: Our data confirm that MVD is an effective and safe alternative
therapy after GKS, although the risk of facial numbness seems higher in patients
with a history of GKS than in patients without a history of GKS.
PMID- 29807176
TI - Interobserver Reliability of Spinal Adverse Events Severity System - Neuro (SAVES
N): A Prospective Adverse Event Reporting System for Neurosurgical Cases.
AB - BACKGROUND: The reporting of adverse events (AEs) in neurosurgery uses
inconsistent definitions and subjective grading systems. A standardized system
for recording and describing AEs would allow valid comparisons to be drawn
between different institutions, using different technologies, at different times.
The Spinal Adverse Events Severity System - Neuro (SAVES-N) system is a
modification of the well-validated SAVES-V2 system that encompasses complications
from both cranial and spinal surgery. The objective of this study was to assess
the interobserver reliability of SAVES-N in spinal and cranial neurosurgery.
METHODS: Ten vignettes, including cranial and spinal neurosurgical cases, were
assessed by groups of consultant neurosurgeons (n = 5) and neurosurgical
registrars (n = 5) using the SAVES-N system. Interobserver reliability for the
presence of AEs, the type of AE, and the SAVES severity grade of the AE were
calculated using Gwet's AC2 and Fleiss' kappa and were interpreted using the
thresholds described by Landis and Koch. RESULTS: Neurosurgeons had almost
perfect agreement (Gwet AC2 = 0.93), whereas registrars had substantial agreement
(Gwet's AC2 = 0.74) in determining the presence or absence of AEs. Both
neurosurgeons (Fleiss' kappa = 0.78) and registrars (Fleiss' kappa = 0.70)
demonstrated substantial agreement within their groups as to the type of AE.
Similarly, neurosurgeons (Gwet's AC2 = 0.94) and registrars (Gwet's AC2 = 0.81)
both graded the severity of the AE with almost perfect agreement. CONCLUSIONS:
The results of this study demonstrate that the scope of the well-validated SAVES
V2 system may be broadened to cranial neurosurgical cases by SAVES-N with
substantial to almost-perfect interobserver reliability.
PMID- 29807178
TI - Accuracy of Freehand Pedicle Screw Placement in Surgical Correction of
Thoracolumbar Kyphosis Secondary to Ankylosing Spondylitis: A Computed Tomography
Investigation of 2314 Consecutive Screws.
AB - OBJECTIVE: To evaluate the accuracy and safety of freehand pedicle screw
placement in surgical correction for thoracolumbar kyphosis caused by ankylosing
spondylitis (AS). METHODS: We retrospectively reviewed 266 consecutive patients
with AS who underwent osteotomy for kyphosis correction with freehand screw
insertion from January 1998 to April 2015 at our institution. A total of 2314
pedicle screws in 158 patients with AS with postoperative computed tomography
scans were included in the study. Postoperative computed tomography was performed
to classify accuracy of screws, using the established Gertbein classification
(grade 0: no perforation, grade 1: perforation <2 mm, grade 2: perforation
between 2 and 4 mm, and grade 3: perforation >4 mm). Patients were divided into 2
groups according to coronal Cobb angle: group A (n = 21, Cobb angle >=10 degrees
), group B (n = 137, Cobb angle <10 degrees ). RESULTS: Among the 2314 pedicle
screws, 2168 pedicle screw placements were categorized as grade 0, 71 were grade
1, 51 were grade 2, and 24 were grade 3. Breaches occurred more frequently in L1
S1 than the thoracic spine (7.1% and 5.4%, respectively). T5 (25.0%) and S1
(17.7%) experienced the greatest breach rate, whereas T8, L1, and L3 had the
lowest breach rate. The breach rate of group A was greater than that of group B
(7.9% vs. 6.1%). None of the breaches resulted in either neurologic deficits or
vascular complications. CONCLUSIONS: Freehand pedicle screw placement can be
performed safely with acceptable breach rate in patients with AS and
thoracolumbar kyphosis.
PMID- 29807179
TI - Very Large Metastases to the Brain: Retrospective Study on Outcomes of Surgical
Management.
AB - BACKGROUND: The incidence of brain metastases is rising. To our knowledge, no
published study focuses exclusively on brain metastases larger than 4 cm. We
present our surgical outcomes for patients with brain metastases larger than 4
cm. METHODS: This is a retrospective chart review of inpatient data at our
institution from January 2006 to September 2015. Primary end points included
overall survival, progression-free survival, and local recurrence rate. RESULTS:
Sixty-one patients had a total of 67 brain metastases larger than 4 cm: 52 were
supratentorial and 15 were infratentorial. Forty-three patients underwent
surgical resection. Average duration of disease freedom after resection was 4.79
months (range, 0-30 months). Excluding patients with residual on immediate
postoperative magnetic resonance imaging, the average rate of local recurrence
was 7 months (range, 1-14 months). Overall survival after surgery excluding
patients who chose palliation in the immediate postoperative period averaged 8.76
months (range, 1-37 months). Thirty-five of 43 patients (81.4%) had stable or
improved neurologic examinations postoperatively. Six patients (13.95%) developed
surgical complications. There were 3 major complications (6.98%): 2
pseudomeningoceles required intervention and 1 postoperative hematoma required
external ventricular drain placement. There were 3 minor complications (6.98%): 1
self-limited pseudomeningocele, 1 subgaleal fluid collection, and 1 postoperative
seizure. CONCLUSIONS: Surgery resulted in stable or improved neurologic
examination in 81.4% of cases. On statistical analysis, significantly increased
overall survival was noted in patients undergoing surgical resection, and those
with higher Karnofsky Performance Scale and lower number of brain metastases at
presentation. There is a need for further studies to evaluate management of brain
metastases larger than 4 cm.
PMID- 29807180
TI - Decompression for Traumatic Thoracic/Thoracolumbar Incomplete Spinal Cord Injury:
Application of AO Spine Injury Classification System to Identify the Timing of
Operation.
AB - OBJECTIVE: Application of AO spine injury classification system (AOSICS) to
identify the timing of operation for different types of traumatic
thoracic/thoracolumbar incomplete spinal cord injury (SCI). METHODS: A single
center prospective cohort study was conducted to enroll patients with
thoracic/thoracolumbar incomplete SCI from April 2013 to November 2016; they were
divided into an early group (<24 hours after SCI) and a late group (24-72 hours
after SCI). Each group was divided into A, B, C subgroups according to AOSICS.
The primary outcomes were ordinal changes in ASIA Impairment Scale at 12-month
follow-up. The secondary outcomes included the Medical outcomes study 36-term
short form health survey physical component summary (PCS), complications,
mortality, and hospital length of stay (LOS). RESULTS: Seven hundred twenty-one
patients with thoracic/thoracolumbar incomplete SCI were included; 335 patients
underwent early surgery, and 386 patients underwent delayed surgery. Statistical
results included the following comparisons of the early versus late groups: AIS
improvement of 1 grade or more (combined groups: P = 0.009, odds ratio [OR] =
1.487; A: P = 0.777, OR = 1.072; B: P = 0.029, OR = 1.701; C: P = 0.007, OR =
1.762), AIS improvement 2 grades or more (combined groups: P = 0.002, OR = 2.471;
A: P = 0.189, OR = 3.939; B: P = 0.011, OR = 2.550; C: P = 0.035, OR = 3.964) and
PCS (combined groups: P = 0.327; A: P = 0.776; B: P = 0.019; C: P = 0.562). LOS
(combined groups: P < 0.0001; A, B and C: P < 0.0001). Complications (combined
groups: P = 0.267; A: P = 0.830; B: P = 0.111; C: P = 0.757). CONCLUSIONS:
Patients with type-A injuries with incomplete SCI do not have to undergo
aggressive early operations. Patients with type-B and type-C injuries should
undergo an operation early to achieve better clinical results.
PMID- 29807181
TI - Tumor Needle Tract Seeding Following Percutaneous Vertebroplasty.
AB - Metastatic vertebral compression fractures pose a significant clinical problem to
cancer patients including pain, spinal deformity, and neurologic deficit.
Treatment of metastases to the spine is complex and challenging and may require
local and systemic therapies. Percutaneous vertebroplasty (PVP) was adopted to
treat spinal metastases since its introduction during the late 1980s. The main
indications for PVP in the treatment of spinal metastases are analgesia and
spinal stabilization. Complications of PVP in malignant compression fractures are
more common than in nonmalignant ones. We present 1 case of tumor seeding along
the needle tract of a breast cancer patient who had undergone PVP.
PMID- 29807182
TI - How Does Minimally Invasive Transforaminal Lumbar Interbody Fusion Influence
Lumbar Radiologic Parameters?
AB - BACKGROUND: Minimally invasive transforaminal lumbar interbody fusion (MIS-TLIF)
has become a popular method of interbody fusion. Clinical outcomes after single
level MIS-TLIF have been reported, but few studies have focused on the radiologic
changes in the segmental parameters of the operated and adjacent segments and in
lumbar lordosis. METHODS: From March 2009 to September 2016, 117 patients who
underwent a single-level MIS-TLIF surgery for lumbar degenerative disease were
enrolled in this retrospective study. The anterior disc height (ADH), posterior
disc height (PDH), and segmental angle (SA) of the operated and adjacent levels
and lumbar lordosis (LL) were evaluated on radiographs obtained pre- and
postoperatively at 6- to 12-month follow-up visits. Cage-related parameters
including fusion and subsidence rates were analyzed on postoperative computed
tomography scans. Clinical assessment used validated outcome scores such as the
Oswestry Disability Index questionnaire and the Odom criteria. RESULTS: ADH and
PDH of the operated segment increased significantly after surgery, but no
significant changes were seen in the SA of that level. Statistically significant
decreases were observed in the PDH of both adjacent segments and increases in the
adjacent superior SA. LL showed a slight but statistically significant
improvement after surgery that was mostly correlated with a postoperative
increase in the adjacent superior SA (r = 0.58; P < 0.001). No significant
correlations were found between clinical and radiologic results. CONCLUSIONS:
Single-level MIS-TLIF increased disc height but not the SA at the operated level.
LL improvement after surgery was mainly associated with the increase of the
cranial segmental angle.
PMID- 29807185
TI - Investigation on vascular cytotoxicity and extravascular transport of cationic
polymer nanoparticles using perfusable 3D microvessel model.
AB - : Vascular networks are the first sites exposed to cationic polymer nanoparticles
(NPs) administered intravenously, and thus function as a barrier for NPs reaching
the target organ. While cationic polymer NPs have been intensively studied as non
viral delivery systems, their biological effects in human microvessels have been
poorly investigated due to a lack of appropriate in vitro systems. Here, we
employed a three-dimensional microvessel on a chip, which accurately models in
vivo conditions. An open and perfused microvessel surrounded by pericytes was
shown to reproduce the important features of living vasculature, including
barrier function and biomarkers. Using this microvessel chip, we observed
contraction of the microvascular lumen induced by perfused polyethylenimine
(PEI)/DNA NPs. We demonstrated that the oxidative stress present when
microvessels were exposed to PEI NPs led to rearrangement of microtubules
resulting in microvessel contraction. Furthermore, the transcytotic behavior of
PEI NPs was analyzed in the microvessel by monitoring the escape of PEI NPs from
the microvascular lumen into the perivascular region, which was not possible in
two-dimensional culture systems. With our new understanding of the different
behaviors of cationic polymer NPs depending on their transcytotic route, we
suggest that caveolae-mediated transcytosis is a powerful route for efficient
extravascular transport. STATEMENT OF SIGNIFICANCE: Microvascular networks are
not only biological system constituting largest surface area in the body and but
also first site exposed to nanoparticle in vivo. While cationic polymer NPs have
been intensively studied as non-viral delivery systems, its biological effects in
human microvessel have been poorly investigated due to lack of appropriate in
vitro systems. Here, we microengineered an open and perfused 3D pericyte
incorporated microvessel model which possesses same morphological characteristic
of in vivo. Using the microengineered model, this study represents the first
report of transcytotic behavior of NPs in 3D microvessel, and its effect on
extravasation efficiency. Our study lays the groundwork for the integration of
innovative technologies to examine blood vessel-nanoparticle interaction, which a
critical but ill-defined phenomenon.
PMID- 29807186
TI - Optimal postoperative immobilisation for supracondylar humeral fractures.
AB - BACKGROUND: Supracondylar humeral fractures (SCHFs) are very common in paediatric
patients. In France, percutaneous fixation with two lateral-entry pins is widely
used after successful closed reduction. Postoperative immobilisation is typically
with a long arm cast combined with a tubular-bandage sling that immobilises the
shoulder and holds the arm in adduction and internal rotation to prevent external
rotation of the shoulder, which might cause secondary displacement. The objective
of this study was to compare this standard immobilisation technique to a
posterior plaster splint with a simple sling. HYPOTHESIS: Secondary displacement
is not more common with a posterior plaster splint and sling than with a long arm
cast. MATERIAL AND METHODS: One hundred patients with extension Gartland type III
SCHFs managed by closed reduction and percutaneous fixation with two lateral
entry pins between December 2011 and December 2015 were assessed retrospectively.
Postoperative immobilisation was with a posterior plaster splint and a simple
sling worn for 4 weeks. Radiographs were obtained on days 1, 45, and 90. RESULTS:
Secondary displacement occurred in 8% of patients. No patient required revision
surgery. DISCUSSION: The secondary displacement rate was comparable to earlier
reports. Of the 8 secondary displacements, 5 were ascribable to technical errors.
The remaining 3 were not caused by rotation of the arm and would probably not
have been prevented by using the tubular-bandage sling. CONCLUSION: A posterior
plaster splint combined with a simple sling is a simple and effective
immobilisation method for SCHFs provided internal fixation is technically
optimal. LEVEL OF EVIDENCE: IV retrospective observational study.
PMID- 29807183
TI - Identification of a DNA Repair-Related Multigene Signature as a Novel Prognostic
Predictor of Glioblastoma.
AB - BACKGROUND: Glioblastoma (GBM) is an extremely challenging malignancy to treat.
Although temozolomide (TMZ) is a standard treatment regimen, many patients with
GBM develop chemoresistance. The aim of this study was to identify a DNA repair
related gene signature to better stratify patients treated with TMZ. METHODS: We
selected 89 cases of primary GBM (pGBM) from the Chinese Glioma Genome Atlas RNA
seq dataset as the training cohort, whereas The Cancer Genome Atlas RNA-seq and
Gene Set Enrichment (GSE) 16011 mRNA array sets were used as validation cohorts.
Regression analysis and linear risk score assessment were performed to build a
DNA repair-related signature. We used Kaplan-Meier analysis to evaluate the
predictive value of the signature for overall survival (OS) in the different
groups. Multivariate Cox regression analysis was used to determine whether the 5
gene signature could independently predict OS. RESULTS: Using our 5-gene
signature panel of APEX1, APRT, PARP2, PMS2L2, and POLR2L, we divided patients
with pGBM into high- and low-risk groups. Patients with a low-risk score were
predicted to have favorable survival and greater benefit from TMZ therapy
compared with patients from the high-risk group (P < 0.05). Moreover, receiver
operating characteristic curves showed that the multigene signature was the most
sensitive and specific model for survival prediction (P < 0.05). CONCLUSIONS:
Among patients with pGBM, classification based on a risk score determined using a
5-gene panel indicated different OS and reaction to TMZ. The findings in this
study demonstrate that this unique 5-gene signature could be a novel model to
predict OS and provide accurate therapy for patients with pGBM.
PMID- 29807184
TI - Retention of Nonabsorbable Hemostatic Materials (Retained Surgical Sponge,
Gossypiboma, Textiloma, Gauzoma, Muslinoma) After Spinal Surgery: A Systematic
Review of Cases Reported During the Last Half-Century.
AB - OBJECTIVE: Retention of nonabsorbable hemostatic materials (RNHMs), that is,
retained surgical sponge, gauzoma, gossypiboma, muslinoma, or textiloma, is a
rarely seen surgical complication after spinal surgery that may remain
asymptomatic for many years and may represent a diagnostic difficulty with
associated medicolegal implications. METHODS: We performed a systematic review of
the English-language literature published between 1965 and 2017, accessed through
4 popular databases. We found a total of 37 articles (24 case reports; 7 image
presentations; 5 clinical series, and 1 letter to editor) containing 58 cases of
RNHMs located within the spinal canal or around the spinal column after surgery.
RESULTS: In this study, there were 29 female and 29 male patients from 13
countries, ages ranging from 17 years to 87 years, with initial diagnoses of
lumbar or cervical disc herniation, spinal stenosis, or spondylolisthesis (n =
54), or spinal tumor (n = 4). The interval from the initial surgery to the
presentation of RNHMs ranged from 13 days to 40 years, with a mean of 75.9
months. Various imaging techniques such as computed tomography and magnetic
resonance imaging were used with histologic study, confirming the presence of
RNHMs in the majority of patients with a complete recovery resulting in 93% of
patients. CONCLUSIONS: RNHMs is an overreported entity in underdeveloped or
developing countries, including Turkey and Morocco, with progression occurring
over years. RNHMs should be considered in the differential diagnosis of any
patient who presents with back pain, spinal cord, or nerve roots symptomatology
after spinal surgery.
PMID- 29807187
TI - Radiographic analysis of the listhesis associated with lumbar isthmic
spondylolysis.
AB - BACKGROUND: In cases of spondylolysis, hypoplasia of L5 mimicking
spondylolisthesis has been described, mainly based on MRI; however, the treatment
implications have not been analyzed specifically. OBJECTIVE: Assess the impact of
hypoplasia of the L5 vertebral body in the constitution of the spondylolisthesis
associated with isthmic spondylolysis. MATERIAL AND METHODS: A retrospective
radiographic study in the standing position was performed with 104 patients with
L5 isthmic spondylolysis and 24 control subjects. RESULTS: Measurements of
vertebral endplate length showed that the standard apparent posterior listhesis
(APL) is made up of true listhesis (TL) and false listhesis (FL). FL is induced
by hypoplasia of the L5 vertebral body relative to the S1 endplate. TL results
from disk failure and leads to anterior listhesis (AL), which alters the balance
of spinal curvatures. CONCLUSIONS: By integrating the potential for false
listhesis into the classification systems for spondylolisthesis, we can adapt the
treatment algorithms. TYPE OF STUDY: Retrospective radiography study. LEVEL OF
EVIDENCE: IV Retrospective review of cases.
PMID- 29807188
TI - Double-tiered subchondral support fixation with optimal distal dorsal cortical
distance using a variable-angle volar locking-plate system for distal radius
fracture in the elderly.
AB - BACKGROUND: Double-tiered subchondral support (DSS) procedures with optimal
distal dorsal cortical distance (DDD) have been reported to be effective in
treating distal radius fractures, but there have been no studies of osteoporotic
distal radius fractures in elderly patients. In this study, we demonstrated the
efficacy of the DSS procedure with optimal DDD using a variable-angle volar
locking-plate system for the treatment of osteoporotic distal radius fractures in
elderly patients. METHODS: One hundred and twenty-two patients (mean age, 73.3
years; age range, 65-88 years) with distal radius fracture were treated using a
variable-angle volar locking-plate system with DSS. Patients were divided into
DSS and non-DSS groups based on postoperative and 12-month follow-up radiographs,
and radiological and clinical assessment was performed. Finally, we divided all
122 patients into two groups based on volar tilt of 6 degrees on 12-month follow
up radiographs, and postoperative DDD values were compared. RESULTS: Volar tilt
decreased (p=0.02), and ulnar variance increased (p=0.01) more in the non-DSS
group. The non-DSS group showed a significant correlation between postoperative
DDD value and change in DDD value (p=0.00). The mean postoperative DDDs in the
group with final volar tilt<6 degrees and in the group with final volar tilt>=6
degrees were 6.4mm (SD+/-1.7mm) and 4.6mm (SD+/-1.4mm) respectively (p=0.02). At
4-month follow-up, pronation (p=0.05) and supination (p=0.04) were improved, and
at 12-month follow-up, supination (p=0.05) was improved in the DSS group.
CONCLUSION: The use of the DSS procedure and reduction of DDD to 4.6mm or less
using a variable-angle volar locking-plate system was effective in maintaining
anatomical reduction for the treatment of osteoporotic distal radius fractures in
elderly patients. LEVEL OF EVIDENCE: III Therapeutic study.
PMID- 29807189
TI - Biomechanical comparison between stainless steel, titanium and carbon-fiber
reinforced polyetheretherketone volar locking plates for distal radius fractures.
AB - INTRODUCTION: As the popularity of volar locked plate fixation for distal radius
fractures has increased, so have the number and variety of implants, including
variations in plate design, the size and angle of the screws, the locking screw
mechanism, and the material of the plates. HYPOTHESIS: Carbon-fiber reinforced
polyetheretherketone (CFR-PEEK) plate features similar biomechanical properties
to metallic plates, representing, therefore, an optimal alternative for the
treatment of distal radius fractures. MATERIALS AND METHODS: Three different
materials-composed plates were evaluated: stainless steel volar lateral column
(Zimmer); titanium DVR (Hand Innovations); CFR-PEEK DiPHOS-RM (Lima Corporate).
Six plates for each type were implanted in sawbones and an extra-articular
rectangular osteotomy was created. Three plates for each material were tested for
load to failure and bending stiffness in axial compression. Moreover, 3
constructs for each plate were evaluated after dynamically loading for 6000
cycles of fatigue. RESULTS: The mean bending stiffness pre-fatigue was
significantly higher for the stainless steel plate. The titanium plate yielded
the higher load to failure both pre and post fatigue. After cyclic loading, the
bending stiffness increased by a mean of 24% for the stainless steel plate; 33%
for the titanium; and 17% for the CFR-PEEK plate. The mean load to failure post
fatigue increased by a mean of 10% for the stainless steel and 14% for CFR-PEEK
plates, whereas it decreased (-16%) for the titanium plate. Statistical analysis
between groups reported significant values (p<001) for all comparisons except for
Hand Innovations vs. Zimmer bending stiffness post fatigue (p=.197). DISCUSSION:
The significant higher load to failure of the titanium plate, makes it indicated
for patients with higher functional requirements or at higher risk of trauma in
the post-operative period. The CFR-PEEK plate showed material-specific
disadvantages, represented by little tolerance to plastic deformation, and lower
load to failure. LEVEL OF EVIDENCE: N/A.
PMID- 29807190
TI - Leadership styles and outcome patterns for the nursing workforce and work
environment: A systematic review.
AB - BACKGROUND: Leadership is critical in building quality work environments,
implementing new models of care, and bringing health and wellbeing to a strained
nursing workforce. However, the nature of leadership style, how leadership should
be enacted, and its associated outcomes requires further research and
understanding. We aimed to examine the relationships between various styles of
leadership and outcomes for the nursing workforce and their work environments.
METHODS: The search strategy of this systematic review included 10 electronic
databases. Published, quantitative studies that examined the correlations between
leadership behaviours and nursing outcomes were included. Quality assessments,
data extractions and analysis were completed on all included studies by
independent reviewers. RESULTS: A total of 50,941 titles and abstracts were
screened resulting in 129 included studies. Using content analysis, 121 outcomes
were grouped into six categories: 1) staff satisfaction with job factors, 2)
staff relationships with work, 3) staff health & wellbeing, 4) relations among
staff, 5) organizational environment factors and 6) productivity & effectiveness.
Our analysis illuminated patterns between relational and task focused leadership
styles and their outcomes for nurses and nursing work environments. For example,
52 studies reported that relational leadership styles were associated with higher
nurse job satisfaction, whereas 16 studies found that task-focused leadership
styles were associated with lower nurse job satisfaction. Similar trends were
found for each category of outcomes. CONCLUSIONS: The findings of this systematic
review provide strong support for the employment of relational leadership styles
to promote positive nursing workforce outcomes and related organizational
outcomes. Leadership focused solely on task completion is insufficient to achieve
optimum outcomes for the nursing workforce. Relational leadership practices need
to be encouraged and supported by individuals and organizations to enhance
nursing job satisfaction, retention, work environment factors and individual
productivity within healthcare settings.
PMID- 29807191
TI - Structural stabilities of calcium proteins: Human intelectin-1 and frog lectin
XEEL.
AB - We extend our study of the structural stability of helical and nonhelical regions
in chain A of human intelectin-1 to include a second human intelectin (4WMY) and
the frog protein "Xenopus embryonic epidermal lectin" (XEEL). These unique
lectins have been shown to recognize carbohydrate residues found exclusively in
microbes, thus they could potentially be developed into novel microbe detection
and sequestration tools. We believe that by studying the structural stability of
these proteins we can provide insights on their biological role and activities.
Using a geometrical model introduced previously, we perform computational
analyses of protein crystal structures that quantify the resiliency of the native
state to steric perturbations. Based on these analyses, we conclude that
differences in the resiliency of the human and frog proteins can be attributed
primarily to differences in non-helical regions and to residues near Ca ions.
Since these differences are particularly pronounced in the vicinity of the ligand
binding site, they provide an explanation for the finding that human intelectin-1
has a higher affinity for a ligand than XEEL. We also present data on conserved
and position-equivalent pairs of residues in 4WMY and XEEL. We identify residue
pairs as well as regions in which the influence of neighboring residues is nearly
uniform as the parent protein denatures. Since the structural signatures are
conserved, this identification provides a basis for understanding why both
proteins exhibit trimeric structures despite poor sequence conservation at the
interface.
PMID- 29807193
TI - Balloon atrial septostomy in severe precapillary pulmonary hypertension: A single
center experience.
PMID- 29807192
TI - Impact of major earthquakes on the incidence of acute coronary syndromes - A
systematic review of the literature.
AB - Natural disasters such as tsunami, hurricanes, and earthquakes may have a
negative impact on cardiac health. The aim of our systematic review is to
evaluate the impact of earthquakes on the incidence of acute coronary syndromes
and cardiac mortality and to examine the impact of the time of earthquakes on the
incidence of acute coronary syndromes. MEDLINE and Cochrane databases were
searched for studies assessing the impact of earthquakes on acute coronary
syndromes from inception until December 20, 2017. Reference lists of all included
studies and relevant review studies were also searched. A total of 26 studies on
12 earthquake disasters were included in the systematic review. The existing data
show a significant negative impact of the Great East Japan, Christchurch, Niigata
Chuetsu, Northridge, Great Hanshin-Awaji, Sichuan, Athens, Armenia, and Noto
Peninsula earthquakes on the incidence of acute coronary syndromes. By contrast,
studies on the Newcastle, Loma Prieta, and Thessaloniki earthquakes did not show
a significant correlation with myocardial infarction and cardiac mortality. In
conclusion, earthquakes may be associated with increased incidence of acute
coronary syndromes and cardiovascular mortality. There are conflicting data about
the impact of the timing of earthquakes on the occurrence of acute coronary
syndromes. Preventive measures to promote the adjustment of healthcare systems to
treat cardiovascular diseases after natural disasters should be immediately
implemented particularly in high-risk regions.
PMID- 29807194
TI - Validation of DAPT Score for Prolonged Dual Antiplatelet Therapy in Acute
Myocardial Infarction Patients.
PMID- 29807195
TI - Relationship of PCSK9 levels with indices of vascular function and subclinical
atherosclerosis in patients with familial dyslipidemias.
AB - OBJECTIVE: Proprotein convertase subtilisin/kexin type 9 (PCSK9) levels predict
cardiovascular risk. We aimed to determine the correlation of PCSK9 levels with
predictors of cardiovascular risk, such as central hemodynamics and carotid
intima-media thickness (cIMT), in subjects with familial dyslipidemias. METHODS:
Thirty-three asymptomatic subjects (age: 45.4 +/- 12.3 years, 21 men) with either
familial combined hyperlipidemia or heterozygous familial hypercholesterolemia,
free from hypolipidemic therapy, underwent evaluation for central hemodynamics
(aortic augmentation index [AIx@75] and augmented pressure [AP]) and cIMT. PCSK9
levels were measured by ELISA. RESULTS: In the univariate model, circulating
PCSK9 levels were related to age (r = 0.351, P = 0.045), AP (r = 0.442, P =
0.011), AIx@75 (r = 0.463, P = 0.007), and cIMT (r = 0.559, P = 0.011). In
multivariate analysis, significant positive associations of AP, AIx@75, and cIMT
with PCSK9 levels were observed after adjusting for relevant confounders (P =
0.018, P = 0.002, and P = 0.011, respectively). Patients with both high cIMT
(>0.81 mm) and high AIx@75 (>20%) had significantly increased PCSK9 levels
compared with subjects with both low cIMT and low AIx@75 (316 ng/ml vs. 155
ng/ml, P = 0.037). CONCLUSIONS: In familial dyslipidemias, PCSK9 levels are
positively associated with predictors of cardiovascular risk, such as central
hemodynamics and cIMT. These relationships may aid in the stratification of
cardiovascular risk by identifying a high-risk subgroup within these entities.
PMID- 29807196
TI - Percutaneous treatment of coronary perforation in acutely occluded right coronary
artery after reimplantation in the aortic root.
PMID- 29807197
TI - Current perspectives on the diagnosis and management of dilated cardiomyopathy
Beyond heart failure: a Cardiomyopathy Clinic Doctor's point of view.
AB - Left ventricular enlargement and dysfunction are fundamental components of
dilated cardiomyopathy (DCM). DCM is a major cause of heart failure and cardiac
transplantation. A wide variety of etiologies underlie acquired and familial DCM.
Familial disease is reported in 20% to 35% of cases. A genetic substrate is
recognized in at least 30% of familial cases. A recently proposed scheme defines
DCM as a continuum of subclinical and clinical phenotypes. The evolution of
classification systems permitted use of effective treatment strategies in
disorders sharing the same structural and functional characteristics and common
clinical expression. The major causes of death are progressive heart failure and
sudden cardiac death secondary to ventricular arrhythmias or less commonly
bradyarrhythmias. Remarkable progress has been made in survival owing to well
defined evidence-based therapies and appropriate guidelines for risk
stratification and sudden cardiac death prevention measures. Neurohormonal
antagonists and device therapy decreased all-cause mortality in adult patients
with DCM. However, additional red flags in diagnosis have to be addressed in
everyday practice, and cardiologists have to be aware of the subsequent effect on
risk stratification and treatment plan. Genetic substrate cannot be modified, but
the presence of a peculiar type of gene mutation modifies thresholds for
implantable cardioverter defibrillator (ICD) implantation. DCM is part of the
spectrum of heart failure which is a syndrome with certain morphological and
functional characteristics. Although significant progress has been achieved in
the management of patients with DCM, it seems that the future treatments of this
entity will be related to the specific pathological substrate.
PMID- 29807198
TI - Sniff nasal inspiratory pressure (SNIP) in amyotrophic lateral sclerosis:
Relevance of the methodology for respiratory function evaluation.
AB - OBJECTIVES: We investigated two different methodological approaches for
determining nasal inspiratory pressure during a sniff (SNIP) in patients with
Amyotrophic Lateral Sclerosis (ALS). PATIENTS AND METHODS: We included 37 ALS
patients and 11 controls. SNIP was measured in the sitting position, performed in
each nostril with (SNIPocclud) and without (SNIPopen) closing the contralateral
nostril. The best of 3 consistent results was considered for analyses. Patients
were also assessed with MIP and the revised functional ALS rating scale (ALSFRS
R). Mann-Whitney U test, Wilcoxon test and Spearman's rank correlation
coefficient with Bonferroni correction were applied. Coefficient of variation
(CV) was calculated. RESULTS: SNIP was significantly lower in patients than
controls, either for SNIPopen (p = 0.011) or SNIPocclud (p = 0.002). SNIPopen
values were significantly lower both in ALS patients and controls than SNIPocclud
(p < 0.001 and p = 0.007, respectively). SNIPopen CV was 8.14% and 8.51%, while
SNIPocclud CV was 4.98% and 6.37%, respectively for controls and patients.
SNIPopen and SNIPocclud were strongly correlated in both groups (r = 0.761 for
controls; r = 0.768 for patients). In ALS, both methods were moderately
correlated with MIP (respectively r = 0.525, p = 0.006 and r = 0.685, p < 0.001)
and the respiratory subscore of ALSFRS-R (respectively r = 0.525, p = 0.001 and r
= 0.64, p < 0.001). Although bulbar and spinal onset patients presented no
differences for SNIPopen (p = 0.157), significant differences were found for
SNIPocclud (p = 0.018). CONCLUSION: SNIPocclud should be considered when
evaluating ALS patients as its values present lower variability and favor longer
follow-up.
PMID- 29807199
TI - Surgical and functional outcome of olfactory groove meningiomas: Lessons from the
past experience and strategy development.
AB - OBJECT: Olfactory groove meningiomas (OGMs) constitute a unique subset of
intracranial meningiomas, since they usually remain clinically silent for a long
period of time, and they may be of large size upon their diagnosis. Their
surgical management remains quite challenging. The surgical and the
neuropsychological outcome of patients with OGM are presented in our current
study, in order to establish a basis for developing efficacious surgical
strategies for the management of this clinico-pathological entity. METHODS: A
retrospective study covering a 17-year period examined a total of 78 patients (31
males and 47 females) diagnosed with OGM, and surgically managed in the two
participating institutions (Greece and Romania). The patients' charts as well as
their imaging studies (head CT, brain MRI/1HMRS, brain MRA/MRV, cerebral DSA),
and their operative reports were carefully reviewed. All participants underwent
pre- and post-operative neurocognitive evaluation with the Mini Mental Status
Examination (MMSE), and the Frontal Assessment Battery (FAB). Microsurgical
resection was performed by employing a bilateral subfrontal, a unilateral
subfrontal, or a pterional approach. The Simpson scale was utilized for assessing
the extent of resection. The histological type of the resected meningioma was
identified. The follow up period ranged from 2 to 15 years (mean: 5.6). RESULTS:
Non-specific headache was the most common presenting symptom, followed by
personality changes in our series. Grade 1 Simpson resection was accomplished in
19.2%, grade 2 in 46.2%, grade 3 in 17.9%, and grade 4 in 16.7%. The most common
postoperative complication was anosmia (89.7%), followed by CSF leakage (21.8%).
The observed 5-year recurrence rate was 11.8%. Analysis of our data demonstrated
that patients with larger tumors presented with poorer neurocognitive status, and
had also lower, compared with patients with smaller meningioma, postoperative
neurocognitive outcome. Meningioma's histological type had no correlation with
complication occurrence or tumor recurrence. Surgical resection significantly
improved the preoperative MMSE scores of our patients, while the observed
postoperative improvement of the FAB scores was not statistically significant.
The bilateral subfrontal approach demonstrated higher complication rate than the
other two approaches, in our series. Interestingly, bifrontal approach was
associated with higher tumor recurrence rate. Tumor size, patient's age, and
ethmoid bone infiltration seem to be predisposing factors for complication
occurrence and tumor recurrence. CONCLUSION: Individualized surgical strategy is
necessary for mitigating the postoperative complication rate, and the possibility
of recurrence in the management of OGMs. The exact role of less invasive,
endoscopic approaches in the management of these patients remains to be defined.
PMID- 29807200
TI - The impact of deep brain stimulation on health related quality of life and
disease-specific disability in Meige Syndrome (MS).
AB - Meige Syndrome (MS) is a disabling movement disorder which impairs daily routines
such as eating and speaking and, when not responsive to best medical treatment,
deep brain stimulation (DBS) of the globus pallidus interna (GPi) has been
considered. Previous evidence has shown a significant improvement in motor
dysfunction with DBS, however its benefit on disease-specific disability and
quality of life has not been thoroughly studied. We describe two patients with
severe MS submitted to GPi-DBS. Patient improvement was assessed preoperatively
and 24 months after the surgery by applying the movement subscore of the Burke
Fahn-Marsden Dystonia Rating Scale (BFMRS) and Jankovic Rating Scale (JRS) for
motor function and the BFMRS disability subscore and Blepharospasm Disability
Scale (BDS) for disability. At 24-month follow-up, dystonia improved 68% in
Patient 1 and 96% in Patient 2, while disability improved 77%-92% respectively.
No major adverse effects were observed. Improvement in motor function is in
agreement with previous findings, but we emphasise the important improvement in
disability and consequently in quality of life. Therefore, we suggest that DBS
should be a therapeutic tool in refractory cases of MS.
PMID- 29807201
TI - Partnership between families of children with muscular dystrophy and healthcare
professionals: From parents' perspective.
AB - PURPOSES: At present, there is still controversy between parents of children with
muscular dystrophy (MD) and healthcare professionals on care issues. Partnerships
can connect the affected children and their families to appropriate healthcare
services, to jointly face the care environment together and thereby improve the
quality of life of children with MD. Therefore, the objective of this study is to
explore partnerships between families and healthcare professionals from the
perspectives of parents of children with MD. METHOD: Husserl's phenomenological
research was applied to explore the basic structures of parents' descriptions of
MD. Through purposive sampling, we conducted in-depth interviews with parents,
and analyzed the data according to the theory of Giorgi. Nineteen parents (10
mothers, nine fathers) participated in this study. The precision of the research
results was tested by applying the four standards of Lincoln and Guba. RESULTS:
This study identified five constituents: feasible resources and detailed care
information; the provision of an integrated medical care across systems; family
and home as key elements in critical care; respect and care for family care
demands; and finally; feedback and support from families. CONCLUSION: This study
demonstrated that partnerships were established by healthcare professionals,
enhancing the care capacity of the families, developing the preventive medicine
of MD, and enhancing children's potential for self-care within the families.
Hospital policies should include the promotion of family partnership care. The
findings can help healthcare professionals recognize the life experiences of
children with MD when providing medical care.
PMID- 29807202
TI - High prevalence of sequence type 131 isolates producing CTX-M-15 among extended
spectrum beta-lactamase-producing Escherichia coli strains in northeast Iran.
AB - OBJECTIVES: The recent expansion of extended-spectrum beta-lactamase (ESBL)
producing Escherichia coli is a worldwide problem. The purpose of this study was
to investigate the molecular characteristics of ESBL-producing E. coli strains in
Mashhad, located in the northeast of Iran. METHODS: A total of 455 clinical E.
coli isolates were collected at three hospitals in Mashhad between April
September 2015. Antimicrobial susceptibility was determined by the Kirby-Bauer
disk diffusion test. The combination disk test was performed for phenotypic
detection of ESBLs. PCR was used to screen isolates for ESBL typing. Phylogenetic
groups and sequence type 131 (ST131) were determined by multiplex PCR. RESULTS:
The prevalence of ESBL-producing E. coli among the collected strains was 51.6%
(235/455). Among the 235 ESBL-producing strains, 222 (94.5%) tested positive for
CTX-M type, whilst 115 (48.9%), 92 (39.1%) and 21 (8.9%) were positive for TEM,
OXA and SHV, respectively. Moreover, CTX-M-15 (94.1%; 209/222) was the most
common ESBL among E. coli. Based on multiplex PCR, phylogenetic group B2 was
predominant (169/235; 71.9%), followed by D (32/235; 13.6%), A (21/235; 8.9%) and
B1 (13/235; 5.5%). ST131 was the predominant clonal group among the phylogenetic
group B2 isolates (151/169; 89.3%). CONCLUSION: The results revealed that an
urgent investigation of the source and transmission pathways of the CTX-M-15-B2
ST131 E. coli clone is needed to mitigate this emergent public-health problem.
PMID- 29807203
TI - Synergistic interactions of cryptotanshinone and aminoglycoside antibiotics
against Staphylococcus aureus in vitro.
PMID- 29807204
TI - Quinolone-resistant phenotype of Flavobacterium columnare isolates harbouring
point mutations both in gyrA and parC but not in gyrB or parE.
AB - OBJECTIVES: The aim of this study was to determine mutations associated with a
quinolone-resistant (QR) phenotype of Flavobacterium columnare isolates. METHODS:
The susceptibility of 53 F. columnare isolates to 11 antimicrobials, including 2
quinolones, was investigated by the disk diffusion method. Oxolinic acid (OXO)
was subsequently chosen for minimum inhibitory concentration (MIC) assay.
Sequence analysis of four genes within the quinolone resistance-determining
regions (QRDRs) of OXO-resistant F. columnare compared with susceptible isolates
was subsequently performed. RESULTS: The disk diffusion assay revealed that the
majority of isolates were susceptible to all tested antimicrobials. However, 14
and 8 isolates were resistant to the quinolone antibiotics OXO and nalidixic
acid, respectively. No multidrug resistance was observed. The MIC assay revealed
five additional isolates that were resistant to OXO (>=4MUg/mL), making a total
of 19 OXO-resistant isolates observed in this study. DNA sequencing identified
missense mutations both in parC and gyrA but not in gyrB or parE in QR F.
columnare isolates. Mutation in parC resulted in the change His87->Tyr. For gyrA,
15 isolates of Thai origin exhibited a change at residue Ser83 to either Phe, Tyr
or Ala, whereas 3 Vietnamese isolates contained two mutation sites (Ser83->Phe
and Asp87->Tyr). CONCLUSION: This study is the first to reveal that QR phenotype
F. columnare isolates harboured missense mutations both in parC and gyrA but not
in gyrB or parE of the QRDRs.
PMID- 29807205
TI - Prevalence and distribution of HPV genotypes and cervical-associated lesions in
sexually active young French women following HPV vaccine.
AB - BACKGROUND: Despite the availability of safe and effective HPV vaccines in
France, more than 80% of girls remain unvaccinated. SETTING: A regional
university hospital referral center in France. OBJECTIVE: To estimate the overall
prevalence and distribution of HPV in vaccinated, sexually active young French
women who were screened for cervical cancer by cytology and HPV testing. METHODS:
High-risk HPV (HR-HPV) prevalence, genotype-specific prevalence and extent of
multiple infections were assessed in 125 cervical samples from females with
available vaccine data using hc2 assay and INNO-LiPA assay. HPV status was
analyzed in accordance with cytological data. RESULTS: In our series, mean age
was 23 years, overall prevalence of HR-HPV was 52% and was correlated with the
lesion grade. The diversity of HPV genotypes was broad. Single HR-HPV infections
were identified in 11%, 21% and 47% of women with NILM, ASC-US/-H and LSIL
respectively. Multiple infections with HR-HPV were detected in 28% of the
specimens. Only 24.5% of women with NILM presented infections with 2 genotypes or
more, vs 28% of women with ASC-US/-H and 35% of women with LSIL. The overall
prevalence of genotypes covered by the quadrivalent vaccine was low (5.9%); with
4.2%, 0%, 0.8% and 0.8% for HPV 16, HPV 18, HPV 6 and HPV 11 respectively.
CONCLUSION: Among HPV-vaccinated young women, HR-HPV are detected at a high rate,
and an association with the grade of cytological abnormalities was observed.
However, HPV 16 and 18, both targeted by the vaccines, are remarkably rare among
young French women since program implementation.
PMID- 29807206
TI - Feelings and expectations in endometriosis: Analysis of open comments from a
cohort of endometriosis patients.
AB - INTRODUCTION: Endometriosis symptoms may have a negative effect on health-related
quality of life (HRQoL). Analyses of open comments are known to be a key source
of information and support. The authors aimed to analyse open comments associated
with a validation scale study, in order to ascertain whether the questionnaires
allowed an adequate exploration of patient preoccupations and in so doing define
important quality of life themes not assessed in HRQoL scales. MATERIAL AND
METHODS: Analysis of open comments, following two questionnaires (SF-36 and EHP
30) as part of a national study evaluating the EHP-30, was performed.
Questionnaires were distributed online, via a link to the RedCap Internet
platform. The association EndoFrance, a support group for endometriosis patients,
notified women of the launching of the study. Women were asked to complete the
questionnaire and had the opportunity to comment. Comments were gathered, coded
systematically, and categorised by theme. RESULTS: Of the 1156 women who
responded to the questionnaire, 913 (79.0%) declared having a confirmed surgical
diagnosis of endometriosis, and 265 comments were analysed. Comments were
classified in 20 themes. Correspondence between the themes and items for both
questionnaires and the open comments was investigated for all topics, including
those non-addressed by the questionnaires. 8 themes are not mentioned in both
questionnaires, 9 themes are covered by EHP-30, two by SF-36 and only one by
both. CONCLUSION: Anonymous data collection and subsequent analysis proves to be
an effective and practical way of obtaining patient opinion on their pathology.
Analysis of comments may provide additional and useful information to the
classical HRQoL Scale.
PMID- 29807207
TI - New fluorescent rosamine chelator showing promising antibacterial activity
against Gram-positive bacteria.
AB - The restricted number of antibiotics to treat infections caused by common
multidrug resistant bacterial pathogens in the clinical setting demands a
continuous search for new molecules with antibacterial properties. Bacterial iron
deprivation represents a promising alternative, being iron chelators an
attractive class for drug design in which particular compounds seem to have
antibacterial effect. In this work, we report the synthesis and characterization
of a new fluorescent 3-hydroxy-4-pyridinone (3,4-HPO) iron chelator
functionalized with a carboxyrosamine fluorophore (MRB20). The antibacterial
activity of MRB20 was assessed against representative strains from clinically
relevant Gram-positive and Gram-negative bacterial species and further compared
with the inhibitory effect of a set of structurally related iron chelators
including Deferiprone (1,2-dimethyl-3-hydroxy-4-pyridinone). Compounds exhibiting
a promising minimal inhibitory concentration (MIC < 10 mg/L) were further tested
against a wider range of bacterial genera and species (Staphylococcus spp.
Enterococcus spp. Listeria monocytogenes, Bacillus spp.), including multidrug
resistant bacteria. With the exception of the novel compound (MRB20), all
chelators inhibited the strains assayed at very high concentrations [minimum
inhibitory concentrations (MIC) ranging from 70 mg/L to >180 mg/L]. MRB20
revealed a good antibacterial activity (6.7-13.2 mg/L) against Gram-positive
strains from different genera and species, including clinically relevant species
(Staphylococcus aureus, Staphylococcus epidermidis, Enterococcus faecium,
Enterococcus faecalis), which might be eventually compatible with a therapeutic
application or as adjuvant.
PMID- 29807208
TI - Catalytic asymmetric synthesis of indole derivatives as novel alpha-glucosidase
inhibitors in vitro.
AB - Indole containing compounds have acquired conspicuous significance due to their
wide spectrum of biological activities. Synthesis of a series of enantiomerically
pure indole derivatives 3a-rvia Friedel-Crafts alkylation of indole 1 with enones
2a-r were described here. The products were isolated in a moderate to excellent
yields (upto 89%) with excellent enantioselectivities (upto 99.9% ee). These
compounds 3a-r were evaluated for their in vitro alpha-glucosidase inhibitory
activity and some of them were identified as potent inhibitors (IC50 = 4.3 +/-
0.13-43.9 +/- 0.51 MUM) with several fold higher activity than the clinically
used alpha-glucosidase inhibitor, acarbose (IC50 = 840 +/- 1.73 MUM). To the best
of knowledge, this is the first report of the propanone substituted indole ring
containing compounds by in vitro alpha-glucosidase enzyme inhibition.
PMID- 29807209
TI - Anterior thalamic radiation structural and metabolic changes in obsessive
compulsive disorder: A combined DTI-MRS study.
AB - Numerous studies indicate the cortico-striato-thalamo-cortical (CSTC) circuit
plays an important role in the pathophysiology of obsessive-compulsive disorder
(OCD). The anterior thalamic radiation (ATR), as a major fiber in the fronto
thalamic circuitry, contributes to symptomology of OCD. However, the underlying
biochemical mechanism in relation with its structural alteration remains not
understood. This study investigated the structural abnormality of ATR and its
correlation with thalamic metabolic alteration in OCD, using diffusion tensor
image (DTI) and proton magnetic resonance spectroscopy (1H-MRS). Twenty-six
unmedicated adult OCD patients and twenty-six matched healthy controls
participated in DTI study. Thirteen OCD patients and thirteen healthy controls, a
subset of DTI participants, took part in MRS study. The results showed that mean
fiber length of right ATR negatively correlated with ipsilateral thalamic choline
(Cho) level in OCD patients. Additionally, significantly higher Cho concentration
was detected in right thalamus of OCD patients compared to healthy controls.
Moreover, the mean fractional anisotropy (FA) value of right ATR positively
correlated with patients Yale-Brown Obsessive Compulsive Scale (YBOCS) total
score, as well as YBOCS compulsion score. These results suggested the coupling of
structural and metabolic changes in right ATR, which might serve as a multi-modal
biomarker contributing to the pathogenesis of OCD.
PMID- 29807210
TI - Differential misclassification between self-reported status and official HPV
vaccination records in Japan: Implications for evaluating vaccine safety and
effectiveness.
AB - Japan has no national vaccine registry and approximately 1700 municipalities
manage the immunization records independently. In June 2013, proactive
recommendations for the human papillomavirus (HPV) vaccine were suspended after
unconfirmed reports of adverse events following immunization in the media,
despite no vaccine safety signal having been raised. Furthermore, studies
assessing HPV vaccine safety and effectiveness published post suspension are
predominantly based on self-reported information. Our aim was to examine the
accuracy of self-reported vaccination status compared with official municipal
records. Participants were women aged 20-22 yrs, who were attending for cervical
screening in Niigata city. Among the 1230 eligible registrants, vaccine uptake,
defined as any dose, was 75.0% and 77.2% according to a self-reported
questionnaire and municipal records, respectively. The accuracy rate of self
reported information was as follows: positive predictive value (PPV) was 87.7%;
negative predictive value (NPV) was 54.5%; sensitivity was 85.2%; and specificity
was 59.8%. The validity of self-reported information was only moderate (Kappa
statistic = 0.44, 95% confidence interval 0.37-0.50). This combined with the low
NPV may lead to reduced estimation of effectiveness and safety. A more reliable
method, such as a national HPV vaccine registry, needs to be established for
assessing HPV immunization status in Japan.
PMID- 29807212
TI - Ultrasound guided Quadratus Lumborum block for pediatric extracorporeal shock
wave lithotripsy: Safety and indication?
PMID- 29807211
TI - A delayed dose of quadrivalent human papillomavirus vaccine demonstrates immune
memory in HIV-1-infected men.
PMID- 29807213
TI - Ultrasound guided transmuscular quadratus lumborum block for congenital hip
dislocation surgery: Report of two pediatric cases.
PMID- 29807214
TI - A multibiomarker approach highlights effects induced by the human pharmaceutical
gemfibrozil to gilthead seabream Sparus aurata.
AB - Lipid regulators are among the most prescribed human pharmaceuticals worldwide.
Gemfibrozil, which belongs to this class of pharmaceuticals, is one of the most
frequently encountered in the aquatic environment. However, there is limited
information concerning the mechanisms involved in gemfibrozil effects to aquatic
organisms, particularly to marine organisms. Based on this knowledge gap, the
current study aimed to assess biochemical and behavioral effects following a
sublethal exposure to gemfibrozil (1.5, 15, 150, 1500 and 15,000 MUg L-1) in the
estuarine/marine fish Sparus aurata. After the exposure to 1.5 MUg L-1 of
gemfibrozil, fish had reduced ability to swim against a water flow and increased
lipid peroxidation in the liver. At concentrations between 15-15,000 MUg L-1, the
activities of some enzymes involved in antioxidant defense were induced,
appearing to be sufficient to prevent oxidative damage. Depending on the organ,
different responses to gemfibrozil were displayed, with enzymes like catalase
being more stimulated in gills, whereas glutathione peroxidase was more activated
in liver. Although there were no obvious concentration-response relationships,
the integrated biomarker response version 2 (IBRv2) analysis revealed that the
highest concentrations of gemfibrozil (between 150-15,000 MUg L-1) caused more
alterations. All the tested concentrations of gemfibrozil induced effects in S.
aurata, in terms of behavior and/or oxidative stress responses. Oxidative damage
was found at a concentration that is considered environmentally relevant,
suggesting a potential of this pharmaceutical to impact fish populations.
PMID- 29807215
TI - Cigarette use trajectories in young adults: Analyses of predictors across system
levels.
AB - BACKGROUND: Cigarette smoking escalates most in early to middle young adulthood.
However, little research has examined a range of multilevel factors in relation
to smoking trajectories during this time. METHODS: We examined: 1) trajectories
of cigarette smoking among 2967 US college students (aged 18-25) in a two-year,
six-wave longitudinal study (using growth mixture modeling); and 2) intrapersonal
(i.e., other substance use, depressive symptoms, ADHD symptoms,); interpersonal-
(i.e., adverse childhood events, social support, parental tobacco and marijuana
use), and community-level (i.e., type of college, rural vs. urban setting)
predictors of differing trajectories (using multinomial logistic regression).
RESULTS: We identified three trajectory classes: 1) Dabblers, who used cigarettes
at one point in their life or not at all (85.6%); 2) College Onset Smokers, who
began smoking regularly during the college years (6.2%); and 3) Later Onset
Smokers, who began smoking during the mid- to late-20 s (8.2%). Multinomial
regression (with Dabblers as the reference group) showed that predictors of being
College Onset Smokers included being male (p = .031); Asian (p = .001) but not
Black (p = .008; Ref: White); early onset smokers (i.e., initiation before age
15; p = .006); past 30-day users of little cigars/cigarillos (p = .024), alcohol
(p < .001), and marijuana (p = .008); children of tobacco users (p = .050); and
public (p = .031) or a technical college students (p < .001; Ref: private
college); predictors of being Later Onset Smokers were being male (p = .019) and
technical college students (p = .005). CONCLUSIONS: Despite some young adults'
smoking initiating/escalating in middle young adulthood, few risk factors were
documented. This understudied period warrants greater examination to inform
intervention.
PMID- 29807216
TI - Geographic variation in postpartum prescription opioid use: Opportunities to
improve maternal safety.
AB - BACKGROUND: Obstetric delivery is among the most common in-hospital procedures
experienced by reproductive-age women, yet there is little literature on patterns
of postpartum opioid prescriptions after such episodes. METHODS: We used claims
data from 871,195 vaginal deliveries to 768,455 privately-insured women with an
in-hospital delivery between June 2001 and July 2013 to examine the state- and
census division-level proportions of women who filled an opioid prescription
within four days of hospital discharge after vaginal delivery. Our primary
outcome examined the proportion of women who filled an opioid prescription after
uncomplicated vaginal delivery (e.g., without forceps extraction, vacuum
extraction, or 3rd/4th degree perineal laceration). Secondary outcomes examined
state- and census division-level variation in opioid prescription duration
(proportion of prescriptions exceeding five days) and dose (proportion of
prescriptions exceeding 280 morphine milligram equivalents). We also displayed
national temporal trends in opioid prescribing rate and dose for uncomplicated
vaginal delivery in comparison to complicated vaginal delivery. RESULTS: Across
states, we found a 7-fold variation in postpartum opioid prescription rates (7.6
53.4%), a 5-fold variation in opioid prescriptions for greater than five days'
duration (5.1-25.7%), and a 19% absolute difference in opioid prescriptions for
greater than 280 morphine milligram equivalents (0-19.3%) following uncomplicated
vaginal delivery. CONCLUSIONS: These wide variations in postpartum opioid
prescription practices suggest opportunities to develop guidelines on postpartum
opioid use, to improve prescription safety, and to reduce opioid-related harms
among women in the postpartum period.
PMID- 29807219
TI - To die or not to die SGK1-sensitive ORAI/STIM in cell survival.
AB - The pore forming Ca2+ release activated Ca2+ channel (CRAC) isoforms ORAI1-3 and
their regulators STIM1,2 accomplish store operated Ca2+ entry (SOCE). Activation
of SOCE may lead to cytosolic Ca2+ oscillations, which in turn support cell
proliferation and cell survival. ORAI/STIM and thus SOCE are upregulated by the
serum and glucocorticoid inducible kinase SGK1, a kinase under powerful genomic
regulation and activated by phosphorylation via the phosphoinositol-3-phosphate
pathway. SGK1 enhances ORAI1 abundance partially by phosphorylation of Nedd4-2,
an ubiquitin ligase priming the channel protein for degradation. The SGK1
phosphorylated Nedd4-2 binds to the protein 14-3-3 and is thus unable to
ubiquinate ORAI1. SGK1 further increases the ORAI1 and STIM1 protein abundance by
activating nuclear factor kappa B (NF-kappaB), a transcription factor
upregulating the expression of STIM1 and ORAI1. SGK1-sensitive upregulation of
ORAI/STIM and thus SOCE is triggered by a wide variety of hormones and growth
factors, as well as several cell stressors including ischemia, radiation, and
cell shrinkage. SGK1 dependent upregulation of ORAI/STIM confers survival of
tumor cells and thus impacts on growth and therapy resistance of cancer. On the
other hand, SGK1-dependent upregulation of ORAI1 and STIM1 may support survival
of neurons and impairment of SGK1-dependent ORAI/STIM activity may foster
neurodegeneration. Clearly, further experimental effort is needed to define the
mechanisms linking SGK1-dependent upregulation of ORAI1 and STIM1 to cell
survival and to define the impact of SGK1-dependent upregulation of ORAI1 and
STIM1 on malignancy and neurodegenerative disease.
PMID- 29807217
TI - TV-1380 attenuates cocaine-induced changes in cardiodynamic parameters in monkeys
and reduces the formation of cocaethylene.
AB - BACKGROUND: TV-1380 is a rationally mutated, human BChE fused to human serum
albumin that has high hydrolytic enzymatic activity against cocaine and as well
as an extended elimination half-life. OBJECTIVE: The present studies examined the
safety of TV-1380 and its protective effect when given to monkeys alone or
concomitantly with cocaine and ethanol. METHODS: A set of studies was conducted
in monkeys with TV-1380. The parameters tested included telemetric assessment of
cardiovascular parameters, clinical pathology, plasma analysis of cardiac
troponin I, ex-vivo analyses of cocaethylene and PK analysis of serum
concentrations of TV-1380, cocaine and its metabolites, and histopathological
examinations. RESULTS: TV-1380 treatment in monkeys was well tolerated. TV-1380
pretreatment prior to cocaine significantly attenuated the cardiac effects of
cocaine and reduced cocaine-induced elevations in serum cardiac troponin I. TV
1380 changed the metabolic fate of cocaine resulting in decreased exposure to
benzoylecgonine, while increasing the exposure to ecgonine methyl ester in
plasma.TV-1380 reduced the plasma levels of the toxic metabolite cocaethylene
formed after co-administration of ethanol and cocaine. CONCLUSION: The results of
this study demonstrate that TV-1380 not only accelerates the elimination of
cocaine, but also protects the treated animal from the cardiac effects of
cocaine, and inhibits the formation of the toxic cocaethylene metabolite when
cocaine is given together with ethanol, supporting further clinical development
of modified BChE products as possible treatments for cocaine abuse.
PMID- 29807218
TI - Alcohol use disorder and associated physical health complications and treatment
amongst individuals with and without opioid dependence: A case-control study.
AB - BACKGROUND: Dependence upon one substance may increase vulnerability for
dependence on other substances. This study aimed to i) examine the association
between opioid dependence and alcohol use and dependence; and ii) identify
demographic, mental health, substance use, and alcohol-related withdrawal,
physical health complications, and treatment correlates of comorbid alcohol and
opioid dependence versus the former only. METHODS: In this case-control study,
1475 participants with opioid dependence recruited from opioid substitution
therapy (OST) clinics and 516 non-opioid dependent matched participants completed
a structured interview covering psychiatric history, substance dependence, child
maltreatment, and history of alcohol use. Analyses were mainly concentrated on
cases (n = 696) and controls (n = 194) reporting lifetime alcohol dependence.
RESULTS: Cases with opioid dependence had higher rates of lifetime alcohol
dependence than controls. Binary logistic regression analyses showed comorbid
cases reported greater socio-economic disadvantage, poorer psychiatric history,
greater incidence of dependence on other substances, earlier onset of regular
drinking and alcohol dependence, and greater severity of alcohol dependence
(relative to controls with alcohol dependence only). Comorbid cases were also
more likely to report endorsement of certain DSM-IV criteria (i.e., legal
problems due to alcohol and desire/inability to cut down use), specific
withdrawal symptoms (e.g., tachycardia, hallucinations), using other substances
to relieve withdrawal symptoms, and experiencing liver disease/jaundice. Rates of
lifetime treatment engagement were low overall. CONCLUSIONS: Though strongly
associated with alcohol dependence and alcohol-related harms, people with a
history of opioid dependence have complex social and clinical backgrounds, which
appear to be important factors associated with higher levels of alcohol
dependence.
PMID- 29807220
TI - Shikonin protects H9C2 cardiomyocytes against hypoxia/reoxygenation injury
through activation of PI3K/Akt signaling pathway.
AB - Myocardial ischemic/reperfusion (I/R) injury often leads to irreversible
myocardial cell death and even heart failure, with limited therapeutic
possibilities. In the present study, we evaluated the protective effects of
shikonin (SHK) against hypoxia/reoxygenation (H/R)-induced cardiomyocyte damage
and explored the underlying mechanisms. H9C2 cardiomyocytes were pretreated with
different doses of SHK prior to H/R exposure. We observed that SHK pretreatment
significantly increased cell viability, attenuated LDH release, and suppressed
cardiomyocyte apoptosis induced by H/R exposure. SHK pretreatment also restored
the loss of mitochondrial membrane potential (MMP) and cytochrome c release. In
addition, SHK significantly enhanced the phosphorylation of Akt and GSK-3beta in
H/R-treated H9C2 cells. These protective effects of SHK were partially reversed
by LY294002, a specific PI3K/Akt inhibitor. Therefore, our findings suggested
that SHK might be a promising agent for myocardial I/R injury, and PI3K/Akt
signaling plays a crucial role during this process.
PMID- 29807221
TI - MRTF-A mediates the activation of COL1A1 expression stimulated by multiple
signaling pathways in human breast cancer cells.
AB - Deposition of type I collage in ECM is an important property of various fibrotic
diseases including breast cancer. The excessive expression of type I collagen
contributes to the rigidity of cancer tissue and increases the mechanical
stresses which facilitate metastasis and proliferation of cancer cells via the
activation of TGF-beta signaling pathway. The increased mechanical stresses also
cause the compression of blood vessels and result in hypoperfusion and impaired
drug delivery in cancer tissue. Additionally, type I collage functions as the
ligand of alpha2beta1-integrin and DDR1/2 receptors on the membrane of cancer
cells to initiate signal transduction leading to metastasis. The expression of
type I collage in cancer cells is previously shown to be inducible by TGF-beta
however the detailed mechanism by which the synthesis of type I collagen is
regulated in breast cancer cells remains unclear. Herein, we report that MRTF-A,
a co-activator of SRF, is important for the regulation of type I collagen gene
COL1A1 in breast cancer cells. MRTF-A physically interacted with the promoter of
COL1A1 to facilitate histone acetylation and RNA polymerase II recruitment. The
RhoC-ROCK signaling pathway which controls the nuclear localization of MRTF-A
regulated the transcription of COL1A1 in human breast cancer cells. TGF-beta and
Wnt signaling increased the expression of both MRTF-A and COL1A1. Furthermore,
depletion of MRTF-A abolished the upregulation of COL1A1 in response to the TGF
beta or Wnt signaling, indicating the importance of MRTF-A in the synthesis of
type I collagen in breast cancer. Given the crucial roles of type I collagen in
the formation of metastasis-prone and hypoperfusion microenvironment, MRTF-A
would be a potential target for the development of anti-breast cancer activities.
PMID- 29807222
TI - Cripto-1 promotes resistance to drug-induced apoptosis by activating the TAK-1/NF
kappaB/survivin signaling pathway.
AB - Cripto-1 is an oncogenic protein that belongs to the epidermal growth factor
(EGF)-cripto-1/FRL1/cryptic (CFC) family. It has been shown to stimulate
tumorigenesis and metastasis by promoting cancer cell proliferation, epithelial
to-mesenchymal transition (EMT), and tumor angiogenesis. However, the role of
Cripto-1 in cell survival and apoptosis remains largely undefined. In the present
study, we found that Cripto-1 is significantly upregulated in a number of human
cancer cell lines. The membrane-associated but not the soluble form of Cripto-1
promotes resistance to drug-induced caspase-3 cleavage, an indicator of
apoptosis. Consequently, Cripto-1 silencing sensitizes human cancer cells to
chemotherapy drugs including cytarabine, cisplatin and taxol. Our mechanistic
studies revealed that Cripto-1 promotes apoptosis resistance by inducing NF
kappaB-mediated Survivin expression through activation of TAK-1. We also found
that Cripto-1 silencing does not affect growth of un-treated cancer cells, and
Cripto-1 forms self-assembled punctiforms and changes its subcellular
distribution upon cytarabine treatment. Thus, the anti-apoptotic activity of
Cripto-1 could be an inducible function that can be activated by external stimuli
such as drug stimulation. Our findings suggested that targeting the Cripto-1/TAK
1/NF-kappaB/Survivin pathway may be an effective approach to combat apoptosis
resistance in cancer.
PMID- 29807223
TI - Microbiome as therapeutics in vesicular delivery.
AB - Microbiome refers to an ecological community of various symbiotic and pathogenic
microorganisms, which plays a crucial role in human health and disease. The
concept of novel drug delivery systems particularly the vesicular drug delivery
systems is gaining massive attention. This emerging technology has started
expanding its horizons in the area of microbiome delivery. This mini-review
highlights the role of vesicular systems such as nanoparticles, liposomes etc. as
a host/carrier for the microbiome in targeting various diseases. This review will
be of interest for both the biological and formulation scientists to understand
and explore the new vistas in the area of vesicular delivery system as carrier
for microbiome delivery.
PMID- 29807224
TI - Postmenopausal osteoporosis is associated with the regulation of SP, CGRP, VIP,
and NPY.
AB - Estrogen deficiency is the main factor underlying postmenopausal osteoporosis. A
large number of neuropeptides, which regulate skeletal metabolism, potentially
represent a regulatory pathway for the pathogenesis of osteoporosis. The aim of
this study was to explore factors involved in the regulation of bone-related
neuropeptides and their association with estrogen deficiency and bone metabolism.
Thirty adult female Sprague-Dawley (SD) rats were randomly divided into a control
group with sham surgery (n = 15) and an ovariectomy group with bilateral
oophorectomy (n = 15). After 16 weeks, serum estrogen was reduced,CTX-1 was
increased and P1NP was not significantly affected in the ovariectomy group and a
model of osteoporosis was established. We then investigate the gene expression
and protein levels of a range of neuropeptides and their receptors, including
substance P (SP) and tachykinin receptor 1 (TACR1), calcitonin gene-related
peptide (CGRP) and calcitonin receptor-like (CALCRL), vasoactive intestinal
polypeptide (VIP) and receptor 1 and 2 (VPAC1, 2), neuropeptide Y (NPY) and
receptor Y1 and Y2, in the brain and femora. Ovariectomy reduced TACR1, CGRP,
CALCRL, NPY, NPY Y2 in the brain, but increased TACR1 and decreased SP, CALCRL,
VIP, VPAC2 in the bone. Collectively, our data revealed that the pathogenesis of
postmenopausal osteoporosis is associated with the regulation of SP, CGRP, VIP,
and NPY. These novel results are of significant importance in the development of
neuropeptides as therapeutic targets.
PMID- 29807225
TI - Oral supplements of inulin during gestation offsets rotenone-induced oxidative
impairments and neurotoxicity in maternal and prenatal rat brain.
AB - Environmental insults including pesticide exposure and their entry into the
immature brain are of increased concern due to their developmental neurotoxicity.
Several lines of evidence suggest that maternal gut microbiota influences in
utero fetal development via modulation of host's microbial composition with
prebiotics. Hence we examined the hypothesis if inulin (IN) supplements during
pregnancy in rats possess the potential to alleviate brain oxidative response and
mitochondrial deficits employing a developmental model of rotenone (ROT)
neurotoxicity. Initially, pregnant Sprague-Dawley rats were gavaged during
gestational days (GDs) 6-19 with 0 (control), 10 (low), 30 (mid) or 50 (high)
mg/kg bw/day of ROT to recapitulate developmental effects on general fetotoxicity
(assessed by the number of fetuses, fetal body and placental weights), markers of
oxidative stress and cholinergic activities in maternal brain regions and whole
fetal-brain. Secondly, dams orally supplemented with inulin (2*/day, 2 g/kg/bw)
on GD 0-21 were administered ROT (50 mg/kg, GD 6-19). IN supplements increased
maternal cecal bacterial numbers that significantly corresponded with improved
exploratory-related behavior among ROT administered rats. In addition, IN
supplements improved fetal and placental weight on GD 19. IN diminished
gestational ROT-induced increased reactive oxygen species levels, protein and
lipid peroxidation biomarkers, and cholinesterase activity in maternal brain
regions (cortex, cerebellum, and striatum) and fetal brain. Moreover, in the
maternal cortex, mitochondrial assessment revealed IN protected against ROT
induced reduction in NADH cytochrome c oxidoreductase and ATPase activities.
These data suggest a potential role for indigestible oligosaccharides in reducing
oxidative stress-mediated developmental origins of neurodegenerative disorders.
PMID- 29807226
TI - MiR-206 inhibits epithelial ovarian cancer cells growth and invasion via blocking
c-Met/AKT/mTOR signaling pathway.
AB - BACKGROUND: MicroRNAs play important roles in the pathogenesis of various kinds
of tumors. However, there are few studies on the expression profile and function
of miRNAs in epithelial ovarian cancer. In this study, we performed microRNA
array to compare the expression profile of microRNA in ovarian cancer tissues
with noncancerous tissues. METHODS: qRT-PCR was used to further confirm the
microRNA expression levels in epithelial ovarian cancer tissues and cell lines.
The function of microRNA was analyzed by overexpressing microRNA mimics followed
by the analysis of cell cycle, proliferation, and metastasis. The downstream
target of miR-206 was found and western blot analysis was performed to measure
the activation of the downstream signaling pathway. RESULTS: In this study, we
found the expression of miR-206 was significantly down-regulated in epithelial
ovarian cancer tissues and epithelial ovarian cancer cell lines. In epithelial
ovarian cancer patients, downregulation of miR-206 was associated with metastasis
and poor prognosis. In epithelial ovarian cancer cell lines, miR-206 contributed
to the cell cycle regulation, cell apoptosis, and cancer cell metastasis. MiR-206
mimics inhibited cancer cell proliferation and metastasis, and induced cell
apoptosis. Moreover, our results demonstrated that miR-206 directly targeted c
Met and repressed the activation of downstream AKT/mTOR signaling pathway.
CONCLUSION: Our results demonstrated that miR-206 was down-regulated in
epithelial ovarian cancer tissues and cell lines. MiR-206 inhibits the
development of epithelial ovarian cancer cell by directly targeting c-Met and
inhibiting the c-Met/AKT/mTOR signaling pathway.
PMID- 29807227
TI - Broad targeting of triptolide to resistance and sensitization for cancer therapy.
AB - Cancer cell resistance to current anticancer therapeutics as well as the side
effects are still obstacles to successful cancer therapy. Hence, the development
of novel anticancer agents or therapeutics is of vital significance, and
especially rational adjuvant therapies containing low-cost natural products with
multiple targets have attracted great interests. Triptolide, the main
biocomponent of Tripterygium wilfordii Hook F, is restricted in clinical
applications mainly due to its severe systemic toxicities, although it has shown
strong antitumor activities in preclinical studies. Mounting evidence suggests
that triptolide at low doses as an adjuvant therapeutic agent circumvents
resistance to current anticancer therapies, enhances the anticancer
effectiveness, and relieves toxicities of both triptolide and anticancer
therapies. Furthermore, several unique antitumor targets of triptolide make it
superior to other therapeutics. The molecular mechanisms of triptolide-induced
anti-resistance and sensitization effects include changes in ATP-binding cassette
transporters, induction of apoptosis pathways, increase in tumor suppressors and
decrease in oncogenic factors, and interactions with the RNA polymerase II
complex; targeting cancer stem cells and tumor-microenvironment-mediated
resistance are also involved. Besides, some synthetic derivatives and novel
delivery systems of triptolide are also developed to enhance the water-solubility
and reduce the toxicity, which will also be discussed.
PMID- 29807228
TI - Predictors of clinical response in a double-blind placebo controlled crossover
trial of gabapentin enacarbil for restless legs syndrome.
AB - OBJECTIVES: Restless Legs Syndrome (RLS) is a sensory-motor disorder which
produces sleep disturbance. Using data from a large clinical trial of gabapentin
enacarbil (GEn) we sought to assess the ability of baseline, and changes from
baseline, in clinical trial endpoints to predict treatment response. METHODS:
Data were derived from a randomized, double-blind, placebo-controlled, crossover
polysomnography study of gabapentin enacarbil 1200 mg (n = 121) or placebo (n =
123). Efficacy evaluations included: sleep measures from polysomnography,
subjective sleep measures, Suggested Immobilization Test (SIT) measures, and
International Restless Legs Severity Scale (IRLS) and Clinical Global Impression
Improvement (CGI-I). Correlations were evaluated using Spearman's rank
correlation coefficients. Predictors of treatment response were separately
assessed for GEn and placebo using categorical IRLS and CGI-I outcomes. Stepwise
logistic regression models ascertained which combination of baseline and change
from baseline variables predicted response. RESULTS: Moderate to large
correlations were observed between changes in the IRLS and changes in subjective
sleep for both GEn and placebo, substantially larger for GEn than placebo. Small
to moderate correlations were present between the change in IRLS and the change
in SIT-discomfort for both GEn and placebo. In the stepwise regression, for both
GEn and placebo, baseline and change from baseline SIT discomfort, as well as
change in sleep quality, were strong predictors of response. CONCLUSIONS: Changes
in sleep quality, and baseline and changes in SIT discomfort were prominent
predictors of treatment response for GEn and placebo. Predictors of treatment
response may allow for more targeted enrollment in future clinical trials and may
provide insights into the efficacy of RLS treatments.
PMID- 29807229
TI - Hypoxia-inducible factor-1 promotes cancer progression through activating
AKT/Cyclin D1 signaling pathway in osteosarcoma.
AB - OBJECTIVE: Osteosarcoma is an aggressive malignant neoplasm, which commonly
afflicts patients of 20-30 years of age, and its morbidity has increased markedly
in recent years. Certain genes and signal pathways have been identified to exert
key roles in osteosarcoma progression. Here, we set out to characterize in more
detail of the role of HIF-1/AKT/Cyclin D1 pathway in the progression of
osteosarcoma. METHODS: Immunohistochemistry, western blot and qPCR were used to
test the protein or mRNA levels of HIF-1 in osteosarcoma tissues or adjacent
nontumor tissues. MTT, clone formation, wound healing, Transwell, in vivo
tumorigenesis, flow cytometry and western blot analysis were used to determine
cell proliferation, clone formation ability, migration, invasion, tumorigenesis,
and cell apoptosis in MG63 and U2OS cells, respectively. Immunoprecipitation and
immunofluorescence assays were performed to investigate the protein-protein
interaction between HIF-1alpha and proteins related to signal pathways. RESULTS:
HIF-1 was overexpressed in osteosarcoma tissues and cell lines, which promoted
cell proliferation, clone formation, migration, invasion and inhibited cell
apoptosis. Results also demonstrated that HIF-1 combined with AKT, and there
might be a positive loop between the two proteins of HIF-1 and AKT, then the
protein-protein interaction up-regulated the expression of Cyclin D1 in protein
level, but not mRNA level, made Cyclin D1 protein more stable, triggered cell
proliferation, clone formation, tumorigenesis, but inhibited cell apoptosis.
CONCLUSIONS: The present study showed that HIF-1 modulated Cyclin D1 expression
might through shaping a positive loop with AKT proteins. Additionally, HIF-1alpha
promoted the tumor cells growth, migration and invasion in osteosarcoma through
the activation of the AKT/Cyclin D1 signal cascade. We proposed that HIF-1 could
be served as a marker for distinguishing osteosarcoma and an effective
therapeutic target for osteosarcoma.
PMID- 29807230
TI - SIX1 reduces the expression of PTEN via activating PI3K/AKT signal to promote
cell proliferation and tumorigenesis in osteosarcoma.
AB - OBJECTIVE: Osteosarcoma is the most common form of primary malignant bone cancer
which is most prevalent in children and adolescents. Dysregulated expressions of
SIX1 and PTEN/PI3K/AKT have been demonstrated in bone malignancies including
osteosarcoma. However, the mechanism of SIX1/PTEN/PI3K/AKT on osteosarcoma
progression remains unknown. Therefore, this study aims to investigate the
molecular mechanism of SIX1 and PTEN/PI3K/AKT on osteosarcoma progression.
METHODS: In this study, we first examined the expression of SIX1 and PTEN in
human osteosarcoma tissues or blood samples and cell lines by
immunohistochemistry, western blot analysis and qPCR. MTT, clone formation assay,
wound healing assay, Transwell assay, in vivo tumorigenesis, flow cytometry and
western blot were used to determine the function of SIX1/PTEN on cell
proliferation, clone formation ability, migration, invasion, tumorigenesis, and
cell apoptosis in SAOS2 and U2OS cells, respectively. RESULTS: Results showed
that SIX1 was overexpressed in osteosarcoma tissues, blood samples and cell
lines, whereas PTEN expression was reduced. SIX1 promoted cell growth, migration,
invasion, and suppressed cell apoptosis. Up-regulation of SIX1 associated with
reduced expression of PTEN and activation of PI3K/AKT signaling pathway. Down
regulated the expression of PTEN using gene transfer in U2OS and SAOS2 cells
increased cell proliferation and inhibited cell apoptosis through activating
PI3K/AKT signaling cascade. In addition, the tumorigenesis of U2OS and SAOS2
cells was suppressed when the cells were stably overexpressed SIX1 and PTEN
simultaneously, compared with that in cells stably overexpressed SIX1 only.
CONCLUSIONS: SIX1 promoted the progression of osteosarcoma via regulating
PTEN/PI3K/AKT signaling cascade, which might provide a new potent therapeutic
target for osteosarcoma.
PMID- 29807231
TI - Sharply contoured theta waves are the human correlate of ponto-geniculo-occipital
waves in the primary visual cortex.
AB - OBJECTIVE: Ponto-geniculo-occipital (PGO) waves occurring along the visual axis
are one of the hallmarks of REM sleep in experimental animals. In humans, direct
evidence is scarce. There is no systematic study of PGO waves in the primary
visual cortex. METHODS: Eleven epilepsy patients undergoing combined intracranial
EEG/polysomnography had 71 channels recording physiological EEG activity from
various cortical areas; seven channels recorded from the primary visual cortex.
An equal number of 4-s phasic and tonic REM segments were selected. Patterns
consistent with PGO waves were visually analyzed in both states in the primary
visual cortex. Spectral analysis compared activity in the primary visual cortex
with the remaining cortical areas. RESULTS: Visual inspection revealed an
increase in sharply contoured theta waves (duration: 150-250 ms) in the primary
visual cortex during phasic as compared to tonic REM sleep. Spectral analysis
confirmed a 32% increase in mean absolute theta power during phasic versus tonic
REM sleep (p corrected = 0.014). CONCLUSION: No classical PGO waves, but sharply
contoured theta waves were found in the human primary visual cortex during phasic
as opposed to tonic REM sleep. SIGNIFICANCE: This research suggests that sharply
contoured theta waves are the human correlate of PGO waves described in
experimental animal models.
PMID- 29807232
TI - The prognostic value of discontinuous EEG patterns in postanoxic coma.
AB - OBJECTIVE: To assess the value of background continuity and amplitude
fluctuations of the EEG for the prediction of outcome of comatose patients after
cardiac arrest. METHODS: In a prospective cohort study, we analyzed EEGs recorded
in the first 72 h after cardiac arrest. We defined the background continuity
index (BCI) as the fraction of EEG not spent in suppressions (amplitudes < 10 uV
for >= 0.5 s), and the burst-suppression amplitude ratio (BSAR) as the mean
amplitude ratio between non-suppressed and suppressed segments. Outcome was
assessed at 6 months and categorized as "good" (Cerebral Performance Category 1
2) or "poor" (CPC 3-5). RESULTS: Of the 559 patients included, 46% had a good
outcome. Combinations of BCI and BSAR resulted in the highest prognostic
accuracies. Good outcome could be predicted at 24 h with 57% sensitivity (95%
confidence interval (CI): 48-67) at 90% specificity (95%-CI: 86-95). Poor outcome
could be predicted at 12 h with 50% sensitivity (95%-CI: 42-56) at 100%
specificity (95%-CI: 99-100). CONCLUSIONS: EEG background continuity and the
amplitude ratio between bursts and suppressions reliably predict the outcome of
postanoxic coma. SIGNIFICANCE: The presented features provide an objective,
rapid, and reliable tool to assist in EEG interpretation in the Intensive Care
Unit.
PMID- 29807233
TI - Testicular cancer among US men aged 50 years and older.
AB - BACKGROUND: The incidence of testicular cancer in the United States (US) has
substantially increased in recent decades. The majority of testicular cancers are
germ cell tumors (TGCT), which are the most commonly occurring malignancies among
men aged 15-44 years in the US. To date, few studies have focused on testicular
cancer among men aged >= 50 years. Thus, we sought to examine detailed
descriptive features, including incidence rates and age patterns, of tumors that
arise in the testes among men aged >= 50 years. METHODS: Data from forty-one US
cancer registries were included for the years 1999-2014. Incidence rates per
100,000 person-years and their 95% confidence intervals (CI) were calculated by
race/ethnicity, histology, and age at diagnosis. Estimates of annual percent
change (APC) were also calculated. RESULTS: Age-specific incidence rates of
spermatocytic tumors, sex cord stromal tumors and lymphomas rose with age, while
age-specific incidence rates of seminomas and nonseminomas declined. Between 1999
and 2014, the incidence of nonseminoma (APC = 3.26, 95% CI: 2.27-4.25) increased
more than any other tumor type. The incidence of seminoma (APC: 1.15, 95% CI:
0.59-1.71) also increased, while rates of testicular lymphoma (APC: -0.66, 95%
CI: -1.16 to -0.16), spermatocytic tumors (APC: 0.42, 95% CI: -1.42 to 2.29), and
sex cord stromal tumors (APC: 0.60, 95% CI: -3.21 to 4.55) remained relatively
unchanged. CONCLUSION: Given the distinct time-trends and age-specific patterns
of testicular cancer in men aged >=50 years, additional investigation of risk
factors for these tumors is warranted.
PMID- 29807234
TI - Accumulated pre-switch resistance to more recently introduced one-pill-once-a-day
antiretroviral regimens impacts HIV-1 virologic outcome.
AB - BACKGROUND: One-pill-once-a-day regimens (OPODs) appeal to providers and
patients. The impact of resistance to OPODs in routine clinical care is important
yet unclear, particularly in treatment-experienced patients. OBJECTIVES: We
hypothesized that resistance to any OPOD component impacts treatment success and
that historical, vs. most recent, resistance better predicts it. STUDY DESIGN: In
the largest RI HIV Center, we identified all patients starting/switching to
Complera/Stribild, evaluated their 12-month viral load (VL) suppression, and
examined the impact of demographic, clinical and laboratory data on it, focusing
on recent-only vs. accumulated significant resistance, defined as low-,
intermediate- or high-level predicted resistance to any OPOD component.
Associations with outcomes were evaluated using Fisher exact and Wilcoxon rank
sum tests. Hypotheses were tested using logistic regression. RESULTS: Of 1624
patients, 224 started/switched to Complera or Stribild, mean age 44 years, 8
years post-diagnosis, CD4 468 cells/MUL; 183 treatment-experienced (140 with
genotypes; 61% suppressed at switch). Significant OPOD-associated resistance was
in 30% by recent-only genotypes, and 38% by all genotypes. 12-month VL
suppression was in 83% of treatment-experienced participants: 96% of suppressed
at switch, associated with older age, higher CD4, fewer prior genotypes, less
accumulated resistance, and better adherence; and 61% of unsuppressed at switch,
associated with better adherence. Accumulated resistance independently predicted
12-month failure, better than most-recent resistance only. CONCLUSION: 12-month
VL suppression with Complera/Stribild was high, suggesting that OPODs remain
options even for experienced patients. Clinicians should consider resistance
history before switching to OPODs and continue to focus on improving adherence.
PMID- 29807235
TI - Efficacy of red propolis hydro-alcoholic extract in controlling Streptococcus
mutans biofilm build-up and dental enamel demineralization.
AB - OBJECTIVE: The efficacy of a red propolis hydro-alcoholic extract (RP) in
controlling Streptococcus mutans biofilm colonization was evaluated. The effect
of RP on dental demineralization was also investigated. METHODS: Chemical
composition was determined by High Performance Liquid Chromatography (HPLC).
Minimum Inhibitory and Bactericidal Concentration (MIC and MBC, respectively)
were investigated against Streptococcus mutans (ATCC 25175). The cytotoxic
potential of 3% RP in oral fibroblasts was observed after 1 and 3 min. Bovine
dental enamel blocks (N = 24) were used for S. mutans biofilm formation (48 h),
simulating 'feast or famine' episodes. Blocks/biofilms were exposed 2*/day, for 3
days, to a cariogenic challenge with sucrose 10% (5 min) and treated (1 min)
with: 0.85% saline solution (negative control), 0.12% Chlorhexidine (CHX,
positive control for biofilm colonization), 0.05% Sodium Fluoride (NaF, positive
control to avoid demineralization) and 3% RP. Biofilms were assessed for
viability (CFU/mL), and to observe the concentration of soluble and insoluble
extracellular polysaccharides (SEPS and IEPS). Dental demineralization was
assessed by the percentage of surface hardness loss (%SHL) and through polarized
light microscopy (PLM). RESULTS: The RP presented 4.0 pH and oBrix = 4.8. The p
coumaric acid (17.2 MUg/mL) and luteolin (15.23 MUg/mL) were the largest contents
of phenolic acids and flavonoids, respectively. MIC and MBC of RP were 293 MUg/mL
and 1172 MUg/mL, respectively. The 3% RP showed 43% of viably cells after 1 min.
Lower number (p < 0.05) of viable bacteria (CFU/mL) was observed after CHX (1.8 *
105) followed by RP (1.8 * 107) treatments. The lowest concentration (MUg/CFU) of
SEPS (12.6) and IEPS (25.9) was observed in CHX (p < 0.05) followed by RP (17.1
and 54.3), and both differed from the negative control (34.4 and 63.9) (p <
0.05). Considering the %SHL, all groups differed statistically (p < 0.05) from
the negative control (46.6%); but NaF (13.9%), CHX (20.1%) and RP (20.7%) did not
differ among them (p > 0.05). After all treatments, suggestive areas of caries
lesions were observed by PLM, which were lower for CHX and NaF. CONCLUSION: The
3% RP reduced S. mutans colonization, decreased concentration of extracellular
polysaccharides and reduced dental enamel demineralization.
PMID- 29807236
TI - Infants' intermodal numerical knowledge.
AB - Two-system theory as the dominant approach in the field of infant numerical
representation is characterized by three features: precise representation of
small sets of objects, approximate representation of large magnitudes and failure
to compare small and large sets. Comparison of single- and multimodal numerical
abilities suggests that infants' performance in multimodal conditions is
consistent with these three features. Nevertheless, the influence of multimodal
stimulation on infants' numerical representation is characterized by preventing
the formation of perceptual overlaps across different sensory modalities which
can lead to an understanding of numerical values of small sets and also by
creating a conceptual overlap about numbers that increases infants' accuracy for
discriminating quantities when numerical information is presented bimodally and
synchronously. Such multisensory benefits provide numerical capabilities beyond
what is depicted by the two-system view.
PMID- 29807238
TI - Numerical simulations of microcrack-related damage and ignition behavior of mild
impacted polymer bonded explosives.
AB - A physical model is developed to describe the viscoelastic-plastic deformation,
cracking damage, and ignition behavior of polymer-bonded explosives (PBXs) under
mild impact. This model improves on the viscoelastic-statistical crack mechanical
model (Visco-SCRAM) in several respects. (i) The proposed model introduces rate
dependent plasticity into the framework which is more suitable for explosives
with relatively high binder content. (ii) Damage evolution is calculated by the
generalized Griffith instability criterion with the dominant (most unstable)
crack size rather than the averaged crack size over all crack orientations. (iii)
The fast burning of cracks following ignition and the effects of gaseous products
on crack opening are considered. The predicted uniaxial and triaxial stress
strain responses of PBX9501 sample under dynamic compression loading are
presented to illustrate the main features of the materials. For an uncovered
cylindrical PBX charge impacted by a flat-nosed rod, the simulated results show
that a triangular-shaped dead zone is formed beneath the front of the rod. The
cracks in the dead zone are stable due to friction-locked stress state, whereas
the cracks near the front edges of dead zone become unstable and turn into
hotspots due to high-shear effects.
PMID- 29807239
TI - Efficient removal of organic and bacterial pollutants by Ag-La0.8Ca0.2Fe0.94O3
delta perovskite via catalytic peroxymonosulfate activation.
AB - Removal of toxic organics and bacterial disinfection are important tasks in
wastewater treatment. Most heavy metal-based catalysts for degradation of aqueous
organic pollutants in heterogeneous Fenton-like processes suffer from the
toxicity of leached metals. The present work reports environmentally benign
systems for both degradation of organics and bacterial disinfection. Calcium
substituted LaFeO3-delta perovskite was demonstrated as an efficient catalyst to
activate peroxymonosulfate (PMS) for degradation of phenol, methylene blue and
rhodamine 6 G. Compared to LaFeO3-delta and nanocrystal Fe3O4, the lattice oxygen
vacancies in B-site cation-deficient perovskite of La0.8Ca0.2Fe0.94O3-delta
(LaCaFeO3-delta) particles renders this material a greatly improved catalytic
performance. Electron paramagnetic resonance (EPR) suggested that both sulfate
(SO4-) and hydroxyl radicals (OH) played critical roles in the advanced oxidation
processes. Moreover, silver doped perovskite (Ag-LaCaFeO3-delta)/PMS successfully
inhibited the growth of waterborne pathogen Escherichia coli and Methicillin
resistant Staphylococcus aureus (MRSA) at a lower dose than silver ions, proving
a synergetic effect between free radicals and Ag+ in killing the bacteria.
Therefore, Ag-LaCaFeO3-delta/PMS would be promising for practical wastewater
treatment.
PMID- 29807240
TI - Studying role of air bubbles on suspension of hematite particles with three size
ranges in plug flow reactor to improve dyes photo degradation compared to
conventional packed bed photo reactors.
AB - We designed a new plug flow reactor (NPFR) containing hematite powder in the
forms of nano particles (bare and stabilized) and bulk suspended by air bubbles,
without problems related to packed bed photoreactors (PBRs) to improve
photocatalytic degradation of four different classes of dye in water in
comparison with that of PBR. The real volume of NPFR (Vr) including the net
volume of dye solution, without the dead volume of air bubbles (VD), was
determined to reach the maximum photodegradation (XMax). The suspension increased
the uniform distribution and effective surface of particles to contact to uv
light in comparison with those of fixed bed in PBR, that this property improved
due to decreasing their size in NPFR, too. XMax values using alpha-Fe2O3
NPs/pectin were more than those of other forms in NPFR with Vr values of 76.5,
70.2, 80.6 and 81.4 ml for removal of Methylene blue, Bismarck brown Y, Rhodamine
B and Malachite green at 298 K, respectively using experimental volume of reactor
of 94.2 ml. To have a PBR with the same conditions, Fe2O3 NPs with the mean size
equal to that of alpha-Fe2O3 NPs/pectin used in NPFR, was used the Brunauer
Emmett-Teller method.
PMID- 29807241
TI - Efficient bacterial disinfection based on an integrated nanoporous titanium
dioxide and ruthenium oxide bifunctional approach.
AB - The increasing lack of drinking water around the globe is of great concern.
Although UV irradiation, photocatalysis, and electrocatalysis for bacterial
disinfection have been widely explored, the synergistic kinetics involved in
these strategies have not been reported to date. Herein, we report on an
efficient and cost-effective strategy for the remediation of a model bacterium
(E. coli), through the integration of photochemistry and electrochemistry based
on a bifunctional electrode, which utilizes titanium (Ti) as the substrate,
nanoporous titanium dioxide (TiO2) as a photocatalyst, and ruthenium oxide (RuO2)
nanoparticles as an electrocatalyst. The nanoporous TiO2 was grown directly onto
a Ti substrate via a three-step anodization process, and its photocatalytic
activity was significantly enhanced by a facile electrochemical treatment. A high
disinfection rate at 0.62 min-1, with >99.999% bacterial removal within 20 min
was achieved using the novel TiO2/Ti/RuO2 bifunctional electrode. Complete
bacterial disinfection was attained within 30 min as assessed by a spread plate
method. Bacterial survival strategies, including a viable but non-culturable
state of the bacteria, were also investigated during the bifunctional treatment
process. The novel strategy demonstrated in this study has strong potential to be
utilized for water purification and wastewater treatment as an advanced
environmentally compatible technology.
PMID- 29807242
TI - What about alphavbeta3 integrins in molecular imaging in oncology?
AB - Non-invasive investigation of integrin expression is an interesting approach in
nuclear medicine department. Indeed, integrins are overexpressed in a wide array
of diseases, including tumor neoangiogenesis, cardiovascular pathologies, immune
dysfunction, etc. Different targets have been identified in order to be detected
and quantified for angiogenesis and vascular remodeling, among them VEGF, matrix
metalloproteases, and integrins (alphavbeta3, but also alpha5beta1 and
alphavbeta6). Their targeting appears of great interest either for early
diagnosis, aggressiveness staging of the disease or for selection of responders
to new-targeted therapies. Thus, alphavbeta3 is a biomarker of angiogenesis that
specifically binds to RGD containing peptides. Many different strategies were
attempted to develop RGD peptides for single photon emission tomography (SPECT)
and positron emission tomography (PET) imaging. This review is mainly focused on
alphavbeta3-targeting in oncology. We will present an overview of the tracers
mostly used on nuclear imaging techniques, those in clinical trials, the recent
development concerning the 18F-labeling strategies, the 68Ga-complex chemistry
and different approaches of therapy.
PMID- 29807243
TI - Combination of 7-hydroxycoumarin in a platinum(IV) complex derived from cisplatin
enhanced cytotoxicity with multiple mechanisms of action.
AB - A novel compound, Cou-platin, composed of 7-hydroxycoumarin and a platinum(IV)
moiety derived from cisplatin was designed and synthesized. Significantly, Cou
platin exhibited more potent in vitro antitumor activity against all tested
cancer cell lines than that of cisplatin, which was mainly attributed to the
liberation of cisplatin and 7-hydroxycoumarin upon reduction with a biomolecular
agent. Besides, cellular accumulation of Cou-platin was dramatically increased
among several cancer cells in contrast to cisplatin. Flow cytometry study
revealed that Cou-platin arrested cell cycle at G2 phase and induced cell
apoptosis. Western blots results indicated that it not only activated cell
apoptosis pathway, but also inhibited extracellular regulated protein
kinases/mitogen-activated protein kinase pathway. In vivo tests showed that Cou
platin, at equimolar dose to cisplatin, could inhibit tumor growth in nude mouse
HCT116 tumor xenograft models almost as cisplatin and oxaliplatin, but with less
toxicity.
PMID- 29807244
TI - Interaction of 17alpha-hydroxylase, 17(20)-lyase (CYP17A1) inhibitors -
abiraterone and galeterone - with human sterol 14alpha-demethylase (CYP51A1).
AB - Abiraterone and galeterone induce type I differential spectral changes in human
sterol 14alpha-demethylase (cytochrome P450 51A1, CYP51A1) with the sigmoidal
shape of the binding curve. After approximation of the data by Hill model, the
half-saturation concentrations (K0.5) were estimated as 22 +/- 1 MUM and 16 +/- 1
MUM and the Hill coefficients as 2.4 +/- 0.2 and 1.97 +/- 0.23 for abiraterone
and galeterone, respectively. We analyzed the catalytic activity of CYP51A1
towards abiraterone and galeterone using an electrochemical system based on
recombinant CYP51A1 immobilized on the screen-printed graphite electrode (SPE)
modified by didodecyldimethylammonium bromide (DDAB) film. The study revealed the
amperometric response of CYP51A1 upon addition of abiraterone, which may indicate
the substrate properties of abiraterone towards CYP51A1. Galeterone caused
negligible amperometric response of CYP51A1. Mass-spectrometric analysis of the
products of CYP51A1-dependent electrocatalytic reaction at a controlled potential
towards abiraterone and galeterone revealed products with m/z of 366.3 and 405.2,
respectively, indicating monohydroxylation of abiraterone and galeterone. We have
observed the sigmoidal character of the dependence of the catalytic current on
abiraterone concentration. Analysis of molecular docking data demonstrated the
ability of abiraterone and galeterone to bind to the active site of CYP51A1, but
abiraterone occupies the position closer to the heme.
PMID- 29807245
TI - A survey of methionine-aromatic interaction geometries in the oxidoreductase
class of enzymes: What could Met-aromatic interactions be doing near metal sites?
AB - Redox reactions of the aromatic amino acids tyrosine (Tyr) and tryptophan (Trp)
are crucial for the biological functions of many metalloproteins. An important
question is how biological systems can use the protein environment to move
electrons through proteins in a controlled manner. Methionine (Met)-aromatic
interactions are common in proteins, but little is known about redox reactions of
such motifs. Here, we explore methionine sulfur-aromatic interactions in the
oxidoreductase (EC 1) class of proteins and their proximity to metal sites. We
also propose a new metric for classifying Met-aromatic interactions called
"interaction order." Over 12,000 protein structures from the Protein Data Bank
were analyzed. A linear algebraic heuristic was used to classify the interaction
of Met-sulfur with tyrosine, tryptophan, and phenylalanine. We found that 83% of
oxidoreductase proteins contained aromatic interactions meeting our criteria,
with a preferential angle of about 60 degrees between Met-sulfur lone pairs and
aromatic planes. A total of 41% of Met-aromatic interactions meeting our criteria
were found to be within 20 A of a metal site, and 6% were found within 10 A. A
surprising number of "bridging" interactions, involving two aromatic residues and
one Met also were identified. Finally, selected examples of potentially important
Met-aromatic redox motifs are outlined. On the basis of our results, we suggest
that Met-aromatic interactions should be considered as mediators of electron
transfer reactions, as well as their more widely recognized roles as structural
motifs.
PMID- 29807246
TI - Open-source system for millisecond-synchronized continuous video-EEG.
AB - The causes of epilepsy are incompletely understood, and rodent models enable
valuable mechanistic investigations. Synchronized video-electroencephalography
(video-EEG) data is critical for clinical assessment of seizure events and is
similarly important in basic research on epilepsy, but commercial packages offer
limited flexibility and are costly. We've developed and here make freely
available OpenVEEG, fully open-source software for millisecond-synchronized video
EEG. With only hardware costs, the system price is approximately one-fifth that
of a commercial system with similar capabilities. It is straightforward to use,
readily extensible, and records robustly on the time scale of weeks.
PMID- 29807247
TI - Prospective associations between cannabis use and negative and positive health
and social measures among emerging adults.
AB - BACKGROUND: In light of on-going policy changes related to cannabis use in the
United States, it is important to examine possible associations between cannabis
use and subsequent behaviors of public health interest. This study identified
prospective associations between cannabis use during first-year post high-school
and a wide range of positive and negative health and social measures one year
later. METHODS: Data were from Waves 4 (Time 1; 1st year after high-school) and 5
(Time 2; one year later) of the NEXT Generation Health Study, a national sample
of emerging adults in the United States (n = 1915; mean age = 20.2; 61% female).
Multinomial logistic regressions adjusting for pertinent covariates were
conducted to examine odds of substance use, nutrition, physical activity,
sedentary behaviors, school performance, family relations, mental health, driving
behaviors and health perceptions at Time 2. RESULTS: Compared with non-use,
frequent use (20+ times in the past year) at Time 1 was associated with Time 2
negative health and social measures, including risky driving behaviors (AOR =
1.78, CI-1.45-2.19), depressive symptoms (AOR = 1.68, CI-1.43-1.98), unhealthy
weight control behaviors (AOR = 1.55, CI-1.31-1.84), psycho-somatic symptoms (AOR
= 1.55, CI-1.30-1.83), and low school achievement (AOR = 1.46, CI-1.23-1.75).
Frequent users relative to non-users had a lower probability of being overweight
and obese (AOR = 0.75, CI-0.60-0.92). Regarding positive measures frequent users
relative to non-users had a higher probability of meeting recommendation of
physical activity (AOR = 1.28, CI-1.09-1.51), but a lower probability of
consuming fruits and vegetables (AOR = 0.82, CI-0.70-0.96) or attending
college/university (AOR = 0.57, CI-0.44-0.75). FINDINGS: on occasional cannabis
use (1-19 times in the past year) were more similar to frequent cannabis use for
negative than positive health and social measures. CONCLUSION: Results
demonstrate complex prospective patterns in which significant prospective
associations with most adverse measures were found for both occasional and
frequent users, and with few significant associations of positive health measures
mostly among occasional cannabis users.
PMID- 29807248
TI - Quantity fluctuations of illicitly used opioids and overdose risk.
AB - BACKGROUND: Reduced opioid tolerance is believed to be associated with overdose
risk, although this relationship has primarily been examined in the context of
gaps and frequency of opioid use. We sought to assess how changes in the quantity
of opioids used, as opposed to periods of abstinence or overall frequency of use,
relate to overdose risk. METHODS: Among repeated visits of participants of a
behavioral intervention trial from 2014 to 2016, we used multivariable logistic
regression models fit with generalized estimating equations to examine the
relationship between the percentage of opioid use days on which individuals used
more or less than the quantity they used on average (i.e., quantity volatility)
and the occurrence of opioid overdose. RESULTS: Our sample included 290 four
month reporting periods among 63 participants (67% male). Opioid overdose events
were reported by 28 (44%) participants during 48 (17%) reporting periods. Our
measure of quantity volatility had a median of 20% (IQR 0.0-50.0). In
multivariable analysis, using a quantity different than the quantity used on
average on more than 20% of all opioid use days in the reporting period was
significantly associated with odds of any opioid overdose (Adjusted OR = 3.55,
95%CI = 1.55-8.13, p = 0.003), controlling for confounders. CONCLUSION: Quantity
volatility of illicitly used opioids was positively associated with overdose risk
and may contribute to the complex system of overlapping factors that influence
overdose risk. Future observational research among opioid users should collect
detailed opioid use data, including quantity used over time, to clarify the
patterns that most elevate overdose risk.
PMID- 29807237
TI - Overweight is associated to a better prognosis in metastatic colorectal cancer: A
pooled analysis of FFCD trials.
AB - BACKGROUND: Previous studies showed that high and low body mass index (BMI) was
associated with worse prognosis in early-stage colorectal cancer (CRC), and low
BMI was associated with worse prognosis in metastatic CRC (mCRC). We aimed to
assess efficacy outcomes according to BMI. PATIENTS AND METHODS: A pooled
analysis of individual data from 2085 patients enrolled in eight FFCD first-line
mCRC trials from 1991 to 2013 was performed. Comparisons were made according to
the BMI cut-off: Obese (BMI >=30), overweight patients (BMI >= 25), normal BMI
patients (BMI: 18.5-24) and thin patients (BMI <18.5). Interaction tests were
performed between BMI effect and sex, age and the addition of antiangiogenics to
chemotherapy. RESULTS: The rate of BMI >=25 patients was 41.5%, ranging from
37.6% (1991-1999 period) to 41.5% (2000-2006 period) and 44.8% (2007-2013
period). Comparison of overweight patients versus normal BMI range patients
revealed a significant improvement of median overall survival (OS) (18.5 versus
16.3 months, HR = 0.88 [0.80-0.98] p = 0.02) and objective response rate (ORR)
(42% versus 36% OR = 1.23 [1.01-1.50] p = 0.04) but a comparable median
progression-free survival (PFS) (7.8 versus 7.2 months, HR = 0.96 [0.87-1.05] p =
0.35). Subgroup analyses revealed that overweight was significantly associated
with better OS in men. OS and PFS were significantly shorter in thin patients.
CONCLUSION: Overweight patients had a prolonged OS compared with normal weight
patients with mCRC. The association of overweight with better OS was only
observed in men. The pejorative prognosis of BMI <18.5 was confirmed.
PMID- 29807250
TI - A Long Short-Term Memory deep learning network for the prediction of epileptic
seizures using EEG signals.
AB - The electroencephalogram (EEG) is the most prominent means to study epilepsy and
capture changes in electrical brain activity that could declare an imminent
seizure. In this work, Long Short-Term Memory (LSTM) networks are introduced in
epileptic seizure prediction using EEG signals, expanding the use of deep
learning algorithms with convolutional neural networks (CNN). A pre-analysis is
initially performed to find the optimal architecture of the LSTM network by
testing several modules and layers of memory units. Based on these results, a two
layer LSTM network is selected to evaluate seizure prediction performance using
four different lengths of preictal windows, ranging from 15 min to 2 h. The LSTM
model exploits a wide range of features extracted prior to classification,
including time and frequency domain features, between EEG channels cross
correlation and graph theoretic features. The evaluation is performed using long
term EEG recordings from the open CHB-MIT Scalp EEG database, suggest that the
proposed methodology is able to predict all 185 seizures, providing high rates of
seizure prediction sensitivity and low false prediction rates (FPR) of 0.11-0.02
false alarms per hour, depending on the duration of the preictal window. The
proposed LSTM-based methodology delivers a significant increase in seizure
prediction performance compared to both traditional machine learning techniques
and convolutional neural networks that have been previously evaluated in the
literature.
PMID- 29807249
TI - A one hour teaching intervention can improve end-of-life care.
AB - BACKGROUND: It is not known if standard nursing actions are tailored to patient
preferences for comfort measures during End of Life (EOL) care. OBJECTIVES:
Determine the effect of a brief teaching intervention on student care of EOL
patients. DESIGN: Pre-test/post-test intervention design. SETTINGS: Two large
public universities and one smaller private Catholic institution (all in the
United States [U.S.]). PARTICIPANTS: 471 nursing students attending class as part
of their required nursing curriculum. METHODS: A previously developed
aggressiveness of nursing care scale was modified to determine students'
behavioral intentions for the care of the EOL patient before and after a
standardized lecture. The lecture was designed to help students recognize that
nursing care priorities for the EOL patient may need to be different than for
other patients in order to provide the best quality of remaining life. RESULTS:
Nursing students prior to the lecture had aggressiveness of care scores similar
to those of experienced staff nurses, and were more likely to provide more
aggressive care to younger patients without DNR orders than to older patients
with a DNR order. Following the lecture, aggressiveness of nursing care scores
decreased significantly for all EOL patients, and students reported similar
behavioral intentions for all EOL patients, regardless of patient age or code
status. Student age was marginally related to change in behavior following the
lecture. Prior experience in caring for a dying patient or relative did not have
a significant effect on aggressiveness of care scores before or after the
lecture. CONCLUSIONS: This study demonstrates the effectiveness of a brief
teaching intervention to help student nurses take patient preferences and needs
into consideration when selecting nursing interventions for the EOL patient.
PMID- 29807251
TI - Multiscale smeared finite element model for mass transport in biological tissue:
From blood vessels to cells and cellular organelles.
AB - One of the basic and vital processes in living organisms is mass exchange, which
occurs on several levels: it goes from blood vessels to cells and organelles
within cells. On that path, molecules, as oxygen, metabolic products, drugs, etc.
Traverse different macro and micro environments - blood,
extracellular/intracellular space, and interior of organelles; and also
biological barriers such as walls of blood vessels and membranes of cells and
organelles. Many aspects of this mass transport remain unknown, particularly the
biophysical mechanisms governing drug delivery. The main research approach relies
on laboratory and clinical investigations. In parallel, considerable efforts have
been directed to develop computational tools for additional insight into the
intricate process of mass exchange and transport. Along these lines, we have
recently formulated a composite smeared finite element (CSFE) which is composed
of the smeared continuum pressure and concentration fields of the capillary and
lymphatic system, and of these fields within tissue. The element offers an
elegant and simple procedure which opens up new lines of inquiry and can be
applied to large systems such as organs and tumors models. Here, we extend this
concept to a multiscale scheme which concurrently couples domains that span from
large blood vessels, capillaries and lymph, to cell cytosol and further to
organelles of nanometer size. These spatial physical domains are coupled by the
appropriate connectivity elements representing biological barriers. The composite
finite element has "degrees of freedom" which include pressures and
concentrations of all compartments of the vessels-tissue assemblage. The overall
model uses the standard, measurable material properties of the continuum
biological environments and biological barriers. It can be considered as a
framework into which we can incorporate various additional effects (such as
electrical or biochemical) for transport through membranes or within cells. This
concept and the developed FE software within our package PAK offers a
computational tool that can be applied to whole-organ systems, while also
including specific domains such as tumors. The solved examples demonstrate the
accuracy of this model and its applicability to large biological systems.
PMID- 29807252
TI - Interaction of calcium, phosphorus and natural organic matter in electrochemical
recovery of phosphate.
AB - To address the issues of eutrophication and the potential risk of phosphorus (P)
shortage, it is essential to remove and recover P from P-containing streams to
close this nutrient cycle. Electrochemical induced calcium phosphate (CaP)
precipitation was shown to be an efficient method for P recovery. However, the
influence of natural organic matter (NOM) is not known for this treatment. In
this paper, the behavior of NOM and its effect on CaP precipitation was studied.
In contrast to studies where NOM hindered CaP precipitation, results show that
the interaction of NOM with CaP improves the removal of P, independent of the
types of NOM. The P removal at the average increased from 43.8 +/- 4.9% to 58.5
+/- 1.2% in the presence of 1.0 mg L-1 NOM. Based on the yellow color of the CaP
product, NOM is co-precipitated. The bulk solution pH with and without buffers
has totally different effects on the precipitation process. Without buffer, CaP
precipitates on the cathode surface in a wide pH range (pH 4.0-10.0). However,
the precipitation process is completely inhibited when the bulk solution is
buffered at pH 4.0 and 6.0. This is probably due to neutralization of OH- by the
buffers. Regardless of the presence or absence of NOM and solution pH, the
recovered products are mainly amorphous CaP unless the electrolysis time was
increased to seven days with 4.0 A m-2, in which crystalline CaP formed. These
findings advance our understanding on the interaction of Ca, P and NOM species
for the application of electrochemical method for P recovery from real
wastewater.
PMID- 29807253
TI - Temperature Enhanced Backwash.
AB - Decentralized drinking water treatment is limited by supply of service,
consumables, spare parts and in particular, power. Therefore, gravity-driven dead
end ultrafiltration is applied to purify surface water with high suspended solid
loading. To obtain high flux in the long term, an effective membrane backwash is
mandatory. Also, disinfection and cleaning is required regularly. Here we propose
a new process coping with these particular challenges in decentralized water
production: Temperature Enhanced Backwash. Herein, the membrane is backwashed at
elevated temperature and corresponding steam pressure. A mathematical description
of the Temperature Enhanced Backwash reveals that membrane pores are filled
predominantly with liquid phase, irrespectively of whether membranes are charged
with saturated steam or boiling liquid. A steam - water mixture is discharged at
the module outlet suggesting evaporation at the end of the pores. This
evaporation at membrane - fluid interface supposedly creates high volume fluxes
shearing off potential fouling layers. Combined with gravity-driven filtration,
the overall process potentially can cope with highly intermittent electrical
power supply or even its absence. The methodology shows competitive cleaning
efficacy compared to mechanical backwashing as demonstrated experimentally using
silica nanoparticles, humic acid and river water.
PMID- 29807254
TI - Combined effects of resveratrol and epigallocatechin-3-gallate on post thaw boar
sperm and IVF parameters.
AB - Frozen-thawed boar semen suffer a fertility decrease that negatively affects its
widespread use. In recent years supplementing frozen-thawed boar sperm with
different antioxidants gave interesting and promising results; the aim of the
present work was to study the effect of supplementing boar sperm thawing medium
for 1 h with combination of epigallocatechin-3-gallate (EGCG, 50 MUM) and
Resveratrol (R, 2 mM), on boar sperm motility (assessed by CASA), viability,
acrosome integrity, mitochondrial function, lipid peroxidation and DNA integrity
(assessed by flow cytometry), protein tyrosine phosphorylation (assessed by
immunofluorescence) and on in vitro fertilization (IVF). Our results demonstrate
that sperm motility is negatively affected by R (alone or associated with EGCG, p
< 0.05) in comparison to control and EGCG groups both at 1 h and 4 h; this effect
is evident both in average motility parameters and in single cells kinematics,
studied by cluster analysis, that showed the presence of a specific cell
population with simil-hyperactivated features in R group (p < 0.01). Viability,
acrosome integrity, mitochondrial functionality and lipid peroxidation are not
influenced by the addition of the antioxidants; finally, DNA integrity is
negatively influenced by R (both alone or associated with EGCG) both at 1 h and 4
h incubation (p < 0.05). Finally, tyrosine phosphorylated protein
immunolocalization, used as capacitation parameter, is not affected by the
different treatments. Penetration rate is strongly enhanced by R, both alone or
associated with EGCG (p < 0.05); EGCG increases penetration rate as well but to a
lower extent. Our findings demonstrate that the combination of R and EGCG could
positively affect frozen-thawed boar sperm fertility in vitro; the effect is
evident also in R groups, thus demonstrating that this antioxidant is
predominant, and no synergic effect is present. Some insights are needed to
understand if, in particular R (that showed the strongest effect) could be
profitably used for artificial insemination in vivo, given the detrimental effect
of this molecule on both sperm motility and DNA integrity.
PMID- 29807255
TI - Improved embryo development using high cysteamine concentration during IVM and
sperm co-culture with COCs previous to ICSI in bovine.
AB - In contrast to other species, intracytoplasmic sperm injection (ICSI) in bovine
remains inefficient, resulting in low embryo developmental rates. It is unclear
whether such inefficiency is due to the poor response of bovine ooplasms to the
injection stimulus, or to the inability of bull sperm to induce oocyte
activation. In order to facilitate these events, two strategies were assessed:
the use of high concentration of cysteamine [Cys] during IVM; and the selection
of sperm attached to cumulus cells after incubation with COCs for ICSI. First,
COCs were IVM with increasing [Cys] and subjected to IVF. Zygotes from all groups
were cultured under different O2 tensions and development to blastocyst was
evaluated. In a second experiment, sperm were co-cultured for 3 h with COCs and
acrosome reaction was studied. Afterwards, the best IVM and IVC conditions
determined on Experiment 1 were used for ICSI assay. COCs were matured for 21 h
with 1 (Cys 1) or 0.1 mM Cys (Cys 0.1 groups, standard condition). In addition,
COCs were incubated for >=3 h with 16 * 106 sperm/ml and only sperm attached to
cumulus cells were selected for ICSI (ICSI + Co-cult groups). After chemical
activation, embryos were cultured in SOF medium under low O2 tension. Cleavage
and blastocyst rates were evaluated at days 2 and 7 of IVC, respectively.
Finally, the relative expression of eight genes indicators of embryo quality was
compared between ICSI and IVF control blastocysts by qPCR. Cleavage rates were
higher for Cys 0.1 ICSI + Co-cult and Cys 1 ICSI + Co-cult groups (n = 117, 92%
and n = 116, 79%, respectively) compared to their controls (n = 132, 60% for Cys
0.1 ICSI and n = 108, 52% for Cys 1 ICSI) (p <= 0.05). Interestingly, the
combined treatment (Cys 1 ICSI + Co-cult) showed higher blastocyst rates than all
other ICSI groups (23 vs. 11, 18 and 14% for Cys 0.1 ICSI + Co-cult, Cys 1 ICSI,
and Cys 0.1 ICSI, respectively) (p <= 0.05). Moreover, incubation with COCs
increased the rates of live acrosome reacted sperm (p <= 0.05). The relative
abundance of mRNAs coding for INFtau, CAT, DNMT1, OCT4, and HDAC3 did not differ
between treatments (p <= 0.05). SOD2, HADC1 and HADC2 expression was higher for
Cys 0.1 ICSI than for IVF embryos (p <= 0.05). Group Cys 1 ICSI did not differ
from IVF for those three genes, neither did Cys 1 ICSI + Co-cult, except for
HDAC1 (p <= 0.05). In conclusion, the use of 1 mM Cys during IVM and of sperm
incubated with mature COCs might be a good strategy to improve ICSI outcomes in
cattle.
PMID- 29807256
TI - Effects of seminal plasma and flash-freezing on DNA structure of stallion
epididymal sperm exposed to different potentiators of DNA damage.
AB - The tolerance of sperm DNA structure to seminal plasma and freezing conditions
has both clinical and basic biologic relevance. In this study, fresh (FS) or
flash-frozen (FZ) stallion epididymal sperm were exposed (SP+) or unexposed (SP-)
to seminal plasma. Sperm were then evaluated to monitor the degree of change in
DNA structure following challenge with chemical (dithiothreitol-DTT), oxidative
(iron sulfate; FeSO4) or enzymatic (DNase I) potentiators of DNA damage. For
sperm not treated with potentiators (controls), there was no effect of SP
treatment (SP- vs. SP+) or freezing treatment (FS vs. FZ; non-significant) on
measures of any DNA assays (i.e., 8-hydroxy, 2'deoxyguanosine [8OHdG], TUNEL, or
sperm chromatin structure [SCSA] assays). Group FZ was more susceptible than
Group FS to potentiators of DNA damage. Percent 8OHdG-positive sperm was higher
in Group FZ/SP- treated with FeSO4 than all other groups (P < 0.05). Percent
TUNEL-positive sperm was similar among FZ/SP- groups treated with DTT, FeSO4, or
DNase (non-significant) and was higher in these groups than all other treatments
(P < 0.05). Percent COMP-alphat was higher following treatment with DNase or DTT,
as compared to their respective controls, regardless of prior exposure to SP (P <
0.05). Overall, sperm DNA structure was unaffected by seminal plasma or freezing
treatment when samples were not exposed to potentiators of sperm DNA damage;
however, marked differences were identified in DNA structure when sperm were
challenged with chemical, oxidative or enzymatic treatments. These results
highlight the importance of challenging DNA structure prior to analysis. The use
of potentiators of DNA damage provided a model to evaluate sperm DNA structure
following exposure of sperm to various experimental treatments.
PMID- 29807257
TI - Assessment of uterine luminal pH in mares and the effect of dilute vinegar lavage
on uterine luminal pH and endometrial health.
AB - Uterine luminal pH has been demonstrated to be a valid indicator of uterine
health in species such as cattle and sheep. However, research regarding uterine
luminal pH in equines is lacking. The objectives of this study were to assess
uterine luminal pH in mares during the estrous cycle, and evaluate the effect of
dilute vinegar lavage on both uterine luminal pH and endometrial health. The
study was conducted using a randomized block design in which eight mares (four
Thoroughbred and four Standardbred) were aged matched then randomly assigned to
two groups. Endometrial biopsies were taken from each mare prior to trial
commencement. The treatment group (n = 4; 1 Thoroughbred, 3 Standardbreds)
received a uterine lavage of one liter dilute vinegar (20 mL of vinegar in 1 L
saline) every second day during each estrus period throughout the trial. Control
group mares did not receive a uterine lavage. Uterine luminal pH measurements
were recorded in all mares in both groups for a period of up to 10 min
immediately prior to lavage (0 h), one hour and 24 h post lavage (same time
points in control group mares as if they had been treated). Diestrus uterine
luminal pH measurements were recorded once between days 6-10 post-ovulation.
Endometrial biopsies were repeated from all mares at trial completion. Mean
uterine luminal pH ranged from pH 5.3 to 7.6 and was significantly lower during
diestrus compared to estrus (P < 0.001). Regression analysis indicated that this
variation in pH was best explained by estrous cycle day, with uterine luminal pH
increasing by a mean of 0.03 units each day (P < 0.001) from 6 to 10 days post
ovulation through to ovulation. Uterine lavage with dilute vinegar did not
significantly affect uterine luminal pH (P > 0.05). A scoring system to quantify
the abundance of cell types in the endometrial biopsies showed that mares in the
treatment group had a significant decrease in polymorphonuclear cell abundance
between pre- and post-trial biopsies (P = 0.03). Mares in the treatment group
also had a significant decrease in lymphocyte, plasma cell and eosinophil cell
abundance (P = 0.05). Although dilute vinegar lavage was not associated with a
significant change in uterine luminal pH, it was associated with a significant
improvement in endometrial biopsy scores. Because the control group did not
receive a uterine lavage, further research is required to determine if this
significant improvement results from the addition of dilute vinegar, or the
uterine lavage itself.
PMID- 29807259
TI - Generation of a human induced pluripotent stem cell line from a patient with a
rare A673T variant in amyloid precursor protein gene that reduces the risk for
Alzheimer's disease.
AB - An amyloid precursor protein (APP) A673T mutation was found to be protective
against Alzheimer's disease (AD) and cognitive decline in the Icelandic
population and to associate with decreased levels of plasma beta-amyloid in a
Finnish population-based cohort. Human fibroblasts from a Finnish male individual
carrying the protective mutation were used to generate integration-free induced
pluripotent stem cell (iPSCs) line by Sendai virus technology. The iPSC line
retained the mutation and expressed pluripotency markers, had a normal karyotype
and differentiated into all three germ layers.
PMID- 29807258
TI - Inverse agonism of retinoic acid receptors directs epiblast cells into the
paraxial mesoderm lineage.
AB - We have investigated the differentiation of paraxial mesoderm from mouse
embryonic stem cells utilizing a Tbx6-EYFP/Brachyury (T)-Cherry dual reporter
system. Differentiation from the mouse ESC state directly into mesoderm via Wnt
pathway activation was low, but augmented by treatment with AGN193109, a pan
retinoic acid receptor inverse agonist. After five days of differentiation, T+
cells increased from 12.2% to 18.8%, Tbx6+ cells increased from 5.8% to 12.7%,
and T+/Tbx6+ cells increased from 2.4% to 14.1%. The synergism of AGN193109 with
Wnt3a/CHIR99021 was further substantiated by the increased expression of paraxial
mesoderm gene markers Tbx6, Msgn1, Meox1, and Hoxb1. Separate to inverse agonist
treatment, when mouse ESCs were indirectly differentiated into mesoderm via a
transient epiblast step the efficiency of paraxial mesoderm formation markedly
increased. Tbx6+ cells represented 65-75% of the total cell population after just
3 days of differentiation and the expression of paraxial mesoderm marker genes
Tbx6 and Msgn increased over 100-fold and 300-fold, respectively. Further
evaluation of AGN193109 treatment on the indirect differentiation protocol
suggested that RARs have two distinct roles. First, AGN193109 treatment at the
epiblast step and mesoderm step promoted paraxial mesoderm formation over other
mesoderm and endoderm lineage types. Second, continued treatment during mesoderm
formation revealed its ability to repress the maturation of presomitic mesoderm
into somitic paraxial mesoderm. Thus, the continuous treatment of AGN193109
during epiblast and mesoderm differentiation steps yielded a culture where ~90%
of the cells were Tbx6+. The surprisingly early effect of inverse agonist
treatment at the epiblast step of differentiation led us to further examine the
effect of AGN193109 treatment during an extended epiblast differentiation
protocol. Interestingly, while inverse agonist treatment had no impact on the
conversion of ESCs into epiblast cells based on the expression of Rex1, Fgf5, and
pluripotency marker genes Oct4, Nanog, and Sox2, after three days of
differentiation in the presence of AGN193109 caudal epiblast and early paraxial
mesoderm marker genes, T, Cyp26a1, Fgf8, Tbx6 and Msgn were all highly up
regulated. Collectively, our studies reveal an earlier than appreciated role for
RARs in epiblast cells and the modulation of their function via inverse agonist
treatment can promote their differentiation into the paraxial mesoderm lineage.
PMID- 29807260
TI - Integrating groundwater stress in life-cycle assessments - An evaluation of water
abstraction.
AB - Understanding groundwater abstraction effects is vital for holistic impact
assessments in areas depending on groundwater resources. The objective of our
study was to modify the state-of-the-art AWaRe (available water remaining),
freshwater impact assessment specifically for use in LCAs in areas dependent on
groundwater resources. The new method, called "AGWaRe" (available groundwater
remaining), reflects groundwater availability, based on a fraction of available
groundwater remaining locally relative to a reference. Furthermore, our method
increases spatial resolution beyond 1770 km2 grid cells and adjusts demarcations
in order to improve the representation of the heterogeneity of groundwater
catchments. The applicability of AGWaRe was demonstrated on three groundwater
systems producing 5 million m3 water for the city of Copenhagen, namely Advanced
Treatment of Groundwater, Simple Treatment of Groundwater and Infiltration of
Reclaimed water. Results were normalised to compare with other effects of
supplying water to an average Danish person. The normalised impacts for drinking
water for one person ranged between 0.1 and 39 PE (person equivalent) for the
three systems, which indicates that effects on groundwater resources differ
substantially between systems. A comparative LCA of these groundwater systems
shows that other impact categories range between 0 and 1 PE/person. Advanced
Treatment of Groundwater generally has the lowest effect, for example <50% of the
other groundwater systems in Global Warming Potential. The AGWaRe results
indicate that freshwater impacts from Simple Treatment of Groundwater are up to
100 times greater than for Infiltration of Reclaimed water. Furthermore, AGWaRe
exposes differences between the groundwater systems that AWaRe cannot evaluate,
because one AWaRe cell covers two of the systems in question. These improvements
are crucial for groundwater managers looking to include sustainability
considerations in their analysis and decision-making.
PMID- 29807261
TI - Estimating the number of airports potentially contaminated with perfluoroalkyl
and polyfluoroalkyl substances from aqueous film forming foam: A Canadian
example.
AB - Assessing the extent to which emerging contaminants (ECs) such as perfluoroalkyl
and polyfluoroalkyl substances (PFAS) have been released into the environment is
one of the foundations for developing effective management and remediation
strategies for impacted sites. PFAS are known to have caused the contamination of
soil, groundwater, and surface water as a result of aqueous film forming foam
(AFFF) being accidentally or intentionally released into the environment. To
date, the scope of the issue has not been evaluated in Canada. In this study we
developed a framework, in the form of a decision tree, to estimate the number of
potentially PFAS impacted airport sites in Canada as a result of AFFF releases.
The screening process was completed using publicly available resources including
airport websites, the Canadian Owners and Pilots Association website, Sky Vector,
Transport Safety Board of Canada aviation investigation reports, the Aviation
Safety Network website, and Google maps. The methodology presented in this study
could be used to identify additional PFAS impacted sites in Canada or other
jurisdictions worldwide. 2071 airport/heliport sites in Canada were investigated
with indications that 152 (7%) of these sites likely have PFAS contamination as a
result of the use of AFFF at firefighter training areas (FFTAs) and/or accidents
where fires occurred. In addition, another 268 sites (13%) were identified as
possibly impacted with PFASs primarily as a result of the location having the
ability to store and dispense petroleum products, and therefore having AFFF
systems onsite. Surficial geology was also identified for all sites determined to
likely have PFAS contamination. An estimated 42.8% had surficial geology composed
of sand, 27% had clay, 19.7% organic-based, with the remaining sites found on
cryosols or rock. Methodological validation was also completed. The procedure
used in this study successfully predicted occurrences of PFAS contamination at 25
sites where contamination, as a result of AFFF use, was confirmed by Canadian
governmental departments. For these 25 sites, the distance from potential release
areas to the nearest surface water was calculated. Five of the sites were within
200 meters of surface water, 19 were within one kilometer, and all 25 were within
2.5 kilometers. This suggests that surface water may have been historically
impacted by PFAS at as many as 152 to 420 different airport locations in Canada.
PMID- 29807262
TI - Mobility of heavy metals in sandy soil after application of composts produced
from maize straw, sewage sludge and biochar: Discussion of Gondek et al. (2018).
AB - Gondek et al. (2018) investigate the mobility of heavy metals in sandy soil after
application of composts produced from maize straw, sewage sludge and biochar. The
authors made a little attention to role of the soil properties and soil condition
on the metals chemical behavior. In different section of the paper, the authors
reported that the content of the metals extracted with water or 1 M NH4NO3 was
changed compared to the control treatment affected by the mentioned biosolids.
Nowadays, different researches have shown that the trace metals nature have an
important role in determining their fate in presence of different treatments. In
addition to, organic compounds entered to the soil due to biosolids applications
have a duplicate role in trace metals mobility. Therefore, it is needed this
facts are considered to interpret the measurements in the original paper. Also,
whenever effects of different materials are studied on the metals mobility, the
metals status in the plant tissues presents valuable information to the
scientists and readers for carefully interpreting the measurements, which it is
ignored by the authors.
PMID- 29807263
TI - Pre-disinfection columns to improve the performance of the direct electro
disinfection of highly faecal-polluted surface water.
AB - This work presents the design and evaluation of a new concept of pre-disinfection
treatment that is especially suited for highly polluted surface water and is
based on the combination of coagulation-flocculation, lamellar sedimentation and
filtration into a single-column unit, in which the interconnection between
treatments is an important part of the overall process. The new system, the so
called PREDICO (PRE-DIsinfection Column) system, was built with low-cost
consumables from hardware stores (in order to promote in-house construction of
the system in poor countries) and was tested with a mixture of 20% raw wastewater
and 80% surface water (in order to simulate an extremely bad situation). The
results confirmed that the PREDICO system helps to avoid fouling in later electro
disinfection processes and attains a remarkable degree of disinfection (3-4 log
units), which supplements the removal of pathogens attained by the electrolytic
cell (more than 4 log units). The most important sizing parameters for the
PREDICO system are the surface loading rate (SLR) and the hydraulic residence
time (HRT); SLR values under 20 cm min-1 and HRT values over 13.6 min in the
PREDICO system are suitable to warrant efficient performance of the system.
PMID- 29807264
TI - A need for a standardization in anaerobic digestion experiments? Let's get some
insight from meta-analysis and multivariate analysis.
AB - An important variability in the experimental results in anaerobic digestion lab
test has been reported. This study presents a meta-analysis coupled with
multivariate analysis aiming to assess the impact of this experimental
variability in batch and continuous operation at mesophilic and thermophilic
anaerobic digestion of waste activated sludge. An analysis of variance showed
that there was no significant difference between mesophilic and thermophilic
conditions in both continuous and batch conditions. Concerning the operation
mode, the values of methane yield were significantly higher in batch experiment
than in continuous reactors. According to the PCA, for both cases, the methane
yield is positive correlated to the temperature rises. Interestingly, in the
batch experiments, the higher the volatile solids in the substrate was, the
lowest was the methane production, which is correlated to experimental flaws when
setting up those tests. In continuous mode, unlike the batch test, the methane
yield is strongly (positively) correlated to the organic content of the
substrate. Experimental standardization, above all, in batch conditions are
urgently necessary or move to continuous experiments for reporting results. The
modeling can also be a source of disturbance in batch test.
PMID- 29807265
TI - Indicators of nutrient removal efficiency for riverine wetlands in agricultural
landscapes of Argentine Pampas.
AB - Main objectives of this study were (a) to assess wetlands contribution to
regulation of surface water quality of riverine wetlands in agricultural
landscapes through their nutrient removal efficiency (RE), (b) to understand how
RE of wetlands is related to hydrological, morphological, chemical and biological
attributes, and (c) to identify RE indicators suitable for remote RE assessment.
Macrophytes composition, hydrological, chemical, and morphological properties
were estimated for 14 riverine wetlands of the Argentinean Pampas, and related to
empirically estimated removal-exportation levels of phosphorus (dissolved and
total) and nitrogen (inorganic and total). Nutrient inputs and outputs were
assessed in four opportunities, two under baseline and two after storm events. A
discriminant function based on remotely assessed wetland attributes was able to
discriminate three wetland groups according to their contrasting mean RE for
total phosphorus and total nitrogen. Descriptors of wetland size (area, length,
perimeter) and vegetation (cover of the tall emergent macrophytes) showed the
main weights and hence the main value as indicators for conservation and/or
management of wetlands according to their nutrient removal capacities.
PMID- 29807267
TI - Neural correlates of context-independent and context-dependent self-knowledge.
AB - The self-concept consists of both a general (context-independent) self
representation and a set of context-dependent selves that represent personal
attributes in particular contexts (e.g., as a student, as a daughter). To date,
however, neuroimaging studies have focused on general self-representations, such
that little is known about the neural correlates of context-dependent self
knowledge. The present study aimed at investigating this issue by examining the
neural correlates of both kinds of self-knowledge. Participants judged the extent
to which trait adjectives described their own personality or the personality of a
close friend, either in a specific context (i.e., as a student) or in general. We
found that both kinds of self-judgments were associated with common activation in
the medial prefrontal cortex (MPFC), as compared to judgments about others.
Interestingly, however, there were also notable differences between self
judgments, with context-independent judgments being associated with higher
activity in the MPFC, whereas context-dependent judgments were associated with
greater activation in posterior brain regions (i.e., the posterior
cingulate/retrosplenial cortex). These findings show that context-independent and
context-dependent self-referential judgments recruit both common and distinct
brain regions, thereby supporting the view that the self-concept is a multi
dimensional knowledge structure that includes a general self-representation and a
set of context-specific selves.
PMID- 29807266
TI - The effect of diabetes on prefrontal cortex activation patterns during active
walking in older adults.
AB - BACKGROUND: Gait alterations were documented in diabetic patients. However, the
effect of diabetes on cortical control of gait has not been reported. We
evaluated the effect of diabetes on prefrontal cortex (PFC) Oxygenated Hemoglobin
(HbO2) levels during active walking in older adults. METHODS: Of the total sample
(n = 315; mean age = 76.84 +/- 6.71ys; % female = 56.5) 43 participants (13.7%)
had diabetes. The experimental paradigm consisted of two single tasks: Normal
Walk (NW); and Cognitive Interference (Alpha); and one dual-task condition
consisting of the two single tasks, Walk-While-Talk (WWT). Functional Near
Infrared-Spectroscopy (fNIRS) was used to quantify PFC HbO2 levels. RESULTS:
Older adults without diabetes showed higher PFC HbO2 levels in WWT compared to
both NW and Alpha. HbO2 levels during NW were not different between the two
groups. Consistent with Neural Inefficiency, older adults with diabetes exhibited
higher HbO2 levels during Alpha while performing significantly worse than those
without diabetes. Moreover, the presence of diabetes was associated with
attenuated HbO2 levels during WWT. This pattern is consistent with Capacity
Limitations suggesting a failure to recruit brain resources vis-a-vis the more
cognitively challenging WWT condition. CONCLUSIONS: A distinct functional neural
signature of diabetes was established during active and attention demanding
walking among older adults without overt neurological disease.
PMID- 29807268
TI - Exfoliated molybdenum di-sulfide (MoS2) electrode for hydrogen production in
microbial electrolysis cell.
AB - The most widely reported catalyst in microbial electrochemical cells (MEC)
cathodes is platinum (Pt). The disadvantages of Pt include its high cost and
sensitivity to various molecules. In this research an exfoliated molybdenum di
sulfide (MoS2-EF) catalyst was synthesized. The size of the obtained particles
was 200 +/- 50 nm, 50-fold smaller than the pristine MoS2 catalyst. The MoS2-EF
Raman spectrum displays the E12g and A1g peaks at 373 cm-1 and 399 cm-1.
Electrochemical characterization by linear sweep voltammetry (LSV) of a rotating
disc electrode RDE showed that the current density of Pt in 0.5 M H2SO4 was 3.3
times higher than MoS2-EF. However, in phosphate buffer (pH-7) electrolyte this
ratio diminished to 1.9. The polarization curve of Pt, MoS2-EF and the pristine
MoS2 electrodes, at -1.3 V in MEC configuration in abiotic conditions exhibit
current densities of 17.46, 12.67 and 3.09 mA cm-2, respectively. Hydrogen
evolution rates in the same MEC with a Geobacter sulfurreducens anode and Pt,
MoS2-EF and the pristine MoS2 cathodes were 0.106, 0.133 and 0.083 m3 d-1 m-3,
respectively. The results in this study show that MoS2-EF led to highly purified
hydrogen and that this catalyst can serve as an electrochemical active and cost
effective alternative to Pt.
PMID- 29807269
TI - Influence of pelvic padding and Kinesiology Taping on pain perception,
kinematics, and kinetics of falls in female volleyball athletes.
AB - BACKGROUND: Volleyball digging techniques may cause pelvic injuries among female
volleyball athletes. Pelvic padding and Kinesiology Taping (KT) may reduce impact
force and pain and improve posture during a fall. This study examined the effects
of pelvic padding and KT on pain perception, fall kinematics, and kinetics among
female volleyball athletes. METHODS: Twenty-four female volleyball athletes were
exposed to two pelvic padding scenarios (with and without padding) and two KT
conditions (with and without KT applied on the rectus abdominis muscle) during a
fall in the forward direction. The maximum impact force during landing and
maximum acceleration of the pelvis were registered using a force platform and an
accelerometer, respectively. The maximum lumbar (hyper) extension angle, forward
reach distance, and total fall time were measured by video analysis. Pain level
was quantified using a visual analog scale. RESULTS: The application of pelvic
padding (with and without KT) reduced pain when compared to the no padding
condition (p < 0.008). Applying KT alone reduced forward reach distance when
compared to the 'pelvic padding and KT' condition (p < 0.010). In fact, when both
pelvic padding and KT were applied, participants reached further when compared to
the 'no pelvic padding and no KT' condition (p < 0.001). No significant main and
interaction effects were found in all other outcomes. CONCLUSIONS: Volleyball
shorts with pelvic pads are recommended for female volleyball athletes to reduce
pain in the digging maneuver and may help to increase their forward reach
distance. However, KT is not recommended as it reduces the forward reach distance
and cannot reduce pain or improve fall kinematics and kinetics.
PMID- 29807271
TI - Targeting RAW 264.7 macrophages (M1 type) with Withaferin-A decorated
mannosylated liposomes induces repolarization via downregulation of NF-kappaB and
controlled elevation of STAT-3.
AB - In the present study, we intend to gain an insight into the mechanism of
Withaferin-A (WA), a steroidal lactone with reference to repolarization of RAW
264.7 macrophages (M1 to M2 type). We found that successful internalization of WA
via mannosylated liposomal delivery system (ML-WA) reduced the RAW 264.7
macrophage (M1) mediated pro-inflammatory cytokines (IL-1beta, IL-6, IL-23, and
TNF-alpha) through the attenuation of transcription factor NF-kappaB-p65
expression. Whereas, ML-WA treatment induced a controlled upregulation of p
STAT3, and ablated the key oxidative stress markers (NO, iNOS, and ROS) in M1 ->
M2 RAW 264.7 macrophage repolarization, which suggested the recalibration of M1
macrophage metabolic function. Further, the elevated expression of M2 macrophage
associated CD163 over the M1 macrophage related CD86 concluded that ML-WA induces
an anti-inflammatory response by repolarizing the M1 -> M2 RAW 264.7 macrophage.
PMID- 29807272
TI - Over expression of circulating miR-155 predicts prognosis in diffuse large B-cell
lymphoma.
AB - INTRODUCTION: The expression patterns of microRNAs in plasma are involved in
potential biomarkers for several diseases. The goal of this study was to explore
the expression level of miR-155 in diffuse large B-cell lymphoma (DLBCL) and its
clinical significance. MATERIALS AND METHODS: We used qRT-PCR to assess the
peripheral blood plasma of 40 DLBCL patients for the expression of miRNA-155. The
median of miR-155 expression divided the DLBCL patients into miR-155 low
expression (miR-155low) and miR-155 high-expression (miR-155high) groups. RESULTS
AND DISCUSSION: We found that plasma miR-155 expression was significantly up
regulated in patients with DLBCL (median expression value: 4.29, range: 1.52
27.86) compared to healthy individuals (median expression value: 2.14, range:
0.29-10.56, P < 0.002). Moreover, DLBCL cases with an elevated level of miR-155
had shorter overall survival (median 9 vs. 13 months, P = 0.043) than those with
a lower miR-155 expression.
PMID- 29807270
TI - IMU-based gait analysis in lower limb prosthesis users: Comparison of step
demarcation algorithms.
AB - BACKGROUND: Inertial Measurement Unit (IMU)-based gait analysis algorithms have
previously been validated in healthy controls. However, little is known about the
efficacy, performance, and applicability of these algorithms in clinical
populations with gait deviations such as lower limb prosthesis users (LLPUs).
RESEARCH QUESTION: To compare the performance of 3 different IMU-based algorithms
to demarcate steps from LLPUs. METHODS: We used a single IMU sensor affixed to
the midline lumbopelvic region of 17 transtibial (TTA), 16 transfemoral (TFA)
LLPUs, and 14 healthy controls (HC). We collected acceleration and angular
velocity data during overground walking trials. Step demarcation was evaluated
based on fore-aft acceleration, detecting either: (i) maximum acceleration peak,
(ii) zero-crossing, or (iii) the peak immediately preceding a zero-crossing. We
quantified and compared the variability (standard deviation) in acceleration
waveforms from superposed step intervals, and variability in step duration, by
each algorithm. RESULTS: We found that the zero-crossing algorithm outperformed
both peak detection algorithms in 65% of TTAs, 81% of TFAs, and 71% of HCs, as
evidenced by lower standard deviations in acceleration, more consistent
qualitative demarcation of steps, and more normally distributed step durations.
SIGNIFICANCE: The choice of feature-based algorithm with which to partition IMU
waveforms into individual steps can affect the quality and interpretation of
estimated gait spatiotemporal metrics in LLPUs. We conclude that the fore-aft
acceleration zero-crossing serves as a more reliable feature for demarcating
steps in the gait patterns of LLPUs.
PMID- 29807273
TI - Outcomes and predictors of survival in blast phase myeloproliferative neoplasms.
AB - We retrospectively reviewed treatment outcomes for 57 patients with
myeloproliferative neoplasms in blast phase (MPN-BP). The median overall survival
(OS) of the entire cohort was 5.8 months. For patients receiving induction
therapy, 67% achieved a complete response (CR) and 75% received stem cell
transplantation (SCT). Median OS for all transplanted patients (n = 19) was not
reached after a median follow-up of 19.2 months compared with 3.8 months in non
transplanted patients (p < 0.0001); patients who did not receive SCT after
induction chemotherapy survived a median of 4.9 months. OS was not improved in
patients transplanted after CR (OS not reached after median follow-up of 26.7
months) compared with those transplanted upfront or after suboptimal response to
initial therapy (9.0 months; p = .097). Those who were transfusion-dependent
during their MPN course and received SCT had a median OS of 4.4 months, with all
patients dying from SCT complications. Patients receiving hypomethylating agents
(HMA) survived 6.7 months, while those receiving supportive care survived 1.1
months. Although outcomes for MPN-BP remain poor, long-term survival can be
achieved in appropriately selected patients utilizing SCT, optimally after
attaining a complete response with induction therapy. For patients ineligible for
SCT, HMAs can offer similar survival to induction chemotherapy with less
toxicity.
PMID- 29807274
TI - Trunk forward flexion mobility in reference to postural sway in women after
delivery: A prospective longitudinal comparison between early pregnancy and 2-
and 6-month postpartum follow-ups.
AB - BACKGROUND: It has been documented that pregnancy-related increased connective
tissue laxity may persist postpartum; however, it is still unclear for how long.
This longitudinal study aimed to compare total trunk forward flexion mobility in
women between their first trimester of pregnancy and at 2- and 6-month postpartum
follow-ups. We also searched for a correlation between women's trunk flexibility
and their postural stability in the sagittal plane. METHODS: Seventeen healthy
women participated in the study. Data were collected at their 7-12 weeks
gestation appointments and at 6-10 and 25-28 weeks postpartum. At each session,
the women performed a finger floor distance test, and data were collected on
their waist circumference and BMI. The women's center of foot pressure mean
velocity in the anterior-posterior direction was computed from 30-s long quiet
standing trials on a stationary force plate. FINDINGS: Total trunk forward
flexion mobility was significantly higher at 2 and 6 months postpartum compared
to that in early pregnancy (P < 0.05). At 6 months postpartum, a moderate
negative correlation between finger floor distance test values and their anterior
posterior center of foot pressure mean velocity was observed (r = -0.6, P <
0.05). INTERPRETATION: Increased total trunk flexibility may be present in women
6 months postpartum. During that period, women with higher trunk flexibility may
be more likely to present higher anterior-posterior postural sway velocity in
quiet standing.
PMID- 29807275
TI - Effects of materials and design on the criticality and shielding assessment of
canister concepts for the disposal of spent nuclear fuel.
AB - According to the Swiss disposal concept, the safety of a deep geological
repository for spent nuclear fuel (SNF) is based on a multi-barrier system. The
disposal canister is an important component of the engineered barrier system,
aiming to provide containment of the SNF for thousands of years. This study
evaluates the criticality safety and shielding of candidate disposal canister
concepts, focusing on the fulfilment of the sub-criticality criterion and on
limiting radiolysis processes at the outer surface of the canister which can
enhance corrosion mechanisms. The effective neutron multiplication factor (k-eff)
and the surface dose rates are calculated for three different canister designs
and material combinations for boiling water reactor (BWR) canisters, containing
12 spent fuel assemblies (SFA), and pressurized water reactor (PWR) canisters,
with 4 SFAs. For each configuration, individual criticality and shielding
calculations were carried out. The results show that k-eff falls below the
defined upper safety limit (USL) of 0.95 for all BWR configurations, while
staying above USL for the PWR ones. Therefore, the application of a burnup credit
methodology for the PWR case is required, being currently under development.
Relevant is also the influence of canister material and internal geometry on
criticality, enabling the identification of safer fuel arrangements. For a final
burnup of 55MWd/kgHM and 30y cooling time, the combined photon-neutron surface
dose rate is well below the threshold of 1 Gy/h defined to limit radiation
induced corrosion of the canister in all cases.
PMID- 29807276
TI - Xenon and radon time series analysis: A new methodological approach for
characterising the local scale effects at CTBT radionuclide network.
AB - A new methodology of time series analysis has been tested on 133Xe and estimated
220Rn activity concentrations in order to characterise the site response of four
different CTBT/IMS monitoring stations. Seasonal variability of 133Xe and 220Rn
at these IMS stations and the role played by different meteorological parameters
on such variability have been quantified. As xenon and radon are both noble gases
with similar physical characteristics but very different source terms, the
methodology adopted in this comparative study, once coupled to analysis of
radioxenon emission time series sampled at nearby NPPs or IPFs and to direct
measurements of 220Rn at IMS sites location, might help assess relative influence
of near and far field air on IMS radioxenon detections. Possible applications of
the adopted methodology to radioxenon categorisation schemes are also discussed.
PMID- 29807277
TI - Pain and severe sleep disturbance in the general population: Primary data and
meta-analysis from 240,820 people across 45 low- and middle-income countries.
AB - OBJECTIVE: Pain and sleep disturbances are widespread, and are an important cause
of a reduced quality of life. Despite this, there is a paucity of multinational
population data assessing the association between pain and sleep problems,
particularly among low- and middle-income countries (LMICs). Therefore, we
investigated the relationship between pain and severe sleep disturbance across 45
LMICs. METHOD: Community-based data on 240,820 people recruited via the World
Health Survey were analyzed. Multivariable logistic regression analyses adjusted
for multiple confounders were performed to quantify the association between pain
and severe sleep problems in the last 30 days. A mediation analysis was conducted
to explore potential mediators of the relationship between pain and severe sleep
disturbance. RESULTS: The prevalence of mild, moderate, severe, and extreme
levels of pain was 26.0%, 16.2%, 9.1%, and 2.2% respectively, whilst 7.8% of
adults had severe sleep problems. Compared to those with no pain, the odds ratio
(OR, 95% CI) for severe sleep problems was 3.65 (3.24-4.11), 9.35 (8.19-10.67)
and 16.84 (13.91-20.39) for those with moderate, severe and extreme pain levels
respectively. A country wide meta-analysis adjusted for age and sex demonstrated
a significant increased OR across all 45 countries. Anxiety, depression and
stress sensitivity explained 12.9%, 3.6%, and 5.2%, respectively, of the
relationship between pain and severe sleep disturbances. CONCLUSION: Pain and
sleep problems are highly co-morbid across LMICs. Future research is required to
better understand this relationship. Moreover, future interventions are required
to prevent and manage the pain and sleep disturbance comorbidity.
PMID- 29807278
TI - The inter- and intrarater reliability and agreement for field-based assessment of
scapular control, shoulder range of motion, and shoulder isometric strength in
elite adolescent athletes.
AB - OBJECTIVES: To investigate the intra- and interrater reliability and agreement
for field-based assessment of scapular control, shoulder range of motion (ROM),
and shoulder isometric strength in elite youth athletes. DESIGN: Test-retest
reliability and agreement study. SETTING: Eight blinded raters (two for each
assessment) assessed players on field during two testing sessions separated by
one week. PARTICIPANTS: 162 elite youth handball players with or without a
history of previous shoulder pain within the preceding six months. MAIN OUTCOME
MEASURES: Kappa (kappa) and prevalence-adjusted bias-adjusted kappa (PABAK)
coefficients for scapular control reliability, and 95% limits of agreement (LOA)
for ROM and strength agreement. RESULTS: Scapular control demonstrated
substantial to almost perfect reliability (kappa 0.67 to 0.84, PABAK from 0.68 to
0.88). Mean strength values ranged from 0.9 N/kg to 1.6 N/kg, and LOAs ranged
from -0.7 N/kg to 0.8 N/kg. Rotational strength revealed additionally systematic
bias between and within rater. No or acceptable systematic bias were evident for
ROM and abduction strength measures. Mean values and LOAs for ROM ranged between
39.9 degrees to 52.3 degrees , and from -12.6 degrees to 9.9 degrees ,
respectively. CONCLUSIONS: Scapular control and ROM can be assessed on the field
with acceptable reliability. The threshold for reliable measurements of isometric
strength using handheld-dynamometers is high.
PMID- 29807279
TI - Potential ecotoxicological effects of elevated bicarbonate ion concentrations on
marine organisms.
AB - Recently, a novel method for carbon capture and storage has been proposed, which
converts gaseous CO2 into aqueous bicarbonate ions (HCO3-), allowing it to be
deposited into the ocean. This alkalinization method could be used to dispose
large amounts of CO2 without acidifying seawater pH, but there is no information
on the potential adverse effects of consequently elevated HCO3- concentrations on
marine organisms. In this study, we evaluated the ecotoxicological effects of
elevated concentrations of dissolved inorganic carbon (DIC) (max 193 mM) on 10
marine organisms. We found species-specific ecotoxicological effects of elevated
DIC on marine organisms, with EC50-DIC (causing 50% inhibition) of 11-85 mM. The
tentative criteria for protecting 80% of individuals of marine organisms are
suggested to be pH 7.8 and 11 mM DIC, based on acidification data previously
documented and alkalinization data newly obtained from this study. Overall, the
results of this study are useful for providing baseline information on
ecotoxicological effects of elevated DIC on marine organisms. More complementary
studies are needed on the alkalinization method to determine DIC effects on
seawater chemistry and marine organisms.
PMID- 29807280
TI - Tolerance and resistance characteristics of microalgae Scenedesmus sp. LX1 to
methylisothiazolinone.
AB - Methylisothiazolinone (MIT) has been widely used to control bacterial growth in
reverse osmosis (RO) systems. However, MIT's toxicity on microalgae should be
determined because residual MIT is concentrated into RO concentrate (ROC) and
might have a severe impact on microalgae-based ROC treatment. This study
investigated the tolerance of Scenedesmus sp. LX1 to MIT and revealed the
mechanism of algal growth inhibition and toxicity resistance. Scenedesmus sp. LX1
was inhibited by MIT with a half-maximal effective concentration at 72 h (72 h
EC50) of 1.00 mg/L, but the strain recovered from the inhibition when its growth
was not completely inhibited. It was observed that this inhibition's effect on
subsequent growth was weak, and the removal of MIT was the primary reason for the
recovery. Properly increasing the initial algal density significantly shortened
the adaptation time for accelerated recovery in a MIT-containing culture.
Photosynthesis damage by MIT was one of the primary reasons for growth
inhibition, but microalgal cell respiration and adenosine triphosphate (ATP)
synthesis were not completely inhibited, and the algae were still alive even when
growth was completely inhibited, which was notably different from observations
made with bacteria and fungi. The algae synthesized more chlorophyll, antioxidant
enzymes of superoxide dismutase (SOD) and catalase (CAT), and small molecules,
such as reduced glutathione (GSH), to resist MIT poisoning. The microalgae-based
process could treat the MIT-containing ROC, since MIT was added for only several
hours a week in municipal wastewater reclamation RO processes, and the MIT
average concentration was considerably lower than the maximum concentration that
algae could tolerate.
PMID- 29807281
TI - Polycyclic Aromatic Hydrocarbons (PAHs) in inland aquatic ecosystems: Perils and
remedies through biosensors and bioremediation.
AB - Polycyclic Aromatic Hydrocarbons (PAHs) are among the most ubiquitous
environmental pollutants of high global concern. PAHs belong to a diverse family
of hydrocarbons with over one hundred compounds known, each containing at least
two aromatic rings in their structure. Due to hydrophobic nature, PAHs tend to
accumulate in the aquatic sediments, leading to bioaccumulation and elevated
concentrations over time. In addition to their well-manifested mutagenic and
carcinogenic effects in humans, they pose severe detrimental effects to aquatic
life. The high eco-toxicity of PAHs has attracted a number of reviews, each
dealing specifically with individual aspects of this global pollutant. However,
efficient management of PAHs warrants a holistic approach that combines a
thorough understanding of their physico-chemical properties, modes of
environmental distribution and bioaccumulation, efficient detection, and
bioremediation strategies. Currently, there is a lack of a comprehensive study
that amalgamates all these aspects together. The current review, for the first
time, overcomes this constraint, through providing a high level comprehensive
understanding of the complexities faced during PAH management, while also
recommending future directions through potentially viable solutions. Importantly,
effective management of PAHs strongly relies upon reliable detection tools, which
are currently non-existent, or at the very best inefficient, and therefore have a
strong prospect of future development. Notably, the currently available biosensor
technologies for PAH monitoring have not so far been compiled together, and
therefore a significant focus of this article is on biosensor technologies that
are critical for timely detection and efficient management of PAHs. This review
is focussed on inland aquatic ecosystems with an emphasis on fish biodiversity,
as fish remains a major source of food and livelihood for a large proportion of
the global population. This thought provoking study is likely to instigate new
collaborative approaches for protecting aquatic biodiversity from PAHs-induced
eco-toxicity.
PMID- 29807282
TI - Phytate promoted arsenic uptake and growth in arsenic-hyperaccumulator Pteris
vittata by upregulating phosphorus transporters.
AB - While phosphate (P) inhibits arsenic (As) uptake by plants, phytate increases As
uptake by As-hyperaccumulator Pteris vittata. Here we tried to understand the
underling mechanisms by investigating the roles of phytate in soil As desorption,
P transport in P. vittata, short-term As uptake, and plant growth and As
accumulation from soils. Sterile soil was used to exclude microbial degradation
on phytate. Results showed that inorganic P released 3.3-fold more As than that
of phytate from soil. However, P. vittata accumulated 2-2.5 fold more As from
soils with phytate than that in control and P treatment. In addition, different
from P suppression on As uptake, solution uptake experiment showed that As uptake
in phytate treatment was comparable to that of control under 0.1-7.5 MUM As after
1-24 h. Moreover, responding to phytate, P. vittata P transporter PvPht1;3
increased by 3-fold while PvPht1;1 decreased by 65%. The data suggested that
phytate upregulated PvPht1;3, thereby contributing to As uptake in P. vittata.
Our results showed that, though with lower As release from soil compared to P,
phytate induced more As uptake and better growth in P. vittata by upregulating P
transporters.
PMID- 29807283
TI - Differences in phytoaccumulation of organic pollutants in freshwater submerged
and emergent plants.
AB - Plants play an important role as sinks for or indicators of semivolatile organic
pollutants, however most studies have focused on terrestrial plants and
insufficient information has been obtained on aquatic plants to clarify the
accumulation of organic pollutants via air-to-leaf vs. water-to-leaf pathways.
The presence of p, p'-dichlorodiphenyldichloroethylene (p, p'-DDE),
hexachlorobenzene (HCB), 15 polycyclic aromatic hydrocarbons (PAHs), and 9
substituted PAHs (s-PAHs), including oxy-PAHs and sulfur-PAHs, in 10 submerged
and emergent plants collected from Lake Dianchi was analyzed in this study.
Relatively low concentrations of p, p'-DDE (ND to 2.22 ng/g wet weight [ww]) and
HCB (0.24-0.84 ng/g ww) and high levels of PAHs (46-244 ng/g ww) and s-PAHs (6.0
46.8 ng/g ww) were observed in the aquatic plants. Significantly higher
concentrations of most of the compounds were detected in the leaves of the
submerged plants than in those of the emergent plants. The percentages of
concentration difference relative to the concentrations in the submerged plants
were estimated at 55%, 40%, 10%-69% and 0.5%-79% for p, p'-DDE, HCB, PAHs, and s
PAHs, respectively. The percentages were found to increase significantly with an
increase in log Kow, suggesting that the high level of phytoaccumulation of
pollutants in aquatic plants is due to hydrophobicity-dependent diffusion via the
water-to-leaf pathway and the mesophyll morphology of submerged plants.
PMID- 29807284
TI - Biosurfactant-assisted bioremediation of crude oil by indigenous bacteria
isolated from Taean beach sediment.
AB - Crude oil and its derivatives are considered as one group of the most pervasive
environmental pollutants in marine environments. Bioremediation using oil
degrading bacteria has emerged as a promising green cleanup alternative in more
recent years. The employment of biosurfactant-producing and hydrocarbon-utilizing
indigenous bacteria enhances the effectiveness of bioremediation by making
hydrocarbons bioavailable for degradation. In this study, the best candidates of
biosurfactant-producing indigenous bacteria were selected by screening of
biochemical tests. The selected bacteria include Bacillus algicola (003-Phe1),
Rhodococcus soli (102-Na5), Isoptericola chiayiensis (103-Na4), and
Pseudoalteromonas agarivorans (SDRB-Py1). In general, these isolated species
caused low surface tension values (33.9-41.3 mN m-1), high oil spreading (1.2-2.4
cm), and hydrocarbon emulsification (up to 65%) warranting active degradation of
hydrocarbons. FT-IR and LC-MS analyses indicated that the monorhamnolipid (Rha
C16:1) and dirhamnolipid (Rha-Rha-C6-C6:1) were commonly produced by the bacteria
as potent biosurfactants. The residual crude oil after the biodegradation test
was quantitated using GC-MS analysis. The bacteria utilized crude oil as their
sole carbon source while the amount of residual crude oil significantly
decreased. In addition the cell-free broth containing biosurfactants produced by
bacterial strains significantly desorbed crude oil in oil-polluted marine
sediment. The selected bacteria might hold additional capacity in crude oil
degradation. Biosurfactant-producing indigenous bacteria therefore degrade crude
oil hydrocarbon compounds, produce biosurfactants that can increase the
emulsification of crude oil and are thus more conducive to the degradation of
crude oil.
PMID- 29807285
TI - Interactions between iron mineral-humic complexes and hexavalent chromium and the
corresponding bio-effects.
AB - The interfacial behaviors of chromium are fundamental for understanding the
environmental effects of chromium in contaminated environments. However, complex
surfaces can cause chromium to exhibit a variety of behaviors, especially when
humic substances are considered. This work illustrated the role of humics (humic
acid and fulvic acid) during the adsorption of Cr(VI) onto iron minerals
(magnetite and hematite). The interfacial behaviors were investigated through
their adsorption kinetics, adsorption isotherms, and thermodynamics. Then, the
microbial diversity was monitored to reflect the bio-effects of Cr(VI) adsorbed
onto four iron oxide-humic complexes. The differences in the adsorption
capacities and mechanisms of Cr(VI) on the surfaces of the iron mineral-humic
complexes were observed. Humics obviously decreased the adsorption capacities of
Cr(VI) on the hematite complexes and relieved the decline in the microbial
diversity; meanwhile, humics imposed relatively insignificant changes to the
Cr(VI) adsorption capacity onto the magnetite complexes. Thus, the corresponding
microbial diversity might be mainly affected by released micelles formed by
Cr(VI) and humics. These results illustrate the complexities of the interfacial
behaviors of Cr(VI) on the surfaces of iron mineral-humic complexes and broaden
the current understanding of chromium migration and transportation.
PMID- 29807286
TI - Comparing macroinvertebrate assemblages at organic-contaminated river sites with
different zinc concentrations: Metal-sensitive taxa may already be absent.
AB - We investigated responses of macroinvertebrates to different zinc concentrations
in urban rivers contaminated with organic matter in a regional-scale monitoring
survey and a smaller-scale field study. The present study was designed to test
our prediction that total zinc concentrations of ~60 MUg/L (twice the Japanese
environmental quality standard) do not lead to significant reductions in richness
or abundance of macroinvertebrates in organic-contaminated rivers (biochemical
oxygen demand of >3 mg/L). At the organic-contaminated sites in both surveys,
very few species were present, and metal-sensitive heptageniid and ephemerellid
mayflies were generally absent. In the regional-scale study, total zinc
concentrations of up to 70 MUg/L resulted in little reduction in
macroinvertebrate richness. In the local-scale study, macroinvertebrate richness
and abundance were not greatly reduced at the polluted downstream site with a
total zinc concentration of 48 MUg/L. Results from both surveys support our
prediction. Therefore, an important implication of this study is that
macroinvertebrate taxa that are susceptible to metal pollution should be sparse
or absent in organic-contaminated rivers, so the impacts of metals such as zinc
may be limited owing to the species-poor communities. Further research is
required to evaluate the importance of reduced zinc bioavailability associated
with increased organic matter and water hardness to the species-poor communities
in organic-contaminated rivers.
PMID- 29807287
TI - Impact of hepatitis B virus (HBV) infection on platelet response to clopidogrel
in patients undergoing coronary stent implantation.
AB - BACKGROUND: Hepatitis B virus (HBV) infection has been reported to down-regulate
the expression of CYP2C19 gene, which may decrease the bioactivation of
clopidogrel into active metabolites. We aimed to evaluate the impact of HBV
infection on platelet response to clopidogrel in patients undergoing coronary
stent implantation. METHODS: A total of 1805 patients who had received coronary
stent implantation and taken aspirin 100 mg in combination with clopidogrel 75 mg
daily >=5 days were consecutively recruited. The serologic identifications for
HBV, platelet aggregations in response to arachidonic acid (PLAA) and adenosine
diphosphate (PLADP), as well as ABCB1, CYP2C19, CYP3A5, PON1 and P2RY12 genotypes
were determined. Clopidogrel low response (CLR) was defined as PLADP > 40%.
RESULTS: Among the recruited subjects, 102 patients showed hepatitis B surface
antigen (HBsAg) positive and 1703 patients negative. PLADP was significantly
higher in HBsAg positive group than that in HBsAg negative group [38 (24-48) %
vs. 29 (20-39) %, p < 0.001] while the difference of PLAA was not statistically
significant (p = 0.329). The incidence of CLR was significantly higher in HBsAg
positive group compared with that in HBsAg negative group (43.1% vs. 23.4%, p <
0.001). After adjusted for CYP2C19 genotype and known risk factors, HBsAg
positive patients exhibited a significantly higher risk of CLR (adjusted odds
ratio: 2.81, 95% confidence interval: 1.73 to 4.58, p < 0.001). CONCLUSIONS: HBV
infection is an independent risk factor of CLR, in addition to CYP2C19 gene
mutations. (Pharmacogenetic and Pharmacokinetic Study of Clopidogrel;
NCT01968499).
PMID- 29807288
TI - Pulmonary embolism after electrophysiology procedures: Incidence from a single
centre registry.
PMID- 29807289
TI - Quantification, distribution and diversity of ESBL/AmpC-producing Escherichia
coli on freshly slaughtered pig carcasses.
AB - This study quantified cefotaxime-resistant E. coli (CREC) on nine different
carcass areas of 104 freshly slaughtered pig carcasses. In 49% [95% confidence
interval (95% CI): 29-69%] of the carcasses CREC could be isolated and enumerated
(using Tryptone Bile Agar with X-Glucuronide supplemented with 1 mg/L
cefotaxime). Proportions of positive samples varied between carcass areas from 1%
[95% CI: 0-10%] (loin) to 23% [95% CI: 10-44%] (head). Maximum concentrations on
positive samples ranged between -0.6 log10 CFU/cm2 (loin, elbow before
evisceration) and 1.7 log10 CFU/cm2 (head). The head was significantly more
frequently contaminated than the loin (p = 0.027) and ham (3% [95% CI: 1-15%]).
The foreleg was significantly more frequently contaminated (20% [95% CI: 13-30%])
than the ham. Combination disk diffusion assays revealed that 81% of the CREC
isolates were extended-spectrum beta-lactamases (ESBL) producers, 13% were AmpC
cephalosporinases (AmpC) producers and 2% ESBL and AmpC co-producers. Genotyping
denoted blaCTX-M-gr1 (63%) and blaTEM (40%) as most present antibiotic resistance
genes. Multiple gene combinations in one isolate and multiple combinations of
genotypes and phenotypes among isolates of one sample were observed. These
quantitative data can be used for intervention strategies to lower human exposure
to CREC.
PMID- 29807290
TI - Microbiome dynamics during spontaneous fermentations of sound grapes in
comparison with sour rot and Botrytis infected grapes.
AB - The main losses in viticulture around the world are normally associated with
rotten grapes affecting both the chemical composition and the grape microbiota
that later might affect the alcoholic fermentation. We analyzed the population in
musts obtained from sour rotten, botrytized and healthy Macabeo grapes and the
population dynamics during the spontaneous alcoholic fermentation by culture
dependent and various culture independent methods including, for the first time,
qPCR and massive sequencing. Grape health state affected the fermentation
kinetics and also the microbial diversity and composition. Unexpectedly, the
fermentation proceeded the fastest in the rotten must followed by the healthy and
the botrytized grapes. As in previous studies, plate cell counts and qPCR results
confirmed the increase in the number of both bacteria and fungi in the musts from
damaged grapes. Massive sequencing detected higher biodiversity than the other
techniques at each stage, with Saccharomyces and Oenococcus found already in the
grape must. Hanseniaspora osmophila replaced to Hanseniaspora uvarum as the
predominant yeast during the mid-fermentation stage for both damaged grapes.
Furthermore, musts and beginning of fermentation from rotten and botrytized
grapes consistently had a higher presence of the fungi Zygosaccharomyces,
Penicillium and Aspergillus while high abundance of Botrytis were observed just
for botrytized grapes. As expected, the acetic acid bacteria number increased in
musts from rotten and botrytized grapes, mostly due to changes in proportion of
the genus Gluconoacetobacter which remained more abundant during damaged grapes
fermentation than during healthy ones. Interestingly, the presence of Oenococcus
oeni at the end of the alcoholic fermentation was strongly affected by the health
status of the grapes.
PMID- 29807291
TI - Relapse after treatment withdrawal of antiepileptic drugs for Juvenile Absence
Epilepsy and Juvenile Myoclonic Epilepsy.
AB - PURPOSE: Conventional teaching is that juvenile myoclonic epilepsy (JME) and
juvenile absence epilepsy (JAE) require lifelong antiepileptic drug (AED)
treatment. We therefore wanted to determine how many patients attending our
epilepsy service with JAE or JME went into 2 year remission, and then relapsed,
both off and on AEDs. METHOD: This was a retrospective case-notes review.
Patients with JAE and JME were systematically ascertained from clinic lists and
databases at one teaching hospital. Data was extracted systematically. Simple
descriptive statistics were used. RESULTS: JAE: 14/36 (39%) were seizure free on
AEDs for at least 2 years. Of the 6 (43%) attempting AED withdrawal, all (100%)
relapsed, compared with only 25% of those who did not withdraw AEDs. Only 2/5 who
relapsed and restarted AEDs regained remission. JME: 32/145 (22%) were seizure
free on AEDs for at least 2 years. Of the 10 (31%) attempting AED withdrawal, 8
(80%) relapsed, compared with only 36% of those who did not withdraw AEDs. Only
2/8 who relapsed and restarted AEDs regained remission. CONCLUSION: Remission
rates for JAE and JME was lower than expected. Higher proportions of seizure free
patients underwent physician-supervised withdrawal than anticipated. Relapse
rates off AEDs were similar for JAE and JME, and at least twice as high as for
those remaining on AEDs, and a further remission was not invariable on restarting
AEDs. Our experience, comparing relapse in those withdrawing to those staying on
AEDs will help in discussions with patients keen to try AED withdrawal.
PMID- 29807292
TI - Estimated health benefits of exhaust free transport in the city of Malmo,
Southern Sweden.
AB - Air pollution is responsible for one in eight premature deaths worldwide, and
thereby a major threat to human health. Health impact assessments of hypothetic
changes in air pollution concentrations can be used as a mean of assessing the
health impacts of policy, plans and projects, and support decision-makers in
choices to prevent disease. The aim of this study was to estimate health impacts
attributable to a hypothetical decrease in air pollution concentrations in the
city of Malmo in Southern Sweden corresponding to a policy on-road
transportations without tail-pipe emissions in the municipality. We used air
pollution data modelled for each of the 326,092 inhabitants in Malmo by a
Gaussian dispersion model combined with an emission database with >40,000
sources. The dispersion model calculates Nitrogen Oxides (NOx) (later transformed
into Nitrogen Dioxide (NO2)) and particulate matter with an aerodynamic diameter
< 2.5 MUg/m3 (PM2.5) with high spatial and temporal resolution (85 m and 1 h,
respectively). The average individual reduction was 5.1 (ranging from 0.6 to
11.8) MUg/m3 in NO2, which would prevent 55 (2% of all deaths) to 93 (4%) deaths
annually, depending on dose-response function used. Furthermore, we estimate that
the NO2 reduction would result in 21 (6%) fewer cases of incident asthma in
children, 95 (10%) fewer children with bronchitis every year, 30 (1%) fewer
hospital admissions for respiratory disease, 87(4%) fewer dementia cases, and
11(11%) fewer cases of preeclampsia every year. The average reduction in PM2.5 of
0.6 (ranging from 0.1 till 1.7) MUg/m3 would mean that 2729 (0.3%) work days
would not be lost due to sick-days and that there would be 16,472 fewer
restricted activity days (0.3%) that year had all on-road transportations been
without tail-pipe emissions. Even though the estimates are sensitive to the dose
response functions used and to exposure misclassification errors, even the most
conservative estimate of the number of prevented deaths is 7 times larger than
the annual traffic fatalities in Malmo, indicating a substantial possibility to
reduce the health burden attributed to tail-pipe emissions in the study area.
PMID- 29807293
TI - Factors influencing the removal of antibiotic-resistant bacteria and antibiotic
resistance genes by the electrokinetic treatment.
AB - The performance of the electrokinetic remediation process on the removal of
antibiotic-resistant bacteria (ARB) and antibiotic resistance genes (ARGs) was
evaluated with different influencing factors. With chlortetracycline (CTC),
oxytetracycline (OTC), and tetracycline (TC) as template chemicals, the removal
of both ARB and ARGs was enhanced with the increase of voltage gradient (0.4-1.2
V cm-1) and prolonged reaction time (3-14 d). The greatest removal (26.01-31.48%
for ARB, 37.93-83.10% for ARGs) was obtained applying a voltage of 1.2 V cm-1,
leading to the highest electrical consumption. The effect of polarity reversal
intervals on the inactivation ratio of ARB followed the order of 0 h (66.06
80.00%) > 12 h (17.07-24.75%) > 24 h (10.44-13.93%). Lower pH, higher current
density, and more evenly-distributed voltage drop was observed with a polarity
reversal interval of 12 h compared with that of 24 h, leading to more efficient
electrochemical reactions in soil. Compared with sul genes, tet genes were more
vulnerable to be attacked in an electric field. It was mainly attributed to the
lower abundance of tet genes (except tetM) and the varied effects of
electrokinetic remediation process on different ARGs. Moreover, a relatively less
removal ratio of tetC and tetG was obtained mainly due to the mechanism of the
efflux pump upregulation. Both tet and sul genes were positively correlated with
TC-resistant bacteria. The efflux pump genes like tetG and the cellular
protection genes like tetM showed different correlations with ARB. This study
enhances the current understanding on the removal strategies of ARB and ARGs, and
it provides important parameters for their destruction by the electrokinetic
treatment.
PMID- 29807294
TI - Exposure to teflubenzuron negatively impacts exploratory behavior, learning and
activity of juvenile European lobster (Homarus gammarus).
AB - Infestations with salmon lice, a parasitic copepod, is a major problem in the
salmon farming industry. Teflubenzuron is an in-feed pharmaceutical applied to
control lice outbreaks; the standard medication is 10 mg per kg fish per day for
seven days. Surveys reveal that teflubenzuron accumulates and persists in the
sediment around fish farms and causes deformities and mortality in juvenile
European lobster (Homarus gammarus), a species commonly found in the vicinity of
salmon farms in Norway. To date, there is no information on sub-lethal effects of
teflubenzuron on, for example, behavior. We conducted an experiment to assess
possible difference in the shelter seeking behavior of teflubenzuron-exposed (N =
19) vs. not exposed (N = 19) H. gammarus juveniles. The teflubenzuron-exposed
juveniles had been given very low concentrations, 1.7 ug per pellet twice per
week for 113 days prior to this experiment. The concentration of teflubenzuron
was estimated to be less than 1 ng/g lobster when they were tested in the
behavior experiment. Animals were placed in a lane with a shelter at one end.
Once a lobster had found and entered the shelter, they were repeatedly displaced
back to the opposite end of the lane, for a total of 3 repeated runs per animal.
Three of the exposed juveniles failed to settle in the shelter, and the remaining
teflubenzuron-exposed animals took significantly more time to explore the
environment and to find and recognize shelter. Furthermore, exposed lobsters also
exhibited slower walking speed compared to the controls. These results
demonstrate that teflubenzuron significantly reduces exploratory behavior,
learning and activity of juvenile H. gammarus. Thus, exposure to teflubenzuron
could increase predation mortality of juvenile lobsters in the wild.
PMID- 29807295
TI - Effects of aged ZnO NPs and soil type on Zn availability, accumulation and
toxicity to pea and beet in a greenhouse experiment.
AB - Most studies have assessed the toxicity of pristine NPs to plants without
considering the likely changes that these NPs will undergo during their residence
time in the soil. In this study, we assessed the effects of ZnO NPs (3, 20, and
225 mg Zn kg-1 soil) aged for a year in soil and after a previous crop on the Zn
availability in soil, leaf accumulation and toxicity to green pea (Pisum sativum
L.) and beet root (Beta vulgaris L). The effects were compared to bulk ZnO and
ZnSO4 in two agricultural soils with different pH under greenhouse conditions.
The Zn concentration in the plant leaf was 6-12-fold higher in acidic than in
calcareous soil that could explain the different effects on plants caused by Zn
applications depending on soil type. Thus, in acidic soil, ZnO NPs promoted ROS
generation in both plant species with increases from 47% to 130%, increased the
MDA content in pea up to 58 +/- 8% in plant exposed to ZnSO4 at 225 mg Zn kg-1
soil and altered the ratio of photosynthetic pigments in beet between 12% and
41%, suggesting distressed chloroplast constituents. In calcareous soil, the
changes seemed to be related to the supply of Zn in Zn deficient soils, whose
principal effect was the 20-65% decrease of ROS levels in treated plants. The
available and leaf Zn concentrations did not differ among Zn sources. Likewise,
ZnO NPs showed comparable toxic or stimulatory effects to ZnO bulk and Zn salt,
with some exceptions where Zn ion showed the highest phytotoxicity and
effectiveness as a micronutrient. According to our results, we cannot affirm that
NPs pose a higher potential environmental risk than their bulk counterparts after
one-year of residence time in soil.
PMID- 29807296
TI - Microbial functional responses to long-term anthropogenic impact in mangrove
soils.
AB - Mangroves are coastal ecosystems of transition between terrestrial and marine
environments, that have been particularly contaminated in the last decades.
Organic compounds are part of these contaminants, which have increased in the
environment due to industrial activities and accidental oil spills. These
contaminants are toxic to higher organisms, but microorganisms can metabolize
most of these compounds and thus offer a tool for bioremediation purposes. The
aim of the present study was to characterize the microbial potential and activity
for degradation of aromatic compounds in sediment samples from mangroves using
metagenomic and metatranscriptomic approaches. Sediment samples were collected
for DNA and RNA extraction from each of the mangrove sites: highly oil-impacted
(Oil Mgv), anthropogenically impacted (Ant Mgv) and pristine (Prs Mgv) mangrove.
Hydrocarbon concentrations in Oil Mgv sediments were higher than those observed
in Ant Mgv and Prs Mgv. Genes and transcripts associated with aromatic compound
degradation, particularly the meta and ortho-pathways, were more abundant in Oil
Mgv and Ant Mgv suggesting that many of the aromatic compounds are being
aerobically degraded by the microbiome in these sites. Functions involved in the
degradation of aromatic compounds were also found in pristine site, although in
lower abundance. Members of the genera Aromatoleum, Desulfococcus,
Desulfatibacillum, Desulfitobacterium and Vibrio were actively involved in the
detoxification of sediments affected by the oil spill. Results obtained from this
study provided strong evidence that microbial degradation of aromatic compounds
plays an active role in the biological response to mangrove sediment pollution
and subsequent ecosystem recovery.
PMID- 29807297
TI - Growth of Clostridium perfringens in sous vide cooked ground beef with added
grape seed extract.
AB - The growth of Clostridium perfringens from spore inocula was studied in sous vide
cooked ground beef with added 0 to 3% grape seed extract (GSE). C. perfringens
did not grow at 4 degrees C with or without GSE present. Lag time (LT) was 95 h
in control samples at 15 degrees C, whereas 1-3% GSE addition significantly (p <
.05) extended LT to 244 h or longer. Generation time (GT) in 3% GSE added beef
was similar to that of control (19 h, 3% GSE versus 18 h, control) at 15 degrees
C. At 20 degrees C, GT was 1.5 h in samples without GSE; however, 1-3% GSE
addition extended GT about 2-3 folds (p < .05). Lag time at 20 degrees C was 23
h in control samples, while LT was 40-59 h in samples containing GSE.
Interestingly, GSE did not affect LT at 25 degrees C; however, significantly (p
< .05) longer GT was observed in 3% GSE added samples than the other sample
groups. Additionally, GSE from 1 to 3% in beef extended the period needed to
reach 6 log cfu/g at 15 or 20 degrees C, while 3% GSE was required at 25
degrees C. The findings suggest that GSE exhibits concentration and temperature
dependent inhibitory effect on growth of C. perfringens in sous vide cooked
ground beef. Grape seed extract can be used to extend the shelf-life and ensure
the microbiological safety of sous vide cooked meat products.
PMID- 29807298
TI - "Who does this body belong to?" The development and psychometric evaluation of
the Body Experience during Pregnancy Scale.
AB - Women's experiences of their bodies during pregnancy may reflect their reactions
to concrete physical changes as well as self-representations during the
transition to motherhood. However, adequate measures of the body experience
during pregnancy are lacking. This study aims to evaluate the psychometric
properties of a new measure, the Body Experience during Pregnancy Scale (BEPS).
In Study 1, the BEPS was administered to 423 pregnant women. In Study 2, 373
pregnant women completed the BEPS, as well as questionnaires assessing body
shame, disrupted body boundaries, and well-being. Three BEPS subscales emerged
from Study 1: body agency, body estrangement, and body visibility. In Study 2, a
confirmatory factor analysis replicated the scale's structure. The factors were
significantly correlated with measures of body shame, disrupted body boundaries,
and well-being. The results of the present analyses suggest that the BEPS has
good psychometric properties, making it useful in future research.
PMID- 29807299
TI - Social media literacy protects against the negative impact of exposure to
appearance ideal social media images in young adult women but not men.
AB - Frequent exposure to appearance ideal social media is associated with body
dissatisfaction. We hypothesised that commercial and peer social media literacy
would protect against the negative impact of exposure to social media appearance
ideal images on young adults' body image. The study was presented as an
investigation of alcohol promotion on social media. Participants were 187 women
(Mage = 24.6, SD = 3.7) and 187 men (Mage = 22.8, SD = 3.9) who viewed gender
matched alcohol-related appearance ideal social media images or control images
containing alcohol only. Social media literacy was assessed prior to image
exposure and body satisfaction measured before and after exposure. A negative
effect of ideal image exposure on body satisfaction was observed in both women
and men. In women only, commercial-social media literacy moderated the negative
effect of exposure, independent of internalization or body comparison. Inclusion
of social media literacy skills in prevention interventions is supported.
PMID- 29807300
TI - Measurement of temperature induced in bone during drilling in minimally invasive
foot surgery.
AB - INTRODUCTION: There has been growing interest in minimally invasive foot surgery
due to the benefits it delivers in post-operative outcomes in comparison to
conventional open methods of surgery. One of the major factors determining the
protocol in minimally invasive surgery is to prevent iatrogenic thermal
osteonecrosis. The aim of the study is to look at various drilling parameters in
a minimally invasive surgery setting that would reduce the risk of iatrogenic
thermal osteonecrosis. METHOD: Sixteen fresh-frozen tarsal bones and two
metatarsal bones were retrieved from three individuals and drilled using various
settings. The parameters considered were drilling speed, drill diameter, and
inter-individual cortical variability. Temperature measurements of heat generated
at the drilling site were collected using two methods; thermocouple probe and
infrared thermography. The data obtained were quantitatively analysed. RESULTS:
There was a significant difference in the temperatures generated with different
drilling speeds (p<0.05). However, there was no significant difference in
temperatures recorded between the bones of different individuals and in bones
drilled using different drill diameters. Thermocouple showed significantly more
sensitive tool in measuring temperature compared to infrared thermography.
CONCLUSION: Drilling at an optimal speed significantly reduced the risk of
iatrogenic thermal osteonecrosis by maintaining temperature below the threshold
level. Although different drilling diameters did not produce significant
differences in temperature generation, there is a need for further study on the
mechanical impact of using different drill diameters.
PMID- 29807301
TI - The effect of pointe shoe deterioration on foot and ankle kinematics and kinetics
in professional ballet dancers.
AB - Dancing en pointe significantly increases the risk of lower limb injuries by
placing the foot and ankle joint in unfavorable positions. The pointe shoe, worn
predominantly by female ballet dancers may aid movement and acts as a major
stabilizer of the foot. The deterioration in pointe shoe structure with excessive
wear may increase a dancer's risk of ankle and foot related injuries by placing
excessive load on the joints when the foot is poorly aligned. The purpose of this
study was to investigate differences in foot and ankle kinetics and kinematics
between new and worn pointe shoes. Fifteen professional ballet dancers completed
a series of bourrees (walking en pointe) in both new and worn pointe shoes (>20 h
wear). Force and pressure analyses determined peak ground reaction force and
centre of pressure velocity. A 2D kinematic analysis determined the magnitude of
mid-foot flexion and ankle plantarflexion. The worn pointe shoe caused
significantly greater mid-foot flexion (P < 0.01) and ankle plantarflexion (P <
0.01) en pointe compared to the new pointe shoe. No significant changes in peak
force (P = 0.855) or centre of pressure velocity (P = 0.297) were observed
between conditions. The reduced structural integrity of the worn pointe shoe may
be a causal factor for kinematic changes and subsequent pain and lower limb
injuries in professional dancers.
PMID- 29807302
TI - Dissociating action-effect activation and effect-based response selection.
AB - Anticipated action effects have been shown to govern action selection and
initiation, as described in ideomotor theory, and they have also been
demonstrated to determine crosstalk between different tasks in multitasking
studies. Such effect-based crosstalk was observed not only in a forward manner
(with a first task influencing performance in a following second task) but also
in a backward manner (the second task influencing the preceding first task),
suggesting that action effect codes can become activated prior to a capacity
limited processing stage often denoted as response selection. The process of
effect-based response production, by contrast, has been proposed to be capacity
limited. These observations jointly suggest that effect code activation can occur
independently of effect-based response production, though this theoretical
implication has not been tested directly at present. We tested this hypothesis by
employing a dual-task set-up in which we manipulated the ease of effect-based
response production (via response-effect compatibility) in an experimental design
that allows for observing forward and backward crosstalk. We observed robust
crosstalk effects and response-effect compatibility effects alike, but no
interaction between both effects. These results indicate that effect activation
can occur in parallel for several tasks, independently of effect-based response
production, which is confined to one task at a time.
PMID- 29807303
TI - Tolerance to spatial-relational transformations in unfamiliar faces: A further
challenge to a configural processing account of identity recognition.
AB - One of the most important questions in face perception research is to understand
what information is extracted from a face in order to recognize its identity.
Recognition of facial identity has been attributed to a special sensitivity to
"configural" information. However, recent studies have challenged the configural
account by showing that participants are poor in discriminating variations of
metric distances among facial features, especially for familiar as opposed to
unfamiliar faces, whereas a configural account predicts the opposite. We aimed to
extend these previous results by examining classes of unfamiliar faces with which
we have different levels of expertise. We hypothesized an inverse relation
between sensitivity to configural information and expertise with a given class of
faces, but only for neutral expressions. By first matching perceptual
discriminability, we measured tolerance to subtle configural transformations with
same-race (SR) versus other-race (OR) faces, and with upright versus upside-down
faces. Consistently with our predictions, we found a lower sensitivity to at
threshold configural changes for SR compared to OR faces. We also found that, for
our stimuli, the face inversion effect disappeared for neutral but not for
emotional faces - a result that can also be attributed to a lower sensitivity to
configural transformations for faces presented in a more familiar orientation.
The present findings question a purely configural account of face processing and
suggest that the role of spatial-relational information in face processing varies
according to the functional demands of the task and to the characteristics of the
stimuli.
PMID- 29807304
TI - Diverging wave compounding with spatio-temporal encoding using orthogonal Golay
pairs for high frame rate imaging.
AB - Golay coded excitation for diverging wave compounding (DWC) has been demonstrated
to increase the signal-to-noise ratio (SNR) and contrast for high frame rate
cardiac imaging. However, the complementary codes need to be transmitted in two
consecutive firings for decoding, which reduces the frame rate by 2 folds. This
paper proposes an orthogonal Golay pairs coded (OGPs-coded) DWC sequence to
overcome this problem, which implements spatio-temporal encoding for DWC. Two
diverging waves (DWs) at different steering angles coded by an orthogonal Golay
pair are transmitted simultaneously, thus compensating the frame rate reduction
caused by transmissions of complementary codes. The two DWs can be separated
based on the orthogonality of Golay pairs. To test the feasibility of the
proposed sequence, we performed simulations of point targets and tissue phantoms
in both static and moving states. Compared with non-coded DWC at the same frame
rate, OGPs-coded DWC obtains comparable resolution, SNR gains of 7.5-10 dB and
contrast gains of 3-5 dB. The OGPs-coded DWC sequence was also tested
experimentally on a tissue-mimicking phantom. Compared with non-coded DWC, OGPs
coded DWC achieves improvements in the SNR (3-6 dB) and contrast (1-2 dB).
Preliminary in vivo results show brighter myocardium and larger penetration depth
with the proposed method. The proposed OGPs-coded DWC sequence has potential for
high frame rate and high quality cardiac imaging.
PMID- 29807305
TI - Collecting outcome data of a text messaging smoking cessation intervention with
in-program text assessments: How reliable are the results?
AB - BACKGROUND: Text messaging interventions have shown promise in helping people
quit smoking. Texting programs periodically survey participants about their
smoking status. This study examined the consistency of participant self-reported
smoking between external surveys and internal program text message assessments.
METHODS: Participants in Text2Quit program were surveyed about their past 7-day
smoking at one, three, and six months post-enrollment using different survey
modes (external surveys and internal program text message assessments) and
responses were compared for consistency. The first set of analyses was conducted
for participants responding on both modes (n = 45 at one month; n = 50 at three
months; n = 42 at six months). Additional analyses, assuming missing = smoking,
were conducted with the full sample of 262 smokers (68.7% female, mean age = 35.8
years) and compared to saliva-confirmed abstinence rates. RESULTS: Participants
responding to both modes consistently reported smoking status at one (88.9%),
three (88.0%) and six (88.1%) months post-enrollment, with fair to substantial
levels of agreement (one month: kappa = 0.24; three months: kappa = 0.63; six
months: kappa = 0.66). Participants responding to both modes reported high rates
of abstinence. In missing = smoking analyses, significant differences in
abstinence rates reported across modes were detected at each timepoint (one
month: external = 30.5%, internal = 16.4%; three months: external = 33.2%,
internal = 16.0%; six months: external = 31.7%, internal = 12.2%; all p < .001).
Moderate levels of agreement were found between the two modes. At 6 months,
abstinence rates obtained via internal data were closer to those biochemically
verified (15.7%) compared to external surveys. CONCLUSIONS: Results provide
initial support for the use of internal program assessments in text messaging
programs with missing = smoking assumptions in order to gather outcome data on
smoking behavior.
PMID- 29807306
TI - Ethnic heterogeneity, social capital and psychological distress in Sweden.
AB - INTRODUCTION: Ethnic heterogeneity has been linked to both protective and
detrimental effects on mental health. Few studies have investigated the role of
social capital in this relationship and none have found that it has an
explanatory role. The aim of this study is to investigate the relationship
between two measures of ethnic heterogeneity and psychological distress in
Stockholm County, as well as the explanatory role of social capital for
individuals with Swedish-background, foreign-background and those who are foreign
born. METHODS: This study used data collected from respondents aged 18-64 to the
2002, 2006, 2010 baseline questionnaires of the Stockholm Public Health Cohort
and was linked with individual and area-level register information. Ethnic
heterogeneity was the main exposure, measured by: 1) ethnic density, defined as
the proportion of first and second generation immigrants with 2 foreign-born
parents; and 2) ethnic diversity, using the fragmentation index. Social capital
measures of individual and contextual-level social support and horizontal trust
were the main explanatory factors of interest. The outcome, psychological
distress, was assessed using the General Health Questionnaire-12 with a 2/3 cut
off. Prevalence ratios with 95% confidence intervals were estimated using multi
level poisson regression with robust variances. RESULTS: Age and sex adjusted
analyses for the whole study population demonstrated that a 10% increase in
ethnic density or diversity was associated with a 1.06 (1.05-1.07) times higher
prevalence of psychological distress. In the stratified analyses, both foreign
born respondents and those with Swedish-background showed increasing prevalence
of psychological distress with increasing ethnic heterogeneity. However, this
trend was entirely explained by socioeconomic factors in the Swedish-background
respondents and by additional adjustments for individual and contextual social
support and horizontal trust for the foreign-born. Further adjustment for
contextual horizontal trust showed ethnic heterogeneity to be protective for
respondents Swedish-background. There was no clear trend between ethnic
heterogeneity and psychological distress for respondents with foreign-background.
CONCLUSION: The association between ethnic heterogeneity and psychological
distress differs by ethnic background. There was no difference in this
association based on the measure of ethnic heterogeneity used, nor in the
explanatory role of social capital between ethnic heterogeneity measures.
Socioeconomic indicators and some elements of individual and contextual social
capital are important explanatory factors of the excess risk of psychological
distress with regards to ethnic heterogeneity.
PMID- 29807307
TI - Randomized clinical comparison of the dual-therapy CD34 antibody-covered
sirolimus-eluting Combo stent with the sirolimus-eluting Orsiro stent in patients
treated with percutaneous coronary intervention: Rationale and study design of
the Scandinavian Organization for Randomized Trials with Clinical Outcome (SORT
OUT) X trial.
AB - BACKGROUND: The Combo stent (OrbusNeich, Hoevelaken, the Netherlands) combining
an abluminal, bioabsorbable polymer eluting sirolimus with a luminal CD34+
antibody to capture endothelial progenitor cells has been developed to further
improve safety and efficacy of coronary interventions. We have designed a large
scale registry-based randomized clinical trial to compare the Combo stent to the
Orsiro stent (Biotronik, Bulach, Switzerland) in patients undergoing percutaneous
coronary intervention. METHODS: The SORT OUT X study will randomly assign 3,140
patients to treatment with Combo or Orsiro stents at 3 sites in Western Denmark.
Patients are eligible if they are >=18 years old, have chronic stable coronary
artery disease or acute coronary syndromes, and have >=1 coronary lesion with
>50% diameter stenosis requiring treatment with a drug-eluting stent. The primary
end point target lesion failure is a composite of cardiac death, myocardial
infarction (not related to other than index lesion), or target lesion
revascularization within 12 months. Clinically driven event detection will be
derived from validated Danish registries. An event rate of 4.2% is assumed in
each stent group. With a sample size of 1,570 patients in each treatment arm, a 2
group large-sample normal approximation test of proportions with a 1-sided 5%
significance level will have 90% power to detect noninferiority of the Combo
stent compared with the Orsiro stent with a predetermined noninferiority margin
of 2.1%. CONCLUSION: The SORT OUT X trial will determine whether the dual-therapy
Combo stent is noninferior to the Orsiro stent with respect to clinically driven
events (ClinicalTrials.govNCT03216733).
PMID- 29807308
TI - Checkpoint for helicity conservation in fluorescence at the nanoscale: Energy and
helicity transfer (hFRET) from a rotating donor dipole.
AB - Orientation factor (kappa2) for FRET from a rotating donor dipole, transferred
helicity of the donor field and torque exerted on the acceptor by the donor have
been investigated in the framework of classical electrodynamics. It is shown that
for rotating dipole, kappa2 is significantly higher as compared to linear dipole
independently of the orientation distribution of the donor and acceptor and
whether the static or the dynamic rotational regimes are used for averaging
kappa2. By this property of kappa2, FRET serves as an example for a phenomenon
where local field interference may take place in a "natural" way for emitters
possessing rotating dipoles in their excited states by nature. The overlapping
spatial distributions for the helicity of donor local field, torque exerted on
the acceptor by the donor and for the FRET orientational factor suggest that
transfer of both energy and helicity take place predominantly in the plane of
rotation by keeping the original direction of helicity, i.e. in accordance with
the conservation law for helicity. Orienting FRET has been proposed by
engineering local field structure by using elliptically polarized light for donor
excitation or by using linearly polarized light coupled with electromagnetic
modification of the donor environment. The phenomenon of increased kappa2 can be
exploited for checking helicity conservation for different FRET donors without
the need for polarized detection optics. Modulation of FRET with changing
ellipticity of the excited donor state might supply structural and dynamical
information on the orientational distribution of dye-holding matrices even on the
surface of living cells, e.g. on the level of cell surface receptor clusters.
Furthermore, it might also be exploited in sensing local electromagnetic fields.
Rotating excited donor states might also facilitate turning on photoswitchable
acceptors.
PMID- 29807309
TI - Assessment of the inhibitory activity of the pyrethroid pesticide deltamethrin
against human placental glutathione transferase P1-1: A combined kinetic and
docking study.
AB - Deltamethrin (DEL), which is a synthetic pyrethroid insecticide, has been used
successfully all over the world to treat mosquito nets for the control of
malaria. Glutathione S-transferases (GSTs; EC 2.5.1.18) catalyze the conjugation
of reduced glutathione (GSH) to a variety of xenobiotics and are normally
recognized as detoxification enzymes. Here, we used a colorimetric assay based on
the human placental GSTP1-1 (hpGSTP1-1)-catalyzed reaction between GSH and the
model substrate 1-chloro-2,4-dinitrobenzene (CDNB) as well as molecular docking
to investigate the mechanistic and structural aspects of hpGSTP1-1 inhibition by
DEL. We show that DEL is a potent, noncompetitive inhibitor of hpGSTP1-1 with an
IC50 value of 6.1 MUM and Ki values of 5.61 +/- 0.32 MUM and 7.96 +/- 0.97 MUM at
fixed [CDNB]-varied [GSH] and fixed [GSH]-varied [CDNB], respectively. DEL
appears to be accommodated well in an eccentric cavity located at the interface
of the hpGSTP1-1 homodimer, presumably causing conformational changes to the
enzyme's substrate-binding sites such that the enzyme is no longer able to
transform GSH and CDNB effectively. Correspondingly, considerable maternal
exposure to and subsequent accumulation of DEL may interfere with the proper
development of the vulnerable fetus, possibly increasing the risk of developing
congenital defects.
PMID- 29807310
TI - Prenatal alcohol exposure reduces 5-HT concentration in mouse intestinal muscle
and mucosa.
AB - The influence of prenatal alcohol exposure on the serotoninergic system in the
brain has been well studied, however its influence on the serotoninergic system
in the gastrointestinal system remains unknown. The objective of the study was to
use a mouse model of prenatal alcohol exposure to investigate the effects on
serotonin and its metabolites and precursors in colonic tissue. This study used
treatment of mouse breeding harems with 5% ethanol with saccharin via drinking
water throughout pregnancy and compared the results with a saccharin control
group. Tryptophan, serotonin (5-HT) and 5- hydroxyindoleacetic acid (5-HIAA)
concentrations were measured in the longitudinal muscle myenteric plexus (LMMP)
and mucosa of intestinal tissue by high-performance liquid chromatography (HPLC).
Decreased 5-HT concentrations in mucosa and LMMP (females only) were observed in
prenatally exposed mice compared to controls. Increases in mucosal and LMMP
tryptophan concentration were only observed in prenatally exposed female mice. In
conclusion, prenatal alcohol exposure causes a decrease in conversion of
tryptophan to 5-HT in both muscle and mucosa although the effect is more
pronounced in females. The observed sex difference may be related to changes
associated with the estrous cycle.
PMID- 29807311
TI - Benthic ciliate diversity and community composition along water depth gradients:
a comparison between the intertidal and offshore areas.
AB - The diversity of marine benthic ciliates is largely known from the intertidal
zone. No comparative data are available for the change of ciliate communities
from the intertidal to offshore sediments in the Yellow Sea. We investigated the
community composition and diversity of benthic ciliates at two intertidal (sandy
and silty-sand) stations and eight offshore stations along a latitudinal transect
in the Yellow Sea. The ciliate abundance and biomass decreased almost linearly
with increasing water depth and distance from the intertidal zone. Diversity
indices showed a similar trend. By contrast, the total species richness and
taxonomic diversity were much higher in the offshore sediments than in the
intertidal area. Among the total of 94 species identified, only 20 species were
shared by the two habitats, which were characterized by different dominant
ciliate assemblages. Carnivorous ciliates always constituted the primary feeding
type in terms of biomass at all offshore stations and the intertidal sandy
station, whereas at the intertidal silty-sand station the primary feeding group
varied throughout the period of sampling. Multivariate analyses indicates the
ciliate communities were significantly different between the two habitats. Bottom
water temperature and sediment grain size were the key factors that explained the
ciliate community structure.
PMID- 29807313
TI - Complex networks reveal early MRI markers of Parkinson's disease.
AB - Parkinson's disease (PD) is the most common neurological disorder, after
Alzheimer's disease, and is characterized by a long prodromal stage lasting up to
20 years. As age is a prominent factor risk for the disease, next years will see
a continuous increment of PD patients, making urgent the development of efficient
strategies for early diagnosis and treatments. We propose here a novel approach
based on complex networks for accurate early diagnoses using magnetic resonance
imaging (MRI) data; our approach also allows us to investigate which are the
brain regions mostly affected by the disease. First of all, we define a network
model of brain regions and associate to each region proper connectivity measures.
Thus, each brain is represented through a feature vector encoding the local
relationships brain regions interweave. Then, Random Forests are used for feature
selection and learning a compact representation. Finally, we use a Support Vector
Machine to combine complex network features with clinical scores typical of PD
prodromal phase and provide a diagnostic index. We evaluated the classification
performance on the Parkinson's Progression Markers Initiative (PPMI) database,
including a mixed cohort of 169 normal controls (NC) and 374 PD patients. Our
model compares favorably with existing state-of-the-art MRI approaches. Besides,
as a difference with previous approaches, our methodology ranks the brain regions
according to disease effects without any a priori assumption.
PMID- 29807312
TI - Infant perception of sex differences in biological motion displays.
AB - We examined mechanisms underlying infants' ability to categorize human biological
motion stimuli from sex-typed walk motions, focusing on how visual attention to
dynamic information in point-light displays (PLDs) contributes to infants' social
category formation. We tested for categorization of PLDs produced by women and
men by habituating infants to a series of female or male walk motions and then
recording posthabituation preferences for new PLDs from the familiar or novel
category (Experiment 1). We also tested for intrinsic preferences for female or
male walk motions (Experiment 2). We found that infant boys were better able to
categorize PLDs than were girls and that male PLDs were preferred overall.
Neither of these effects was found to change with development across the observed
age range (~4-18 months). We conclude that infants' categorization of walk
motions in PLDs is constrained by intrinsic preferences for higher motion speeds
and higher spans of motion and, relatedly, by differences in walk motions
produced by men and women.
PMID- 29807314
TI - DNA damage protection by bulk and nano forms of quercetin in lymphocytes of
patients with chronic obstructive pulmonary disease exposed to the food mutagen 2
amino-3-methylimidazo [4,5-f]quinolone (IQ).
AB - Chronic obstructive pulmonary disease (COPD) in humans, describes a group of lung
conditions characterised by airflow limitation that is poorly reversible. The
airflow limitation usually progresses slowly and is related to an abnormal
inflammatory response of the lung to toxic particles. COPD is characterised by
oxidative stress and an increased risk of lung carcinoma. The 2-amino-3
methylimidazo [4,5-f]quinoline (IQ) is one of a number of mutagenic/carcinogenic
heterocyclic amines found mainly in well-cooked meats which are thus part of the
regular diet. Antioxidants are very important in order to protect the cells
against oxidative damage. The aim of the present study was to assess the effects
of IQ on the level of DNA damage and susceptibility to a potent mutagen in
peripheral blood cells of COPD patients. DNA damage and the frequency of
micronuclei (MNi) were evaluated using the Comet and micronucleus assays,
respectively. Differential expressions of both mRNA and protein of the endogenous
antioxidant enzyme catalase were evaluated with quantitative polymerase chain
reaction (qPCR) and Western blot analysis, respectively. Furthermore, the effect
of bulk and nano forms of quercetin and their combination with IQ were examined.
Results of the present study clearly demonstrated that MNi frequency in the
peripheral blood lymphocytes exhibited a positive correlation with the DNA damage
as evident from the different Comet assay parameters. Increase of the endogenous
antioxidant catalase also showed there was a stimulation of this enzyme system by
IQ. Whereas, the endogenous antioxidant quercetin significantly reduced oxidative
stress in COPD patients and healthy individuals.
PMID- 29807315
TI - Overlap and distinction between measures of insight and self-stigma.
AB - Multiple studies on insight into one's illness and self-stigma among patients
with serious mental illness and their relatives have shown that these constructs
are related to one another and that they affect outcome. However, a critical
exploration of the items used to assess both constructs raises questions with
regard to the possible overlapping and centrality of items. The current study
used five different samples to explore the possible overlap and distinction
between insight and self-stigma, and to identify central items, via network
analyses and principal component factor analysis. Findings from the network
analyses showed overlap between insight and self-stigma exist with a relatively
clearer observational distinction between the constructs among the two parent
samples in comparison to the patient samples. Principal component factor analysis
constrained to two factors showed that a relatively high percentage of items were
not loaded on either factor, and in a few datasets, several insight items were
loaded on the self-stigma scale and vice versa. The author discusses implications
for research and calls for rethinking the way insight is assessed. Clinical
implications are also discussed in reference to central items of social
isolation, future worries and stereotype endorsement among the different study
groups.
PMID- 29807316
TI - "I feel like I am less than other people": Health-related vulnerabilities of male
migrants travelling alone on their journey to Europe.
AB - During 2015 and 2016, an unprecedented flow of approximately 800,000 migrants
coming from Turkey towards Western Europe crossed the Balkans. Male migrants are
perceived as being less vulnerable compared to other migrants and they are not
given priority in service and support provision. This qualitative study examines
the self-perceived vulnerabilities of male migrants travelling alone along the
Balkan route to Europe. Twenty-four individual in-depth interviews, two group
interviews and participant observation were conducted with male migrants in
Belgrade, Serbia in 2017. Data was coded manually, and analysed thematically.
Male migrants traveling alone face the cumulative vulnerability of various
traumatic events and migration-related contextual circumstances. Three main
themes emerged: the ongoing desperate journey, the better treatment of
'traditionally' well recognised vulnerable sub-groups and the impact of the
continuous stress on mental health. Deterrence measures imposed for border
control purposes in the form of push-backs, expulsions, detention and degrading,
inhumane treatment amplify the psychological distress of male migrants. Feelings
of hopelessness, desperation, lack of self-value and self-esteem were reported.
'Traditionally vulnerable' populations were said to have had better treatment
throughout the journey from smugglers, border state authorities, governmental
officials, civil society and international organizations. The devastating
experiences of male migrants, as well as the better treatment offered to other
groups of migrants like women and children, results in a perceived neglect of the
needs of men in humanitarian response, rendering them vulnerable and exposing
them to further health and protection risks. In a context where needs are unmet
and people's dignity and health are at risk, specific strategies should be
developed to include men in the assistance and protection offered, particularly
in relation to exposure to violence.
PMID- 29807317
TI - Palmitoylethanolamide as adjunctive therapy for autism: Efficacy and safety
results from a randomized controlled trial.
AB - Inflammation as well as glutamate excitotoxicity have been proposed to
participate in the propagation of autism. Palmitoylethanolamide (PEA) is an
endocannabinoid proven to prevent glutamatergic toxicity and inhibit inflammatory
responses simultaneously. The present randomized, parallel group, double-blind
placebo-controlled trial is the first study depicted to probe the efficacy of co
treatment with risperidone and PEA over 10 weeks in children with autism. Seventy
children (aged 4-12 years) with autism and moderate to severe symptoms of
irritability were randomly assigned to two treatment regimens. The study outcomes
were measured using the Aberrant Behavior Checklist-Community Edition (ABC-C). At
trial endpoint (week 10), combination of PEA and risperidone had superior
efficacy in ameliorating the ABC-irritability and hyperactivity/noncompliance
symptoms (Cohen's d, 95% confidence interval (CI) = 0.94, 0.41 to 1.46, p =
0.001) compared with a risperidone plus placebo regimen. Interestingly, effect of
combination treatment on hyperactivity symptoms was also observed at trial
midpoint (week 5) but with a smaller effect size (d = 0.53, p = 0.04) than that
at the endpoint (d = 0.94, p = 0.001). Meanwhile, there was a trend toward
significance for superior effect of risperidone plus PEA over risperidone plus
placebo on inappropriate speech at trial endpoint (d = 0.51, p = 0.051). No
significant differences existed between the two treatment groups for the other
two ABC-C subscales (lethargy/social withdrawal and stereotypic behavior). The
findings suggest that PEA may augment therapeutic effects of risperidone on
autism-related irritability and hyperactivity. Future studies are warranted to
investigate whether PEA can serve as a stand-alone treatment for autism.
PMID- 29807318
TI - The physical and mental health burden of obesity in U.S. veterans: Results from
the National Health and Resilience in Veterans Study.
AB - In this study, we provide an updated estimate of the prevalence of obesity in
U.S. military veterans, and evaluate a broad range of sociodemographic, military,
physical and mental health, and lifestyle characteristics associated with obesity
in this population. Data were analyzed from a nationally representative sample of
3122 U.S. veterans who participated in the National Health and Resilience in
Veterans Study (NHRVS). Associations between obesity status, and physical and
mental health, and lifestyle variables were evaluated using multivariate logistic
regression and linear regression analyses. Results revealed that 32.7% of U.S.
veterans are obese, which is higher than the previously reported estimates for
U.S. military veterans nationally, and was particularly high among younger and
non-white veterans and those using the Veterans Heath Administration (VHA)
healthcare system. Obesity was associated with greater trauma burden; elevated
rates of a broad range of health conditions such as diabetes, arthritis, and
heart disease, PTSD, nicotine dependence; poor physical and mental functioning
and quality of life, and decreased engagement in an active lifestyle. Taken
together, these results suggest that the prevalence of obesity is high in U.S.
veterans and associated with substantial health burden. Results have implications
for informing obesity prevention and treatment programs in veterans, and
underscore the importance of assessing, monitoring, and treating obesity in this
population.
PMID- 29807319
TI - Deterministic mechanisms define the long-term anaerobic digestion microbiome and
its functionality regardless of the initial microbial community.
AB - The impact of the starting inoculum on long-term anaerobic digestion performance,
process functionality and microbial community composition remains unclear. To
understand the impact of starting inoculum, active microbial communities from
four different full-scale anaerobic digesters were each used to inoculate four
continuous lab-scale anaerobic digesters, which were operated identically for 295
days. Digesters were operated at 15 days solid retention time, an organic loading
rate of 1 g COD Lr-1 d-1 (75:25 - cellulose:casein) and 37 degrees C. Results
showed that long-term process performance, metabolic rates (hydrolytic,
acetogenic, and methanogenic) and microbial community are independent of the
inoculum source. Digesters process performance converged after 80 days, while
metabolic rates and microbial communities converged after 120-145 days. The
convergence of the different microbial communities towards a core-community
proves that the deterministic factors (process operational conditions) were a
stronger driver than the initial microbial community composition. Indeed, the
core-community represented 72% of the relative abundance among the four
digesters. Moreover, a number of positive correlations were observed between
higher metabolic rates and the relative abundance of specific microbial groups.
These correlations showed that both substrate consumers and suppliers trigger
higher metabolic rates, expanding the knowledge of the nexus between
microorganisms and functionality. Overall, these results support that
deterministic factors control microbial communities in bioreactors independently
of the inoculum source. Hence, it seems plausible that a desired microbial
composition and functionality can be achieved by tuning process operational
conditions.
PMID- 29807320
TI - Determining major factors controlling phosphorus removal by promising adsorbents
used for lake restoration: A linear mixed model approach.
AB - Phosphorus (P) removal from lake/drainage waters by novel adsorbents may be
affected by competitive substances naturally present in the aqueous media. Up to
date, the effect of interfering substances has been studied basically on simple
matrices (single-factor effects) or by applying basic statistical approaches when
using natural lake water. In this study, we determined major factors controlling
P removal efficiency in 20 aquatic ecosystems in the southeast Spain by using
linear mixed models (LMMs). Two non-magnetic -CFH-12(r) and Phoslock(r)- and two
magnetic materials -hydrous lanthanum oxide loaded silica-coated magnetite (Fe-Si
La) and commercial zero-valent iron particles (FeHQ)- were tested to remove P at
two adsorbent dosages. Results showed that the type of adsorbent, the adsorbent
dosage and color of water (indicative of humic substances) are major factors
controlling P removal efficiency. Differences in physico-chemical properties
(i.e. surface charge or specific surface), composition and structure explain
differences in maximum P adsorption capacity and performance of the adsorbents
when competitive ions are present. The highest P removal efficiency,
independently on whether the adsorbent dosage was low or high, were 85-100% for
Phoslock and CFH-12(r), 70-100% for Fe-Si-La and 0-15% for FeHQ. The low dosage
of FeHQ, compared to previous studies, explained its low P removal efficiency.
Although non-magnetic materials were the most efficient, magnetic adsorbents
(especially Fe-Si-La) could be proposed for P removal as they can be recovered
along with P and be reused, potentially making them more profitable in a long
term period.
PMID- 29807321
TI - Persistent 3'-phosphate termini and increased cytotoxicity of radiomimetic DNA
double-strand breaks in cells lacking polynucleotide kinase/phosphatase despite
presence of an alternative 3'-phosphatase.
AB - Polynucleotide kinase/phosphatase (PNKP) has been implicated in non-homologous
end joining (NHEJ) of DNA double-strand breaks (DSBs). To assess the consequences
of PNKP deficiency for NHEJ of 3'-phosphate-ended DSBs, PNKP-deficient
derivatives of HCT116 and of HeLa cells were generated using CRISPR/CAS9. For
both cell lines, PNKP deficiency conferred sensitivity to ionizing radiation as
well as to neocarzinostatin (NCS), which specifically induces DSBs bearing
protruding 3'-phosphate termini. Moreover, NCS-induced DSBs, detected as 53BP1
foci, were more persistent in PNKP -/- HCT116 cells compared to their wild-type
(WT) counterparts. Surprisingly, PNKP-deficient whole-cell and nuclear extracts
were biochemically competent in removing both protruding and recessed 3'
phosphates from synthetic DSB substrates, albeit much less efficiently than WT
extracts, suggesting an alternative 3'-phosphatase. Measurements by ligation
mediated PCR showed that PNKP-deficient HeLa cells contained significantly more
3'-phosphate-terminated and fewer 3'-hydroxyl-terminated DSBs than parental cells
5-15 min after NCS treatment, but this difference disappeared by 1 h. These
results suggest that, despite presence of an alternative 3'-phosphatase, loss of
PNKP significantly sensitizes cells to 3'-phosphate-terminated DSBs, due to a 3'
dephosphorylation defect.
PMID- 29807322
TI - Mapping depression rating scale phenotypes onto research domain criteria (RDoC)
to inform biological research in mood disorders.
AB - BACKGROUND: Substantial research progress can be achieved if available clinical
datasets can be mapped to the National Institute of Mental Health Research-Domain
Criteria (RDoC) constructs. This mapping would allow investigators to both
explore more narrowly defined clinical phenotypes and the relationship of these
phenotypes to biological markers and clinical outcomes approximating RDoC
criteria. METHODS: Using expert review and consensus, we defined four major
depression phenotypes based on specific RDoC constructs. Having matched these
constructs to individual items from the Hamilton Depression Rating Scale and
Quick Inventory of Depressive Symptomatology, we identified subjects meeting
criteria for each of these phenotypes from two large clinical trials of patients
treated for major depression. In a post hoc analysis, we evaluated the overall
treatment response based on the phenotypes: Core Depression (CD), Anxiety (ANX),
and Neurovegetative Symptoms of Melancholia (NVSM) and Atypical Depression
(NVSAD). RESULTS: The phenotypes were prevalent (range 10.5-52.4%, 50% reduction
range 51.9-82.9%) and tracked with overall treatment response. Although the CD
phenotype was associated with lower rates of remission in both cohorts, this was
mainly driven by baseline symptom severity. However, when controlling for
baseline severity, patients with the ANX phenotype had a significantly lower rate
of remission. LIMITATIONS: The lack of replication between the studies of the
phenotypes' treatment prediction value reflects important variability across
studies that may limit generalizability. CONCLUSION: Further work evaluating
biological markers associated with these phenotypes is needed for further RDoC
concept development.
PMID- 29807323
TI - Optimizing primary care management of atrial fibrillation: The rationale and
methods of the Integrated Management Program Advancing Community Treatment of
Atrial Fibrillation (IMPACT-AF) study.
AB - The Integrated Management Program Advancing Community Treatment of Atrial
Fibrillation (IMPACT-AF) is an investigator designed, prospective, randomized, un
blinded, cluster design clinical trial, conducted in the primary care setting of
Nova Scotia, Canada. Its aim is to evaluate whether an electronic Clinical
Decision Support System (CDSS) designed to assist both practitioners and patients
with evidence-based management strategies for Atrial Fibrillation (AF) can
improve process of care and outcomes in a cost-efficient manner as compared to
usual AF care. At least 200 primary care providers are being recruited and
randomized at the level of the practice to control (usual care) or intervention
(eligible to access to CDSS) cohorts. Over 1,000 patients of participating
providers with confirmed AF will be managed per their provider's respective
assignment. The targeted primary clinical outcome is a reduction in the composite
of unplanned cardiovascular (CV) or major bleeding hospitalizations and AF
related emergency department visits. Secondary clinical outcomes, process of
care, patient and provider satisfaction as well as economic costs at the system
and patient levels are being examined. The trial is anticipated to report in
2018.
PMID- 29807324
TI - A comparison of fine wire insertion techniques for deep finger flexor muscle
electromyography.
AB - INTRODUCTION: Intramuscular electromyography electrodes targeting flexor
digitorum profundus (FDP) are inserted via the anterior or medial aspect of the
forearm. These two methods pose different risks to neurovascular structures which
overly FDP. This study aimed to compare the insertion depth and consider
advantages and limitations of two different techniques to insert intramuscular
electrodes into FDP. METHODS: Using ultrasound imaging, neurovascular structures
were identified along the path of FDP electrode insertion at the junction of the
proximal and middle third of the ulna, bilaterally, in ten healthy individuals.
Insertion depth was compared between the anterior and medial approaches for the
mid muscle belly and targeted insertion to the index finger fascicle of FDP.
RESULTS: In our sample the ulnar artery was superficial to the FDP muscle when
viewed anteriorly and was beyond the furthest border of FDP when viewed medially.
Compared to the anterior approach, the medial insertion depth was 1.5 cm (95%CI
1.4-1.7, p < 0.001) less to the mid-belly of FDP and 0.6 cm (95%CI 0.4-0.7, p <
0.001) less to the index finger fascicle of FDP. DISCUSSION: The medial approach
involves less depth and lower risk for perforation of neurovascular structures
when inserting intramuscular electrodes into the FDP muscle.
PMID- 29807325
TI - Emerging literature in the Microbiota-Brain Axis and Perinatal Mood and Anxiety
Disorders.
AB - Perinatal Mood and Anxiety Disorders (PMAD) are common and can cause significant
morbidity and mortality for mother and child. A healthy perinatal period requires
significant adaptations; however, systems can become imbalanced resulting in
depressive and anxiety symptoms. The interface between the microbiome, the immune
system, and the stress system may be a model for understanding mechanisms
underlying PMAD. Emerging literature from general populations regarding immune,
hormone, and HPA axis changes in relation to the microbiome combined with
literature on immune, gonadotropin, and stress systems in the perinatal period
provides a background. We systematically investigated literature in the
developing field of the microbiome in relation to PMAD. Our inclusion criteria
were 1) reporting measure of maternal mood, stress, or anxious or depressed
behavior; 2) in the perinatal period, defined as pregnancy through one year
postpartum; and 3) reporting measure of maternal microbiome including
manipulations of the microbiome through prebiotics, probiotics, or interventions
with microbial byproducts. The review identified research studying associations
between stress and maternal microbiome; dietary impacts on microbial composition,
mood, and stress; and the relationship between the microbiome and the immune
system through immunoregulatory mechanisms. Important themes identified include:
the importance of studying the maternal microbiome and measures of stress,
anxiety, and depression and that multi-hit models will be needed as research
strives to determine the effects of multiple mechanisms working in concert.
PMID- 29807326
TI - Polyglutamine binding protein 1 (PQBP1) inhibits innate immune responses to
cytosolic DNA.
AB - Recent studies have highlighted the importance of immune sensing of cytosolic DNA
of both pathogen and host origin. We aimed to examine the role of DNA sensors
interferon-gamma-inducible protein 16 (IFI16) and cyclic GMP-AMP synthase (cGAS)
in responding to cytosolic DNA. We show IFI16 and cGAS can synergistically induce
IFNb transcriptional activity in response to cytoplasmic DNA. We also examined
the role of polyglutamine binding protein 1 (PQBP1), a protein predominantly
expressed in lymphoid and myeloid cells that has been shown to lead to type I
interferon production in response to retroviral infection. We show PQBP1
associates with cGAS and IFI16 in THP-1 cells. Unexpectedly, knockout of PQBP1 in
THP-1 cells causes significantly increased type I IFN production in response to
transfected cytosolic nucleic acids or DNA damage, unlike what is seen in
response to retroviral infection. Overexpression of PQBP1 in HEK293 T cells
impairs IFI16/cGAS-induced IFNb transcriptional activity. In human cancer
patients, low expression of PQBP1 is correlated with improved survival, the
opposite correlation of that seen with cGAS or IFI16 expression. Our findings
suggest that PQBP1 inhibits IFI16/cGAS-induced signaling in response to cytosolic
DNA, in contrast to the role of this protein in response to retroviral infection.
PMID- 29807327
TI - Notch1 primes CD4 T cells for T helper type I differentiation through its early
effects on miR-29.
AB - The transmembrane receptor, Notch1 plays an important role during the
differentiation of CD4 T cells into T helper (Th) subsets in the presence of
appropriate cytokines, including differentiation into Th1 cells. MicroRNAs have
also been shown to be important regulators of immune responses, including
negatively regulating cytokine production by Th1 cells. The miR-29 family of
microRNAs can act to inhibit tbx21 and ifng transcription, two important pro
inflammatory genes that are abundantly expressed in Th1 cells. Here we show that
Notch1 may prime CD4 T cells to be responsive to Th1-polarizing cues through its
early repressive effects on the miR-29 family of microRNAs. Using a combination
of cell lines and primary cells, we demonstrate that Notch1 can repress miR-29a,
miR-29b, and miR-29c transcription through a mechanism that is independent of NF
kappaB. We further show that this repression is mediated by canonical Notch
signaling and requires active Mastermind like (MAML) 1, but this process is
superseded by positive regulation of miR-29 in response to IFNgamma at later
stages of CD4 T cell activation and differentiation. Collectively, our data
suggest an additional mechanism by which Notch1 signaling may fine-tune Th1 cell
differentiation.
PMID- 29807328
TI - Modeling methane production in anaerobic forward osmosis bioreactor using a
modified anaerobic digestion model No. 1.
AB - Anaerobic membrane bioreactor (AnMBR) using microfiltration (MF) or
ultrafiltration (UF) membranes was introduced to enhance poor biomass retention
of conventional anaerobic digestion (CAD). Recently, forward osmosis (FO)
membrane have been applied to AnMBR, which is called AnFOMBR. FO membrane assures
not only high biomass retention but also high removal efficiency for low
molecular weight (LMW) matters. Methane production rates in CAD, AnMBR, and
AnFOMBR were compared using a modified IWA anaerobic digestion model No. 1 (ADM1)
in this work. Accumulation of biomass in AnMBR/AnFOMBR results in enhanced
biochemical reaction and gains more methane production. AnFOMBR may experience a
significant inhibition by accumulated free ammonia and cations, although
concentrated soluble substrates rejected by FO membrane are favorable for more
methane production. Rejection rate of inorganic nitrogen is a key parameter to
determine the inhibition in methane production of AnFOMBR.
PMID- 29807329
TI - Accelerated biogas production from lignocellulosic biomass after pre-treatment
with Neocallimastix frontalis.
AB - Two Neocallimastix frontalis strains, isolated from rumen fluid of a cow and of a
chamois, were assessed for their ability to degrade lignocellulosic biomass. Two
independent batch experiments were performed. Each experiment was split into two
phases: hydrolysis phase and batch fermentation phase. The hydrolysis process
during the N. frontalis incubation led to an initial increase of biogas
production, an accelerated degradation of dry matter and an increased
concentration of volatile fatty acids. As monitored by quantitative PCR, the
applied N. frontalis strains were present and transcriptionally active during the
hydrolysis phase but were fading during the batch fermentation phase. Thus, a
separate hydrolytic pretreatment phase with anaerobic fungi, such as N.
frontalis, represents a feasible strategy to improve biogas production from
lignocellulosic substrates.
PMID- 29807330
TI - Fast microwave-assisted sorption of heavy metals on the surface of nanosilica
functionalized-glycine and reduced glutathione.
AB - Two eco-friendly nanosorbents have been designed and synthesized via surface
crosslinking of nanosilica (N-Si) with glycine (Gly) and reduced glutathione
(GSH) to produce (N-Si-Gly) and (N-Si-Glu) using crosslinking reagent and
sonochemical reactions, respectively. An investigation was performed to search
selectivity of nanosorbents via microwave-assisted removal of
Ni(II)/Cu(II)/Cd(II)/Pb(II) to affirm green and fast technique. The microwave
assisted removal values of Ni(II), Cu(II), Cd(II) and Pb(II) were observed at
850, 2100, 3500 and 2150 MUmol g-1, respectively utilizing 10 mg of (N-Si-Glu)
and 25.0 s heating, while those corresponded to 750, 1800, 2500 and 1850 MUmol g
1, respectively by using (N-Si-Gly). The microwave-assisted removal processes
were more fitted to Freundlich compared to Langmuir isotherm except in case of
Pb(II). The high percent removal of Cd(II) and Pb(II) ions exceed 95% from the
second run in real wastewater samples indicating the efficiency of N-Si-Glu in
the uptake of these metals utilizing microwave-assisted sorption technique.
PMID- 29807331
TI - Discovery of novel small molecule TLR4 inhibitors as potent anti-inflammatory
agents.
AB - Toll-like receptor 4 (TLR4) initiates innate immune response to release
inflammatory cytokines and has been pathologically linked to variety of
inflammatory diseases. Recently, we found that Carvedilol, as the classic anti
heart failure and anti-inflammatory clinic drug, could inhibit the TLR4 signaling
in the TLR4 overexpressed cells. Herein, we have designed and synthesized a small
library of novel Carvedilol derivatives and investigated their potential
inhibitory activity. The results indicate that the most potent compound 8a (SMU
XY3) could effectively inhibited TLR4 protein and the LPS triggered alkaline
phosphatase signaling in HEK-Blue hTLR4 cells. It down regulated the nitric oxide
(NO) in both RAW264.7 cells and BV-2 microglial cells, in addition to blocking
the TNF-alpha signaling in ex-vivo human peripheral blood mononuclear cells
(PBMC). More interestingly, 8a shows higher affinity to hyperpolarization
activated cyclic nucleotide-gated 4 (HCN4) over HCN2, which probably indicates
the new application of TLR4 inhibitor 8a in heart failure, coronary heart
disease, and other inflammatory diseases.
PMID- 29807332
TI - Design, synthesis and biological evaluation of N-phenyl-(2,4-dihydroxypyrimidine
5-sulfonamido)benzoyl hydrazide derivatives as thymidylate synthase (TS)
inhibitors and as potential antitumor drugs.
AB - The Inhibition of cellular nucleotide metabolism to promote apoptosis is a key
principle of cancer therapy. Thymidylate synthase (TS) is a key rate-limiting
enzyme in the initiation of DNA synthesis in cell. Here, we presented two types
of thymidylate synthase inhibitors, and, the key pharmacological properties of
these two types of thymidylate synthase inhibitor were extracted and combined to
design new compounds with inhibitory activity. Therefore, two series of 42 new
compounds with the common biological effect of promoting apoptosis are designed
and synthesized by combination principle. Most of the compounds had good anti
proliferative activity on A549, OVCAR-3, SGC7901 and MDA-MB-231 cells. The IC50
of compound 10l on A549 cells was 1.26 MUM, which was better than that of
pemetrexed (PTX, IC50 = 3.31 MUM), furthermore, the selection index of compound
10l was higher than PTX. Flow cytometry analysis showed that compound 10l (the
apoptosis rate is 39.4%) could induce A549 cell apoptosis and effectively inhibit
tumor cell proliferation. Further western blot analysis showed that compound 10l
could induce intrinsic apoptosis by activating caspase-3, increasing expression
of cleaved caspase-3 and reducing the ratio of bcl-2/bax. All of this makes
compound 10l to be a promising compound in future animal tumor models.
PMID- 29807333
TI - Structure-activity relationships of beta-hairpin mimics as modulators of amyloid
beta-peptide aggregation.
AB - Aggregation of amyloid proteins is currently involved in more than 20 serious
human diseases that are actually untreated, such as Alzheimer's disease (AD).
Despite many efforts made to target the amyloid cascade in AD, finding an
aggregation inhibiting compound and especially modulating early oligomerization
remains a relevant and challenging strategy. We report herein the first examples
of small and non-peptide mimics of acyclic beta-hairpins, showing an ability to
delay the fibrillization of amyloid-beta (Abeta1-42) peptide and deeply modify
its early oligomerization process. Modifications providing better druggability
properties such as increased hydrophilicity and reduced peptidic character were
performed. We also demonstrate that an appropriate balance between flexibility
and stability of the beta-hairpin must be reached to adapt to the different shape
of the various aggregated forms of the amyloid peptide. This strategy can be
investigated to target other challenging amyloid proteins.
PMID- 29807334
TI - ESMAC BEST PAPER 2017: Using machine learning to overcome challenges in GMFCS
level assignment.
AB - We used the random forest classifier to predict Gross Motor Function
Classification System (GMFCS) levels I-IV from patient reported abilities
recorded on the Gillette Functional Assessment Questionnaire (FAQ). The
classifier exhibited outstanding accuracy across GMFCS levels I-IV, with 83%-91%
true positive rate (TPR), area under the receiver operation characteristic (ROC)
curve greater than 0.96 for all levels, and misclassification by more than one
level only occurring 1.2% of the time. This new approach to GMFCS level
assignment overcomes several difficulties with the current method: (i) it is
based on a broad spectrum of functional abilities, (ii) it resolves functional
ability profiles that conflict with existing GMFCS level definitions, (iii) it is
based entirely on self-reported abilities, and (iv) it removes complex age
dependence. Further work is needed to examine inter-center differences in
classifier performance-which would most likely reflect interpretive differences
in GMFCS level definitions between centers.
PMID- 29807335
TI - Continuous lumbar spine rhythms during level walking, stair climbing and trunk
flexion in people with and without lumbar disc herniation.
AB - Low back pain(LBP) is one of the most prevalent diseases afflicting people today.
Abnormal musculoskeletal loadings during activities of daily living (ADLs) have
been deemed to be associated with spine rhythm. But no studies have reported
abnormal continuous spine rhythms during ADLs in LBP patients. Therefore, the
objective of this study was to investigate the continuous lumbar spine rhythms
and their difference between people with and without lumbar disc herniation
(LDH). Twenty-six healthy people and seven patients with LDH were recruited in
this study. They performed level walking, stair climbing, and trunk flexion.
Active optical markers placed on the landmark of the spinous process and pelvis
were captured using motion analysis system to drive a musculoskeletal model to
calculate the continuous lumbar spine rhythms. It was found that the lumbar spine
rhythm was roughly constant throughout the analyzed cycle in both healthy people
and LDH patients during trunk flexion. LDH patients displayed fluctuant lumbar
spine rhythms during level walking and stair climbing and significantly higher
segmental contributions of the lumbar segments in the lower lumbar region during
stair climbing and trunk flexion. In conclusion, there were different
compensatory responses to LDH in the continuous lumbar spine rhythms during
different ADLs. This study provides a new insight into the abnormal spinal motion
in LDH patients.
PMID- 29807336
TI - A label-free aptasensor for the detection of tetracycline based on the
luminescence of SYBR Green I.
AB - A novel fluorescent method based on tetracycline-binding aptamers and the
luminescence of SYBR Green I (SGI) was established for the sensitive and
selective detection of tetracycline. Under natural conditions, the aptamers of
tetracycline show the G-quadruplex spatial structures while SGI is nearly
nonfluorescent in aqueous solution. After mixture with the G-quadruplex
structured aptamers, SGI can recognize and intercalate into the aptamers,
resulting in a strong fluorescence emission. When the target tetracycline was
added into the solution, the specific recognition and high-affinity binding of
aptamers with tetracycline will induce the conformational changes of aptamers
from G-quadruplex structures to hairpin structures. Thereafter, SGI will be
released from the aptamer molecules, leading to the fluorescence decline. The
quantitative detection of tetracycline can be achieved by measuring the
fluorescence change of the system. Under the optimum conditions, the linear range
of tetracycline in the milk was from 5 to 25 MUg/mL, and the detection limit was
as low as 0.10 MUg/mL. The recoveries of the spiked milk samples were in the
range of 98.98%-104.67% with the relative standard deviations (RSDs) of 0.16%
0.67%, and the results were in agreement with those from HPLC. Therefore, the
biosensor based on the specific recognition of aptamers and the fluorescence
properties of SGI can detect the tetracycline in milk accurately, rapidly and
specifically.
PMID- 29807337
TI - Influence of post-deposition annealing on structural, morphological and optical
properties of copper (II) acetylacetonate thin films.
AB - In this study, the effect of thermal annealing under vacuum conditions on
structural, morphological and optical properties of thermally evaporated copper
(II) acetylacetonate, cu(acac)2, thin films were investigated. The copper (II)
acetylacetonate thin films were deposited using thermal evaporation technique at
vacuum pressure ~1 * 10-5 mbar. The deposited films were thermally annealed at
323, 373, 423, and 473 K for 2 h in vacuum. The thermogravimetric analysis of
cu(acac)2 powder indicated a thermal stability of cu(acac)2 up to 423 K. The
effects of thermal annealing on the structural properties of cu(acac)2 were
evaluated employing X-ray diffraction method and the analysis showed a
polycrystalline nature of the as-deposited and annealed films with a preferred
orientation in [1-01] direction. Fourier transformation infrared (FTIR) technique
was used to negate the decomposition of copper (II) acetylacetonate during
preparation or/and annealing up to 423 K. The surface morphology of the prepared
films was characterized by means of field emission scanning electron microscopy
(FESEM). A significant enhancement of the morphological properties of cu(acac)2
thin films was obtained till the annealing temperature reaches 423 K. The
variation of optical constants that estimated from spectrophotometric
measurements of the prepared thin films was investigated as a function of
annealing temperature. The annealing process presented significantly impacted the
nonlinear optical properties such as third-order optical susceptibility chi(3)
and nonlinear refractive index n2 of cu(acac)2 thin films.
PMID- 29807338
TI - Multivariate analysis of tioconazole - TCNQ charge transfer interaction:
Kinetics, thermodynamics and twofold response optimization.
AB - Charge-transfer complex (CTC) formation between tioconazole (TCZ) as an n
electron donor and 7, 7, 8, 8-tetracyanoquinodimethane (TCNQ) as a pi-acceptor
was studied spectrophotometrically with an accompanying kinetic and thermodynamic
investigation. Multivariate data analysis via a set of experimental designs was
executed for this purpose. A 23 - two-level full factorial design (FFD) was used
for inspecting the proposed variables while a face-centered central composite
design (FCCCD) was used to adjust the levels of variables proved to be
significant. Two responses were quantified as a result of this interaction;
complex I (Y1, measured at 743 nm) and complex II (Y2, measured at 842 nm).
Derringer's function and overlaid contour plots were used to concurrently
optimize both responses. Benesi-Hildebrand equation was applied to determine of
formation constant (K), and the molar absorptivity (E) of the formed complex.
Different thermodynamic parameters; the standard Gibbs free energy change (?G
degrees ), the standard enthalpy of formation (?H degrees ) and the standard
entropy change (?S degrees ) were determined for the reaction product. The
proposed method was validated regarding the linearity, intra-, and inter-day
precision and accuracy, limit of detection, limit of quantification and following
the ICH standards. The proposed method was also applied for the determination of
TCZ in its pharmaceutical preparations. Having a higher molar absorptivity and
higher formation constant, complex II was of choice for all subsequent
measurements. Application of Benesi-Hildebrand equation supported the formation
of 1: 1 CTC. Thermodynamic study revealed the endothermic characters and the
spontaneity of formation of the CTC at high temperature.
PMID- 29807339
TI - In vitro evaluation of lysophosphatidic acid delivery via reverse perfluorocarbon
emulsions to enhance alveolar epithelial repair.
AB - BACKGROUND: Alveolar drug delivery is needed to enhance alveolar repair during
acute respiratory distress syndrome. However, delivery of inhaled drugs is poor
in this setting. Drug delivery via liquid perfluorocarbon emulsions could address
this problem through better alveolar penetration and improved spatial
distribution. Therefore, this study investigated the efficacy of the delivery of
lysophosphatidic acid (LPA) growth factor to cultured alveolar epithelial cells
via a perfluorocarbon emulsion. METHODS: Murine alveolar epithelial cells were
treated for 2 h with varying concentrations (0-10 MUM) of LPA delivered via
aqueous solution or PFC emulsion. Cell migration was evaluated 18 h post
treatment using a scratch assay. Barrier function was evaluated 1 h post
treatment using a permeability assay. Proliferation was evaluated 72 h post
treatment using a viability assay. RESULTS: Partially due to emulsion creaming
and stability, the effects of LPA were either diminished or completely hindered
when delivered via emulsion versus aqueous. Migration increased significantly
following treatment with the 10 MUM emulsion (p < 10-3), but required twice the
concentration to achieve an increase similar to aqueous LPA. Both barrier
function and proliferation increased following aqueous treatment, but neither
were significantly affected by the emulsion. CONCLUSIONS: The availability and
thus the biological effect of LPA is significantly blunted during emulsified
delivery in vitro, and this attenuation depends on the specific cellular function
examined. Thus, the cellular level effects of drug delivery to the lungs via PFC
emulsion are likely to vary based on the drug and the effect it is intended to
create.
PMID- 29807340
TI - A widened emission window of the peroxydisulfate-oxygen system for the detection
of L-alanine.
AB - Peroxydisulfate-oxygen (S2O82--O2) system has become one of the most used systems
in electrogenerated chemiluminscence (ECL) field. Due to S2O82- can be used as
Fenton Reagent, this work designed an ECL biosensor based on the S2O82--O2 system
for the detection of L-alanine in a widened emission window and using hemin/G
quadruplex and platinum and palladium nanowires (Pt-Pd NWs) to in situ generate
O2 to amplify the ECL intensity. The proposed ECL sensor showed an excellent
analytical property for the detection of L-alanine in a linear range of 5.0 * 10
3 M to 1.0 * 10-8 M with the detection limit of 3.3 * 10-9 M (S/N = 3). This work
with high selectivity, stability and reproducibility may open a new door to apply
S2O82- in ECL field.
PMID- 29807341
TI - Equilibrium sampling reveals increasing thermodynamic potential of polycyclic
aromatic hydrocarbons during sewage sludge digestion.
AB - The reuse of digested sludge from wastewater treatment plants (WWTPs) as soil
fertilizer poses a risk for contamination of soil and water environments. The
present study provides a new approach for investigating the exposure of
hydrophobic organic chemicals in sewage sludge. The methodology of equilibrium
sampling with multiple thicknesses of silicone was successfully validated and
applied to complex sludge matrices. Polycyclic aromatic hydrocarbon (PAH)
concentrations in silicone (Csilicone) were determined and compared across four
WWTPs. Activity ratios (ARs), defined as Csilicone at equilibrium with digested
sludge (final product) over Csilicone at equilibrium with secondary sludge
(intermediate product), were in the range 0.85-20 with all except one AR>1. These
ARs thus revealed increased thermodynamic potential of both parent and alkylated
PAHs in digested sludge compared with secondary sludge, and thereby higher
exposure of PAHs in sludge after digestion than before digestion. This
observation can be explained by the concept of "solvent depletion" as organic
matter decreased by a factor of 1.3 during digestion, resulting in reduced
sorptive capacity and increased freely dissolved concentrations (Cfree). The PAHs
with logKow > 6 had ARs close to 1.3, whereas PAHs with logKow < 6 showed higher
ARs than the organic matter decrease factor of 1.3. Cfree in digested sludge were
higher than reported in rural soil and generally consistent with levels reported
for Baltic Sea sediment.
PMID- 29807342
TI - Investigation of lab-scale horizontal subsurface flow constructed wetlands
treating industrial cork boiling wastewater.
AB - The feasibility and treatment efficiency of horizontal subsurface flow
constructed wetlands (HSFCW) was assessed for the first time for cork boiling
wastewater (CBW) through laboratory experiments. CBW is known for its high
content of phenolic compounds, complex composition of biorecalcitrant and toxic
nature. Two lab-scale units, one planted with Phragmites australis (CWP) and one
unplanted (CWC), were used to evaluate the removals of COD, BOD, total phenolic
compounds (TPh) and decolourization over a 2.5-years monitoring period under
Mediterranean climatic conditions. Seven organic and hydraulic loading rates
ranging from 2.6 to 11.5 g COD/m2/d and 5.7-9.1 L/m2/d were tested under average
hydraulic retention time (HRT) of 5 +/- 1 days required due to the CWB limited
biodegradability (i.e., BOD5/COD of 0.19). Average removals of the CWP exceeded
those of the CWC and reached 74.6%, 91.7% and 69.1% for COD, BOD5 and TPh,
respectively, with respective mass removals rates up to 7.0, 1.7 and 0.5 (in
g/m2/d). Decolourization was limited to 35%, since it mainly depends on physical
processes rather than biodegradation. CBW concentration of nine phenolic
compounds ranged from 1.2 to 38.4 mg/L (for the syringic and ellagic acids,
respectively) in the raw CBW, with respective removals in the CWP unit ranging
from 41.8 to 76.3%, higher than those in the control unit. Despite CBW high
concentration of TPhs (average of 116.3 mg/L), the HSFCW reached organic load
removals higher than those of conventional biological treatment methods.
PMID- 29807343
TI - Speciation analysis and leaching behaviors of selected trace elements in spent
SCR catalyst.
AB - This study investigated heavy metal chemical speciation and leaching behavior
from a board-type spent selective catalytic reduction (SCR) catalyst containing
high concentrations of vanadium, chromium, nickel, copper, zinc, and lead. A
three-step sequential extraction method, standard toxicity characteristic
leaching procedure (TCLP), and leaching characteristic tests have been performed.
It was found that the mobility of six heavy metals in the spent SCR catalyst was
significantly different. The mobility of the six heavy metals exhibited the
following order: Ni > Zn > V > Cr > As > Cu. Meanwhile, TCLP test results
revealed relatively high Zn and Cr leaching rate of 83.20% and 10.35%,
respectively. It was found that leaching rate was positively correlated with
available contents (sum of acid soluble, reducible and oxidizable fractions).
Leaching characteristics tests indicated that pH substantially affected the
leaching of these heavy metals. In particular, the leaching of Cr, Ni, Cu, and Zn
was positively influenced by strong acid, while V and As were easily released in
the presence of strong acid and strong alkali (pH < 3 or pH > 11). In terms of
kinetics, the leaching of Cr, Ni, Cu, Zn, and As within the spent catalyst was
dominated by erosion and dissolution processes, which were rapid reaction
processes. V was released in large amounts within 1 h, but its leaching amount
sharply decreased with time due to readsorption.
PMID- 29807344
TI - Degradation of triclosan by chlorine dioxide: Reaction mechanism,2,4
dichlorophenol accumulation and toxicity evaluation.
AB - The mechanism and toxicity of TCS degradation by ClO2 was investigated.
Intermediate products during the oxidation process were identified by GC/MS and
LC/MS. A microtox bioassay and a SOS/umu assay were employed to evaluate the
acute toxicity and genotoxicity of the resulting solutions during the
chlorination process. The results showed that the reaction between TCS and ClO2
was of second-order overall. The pseudo first-order rate constants (kobs)
exhibited significant dependence on solution pH and chlorine dioxide
concentration, with the apparent second-order rate constant, kapp, being 7.07 *
104 M-1s-1 in the pH range of 6.80-7.02. TCS decomposition was accompanied by the
accumulation of 2,4-dichlorophenol (2,4-DCP), and the maximum molar yield ratios
of 2,4-DCP/TCS were in the range of 31.71%-35.43%. The major intermediates
identified were 2,7/2,8-dichlorodibenzop-dioxin (2,7/2.8-Cl2DD), 2,4-DCP, 2,4,6
trichlorophenol (2,4,6-TCP), tetraclosan and pentaclosan. The proposed mechanism
for TCS oxidation involved the cleavage of the ether link in TCS, chlorination of
the phenolic ring and ring closure of a single TCS molecule. The transformation
and degradation of TCS led to reduction of the acute toxicity and genotoxicity.
However, irregular fluctuations in the toxicity changes indicated that the
oxidation of TCS was not a simultaneous detoxification process.
PMID- 29807346
TI - Occurrence, endocrine-related bioeffects and fate of bisphenol A chemical
degradation intermediates and impurities: A review.
AB - In recent decades, increasing attention has been directed toward the effects of
bisphenol A (BPA) as an environmental pollutant, primarily due to its
demonstrated endocrine-disruptive effects. A growing body of evidence indicates
that many BPA derivatives also exhibit endocrine activity and other adverse
biological properties. A review of the published literature was performed to
identify BPA degradation intermediates resulting from chemical degradation
processes of BPA, as well as BPA's associated co-pollutants. Products of
biological metabolism were not included in this study. Seventy-nine chemicals
were identified. Of these chemicals, a subset - those containing two 6-membered
aromatic rings connected by a central ring-linking carbon - was identified, and a
further literature review was conducted to identify demonstrated biological
effects associated with the chemicals in this subset. The objectives of this
review were to assess the potential risks to human and environmental health
associated with BPA derivatives, characterize our current understanding of BPA's
degradation intermediates and co-pollutants, and aid in the identification of
compounds of interest that have received insufficient scrutiny.
PMID- 29807345
TI - Optimization of nimesulide oxidation via a UV-ABC/H2O2 treatment process:
Degradation products, ecotoxicological effects, and their dependence on the water
matrix.
AB - Nimesulide (Nim) degradation in ultrapure water (UW) and municipal sewage (MS)
via UV-ABC/H2O2 was investigated. The variables included in the experimental
design were time, initial Nim, and initial H2O2 concentrations. Resulting
decreases in Nim concentration (monitored by high performance liquid
chromatography (HPLC) using a photodiode array detector operating at a maximum UV
absorbance of 300 nm), mineralization (from total organic carbon (TOC)
measurements), and ecotoxicity (assays employing the bioindicators Daphnia
similis, Artemia salina, and Allium cepa) were also studied. Degradation rates of
90% or higher were found for 15-20 min reaction times, employing combinations of
[H2O2] = 50-150 mg L-1 and [Nim] = 8.5-15 mg L-1 prepared with MS. Mineralization
rates of 70% and higher were attained within 60 min of reaction for [Nim] = 15 mg
L-1 prepared in MS with [H2O2] = 100 mg L-1. Nim by-products were detected and
possible degradation pathways proposed. Ecotoxicity evaluation using A. salina,
D. similis, and A. cepa revealed that the treated samples had significantly lower
toxicity. Exposure to treated samples resulted in survival rates of 79% for A.
salina and over 90% for D. similis. No root growth inhibition was observed in A.
cepa exposed to treated samples, whereas exposure to untreated samples inhibited
root growth by 60%. Statistical analysis revealed elimination of cytotoxicity and
reduction of genotoxicity against A. cepa. The results showed that the UV
ABC/H2O2 process can be employed as a pre- or post-treatment method to remove Nim
from contaminated wastewater.
PMID- 29807347
TI - Organic matter decomposition before arsenic speciation analysis of water sample -
"Soft decomposition" using nano-photocatalysts.
AB - The applicability of photolysis in the speciation analysis of arsenic is
investigated. The use of nano scale semiconductors (Fe2O3/WO3/Fe2O3 at pH 6) as
an active film during solar light irradiation of a water sample, containing some
surfactants (SDS), results in the simplification of the organic matter and gives
no speciation change in the arsenic. The reproducibility of active layer is shown
to be high and the surface roughness of each photoactive sample and photocurrent
do not differ by more than 6 and less than 8%, respectively. The procedure of
sample pretreatment caused a minimum (8-10%) amount of speciation change, whilst
the irradiation is no longer that 2 h. The study indicates that "soft
decomposition" can be performed for as long as 4 h, and still give photostable
arsenates (III) and methylarsenate species. However, the saturation of the water
sample with Ar is required (to reduce the oxygen content) for the longer the
decomposition time being applied.
PMID- 29807348
TI - Organo-vermiculites with biphenyl and dipyridyl gemini surfactants for adsorption
of bisphenol A: Structure, mechanism and regeneration.
AB - Two novel biphenyl and dipyridyl gemini surfactants, bis-N, N, N,
hexadecyldimethyl-p-biphenylenediammonium dichloride (BHBP) and 1,1'-dihexadecyl
4,4'-bispyridinium bromide (DHBP) were designed and used to functionalize the
high layer charged vermiculite (Vt) for the first time. Key organo-Vt
characteristics, such as the modifier loading, arrangement and the stacking unit
of platelets were specified by FT-IR, XRD, TG, SEM and Elemental Analysis. The
saturated surfactant dosage in the modification process, in reverse relationship
with the availability of the modifier, was defined as low as 0.4 CEC of Vt. The
adsorption performance of organo-Vts were tested by bisphenol A (BPA), which
showed great potential as organic adsorbents (143.5 mg g-1 and 139.7 mg g-1 for
BHBP-Vt and DHBP-Vt, respectively). Insights into the adsorption mechanism were
discussed through kinetics, isotherms and thermodynamics, as well as
characterization of the spent samples. BPA onto orgno-Vts matched well with
pseudo-second-order, Freundlich models and an exothermic process in nature.
Interestingly, pi-pi interactions between aromatic rings were stronger than that
between heteroaromatic ring and aromatic ring, and pi-pi stacking between the BPA
adsorbed and dissociated in solution turned out to promote the adsorption
process. The organo-Vts were sustainable and could reuse by ethanol at least for
three cycles. Organo-Vts could not only guide focuses on the high layer charged
precursors, but open up a new area for the fabrication of novel materials to
serve as tunable and cost-effective adsorbents.
PMID- 29807349
TI - Turning gold into lead: Dampening appraisals reduce happiness and pleasantness
and increase sadness during anticipation and recall of pleasant activities in the
laboratory.
AB - Two studies examined whether use of dampening appraisals (e.g., thinking "this is
too good to last") or amplifying appraisals (e.g., thinking "I deserve this")
modulated affective experience when remembering (Study One) and anticipating
(Study Two) positive events. Both studies used a mixed within-between
participants design, with participants completing an uninstructed positive
recall/anticipation task before being randomized to either control, dampening, or
amplifying instructions during a second positive recall/anticipation task. During
memory recall (Study One), instructed dampening increased dampening appraisals
and led to a reduction in happiness and pleasantness and an increase in sadness,
significantly differing from the control and amplifying conditions. While the
amplifying condition significantly increased amplifying appraisals, it did not
alter affective experience (relative to the control condition). During
anticipation (Study Two), identical findings emerged for the dampening
manipulation. The amplifying manipulation did not significantly increase
amplifying appraisals, precluding conclusions being drawn about the impact of
amplifying in this study. These results suggest that dampening appraisals
contribute to altered affective experience when imagining and recalling positive
activities and may account for why attempts to do so can have paradoxically
negative effects in clinical populations. Moreover, the studies preliminarily
validate a novel scale measuring state appraisal of positive experiences.
PMID- 29807350
TI - Diaphragm disease in advanced ovarian cancer: Predictability of pre-operative
imaging and safety of surgical intervention.
AB - OBJECTIVES: To establish the positive predictive values of pre-operative
identification with CT imaging of metastatic diaphragm disease in surgically
managed cases of advanced ovarian cancer (AOC). Additionally, we have assessed
the post-operative morbidity and survival following diaphragmatic surgical
intervention in a large regional cancer centre in the United Kingdom. STUDY
DESIGN: A retrospective review of all cases of AOC with metastatic diaphragm
disease surgically treated at the Pan-Birmingham Gynaecological Cancer Centre, UK
between 1st August 2007 and 29th February 2016. RESULTS: A total of 536 women
underwent surgery for primary AOC. Diaphragm disease was evident intra
operatively in 215/536 (40.1%) and 85/536 women (15.9%) underwent a procedure
involving their diaphragm. Of these 85 cases, 38 peritoneal strippings (38/85,
44.7%), 31 partial diaphragmatic resections (31/85, 35.6%) and 16 electro
surgical ablations (16/85, 18.9%) were performed. There were no significant
differences in post-operative complications between the three different
diaphragmatic surgical groups. Of those patients who underwent peritoneal
stripping or partial diaphragm resection, 12% were upstaged to stage 4A by virtue
of pleural invasion. The positive predictive value for pre-operative radiological
identification of diaphragmatic disease was 78.6%. CT imaging failed to detect
diaphragmatic involvement despite obvious diaphragm disease during surgery in
29.4% of cases, giving a low negative predictive value of 64.8%. The sensitivity
and specificity for CT imaging in detecting diaphragm disease was 44.3% and
93.8%, respectively. CONCLUSIONS: Diaphragmatic disease is often discovered in
AOC. However, pre-operative assessment with CT imaging is not reliable in
accurately detecting diaphragm involvement. Therefore, all patients with AOC
should be regarded as in potential need for diaphragm surgery and their operation
undertaken in cancer centres with adequate expertise in upper abdominal surgery.
If there is a suspicion of diaphragm muscle invasion during diaphragmatic
peritonectomy, the muscle should be partially resected. This will lead to
potential upstaging of disease to stage 4A and therefore, to suitability for
targeted therapy. In our Centre, the surgical removal of diaphragmatic disease
did not significantly increase surgical morbidity.
PMID- 29807351
TI - Cortical organoids: why all this hype?
AB - The development of organoids derived from human pluripotent stem cells heralded a
new area in studying human organ development and pathology outside of the human
body. Triggered by the seminal work of pioneers in the field such as Yoshiki
Sasai or Hans Clevers, organoid research has become one of the most rapidly
developing fields in cell biology. The potential applications are manifold
reaching from developmental studies to tissue regeneration and drug screening. In
this review, we will concentrate on brain organoids of cortical identity. We will
describe the 'state of the art' in generating cortical organoids and discuss
potential applications. Finally, we will provide future perspectives including
suggestions how further innovations can broaden the application of brain
organoids.
PMID- 29807352
TI - Co@Pd core-shell nanoparticles embedded in nitrogen-doped porous carbon as dual
functional electrocatalysts for both oxygen reduction and hydrogen evolution
reactions.
AB - Developing efficient bi-functional electrocatalysts for both oxygen reduction
reaction (ORR) and hydrogen evolution reaction (HER) is crucial for producing
hydrogen and utilizing hydrogen effectively to promote electrochemical energy
storage in proton membrane exchange fuel cells (PEMFCs). Herein, we report Co@Pd
core-shell nanoparticles encapsulated in porous carbon derived from zeolitic
imidazolate framework 67 (ZIF-67) for both ORR and HER. The controlled pyrolysis
of ZIF-67 can lead to the formation of Co nanoparticles encapsulated in nitrogen
doped porous carbon (Co NC), which subsequently underwent galvanic replacement
with Na2PdCl4 to form Co@Pd core-shell nanoparticles embedded in nitrogen-doped
porous carbon (Co@Pd NC). The Co@Pd NC exhibited outperformance in ORR and HER
than commercial Pd/C, as manifested by more positive onset potential and larger
diffusion-limited current density in ORR tests, as well as a small overpotential
to drive a current density of 10 mA cm-2, and much lower Tafel slope in HER
tests. It also demonstrated more robust long-term stability than commercial Pd/C
for both ORR and HER. Multiple techniques inter-confirmed that the Pd loading in
the sample was very low. The findings can pave a path for fabricating a core
shell structured nanocomposite with ultralow noble metal usage as a bifunctional
catalyst for electrochemical energy storage and conversion with high-efficiency
and remarkable longevity.
PMID- 29807353
TI - Polydiacetylene functionalized with charged termini for device-free colorimetric
detection of malathion.
AB - We introduce 10,12-Pentacosadiynoic acid conjugated with pyridine-2-aldoxime
(pralidoxime, PAM) as a positively charged amphiphilic molecule capable of
forming a polymer with polydiacetylene (PDA-PAM) via 1,4-addition
photopolymerization of self-assembled PAM-modified monomers. Colloidally
stabilized vesicles formed by PDA-PAM and unmodified pentacosadiynoic acid via
electrostatic interactions in a basic aqueous medium exhibit a colorimetric
transition in the presence of malathion. The malathion detection limit, through
color change observed by the naked eye, is in the mM range (liquid suspension) or
at nmol/cm2 levels (solid membrane); the response to exposure to malathion was
rapid, within seconds. Density functional theory (DFT) calculations on the PDA
PAM system before and after binding with the target molecule (malathion)
demonstrate that the large blue absorption shift of 0.42 eV observed in the
malathion-bound configuration of the polymer is due to the dissociation of the
positive charge center on the pralidoxime functional group from the negative
charge center on the carboxylic terminus.
PMID- 29807354
TI - Borate-ion intercalated NiFe layered double hydroxide to simultaneously boost
mass transport and charge transfer for catalysis of water oxidation.
AB - Borate ion-intercalated NiFe layered double hydroxide (NiFe LDH) is synthesized
as a highly active electrocatalyst toward oxygen evolution reaction (OER) for the
first time. With the intercalation of borate ions, the interlayer spacing and
specific surface area of the NiFe LDH are increased, meanwhile the pore size
distribution shifts to a larger pore size range. The borate ion-intercalated
catalyst prepared at 20% of Fe content in presence of 0.05 M sodium borate
additive exhibits the highest OER electrocatalytic activity, which shows a low
onset overpotential of 270 mV and a Tafel slope of 42 mV dec-1. The high
catalytic activity of intercalated OER catalyst can be attributed to the enhanced
mass transport and charge transfer as well as the increased specific surface area
due to the borate ion intercalation. In addition, the intercalated borate ions
act as a proton-accepting agent help to promote OO bond formation during OER.
This work would open up a novel strategy for the synthesis of ion-intercalated
layered materials for high-performance water splitting applications.
PMID- 29807355
TI - Rational design of cobalt and nitrogen co-doped carbon hollow frameworks for
efficient photocatalytic degradation of gaseous toluene.
AB - In this work, the hollow Co/N co-doped carbon frameworks (Co/N-C) were
successfully constructed by in situ transformation of zeolitic imidazolate
frameworks (ZIF-67) through polycondensation of dopamine. The hollow and porous
structure of Co/N-C was demonstrated by transmission electron microscopy (TEM).
The doping and Co-N-C active sites were verified by X-ray photoelectron
spectroscopy (XPS). The UV-vis diffusion reflectance spectra (UV-vis DRS) of
hollow Co/N-C nanoparticles reflected a significant enhancement of optical
absorption in the range of 300-800 nm. With hollow porous structure, strong
optical absorption and rich Co-N-C active sites, the Co/N-C exhibited a high
photocatalytic performance by using gaseous toluene as a model pollutant, and the
degradation efficiency of gaseous toluene was found to be around 78.2% under mild
conditions (i.e., Temperature = 273 K, Pressure = 1 atom, lambda >= 420 nm, t = 6
h). The photocatalytic degradation process and mechanism of toluene were further
investigated by in situ Fourier transform infrared (FTIR) spectroscopy, which
indicated that multiple hydroxylation and benzen ring opening are both involved
in the catalytic elimination processes, and the initial intermediate species
including benzaldehyde and benzoic acid were firstly derived from the
hydroxylation due to the hydroxyl radical followed by further oxidation into
carbon dioxide and water.
PMID- 29807356
TI - Metal-organic frameworks derived tin-doped cobalt oxide yolk-shell nanostructures
and their gas sensing properties.
AB - Yolk-shell nanomaterials with controlled morphology have received great attention
because of their promising applications in gas sensing. Here, we reported the
facile synthesis of pure and 1-5 mol% Sn doped Co3O4 yolk-shell nanostructures by
calcinating the Co based metal-organic framework (MOF, ZIF-67) prepared from
hydrothermal method. The morphologies of the as-obtained samples were
characterized by various experimental techniques. Furthermore, the gas sensing
properties were systematically measured. Gas sensors based on 3 mol% Sn doped
Co3O4 yolk-shell nanostructures exhibited extremely enhanced response to ethanol
at 200 degrees C (Rg/Ra = 13.4-100 ppm at 200 degrees C) and low detection
limit (Rg/Ra = 1.3-1 ppm ethanol at 200 degrees C). Most importantly, the gas
response to 100 ppm ethanol is still maintained well after continuous measurement
for 20 days.
PMID- 29807357
TI - Crosstalk of NF-kappaB/P65 and LncRNA HOTAIR-Mediated Repression of MUC1
Expression Contribute to Synergistic Inhibition of Castration-Resistant Prostate
Cancer by Polyphyllin 1-Enzalutamide Combination Treatment.
AB - BACKGROUND/AIMS: Polyphyllin I (PPI), one of the steroidal saponins in Paris
polyphylla, reportedly exhibits antitumor effects. However, the detailed
mechanism underlying PPI, particularly in enhancing the effect of the androgen
receptor inhibitor enzalutamide in controlling castration-resistant prostate
cancer (CRPC) has not been explored. METHODS: Cell viability and cell cycle
distribution were measured using 3-(4, 5-dimethylthiazol-2-yl)-2, 5
diphenyltetrazolium bromide (MTT) and flow cytometry assays, respectively. Long
non-coding RNA (lncRNA) HOX transcript antisense RNA (HOTAIR) expression was
measured by quantitative real time-PCR (qRT-PCR). Western blot analysis was
performed to determine the protein expression levels of MUC1, p65, and p50.
Silencing of HOTAIR was evaluated using the siRNA procedure. The promoter
activity of the MUC1 gene was determined using Secrete-Pair Dual Luminescence
Assay Kit. Exogenous expression of HOTAIR, p65, and MUC1 was conducted by
transient transfection assay. A xenograft tumor model in nude mice was used to
further evaluate the effect of the combination of PPI and enzalutamide in vivo.
RESULTS: We showed that PPI significantly inhibited growth and induced cell cycle
arrest in CRPC cells. PPI also decreased p65 and MUC1 protein expression and
reduced HOTAIR expression. Exogenously expressed p65 resisted the PPI-inhibited
expression of HOTAIR, whereas silenced HOTAIR reduced MUC1 protein but exerted no
effect on the expression of p65 and p50 proteins. Conversely, exogenously
expressed HOTAIR resisted the PPI-inhibited MUC1 protein expression, and
excessive expression of MUC1 antagonized the PPI-inhibited cell growth. Notably,
PPI combined with enzalutamide exerted a synergistic effect. Consistent with this
finding, PPI inhibited tumor growth, HOTAIR expression, as well as p65 and MUC1
protein expressions in vivo. CONCLUSIONS: Our results indicate that PPI inhibits
the growth of CRPC cells by inhibiting p65 protein and concomitantly reducing
HOTAIR expression, thereby suppressing MUC1 gene expression. The novel regulatory
interaction of p65 and HOTAIR converge in the inhibition of MUC1 expression and
overall PPI response. The combination of PPI and enzalutamide exhibits synergy.
This study reveals a novel mechanism underlying the synergistic inhibitory effect
of PPI and enzalutamide on the growth of CRPC cells.
PMID- 29807358
TI - Activation of TGF-beta1/alpha-SMA/Col I Profibrotic Pathway in Fibroblasts by
Galectin-3 Contributes to Atrial Fibrosis in Experimental Models and Patients.
AB - BACKGROUND/AIMS: This study aimed to evaluate whether galectin-3 (Gal-3)
contributes actively to atrial fibrosis both in patients and experimental atrial
fibrillation (AF) models. METHODS: Mouse HL-1 cardiomyocytes were subjected to
rapid electrical stimulation (RES) to explore Gal-3 expression and secretion
levels by western blotting (WB) and enzyme linked immunosorbent assay (ELISA).
Neonatal rat cardiac fibroblasts were treated with conditioned culture medium and
recombinant human Gal-3 to evaluate the activation of the transforming growth
factor (TGF)-beta1/alpha-smooth muscle actin (SMA)/collagen I (Col I) profibrotic
pathway (WB) and fibroblast proliferation with a Cell Counting Kit-8 (CCK-8).
Furthermore, in the rapid atrial pacing (RAP) rabbit AF model, atrial Gal-3
expression and its effects on the profibrotic pathway were evaluated (WB and
Masson's trichrome staining). Moreover, 44 consecutive patients who underwent
single mitral valve repair/replacement were included, consisting of 28 patients
with persistent AF (PeAF) and 16 with sinus rhythm (SR). Coronary sinus blood was
also sampled to test circulating Gal-3 levels (ELISA), and atrial myocardium Gal
3 and its downstream TGF-beta1/alpha-SMA pathway were also measured by WB and
immunohistochemical staining. RESULTS: Gal-3 expression in HL-1 cells and its
secretion level in culture medium were greatly increased after 24 h RES.
Treatment of neonatal rat cardiac fibroblasts with conditioned media collected
from the RES group or recombinant human Gal-3 protein (10 and 30 ug/mL) for 72 h
induced the activation of the TGF-beta1/alpha-SMA/Col I profibrotic pathway. RAP
increased Gal-3 levels and activated the TGF-beta1/alpha-SMA/Col I pathway in
rabbit left atria, while the Gal-3 inhibitor N-acetyllactosamine, injected at 4.5
mg/kg every 3 days, mitigated these adverse changes. Furthermore, Gal-3 levels in
coronary sinus blood samples and myocardial Gal-3 expression levels were higher
in the PeAF patients than in the SR patients, and higher level profibrotic
pathway activation was also confirmed. CONCLUSIONS: Activation of Gal-3
expression in the atria can subsequently activate the TGF-beta1/alpha-SMA/Col I
pathway in cardiac fibroblasts, which may enhance atrial fibrosis.
PMID- 29807359
TI - Overexpression of SARAF Ameliorates Pressure Overload-Induced Cardiac Hypertrophy
Through Suppressing STIM1-Orai1 in Mice.
AB - BACKGROUND/AIMS: Activation of stromal interaction molecule 1 (STIM1) and Orai1
participates in the development of cardiac hypertrophy. Store-operated Ca2+ entry
associated regulatory factor (SARAF) is an intrinsic inhibitor of STIM1-Orai1
interaction. Thus, we hypothesized that SARAF could prevent cardiac hypertrophy.
METHODS: Male C57BL/6 mice, aged 8 weeks, were randomly divided into sham and
abdominal aortic constriction surgery groups and were infected with lentiviruses
expressing SARAF and GFP (Lenti-SARAF) or GFP alone (Lenti-GFP) via
intramyocardial injection. At 4 weeks after aortic constriction, left ventricular
structure and function were assessed by echocardiography and hemodynamic assays.
The gene and protein expressions of SARAF, STIM1, and Orai1 were measured by
quantitative PCR and Western blot, respectively. RESULTS: Gene and protein
expressions of SARAF were significantly decreased, while STIM1 and Orai1 were
increased in the heart tissue compared with sham group. Overexpression of SARAF
in the heart prevented the upregulation of STIM1 and Orai1, and importantly,
attenuated aortic constriction-induced decrease in maximal rate of left
ventricular pressure decay and increases in thickness of interventricular septum
and left ventricular posterior wall, heart weight/body weight ratio, and size of
cardiomyocytes. Blood pressure detected through the carotid artery and left
ventricular systolic function were not affected by SARAF overexpression. In
addition, overexpression of SARAF also attenuated angiotensin II-induced
upregulation of STIM1 and Orai1 and hypertrophy of cultured cardiomyocytes.
CONCLUSION: Overexpression of SARAF in the heart prevents cardiac hypertrophy,
probably through suppressing the upregulation of STIM1/Orai1.
PMID- 29807360
TI - MicroRNA-29c Increases the Chemosensitivity of Pancreatic Cancer Cells by
Inhibiting USP22 Mediated Autophagy.
AB - BACKGROUND/AIMS: Pancreatic cancer (PC) is an aggressive malignancy with a poor
survival rate. Despite advances in the treatment of PC, the efficacy of therapy
is limited by the development of chemoresistance. Here, we examined the role of
microRNA-29c (miR-29c) and the involvement of autophagy and apoptosis in the
chemoresistance of PC cells in vivo and in vitro. METHODS: We employed qRT-PCR,
western blot and immunofluorescence to examine the expression level of miR-29c,
USP22 and autophagy relative protein. In addition, we used MTT assay to detect
cell proliferation and transwell assay to measure migration and invasiveness. The
apoptosis was determined using annexin V-FITC/PI apoptosis detection kit by flow
cytometry. Luciferase reporter assays confirmed the relationship between USP22
and miR-29c. RESULTS: miR-29c overexpression in the PC cell line PANC-1 enhanced
the effect of gemcitabine on decreasing cell viability and inducing apoptosis and
inhibited autophagy, as shown by western blotting, immunofluorescence staining,
colony formation assays, and flow cytometry. Ubiquitin specific peptidase (USP)
22, a deubiquitinating enzyme known to induce autophagy and promote PC cell
survival, was identified as a direct target of miR-29c. USP22 knockdown
experiments indicated that USP22 suppresses gemcitabine-induced apoptosis by
promoting autophagy, thereby increasing the chemoresistance of PC cells.
Luciferase reporter assays confirmed that USP22 is a direct target of miR-29c. A
xenograft mouse model demonstrated that miR-29c increases the chemosensitivity of
PC in vivo by downregulating USP22, leading to the inhibition of autophagy and
induction of apoptosis. CONCLUSIONS: Taken together, these findings reveal a
potential mechanism underlying the chemoresistance of PC cells mediated by the
regulation of USP22-mediated autophagy by miR-29c, suggesting potential targets
and therapeutic strategies in PC.
PMID- 29807361
TI - Comparative Analyses of Subgingival Microbiome in Chronic Periodontitis Patients
with and Without IgA Nephropathy by High Throughput 16S rRNA Sequencing.
AB - BACKGROUND/AIMS: Periodontitis is a prevalent chronic inflammatory disease caused
by enhanced inflammation induced by dysbiotic microbes forming on subgingival
tooth sites, which may disturb the balance of the microbial composition in the
biofilm and finally result in the progressive destruction of the periodontal
ligament and alveolar bone with periodontal pocket formation and/or gingival
recession. METHODS: To elucidate the correlation between subgingival microbiome
and IgAN incidence in CP (chronic periodontitis at severe levels) patients,
subgingival plaque samples were collected from CP patients without IgAN (Control)
and CP patients with IgAN (Disease). 16S rRNA sequencing and comparative analyses
of plaque bacterial microbiome between Control and Disease were performed.
RESULTS: Subgingival microbial diversity in Disease was a little higher than that
in Control. Besides, significant differences were found in subgingival microbiome
between Disease and Control. Compared with that in Control, at phylum level, the
abundances of Proteobacteria and Actinobacteria were significantly higher while
the abundances of Bacteroidetes, Fusobacteria, Spirochaetae, Synergistetes, and
Saccharibacteria were significantly lower in Disease; at class level, the
abundances of Betaproteobacteria, Bacilli, Actinobacteria, Flavobacteriia, and
Gammaproteobacteria were significantly higher while the abundances of
Bacteroidia, Fusobacteriia, Negativicutes, Clostridia, and Spirochaetes were
significantly lower in Disease; at genus level, the abundances of Bergeyella,
Capnocytophaga, Actinomyces, Corynebacterium, Comamonas, Lautropia, and
Streptococcus were significantly higher while the abundances of Treponema and
Prevotella were significantly lower in Disease. CONCLUSIONS: Our data indicated a
correlation between the changes in subgingival microbial structure and IgAN
incidence in CP patients, which might be used to predict IgAN incidence in CP
patients.
PMID- 29807362
TI - Exosomes from MiR-30d-5p-ADSCs Reverse Acute Ischemic Stroke-Induced, Autophagy
Mediated Brain Injury by Promoting M2 Microglial/Macrophage Polarization.
AB - BACKGROUND/AIMS: Recent studies have indicated that exosomes secreted from
adipose-derived stem cells (ADSCs) have important effects in the treatment of
ischemic injury. However, the treatment mechanism is unclear. This study aimed to
investigate whether ADSC-derived exosomes enriched with microRNA (miR)-30d-5p
have a protective effect on acute ischemic stroke (AIS). METHODS: In the current
study, inflammatory factors and miR-30d-5p expression were assessed in 70
subjects with AIS and 35 healthy controls. Exosomes were characterized by
transmission electron microscopy and further examined using nanoparticle tracking
analyses. A rat model of AIS and an in vitro model of oxygen- and glucose
deprived (OGD) primary microglia were established to study the protective
mechanism of exosomes from miR-30d-5p-overexpressing ADSCs in ischemia-induced
nerve injury. RESULTS: The results showed that following AIS, the expression of
inflammatory cytokines increased, while the anti-inflammatory cytokines IL-4, IL
10, and miR-30d-5p decreased both in patients and in animal models. Moreover, in
vitro studies demonstrated that suppression of autophagy significantly reduced
the OGD-induced inflammatory response. In addition, exosome treatment was more
effective in suppressing the inflammatory response by reversing OGD-induced and
autophagy-mediated microglial polarization to M1. Furthermore, in vivo studies
showed that exosomes derived from ADSCs significantly decreased the cerebral
injury area of infarction by suppressing autophagy and promoting M2
microglia/macrophage polarization. CONCLUSIONS: Our results suggest that miR-30d
5p-enhanced ADSC-derived exosomes prevent cerebral injury by inhibiting autophagy
mediated microglial polarization to M1.
PMID- 29807363
TI - Impaired Microcirculation in Children After Kidney Transplantation: Everolimus
Versus Mycophenolate Based Immunosuppression Regimen.
AB - BACKGROUND/AIMS: Whether the immunosuppressive regimen is associated with micro-
and macro-vascular status in pediatric kidney transplant recipients (KTx) is
unknown. METHODS: We performed a cross-sectional, case-control study in 44
pediatric KTx patients on either everolimus (EVR) plus calcineurin inhibitor or
standard treatment, i.e. mycophenolate mofetil plus calcineurin inhibitor.
Measurement of carotid intima-media thickness (cIMT) via ultrasound, central
pulse wave velocity (PWV) by a cuff-based oscillometric technique, and skin
microvascular blood flow during local heating via laser-Doppler-fluximetry (LDF)
served as marker of subclinical vascular disease. Serum concentrations of
angiopoietin-1 and -2, fibroblast-growth factor 23 (FGF23) and soluble klotho
were measured. RESULTS: EVR-treated patients exhibited a similar degree of
hypertension, increased cIMT, elevated pro-inflammatory angiopoietin-2, and
diminished endothelial survival factor angiopoietin-1 compared to healthy
children but presented with a twofold more reduced skin micro-vascular function
compared to standard treatment (each p< 0.001). By contrast, PWV and soluble
klotho levels were normal in both groups. CONCLUSION: Endothelial dysfunction
seems more frequent in KTx patients on EVR-based immunosuppressive regimen
compared to standard immunosuppression.
PMID- 29807364
TI - Enhanced Liver Regeneration After Partial Hepatectomy in Sterol Regulatory
Element-Binding Protein (SREBP)-1c-Null Mice is Associated with Increased
Hepatocellular Cholesterol Availability.
AB - BACKGROUND/AIMS: Transient lipid accumulation within hepatocytes preceding the
peak proliferative process is a characteristic feature of liver regeneration.
However, molecular mediators responsible for this lipid accumulation and their
functions are not well defined. Sterol regulatory element-binding proteins-1c
(SREBP-1c) are critical transcriptional factors that regulate lipid homeostasis
in the liver. We hypothesized that SREBP-1c deficiency induced alterations of
lipid metabolism may influence hepatocyte proliferation and liver regeneration.
METHODS: 2/3 partial hepatectomy (PH) was performed in wild type C57BL/6J (WT)
and Srebp-1c-/- mice. The lipid contents in serum and liver were measured by
enzymatic colorimetric methods. Hepatic lipid droplets were detected by Oil Red O
staining and immunohistological staining. Hepatic expression of genes involved in
lipid metabolism and cellular proliferation was determined by real-time PCR
and/or immunoblot. Hepatocyte proliferation and liver regeneration were assessed
by BrdU staining and the weight of remanent liver lobes in Srebp-1c-/- mice,
respectively. RESULTS: Srebp-1c-/- mice displayed reduced triglyceride and fatty
acids but increased cholesterol in the liver before PH. In response to PH,
hepatocellular DNA synthesis was elevated and cell cycle progression was
prolonged in Srebp-1c-/- mice, which was associated with enhanced liver
regeneration. However, Srebp-1c-/- mice had comparable triglyceride and fatty
acid contents and expressions of related genes compared with WT mice during the
liver regeneration. In contrast, SREBP-1c-deficiency-induced alteration of
cholesterol metabolism was retained during the liver regeneration after PH. Srebp
1c-/- mice exhibited higher cholesterol contents and enhanced expression of SREBP
2 and 3-hydroxy-3-methylglutaryl-Coenzyme A reductase (HMGCR) in the liver than
WT mice after PH. Moreover, downregulation of genes involved in cholesterol
elimination was observed after PH in Srebp-1c-/- mice. CONCLUSION: SREBP-1c
deficiency in mice did not interfere with triglyceride and fatty acid metabolism
but was associated with significant changes in cholesterol profiles during liver
regeneration after PH. These results suggest that increased hepatocellular
cholesterol storage and cholesterol availability with the enhanced liver
regeneration are identified in Srebp-1c-/- mice. This study also shows that
providing requisite cholesterol levels to proliferating hepatocytes and keeping
appropriate cholesterol metabolism are required for normal liver regeneration.
PMID- 29807365
TI - PML-Nuclear Bodies Regulate the Stability of the Fusion Protein Dendra2-Nrf2 in
the Nucleus.
AB - BACKGROUND/AIMS: Nuclear factor erythroid 2-related factor 2 (Nrf2) is a basic
leucine-zipper transcription factor essential for cellular responses to oxidative
stress. Degradation of Nrf2 in the cytoplasm, mediated by Keap1-Cullin3/RING box1
(Cul3-Rbx1) E3 ubiquitin ligase and the proteasome, is considered the primary
pathway controlling the cellular abundance of Nrf2. Although the nucleus has been
implicated in the degradation of Nrf2, little information is available on how
this compartment participates in degrading Nrf2. METHODS: Here, we fused the
photoconvertible fluorescent protein Dendra2 to Nrf2 and capitalized on the
irreversible change in color (green to red) that occurs when Dendra2 undergoes
photoconversion to study degradation of Dendra2-Nrf2 in single live cells.
RESULTS: Using this approach, we show that the half-life (t1/2) of Dendra2-Nrf2
in the whole cell, under homeostatic conditions, is 35 min. Inhibition of the
proteasome with MG-132 or induction of oxidative stress with tert
butylhydroquinone (tBHQ) extended the half-life of Dendra2-Nrf2 by 6- and 28
fold, respectively. By inhibiting nuclear export using Leptomycin B, we provide
direct evidence that degradation of Nrf2 also occurs in the nucleus and involves
PML-NBs (Promyelocytic Leukemia-nuclear bodies). We further demonstrate that co
expression of Dendra2-Nrf2 and Crimson-PML-I lacking two PML-I sumoylation sites
(K65R and K490R) changed the decay rate of Dendra2-Nrf2 in the nucleus and
stabilized the nuclear derived Nrf2 levels in whole cells. CONCLUSION:
Altogether, our findings provide direct evidence for degradation of Nrf2 in the
nucleus and suggest that modification of Nrf2 in PML nuclear bodies contributes
to its degradation in intact cells.
PMID- 29807366
TI - Trefoil Factor 3 Deficiency Affects Liver Lipid Metabolism.
AB - BACKGROUND/AIMS: Tff3 protein plays a well recognized role in the protection of
gastrointestinal mucosa. The role of Tff3 in the metabolism is a new aspect of
its function. Tff3 is one of the most affected liver genes in early diabetes and
fatty liver rodent models. The aim of this study was to investigate the effect of
Tff3 deficiency on lipid and carbohydrate metabolism and on markers of oxidative
stress that accompanies metabolic deregulation. METHODS: Specific markers of
health status were determined in sera of Tff3 deficient mice, including glucose
level, functional glucose and insulin tolerance. Composition of fatty acids (FAs)
was determined in liver and blood serum by using gas chromatography. Oxidative
stress parameters were determined: lipid peroxidation level via determination of
lipid hydroperoxide and thiobarbituric acid reactive substances (TBARS),
antioxidative capacity (FRAP) and specific antioxidative enzyme activity. The
expression of several genes and proteins related to the metabolism of lipids,
carbohydrates and oxidative stress (CAT, GPx1, SOD2, PPARalpha, PPARgamma,
PPARdelta, HNF4alpha and SIRT1) was determined. RESULTS: Tff3 deficient mice
showed better glucose utilization in the glucose and insulin test. Liver lipid
metabolism is affected and increased formation of small lipid vesicles is
noticed. Formation of lipid droplets is not accompanied by increased liver
oxidative stress, although expression/activity of monitored enzymes is
deregulated when compared with wild type mice. Tff3 deficient mice exhibit
reduced expression of metabolism relevant SIRT1 and PPARgamma genes. CONCLUSION:
Tff3 deficiency affects the profile and accumulation of FAs in the liver, with no
obvious oxidative stress increase, although expression/activity of monitored
enzymes is changed as well as the level of SIRT1 and PPARgamma protein.
Considering the strong downregulation of liver Tff3 in diabetic/obese mice,
presence in circulation and regulation by food/insulin, Tff3 is an interesting
novel candidate in metabolism relevant conditions.
PMID- 29807367
TI - [Homeopathic Medicine Reduces Pain and Hemarthrosis in Moderate and Severe
Hemophilia: A Multicentric Study].
AB - BACKGROUND: Hemarthrosis is a common clinical presentation of patients with
severe and moderately severe hemophilia. Severe pain, swelling, and loss of
function involving knee, ankle, elbow, and shoulder joints are commonly seen. In
India, except for paracetamol and some non-steroidal anti-inflammatory drugs
(NSAIDs), opiate analgesics are not easily available even in the mainstay of
treatment; i.e., factor concentrates are also not available regularly. Hence,
there is an unmet need for exploring alternative management strategies in this
condition in India. OBJECTIVE: To assess the effect of homeopathic medicines on
pain and acute hemarthrosis in hemophilia when factor concentrates are not
available and paracetamol in adequate doses proves inadequate. PATIENTS: 343
patients with hemophilia (PWH) from Nashik, Mumbai, and Surat presenting with
hemarthrosis were prescribed homeopathic medicines in addition to paracetamol and
RICE (rest, immobilization, cold application, and elevation). They were assessed
using standard techniques. RESULTS: 1,679 episodes of hemarthrosis in major
joints were encountered between December 2007 and March 2014, in 343 patients. In
1,580 of the 1,679 hemarthrosis episodes (94.1%), bleeding/inflammation was
arrested and pain relieved with homeopathic medication. Additional factor
concentrate was required in 99 patients (4.48%). The mean pain score improved
from 6.88 +/- 2.118 to 1.5 +/- 0.34 over 6-24 h following the homeopathic
medicines (p < 0.0001). The swellings were also substantially reduced (p <
0.001). The number of joint bleeds per month was reduced significantly under the
influence of therapy (p < 0.0001), showing the long-term disease-modifying effect
of the treatment. CONCLUSION: Homeopathic medicines without factor concentrates
appeared to reduce bleeding and pain in PWH presenting with hemarthrosis and
could have influenced the long-term frequency of bleeding.
PMID- 29807368
TI - Paeoniflorin Attenuates Inflammatory Pain by Inhibiting Microglial Activation and
Akt-NF-kappaB Signaling in the Central Nervous System.
AB - BACKGROUND/AIMS: Paeoniflorin (PF) is known to have anti-inflammatory and
paregoric effects, but the mechanism underlying its analgesic effect remains
unclear. The aim of this study was to clarify the effect of PF on Freund's
complete adjuvant (CFA)-induced inflammatory pain and explore the underlying
molecular mechanism. METHODS: An inflammatory pain model was established by
intraplantar injection of CFA in C57BL/6J mice. After intrathecal injection of PF
daily for 8 consecutive days, thermal and mechanical withdrawal thresholds, the
levels of inflammatory factors TNF-alpha, IL-1beta and IL-6, microglial activity,
and the expression of Akt-NF-kappaB signaling pathway in the spinal cord tissue
were detected by animal ethological test, cell culture, enzyme-linked
immunosorbent assay, immunofluorescence histochemistry, and western blot.
RESULTS: PF inhibited the spinal microglial activation in the CFA-induced pain
model. The production of proinflammatory cytokines was decreased in the central
nervous system after PF treatment both in vivo and in vitro. PF further displayed
a remarkable effect on inhibiting the activation of Akt-NF-kappaB signaling
pathway in vivo and in vitro. CONCLUSION: These results suggest that PF is a
potential therapeutic agent for inflammatory pain and merits further
investigation.
PMID- 29807369
TI - Circulating Biomarkers for Cholangiocarcinoma.
AB - BACKGROUND: Cholangiocarcinoma (CCA) represents the second most common primary
liver malignancy. The incidence rate has constantly increased over the last
decades and CCA patients face a dismal prognosis with a 5-year survival rate of
less than 5% for advanced stage of disease. Surgical tumor resection has remained
the only potentially curative treatment option in daily practice but is often not
feasible due to advanced disease stage at initial diagnosis. SUMMARY: The early
detection of cholangiocarcinoma is essential to provide patients with a
potentially curative treatment. Furthermore, prognostic biomarkers represent a
valuable tool to offer patients a tailored therapeutic approach in accordance to
their life expectancy. The clinically most established biomarker carbohydrate
antigen 19-9 shows only a limited diagnostic and prognostic power, encouraging
the evaluation of novel biomarkers for cholangiocarcinoma in the last years. Key
Massage: In this review, we assess currently available and potential future
biomarkers for the diagnosis and prognosis of cholangicarcinoma.
PMID- 29807371
TI - Early Pulse Oximetry Data Improves Prediction of Death and Adverse Outcomes in a
Two-Center Cohort of Very Low Birth Weight Infants.
AB - BACKGROUND: We previously showed, in a single-center study, that early heart
rate (HR) characteristics predicted later adverse outcomes in very low birth
weight (VLBW) infants. We sought to improve predictive models by adding
oxygenation data and testing in a second neonatal intensive care unit (NICU).
METHODS: HR and oxygen saturation (SpO2) from the first 12 hours and first 7
days after birth were analyzed for 778 VLBW infants at two NICUs. Using
multivariate logistic regression, clinical predictive scores were developed for
death, severe intraventricular hemorrhage (sIVH), bronchopulmonary dysplasia
(BPD), treated retinopathy of prematurity (tROP), late-onset septicemia (LOS),
and necrotizing enterocolitis (NEC). Ten HR-SpO2 measures were analyzed, with
first 12 hours data used for predicting death or sIVH and first 7 days for the
other outcomes. HR-SpO2 models were combined with clinical models to develop a
pulse oximetry predictive score (POPS). Net reclassification improvement (NRI)
compared performance of POPS with the clinical predictive score. RESULTS: Models
using clinical or pulse oximetry variables alone performed well for each outcome.
POPS performed better than clinical variables for predicting death, sIVH, and BPD
(NRI > 0.5, p < 0.01), but not tROP, LOS, or NEC. CONCLUSION: Analysis of early
HR-SpO2 characteristics adds to clinical risk factors to predict later adverse
outcomes in VLBW infants.
PMID- 29807372
TI - [Screening: Let's Seize the Opportunity for Early Detection of Diseases].
PMID- 29807370
TI - Overexpression of the Ubiquilin-4 (UBQLN4) is Associated with Cell Cycle Arrest
and Apoptosis in Human Normal Gastric Epithelial Cell Lines GES-1 Cells by
Activation of the ERK Signaling Pathway.
AB - BACKGROUND Ubiquilin-4 (UBQLN4) is a component of the ubiquitin-proteasome system
and regulates the degradation of many proteins implicated in pathological
conditions. The aim of this study was to determine the role of UBQLN4 in
regulating the proliferation and survival of the normal gastric epithelial cell
line GES-1. MATERIAL AND METHODS We constructed GES-1 lines stably overexpressing
UBQLN4 by lentiviral infection. Cell proliferation, apoptosis, and the cell cycle
were analyzed using the MTT assay and flow cytometric assays. Phosphorylation of
ERK, JNK, p38, and expression of cyclin D1 were detected by western blot
analysis. RESULTS Overexpression of UBQLN4 significantly reduced proliferation
and induced G2/M phase arrest and apoptosis in GES-1 cells. Moreover,
upregulation of UBQLN4 increased the expression of cyclin D1 and phosphorylated
ERK, but not JNK or p38. CONCLUSIONS These data suggest that UBQLN4 may induce
cell cycle arrest and apoptosis via activation of the ERK pathway and
upregulation of cyclin D1 in GES-1 cells.
PMID- 29807373
TI - [Peripheral Arterial Disease - Trends in Morbidity and Mortality].
AB - The prevalence of peripheral artery disease (PAD) is increasing worldwide.
Patients with PAD not only have a reduced quality of life, they are at high risk
for cardiovascular events such as stroke, myocardial infarction and death. Recent
nationwide studies in Germany demonstrate an increase of PAD burden, particularly
of the advanced stages of PAD, i.e. of the subset with critical limb ischemia
(CLI).Despite the awareness campaigns and the advances in medical and technical
management of the disease in the last decades, the total number of ischemic limb
amputations in Germany remains high (> 50 000/year). The total number and the
rate of minor amputation are increasing. However, the rate of major amputation is
continuously decreasing (- 31% from 2005 to 2014). In contrast to the trend in
major amputation, cardiovascular and overall mortality remains at high rates and
almost unchanged compared to historical data. Limb amputation and mortality in
patients with PAD strongly depends on disease severity: the risk of limb
amputation at 4-years follow-up in patients at Rutherford categories 1 - 3, 4, 5
and 6 has been shown to be 4.6 %, 12.1 %, 35.3 % and 67.3 %, respectively, while
the projected 4-years-mortality was 18.9 %, 37.7 %, 52.2 % and 63.5 %,
respectively.The causes for the lack of improvement of prognosis in PAD patients
are unclear. However, the increase in comorbidity burden as well as the lack of
adherence to evidence-based guidelines-recommended therapies, such as
revascularization for CLI and secondary preventive measures, may play a pivotal
role in the persistence of the poor prognosis of patients with PAD.
PMID- 29807374
TI - [Diabetes Mellitus Type 2: Recent Publications and New Drugs].
AB - Since 2013 several placebo-controlled cardiovascular outcomes trails on new
classes of glucose-lowering agents in addition to standard care have been
reported. These trails were designed to demonstrate non-inferiority to placebo
with regard to cardiovascular safety for patients with type 2 diabetes mellitus
at high cardiovascular risk.For the glucagon-like peptide 1 (GLP-1)-receptor
agonists liraglutide and semaglutide as well as for the sodium-glucose
cotransporter-2 (SGLT-2) inhibitors empagliflozin and canagliflozin statistically
significant reductions of the primary composite outcome (nonfatal myocardial
infarction, nonfatal stroke, or cardiovascular death) were demonstrated.
Cardiovascular outcomes trails for the dipeptidyl peptidase-4 (DPP-4) inhibitors
saxagliptin, alogliptin, and sitagliptin did not show statistically significant
differences for major cardiovascular events between treatment and placebo
groups.Therefore, for patients with established cardiovascular disease who do not
achieve sufficient blood glucose control on metformin monotherapy GLP-1-receptor
agonists and SGLT-2 inhibitors should be favoured for diabetes therapy
intensification. However, the use of DPP-4 inhibitors should be considered for
patients who are in need of a well-tolerated and safe oral glucose-lowering
therapy.
PMID- 29807375
TI - [Elderly Drivers: Danger or Person at Risk?]
AB - Due to the demographic development, a growing proportion of elderly drivers can
be expected. Seniors still do not belong to a particularly prominent risk group.
Compared to younger drivers, the elderly are responsible for a considerably
smaller number of driving accidents. However, in the case of an accident, seniors
are mostly responsible for them. They have a higher vulnerability and therefore
suffer from serious injuries when accidents occur. Vision, cognition and motor
function are the three key elements of driving. It is still unclear, which
assessment reflects the driving ability of seniors most suitably. Most likely,
one will be to conduct a set of different cognitive and functional assessments.
The gold standard is still a standardized driving test.
PMID- 29807376
TI - [Thrombotic Microangiopathies].
AB - Thrombotic microangiopathies are almost devastating diseases leading to death at
high frequency if untreated. They consist of at least five distinct entities,
TTP, HUS, aHUS, TMA due to drug interference, systemic disease or post therapy
TMA. Around 10 years ago there was only one established therapeutic approach as
plasmapheresis. Meanwhile, there are new drugs been licensed or within licensing
process. Patients with atypical HUS can be treated successfully by the Complement
inhibitor Eculizumab. In addition, there is a new inhibitor of von-Willebrand
Polymerisation available. Caplacizumab provides a significantly better remission
and decrease in TMA-related death. For therapy associated TMA as VOD/SOS
Defibrotide could be established within a phase III study to significantly
improve outcomes. In order to select these new medical approaches individual
diagnostic parameters need to be established in order to rapidly distinguish
between the TMA entities and start targeted therapy.
PMID- 29807377
TI - [New Guidelines and Data to Clostridium difficile - What's New?]
AB - The incidence of Clostridium difficile infections (CDI) remains on a high level
globally. In Germany, the burden of disease and especially the number of severe
or even lethal cases continue to increase. The main risk factor for the
development of CDI is the exposure to broad-spectrum antibiotics, which disturb
the intestinal microbiota and therefore enable the colonization with C.
difficile. According to IDSA's and SHEA's updated US guidelines, vancomycin is
the treatment of choice. Fidaxomicin is as effective as vancomycin, but its
advantage is the lower rate of recurrence. For the treatment of multiple
relapsing CDI, there are assured treatment successes of >= 90 % through a fecal
microbiome transfer (FMT), whereby FMT in Germany currently only has the status
of an individual therapeutic attempt. Thus, an evidence-based general
recommendation for clinical practice is not possible. Currently, new antibiotics
with narrow-spectrum activity and low intestinal resorption have been developed
for the treatment of CDI, including surotomycin, cadazolid, and ridinilazol.
Furthermore, recent clinical studies demonstrated that significantly fewer
recurrences occurred in patients who additionally received the monoclonal
antibody bezlotoxumab. Novel toxoid vaccines are expected to become an
efficacious tool in the prevention of CDI. However, pivotal clinical trials have
so far not been completed.
PMID- 29807378
TI - [Mechanical Ventilation - Essentials of 4 German Guidelines].
AB - In recent decades, respiratory medicine has become established as an integral
part of intensive care medicine. In addition to continuous improvement of the
technical possibilities, the availability of differentiated ventilation methods,
sound pathophysiological and clinical knowledge are indispensable for realizing
respiratory medicine at a high level of quality.Based on relevant experience,
knowledge and research on the major topics of mechanical ventilation recently in
Germany the following 4 guidelines have been published:S3 - guideline: Non
invasive mechanical ventilation in acute respiratory failureS2k - guideline: Non
Invasive and invasive home mechanical ventilation for treatment of chronic
respiratory failureS2k - guideline: Prolonged WeaningS3 - guideline: Mechanical
ventilation and use of extracorporal procedures in acute respiratory failureIn
this article the main recommendations and take home messages of these guidelines
are presented.
PMID- 29807379
TI - [Update ESC Guideline 2017 - Acute Myocardial Infarction (STEMI)].
AB - Clinical management of patients with ST-elevation myocardial infarction (STEMI)
is an emergency situation. Primary aim is urgent reestablishment of reperfusion
by means of percutaneous coronary intervention (PCI). The European society of
cardiology recently published the latest version of the guideline for management
of patients with STEMI. A clear recommendation now exists for radial approach as
access side for PCI as well as an intervention including the implantation of a
drug eluting stent (DES). In patients with STEMI and multi vessel disease a
complete revascularization should be planned on an individual basis either as
part of the index procedure or as staged procedure. Heparin remains the routinely
used anticoagulant and it remains unchanged that dual antiplatelet therapy is
recommended after STEMI - duration of DAPT should be planned according to
individual ischemic and bleeding risk.
PMID- 29807380
TI - [Tubulointerstitial Nephritis (Drug-Induced, Inflammatory)].
PMID- 29807381
TI - [Current Asthma Treatment in Light of New Asthma Guidelines].
AB - The new international and german asthma guidelines recommend a 5-step treatment
approach, to achieve asthma control and to minimize future risk. Inhaled
corticosteroids (ICS) are still the mainstay of treatment, which should be
combined with long-acting bronchodilators in patients with more severe disease.
Specific treatment options such as allergen-Immunotherapy (AIT) or biologics,
which can be very efficacious in individual patients, are forerunners of a new
era of precision medicine in asthma.
PMID- 29807382
TI - [Systemic Lupus Erythematosus (SLE) - New Classification Criteria].
AB - The work on new classification criteria for SLE supported by both EULAR and ACR
has led to the reconfirmation that almost all SLE patients are ANA positive. The
criteria developed now rely on ANA as an entry criterion and weighted additive
criteria. Remission criteria have reached international consensus, which combine
the absence of inflammatory activity with glucocorticoids of not higher than 5 mg
prednisolone/prednisone q. d. This is also meant to reduce the excessive risk of
atherosclerosis, where ASS and moderate alcohol consumption appear to be helpful.
New insight into therapeutics found that visual impairment appears to be very
rare under hydroxychloroquine. Mycophenolate has now been shown to be superior to
azathioprine in a controlled trial. The weekly s. c. formulation of belimumab has
been approved. A successful phase II study of anifrolumab, targeting the receptor
for all type I interferons, holds promise for improving the therapeutic
armamentarium.
PMID- 29807384
TI - [70-Year-Old Woman with Acute Abdomen].
PMID- 29807383
TI - [Hepatocellular Carcinoma: New multimodal therapy concepts].
AB - STATUS QUO: Hepatocellular carcinoma guidelines are currently under revision. A
new edition is expected in 2018. Patients with chronic liver diseases or
cirrhosis require HCC screening by ultrasound every 6 months. Surgical resection
or liver transplantation are curative treatment options for early stage HCC.
LOCOREGIONAL THERAPY APPROACHES: A more and more common and well tolerated
locoregional therapy approach based on study data is selective internal radio
therapy (SIRT), although studies did not show an improvement in outcome comparing
SIRT to transarterial chemotherapy (TACE) in BCLC B or sorafenib in BCLC C.
SYSTEMIC THERAPY APPROACHES: Looking at targeted therapies regorafenib is
approved for patients under treatment with sorafenib and disease progression as a
second line therapy. Positive phase III-study data have been published for
lenvatinib as first-line and cabozantinib as second-line therapy. Nivolumab was
approved by the FDA as second-line therapy after positive phase I/II-study
outcomes. A study on nivolumab versus sorafenib as first-line therapy will be
published in 2018.
PMID- 29807385
TI - [Solitary Fibrous Tumor: a Rare Cause of Recurrent Severe Hypoglycemia].
AB - HISTORY AND CLINICAL FINDINGS: A 73-year-old woman was admitted to hospital early
in the morning by an emergency doctor in initially unclear comatose conditions
with a blood glucose of 24 mg/dl. There were no important previous diseases
requiring any medication. She was in good physical state. EXAMINATIONS: Except
for a lowered breath sound on the right side of the chest the physical findings
were normal. Endocrinologic tests, diagnostic imaging (Chest-x-ray,
ultrasonography of abdomen and pleura, abdominal and thoracic CT) and fine needle
biopsy suggested a non-islet-cell-tumor on the right side of the pleura as cause
of hypoglycemia. TREATMENT: Resection of the tumor resulted in normoglycemia and
the pathologic examination of the tumor specimen revealed a solid fibrous tumor.
CONCLUSION: A solid fibrous tumor is a relatively common cause of the rare
syndrome of non-islet-cell-tumor hypoglycemia. It shows typical endocrinologic
findings, which immediately help to clarify the differential diagnosis with other
causes of severe hypoglycemia. Early thorough endocrinologic testing is therefore
paramount for the recognition of this distinct hypoglycemic disease which is
related to the release of IGF-2, respectively Big-IGF-2, from the tumor cells.
PMID- 29807386
TI - Small flux, global impact: Integrating the nuances of leaf mitochondrial
respiration in estimates of ecosystem carbon exchange.
PMID- 29807387
TI - A systematic review and meta-analysis of the impact of lymphovascular invasion in
bladder cancer transurethral resection specimens.
AB - The aim of the present review was to assess the prognostic impact of
lymphovascular invasion (LVI) in transurethral resection (TUR) of bladder cancer
(BCa) specimens on clinical outcomes. A systematic review and meta-analysis of
the available literature from the past 10 years was performed using MEDLINE,
EMBASE and Cochrane library in August 2017. The protocol for this systematic
review was registered on PROSPERO (Central Registration Depository:
CRD42018084876) and is available in full on the University of York website.
Overall, 33 studies (including 6 194 patients) evaluating the presence of LVI at
TUR were retrieved. LVI was detected in 17.3% of TUR specimens. In 19 studies,
including 2 941 patients with <=cT1 stage only, LVI was detected in 15% of
specimens. In patients with <=cT1 stage, LVI at TUR of the bladder tumour (TURBT)
was a significant prognostic factor for disease recurrence (pooled hazard ratio
[HR] 1.97, 95% CI: 1.47-2.62) and progression (pooled HR 2.95, 95% CI: 2.11
4.13), without heterogeneity (I2 = 0.0%, P = 0.84 and I2 = 0.0%, P = 0.93,
respectively). For patients with cT1-2 disease, LVI was significantly associated
with upstaging at time of radical cystectomy (pooled odds ratio 2.39, 95% CI:
1.45-3.96), with heterogeneity among studies (I2 = 53.6%, P = 0.044). LVI at
TURBT is a robust prognostic factor of disease recurrence and progression in non
muscle invasive BCa. Furthermore, LVI has a strong impact on upstaging in
patients with organ-confined disease. The assessment of LVI should be
standardized, reported, and considered for inclusion in the TNM classification
system, helping clinicians in decision-making and patient counselling.
PMID- 29807388
TI - The overexpression of Fra1 disorders the inflammatory cytokine secretion by mTEC
of myasthenia gravis thymus.
AB - The thymus of a myasthenia gravis (MG) patient is often accompanied by and
effected with follicular hyperplasia. Inflammatory cytokines in thymus induce the
formation of germinal centres (GC). MG thymic inflammatory cytokines are
predominantly secreted by stromal cells. Our previous studies revealed that the
expression level of the Fra1 protein, which is a Fos member of the activator
protein 1 transcription factors (AP-1), was higher in the MG thymus compared with
that of the normal thymus. Based on that, we demonstrated that Fra1 was mainly
expressed in medulla thymic epithelial cells (mTECs) and that the rate of Fra1
positive mTECs in the MG thymus was higher than normal. In vitro, we found that
the expression of CCL-5, CCL-19 and CCL-21 could be regulated by Fra1 in mTEC and
that IL-1beta, IL-6, IL-8 and ICAM1 were downregulated in the Fra1 overexpression
group and upregulated in the Fra1 knock-down group. Meanwhile, we detected that
the expression levels of suppressor of cytokine signalling 3 (SOCS3) were
significantly upregulated along with the overexpression of Fra1. Hence, we
considered that the overexpression of Fra1 disrupted inflammatory cytokine
secretion by mTEC in the MG thymus and that STAT3 and SOCS3 were strongly
involved in this process.
PMID- 29807389
TI - Two years on: an update on achievement towards the recommendations of the
antimicrobial resistance report.
PMID- 29807390
TI - First clinical implementation of real-time, real anatomy tracking and radiation
beam control.
AB - PURPOSE: We describe the acceptance testing, commissioning, periodic quality
assurance, and workflow procedures developed for the first clinically implemented
magnetic resonance imaging-guided radiation therapy (MR-IGRT) system for real
time tracking and beam control. METHODS: The system utilizes real-time cine
imaging capabilities at 4 frames per second for real-time tracking and beam
control. Testing of the system was performed using an in-house developed motion
platform and a commercially available motion phantom. Anatomical tracking is
performed by first identifying a target (a region of interest that is either
tissue to be treated or a critical structure) and generating a contour around it.
A boundary contour is also created to identify tracking margins. The tracking
algorithm deforms the anatomical contour (target or a normal organ) on every
subsequent cine frame and compares it to the static boundary contour. If the
anatomy of interest moves outside the boundary, the radiation delivery is halted
until the tracked anatomy returns to treatment portal. The following were
performed to validate and clinically implement the system: (a) spatial integrity
evaluation; (b) tracking accuracy; (c) latency; (d) relative point dose and
spatial dosimetry; (e) development of clinical workflow for gating; and (f)
independent verification by an outside credentialing service. RESULTS: The
spatial integrity of the MR system was found to be within 2 mm over a 45-cm
diameter field-of-view. The tracking accuracy for geometric targets was within
1.2 mm. The average system latency was measured to be within 394 ms. The
dosimetric accuracy using ionization chambers was within 1.3% +/- 1.7%, and the
dosimetric spatial accuracy was within 2 mm. The phantom irradiation for the
outside credentialing service had satisfactory results, as well. CONCLUSIONS: The
first clinical MR-IGRT system was validated for real-time tracking and gating
capabilities and shown to be reliable and accurate. Patient workflow methods were
developed for efficient treatment. Periodic quality assurance tests can be
efficiently performed with commercially available equipment to ensure accurate
system performance.
PMID- 29807391
TI - Dielectric properties of colon polyps, cancer, and normal mucosa: Ex vivo
measurements from 0.5 to 20 GHz.
AB - PURPOSE: Colorectal cancer is highly preventable by detecting and removing
polyps, which are the precursors. Currently, the most accurate test is
colonoscopy, but still misses 22% of polyps due to visualization limitations. In
this paper, we preliminary assess the potential of microwave imaging and
dielectric properties (e.g., complex permittivity) as a complementary method for
detecting polyps and cancer tissue in the colon. The dielectric properties of
biological tissues have been used in a wide variety of applications, including
safety assessment of wireless technologies and design of medical diagnostic or
therapeutic techniques (microwave imaging, hyperthermia, and ablation). The main
purpose of this work is to measure the complex permittivity of different types of
colon polyps, cancer, and normal mucosa in ex vivo human samples to study if the
dielectric properties are appropriate for classification purposes. METHODS: The
complex permittivity of freshly excised healthy colon tissue, cancer, and
histological samples of different types of polyps from 23 patients was
characterized using an open-ended coaxial probe between 0.5 and 20 GHz. The
obtained measurements were classified into five tissue groups before applying a
data reduction step with a frequency dispersive single-pole Debye model. The
classification was finally compared with pathological analysis of tissue samples,
which is the gold standard. RESULTS: The complex permittivity progressively
increases as the tissue degenerates from normal to cancer. When comparing to the
gold-standard histological tissue analysis, the sensitivity and specificity of
the proposed method is the following: 100% and 95% for cancer diagnosis; 91% and
62% for adenomas with high-grade dysplasia; 100% and 61% for adenomas with low
grade dysplasia; and 100% and 74% for hyperplastic polyps, respectively. In
addition, complex permittivity measurements were independent of the lesion shape
and size, which is also an interesting property comparing to current colonoscopy
techniques. CONCLUSIONS: The contrast in complex permittivities between normal
and abnormal colon tissues presented here for the first time demonstrate the
potential of these measurements for tissue classification. It also opens the door
to the development of a microwave endoscopic device to complement the outcomes of
colonoscopy with functional tissue information.
PMID- 29807392
TI - Genetics in mainstream medicine: Finally within grasp to influence healthcare
globally.
AB - A modern genomics ecosystem has emerged. This commentary describes recent trends
in clinical genomics that enable its successful integration in mainstream
medicine. The rapid expansion of clinical genomics will have a positive impact on
the healthcare of individuals worldwide.
PMID- 29807393
TI - Design and development of a nonrigid phantom for the quantitative evaluation of
DIR-based mapping of simulated pulmonary ventilation.
AB - PURPOSE: The validation of deformable image registration (DIR)-based pulmonary
ventilation mapping is time consuming and prone to inaccuracies and is also
affected by deformation parameters. In this study, we developed a nonrigid
phantom as a quality assurance (QA) tool that simulates ventilation to evaluate
DIR-based images quantitatively. METHODS: The phantom consists of an acrylic
cylinder filled with polyurethane foam designed to simulate pulmonic alveoli. A
polyurethane membrane is attached to the inferior end of the phantom to simulate
the diaphragm. In addition, tracheobronchial-tree-shaped polyurethane tubes are
inserted through the foam and converge outside the phantom to simulate the
trachea. Solid polyurethane is also used to model arteries, which closely follow
the model airways. Two three-dimensional (3D) CT scans were performed during
exhalation and inhalation phases using xenon (Xe) gas as the inhaled contrast
agent. The exhalation 3D-CT image is deformed to an inhalation 3D-CT image using
our in-house program based on the NiftyReg open-source package. The target
registration error (TRE) between the two images was calculated for 16 landmarks
located in the simulated lung volume. The DIR-based ventilation image was
generated using Jacobian determinant (JD) metrics. Subsequently, differences in
the Hounsfield unit (HU) values between the two images were measured. The
correlation coefficient between the JD and HU differences was calculated. In
addition, three 4D-CT scans are performed to evaluate the reproducibility of the
phantom motion and Xe gas distribution. RESULTS: The phantom exhibited a variety
of displacements for each landmark (range: 1-20 mm). The reproducibility analysis
indicated that the location differences were <1 mm for all landmarks, and the HU
variation in the Xe gas distribution was close to zero. The mean TRE in the
evaluation of spatial accuracy according to the DIR software was 1.47 +/- 0.71 mm
(maximum: 2.6 mm). The relationship between the JD and HU differences had a large
correlation (R = -0.71) for the DIR software. CONCLUSION: The phantom implemented
new features, namely, deformation and simulated ventilation. To assess the
accuracy of the DIR-based mapping of the simulated pulmonary ventilation, the
phantom allows for simulation of Xe gas wash-in and wash-out. The phantom may be
an effective QA tool, because the DIR algorithm can be quickly changed and its
accuracy evaluated with a high degree of precision.
PMID- 29807394
TI - In modern linacs monitor units should be defined in water at 10 cm depth rather
than at dmax.
PMID- 29807395
TI - Image-domain multimaterial decomposition for dual-energy CT based on prior
information of material images.
AB - PURPOSE: Dual-Energy Computed Tomography (DECT) is of great interest in medical
imaging, security inspection, and nondestructive testing. Most DECT
reconstruction methods focus on producing two material images with different
linear attenuation coefficients. However, the ability to reconstruct three or
more basis materials is clinically and industrially important. Under the
assumption that there are at most three materials in each pixel, there are a few
methods that estimate multiple material images from DECT measurements by
enforcing sum-to-one and a box constraint ([0 1]) derived from both the volume
and mass conservation assumption. The recently proposed image-domain
multimaterial decomposition (MMD) method introduces edge-preserving
regularization for each material image. It enforces the assumption that there are
at most three materials in each pixel using a time-consuming loop over all
possible material triplets. However, this method neglects relations among
material images. We propose a new image-domain MMD model for DECT that considers
the prior information that different material images have common or complementary
edges and encourages sparsity of material composition in each pixel using
regularization. METHOD: The proposed PWLS-TNV-l0 method uses penalized weighted
least-square (PWLS) reconstruction with three regularization terms. The first
term is total nuclear variation (TNV) that accounts for the image property that
basis material images share common or complementary boundaries and each material
image is piecewise constant. The second term is an l0 norm that encourages each
pixel containing a small subset of material types out of several possible
materials. The third term is a characteristic function based on sum-to-one and a
box constraint derived from the volume and mass conservation assumption. We apply
the Alternating Direction Method of Multipliers (ADMM) to optimize the cost
function of the PWLS-TNV-l0 method. RESULT: We evaluated the proposed method on a
simulated digital phantom, Catphan(c)600 phantom and patient's pelvis data. We
implemented two existing image-domain MMD methods for DECT, the Direct Inversion
and the PWLS-EP-LOOP method. We initialized the PWLS-TNV-l0 method and the PWLS
EP-LOOP method with the results of the Direct Inversion method and compared
performance of the proposed method with that of the PWLS-EP-LOOP method. The
proposed method lowers the bias of decomposed material fractions by 84.47% in the
digital phantom study, by 99.50% in the Catphan(c)600 phantom study, and by
99.64% in the pelvis patient study, respectively, compared to the PWLS-EP-LOOP
method. The proposed method reduces noise standard deviation (STD) by 52.21% in
the Catphan(c)600 phantom study, and by 16.74% in the patient's pelvis study,
compared to the PWLS-EP-LOOP method. The proposed method increases volume
fraction accuracy by 6.04%,20.55%, and 13.46% for the digital phantom, the
Catphan(c)600 phantom, and the patient's pelvis study, respectively, compared to
the PWLS-EP-LOOP method. Compared with the PWLS-EP-LOOP method, the root mean
square percentage error [RMSE(%)] of electron densities in the Catphan(c)600
phantom is decreased by about 7.39%. CONCLUSIONS: We proposed an image-domain MMD
method, PWLS-TNV-l0 , for DECT. The PWLS-TNV-l0 method takes low rank property of
material image gradients, sparsity of material composition and mass and volume
conservation into consideration. The proposed method suppresses noise, reduces
cross contamination, and improves accuracy in the decomposed material images,
compared to the PWLS-EP-LOOP method.
PMID- 29807396
TI - ASP8273 tolerability and antitumor activity in tyrosine kinase inhibitor-naive
Japanese patients with EGFR mutation-positive non-small-cell lung cancer.
AB - Epidermal growth factor receptor (EGFR) activating mutations occur in
approximately 50% of East Asian patients with non-small-cell lung cancer (NSCLC)
and confer sensitivity to tyrosine kinase inhibitors (TKIs). ASP8273 is an
irreversible EGFR-TKI, given orally, that inhibits EGFR activating mutations and
has shown clinical activity in patients with EGFR mutation-positive NSCLC.
Epidermal growth factor receptor-TKI-naive Japanese adult patients (>=20 years)
with NSCLC harboring EGFR mutations were enrolled in this open-label, single-arm,
phase II study (ClinicalTrials.gov identifier NCT02500927). Patients received
ASP8273 300 mg once daily until discontinuation criteria were met. The primary
end-point was to determine the safety of ASP8273 300 mg; the secondary end-point
was antitumor activity defined by RECIST version 1.1. Thirty-one patients (12 men
and 19 women; median age, 64 years [range, 31-82 years]) with EGFR mutation
positive NSCLC were enrolled; as of 23 February 2016, 25 patients (81%) were
still on study. Of the 31 patients, 27 (87%) had an exon 19 deletion (n = 13,
42%) or an L858R (n = 14, 45%) EGFR activating mutation, and two (7%) had an
L861Q mutation. Five patients (16%) had other EGFR activating mutations, two had
an activating mutation and the T790M resistance mutation. The most commonly
reported treatment-emergent adverse event was diarrhea (n = 24, 77%). All
patients had at least one post-baseline scan; one patient (3%) achieved a
confirmed complete response, 13 (42%) had a confirmed partial response, and 15
(48%) had confirmed stable disease (disease control rate, 94% [n = 29/31]) per
investigator assessment. Once-daily ASP8273 at 300 mg was generally well
tolerated and showed antitumor activity in TKI-naive Japanese patients with EGFR
mutation-positive NSCLC.
PMID- 29807397
TI - Development and Characterization of an Anti-Acne Gel Containing Siamese Crocodile
(Crocodylus siamensis) Leukocyte Extract.
AB - Leukocytes are reportedly the first line of the innate immune defense and
essential for the control of common bacterial infections. Therefore, in this
work, the antibacterial activity of crocodile leukocyte extract against
Propionibacterium acnes was evaluated, and we also characterized the related
activity of skin infection. The leukocyte extract showed the minimum inhibitory
concentration to be 100 MUg/ml to P. acnes. SEM imaging demonstrated that the
leukocyte extract adversely affected P. acnes cell permeability in a
concentration-dependent manner. Furthermore, the crocodile leukocyte extract
could significantly reduce proinflammatory markers and decrease inflammatory
signs in infected mouse ears. The crude leukocyte extract was further purified
using FPLC and RP-HPLC. The resulting fraction F5 was indicated as the anti-acne
peptide-containing fraction. The molecular mass of the peptide contained in F5
was calculated to be 4,790.5 Da. N-Terminal sequencing revealed the amino acid
sequence as GPEPVPAIYQ, which displays similarities to immunoglobulin A and
leucine-rich repeat neuronal protein. This is the first reported amino acid
sequence of a crocodile leukocyte extract that possesses anti-acne activity. To
attempt to use it in a prototype cosmetic, an anti-acne gel containing crude
crocodile leukocyte extract was formulated, resulting in seven gel formulations
(G1, G2, G3, G4, G5, G6, and G7). The formulations G5, G6, and G7 exhibited 2
fold higher anti-acne activity than G1-G4. Investigation of accelerating
stability studies of anti-acne gel formulations G5, G6, and G7 demonstrated that
a low storage temperature (4 degrees C) is suitable for maintaining the physical
properties and biological activity of the anti-acne gel products.
PMID- 29807398
TI - Bioproduction of trans-10,cis-12-Conjugated Linoleic Acid by a Highly Soluble and
Conveniently Extracted Linoleic Acid Isomerase and an Extracellularly Expressed
Lipase from Recombinant Escherichia coli Strains.
AB - The low solubility and high-cost recovery of Propionibacterium acnes
polyunsaturated fatty acid isomerase (PAI) are key problems in the bioproduction
of high value-added conjugated linoleic acid (CLA). To improve the solubility of
recombinant PAI, six chaperone proteins were coexpressed with PAI. Introduction
of GroELS proteins dramatically improved the PAI solubility from 29% to 97%, with
increased activity by 57.8%. Combined expression of DnaKJ-GrpE and GroELS
proteins increased the activity by 11.9%. In contrast, coexpression of DnaKJ-GrpE
proteins significantly reduced the activity by 57.4%. Plasmids pTf16 harboring
the tig gene and pG-Tf2 containing the tig and groEL-groES genes had no visible
impact on PAI expression. The lytic protein E was then introduced into the
recombinant Escherichia coli to develop a cell autolysis system. A 35% activity
of total intracellular PAI was released from the cytoplasm by suspending the
lysed cells in distilled water. The PAI recovery was further improved to 81% by
optimizing the release conditions. The lipase from Rhizopus oryzae was also
expressed in E. coli, with an extracellular activity of 110.9 U/ml. By using the
free PAI and lipase as catalysts, a joint system was established for producing
CLA from sunflower oil. Under the optimized conditions, the maximum titer of t
10,c-12-CLA reached 9.4 g/l. This work provides an effective and low-cost
strategy to improve the solubility and recovery of the recombinant intracellular
PAI for further large-scale production of CLA.
PMID- 29807399
TI - Stimulatory Effects of Sugarcane Molasses on Fumigaclavine C Biosynthesis by
Aspergillus fumigatus CY018 via Biofilm Enhancement.
AB - Biofilms are of vital significance in bioconversion and biotechnological
processes. In this work, sugarcane molasses was used to enhance biofilms for the
improvement of the production of fumigaclavine C (FC), a conidiation-associated
ergot alkaloid with strong anti-inflammatory activities. Biofilm formation was
more greatly induced by the addition of molasses than the addition of other
reported biofilm inducers. With the optimal molasses concentration (400 g/l), the
biofilm biomass was 6-fold higher than that with sucrose, and FC and conidia
production was increased by 5.8- and 3.1-fold, respectively. Moreover, the global
secondary metabolism regulatory gene laeA, FC biosynthetic gene fgaOx3, and
asexual central regulatory genes brlA and wetA were upregulated in molasses-based
biofilms, suggesting the upregulation of both asexual development and FC
biosynthesis. This study provides novel insight into the stimulatory effects of
molasses on biofilm formation and supports the widespread application of molasses
as an inexpensive raw material and effective inducer for biofilm production.
PMID- 29807400
TI - Exogenous Indole Regulates Lipopeptide Biosynthesis in Antarctic Bacillus
amyloliquefaciens Pc3.
AB - Bacillus amyloliquefaciens Pc3 was isolated from Antarctic seawater with
antifungal activity. In order to investigate the metabolic regulation mechanism
in the biosynthesis of lipopeptides in B. amyloliquefaciens Pc3, GC/MS-based
metabolomics was used when exogenous indole was added. The intracellular
metabolite profiles showed decreased asparagine, aspartic acid, glutamine,
glutamic acid, threonine, valine, isoleucine, hexadecanoic acid, and octadecanoic
acid in the indole-treated groups, which were involved in the biosynthesis of
lipopeptides. B. amyloliquefaciens Pc3 exhibited a growth promotion, bacterial
total protein increase, and lipopeptide biosynthesis inhibition upon the addition
of indole. Besides this, real-time PCR analysis further revealed that the
transcription of lipopeptide biosynthesis genes ituD, fenA, and srfA-A were
downregulated by indole with 22.4-, 21.98-, and 26.0-fold, respectively. It
therefore was speculated that as the metabolic flux of most of the amino acids
and fatty acids were transferred to the synthesis of proteins and biomass,
lipopeptide biosynthesis was weakened owing to the lack of precursor amino acids
and fatty acids.
PMID- 29807402
TI - [Clinical value of pegylated interferon-alpha plus ribavirin-based therapy in
antiviral treatment of chronic hepatitis C in China].
AB - Chronic hepatitis C virus (HCV) infection is one of the most common causes of
liver cirrhosis and hepatocellular carcinoma in China. The older standard
treatment regimen for chronic hepatitis C was the pegylated interferon-alfa plus
ribavirin(PR). Now newer oral medications called direct antiviral agents (DAAs)
has been gradually changed to PR-based DAAs and interferon-free, oral DAAs;
making chronic hepatitis C a curable disease. This article intends to expound the
advantages and disadvantages of PR-based therapy and provide reference for the
treatment of chronic hepatitis C.
PMID- 29807401
TI - Infection Density Dynamics and Phylogeny of Wolbachia Associated with Coconut
Hispine Beetle, Brontispa longissima (Gestro) (Coleoptera: Chrysomelidae), by
Multilocus Sequence Type (MLST) Genotyping.
AB - The intracellular bacterium Wolbachia pipientis is widespread in arthropods.
Recently, possibilities of novel Wolbachia-mediated hosts, their distribution,
and natural rate have been anticipated, and the coconut leaf beetle Brontispa
longissima (Gestro) (Coleoptera: Chrysomelidae), which has garnered attention as
a serious pest of palms, was subjected to this interrogation. By adopting
Wolbachia surface protein (wsp) and multilocus sequence type (MLST) genotypic
systems, we determined the Wolbachia infection density within host developmental
stages, body parts, and tissues, and the results revealed that all the tested
samples of B. longissima were infected with the same Wolbachia strain (wLog),
suggesting complete vertical transmission. The MLST profile elucidated two new
alleles (ftsZ-234 and coxA-266) that define a new sequence type (ST-483), which
indicates the particular genotypic association of B. longissima and Wolbachia.
The quantitative real-time PCR analysis revealed a higher infection density in
the eggs and adult stage, followed by the abdomen and reproductive tissues,
respectively. However, no significant differences were observed in the infection
density between sexes. Moreover, the wsp and concatenated MLST alignment analysis
of this study with other known Wolbachia-mediated arthropods revealed similar
clustering with distinct monophyletic supergroup B. This is the first
comprehensive report on the prevalence, infection dynamics, and phylogeny of the
Wolbachia endosymbiont in B. longissima, which demonstrated that Wolbachia is
ubiquitous across all developmental stages and distributed in the entire body of
B. longissima. Understanding the Wolbachia infection dynamics would provide
useful insight to build a framework for future investigations, understand its
impacts on host physiology, and exploit it as a potential biocontrol agent.
PMID- 29807403
TI - The impact of first-trimester intrauterine hematoma on adverse perinatal
outcomes.
AB - PURPOSE: The aim of this study was to evaluate whether the presence of an
intrauterine hematoma (IUH) on an early pregnancy ultrasound scan showing a live
fetus was related to adverse perinatal outcomes. METHODS: We performed a
retrospective cohort study to evaluate pregnant women who underwent an ultrasound
examination in early pregnancy, between 6 weeks 0 days and 10 weeks 6 days. We
compared the perinatal outcomes between women with and without firsttrimester IUH
using the Mann-Whitney and Fisher exact tests. Furthermore, we performed a
stepwise regression analysis to identify possible predictors of miscarriage among
maternal characteristics, ultrasound parameters, and IUH. RESULTS: During the
study period, data from 783 pregnancies were included, and the incidence of IUH
was 4.5% (35 of 783). We observed a higher proportion of miscarriage following
the scan (28.6% vs. 10%, P=0.003) and a larger yolk sac diameter during the scan
(4.8 mm vs. 3.8 mm, P<0.001) in the pregnant women with first-trimester IUH.
There was no significant difference regard the prevalence of low birth weight
(LBW; P=0.091), very LBW (P=0.370), or extremely LBW (P=0.600) between cases with
IUH and without IUH, the cesarean section rate (68% vs. 81%, P=0.130), preterm
delivery (16% vs. 16%, P>0.999), or the incidence of first-trimester vaginal
bleeding (31% vs. 20%, P=0.130). Moreover, heart rate (HR) was the only variable
that predicted miscarriage with statistical significance (P=0.017). CONCLUSION:
Women with first-trimester IUH had a higher risk of miscarriage after the
ultrasound scan. HR was the only variable that predicted miscarriage with
statistical significance.
PMID- 29807404
TI - Plasma Macrophage Migration Inhibitory Factor and CCL3 as Potential Biomarkers
for Distinguishing Patients with Nasopharyngeal Carcinoma from High Risk
Individuals Who Have Positive Epstein-Barr Virus Capsid Antigen-Specific IgA.
AB - Purpose: The purpose of this study was to identify novel plasma biomarkers for
distinguishing nasopharyngeal carcinoma (NPC) patients from healthy individuals
who have positive Epstein-Barr virus (EBV) viral capsid antigen (VCA-IgA).
Materials and Methods: One hundred seventy-four plasma cytokines were analyzed by
a Cytokine Array in eight healthy individuals with positive EBV VCA-IgA and eight
patients with NPC. Real-time polymerase chain reaction, Western blotting, enzyme
linked immunosorbent assay (ELISA), and immunohistochemistry were employed to
detect the expression levels of macrophage migration inhibitory factor (MIF) and
CC chemokine ligand 3 (CCL3) in NPC cell lines and tumor tissues. Plasma MIF and
CCL3 were measured by ELISA in 138 NPC patients, 127 EBV VCA-IgA negative (VN)
and 100 EBV VCA-IgA positive healthy donors (VP). Plasma EBV VCA-IgA was
determined by immunoenzymatic techniques. Results: Thirty-four of the 174
cytokines varied significantly between the VP and NPC group. Plasma MIF and CCL3
were significantly elevated in NPC patients compared with VN and VP. Combination
of MIF and CCL3 could be used for the differential diagnosis of NPC from VN
cohort (area under the curve [AUC], 0.913; sensitivity, 90.60; specificity,
80.30%), and combination of MIF, CCL3, and VCA-IgA could be used for the
differential diagnosis of NPC from VP cohort (AUC, 0.920; sensitivity, 90.60;
specificity, 84.00%), from (VN + VP) cohort (AUC, 0.961; sensitivity, 90.60;
specificity, 92.00%). Overexpressions of MIF and CCL3 were observed in NPC
plasma, NPC cell lines and NPC tissues. Conclusion: Plasma MIF, CCL3, and VCA-IgA
combination significantly improves the diagnostic specificity of NPC in high-risk
individuals.
PMID- 29807405
TI - Rare Mechanism of Acquired Resistance to Osimertinib in Korean Patients with EGFR
mutated Non-small Cell Lung Cancer.
AB - Epidermal growth factor receptor (EGFR)-tyrosine kinase inhibitors (TKIs) are
effective clinical therapeutics for EGFR-mutant non-small cell lung cancer
(NSCLC). Osimertinib, a third-generation EGFR TKI, has proven effective against
T790M mutations. However, the vast majority of patients acquire resistance
following successful treatment. A 59-year-old female metastatic NSCLC patient
developed resistance after 43 weeks of osimertinib. CancerSCAN of the metastatic
liver lesion revealed a EGFR C797G mutation at an allele frequency of 72%, a pre
existing T790M mutation (73%) in cis and an exon 19 deletion (87%). Another 53
year-old female patient developed systemic progression after 10 months of
osimertinib. CancerSCAN of the lung biopsy identified an EGFR L718Q mutation at
an allele frequency of 7%, concomitant PIK3CA E545K (12.90%) and pre-existing
EGFR L858R (38%), but loss of the T790M mutation. The heterogeneity of
osimertinib resistance mechanisms warrants further investigation into novel or
combination agents to overcome the rare acquired resistances.
PMID- 29807406
TI - Mortality from aluminum phosphide poisoning in Kermanshah Province, Iran:
characteristics and predictive factors.
AB - OBJECTIVES: Aluminum phosphide (ALP), also known in Iran as rice tablets, is one
of the most effective rodenticides used to protect stored grain. However, ALP
poisoning regularly causes mortality in humans. The aim of this study was to
evaluate the characteristics and predictive factors of mortality from ALP
poisoning. METHODS: This study evaluated all patients with ALP poisoning referred
to Imam Khomeini Hospital in Kermanshah Province, Iran from 2014 to 2015. For
each patient, the following information was recorded: age, sex, the number of
tablets consumed, the number of suicide attempts, the time elapsed from
consumption to treatment, blood pressure, blood pH, HCO3 levels, and PCO2 .
Differences between the survivors and non-survivors of ALP poisoning were
analyzed using univariate logistic regression and multivariate analysis. RESULTS:
In this study, 48 patients were male and 29 patients were female (total: 77
patients). The average age of the survivors and non-survivors was 28.7 and 31.3
years, respectively. All cases (100%) of ALP poisoning were intentional, with the
goal of committing suicide. The main predictive variables of mortality from ALP
poisoning were blood pressure, blood pH, and time elapsed from consumption to
treatment. CONCLUSIONS: The likelihood of mortality in patients with ALP
poisoning can be predicted using blood pressure, blood pH, and time elapsed from
consumption to treatment. These findings may help healthcare providers take more
effective measures to treat patients with ALP poisoning.
PMID- 29807407
TI - Associations between dietary risk factors and ischemic stroke: a comparison of
regression methods using data from the Multi-Ethnic Study of Atherosclerosis.
AB - OBJECTIVES: We analyzed dietary patterns using reduced rank regression (RRR), and
assessed how well the scores extracted by RRR predicted stroke in comparison to
the scores produced by partial least squares and principal component regression
models. METHODS: Dietary data at baseline were used to extract dietary patterns
using the 3 methods, along with 4 response variables: body mass index,
fibrinogen, interleukin-6, and low-density lipoprotein cholesterol. The analyses
were based on 5,468 males and females aged 45-84 years who had no clinical
cardiovascular disease, using data from the Multi-Ethnic Study of
Atherosclerosis. RESULTS: The primary factor derived by RRR was positively
associated with stroke incidence in both models. The first model was adjusted for
sex and race and the second model was adjusted for the variables in model 1 as
well as smoking, physical activity, family and sibling history of stroke, the use
of any lipid-lowering medication, the use of any anti-hypertensive medication,
hypertension, and history of myocardial infarction (model 1: hazard ratio [HR],
7.49; 95% confidence interval [CI], 1.66 to 33.69; p for trend=0.01; model 2: HR,
6.83; 95% CI, 1.51 to 30.87 for quintile 5 compared with the reference category;
p for trend=0.02). CONCLUSIONS: Based primarily on RRR, we identified that a
dietary pattern high in fats and oils, poultry, non-diet soda, processed meat,
tomatoes, legumes, chicken, tuna and egg salad, and fried potatoes and low in
dark-yellow and cruciferous vegetables may increase the incidence of ischemic
stroke.
PMID- 29807408
TI - Prevalence, awareness, treatment, control, and risk factors of hypertension among
adults: a cross-sectional study in Iran.
AB - OBJECTIVES: Hypertension (HTN) is an important risk factor for cardiovascular
disease. Considering the importance of this disease for public health, this study
was designed in order to determine the prevalence, awareness, treatment, control,
and risk factors of HTN in the Iranian adult population. METHODS: This cross
sectional study was conducted among 2,107 residents of Isfahan, Iran. Samples
were selected through multi-stage random cluster sampling in 2015-2016. The
outcome variable was HTN, determined by measuring blood pressure in the right arm
via a digital arm blood pressure monitor. Awareness, treatment, and control of
HTN were assessed by a validated and reliable researcher-developed questionnaire.
Other demographic and clinical variables were assessed via a demographic
questionnaire. RESULTS: The overall prevalence of HTN was 17.3% (18.9 and 15.5%
in men and women, respectively). The prevalence of HTN increased in both genders
with age. The prevalence of awareness of HTN among people with HTN was 69.2%, of
whom 92.4 and 59.9% were taking medication for HTN and had controlled HTN,
respectively. Logistic regression identified age, body mass index, having
diabetes and hyperlipidemia, and a positive family history of HTN as determinants
of awareness of HTN. CONCLUSIONS: The results showed that HTN was highly
prevalent in the community, especially in men and in middle-aged and older
adults. Approximately 30.8% of patients were unaware of their disease, and there
was less awareness among younger adults. Despite the high frequency of taking
medication to treat HTN, it was uncontrolled in more than 40.1% of patients.
Health policy-makers should therefore consider appropriate preventive and
therapeutic strategies for these high-risk groups.
PMID- 29807409
TI - Effects of human and organizational deficiencies on workers' safety behavior at a
mining site in Iran.
AB - OBJECTIVES: Throughout the world, mines are dangerous workplaces with high
accident rates. According to the Statistical Center of Iran, the number of
occupational accidents in Iranian mines has increased in recent years. This study
investigated and analyzed the human and organizational deficiencies that
influenced Iranian mining accidents. METHODS: In this study, the data associated
with 305 mining accidents were analyzed using a systems analysis approach to
identify critical deficiencies in organizational influences, unsafe supervision,
preconditions for unsafe acts, and workers' unsafe acts. Partial least square
structural equation modeling (PLS-SEM) was utilized to model the interactions
among these deficiencies. RESULTS: Organizational deficiencies had a direct
positive effect on workers' violations (path coefficient, 0.16) and workers'
errors (path coefficient, 0.23). The effect of unsafe supervision on workers'
violations and workers' errors was also significant, with path coefficients of
0.14 and 0.20, respectively. Likewise, preconditions for unsafe acts had a
significant effect on both workers' violations (path coefficient, 0.16) and
workers' errors (path coefficient, 0.21). Moreover, organizational deficiencies
had an indirect positive effect on workers' unsafe acts, mediated by unsafe
supervision and preconditions for unsafe acts. Among the variables examined in
the current study, organizational influences had the strongest impact on workers'
unsafe acts. CONCLUSIONS: Organizational deficiencies were found to be the main
cause of accidents in the mining sector, as they affected all other aspects of
system safety. In order to prevent occupational accidents, organizational
deficiencies should be modified first.
PMID- 29807410
TI - Sleep quality and associated factors among the elderly living in rural Chiang
Rai, northern Thailand.
AB - OBJECTIVES: This study aimed to characterize the prevalence of poor sleep quality
and to identify associated factors among community-dwelling elderly individuals
in northern Thailand. METHODS: A cross-sectional study was conducted among 266
randomly selected elderly people in a sub-district in rural Chiang Rai Province,
northern Thailand. The participants were interviewed using the Thai version of
the Pittsburgh Sleep Quality Index (PSQI). RESULTS: Roughly 44.0% of the
participants had poor sleep quality (PSQI score, >5), 9.4% used sleep medication,
27.1% had poor family relationships, and 12.0% had mild depression. Multiple
logistic regression analysis indicated that being female (odds ratio [OR], 1.74;
95% confidence interval [CI], 1.10 to 3.02), a higher education level (OR, 3.03;
95% CI, 1.34 to 6.86 for primary school; OR, 2.48; 95% CI, 1.31 to 5.44 for
higher than primary school), mild depression (OR, 2.65; 95% CI, 1.11 to 6.36),
and poor family relationships (OR, 3.65; 95% CI, 1.98 to 6.75) were significantly
associated with poor sleep quality. CONCLUSIONS: The prevalence of poor sleep
quality among the elderly was moderately high. Healthcare providers should
regularly conduct screenings for sleep quality and depression; provide sleep
health education; and conduct interventions to encourage participating in family
activities, resolving conflicts, sharing ideas, and making compromises within the
family.
PMID- 29807411
TI - Is inadequate play area in schools associated with overweight among students in
Addis Ababa, Ethiopia? A comparative cross-sectional study.
AB - OBJECTIVES: The prevalence of childhood obesity has more than doubled since it
was formally recognized as a global epidemic in 1997. With the increasingly
dwindling space for private schools in Ethiopia, unresolved concerns exist among
the public regarding the possible effect of limited play areas in schools on
overweight/obesity. This study intended to determine and compare the levels of
overweight/obesity among adolescents in private schools with and without adequate
play area in Addis Ababa, Ethiopia. METHODS: A school-based comparative cross
sectional study was conducted among 1,276 adolescents. Twenty private schools
were grouped into 2 groups based on the size of the play area. Data were
collected using a pre-tested questionnaire and anthropometric measurements and
analyzed using descriptive statistical tests and logistic regression. RESULTS:
The magnitude of overweight/obesity was significantly higher in schools with
inadequate play area (19.4%; 95% confidence interval [CI], 16.4 to 22.7) than in
schools with adequate play area (14.6%; 95% CI, 11.9 to17.5). Inadequacy of the
play area was also positively associated with overweight/obesity in the multiple
logistic regression analysis (odds ratio [OR], 1.62; 95% CI, 1.05 to 2.51). Using
private car transportation to and from school (OR, 2.27; 95% CI, 1.13 to 4.57),
father's educational status (secondary school and above: OR, 2.54; 95% CI, 1.14
to 5.62), and middle wealth quintile (OR, 2.54; 95% CI, 1.50 to 4.33) were other
factors significantly associated with overweight/obesity. CONCLUSIONS: Inadequate
play area in schools was an important contributor to overweight/obesity.
Sedentary behavior was also significantly associated with overweight/obesity.
PMID- 29807412
TI - Appropriate level of alfalfa hay in diets for rearing Simmental crossbred calves
in dryland China.
AB - OBJECTIVE: In dryland areas of China, alfalfa hay (AH) is a possible substitute
for concentrate feed for beef cattle. To evaluate the potential benefits of this
substitution, we studied the effect of the ratio of AH intake to total dry matter
(DM) intake on average daily body-weight gain (ADG), dietary energy utilization
status, and economic benefit in Gansu province. METHODS: In each of two feeding
trials in 2016 (trial 1 [T1], July 3 to 17; trial 2 [T2], August 15 to September
23), crossbred male Simmental calves were allocated to low AH (LA), medium AH
(MA), and high AH (HA) feeding groups (n = 4 per group). The target ADG was set
as 1 kg for both trials. In a one-way-layout design based on conventional feeding
practices in the province, calves received diets containing the different AH
amounts, with a constant ratio of corn stover:total DM and decreasing rations of
concentrate feed proportional to the increase in AH. Calves in T1 received AH at
15% (T1-LA), 23% (T1-MA), or 31% (T1-HA) of their dietary DM allowances; those in
T2 received 9% (T2-LA), 24% (T2-MA), or 34% (T2-HA) AH. RESULTS: Among the T1
groups, both ADG and economic benefit were highest in T1-LA; whereas in T2, they
were higher in the T2-LA and T2-MA groups than in T2-HA. Energy digestibility did
not significantly differ among the groups in either trial. The dietary AH
inclusion ratios of 14% in the warm season and 8% to 21% in the cool season
appeared to yield optimal ADG, metabolizable energy intake, and economic benefit.
CONCLUSION: Low-level inclusion of AH, ranging from 8% to 21%, is a practical
approach for beef cattle feeding. This modified feeding regimen likely will
promote increased growth performance during the fattening stage of beef steers in
dryland areas of Gansu province, China.
PMID- 29807413
TI - Can cactus (Opuntia stricta [Haw.] Haw) cladodes plus urea replace wheat bran in
steers' diet?
AB - OBJECTIVE: The study aimed to evaluate the effect of replacing wheat bran for
cactus cladodes plus urea (0%, 25%, 50%, 75%, and 100%) on the intake of
nutrients, nitrogen balance, microbial protein synthesis, and rumen fermentation
for steers. METHODS: Five crossbred steers (1/2 Holstein-Zebu), with rumen
cannula and an average body weight of 180+/-5.3 kg, were assigned to a 5*5 Latin
square design. Dietary treatments consisted of the replacement of the total of
wheat bran in basal diet by cactus cladodes using the following proportions: 0%
for basal diet, 25%, 50%, 75%, and 100% cactus cladodes replacing wheat bran.
Urea was added to the diets to adjust the crude protein (CP) content to 130 g/kg
dry matter. RESULTS: Maximum dry matter intake (5.73 kg/d) and maximum nitrogen
balance (103 g/d) were estimated for 54.6% and 70.8% replacement levels of wheat
bran. The maximum microbial protein production (44.6 g/d) was obtained at a
replacement level of 49.7%, and a medium value (125 g CP mic/kg total digestible
nutrients) of microbial protein efficiency was observed. The rumen pH increased
linearly according to cactus cladodes inclusion, while the ammonia nitrogen
medium value was 24.5 mg/dL. CONCLUSION: The replacement of 55% wheat bran for
cactus cladodes plus urea in the diet of crossbred steers is recommended.
PMID- 29807414
TI - Determination and prediction of the digestible and metabolizable energy contents
of corn germ meal in growing pigs.
AB - Objective: This experiment was conducted to determine the chemical composition,
DE and ME contents of corn germ meals (CGM) and to develop equations to predict
the corresponding energy contents based on the chemical characteristics of
individual CGM. Methods: Sixty-six barrows (initial BW = 51.3 +/- 4.6 kg) were
allotted to 11 diets including a basal diet and 10 CGM test diets in a completely
randomized design. In the test diets, CGM was included in replacement of 30% of
the energy-providing ingredients in the basal diet, resulting in a final
inclusion rate of 29.1%. Each diet was fed to 6 barrows housed in individual
metabolism crates for a 7-d acclimation period followed by a 5-d total but
separate collection of feces and urine. Results: Considerable variation was
observed in acid-hydrolyzed ether extract, ether extract, ash, calcium (Ca) and
total phosphorus contents among the CGM samples. On DM basis, the DE and ME
contents of the CGM ranged from 10.22 to 15.83 MJ/kg and from 9.94 to 15.43
MJ/kg, respectively. The ADF contents were negatively correlated with the DE and
ME contents of CGM samples. The best-fit prediction equations for the DE and ME
values (MJ/kg DM) of the 10 CGM were: DE = 26.85 - 0.28 insoluble dietary fiber
(%) - 17.79 Ca (%); ME = 21.05 - 0.43 ADF (%) - 11.40 Ca (%). Conclusion: The
chemical compositions of CGM vary depending on sources particularly in ether
extract, and Ca. The DE and ME values of CGM can be predicted based on their
chemical composition in growing pigs.
PMID- 29807415
TI - Current situation and future prospects for beef production in Lao People's
Democratic Republic - A review.
AB - Lao-native beef cattle are primarily Bos indicus, and most ruminant production in
Laos is still dominated by small-scale or backyard producers that use traditional
practices, resulting in low productivity. The cattle herd size in Laos has grown
by an average of 5 percent per year from 1.52 million in 2010/11 to 1.81 million
in 2014/15. In 2016, the Laos cattle population was 1.88 million head, with
smallholder farmers representing 98% of production despite efforts by the Laos
government to develop commercial-scale farms. There were 170 commercial cattle
farms in 2016, with 56 percent in the Central region of Laos. Although, overall,
ruminant meat production has tended to increase but with consumption at 7.29
kg/capita/yr in 2013, it remains insufficient to meet demand. Crop residues and
agro-industrial by-products used in ruminant diets include rice straw, cassava
pulp and wet brewers' grains as roughage, energy and protein sources,
respectively. The Belt and Road Initiative proposed by China in 2013 will connect
China closely with all countries in Southeast Asia. This initiative will change
landlocked Laos to land linked for investors who will benefit from convenient
transport at a lower cost, promoting agricultural production in Laos.
PMID- 29807416
TI - Current situation and future prospects for beef production in Europe - A review.
AB - The European Union (EU) is the world's third largest producer of beef. This
contributes to the economy, rural development, social life, culture and
gastronomy of Europe. The diversity of breeds, animal types (cows, bulls, steers,
heifers) and farming systems (intensive, extensive on permanent or temporary
pastures, mixed, breeders, feeders, etc) is a strength, and a weakness as the
industry is often fragmented and poorly connected. There are also societal
concerns regarding animal welfare and environmental issues, despite some positive
environmental impacts of farming systems. The EU is amongst the most efficient
for beef production as demonstrated by a relative low production of greenhouse
gases. Due to regional differences in terms of climate, pasture availability,
livestock practices and farms characteristics, productivity and incomes of beef
producers vary widely across regions, being among the lowest of the agricultural
systems. The beef industry is facing unprecedented challenges related to animal
welfare, environmental impact, origin, authenticity, nutritional benefits and
eating quality of beef. These may affect the whole industry, especially its
farmers. It is therefore essential to bring the beef industry together to spread
best practice and better exploit research to maintain and develop an economically
viable and sustainable beef industry. Meeting consumers' expectations may be
achieved by a better prediction of beef palatability using a modelling approach,
such as in Australia. There is a need for accurate information and dissemination
on the benefits and issues of beef for human health and for environmental impact.
A better objective description of goods and services derived from livestock
farming is also required. Putting into practice "agroecology" and organic farming
principles are other potential avenues for the future. Different future scenarios
can be written depending on the major driving forces, notably meat consumption,
climate change, environmental policies and future organization of the supply
chain.
PMID- 29807417
TI - Flipping the Switch: Fast Photoisomerization in a Confined Environment.
AB - Stimuli-responsive materials are vital for addressing emerging demands in the
advanced technology sector as well as current industrial challenges. Here, we
report for the first time that coordinative integration of photoresponsive
building blocks possessing photochromic spiropyran and diarylethene moieties
within a rigid scaffold of metal-organic frameworks (MOFs) could control
photophysics, in particular, cycloreversion kinetics, with a level of control
that is not accessible in the solid state or solution. On the series of
photoactive materials, we demonstrated for the first time that photoisomerization
rates of photochromic compounds could be tuned within almost 2 orders of
magnitude. Moreover, cycloreversion rates of photoresponsive derivatives could be
modulated as a function of the framework structure. Furthermore, through MOF
engineering we were able to achieve complete isomerization for coordinatively
immobilized spiropyran derivatives, typically exhibiting limited photoswitching
behavior in the solid state. For instance, spectroscopic analysis revealed that
the novel monosubstituted spiropyran derivative grafted to the backbone of the
MOF pillar exhibits a remarkable photoisomerization rate of 0.16 s-1, typical for
cycloreversion in solution. We also applied the acquired fundamental principles
toward mapping of changes in material properties, which could provide a pathway
for monitoring material aging or structural deterioration.
PMID- 29807418
TI - Cottonseed Extracts and Gossypol Regulate Diacylglycerol Acyltransferase Gene
Expression in Mouse Macrophages.
AB - Plant bioactive polyphenols have been used for the prevention and treatment of
various diseases since ancient history. Cotton ( Gossypium hirsutum L.) seeds are
classified as glanded or glandless depending on the presence or absence of
pigment glands, which contain polyphenolic gossypol. Diacylglycerol
acyltransferases (DGATs) are integral membrane proteins that catalyze the last
step of triacylglycerol biosynthesis in eukaryotes. Understanding the regulation
of DGATs will provide information for therapeutic intervention for obesity and
related diseases. However, little was known if DGAT gene expression was regulated
by natural products. The objective of this study was to investigate the effects
of cottonseed extracts and gossypol on DGAT gene expression in mouse RAW264.7
macrophages. Mouse cells were treated with different concentrations of cottonseed
extracts, gossypol, and lipopolysaccharides (LPS) for various times. Quantitative
polymerase chain reaction assay showed that coat extract of glanded seeds had a
modest effect on DGAT1 and minimal effect on DGAT2 mRNA levels. Kernel extract of
glanded seeds had a minimal effect on DGAT1 but increased DGAT2 mRNA levels more
than 20-fold. Coat extract of glandless seeds and LPS had minimal effects on DGAT
mRNA levels. Kernel extract of glandless seeds did not have much effect on DGAT1
and slightly increased DGAT2 mRNA levels. Gossypol increased DGAT1 and DGAT2 mRNA
levels by up to three-fold and more than 80-fold, respectively. The coefficient
correlations ( R2) between DGAT2 mRNA levels and glanded kernel extract and
gossypol concentrations were 0.82-0.99. This study suggests that Dgat2 is an
inducible gene rapidly responding to stimulators such as polyphenols whose
protein product DGAT2 plays an important role in fat biosynthesis. We conclude
that gossypol and ethanol extract from glanded cottonseed kernel are strong
stimulators of DGAT2 gene expression and that they may be novel agents for
intervention of lipid-related dysfunction via increasing DGAT2 gene expression in
target tissues.
PMID- 29807419
TI - In Situ Growth of the Ni3V2O8@PANI Composite Electrode for Flexible and
Transparent Symmetric Supercapacitors.
AB - Because of the poor specific capacitance of transparent and flexible
supercapacitors reported recently, exploring electrode materials with high
electrochemical properties for such devices is still a big challenge. We reported
that the Ni3V2O8@PANI composite has been synthesized using an in situ chemical
bath method. It was found that the synthesized Ni3V2O8@PANI composite has
outstanding electrochemical behaviors (specific capacitance value of 2565.7 F/g
at 5 mV/s, wide potential window, good rate capability), which are much superior
to those of Ni3V2O8 and PANI electrodes. The improved electrochemical behaviors
result from the synergistic effect between Ni3V2O8 and PANI. A symmetric flexible
and transparent supercapacitor was fabricated using the Ni3V2O8@PANI composite as
the working electrode. The device demonstrated a maximum areal capacitance of
58.5 mF/cm2 at 5 mV/s and an energy density of 20.8 MUW h/cm2 with 1.6 V
potential window. Furthermore, the capacitance retained nearly 88% of its
original value after 20 000 galvanostatic charging and discharging cycles. These
results favor the promising potential of the Ni3V2O8@PANI composite as the
electrode material for the application in flexible and transparent
supercapacitors.
PMID- 29807420
TI - Comprehensive Strategy to Construct In-House Database for Accurate and Batch
Identification of Small Molecular Metabolites.
AB - Identification of the metabolites is an essential step in metabolomics study to
interpret the regulatory mechanism of pathological and physiological processes.
However, it is still difficult in LC-MS n-based studies because of the complexity
of mass spectrometry, chemical diversity of metabolites, and deficiency of
standards database. In this work, a comprehensive strategy is developed for
accurate and batch metabolite identification in nontargeted metabolomics studies.
First, a well-defined procedure was applied to generate reliable and standard LC
MS2 data, including tR, MS1, and MS2 information at a standard operational
procedure. An in-house database including about 2000 metabolites was constructed
and used to identify the metabolites in nontargeted metabolic profiling by
retention time calibration using internal standards, precursor ion alignment and
ion fusion, auto-MS2 information extraction and selection, and database batch
searching and scoring. As an application example, a pooled serum sample was
analyzed to deliver the strategy, and 202 metabolites were identified in the
positive ion mode. It shows our strategy is useful for LC-MS n-based nontargeted
metabolomics study.
PMID- 29807421
TI - Electronic and Vibrational Spectroscopy of CsS.
AB - Using multi configurational ab initio methodologies, we compute the potential
energy curves (PECs) of the lowest electronic states of the diatomic CsS. These
computations are performed using internally contracted multireference interaction
configuration including Davidson correction (MRCI+Q) with and without considering
spin-orbit effects. The shapes of the PECs are governed by the interactions
between the two ionic states, 2Sigma+ and 2Pi, correlating at large internuclear
separations ( RCsS) to the first ionic dissociation limit and the other
electronic states correlating to the three lowest neutral dissociation limits.
Computations show the importance of considering a large amount of electron
correlation for the accurate description of the PECs and spectroscopy of this
molecular system. As expected, these PECs are also strongly affected by the spin
orbit interaction. For the bound states, we report a set of spectroscopic
parameters including equilibrium distances, dissociation energies, and
vibrational and rotational constants. The effects of spin-orbit-induced changes
on these parameters are also discussed. Moreover, we show that the 22Pi state
presents a "bowl" potential with a rather flat region extending to large RCsS
distances. After being promoted to this state, wavepackets should undergo strong
oscillations, similar to those observed by Zewail and co-workers for the NaI
molecule. These should provide information on the shape of the PEC for the 22Pi
state and also on the couplings between this and the neighboring states.
PMID- 29807423
TI - Topology-Guided Stepwise Insertion of Three Secondary Linkers in Zirconium Metal
Organic Frameworks.
AB - We report a topology-guided, precise insertion of three distinct secondary
linkers into a zirconium-based metal-organic framework, NPF-300. Constructed from
a tetratopic linker L and Zr6 cluster, NPP-300 exhibits a unique scu topology and
certain flexibility along the crystallographic a axis, and in conjunction with
the conformation change of the primary ligand, is able to accommodate the
stepwise insertion of three different secondary linkers along the a and c axes.
Size-matching and mechanic strain of the resulting framework are two important
factors that determine the chemical stability of the inserted linkers. Secondary
linker insertion in NPF-300 significantly enables not only its porosity but also
potentials to install up to three different functional groups for the
construction of multivariate MOFs with homogeneity.
PMID- 29807422
TI - Development of a High Coverage Pseudotargeted Lipidomics Method Based on Ultra
High Performance Liquid Chromatography-Mass Spectrometry.
AB - Lipid coverage is crucial in comprehensive lipidomics studies challenged by high
diversity in lipid structures and wide dynamic range in lipid levels. Current
state-of-the-art lipidomics technologies are mostly based on mass spectrometry
(MS), including direct-infusion MS, chromatography-MS, and matrix-assisted laser
desorption ionization (MALDI) imaging MS, each with its pros and cons. Due to the
need or favorability for measurement of isomers and isobars, chromatography-MS is
preferable for lipid profiling. The ultra-high performance liquid chromatography
high resolution mass spectrometry (UHPLC-HRMS)-based nontargeted lipidomics
approach and UHPLC-tandem MS (UHPLC-MS/MS)-based targeted approach are two
representative methodological platforms for chromatography-MS. In the present
study, we developed a high coverage pseudotargeted lipidomics method combining
the advantages of nontargeted and targeted lipidomics approaches. The high
coverage of lipids was achieved by integration of the detected lipids derived
from nontargeted UHPLC-HRMS lipidomics analysis of multiple matrices (e.g.,
plasma, cell, and tissue) and the predicted lipids speculated on the basis of the
structure and chromatographic retention behavior of the known lipids. A total of
3377 targeted lipid ion pairs with over 7000 lipid molecular structures were
defined. The pseudotargeted lipidomics method was well validated with
satisfactory analytical characteristics in terms of linearity, precision,
reproducibility, and recovery for lipidomics profiling. Importantly, it showed
better repeatability and higher coverage of lipids than the nontargeted
lipidomics method. The applicability of the developed pseudotargeted lipidomics
method was testified in defining differential lipids related to diabetes. We
believe that comprehensive lipidomics studies will benefit from the developed
high coverage pseudotargeted lipidomics approach.
PMID- 29807424
TI - Toxicity of Natural Deep Eutectic Solvent Betaine:Glycerol in Rats.
AB - Natural deep eutectic solvents (NaDES) are new natural solvents in green
chemistry that in some cases have been shown to allow better extraction of plant
bioactive molecules compared to conventional solvents and higher phenolic
compound absorption in rodents. However, there is a serious lack of information
regarding their in vivo safety. The purpose of this study was to verify the
safety of a NaDES (betaine:glycerol (1:2 mole ratio) of water) extract from green
coffee beans, rich in polyphenols. Twelve 6-week-old male Wistar rats were
randomized into two groups of 6 animals each and twice daily gavaged for 14 days
either with 3 mL of water or 3 mL of phenolic NaDES extract. Oral administration
of phenolic NaDES extract induced mortality in two rats. In addition, it induced
excessive water consumption, reduced dietary intake and weight loss,
hepatomegaly, and plasma oxidative stress associated with high blood lipid
levels. In conclusion, this work demonstrated the toxicity of oral administration
of the selected NaDES under a short-term condition. This occurs despite the fact
that this NaDES extract contains polyphenols, whose beneficial effects have been
shown. Therefore, complementary work is needed to find the best dose and
formulation of NaDES that are safe for the environment and animals and ultimately
for humans.
PMID- 29807425
TI - Does Tetrahydrofuran (THF) Behave like a Solvent or a Reactant in the Photolysis
of Thionyl Chloride (Cl2SO) in Cyclohexane? A Transient Infrared Difference
Study.
AB - The photolysis of thionyl chloride (Cl2SO) in pure cyclohexane (cHex) and in cHex
with a small amount of tetrahydrofuran (THF) irradiated with 266 nm pulsed laser
was investigated using time-resolved step-scan Fourier-transform spectroscopy.
The density functional theory B3LYP, with the conductor-like polarizable
continuum model to account for the effects of solvents, was employed to predict
the molecular parameters of the relevant species. Monitoring the wavenumbers and
infrared absorbances attributed to the [S,O] species and accounting for the
stoichiometry revealed SO2 to be the major oxygen-containing end product for the
thermal decomposition of Cl2SO. Upon successive irradiation with 266 nm pulsed
laser, the major product, as detected by IR absorption, was S2O with minor SO3,
which could be generated from the secondary reactions of the photolytic
intermediate ClSO. The majority of the transient vibrational features upon 266 nm
irradiation of the mixture of Cl2SO/cHex was attributed to ClSO, characterized at
1155 cm-1, coupled with a minor contribution of (ClSO)2 at 1212 and 1173 cm-1.
For the mixture of Cl2SO/THF/cHex, the transient population of ClSO was retained,
but the amount of (ClSO)2 was slightly reduced, coupled with a new upward feature
at 1054 cm-1 that was plausibly attributed to the C-O-C asymmetric stretching
mode of ClSO-THF complex. Upon the successive irradiation of the Cl2SO/THF/cHex
mixture, the amount of S2O was also decreased. The observed complexes of THF with
solutes suggested that THF should not be merely treated as a solvent but regarded
as a coordination molecule in organic synthesis. The formation of the
intermediate-THF complexes altered the reaction pathways, as well as the types
and populations of the end products.
PMID- 29807426
TI - Ion Conduction in Polyelectrolyte Covalent Organic Frameworks.
AB - Covalent organic frameworks (COFs) with ordered one-dimensional channels could
offer a predesigned pathway for ion motion. However, implanting salts into bare
channels of COFs gives rise to a limited ion conductivity. Here, we report the
first example of polyelectrolyte COFs by integrating flexible oligo(ethylene
oxide) chains onto the pore walls. Upon complexation with lithium ions, the
oligo(ethylene oxide) chains form a polyelectrolyte interface in the nanochannels
and offer a pathway for lithium ion transport. As a result, the ion conductivity
was enhanced by more than 3 orders of magnitude compared to that of ions across
the bare nanochannels. The polyelectrolyte COFs promoted ion motion via a vehicle
mechanism and exhibited enhanced cycle and thermal stabilities. These results
suggest that the strategy for engineering a polyelectrolyte interface in the 1D
nanochannels of COFs could open a new way to solid-state ion conductors.
PMID- 29807427
TI - Spectroscopic Study of Proton-Transfer Mechanism of Inward Proton-Pump Rhodopsin,
Parvularcula oceani Xenorhodopsin.
AB - Parvularcula oceani xenorhodopsin is the first light-driven inward proton pump.
To understand the mechanism of inward proton transport, comprehensive transient
absorption spectroscopy was conducted. Ultrafast pump-probe spectroscopy revealed
that the isomerization time of retinal is 1.2 ps, which is considerably slower
than those of other microbial rhodopsins (180-770 fs). Following the production
of J, the K intermediate was formed at 4 ps. Proton transfer occurred on a slower
timescale. Proton release and uptake were observed on the L/M-to-M and M decay,
respectively, by monitoring transient absorption changes of pH-indicating dye,
pyranine. Although a proton was released from Asp216 into the cytoplasmic medium,
no proton-donating residue was identified on the extracellular side in mutation
experiments. We revealed that a branched retinal isomerization (from 13-cis-15
anti to 13-cis-15-syn and all-trans-15-anti) occurred simultaneously with proton
uptake. Furthermore, although the proton release showed a large kinetic isotope
effect (KIE), the KIE of proton uptake was negligible. These results suggest that
retinal isomerization is the rate-limiting process in proton uptake and that the
regulation of p Ka of the retinal Schiff base by thermal isomerization enables
the uptake from extracellular medium. This proton uptake mechanism differs from
that of the outward proton pump with an internal proton donor and is important
for understanding how the direction of ion transport by membrane proteins is
determined.
PMID- 29807428
TI - Tunable Mixed-Valence Doping toward Record Electrical Conductivity in a Three
Dimensional Metal-Organic Framework.
AB - Partial oxidation of an iron-tetrazolate metal-organic framework (MOF) upon
exposure to ambient atmosphere yields a mixed-valence material with single
crystal conductivities tunable over 5 orders of magnitude and exceeding 1 S/cm,
the highest for a three-dimensionally connected MOF. Variable-temperature
conductivity measurements reveal a small activation energy of 160 meV. Electronic
spectroscopy indicates the population of midgap states upon air exposure and
corroborates intervalence charge transfer between Fe2+ and Fe3+ centers. These
findings are consistent with low-lying Fe3+ defect states predicted by electronic
band structure calculations and demonstrate that inducing metal-based mixed
valency is a powerful strategy toward realizing high and systematically tunable
electrical conductivity in MOFs.
PMID- 29807429
TI - Discovery of Methyl (5 Z)-[2-(2,4,5-Trioxopyrrolidin-3-ylidene)-4-oxo-1,3
thiazolidin-5-ylidene]acetates as Antifungal Agents against Potato Diseases.
AB - Synthesis, isomerism, and fungicidal activity against potato diseases of new (5
Z)-[2-(2,4,5-trioxopyrrolidin-3-ylidene)-4-oxo-1,3-thiazolidin-5-ylidene]acetate
derivatives with 1,3-thiazolidine-4-one and pyrrolidine-2,3,5-trione moieties
linked by an exocyclic C?C bond were described. Their structures were clearly
confirmed by spectroscopic and spectrometric data (Fourier transform infrared
spectroscopy, 1H and 13C nuclear magnetic resonance, and mass spectrometry),
elemental analysis, and X-ray diffraction crystallography. A bioassay for
antifungal activity in vitro against Phytophthora infestans, Fusariun solani,
Alternaria solani, Rhizoctonia solani, and Colletotrichum coccodes demonstrated
that 2,4,5-trioxopyrrolidin-1,3-thiazolidine derivatives exhibited a relatively
broad spectrum of antifungal activity. One of the compounds showed considerable
activity against all of the strains; in the case of F. solani, P. infestans, and
A. solani, it possesses comparable or better fungicidal efficacy than the
positive control Consento. Consequently, this compound is a promising fungicidal
candidate for plant protection.
PMID- 29807430
TI - Energy-Transfer Kinetics for Xe (6p[1/2]0) Atoms in Kr, Ar, Ne, and He.
AB - The kinetic processes for the Xe (6p[1/2]0) atoms in Kr, Ar, Ne, and He buffer
gases were studied. We found that Kr, Ar, and Ne atoms can be used to switch the
amplified spontaneous emission (ASE) channel from 3408 nm (6p[1/2]0-6s'[1/2]1) to
3680 nm (5d[1/2]1-6p[1/2]1), while Xe and He atoms do not show such a phenomenon.
This ASE channel switch is mainly ascribed to the fast transfer of 6p[1/2]0 ->
5d[1/2]1. On the basis of the rate equations for two-state coupling (energy
transfer processes between the two states are very rapid), the reason why the ASE
channel switch effect normally coincides with a double exponential decay of the
spontaneous emission at 828 nm (6p[1/2]0-6s[3/2]1) is explained. The actual
situations in Xe, Ar, Ne, and He follow this rule. However, the strictly single
exponential decay of the spontaneous emission at 828 nm and strong ASE channel
switch effect simultaneously emerge in Kr. This indicates that the transfer of
6p[1/2]0 -> 5d[1/2]1 in Kr does not occur via two-state coupling, but via two
steps of near-resonance collision through the 5s[3/2]2 (Kr) state as the
intermediate state (6p[1/2]0 -> 5s[3/2]2 (Kr) -> 5d[1/2]1). In addition, we found
Xe (6p[1/2]0) atoms strongly tend to reach the 6p[3/2]2, 6p[3/2]1, and 6p[5/2]2
states through the 5s[3/2]2 (Kr) state as the intermediate state in Kr. The
5s[3/2]2 (Kr) state plays a very important role in the energy-transfer kinetics
for the Xe (6p[1/2]0) atoms. Kr is probably an excellent buffer gas for laser
systems based on Xe.
PMID- 29807431
TI - Cerium Metal-Organic Framework for Photocatalysis.
AB - Ligand-to-metal charge transfer (LMCT) can bring about the separation of
photogenerated charges. Here we calculate the electronic structures of metal
organic frameworks (MOFs) having the UiO-66 architecture and M6O4(OH)4
inorganometallic nodes with M = Zr, Hf, Th, Ti, U, or Ce. We find that LMCT is
favorable only in the Ce case, where it is promoted by the low-lying empty 4f
orbitals of Ce4+. We therefore propose that incorporating Ce4+ into the node is
an effective way to facilitate LMCT in a MOF. In addition, we show that by
functionalizing the linker, it should be possible to engineer the electronic
structure of the Ce-MOF for a desired reaction (e.g., water splitting) while
preserving favorable LMCT. We also find that linker functionalization with
electron donating or withdrawing groups allows tuning of the LMCT energy, and
increasing the number of functional groups on each linker enhances the tuning;
these findings are encouraging for applying Ce-MOFs for visible-response
photocatalytic water splitting.
PMID- 29807432
TI - Comprehensive Analysis of Oxidized Waxes by Solvent and Thermal Gradient
Interaction Chromatography and Two-Dimensional Liquid Chromatography.
AB - This report addresses the comprehensive analysis of oxidized/functionalized
polyethylene waxes according to chemical composition and molar mass by selective
chromatographic methods. For the first time, tailored high-temperature
interaction chromatography in solvent gradient (HT-SGIC) and thermal gradient (HT
TGIC) modes are used for the chemical composition separation of these materials.
Separation protocols are developed using three model wax samples with different
degrees of oxidation. For the chromatographic separations polar silica gel is
used as the stationary phase. Solvent gradients of decane and cyclohexanone are
used in HT-SGIC at 110 degrees C to separate the bulk waxes into several
heterogeneous fractions according to polarity and the type of functionality.
Column temperature and gradient manipulation are shown to influence
chromatographic resolution and retention. The HT-SGIC investigations are
complemented by HT-TGIC separations where a solvent mixture of decane and
cyclohexanone is used as the mobile phase in isocratic mode. It is shown that HT
SGIC and HT-TGIC provide different types of separation, however, both are
predominantly based on differences in functionality. To provide comprehensive
information on chemical composition (functionality) and molar mass, HT-SGIC and
HT-TGIC are coupled to HT-SEC, using ortho-dichlorobenzene as the second
dimension mobile phase. Clear differences between oxidized and nonoxidized waxes
are detected in HT-2D-LC providing comprehensive information on the molecular
heterogeneity of these materials.
PMID- 29807434
TI - Atomic Force Microscopy Force Mapping Analysis of an Adsorbed Surfactant above
and below the Critical Micelle Concentration.
AB - Force curves collected using an atomic force microscope (AFM) in the presence of
adsorbed surfactants are often used to draw conclusions about adsorbed film
packing, rigidity, and thickness. However, some noteworthy features of such force
curve characteristics have yet to be thoroughly investigated and explained. In
this work, we collected force curves from tetradecyltrimethylammonium bromide
films adsorbed on highly oriented pyrolytic graphite (HOPG), silica, and silica
that had been hydrophobized by functionalization with dichlorodimethyl silane.
Breakthrough events in the force curves from several different trials were
compared to show that the breakthrough distance, often reported as the adsorbed
film thickness, increased with concentration below the critical micelle
concentration (CMC) but was approximately 3.5 nm on all surfaces between 2* and
10* CMC; an unexpected result because of the different surface chemistries for
the three surfaces. We employed an AFM probe with a different force constant ( k)
value as well as a colloidal probe and the breakthrough distance remained
approximately 3.5 nm in all cases. Gradient mapping, a variant of force mapping,
was also implemented on the three surfaces and resulted in a new technique for
visualizing adsorbed surfactant in situ. The resulting maps showed patches of
adsorbed surfactant below the CMC and revealed that with increasing
concentration, the size of the patches increased resulting in full coverage near
and above the CMC. These results are, to our knowledge, the first time force
mapping has been used to spatially track patches of adsorbed surfactant. Finally,
layers of surfactants on an AFM tip were investigated by collecting a force map
on a single AFM tip using the tip of a separate AFM probe. A breakthrough event
was observed between the tips, indicating that a layer of surfactant was present
on at least one, if not both tips.
PMID- 29807433
TI - Elucidating the Phosphate Binding Mode of Phosphate-Binding Protein: The Critical
Effect of Buffer Solution.
AB - Phosphate is an essential component of cell functions, and the specific transport
of phosphorus into a cell is mediated by phosphate-binding protein (PBP). The
mechanism of PBP-phosphate recognition remains controversial: on the basis of
similar binding affinities at acidic and basic pHs, it is believed that the
hydrogen network in the binding site is flexible to adapt to different
protonation states of phosphates. However, only hydrogen (1H) phosphate was
observed in the sub-angstrom X-ray structures. To address this inconsistency, we
performed molecular dynamics simulations using the AMOEBA polarizable force
field. Structural and free energy data from simulations suggested that 1H
phosphate was the preferred bound form at both pHs. The binding of dihydrogen
(2H) phosphate disrupted the hydrogen-bond network in the PBP pocket, and the
computed affinity was much weaker than that of 1H phosphate. Furthermore, we
showed that the discrepancy in the studies described above is resolved if the
interaction between phosphate and the buffer agent is taken into account. The
calculated apparent binding affinities are in excellent agreement with
experimental measurements. Our results suggest the high specificity of PBP for 1H
phosphate and highlight the importance of the buffer solution for the binding of
highly charged ligands.
PMID- 29807435
TI - Lung transplantation and esophageal dysfunction.
AB - Lung transplants belong in the group of organ transplants with poorer outcomes,
with acute rejection and bronchiolitis obliterans being cited as major causes of
this. Poor allograft evolution has been associated with multiple factors,
including those related to esophagogastric disease. In patients with end-stage
pulmonary conditions eligible for a lung transplant gastroesophageal reflux
(GER), esophageal dysmotily, and gastroparesis are highly prevalent and worsen
upon transplantation, which may compromise transplant viability. High-resolution
impedance manometry and long-term impedance pH-metry studies provide a new
perspective where reflux and dysmotility share the limelight with changes in the
diagnostic approach and in potential therapies.
PMID- 29807436
TI - Therapeutic drug monitoring of tumour necrosis factor inhibitors in the
management of chronic inflammatory diseases.
AB - Tumour necrosis factor inhibitor therapy has drastically changed the management
of chronic inflammatory diseases. Some important drawbacks that can cause loss of
response during treatment with these drugs are related to their large individual
variability, the disease burden and the formation of antidrug antibodies that
increase its clearance. Therapeutic drug monitoring of these drugs is not yet
recommended by all scientific societies, and if so, only in patients with
inflammatory symptoms. Proactive therapeutic drug monitoring represents a new
strategy with many potential clinical benefits, including the prevention of
immunogenicity, a reduction in the need for rescue therapy and greater durability
of tumour necrosis factor inhibitor treatment. The review is based on a
systematic search of the literature for controlled trials, systematic reviews,
experimental studies, guideline papers and cohort studies addressing the best
practice in tumour necrosis factor inhibitor therapeutic drug monitoring.
Although there is ample evidence supporting the use of therapeutic drug
monitoring in clinical practice to achieve better outcomes, some challenges have
been detected. Many studies are focused on finding solutions for the lack of
standardization of analytical methods to measure tumour necrosis factor inhibitor
and antidrug antibodies concentrations. Other challenges are development of
effective cost-saving proactive algorithms to identify optimal drug
concentrations and the research on the role of antidrug antibodies, especially in
the management and prevention of loss of response. Therapeutic drug monitoring of
tumour necrosis factor inhibitor offers a rational approach to the optimization
of the treatment of chronic inflammatory disease. Although prospective controlled
trials yield little conclusive evidence of its benefits, there is growing
acceptance of its value in clinical practice.
PMID- 29807437
TI - "Booze is the main factor that got me where I am today": alcohol use and HIV risk
for MSM in rural South Africa.
AB - Excessive alcohol consumption has been shown to increase HIV risk for men who
have sex with men (MSM) and compromise HIV prevention behaviors. However, there
is limited contextual understanding of alcohol use for MSM in rural sub-Saharan
African settings, which can inform and direct HIV interventions. Applying an
adaptation of PhotoVoice, we worked with 35 HIV-positive MSM who created photo
essays about alcohol and HIV in Mpumalanga. A semi-structured protocol was used
in focus group discussions that were audio-recorded, translated and transcribed.
Transcript data and visual data of 24 photo-essays were analyzed using a constant
comparison approach. We found that participants used alcohol to build and sustain
social networks, meet sexual partners, and enhance sexual experience. Excessive
alcohol use was common, which was associated with increased HIV risk behaviors
within a community of MSM who maintained multiple partnerships. Our study
suggests that HIV interventions need to address excessive alcohol use to mitigate
the associated HIV risk at both the individual and community levels.
PMID- 29807439
TI - Flipping the script: Bringing an organizational perspective to the study of
autism at work.
PMID- 29807438
TI - Steroid Versus Antibiotic Drops in the Prevention of Postoperative Myringotomy
Tube Complications.
AB - OBJECTIVES: To determine the incidence of early postoperative tympanostomy tube
insertion otorrhea and obstruction in pediatric patients receiving antibiotic ear
drops with or without steroid perioperatively. METHODS: A retrospective chart
review was performed on patients who underwent outpatient myringotomy and tube
placement. Patients from June 2013 to February 2014 received
ciprofloxacin/dexamethasone perioperatively while patients from May 2014 to April
2015 received ofloxacin. Statistical analysis was performed to compare outcomes
between the cohorts. RESULTS: One hundred thirty-four patients received topical
ciprofloxacin/dexamethasone, and 116 patients received topical ofloxacin. The
rate of postoperative otorrhea was 5.2% for the ciprofloxacin/dexamethasone group
and 8.2% for the ofloxacin group. Tube obstruction was seen in 6.0% of the
ciprofloxacin/dexamethasone group and 5.2% in the ofloxacin group. Neither
outcome had a statistically significant difference ( P = .21 and .85,
respectively). There was no difference in the rate of effusion at the time of
tube placement between the 2 cohorts ( P = .16), and this included subgroup
analysis based on effusion type (mucoid, purulent, serous). Patients with a
mucoid effusion at the time of surgery were more likely to experience
otorrhea/obstruction than patients with dry ears (odds ratio = 2.23, P = .02).
CONCLUSION: No significant difference in the incidence of immediate postoperative
tympanostomy tube otorrhea or obstruction was seen between the antibiotic-steroid
and antibiotic alone cohorts, regardless of effusion type. Overall, patients with
mucoid effusions are more likely to develop tube otorrhea or obstruction at
follow-up. Cost-effective drops should be used when prescribing topical therapy
to prevent complications after ear tubes.
PMID- 29807440
TI - Adopted children's co-production and use of 'trove' (a digitally enhanced memory
box) to better understand their care histories through precious objects.
AB - This article presents an innovative project to develop and trial a prototype
product called 'trove' to start to address challenges identified regarding
current practice of life story work with children who are looked after and
adopted. trove is a digitally enhanced memory box that utilises raspberry pi (a
small single board computer) and radio-frequency identification (RFID)
technologies to enable children to record their memories and to attach these to
their precious objects using an electronic tag: providing a safe 'container' for
their mementoes and memories. Located in theories of narrative identity and
object attachment and drawing on Brodinsky's concept of communicative openness,
we describe the children's engagements in the design and report the results of a
small trial of 10 troves with adopted children in England.
PMID- 29807441
TI - Effectiveness of an Energy Management Training Course on Employee Well-Being: A
Randomized Controlled Trial.
AB - PURPOSE: Programs focused on employee well-being have gained momentum in recent
years, but few have been rigorously evaluated. This study evaluates the
effectiveness of an intervention designed to enhance vitality and purpose in life
by assessing changes in employee quality of life (QoL) and health-related
behaviors. DESIGN: A worksite-based randomized controlled trial. SETTING: Twelve
eligible worksites (8 randomized to the intervention group [IG] and 4 to the wait
listed control group [CG]). PARTICIPANTS: Employees (n = 240) at the randomized
worksites. INTERVENTION: A 2.5-day group-based behavioral intervention. MEASURES:
Rand Medical Outcomes Survey (MOS) 36-item Short-Form (SF-36) vitality and QoL
measures, Ryff Purpose in Life Scale, Center for Epidemiologic Studies
questionnaire for depression, MOS sleep, body weight, physical activity, diet
quality, and blood measures for glucose and lipids (which were used to calculate
a cardiometabolic risk score) obtained at baseline and 6 months. ANALYSIS:
General linear mixed models were used to compare least squares means or
prevalence differences in outcomes between IG and CG participants. RESULTS: As
compared to CG, IG had a significantly higher mean 6-month change on the SF-36
vitality scale ( P = .003) and scored in the highest categories for 5 of the
remaining 7 SF-36 domains: general health ( P = .014), mental health ( P = .027),
absence of role limitations due to physical problems ( P = .026), and social
functioning ( P = .007). The IG also had greater improvements in purpose in life
( P < .001) and sleep quality (index I, P = .024; index II, P = .021). No
statistically significant changes were observed for weight, diet, physical
activity, or cardiometabolic risk factors. CONCLUSION: An intensive 2.5-day
intervention showed improvement in employee QoL and well-being over 6 months.
PMID- 29807442
TI - Knowledge-based iterative reconstructions for imaging of coronary artery stents:
first in-vitro experience and comparison of different radiation dose levels and
kernel settings.
AB - Background Advanced knowledge-based iterative model reconstructions (IMR) became
recently available for routine computed tomography (CT). Using more realistic
physical models it promises improved image quality and potential radiation dose
reductions, both possibly beneficial for non-invasive assessment of coronary
stents. Purpose To evaluate the influence of different IMR settings at different
radiation doses on stent lumen visualization in comparison to filtered back
projection (FBP) and first-generation (hybrid) iterative reconstruction (HIR).
Material and Methods Ten coronary stents in a coronary phantom were examined at
four different dose settings (120 kV/125 mAs, 120 kV/75 mAs, 100 kV/125 mAs, 100
kV/75 mAs). Images were reconstructed with stent-specific FBP and HIR kernels and
with IMR using CardiacRoutine (CR) and CardiacSharp (CS) settings at three
different iteration levels. Image quality was evaluated using established
parameters: image noise; in-stent attenuation difference; and visible lumen
diameter. Results Image noise was significantly lower in IMR than in
corresponding HIR and FBP images. At lower radiation doses, image noise increased
significantly except with IMR CR3 and IMR CS3. Visible lumen diameters were
significantly larger with IMR CS than with FBP, HIR, and IMR CR. IMR CR showed
the smallest attenuation difference, while attenuation was artificially decreased
extensively with IMR CS. FBP and HIR showed moderately increased in-stent
attenuations. No relevant influence of used radiation doses on visible lumen
diameters or attenuation differences was found. Conclusion IMR CR reduces image
noise significantly while offering comparable stent-specific image quality in
comparison to FBP and HIR and therefore potentially facilitates stent lumen
delineation. Utilization of IMR CS for stent evaluation seems unfavorable due to
artificial image alterations.
PMID- 29807444
TI - Increasing radiofrequency ablation volumes with the use of internally cooled
electrodes and injected hydrochloric acid in ex vivo bovine livers.
AB - PURPOSE: We used an impedance-controlled generator with an internally cooled
electrode to perform radiofrequency ablation (RFA) in ex vivo bovine livers, with
a single injection of either 38.5% sodium chloride (NaCl) or 10% hydrochloric
acid (HCl), to determine the relative effects of these two solutions on tissue
impedance, temperature and ablation volume. MATERIALS AND METHODS: We performed
10 ablations each with injections of NaCl (NaCl-RFA), HCl (HCl-RFA) or nothing
(RFA-alone), with a power setting of 200 W for 15 minutes. We recorded tissue
impedance before and after injection. We logged temperatures obtained from
thermocouple probes positioned 5, 10, 15 and 20 mm from the internally cooled RF
electrode. After ablation, we measured ablation zone longitudinal and transverse
diameters, and we calculated a spherical ratio (SR) for each ablation. RESULTS:
Mean post-injection impedance of 30.3 (standard deviation [SD] 2.5) ohms for HCl
was significantly lower than that of 55.4 (SD 3.5) ohms for NaCl (p < .001). Mean
maximum temperatures recorded at each respective distance from the RFA electrode
were all highest for HCl-RFA and lowest for RFA-alone (p < .001). Mean
longitudinal and transverse diameters after HCl-RFA (5.50 [SD 0.25] cm and 5.28
[SD 0.22] cm, respectively) were significantly larger than those after NaCl-RFA
(4.24 [SD 0.35] cm and 3.55 [SD 0.43] cm, respectively) and after RFA-alone (3.60
[SD 0.10] cm and 2.70 [SD 0.13] cm, respectively) (p < .001). Mean SR after HCl
RFA (0.93, SD 0.02) was significantly higher than mean SR after NaCl-RFA (0.76,
SD 0.06) and RFA-alone (0.72, SD 0.04) (p < .001). CONCLUSION: Monopolar,
impedance-controlled RFA, with an internally cooled electrode and a single 10%
HCl injection may allow larger tumors to be treated, potentially resulting in
improved patient outcomes.
PMID- 29807445
TI - Predictive factors for structural remission using abatacept: Results from the
ABROAD study.
AB - OBJECTIVE: To investigate the effect of abatacept (ABA) on preventing joint
destruction in biological disease-modifying anti-rheumatic drug (bDMARD)-naive
rheumatoid arthritis (RA) patients in real-world clinical practice. PATIENTS AND
METHODS: RA patients were collected from the ABROAD (ABatacept Research Outcomes
as a First-line Biological Agent in the Real WorlD) study cohort. They had
moderate or high disease activity and were treated with ABA as a first-line
bDMARD. Radiographic change between baseline and 1 year after ABA treatment was
assessed with the van der Heijde's modified Total Sharp Score (mTSS). Predictive
factors for structural remission (St-REM), defined as DeltamTSS <=0.5/year, were
determined. RESULTS: Among 118 patients, 81 (67.5%) achieved St-REM. Disease
duration <3 years (odds ratio (OR) = 3.152, p = .007) and slower radiographic
progression (shown as 'baseline mTSS/year <3', OR = 3.727, p = .004) were
independently significant baseline predictive factors for St-REM irrespective of
age and sex. St-REM prevalence increased significantly if clinical remission
based on the Simplified Disease Activity Index was achieved at least once until
24 weeks after ABA treatment. CONCLUSION: Shorter disease duration, smaller
radiographic progression at baseline, and rapid clinical response were predictive
factors for sustained St-REM after ABA therapy in bDMARD-naive RA patients.
PMID- 29807443
TI - Targeting VEGF/VEGFRs Pathway in the Antiangiogenic Treatment of Human Cancers by
Traditional Chinese Medicine.
AB - Bearing in mind the doctrine of tumor angiogenesis hypothesized by Folkman
several decades ago, the fundamental strategy for alleviating numerous cancer
indications may be the strengthening application of notable antiangiogenic
therapies to inhibit metastasis-related tumor growth. Under physiological
conditions, vascular sprouting is a relatively infrequent event unless when
specifically stimulated by pathogenic factors that contribute to the accumulation
of angiogenic activators such as the vascular endothelial growth factor (VEGF)
family and basic fibroblast growth factor (bFGF). Since VEGFs have been
identified as the principal cytokine to initiate angiogenesis in tumor growth,
synthetic VEGF-targeting medicines containing bevacizumab and sorafenib have been
extensively used, but prominent side effects have concomitantly emerged.
Traditional Chinese medicines (TCM)-derived agents with distinctive safety
profiles have shown their multitarget curative potential by impairing angiogenic
stimulatory signaling pathways directly or eliciting synergistically therapeutic
effects with anti-angiogenic drugs mainly targeting VEGF-dependent pathways. This
review aims to summarize ( a) the up-to-date understanding of the role of
VEGF/VEGFR in correlation with proangiogenic mechanisms in various tissues and
cells; ( b) the elaboration of antitumor angiogenesis mechanisms of 4
representative TCMs, including Salvia miltiorrhiza, Curcuma longa, ginsenosides,
and Scutellaria baicalensis; and ( c) circumstantial clarification of TCM-driven
therapeutic actions of suppressing tumor angiogenesis by targeting VEGF/VEGFRs
pathway in recent years, based on network pharmacology.
PMID- 29807446
TI - The use of flow diverters to treat aneurysms of the posterior inferior cerebellar
artery: Report of three cases.
AB - Objective The objective of this study was to report our experience on the use of
flow diverting stents placed within the posterior inferior cerebellar artery
(PICA) as a treatment option for aneurysms of the PICA. Methods Three patients
with aneurysms of the PICA, both ruptured and unruptured, underwent treatment of
their aneurysms with placement of a single flow diverter in the PICA across the
neck of the aneurysm. Adjunctive techniques such as coiling were not used. We
present the angiographic and clinical follow-up data. Results The procedure was a
technical success in all cases and there were no intraoperative complications.
Follow-up data were available for two patients and this showed complete occlusion
of the aneurysm with the PICA remaining patent. There was no evidence, either
clinical or radiological, of medullary or pontine infarction. One patient died
during the follow-up period from an unrelated medical illness (community acquired
pneumonia). Conclusion Flow diverters can be successfully placed within the PICA
to treat both ruptured and unruptured aneurysms, and they represent an
alternative treatment option to endovascular coiling or microscopic neurosurgery.
PMID- 29807447
TI - Depression and Pain Interference Correlate With Physical Function in Patients
Recovering From Hand Surgery.
AB - BACKGROUND: Patient-reported outcome measures vary more than expected based on
underlying pathology, in part due to the substantial influence of mood and coping
strategies. METHODS: This study addressed the primary null hypothesis that the
Patient-Reported Outcomes Measurement Information System (PROMIS) Physical
Function score 1 month (range, 3-8 weeks) after hand surgery is not associated
with PROMIS Depression and PROMIS Pain Interference scores prior to surgery,
accounting for other factors. Using an institution-wide database of routinely
collected patient-reported outcomes, we identified adult patients who underwent
wrist ganglion excision, trapeziometacarpal arthroplasty, hand ganglion excision,
trigger digit, De Quervain, and carpal tunnel release. Measures collected
included the PROMIS Physical Function Computerized Adaptive Test (CAT), PROMIS
Pain Interference CAT, and PROMIS Depression CAT. We sought factors associated
with postsurgical PROMIS Physical Function scores and change between preoperative
and postoperative score using multivariable linear regression, accounting for
age, sex, surgery type, provider, and time from surgery to postsurgical
measurement. RESULTS: Higher postoperative PROMIS Physical Function score was
independently associated with lower PROMIS Pain Interference scores, lower PROMIS
Depression scores, younger age, and treatment by provider team 3. Greater change
in PROMIS Physical Function score was independently associated with greater
PROMIS Pain Interference scores, greater time from surgery, and treatment by
provider team 3. CONCLUSIONS: Mood and effective coping strategies affect the
level of symptoms and limitations during recovery from hand surgery and represent
important treatment opportunities for enhancing recovery.
PMID- 29807448
TI - Epidemiological and Clinical Aspects of Cleft Hand: Case Series From a Tertiary
Public Hospital in Sao Paulo, Brazil.
AB - BACKGROUND: Cleft hand is a rare and congenital deformity that affects hands and
feet and can be associated with other malformations. The objective was to
evaluate the epidemiological and clinical aspects of cleft hand patient in a case
series. METHODS: Baseline characteristics associated with this deformity, such as
sociodemographic characteristics, affected upper limb side, family history,
clinical manifestations, and the degree of deficiency according to Barsky, Manske
and Halikis, and Valenti classifications, were analyzed in 38 patients treated in
the Department of Orthopedic Surgery of the Irmandade da Santa Casa de
Misericordia de Sao Paulo, Brazil. RESULTS: A predominance of typical hands as
classified by Barsky, types II and IV by Manske and Halikis, and type IV by
Valenti was found. A high frequency of typical cases (55.3%), as defined by
Barsky, had a positive family history ( P = .031) and were associated with other
clinical manifestations (44.7%), when compared with atypical cleft hand patients
( P < .001). CONCLUSION: In our study, there were more typical cleft hands than
atypical, and they were more commonly associated with family history and other
clinical manifestations.
PMID- 29807449
TI - An Institutional Program to Increase Compliance with Clinicaltrials.gov
Requirements.
AB - Recent National Institutes of Health policy changes have expanded the number of
research studies that must be registered in clinicaltrials.gov beyond the
requirements of the Food and Drug Administration Amendments Act of 2007. The
International Committee of Medical Journal Editors has also adopted a policy that
requires registration of research in a public database. The goal was to increase
the transparency of research by reporting the original endpoints of a study, and
to discern whether primary endpoints were excluded in subsequent publications.
Efforts to increase openness and accountability in clinical trials are likely to
strengthen public trust. However, first investigators and study staff must be
educated about the requirements, and staff must be prepared to offer support to
researchers in navigating the clinicaltrials.gov system. For academic
institutions, maintaining compliance requires continuous oversight so that
problems can be identified centrally and addressed with investigators. At Wake
Forest University Health Sciences, because researchers often did not realize they
were out of compliance, we implemented a program to assist them and provide
oversight. We introduced standard operating procedures, provided education and
assistance to investigators, and engaged leadership about consequences of
compliance, resulting in increased budget support for a full-time employee in
this role. As a result of these changes, compliance increased from 22% to 92%
over 4 months. These approaches may help other institutions become compliant with
registration requirements more quickly.
PMID- 29807450
TI - Local tumor progression after ultrasound-guided percutaneous microwave ablation
of stage T1a renal cell carcinoma: risk factors analysis of 171 tumors.
AB - PURPOSE: To retrospectively review long-term oncologic outcomes after ultrasound
(US)-guided percutaneous microwave ablation (MWA) of T1a renal cell carcinoma
(RCC) and to identify the incidence and risk factors that predict local tumor
progression (LTP) after MWA of RCC. MATERIALS AND METHODS: The present study was
approved by the institutional review board. A total of 162 patients with 171 RCC
nodules (mean size, 2.6 +/- 0.8 cm; range, 0.6-4.0 cm) were treated by MWA
between April 2006 and January 2017. The influence of eight factors (age; sex;
longest tumor diameter; tumor number, location and pathology type; ablation power
and time) affecting the risk of LTP was assessed. Univariate Kaplan-Meier and Cox
proportional hazard models were used for statistical analysis. RESULTS: LTP
occurred in five patients (5 tumors) after US-guided percutaneous MWA of stage
T1a RCC. The overall occurrence of LTP was 2.9% per tumor and 3.0% per patient
with a median follow-up of 45.5 months. Among the 162 patients, there were no
instances of LTP-related deaths; however, 20 patients died of other diseases. All
patients with LTP survived through follow-up. The survival rate of LTP-free
patients at 1, 3 and 5 years were 98.7%, 89.5% and 82.1%, respectively (p = .38).
Univariate and multivariate analysis identified tumor location to be the only
independent predictor of LTP. CONCLUSIONS: US-guided percutaneous MWA for T1a RCC
achieved a relatively low LTP incidence rate. Tumors adjacent to the renal pelvis
or bowel increased the potential of LTP occurrence.
PMID- 29807451
TI - Male patients with resected IIIA-N2 non-small-cell lung cancer may benefit from
postoperative radiotherapy: a population-based survival analysis.
AB - AIM: Our analysis was performed to assess the efficacy of postoperative
radiotherapy (PORT) on the survival for pathologic IIIA-N2 Non-small-cell lung
cancer patients. PATIENTS & METHODS: We identified 2949 patients from 2004 to
2013 in the SEER database. Propensity score-matching was used to reduce the
selection bias. Overall survival (OS), cancer-specific survival (CSS) and the
factors associated with survival prognosis were evaluated. RESULTS: There was no
significant difference in OS and CSS between PORT and non-PORT groups. However,
subgroup analysis revealed an OS (p = 0.007) and CSS (p = 0.006) detrimental for
male patients not receiving PORT. Multivariate analysis showed that old age, male
sex, high pathologic grade, squamous carcinoma, bigger tumor size and larger
number of positive lymph nodes had a negative impact on survival. CONCLUSION:
PORT could improve OS and CSS in male patients with resected IIIA-N2 non-small
cell lung cancer.
PMID- 29807452
TI - Perinatal outcomes according to the mode of delivery in women with a triplet
pregnancy in The Netherlands.
AB - OBJECTIVE: In women with a triplet pregnancy, there is debate on the preferred
mode of delivery. We performed a nationwide cohort study to assess the impact of
mode of delivery on perinatal outcome in women with a triplet pregnancy. METHODS:
Nationwide cohort study on women with a triplet pregnancy who delivered between
26 + 0 and 40 + 0 weeks of gestation in the years 1999-2008. We compared
perinatal outcomes according to the intended mode of delivery and the actual mode
of delivery. Outcome measures were perinatal mortality and neonatal morbidity.
Perinatal outcomes were analyzed taking into account the dependency between the
children of the same triplet pregnancy ("any mortality" and "any morbidity") and
were also analyzed separately per child. RESULTS: We identified 386 women with a
triplet pregnancy in the study period. Mean gestational age at delivery was 33.1
weeks (SD 2.5 weeks; range 26.0-40.0 weeks). Perinatal mortality was 2.3% for
women with a planned caesarean section and 2.4% in women with a planned vaginal
delivery (aOR 0.37; 95% confidence interval (CI) 0.09-1.5) and neonatal morbidity
was 26.0% versus 36.0%, (aOR 0.88; 95% CI 0.51-1.4) respectively. In the subgroup
analyses according to gestational age and in the analysis of perinatal outcomes
per child separately, there were also no large differences in perinatal outcomes.
The same applied for perinatal outcomes according to the actual mode of delivery.
CONCLUSION: In this large cohort study among women with a triplet pregnancy,
caesarean delivery is not associated with reduced perinatal mortality and
morbidity.
PMID- 29807453
TI - The Trail Making test: a study of its ability to predict falls in the acute
neurological in-patient population.
AB - OBJECTIVE: To determine whether tests of cognitive function and patient-reported
outcome measures of motor function can be used to create a machine learning-based
predictive tool for falls. DESIGN: Prospective cohort study. SETTING: Tertiary
neurological and neurosurgical center. SUBJECTS: In all, 337 in-patients
receiving neurosurgical, neurological, or neurorehabilitation-based care. MAIN
MEASURES: Binary (Y/N) for falling during the in-patient episode, the Trail
Making Test (a measure of attention and executive function) and the Walk-12 (a
patient-reported measure of physical function). RESULTS: The principal outcome
was a fall during the in-patient stay ( n = 54). The Trail test was identified as
the best predictor of falls. Moreover, addition of other variables, did not
improve the prediction (Wilcoxon signed-rank P < 0.001). Classical linear
statistical modeling methods were then compared with more recent machine learning
based strategies, for example, random forests, neural networks, support vector
machines. The random forest was the best modeling strategy when utilizing just
the Trail Making Test data (Wilcoxon signed-rank P < 0.001) with 68% (+/- 7.7)
sensitivity, and 90% (+/- 2.3) specificity. CONCLUSION: This study identifies a
simple yet powerful machine learning (Random Forest) based predictive model for
an in-patient neurological population, utilizing a single neuropsychological test
of cognitive function, the Trail Making test.
PMID- 29807454
TI - A Brief History of Awareness of the Link Between Alcohol and Fetal Alcohol
Spectrum Disorder.
AB - OBJECTIVE: Fetal alcohol spectrum disorder (FASD) is a medical term used to
describe a range of mental and physical disabilities caused by maternal alcohol
consumption. The role of alcohol as a teratogen and its effects on the cellular
growth of the embryo and the fetus were not determined on scientific grounds
until the late 1960s. However, the link between alcohol use during pregnancy and
its harms to offspring might have been observed frequently over the many
thousands of years during which alcohol has been available and used for social
and other reasons. METHODS AND RESULTS: Using sources ranging from the biblical
Book of Judges (pre-1700) up until the first public health bulletin (1977), we
seek to provide an overview of the academic debate around early historical
accounts ostensibly attributed to the awareness of alcohol as a prenatal
teratogen as well as to describe the social and political influences that
sculpted developments leading to the public recognition of FASD. CONCLUSIONS: Our
analysis provides a brief overview of the discourse regarding historical
awareness of the detrimental effects of prenatal alcohol exposure on fetal
development leading to the formal recognition of FASD as a distinct clinical
entity. Further research will be required to fully appreciate the scientific,
medical, and societal ills associated with prenatal alcohol exposure.
PMID- 29807455
TI - Research progress on distribution, migration, transformation of antibiotics and
antibiotic resistance genes (ARGs) in aquatic environment.
AB - Antimicrobial and antibiotics resistance caused by misuse or overuse of
antibiotics exposure is a growing and significant threat to global public health.
The spread and horizontal transfer of antibiotic resistant bacteria (ARB) and
antibiotic resistance genes (ARGs) by the selective pressure of antibiotics in an
aquatic environment is a major public health issue. To develop a better
understanding of potential ecological risks die to antibiotics and ARGs, this
study mainly summarizes research progress about: (i) the occurrence,
concentration, fate, and potential ecological effects of antibiotics and ARGs in
various aquatic environments, (ii) the threat, spread, and horizontal gene
transfer (HGT) of ARGs, and (iii) the relationship between antibiotics, ARGs, and
ARB. Finally, this review also proposes future research direction on antibiotics
and ARGs.
PMID- 29807456
TI - Dementia and detectives: Alzheimer's disease in crime fiction.
AB - Fictional representations of dementia have burgeoned in recent years, and
scholars have amply explored their double-edged capacity to promote tragic
perspectives or normalising images of 'living well' with the condition. Yet to
date, there has been only sparse consideration of the treatment afforded dementia
within the genre of crime fiction. Focusing on two novels, Emma Healey's
Elizabeth is Missing and Alice LaPlante's Turn of Mind, this article considers
what it means in relation to the ethics of representation that these authors
choose to cast as their amateur detective narrators women who have dementia.
Analysing how their narrative portrayals frame the experience of living with
dementia, it becomes apparent that features of the crime genre inflect the
meanings conveyed. While aspects of the novels may reinforce problem-based
discourses around dementia, in other respects they may spur meaningful reflection
about it among the large readership of this genre.
PMID- 29807457
TI - Regulation of innate and adaptive antitumor immunity by IAP antagonists.
AB - Inhibition of the T-cell co-inhibitory checkpoint receptors or their ligands CTLA
4, PD-1 and PD-L1 using monoclonal antibodies has proven to be highly effective
against many cancers. Yet many cancers remain resistant to checkpoint blockade,
and durable remissions occur in only a minority of patients. Novel approaches to
enhancing antitumor responses are thus necessary in order to expand the reach of
these treatments. The inhibitor of apoptosis (IAP) protein family comprises a
diverse group of proteins, many of which have immunoregulatory roles. Small
molecule IAP antagonists have been developed and are undergoing early phase
clinical testing. These drugs were initially developed to promote tumor cell
apoptosis; however, a considerable body of work now indicates that IAP
antagonists induce antitumor activity through modulation of innate and adaptive
immunity. Primarily through inhibition of cellular (c)-IAP1 and c-IAP2, IAP
antagonists can activate alternative NF-kappaB signaling, promoting B-cell
survival, activation of dendritic cells and delivering a broad co-stimulatory
signal to T cells. At the same time, IAP antagonists can promote tumor cell
intrinsic sensitization to innate immune signals, and enhance tumor cell killing
by inflammatory cytokines and phagocytic macrophages. These drugs thus represent
an attractive investigational approach to immunotherapy, providing a positive
signaling counterpart to the relief of signal inhibition conferred by checkpoint
blockade.
PMID- 29807458
TI - Evaluation of the quantitative fetal fibronectin test and PAMG-1 test for the
prediction of spontaneous preterm birth in patients with signs and symptoms
suggestive of preterm labor.
AB - OBJECTIVE: The objective of this study is to compare the qualitative fFN test at
50 ng/ml threshold to novel methods for assessing risk of imminent sPTB in women
with symptoms of preterm labor (PTL): (1) quantitative fetal fibronectin (qfFN)
at four thresholds: 10, 50, 200, and 500 ng/ml; and (2) qualitative PAMG-1 test.
STUDY DESIGN: Consecutive patients presenting with singleton pregnancies, signs
of PTL, gestational age 23.1-34.6, intact membranes, no coitus within 24 h, and
cervical dilation <=3 cm. fFN was performed as standard of care, while clinicians
were blinded to the qfFN and PAMG-1 test results. qfFN accuracy was evaluated at
four thresholds of 10, 50, 200, and 500 ng/ml for its ability to predict imminent
spontaneous preterm delivery (sPTD) <= 7 and <=14 d from the time of sample
collection. The PAMG-1 test was evaluated based on its qualitative result for the
same delivery endpoints. RESULTS: Seventy-two patients were analyzed. Fifty-seven
percent of patients had an fFN concentration of <10 ng/ml fFN; 75% < 50 ng/ml;
92% < 200 ng/ml; 97% < 500 ng/ml. The SN, SP, PPV, and NPV for fFN at each of the
four cutoffs for sPTB <=7 d: 10 ng/ml: 67%, 58%, 6%, 98%; 50 ng/ml: 67%, 77%,
11%, 98%; 200 ng/ml: 33%, 93%, 17%, 97%; 500 ng/ml: 0%, 97%, 0%, 96%. The PAMG-1
test was positive in 7% of patients. SN, SP, PPV, and NPV for PAMG-1 for sPTD <=7
d were 67%, 96%, 40%, and 99%, respectively. CONCLUSION: Compared with qfFN, the
PAMG-1 test is a better predictor of spontaneous delivery within 7 d while
maintaining a very high negative predictive value. The PAMG-1 test is an easy-to
use bedside test that provides rapid results, does not require a speculum
examination, can be used after vaginal exam and coitus and does not require
specialized equipment to analyze results. As to be expected, compared with the
conventional cutoff of fFN (50 ng/ml), a higher fFN cutoff of 200 ng/ml does seem
to increase the PPV of the test, but this comes at a cost to the fFN test's SN
and NPV, rendering it of little to no advantage in clinical practice.
PMID- 29807459
TI - Modelling the specific pathway of CH4 and CO2 formation using carbon isotope
fractionation: an example for a boreal mesotrophic fen.
AB - We described mathematically the process of peat methanization in a boreal
mesotrophic fen. Gaseous and dissolved CH4 and CO2 as well as their delta13C
signatures were considered in the dynamic equations for incubation bottles. In
accordance with the model, acetate, H2, and CO2 were produced during cellulose
hydrolysis and acidogenesis. 13C/12C in CO2 was a key variable reflecting dynamic
changes in the rates of cellulose hydrolysis and acidogenesis, acetoclastic and
hydrogenotrophic methanogenesis. As CO2 is the substrate in hydrogenotrophic
methanogenesis, delta13C-CO2 increased from the start till the dissolved hydrogen
concentration became very low. Thereafter, the rate of acetoclastic
methanogenesis with the significant current acetate concentration dominated over
the rate of hydrogenotrophic methanogenesis leading to the decreasing delta13C
CO2 and the increasing delta13C-CH4. The model was validated by describing the
system's dynamics under strong and weak inhibition of acetoclastic and
hydrogenotrophic methanogenesis by methyl fluoride, respectively. During peat
methanization at the lowered temperature of 10 degrees C, the processes of
hydrogenotrophic methanogenesis and homoacetogenesis competing for H2 may occur.
However, based on dynamics of the carbon isotope signatures, especially on
dynamics of delta13C-CO2, the model showed no significant contribution of
homoacetogens in peat methanization.
PMID- 29807460
TI - Differences in Nicotine Encoding Dopamine Release between the Striatum and Shell
Portion of the Nucleus Accumbens.
AB - BACKGROUND: The aim of this work was to determine the effect of nicotine
desensitization on dopamine (DA) release in the dorsal striatum and shell of the
nucleus accumbens (NAc) from brain slices. MATERIALS AND METHODS: In vitro fast
scan cyclic voltammetry analysis was used to evaluate dopamine release in the
dorsal striatum and the NAc shell of Sprague-Dawley rats after infusion of
nicotine, a nicotinic acetylcholine receptor (nAChR) antagonist mecamylamine
(Mec), and an alpha4beta2 cholinergic receptor antagonist (DHbetae). RESULTS: DA
release related to nicotine desensitization in the striatum and NAc shell was
compared. In both structures, tonic release was suppressed by inhibition of the
nicotine receptor (via Mec) and the alpha4beta2 receptor (via DHbetae). Paired
pulse ratio (PPR) was facilitated in both structures after nicotine and Mec
infusion, and this facilitation was suppressed by increasing the stimulation
interval. After variable frequency stimulation (simulating phasic burst),
nicotine infusion induced significant augmentation of DA release in the striatum
that was not seen in the absence of nicotine. In contrast, nicotine reduced
phasic DA release in NAc, although frequency augmentation was seen both with and
without nicotine. Evaluation of DA release evoked by various trains (high
frequency stimulation (HFS) 100 Hz) of high-frequency stimulation revealed
significant enhancement after a train of three or more pulses in the striatum and
NAc. The concentration differences between tonic and phasic release related to
nicotine desensitization were more pronounced in the NAc shell. CONCLUSIONS:
Nicotine desensitization is associated with suppression of tonic release of DA in
both the striatum and NAc shell that may occur via the alpha4beta2 subtype of
nAChR, whereas phasic frequency-dependent augmentation and HFS-related gating
release is more pronounced in the striatum than in the NAc shell. Differences
between phasic and tonic release associated with nicotine desensitization may
underlie processing of reward signals in the NAc shell, and this may have major
implications for addictive behavior.
PMID- 29807461
TI - Using drawings to understand the child's experience of child-centred care on
admission to a paediatric high dependency unit.
AB - Family- and child-centred care are philosophies of care used within paediatrics
where the family and/or the child are central to healthcare delivery. This study
explored the lived experience of hospitalized school-aged children admitted to a
paediatric high dependency unit in New Zealand to gain insight into child-centred
care from a child's perspective. An interpretive thematic approach was used where
the child was asked to draw a picture of 'a person in the hospital' that was
further explored through interviews. The interviews were recorded and transcribed
verbatim with an inductive thematic analysis completed, drawing on the child
centred care framework. Twenty-six school-aged children participated. The
pictures included drawings of family, staff, children and themselves. The themes
generated from the interviews were relationships with themselves, family and
staff and psychosocial, emotional and physical support. Children described
themselves as co-creators of their own healthcare experience, consistent with
child-centred care, while drawing on the principles of family-centred care.
Further exploration of the concepts of 'participation versus protection' and
'child as becoming versus child as being' will contribute to translation and
integration of child-centred care and family-centred care principles into
practice, theory, research and policy.
PMID- 29807462
TI - MiR-199a-5p Inhibits the Growth and Metastasis of Colorectal Cancer Cells by
Targeting ROCK1.
AB - Mounting evidence indicates that microRNAs play important roles in the
development of various cancers. Aberrant expression of microRNA-199a-5p has been
frequently reported in cancer studies; however, the mechanistic details of the
role of microRNA-199a-5p in colorectal cancer still remain unclear. Our study
aimed to explore the role of microRNA-199a-5p in colorectal cancer cells by
targeting Rho-associated coiled coil-containing protein kinase 1. Here, we showed
that microRNA-199a-5p was significantly downregulated in colorectal cancer cell
lines and tissue samples and was associated with a poor prognostic phenotype.
MicroRNA-199a-5p suppressed colorectal cancer cell proliferation, migration, and
invasion and induced cell apoptosis. Moreover, we identified Rho-associated
coiled coil-containing protein kinase 1 as the direct target of microRNA-199a-5p
using luciferase and Western blot assays. Importantly, Rho-associated coiled coil
containing protein kinase 1 overexpression rescued the microRNA-199a-5p-induced
suppression of proliferation, migration, and invasion of colorectal cancer cells.
Furthermore, the overexpression of microRNA-199a-5p inhibited tumor growth and
metastasis by inactivating the phosphoinositide 3-kinase/AKT and Janus kinase
1/signal transducing activator of transcription signaling pathways through
downregulation of Rho-associated coiled coil-containing protein kinase 1.
Altogether, microRNA-199a-5p/Rho-associated coiled coil-containing protein kinase
1 may be a potential therapeutic target for colorectal cancer therapy.
PMID- 29807463
TI - Lymph node ratio has prognostic value related to the number of positive lymph
nodes in patients with vulvar cancer.
AB - AIM: We investigated the value of the number of positive lymph nodes (PLNs) and
lymph node ratio (LNR) on survival of vulvar cancer patients. METHODS: A total of
2332 patients with vulvar squamous cell carcinoma were included from the SEER
program. RESULTS: In multivariate analysis, the number of PLNs and LNR were
independent prognostic indictors of survival outcomes, a higher number of PLNs
and a higher LNR had poorer survival outcomes. An LNR >0.2 was associated with
poor survival outcomes according to the number of PLNs. CONCLUSION: The LNR has
prognostic value related to the number of PLNs and may allow a more accurate
determination of the lymph node status of vulvar cancer patients.
PMID- 29807464
TI - Opportunities and Challenges of Multinational Pharmaceutical Enterprises in
Transforming Pharmaceutical Market in China.
AB - The surging costs of health care in China is highly related to the high expenses
in pharmaceutical costs. Since the Government of China launched the health care
reform in 2009, the issue of growing pharmaceutical expenditure continues to
grasp policy makers' attention. Since 2015, an ongoing series of drug-related
policies have been revised or developed, resulting in profound impact on the
overall pharmaceutical market in China, and the dynamic is still evolving. As
China has become the second largest pharmaceutical market in the world, any
volatility in the Chinese pharmaceutical market may have great implications to
multinational pharmaceutical markets that have had their products launched in
China or plan to extend their business to the Chinese market. Based on a
comprehensive analysis of the most recent health care reform policies in China,
the objectives of this study were to identify the major opportunities appealed to
and the challenges confronted by multinational pharmaceutical enterprises in the
current Chinese pharmaceutical market.
PMID- 29807465
TI - Emerging medical and engineering strategies for the prevention of long-term
indwelling catheter blockage.
AB - Urinary catheters have been used on an intermittent or indwelling basis for
centuries, in order to relieve urinary retention and incontinence. Nevertheless,
the use of urinary catheters in the clinical setting is fraught with
complication, the most common of which is the development of nosocomial urinary
tract infections, known as catheter-associated urinary tract infections.
Infections of this nature are not only significant owing to their high incidence
rate and subsequent economic burden but also to the severe medical consecutions
that result. A range of techniques have been employed in recent years, utilising
various technologies in attempts to counteract the perilous medical cascade
following catheter blockage. This review will focus on the current advancement
(within the last 10 years) in prevention of encrustation and blockage of long
term indwelling catheters both from engineering and medical perspectives, with
particular emphasis on the importance of stimuli-responsive systems.
PMID- 29807466
TI - Introduction of the TIPIC syndrome in the next ICHD classification.
PMID- 29807467
TI - Outcome of uncorrected CSF leak and consequent recurrent meningitis in a patient:
a case presentation and literature review.
AB - PURPOSE: Post-traumatic CSF leaks are a complication in 2% of all head injuries.
Majority of these patients will recover spontaneously. Whilst recent literature
has predominantly centred on CSF leaks and their general investigations and
management thereof, there is a paucity of information when it comes to those
patients who have persistent post-traumatic CSF leaks, as well as the
complication of recurrent meningitis. We present a patient with a persistent post
traumatic CSF leak who presented with recurrent bacterial meningitis thirteen
times- the highest documented amount in an adult. We reviewed the literature with
regards to the above as well. MATERIAL AND METHODS: We reviewed a vast array of
journal articles on the topic of CSF leaks from the PubMed resource, and focused
this review specifically on those that documented patients who had uncorrected
CSF leaks and their outcomes. RESULTS: Complications include meningitis and
rhinorrhoea with brain abscesses and pneumocephalus occurring less frequently.
Mortality has been documented to be 9% after 1 year. The rates of persistent CSF
leaks were within the same range with an average calculated rate of 21%. Whilst
meningitis was recorded and is common, it was not stipulated whether the
incidences were recurrent. CONCLUSION: Post-traumatic persistent CSF leaks remain
a therapeutic challenge and continued follow-up with early surgical intervention
is highly recommended to prevent complications. One of the more serious
complications of a persistent leak is meningitis. The long-term outcomes of
recurrent meningitis could include cumulative focal neurological deficitis and
cognitive impairment.
PMID- 29807470
TI - An Assessment of Concerns Regarding New Regulatory Guidance for Combination
Products: A Review of the Submissions Made to the FDA Regarding Their Proposed
Draft New Guidance on Human Factors Studies for a Combination Product in an
Abbreviated New Drug Application.
AB - BACKGROUND: The US Food and Drug Administration (FDA) put out a call for comments
on new draft guidance for industry "Comparative Analyses and Related Comparative
Use Human Factors Studies for a Drug-Device Combination Product Submitted in an
ANDA." This call for comments elicited 7 submissions from various organizations
in the field of health care products. This article reports on a review conducted
on these 7 submissions. The purpose of this review was to identify any
commonalities across the different submissions and determine if there was
consensus on any point or aspect of the draft guidance. METHODS: To identify any
commonalities, a heat map plotting the lines of the draft guidance that had
raised a comment/suggestion was produced. Also, a thematic analysis was conducted
on the comments/suggestions. RESULTS: In total the 7 submissions produced 137
suggestions. The heat map revealed that these suggestions did not focus on any
single part of the guidance but were spread throughout the guidance. The thematic
analysis conducted on the suggestions found a number of distinct trends. These
trends were grouped into 10 primary themes, each with a number of subthemes.
CONCLUSIONS: It was concluded that guidance from the FDA on this matter is
warranted and would be appreciated. However, it was also concluded that based on
the distinct trends identified in the suggestions, there are issues that the FDA
may wish to consider before publishing their final guidance.
PMID- 29807468
TI - Childhood problem behaviours as precursors of drinking to intoxication
trajectories - from age 1.5 to 19.
AB - OBJECTIVE: We examined behaviour problem precursors (i.e. internalising and
externalising) in childhood (ages 1.5 to 8.5) and early adolescence (age 12.5)
and consequences (i.e. harmful alcohol use) in emerging adulthood (age 19), of
developmental trajectories of drinking to intoxication across adolescence (ages
14.5-19). DESIGN AND OUTCOME MEASURES: Data from 921 children and their parents
followed for 18 years from the population-based Tracking Opportunities and
Problems (TOPP) Study were used to examine adolescent trajectories of drinking to
intoxication. Logistic regression was used to examine predictors of trajectory
group membership. RESULTS: We identified 3 trajectory classes: low / abstainer
(41%), late onset high (31%) and early onset high (28%) using latent class
analyses. Logistic regression analyses showed that childhood high externalising
characterised early onset of intoxication vs. other classes, while early
adolescence externalising discriminated both early and late onset of
intoxication. High early childhood internalising characterised those consistently
low or abstinent on alcohol intoxication, while early adolescent internalising
characterised those having an early onset of intoxication. However, after
additional adjustment for co-occurring externalising, there were no evidence for
a link between early adolescent internalising and intoxication. CONCLUSIONS: The
findings suggest that both child and early adolescent-focused prevention efforts
may be warranted.
PMID- 29807471
TI - Comparison of International Regulations for Written Medicine Information (WMI) on
Prescription Medicines.
AB - This paper presents a review of the literature, including government
legislations, policies, guidelines, and recommendations available in the European
Union, the United States of America, and Australia pertaining to the
availability, development, and distribution of written medicine information (WMI)
for prescription medicines. The online databases searched were Embase,
International Pharmaceutical Abstracts, Medline, and PubMed, together with Google
as the Internet search engine. The design and content of WMI documents have
similarities and differences across all the 3 geographical regions. All the 3
regions have legislations in place to evaluate and regulate WMI documents for
health care professionals (HCPs) and, to some degree, for patients; however, the
degree of regulation varies between the 3 regions. The regulations around the
content and information design of WMI impacts how well the WMI performs and
consequently influences patients' knowledge and medication-taking behavior.
Legislation in certain areas could be seen as more beneficial and can be
implemented across the 3 regions. Furthermore, the required legislation on the
evaluation of the content of WMIs can be seen in some areas to be more stringent
and comprehensive, which when taken onboard across the 3 regions can be valuable
when creating WMIs for both patients and HCPs.
PMID- 29807472
TI - Comparison of Sample Size by Bootstrap and by Formulas Based on Normal
Distribution Assumption.
AB - Bootstrapping technique is distribution-independent, which provides an indirect
way to estimate the sample size for a clinical trial based on a relatively
smaller sample. In this paper, sample size estimation to compare two parallel
design arms for continuous data by bootstrap procedure are presented for various
test types (inequality, non-inferiority, superiority, and equivalence),
respectively. Meanwhile, sample size calculation by mathematical formulas (normal
distribution assumption) for the identical data are also carried out.
Consequently, power difference between the two calculation methods is acceptably
small for all the test types. It shows that the bootstrap procedure is a credible
technique for sample size estimation. After that, we compared the powers
determined using the two methods based on data that violate the normal
distribution assumption. To accommodate the feature of the data, the
nonparametric statistical method of Wilcoxon test was applied to compare the two
groups in the data during the process of bootstrap power estimation. As a result,
the power estimated by normal distribution-based formula is far larger than that
by bootstrap for each specific sample size per group. Hence, for this type of
data, it is preferable that the bootstrap method be applied for sample size
calculation at the beginning, and that the same statistical method as used in the
subsequent statistical analysis is employed for each bootstrap sample during the
course of bootstrap sample size estimation, provided there is historical true
data available that can be well representative of the population to which the
proposed trial is planning to extrapolate.
PMID- 29807473
TI - Age at menarche and cancer risk at adulthood.
AB - AIM: The aim was to evaluate the association between age at menarche and cancer
using a nationally representative sample of Brazilian women. METHODS: Data from
the Brazilian Health Survey (PNS), a nationally representative survey conducted
in 2013 (n = 33,715 women; >=18 years), were used. Information on cancer
diagnosis, age at menarche and other co-variables (chronological age, educational
status, skin colour, menopause, leisure-time physical activity and tobacco
smoking) were collected via interview. Logistic regression models were used for
aetiological analyses. RESULTS: The prevalence of cancer diagnosis was greater
among women with early age at menarche [2.6% (2.0-3.5%)] compared to on-time
[1.6% (1.4-1.9%)] and late women [2.0% (1.1-3.4%)]. The onset of menarche <=11
years was significantly associated with cancer risk, regardless of co-variables
[OR =2.45 (1.34-4.48)], compared to the late group. CONCLUSION: Early age at
menarche was associated with cancer risk in adulthood, regardless of race,
educational status, chronological age, obesity, menopause onset, tobacco smoking
or physical activity.
PMID- 29807474
TI - Use of immersive virtual reality to assess episodic memory: A validation study in
older adults.
AB - Virtual reality (VR) allows for the creation of ecological environments that
could be used for cognitive assessment and intervention. This study comprises two
parts that describe and assess an immersive VR task, the Virtual Shop, which can
be used to measure episodic memory. Part 1 addresses its applicability in healthy
older adults by measuring presence, motivation, and cybersickness symptoms. Part
2 addresses its construct validity by investigating correlations between
performance in the VR task and on a traditional experimental memory task, and by
measuring whether the VR task is sensitive to age-related memory differences.
Fifty-seven older and 20 younger adults were assessed in the Virtual Shop, in
which they memorised and fetched 12 familiar items. Part 1 showed high levels of
presence, higher levels of motivation for the VR than for the traditional task,
and negligible cybersickness symptoms. Part 2 indicates that memory performance
in the VR task is positively correlated with performance on a traditional memory
task for both age groups, and age-related differences were found on the VR and
traditional memory tasks. Thus, the use of VR is feasible in older adults and the
Virtual Shop is a valid task to assess and train episodic memory in this
population.
PMID- 29807476
TI - Posttonsillectomy Hemorrhage in a Pediatric Jehovah's Witness and the Decision to
Transfuse.
PMID- 29807475
TI - Long-term prospective observation suggests that glomerular hyperfiltration is
associated with rapid decline in renal filtration function: A multiethnic study.
AB - AIM: Glomerular hyperfiltration usually occurs early in development of kidney
complications in diabetes. To understand hyperfiltration as a marker of renal
disease progression in type 2 diabetes mellitus, we aimed to examine association
between glomerular hyperfiltration (estimated glomerular filtration rate ? 120
mL/min/1.73 m2) and rapid renal decline (annual estimated glomerular filtration
rate loss ? 3 mL/min/1.73 m2). METHODS: This was a prospective cohort comprising
1014 patients with type 2 diabetes mellitus attending a Diabetes Centre of a
regional hospital in 2002-2014. A separate prospective cohort, comprising 491
patients who attended Diabetes Centre or primary-care polyclinics, was used for
validation. We performed binary mediation analysis to examine role of
hyperfiltration on relationship between baseline haemoglobin A1c and rapid renal
decline. RESULTS: Among patients in discovery cohort, 5.2% had baseline
hyperfiltration. Over mean follow-up of 6 years, 22.9% had rapid glomerular
filtration rate decline. Baseline hyperfiltration was significantly associated
with greater odds of rapid renal decline after adjusting for demographics,
diabetes duration and clinical covariates (odds ratio: 2.57; 95% confidence
interval: 1.21-5.46; p = 0.014). Similar finding was found in validation cohort
(odds ratio: 2.98; 95% confidence interval: 1.06-8.42; p = 0.034).
Hyperfiltration significantly accounted for 35.3% of association between
increasing baseline haemoglobin A1c and rapid renal decline. CONCLUSION:
Glomerular hyperfiltration is an independent risk factor of rapid renal decline.
It mediates the association between increasing haemoglobin A1c and rapid renal
decline.
PMID- 29807479
TI - Classification of Temporal Bone Pneumatization on High-Resolution Computed
Tomography: Prevalence Patterns and Implications.
AB - Objective The degree of pneumatization of the temporal bone has implications in
the pathophysiology and surgical considerations of many temporal bone disorders.
This study aims to identify common pneumatization patterns in the petrous apex,
mastoid, and infralabyrinthine compartments of the temporal bone. Variables
associated with temporal bone pneumatization were also identified. Study Design
Case series with chart review. Setting Single tertiary hospital. Subjects and
Methods In total, 299 high-resolution computed tomography scans of the temporal
bone performed on patients between 2013 and 2016 were reviewed. Only normal
temporal bone scans in patients aged 13 years and older were included. Previously
published grading systems were used to classify pneumatization patterns in the
petrous apex, mastoid, and infralabyrinthine region. Results The most common
pneumatization pattern in the petrous apex was group 2 (less than half of the
petrous apex medial to the labyrinth is pneumatized), that in the mastoid was
group 4 (hyperpneumatization), and that in the infralabyrinthine region was type
B (limited pneumatization), at 54.8%, 55.4%, and 76.0% of patients, respectively.
Patients with increased pneumatization of 1 temporal bone compartment tended to
have increased pneumatization of the same compartment on the contralateral side
and the other compartments on the ipsilateral side ( P < .05). Younger age ( P <
.001) and male sex ( P = .001) were associated with increased pneumatization in
the petrous apex and infralabyrinthine compartments. Conclusion The degree of
temporal bone pneumatization varies among the different compartments. Age and sex
have a significant association with the degree of pneumatization of the petrous
apex and infralabyrinthine compartment.
PMID- 29807477
TI - A 6-month open-label extension study of the safety and efficacy of subcutaneous
belimumab in patients with systemic lupus erythematosus.
AB - Objective To evaluate the safety, tolerability and efficacy of subcutaneous (SC)
belimumab in patients with systemic lupus erythematosus (SLE) beyond 1 year.
Methods This was a 24-week, open-label extension following a 52-week, double
blind, placebo-controlled trial of belimumab SC. Patients who completed the
double-blind phase were eligible to enter the open-label phase. All patients
received weekly belimumab 200 mg SC plus standard SLE therapy. Outcome measures
included safety and efficacy (SLE Response Index (SRI) and SLE Flare Index (SFI)
rates), and changes in biomarker and B cell levels. Results Of 677 patients who
completed the 52-week, double-blind phase, 662 entered the open-label phase; 206
had previously received placebo and 456 had previously received belimumab.
Despite differences in total belimumab exposure (24 weeks in the placebo-to
belimumab group versus 76 weeks in the belimumab group), the proportions of
patients experiencing more than one adverse event (AE) or a serious AE in the
open-label phase were similar between groups (placebo-to-belimumab: 51.5 and
6.8%; belimumab: 48.2 and 5.5%, respectively). Most AEs were mild/moderate in
severity. Efficacy was maintained through the extension phase. An SRI response
was achieved by 16.1% of patients in the placebo-to-belimumab group and 76.3%
patients in the belimumab group. Furthermore, 1.0% of patients in the placebo-to
belimumab group and 2.6% of patients in the belimumab group experienced a severe
SFI flare. Conclusion Belimumab SC was well tolerated and efficacy was maintained
during the extension phase of this study. The safety profile of belimumab SC is
consistent with that of previous experience with belimumab. Trial registration
ClinicalTrials.gov identifier: NCT01484496.
PMID- 29807480
TI - Life-Sustaining Procedures, Palliative Care Consultation, and Do-Not Resuscitate
Status in Dying Patients With COPD in US Hospitals: 2010-2014.
AB - AIM: Little is known regarding the extent to which dying patients with chronic
obstructive pulmonary disease (COPD) receive life-sustaining procedures and
palliative care in US hospitals. We examined temporal trends and the impact of
palliative care on the use of life-sustaining procedures in this population.
MATERIALS AND METHODS: A retrospective nationwide cohort analysis was performed
using weighted National Inpatient Sample (NIS) data obtained from 2010 to 2014.
Decedents >=18 years of age at the time of death and with a principal diagnosis
of COPD were included. We examined the receipt of life-sustaining procedures,
defined as1 ventilation (intubation, mechanical ventilation, and noninvasive
ventilation),2 vasopressor use (infusion and intravascular monitoring),3
nutrition (enteral and parenteral infusion of concentrated nutrition),4 dialysis,
and5 cardiopulmonary resuscitation as well as palliative care consultation and do
not resuscitate (DNR). We used compound annual growth rates (CAGRs) and the Rao
Scott correction of the chi2 statistic to determine the statistical significance
of temporal trends of life-sustaining procedures, palliative care utilization,
and DNR status. RESULTS: Among 37 312 324 hospitalizations, 38 425 patients were
examined. The CAGRs of life-sustaining procedures were 6.61% and -9.73% among
patients who underwent multiple procedures and patients who did not undergo any
procedure, respectively (both P < .001). The CAGRs of palliative consultation and
DNR were 5.25% and 36.62%, respectively (both P < .001). CONCLUSIONS: Among
adults with COPD dying in US hospitals between 2010 and 2014, the utilization of
life-sustaining procedures, palliative care, and DNR status increased.
PMID- 29807481
TI - Applying Self-Determination Theory to Adolescent Sexual-Risk Behavior and
Knowledge: A Structural Equation Model [Formula: see text].
AB - BACKGROUND: National health priorities identify adolescent sexual-risk behavior
outcomes as research and intervention targets for mental health. OBJECTIVE:
Reduce sexual-risk behavioral outcomes by applying self-determination theory to
focus on decision-making autonomy. This study examined late adolescents'
recollections of parental autonomy support/sexual-risk communication experiences
and autonomy motivation as predictors of sexual-risk behaviors/knowledge. METHOD:
A convenience sample ( N = 249) of 19- and 20-year-old university students
completed self-report questionnaires. Structural equation modeling with latent
variables examined direct/indirect effects in the hypothesized model. RESULTS:
Parents contributed uniquely through sexual-risk communication and/or autonomy
support to late adolescents' autonomous motivation. The final model evidenced
acceptable fit and explained 12% of the variation in adolescent sexual-risk
behavior, 7% in adolescent autonomous motivation, and 2% in adolescent sexual
risk knowledge. CONCLUSIONS: Psychiatric mental health nurses should conduct
further research and design interventions promoting parent autonomy support and
adolescent autonomous motivation to reduce sexual risk-behavior and increase
sexual-risk knowledge.
PMID- 29807482
TI - Prescription and Usage Pattern of Opioids after Thyroid and Parathyroid Surgery.
AB - Objective We seek to characterize the prescribing patterns of opioids, opioid
consumption, and pain severity after thyroid and parathyroid surgery. We also aim
to determine if a relationship exists between preoperative medication use and
postoperative pain or opioid consumption. Study Design Case series with chart
review. Setting Academic university hospital. Subjects and Methods Medical
records of 237 adult patients undergoing thyroid and parathyroid surgery were
included. Clinicopathologic data were collected, including pain scores,
preoperative medications, and inpatient pain medications. Results The mean
maximum pain score was 5.74 and varied by surgery type (range, 0-10). Mean pain
score decreased to 2.61 upon discharge (0-8) and to 0.51 at the first
postoperative visit. Patients with a length of stay exceeding 1 day had
significantly higher maximum pain scores than those with a length of stay of 0 or
1 day (8 vs 5.58, P < .001). Morphine milligram equivalents while in the hospital
averaged 25.4 per day and were significantly influenced by preoperative opioid
use (0-202). Acetaminophen/oxycodone was the most commonly prescribed opioid. The
mean number of pills prescribed postoperatively was 43.1 (0-120). Conclusion In
our population, patients are discharged with opioid prescriptions that may be in
excess of their requirements following thyroid and parathyroid surgery.
Preoperative opioid use was associated with higher postoperative pain score and,
on multivariate analysis, greater inpatient opioid consumption. Further
investigation is warranted to ensure that we are prescribing opioids
appropriately following thyroid and parathyroid surgery.
PMID- 29807483
TI - The Influence of Individuals' Vulnerabilities and Their Interactions on the
Assessment of a Primary Care Experience.
AB - This study examines the relationship between the vulnerabilities of individuals
and their assessments of their primary care experiences in the setting of a
universal care system. It focuses on 2 specific objectives: (1) evaluating the
influence of each of the 5 vulnerabilities on the assessment of the care
experience; (2) evaluating the influence of the interactions between the
different types of vulnerabilities on the assessment of the care experience. The
study identifies the primary care experience of 9,206 people. The health-related,
biological, material, relational, and cultural vulnerabilities are also
evaluated. Generally, individuals' vulnerabilities are associated with a positive
assessment of the primary care experience except for the cultural vulnerability.
Material vulnerability is most frequently associated with a positive assessment
of the primary care experience. The interactions between the multiple
vulnerabilities present for one individual often modify the effect of
vulnerability on the assessment of the experience of care. The positive effect of
a vulnerability on the assessment of the care experience often increases in the
presence of a second vulnerability, especially the health-related vulnerability.
The simultaneous presence of health-related vulnerability cancels the negative
influence of cultural vulnerability on the assessment of the primary care
experience.
PMID- 29807484
TI - Increasing Industry Involvement in Otolaryngology: Insights from 3 Years of the
Open Payments Database.
AB - Objectives To characterize industry payments to otolaryngologists in 2016 versus
2014 and 2015. Study Design Cross-sectional retrospective analysis. Setting Open
Payments Database. Subjects and Methods Using the Open Payments Database, we
identified otolaryngologists receiving payments from industry sponsors from 2014
to 2016. We characterized the number and value of payments per physician overall
and by census region, as well as by sponsor subspecialty and payment type. Study
years were compared via analysis of variance and Kruskal-Wallis tests. Trends in
payments to otolaryngologists were compared with trends in 21 other specialties.
Results Payment to otolaryngologists increased 67% from 2014 to 2016-from $8.7
million in 2014 to $9.9 and $14.5 million in 2015 and 2016, respectively ( P <
.001). While mean payment per compensated otolaryngologist increased ($1095,
$1243, and $1834 in 2014, 2015, and 2016, respectively, P < .001), median
payments stayed relatively constant ($169, $165, and $172), suggesting an
increasingly unequal distribution. Much of the increase is accounted for by an
increased number of payments for consulting fees and physician ownership. Most
payments were made by companies specializing in rhinology. Otolaryngology
received the lowest industry compensation per physician among the surgical
specialties examined and lower compensation than most nonsurgical specialties.
The increase in payments to otolaryngologists was proportionally greater than all
but 1 of the other 21 specialties examined. Conclusions Industry compensation to
otolaryngologists is increasing and increasingly unequal, although it is still
less than that in most other specialties. In otolaryngology, the Open Payments
Database has not decreased physician-industry relationships as intended.
PMID- 29807485
TI - Being a refugee or having a refugee status? Birthweight and gestational age
outcomes among offspring of immigrant mothers in Sweden.
AB - AIMS: to evaluate whether the information on refugee status based on the
residence permit is a useful source of information for perinatal health
surveillance. METHODS: Using the Swedish population registers (1997-2012), we use
multinomial regression models to assess the associations between migration status
(refugee and non-refugee) and birth outcomes derived from birthweight and
gestational age: low birthweight (LBW) (<2500 g), macrosomia (>=4000 g); preterm:
(<37 w) and post-term (>=42 w). The Swedish-born population was used as a
reference group. RESULTS: Compared to the Swedish-born population, an increased
OR (odds ratio) of LBW and post-term was found among migrants with and without
refugee status (respectively: OR for refugees: 1.47 [95% CI: 1.33-1.63] and non
refugees:1.27 [95% CI: 1.18-1.38], for refugees: 1.41 [95% CI: 1.35-1.49] and non
refugees:1.04 [95% CI: 1.00-1.08]) with statistically significant differences
between these two migrant categories. However, when looking at specific regions
of origin, few regions show differences by refugee status. Compared to Swedes,
lower or equal ORs of preterm and macrosomia are observed regardless of migratory
status. CONCLUSIONS: Small or no differences were observed in birth outcomes
among offspring of women coming from the same origin with different migratory
status, compared to their Swedish counterparts. This suggests that information on
migration status is not a relevant piece of information to identify immigrant
women at higher risk of experiencing adverse reproductive outcomes. Our results
however might be explained by the large proportion of women coming to Sweden for
family reunification who are classified as non-refugee migrants.
PMID- 29807486
TI - Palliative Care Use Among Patients With Solid Cancer Tumors: A National Cancer
Data Base Study.
AB - BACKGROUND: Palliative care has been increasingly recognized as an important part
of cancer care but remains underutilized in patients with solid cancers. There is
a current gap in knowledge regarding why palliative care is underutilized
nationwide. OBJECTIVE: To identify the factors associated with palliative care
use among deceased patients with solid cancer tumors. METHODS: Using the 2016
National Cancer Data Base, we identified deceased patients (2004-2013) with
breast, colon, lung, melanoma, and prostate cancer. Data were described as
percentages. Associations between palliative care use and patient, facility, and
geographic characteristics were evaluated through multivariate logistic
regression. RESULTS: A total of 1 840 111 patients were analyzed; 9.6% received
palliative care. Palliative care use was higher in the following patient groups:
survival >24 months (17% vs 2%), male (54% vs 46%), higher Charlson-Deyo
comorbidity score (16% vs 8%), treatment at designated cancer programs (74% vs
71%), lung cancer (76% vs 28%), higher grade cancer (53% vs 24%), and stage IV
cancer (59% vs 13%). Patients who lived in communities with a greater percentage
of high school degrees had higher odds of receiving palliative care; Central and
Pacific regions of the United States had lower odds of palliative care use than
the East Coast. Patients with colon, melanoma, or prostate cancer had lower odds
of palliative care than patients with breast cancer, whereas those with lung
cancer had higher odds. CONCLUSIONS: Palliative care use in solid cancer tumors
is variable, with a preference for patients with lung cancer, younger age, known
insurance status, and higher educational level.
PMID- 29807487
TI - Fluctuations in blood biomarkers of head trauma in NCAA football athletes over
the course of a season.
AB - OBJECTIVE Repetitive subconcussive head trauma is a consequence of participation
in contact sports and may be linked to neurodegenerative diseases. The degree of
neurological injury caused by subconcussive head trauma is not easily detectible,
and this injury does not induce readily identifiable clinical signs or symptoms.
Recent advancements in immunoassays make possible the detection and
quantification of blood biomarkers linked to head trauma. Identification of a
blood biomarker that can identify the extent of neurological injury associated
with subconcussive head trauma may provide an objective measure for informed
decisions concerning cumulative exposure to subconcussive head trauma. The
purpose of the current study was to examine changes in the blood biomarkers of
subconcussive head trauma over the course of an American football season. METHODS
Thirty-five National Collegiate Athletic Association (NCAA) American football
athletes underwent blood sampling throughout the course of a football season.
Serial samples were obtained throughout the 2016 season, during which the number
and magnitude of head impacts changed. Blood samples were analyzed for plasma
concentrations of tau and serum concentrations of neurofilament light polypeptide
(NF-L). Athletes were grouped based on their starter status, because athletes
identified as starters are known to sustain a greater number of impacts. Between
group differences and time-course differences were assessed. RESULTS In
nonstarters, plasma concentrations of tau decreased over the course of the
season, with lower values observed in starters; this resulted in a lower area
under the curve (AUC) (starters: 416.78 +/- 129.17 pg/ml/day; nonstarters: 520.84
+/- 163.19 pg/ml/day; p = 0.050). Plasma concentrations of tau could not be used
to discern between starters and nonstarters. In contrast, serum concentrations of
NF-L increased throughout the season as head impacts accumulated, specifically in
those athletes categorized as starters. The higher serum concentrations of NF-L
observed in starters resulted in a larger AUC (starters: 1605.03 +/- 655.09
pg/ml/day; nonstarters: 1067.29 +/- 272.33 pg/ml/day; p = 0.007). The AUC of the
receiver operating characteristic curve analyses displayed fair to modest
accuracy to identify athletes who were starters with the use of serum NF-L
following periods of repetitive impacts. CONCLUSIONS The different patterns
observed in serum NF-L and plasma tau concentrations provide preliminary evidence
for the use of blood biomarkers to detect the neurological injury associated with
repetitive subconcussive head trauma. Although further investigation is
necessary, such findings might lay the foundation for the further development of
an objective measure for the detection of neurological injury caused by
subconcussive head trauma.
PMID- 29807488
TI - Incorporation of nanoparticles into transplantable decellularized matrices:
Applications and challenges.
AB - Decellularization of tissues can significantly improve regenerative medicine and
tissue engineering by producing natural, less immunogenic, three-dimensional,
acellular matrices with high biological activity for transplantation.
Decellularized matrices retain specific critical components of native tissues
such as stem cell niche, various growth factors, and the ability to regenerate in
vivo. However, recellularization and functionalization of these matrices remain
limited, highlighting the need to improve the characteristics of decellularized
matrices. Incorporating nanoparticles into decellularized tissues can overcome
these limitations because nanoparticles possess unique properties such as
multifunctionality and can modify the surface of decellularized matrices with
additional growth factors, which can be loaded onto the nanoparticles. Therefore,
in this minireview, we highlight the various approaches used to improve
decellularized matrices with incorporation of nanoparticles and the challenges
present in these applications.
PMID- 29807489
TI - Hyaluronan enhancement of expanded polytetrafluoroethylene cardiovascular grafts.
AB - Heart disease continues to be the leading cause of death in the United States.
The demand for cardiovascular bypass procedures increases annually. Expanded
polytetrafluoroethylene is a popular material for replacement implants, but it
does have drawbacks such as high thrombogenicity and low patency, particularly in
small diameter grafts. Hyaluronan, a naturally occurring polysaccharide in the
human body, is known for its wound healing and anticoagulant properties. In this
work, we demonstrate that treating the luminal surface of expanded
polytetrafluoroethylene grafts with hyaluronan improves hemocompatibility without
notably changing its mechanical properties and without significant cytotoxic
effects. Surface characterization such as ATR-FTIR and contact angle goniometry
demonstrates that hyaluronan treatment successfully changes the surface chemistry
and increases hydrophilicity. Tensile properties such as elastic modulus, tensile
strength, yield stress and ultimate strain are unchanged by hyaluronan
enhancement. Durability data from flow loop studies demonstrate that hyaluronan
is durable on the expanded polytetrafluoroethylene inner lumen. Hemocompatibility
tests reveal that hyaluronan-treated expanded polytetrafluoroethylene reduces
blood clotting and platelet activation. Together our results indicate that
hyaluronan-enhanced expanded polytetrafluoroethylene is a promising candidate
material for cardiovascular grafts.
PMID- 29807490
TI - Impact of Body Mass Index on Operative Outcomes in Head and Neck Free Flap
Surgery.
AB - OBJECTIVE: Analyze the risk for perioperative complications associated with body
mass index (BMI) class in patients undergoing head and neck free flap
reconstruction. STUDY DESIGN AND SETTING: Retrospective cohort study. SUBJECTS
AND METHODS: The National Surgical Quality Improvement Program (NSQIP) database
was queried for all cases of head and neck free flaps between 2005 and 2014 (N =
2187). This population was stratified into underweight, normal-weight,
overweight, and obese BMI cohorts. Groups were compared for demographics,
comorbidities, and procedure-related variables. Rates of postoperative
complications were compared between groups using chi2 and binary logistic
regression analyses. RESULTS: Underweight patients (n = 160) had significantly
higher rates of numerous comorbidities, including disseminated cancer,
preoperative chemotherapy, and anemia, while obese patients (n = 447) had higher
rates of diabetes and hypertension. Rates of overall surgical complications,
medical complications, and flap loss were insignificantly different between BMI
groups. Following regression, obese BMI was protective for perioperative
transfusion requirement (odds ratio [OR] = 0.63, P = .001), while underweight
status conferred increased risk (OR = 2.43, P < .001). Recent weight loss was
found to be an independent predictor of perioperative cardiac arrest (OR = 3.16,
P = .006) while underweight BMI was not (OR = 1.21, P = .763). However, both
weight loss and underweight status were associated with significantly increased
risk for 30-day mortality (OR = 4.48, P = .032; OR = 4.02, P = .010,
respectively). CONCLUSION: Obesity does not increase the risk for postoperative
complications in head and neck free flap surgery and may be protective in some
cases. When assessing a patient's fitness for surgery, underweight status or
recent weight loss may suggest a reduced ability to tolerate extensive free flap
reconstruction.
PMID- 29807491
TI - Palliative care nursing involvement in end-of-life decision-making: Qualitative
secondary analysis.
AB - BACKGROUND: Nurses are the largest professional group in healthcare and those who
make more decisions. In 2014, the Committee on Bioethics of the Council of Europe
launched the "Guide on the decision-making process regarding medical treatment in
end-of-life situations" (hereinafter, Guide), aiming at improving decision-making
processes and empowering professionals in making end-of-life decisions. The Guide
does not mention nurses explicitly. OBJECTIVES: To analyze the ethical principles
most valued by nurses working in palliative care when making end-of-life
decisions and investigate if they are consistent with the framework and
recommendations of the Guide; to identify what disputed/controversial issues are
more frequent in these nurses' current end-of-life care practices. DESIGN:
Qualitative secondary analysis. Participants/context: Three qualitative datasets
including 32 interviews from previous studies with nurses working in palliative
care in Portugal. Ethical consideration: Ethical approval was obtained from the
Ethics Research Lab of the Instituto de Bioetica (Ethics Research Lab of the
Institute of Bioethics) (Ref.04.2015). Ethical procedures are thoroughly
described. FINDINGS: All participant nurses referred to autonomy as an ethical
principle paramount in end-of-life decision-making. They were commonly involved
in end-of-life decision-making. Palliative sedation and communication were the
most mentioned disputed/controversial issues. DISCUSSION: Autonomy was highly
valued in end-of-life care and decision-making. Nurses expressed major concerns
in assessing patients' preferences, wishes, and promoting advance care planning.
Nurses working in palliative care in Portugal were highly involved in end-of-life
decision-making. These processes embraced a collective, inclusive approach.
Palliative sedation was the most mentioned disputed issue, which is aligned with
previous findings. Communication also emerged as a sensitive ethical issue; it is
surprising, however, that only three nurses referred to it. CONCLUSION: While the
Guide does not explicitly mention nurses in its content, this study shows that
nurses working in palliative care in Portugal are involved in these processes.
Further research is needed on nurses' involvement and practices in end-of-life
decision-making.
PMID- 29807492
TI - Psychedelic use and intimate partner violence: The role of emotion regulation.
AB - BACKGROUND: Recent evidence suggests that psychedelic use predicts reduced
perpetration of intimate partner violence among men involved in the criminal
justice system. However, the extent to which this association generalizes to
community samples has not been examined, and potential mechanisms underlying this
association have not been directly explored. AIMS: The present study examined the
association between lifetime psychedelic use and intimate partner violence among
a community sample of men and women. The study also tested the extent to which
the associations were mediated by improved emotion regulation. METHODS: We
surveyed 1266 community members aged 16-70 (mean age=22.78, standard deviation
=7.71) using an online questionnaire that queried substance use, emotional
regulation, and intimate partner violence. Respondents were coded as psychedelic
users if they reported one or more instance of using lysergic acid diethylamide
and/or psilocybin mushrooms in their lifetime. Results/outcomes: Males reporting
any experience using lysergic acid diethylamide and/or psilocybin mushrooms had
decreased odds of perpetrating physical violence against their current partner
(odds ratio=0.42, p<0.05). Furthermore, our analyses revealed that male
psychedelic users reported better emotion regulation when compared to males with
no history of psychedelic use. Better emotion regulation mediated the
relationship between psychedelic use and lower perpetration of intimate partner
violence. This relationship did not extend to females within our sample.
CONCLUSIONS/INTERPRETATION: These findings extend prior research showing a
negative relationship between psychedelic use and intimate partner violence, and
highlight the potential role of emotion regulation in this association.
PMID- 29807493
TI - Practicing Communication Skills For Responding to Emotionally Charged Questions.
AB - OBJECTIVE: To assess the impact of a 1-hour communication skills workshop
highlighting the "ask more and summarize technique" (AMST) to teach residents an
effective way to respond to emotionally charged questions. METHODS: From December
2015 to January 2017, residents on an inpatient oncology or palliative medicine
rotation attended a mandatory 1-hour workshop on AMST involving a short
introduction to the technique followed by skills practice. A survey (S1) was
administered to the residents during the first session to assess their self
reported attitudes and practices. A follow-up survey (S2) was e-mailed at the end
of the rotation to assess the usefulness of AMST. RESULTS: Twenty-one
participants completed S1, and 12 participants completed S2. A total of 62%
(13/21) reported the workshop was "very useful." There was a reported increased
frequency of "summarizing back" between surveys ( P = .01). Addressing fear and
anxiety (75%, 9/12) and responding to patients who were angry/upset (67%, 8/12)
were the situations where AMST was found to be the most useful. Fifty-four
percent of respondents (7/12) felt they could have used AMST more. CONCLUSION: A
1-hour communication skills workshop targeting residents on an inpatient oncology
or palliative rotation increased the use of summary statements in challenging
situations. PRACTICE IMPLICATIONS: A short skills practice workshop can be
incorporated into a busy clinical curriculum to achieve changes in trainee
behaviors and attitudes.
PMID- 29807494
TI - Association between Eosinophilic Esophagitis and Esophageal Food Impaction in the
Pediatric Population.
AB - Objectives (1) To describe the presentation, management, and outcomes associated
with pediatric esophageal food impaction (EFI) at a single tertiary care
institution. (2) To identify the key clinical features of pediatric EFI that are
associated with a diagnosis of eosinophilic esophagitis (EoE). Study Design Case
series with chart review. Setting Tertiary care children's hospital. Subjects and
Methods Thirty-five children <18 years of age presenting with EFI between
November 1, 2006, and October 31, 2013, were included. Presenting symptoms,
medical history, biopsy results, endoscopic findings, and underlying etiology
were examined. Fisher exact test, t tests, and logistic regression were used to
compare between patients with and without EoE. Results Thirty-five patients had
isolated EFI and were included in the study. EoE accounted for 74% (n = 26) of
pediatric EFI, with the remaining cases being attributed to neurologic impairment
(n = 5, 15%), prior surgeries (n = 1, 3%), reflux esophagitis (n = 1, 3%), or
unknown etiologies (n = 2, 6%). EFI was the initial manifestation of EoE in 81%
(n = 21) of patients. The most common presenting symptoms were dysphagia (n =
34), choking (n = 26), and vomiting (n = 23). Linear furrowing was the only
endoscopic finding that was significantly associated with EoE ( P < .001).
Conclusion Most esophageal food impactions in the pediatric population are
associated with an underlying diagnosis of EoE and are often the initial
manifestation of the disease. EoE must be considered in all pediatric patients
with EFI; esophageal biopsies should be strongly considered in these patients at
the time of endoscopic management of the EFI.
PMID- 29807495
TI - Telehealth intervention for Latina breast cancer survivors: A pilot.
AB - AIM: This study was a pilot test of the Latina Breast Cancer Survivorship
Intervention, a survivorship self-management intervention delivered via
telephone. MATERIALS AND METHODS: This study used a wait-list control design with
random assignment to either (1) support and early education or (2) support and
delayed education. Latina breast cancer survivors were recruited through the
Florida Cancer Data System Registry. Latinas with stage I-III breast cancer who
completed primary cancer treatment 3 years prior to study enrollment were
eligible. The Latina Breast Cancer Survivorship Intervention consisted of three
education sessions delivered weekly via telephone and six telephone support
calls, both delivered by a native Spanish speaker. Primary outcome variables
included physical well-being, emotional well-being, fatigue, pain, and depressive
symptoms. Data collection occurred at baseline, 3 months, and 6 months. RESULTS:
In total, 40 Latina breast cancer survivors who were middle-aged to older,
married, with health insurance, and Spanish as preferred language enrolled in the
Latina Breast Cancer Survivorship Intervention. Data were analyzed using mean
change scores. Overall, physical and emotional well-being remained similar over
time with well-being scores poorer compared with the general population. Pain
levels improved over 6 months and showed a high effect size. Fatigue scores
improved at 3 months and showed a moderate effect size. Depressive symptoms
remained elevated but were not clinically significant. CONCLUSION: Telephone
based Latina Breast Cancer Survivorship Intervention reached Latina breast cancer
survivors for survivorship education and support. Self-management of pain and
fatigue showed improvement over time.
PMID- 29807497
TI - "Get Out of My Home and Don't Come Back!" Empowering Women Through Self-Defense.
AB - Can self-defense courses empower those already victimized? This article explores
the potential for self-defense courses to have specific efficacy in the
prevention of intimate partner violence (IPV). It draws on interview ( n = 36)
and pre- and postevaluation ( n = 44) data from two studies: (a) evaluation of a
pilot study of a feminist empowerment self-defense course designed specifically
for women's refuge/shelter clients (Violence Prevention Project [VPP]) and (b)
evaluation of women's self-defense courses collected as part of a larger
evaluation study (Skills for Safety). The overwhelmingly positive findings from
both studies suggest greater consideration be offered to providing further
collaborative refuge/self-defense courses for women victimized by IPV.
PMID- 29807496
TI - Axillo-Axillary Artery Bypass With Coil Embolization of the Innominate Artery for
a Traumatic Innominate Artery Aneurysm: A Case Report.
AB - BACKGROUND: Innominate artery aneurysm (IAA) is a rare cervical artery aneurysm.
Although atherosclerosis is its most common cause, IAAs due to cervical injury
are often reported. Operative indications for IAAs include rupture or symptomatic
aneurysm, saccular aneurysm, aneurysm with a diameter of 3 cm or greater, and
aneurysmal change of the origin of the innominate artery. Although the ligature
of the innominate artery or open surgical repair is well described, the
usefulness of endovascular repair has also recently been reported. Herein, we
report a case of traumatic IAA with infection in the cervical region after
tracheostomy. CASE PRESENTATION: A 40-year-old man with cholecystolithiasis
planned to undergo laparoscopic cholecystectomy at another hospital. Urgent
tracheostomy was performed because of laryngeal edema at the induction of general
anesthesia. Enhanced computed tomography angiography 1 week after the
tracheostomy revealed a saccular IAA. The patient was deemed to be at high risk
for aneurysm rupture and was referred to our hospital. Preoperative Matas test,
Allcock test, and innominate arterial stump pressure measurement were performed
to assess the cerebral blood flow and ischemic tolerance of the brain. These
examinations showed the patency of the circle of Willis. An axillo-axillary
artery bypass with coil embolization of the innominate artery was performed to
avoid postoperative vascular graft infection. No postoperative complications such
as infection or cerebral infarction occurred. Magnetic resonance imaging
angiography performed 6 months after surgical treatment showed that the aneurysm
had disappeared, and patency of the bypass graft was present. There were no
postoperative complications, such as neurological deficits or graft infection, at
more than 5 years after surgery. CONCLUSIONS: We report a successfully treated
case of IAA after tracheostomy. Axillo-axillary artery bypass with coil
embolization of the innominate artery is an effective treatment of IAA with
cervical infection.
PMID- 29807498
TI - Canine Gastrointestinal Spindle Cell Tumors Efficiently Diagnosed by Tissue
Microarray-Based Immunohistochemistry.
AB - Tissue microarray (TMA) is a time- and cost-saving technique allowing the
simultaneous immunohistochemical evaluation of multiple tissue samples. The aim
of this study was to assess the efficacy of TMA at classifying canine
gastrointestinal spindle cell tumors as gastrointestinal stromal tumor (GIST),
smooth muscle tumor (SMT), and non-GIST/non-SMT based on the expression of alpha
smooth muscle actin (alpha-SMA), desmin, and CD117. Thirty-four cases were
investigated on TMAs, sampling 2 cores each. Immunohistochemistry was performed
on TMAs and full sections, and the results were compared. Comparing full
sections, TMA specificity and sensitivity were 100% and 93.8%, respectively, for
alpha-SMA; 100% and 80.8% for desmin; and 100% and 100% for CD117. TMA allowed
the identification of 6 of 6 GISTs, 25 of 26 SMTs, and 2 of 2 non-GIST/non-SMTs.
One SMT was misdiagnosed as non-GIST/non-SMT. Based on these results, TMA-based
immunohistochemistry is efficient at diagnosing canine gastrointestinal spindle
cell tumors and might be applied on large caseloads in a research setting.
PMID- 29807499
TI - Bovine Surgery and Lameness, Third Edition.
PMID- 29807501
TI - Corrigendum.
PMID- 29807502
TI - Stigmatizing attitudes of primary care professionals towards people with mental
disorders: A systematic review.
AB - Objective To examine stigmatizing attitudes towards people with mental disorders
among primary care professionals and to identify potential factors related to
stigmatizing attitudes through a systematic review. Methods A systematic
literature search was conducted in Medline, Lilacs, IBECS, Index Psicologia,
CUMED, MedCarib, Sec. Est. Saude SP, WHOLIS, Hanseniase, LIS-Localizador de
Informacao em Saude, PAHO, CVSO-Regional, and Latindex, through the Virtual
Health Library portal ( http://www.bireme.br website) through to June 2017. The
articles included in the review were summarized through a narrative synthesis.
Results After applying eligibility criteria, 11 articles, out of 19.109
references identified, were included in the review. Primary care physicians do
present stigmatizing attitudes towards patients with mental disorders and show
more negative attitudes towards patients with schizophrenia than towards those
with depression. Older and more experience doctors have more stigmatizing
attitudes towards people with mental illness compared with younger and less
experienced doctors. Health-care providers who endorse more stigmatizing
attitudes towards mental illness were likely to be more pessimistic about the
patient's adherence to treatment. Conclusions Stigmatizing attitudes towards
people with mental disorders are common among physicians in primary care
settings, particularly among older and more experienced doctors. Stigmatizing
attitudes can act as an important barrier for patients to receive the treatment
they need. The primary care physicians feel they need better preparation,
training, and information to deal with and to treat mental illness, such as a
user friendly and pragmatic classification system that addresses the high
prevalence of mental disorders in primary care and community settings.
PMID- 29807500
TI - Material flow design and simulation for a glass panel recycling installation.
AB - The current paper presents the design of a glass panels recycling flow and the
method used for establishing the optimal processing installation architecture. In
the solution provided in the current research, a novel approach centred on
applying digital twinning in the design of the requested processing architecture
is presented. It involves designing the virtual prototype of the diffused
processing architecture and modelling the glass waste flow as a hybrid material
flow. Dedicated analysis and simulation software is then used for establishing
installation architecture and the specific parameters for each processing and
transport capacity. The assessment of different processing scenarios by virtual
modelling and simulations can also be used for exploring options to increase
productivity and profit for other different recycling architectures. The main
practical value of the study consists of creating the means to improve the waste
recycling of automotive windshields, float glass or construction glass panels
with metallic meshes, all representing categories of waste insufficiently
recycled in Romania. The simulation results of the study were validated by tests
made on the glass panel recycling installation. Also, a recovery glass rate of
minimum 85% of the amount of waste loaded into the recycling system was achieved,
obtaining a waste recycling quantity three times higher than initially
anticipated.
PMID- 29807504
TI - Serum theophylline after multiple dosing with transdermal gels in cats.
AB - Objectives Our objectives were, first, to determine if therapeutic serum
theophylline concentrations could be achieved using long-term, once-daily dosing
of transdermal theophylline and, secondarily, to evaluate the difference between
two transdermal theophylline formulations. Methods Seven healthy cats, 1-10 years
of age, were evaluated in a two-way, randomized, double-blinded, crossover study.
Participants received transdermal theophylline at 15 mg/kg for 21 days in either
pluronic lecithin organogel (PLO) or Lipoderm formulation. On day 22, blood was
collected 2, 6, 14 and 24 h after dosing. After a 14 day washout period, blood
was collected to verify non-detectible theophylline concentrations. The alternate
formulation was administered for 21 days, and sampling was repeated. Serum
theophylline concentrations were determined using an automated immunoassay. Serum
concentrations were compared between formulations using a two-way random-measures
ANOVA and over time within a formulation using a repeated-measures ANOVA. Results
Therapeutic serum theophylline concentrations were achieved for 2/7 cats in each
group. Of 56 serum theophylline measurements obtained, only seven (13%) were
within the therapeutic range. No significant difference was detected in drug
concentrations achieved by the transdermal formulations at any time point. In
addition, no significant difference in serum theophylline concentrations was
noted between time points for PLO ( P = 0.751) or Lipoderm ( P = 0.107).
Conclusions and relevance Once-daily transdermal dosing of theophylline does not
reliably achieve therapeutic concentrations. Individual cats may achieve
therapeutic concentrations. No significant difference was noted between PLO and
Lipoderm formulations. Therefore, transdermal theophylline formulations should
not be considered as a first-line therapy in feline asthma patients.
PMID- 29807503
TI - Persistent Postoperative Opioid Use in Older Head and Neck Cancer Patients.
AB - Objectives Despite the epidemic of opioid overuse among American patients, there
are limited data regarding the prevalence of such use among patients with head
and neck cancer (HNC). Here, we report on the prevalence of persistent
postoperative opioid (PPO) use and its risk factors among older patients with HNC
undergoing surgery. Study Design Retrospective cohort study. Setting
Surveillance, Epidemiology, and End Results (SEER)-Medicare linked cancer
registry-claims database. Subjects and Methods We identified patients aged 66
years or older who were diagnosed with HNC from 2008 to 2013, underwent primary
surgical resection for their cancers, and met certain insurance and discharge
criteria. The primary outcome was PPO use, defined as new opioid prescriptions 90
to 180 days postoperatively. We used multivariable logistic regression to
evaluate associations between PPO use and factors such as demographics and
postoperative treatment. Results Of the 1190 eligible patients with HNC, 866
(72.8%) received opioid prescriptions attributable to their surgery. Among these
866 patients, the prevalence of PPO use was 33.3% overall; it was 48.3% among the
428 patients with preoperative opioid use compared to 18.5% among the 438 opioid
naive patients (adjusted odds ratio [OR], 3.96; 95% confidence interval [CI],
2.80-5.59). Other factors associated with PPO use include postoperative
radiotherapy (OR, 1.99; 95%, CI 1.33-2.98) and Charlson comorbidity index (OR,
1.20; 95% CI, 1.03-1.41). Postoperative chemotherapy (OR, 1.19; 95% CI, 0.73
1.95) was not significantly associated with PPO use. Conclusions PPO use is a
substantial problem in older surgical patients with HNC, one that warrants
consideration of alternative treatment strategies and continued examination of
prescription guidelines for patients with HNC.
PMID- 29807505
TI - Thrombolysis with tissue plasminogen activator (TPA) in feline acute aortic
thromboembolism: a retrospective study of 16 cases.
AB - Objectives Thrombolytic therapy is a treatment of choice for people with acute
ischemic events, but is uncommonly administered for feline aortic thromboembolism
(FATE). This study reports selected clinical data and outcomes of acute FATE
treated with tissue plasminogen activator (TPA). A reference group treated with
current standard of care (SOC) was analyzed for comparison. Methods This was a
retrospective study of FATE in two academic hospitals. TPA-treated cats with two
or more limbs (n = 16) affected were compared with a SOC-treated group with two
or more limbs affected (n = 38). A limb score based on motor function and pulse
quality was calculated for each group. Results Limb score and proportion of
congestive heart failure at admission was similar in both groups. Time from FATE
to admission was shorter in the TPA group, with a median of 3 h (range 0-6 h) vs
6 h (range 0-48 h; P = 0.0004). The most common regimen received for TPA was 1
mg/kg over 1 h. Other treatments were similar to those of the SOC group and
included analgesia, thromboprophylaxis and furosemide. Documented complications
for TPA-treated cats included reperfusion injury (5/10) and acute kidney injury
(AKI; 3/10). Discharge proportion rate was 44% (TPA) vs 29% (SOC; P = 0.351).
There were no differences in short-term survival rate (56.2% vs 39.5%; P =
0.369), clinical improvement (56.2% vs 31%; P = 0.122), rates of reperfusion
injury (50% vs 50%; P = 1.00) or AKI (30% vs 27%; P = 1.00) between the TPA
treated and SOC groups, respectively. Conclusions and relevance Survival and
complication rates of TPA-treated cats and SOC-treated cats for acute FATE were
similar.
PMID- 29807506
TI - Performance Assessment of New Urinary Translational Safety Biomarkers of Drug
induced Renal Tubular Injury in Tenofovir-treated Cynomolgus Monkeys and Beagle
Dogs.
AB - Newer urinary protein kidney safety biomarkers can outperform the conventional
kidney functional biomarkers blood urea nitrogen (BUN) and serum creatinine (SCr)
in rats. However, there is far less experience with the relative performance of
these biomarkers in dogs and nonhuman primates. Here, we report urine protein
biomarker performance in tenofovir-treated cynomolgus monkeys and beagle dogs.
Tenofovir intravenous daily dosing in monkeys for 2 or 4 weeks at 30 mg/kg/day
resulted in minimal to moderate tubular degeneration and regeneration, and
tenofovir disoproxil fumarate oral dosing in dogs for 10 days at 45 mg/kg/day
resulted in mild to marked tubular degeneration, necrosis, and regeneration.
Among biomarkers tested, kidney injury molecule 1 (Kim-1) and clusterin (CLU)
clearly outperformed BUN and SCr and were the most reliable in detecting the
onset and progression of tenofovir-induced tubular injury. Cystatin C, retinol
binding protein 4, beta2-microglobulin, neutrophil gelatinase-associated
lipocalin, albumin, and total protein also performed better than BUN and SCr and
added value when considered together with Kim-1 and CLU. These findings
demonstrate the promising utility of these urinary safety biomarkers in monkeys
and dogs and support their further evaluation in human to improve early detection
of renal tubular injury.
PMID- 29807507
TI - Septic Arthritis/Osteomyelitis May Lead to Osteochondrosis-Like Lesions in Foals.
AB - Failure of the cartilage canal blood supply leads to ischemic chondronecrosis
which causes osteochondrosis, and osteochondral lesions. Osteochondrosis is a
disease with a heritable component and usually occurs under aseptic conditions.
Because bacteria can bind to growth cartilage and disrupt the blood supply in
pigs and chickens, we considered whether this might play a role in development of
equine osteochondrosis. The aim of this study was to examine whether bacteria are
present in canals in the growth cartilage of foals with septic
arthritis/osteomyelitis, and whether this is associated with osteochondrosis. The
material consisted of 7 foals aged 9-117 days euthanized because of septic
arthritis/osteomyelitis. The 7 cases had 16 lesions in growth cartilage that were
evaluated histologically. Bacteria were present in cartilage canals in foals with
septic arthritis/osteomyelitis. Portions of necrotic canals adjacent to bacteria
frequently contained neutrophils, termed acute septic canals; or granulation
tissue with neutrophils, termed chronic septic canals. Acute and chronic septic
canals were associated with ischemic chondronecrosis in the articular-epiphyseal
cartilage complex (AECC) of 5 cases and in the physis of 2 cases, and
ossification was focally delayed in 5 of those 7 cases. Lesions occurred with and
without adjacent osteomyelitis. Bacteria were present in cartilage canals and
were associated with focal chondronecrosis in both the AECC and the physis. This
establishes sepsis as a plausible cause of some osteochondral lesions in horses.
It is recommended that horses with sepsis-related osteochondral lesions may be
used for breeding without increasing the prevalence of OCD-predisposing genes in
the population.
PMID- 29807508
TI - Canine Splenic Nodular Lymphoid Lesions: Immunophenotyping, Proliferative
Activity, and Clonality Assessment.
AB - Canine splenic lymphoid nodules are currently classified as indolent lymphomas
(marginal zone lymphoma [MZL], mantle cell lymphoma [MCL]) or nodular hyperplasia
(lymphoid [LNH] or complex [CNH] type). Their differentiation can be difficult on
morphology, because of similar histologic appearance and poorly defined
diagnostic criteria. Thirty-five surgical samples of splenic lymphoid nodules
were reviewed in order to assess the diagnostic contribution of
immunophenotyping, proliferative activity and clonality (PARR) in differentiating
between hyperplastic and neoplastic lesions. Proliferative activity was evaluated
by double immunolabeling for Ki-67 and CD79a, in order to separately assess the
proliferative activity of B cells and non-B cells. Definitive diagnoses were MZL
( n = 11), MCL ( n = 4), LNH ( n = 10), and CNH ( n = 10). The overall
concordance between histology and PARR was above 90%. Lymphomas had a
significantly higher percentage of CD79a-positive areas (mean, 36.30%; P = .0004)
and a higher B-cell proliferative activity (median Ki-67 index, 5.49%; P =
.0012). The threshold value most accurately predicting a diagnosis of lymphoma
was >=28% of B-cell areas, with a Ki-67 index above 3%. Dogs were monitored for a
median follow-up time of 870 days (IQR, 569-1225), and no relapses were
documented. Overall median survival time was 1282 days. The combination of
histology, immunohistochemistry and PARR can improve the diagnostic accuracy for
canine splenic lymphoid nodules, although the long-term behavior of these lesions
appears similar.
PMID- 29807509
TI - Hormone self-medicating: A concern for transgender sexual health services.
PMID- 29807510
TI - The Emerging Roles of the HERC Ubiquitin Ligases in Cancer.
AB - BACKGROUND: The HERC family contains six members from HERC1 to HERC6 that are
featured with the HECT domains that exerts ubiquitin ligase activity and the RCC1
like domains that are involved in cell cycle regulation. Although identified as
early as 1990s, their biological functions are extensively studied in recent
years. More and more researches have demonstrated that the HERC ubiquitin ligases
are widely engaged in carcinogenesis, however, there lacks a comprehensive and
instructive analysis. METHODS: The PubMed database was searched by keywords of
individual HERC proteins (such as HERC4) and cancer. The emerging roles of HERC
proteins in cancer and the specific mechanisms were collectively analyzed and
discussed. RESULTS: HERC proteins belong to the HECT domain-containing ubiquitin
ligases that can identify and mediate the ubiquitination of specific substrate
proteins. All HERC ubiquitin ligases except HERC6 have been assigned one or more
than one ubiquitination substrates. In all of HERCs, HERC1 and HERC2 have been
widely studied, in contrast, there are no reported studies yet on protein
ubiquitination mediated by HERC6. Dependent on the protein substrates, HERC
proteins may act as a tumor suppressor or oncoprotein in specific cancer types.
For example, HERC4 is believed to contribute to carcinogenesis of solid tumors
such as lung cancer, but it suppresses the proliferation of myeloma cells.
CONCLUSION: HERC proteins as ubiquitin ligases are widely involved in various
cancers. Targeting at specific HERC proteins could be a strategy for the
treatment of certain cancers.
PMID- 29807511
TI - The Several Activities of 4 - Methyl Pyrazole in Animals and Humans.
AB - 4-MP is a potent competitive inhibitor of ADH activity with an affinity about a
1000 times more than toxic alcohols. 4-MP was shown to reduce the formation of
toxic metabolites in lethal methanol and ethylene glycol poisoning in animal
models and in methanol poisoning in humans. 4-MP has long-lasting
gastroprotective effect against ethanol and other chemically induced acute
gastric mucosa lesions in rats. We showed, for the first time, that 4-MP also
provides significant protection of the human stomach against alcohol induced
acute mucosal injury.
PMID- 29807512
TI - Hypoxia Plays a Key Role in the Pharmacokinetic Changes of Drugs at High
Altitude.
AB - BACKGROUND: Hypoxia can alter the Pharmacokinetic (PK) characteristics of drugs,
thereby affecting drug absorption, distribution, metabolism, and excretion.
Environmental characteristics at high altitude include but are not limited to
hypobaric hypoxia, low temperature, high solar radiation, and arid climate, all
of which can adversely affect normal bodily functions. Therefore, it is important
to study the pharmacokinetic changes of drugs at high altitude. METHOD: A
systematic review of published studies was carried out to investigate the effects
of hypoxia on the metabolic characteristics of some drugs and the activity and
expression of drug-metabolizing enzymes in high-altitude hypoxic environments,
and discussed the relevant mechanisms. RESULTS: The metabolism of most drugs
decreases in high-altitude hypoxia, whereas Mean Residence Time (MRT), Half Time
(T1/2), and Area Under the Curve (AUC) increase and Clearance (CL) decrease in
this environment. The effect of hypoxia on CYP450 enzymes in animals is still a
subject of debate. With the exception of CYP2C11 and CYP2C22, the widespread
belief is that high-altitude hypoxia decreased the activity and expression of
CYP1A1, CYP1A2, CYP2E1, and CYP3A1, and increased those of CYP3A6 and CYP2D1 in
rats. The changes in the activity and expression of drug metabolizing enzymes are
consistent with the changes in pharmacokinetics of some enzyme substrates in the
high-altitude hypoxia environment. CONCLUSION: The findings of this review have
indicated that hypoxia may play a key role in the PK changes of drugs at high
altitude. It is suggested that patient living at or traveling to high altitude
should be closely monitored, and the dosages of some drugs metabolized should be
reduced.
PMID- 29807513
TI - Failure of Chemotherapy in Hepatocellular Carcinoma Due to Impaired and
Dysregulated Primary Liver Drug Metabolizing Enzymes and Drug Transport Proteins:
What to Do?
AB - BACKGROUND: Most of the drugs are metabolized in the liver by the action of drug
metabolizing enzymes. In hepatocellular carcinoma (HCC), primary drug
metabolizing enzymes are severely dysregulated, leading to failure of
chemotherapy. Sorafenib is the only standard systemic drug available, but it
still presents certain limitations, and much effort is required to understand who
is responsive and who is refractory to the drug. Preventive and therapeutic
approaches other than systemic chemotherapy include vaccination, chemoprevention,
liver transplantation, surgical resection, and locoregional therapies.
OBJECTIVES: This review details the dysregulation of primary drug metabolizing
enzymes and drug transport proteins of the liver in HCC and their influence on
chemotherapeutic drugs. Furthermore, it emphasizes the adoption of safe
alternative therapeutic strategies to chemotherapy. CONCLUSION: The future of HCC
treatment should emphasize on understanding of resistance mechanisms and the
finding of novel, safe, and efficacious therapeutic strategies, which will surely
benefit patients affected by advanced HCC.
PMID- 29807514
TI - Intracranial Fluid Dynamics Changes in Idiopathic Intracranial Hypertension: Pre
and Post Therapy.
AB - OBJECTIVE: Idiopathic Intracranial Hypertension (IIH) is a condition of unknown
etiology frequently associated with dural sinus stenosis. There is emerging
evidence that venous sinus stenting is an effective treatment. We use phase
contrast cine MRI to observe changes in flow dynamics of multiple intracranial
fluids and their response to different treatments in a patient with IIH. METHODS:
We quantified the following parameters at the level of the aqueduct of Sylvius
and the cervical C2C3: Cerebrospinal Fluid (CSF), arterial and venous flow; CSF
velocity amplitude; artero-venous delay (AVD); artero-CSF delay and percentage of
venous outflow normalized to total arterial inflow (tIJV/tA). Analyses were run
before Lumbar Puncture (LP) (A), after LP (B), after medical therapy (C) and
after venous stent placements deployed at two separate times (D and E). RESULTS:
AVD and tIJV/tA improved only after CSF removal and after stent placements. CSF
velocity amplitude remained elevated. Arterial flow profile showed a dramatic
reduction after LP with improvement in mean venous flow. This report is the first
to demonstrate interactive changes in intracranial fluid dynamics that occur
before and after different therapeutic interventions in IIH. CONCLUSION: The data
provide valuable information regarding changes in different fluid compartments
suggesting a profound redistribution of pressures along fluid compartments after
different treatments. We discuss how increased intracranial venous blood could be
"tumoral" in IIH and facilitating its outflow could be therapeutic.
PMID- 29807515
TI - Multi-Target Antitubercular Drugs.
AB - Tuberculosis is an infectious disease caused by Mycobacterium tuberculosis, which
has high levels of mortality worldwide and has already gained resistance to first
and second-line drugs. The study by new chemical entities with promising
activities becomes paramount to broaden the therapeutic strategies in the cure of
the patients affected with this disease. In this context, in this review we
report the discovery of 3 classes of compounds that can simultaneously interact
with more than one target of Mycobacterium tuberculosis.
PMID- 29807516
TI - Emerging Virulence, Drug Resistance and Future Anti-fungal Drugs for Candida
Pathogens.
AB - Increased incidences of Candida infection have augmented morbidity and mortality
in human population, particularly among severely immunocompromised patients and
those having a long stay in hospitals (nosocomial infections). Many virulence
factors and fitness attributes are reported to be associated with the
pathogenicity of Candida sp. It can cause infections ranging from easily
treatable superficial type to life-threatening invasive infections. Additionally,
it has the capability to infect humans of all age groups. Indeed, overutilization
of broad-spectrum antibiotics has further complicated the scenario by leading the
emergence of less sensitive Candida strains especially non-albicans. Despite our
developed armamentarium, the diagnosis and treatment of human fungal infections
remain a challenge. This review focuses on the prevalence of Candida spp. as
human pathogens with emerging resistance to existing anti-fungal drugs.
Furthermore, factors and mechanisms contributing to the pathogenicity of Candida
spp. and the challenges being faced in combating the devastating infections
associated with these pathogens have been discussed. Moreover, pros and cons of
the current and future anti-mycotic drugs have been analyzed.
PMID- 29807517
TI - Evaluation of In-vitro Antimicrobial Potential of Daphne retusa Hemsl. Against
Human Pathogenic Bacteria and Fungi.
AB - BACKGROUND: Antimicrobial drug resistance is an emerging problem, which leads to
a failure in the control of infectious diseases thereby, adversely affecting
patient care and reducing effective management of infectious diseases globally.
Thus, search for new and more effective alternatives is needed. Daphne retusa
Hemsl. (Daphne) has medicinal values and is reported to be widely used in curing
a variety of human ailments. OBJECTIVE: Current study assesses in-vitro
antimicrobial activity of the crude extract of D.retusa (whole plant) and its
derived fractions against clinically isolated human pathogenic bacteria and
fungi. MATERIALS AND METHODS: Whole plant of D.retusa was powder dried and then
extracted with methanol (E1). The resultant was fractionated to give Chloroform
fraction (E2), Butanol fraction (E3) and Ethyl acetate fraction (E4). The crude
extract and derived fractions were assessed for antimicrobial and antifungal
activity by using agar well diffusion method and their MICs were found following
Clinical and Laboratory Standard Institute (CLSI) guidelines. RESULT: Our study
shows that D.retusa has very good inhibitory action against different bacterial
and fungal strains. All of the extracts were active against almost every
microorganism used in the study. E2 has the maximum percent of inhibition against
bacterial growth while E1 has themaximum percent of inhibition against fungal
growth. Streptococcus pneumonia was the most susceptible bacteria while among
fungi, Gongronella butleri showed highest susceptibility. CONCLUSION: Results
justify the use of D. retusa in the treatment of microbial infections. For the
development of a novel antibiotic, the crude extract and its derived fractions
need further exploration; with emphasis to isolate and identify the active
constituents that are responsible for antibacterial and antifungal activity.
PMID- 29807518
TI - Serum and Bronchoalveolar Lavage Fluid 25(OH)Vitamin D3 Levels in HIV-1 and
Tuberculosis: A Cross-Sectional Study from a Tertiary Care Center in North India.
AB - BACKGROUND: Vitamin D is an immunomodulator, and its deficiency is associated
with Tuberculosis (TB) infection. Bronchoalveolar lavage fluid (BALF) is a rich
milieu of macrophages that form the first line of defense against invading TB
bacilli. As there is an increased prevalence of vitamin D deficiency in TB and
human immunodeficiency virus-1 (HIV-1) subjects, we intend exploring the
possibility of a localized deficiency of vitamin D metabolites in BALF of these
patients. OBJECTIVE: The primary objective was to assess the level of 25D3 in
serum and BALF of subjects and look for a significant difference among patients
and controls. The secondary objective was to find a correlation between serum and
BALF 25D3 levels. METHODS: We performed a cross-sectional study with subjects
divided into four groups: Controls (group 1), HIV positive without active TB
(group 2), active TB without HIV (group 3), and HIV-TB coinfection (group 4).
BALF and serum 25D3 levels were compared between the groups. RESULTS: Among the
149 (an immunomodulator) successive subjects enrolled, there were 40 subjects in
group 1 (HIV-TB-), 48 in group 2 (HIV+TB-), 37 in group 3 (HIV-TB+), and 24 in
group 4 (HIV+TB+). Females constituted 31.6% of the study subjects. In groups 3
and 4, there were significantly lower serum 25D3 levels compared to group 1 (p
value group 3: 0.002; group 4: 0.012). In groups 2, 3, and 4, there were
significantly lower BALF 25D3 levels compared to group 1 (p-value group 2: 0.000;
group 3: 0.000; group 4: 0.001). There was a significant correlation between
serum and BALF 25D3 levels (Spearman's rank correlation coefficient 0.318, p
value = 0.0001). CONCLUSION: Lower levels of serum and BALF 25D3 were observed in
HIV, TB, and HIV-TB coinfected patients. Localized deficiency of vitamin D
metabolites might be associated with increased vulnerability to TB infection.
PMID- 29807519
TI - Pyrano[3,2-c]quinoline derivatives as new class of alpha-glucosidase inhibitors
to treat type 2 diabetes: synthesis, in vitro biological evaluation and kinetic
study.
AB - BACKGROUND: Pyrano[3,2-c]quinoline derivatives 6a-n were synthesized via simple
two-step reactions and evaluated for their in vitro alpha-glucosidase inhibitory
activity. METHODS: Pyrano[3,2-c]quinoline derivatives 6a-n derivatives were
prepared from a two-step reaction: cycloaddition reaction between 1-naphthyl
amine 1 and malonic acid 2 to obtain benzo[h]quinoline-2(1H)-one 3 and reaction
of 3 with aryl aldehydes 4 and Meldrum's acid 5. The anti- alpha-glucosidase
activity and kinetic study of the synthesized compounds were evaluated using
alpha-glucosidase from Saccharomyces cerevisiae and p-nitrophenyl-a-D
glucopyranoside as substrate. The alpha-glucosidase inhibitory activity of
acarbose was evaluated as positive control. RESULTS: All of the synthesized
compounds, except compounds 6i and 6n, showed more inhibitory activity than the
standard drug acarbose and were also found to be non-cytotoxic. Among the
synthesized compounds, 1-(2-bromophenyl)-1H-benzo[h]pyrano[3,2-c]quinoline
3,12(2H,11H)-dione 6e displayed the highest alpha-glucosidase inhibitory activity
(IC50 = 63.7 +/- 0.5 MUM). Kinetic study of enzyme inhibition indicated that the
most potent compound, 6e, is a non-competitive inhibitor of alpha-glucosidase
with a Ki value of 72 MUM. Additionally, based on the Lipinski rule of 5, the
synthesized compounds were found to be potential orally active drugs. CONCLUSION:
Our results suggest that the synthesized compounds are promising candidates for
treating type 2 diabetes.
PMID- 29807520
TI - Novel Hydroxamic Acids Incorporating 1-((1H-1,2,3-Triazol-4-yl)methyl)- 3
substituted-2-oxoindolines: Synthesis, Biological Evaluation and SAR Analysis.
AB - BACKGROUND: Histone deacetylases (HDAC) enzymes are emerging as potential targets
for cancer treatments. In this study, several series of novel hydroxamic acids
incorporating 1-((1H- 1,2,3-triazol-4-yl)methyl)-3-substituted-2-oxoindolines
were explored. METHODS: The compounds were designed using Autodock Vina program,
then synthesized and evaluated in vitro and in silico for their inhibitory
activity against HDACs. The cytotoxicity was measured by SRB method. The enzyme
inhibitory effects of the compounds were evaluated by the fluorescent assay.
RESULTS: Biological evaluation showed that these hydroxamic acids were generally
cytotoxic against four human cancer cell lines (SW620, colon; PC-3, prostate;
AsPC-1, pancreas; NCI-H23, lung). Several compounds, e.g. 7g, 11c, and 11g,
displayed up to 10-fold more potent than SAHA (suberoylanilide hydroxamic acid,
vorinostat) in term of cytotoxicity. The synthesized compounds were also
comparably potent to SAHA in inhibiting HDAC2. In particular, compound 11c
displayed potential inhibitory effects against HDAC1, HDAC2, HDAC6, and HDAC8
with comparable or slightly higher potency than SAHA. Docking results on four
class I and IIB isoenzymes indicated that these compounds tightly bound to HDACs
at the active site with binding affinities much higher than that of SAHA.
Finally, chemo-informatics approaches were employed to assess the pharmacokinetic
and toxicity profiles of 7g and 11c. We identified degradation via phase II
metabolism and toxicity two of the most serious problems that need further
optimization. CONCLUSION: Taking altogether our findings are encouraging and
current hydroxamate derivatives are worth being considered as potential HDAC
inhibitors and could be useful for further research on the development of new
anti-cancer agents.
PMID- 29807521
TI - QSAR and Pharmacophore Mapping Studies on Benzothiazinimines to Relate their
Structural Features with anti-HIV Activity.
AB - BACKGROUND: The development of severe drug resistance caused by the extensive use
of anti-HIV agents has resulted in a greatly extensive reduction in these drugs
efficacy. OBJECTIVES: To identify the important pharmacophoric features and
correlate 3D chemical structure of benzothiazinimines with their anti-HIV
potential using 2D, 3D-QSAR and pharmacophore modeling studies. METHODS: QSAR and
pharmacophore mapping studies have been used to relate structural features. 2D
QSAR and 3D QSAR studies were performed using partial least square and k-nearest
neighbor methodology, coupled with various feature selection methods, viz.
stepwise, genetic algorithm, and simulated annealing, to derive QSAR models which
were further validated for statistical significance. RESULTS: The physicochemical
descriptor XAHydrophilicArea and SsOHE-index, and alignmentindependent descriptor
T_C_Cl_6 showed significant correlation with the anti-HIV activity of
benzothiazinimines in 2D QSAR. 3D QSAR results showed the significant effect of
electrostatic and steric field descriptors in the anti-HIV potential of
benzothiazinimines. The generated pharmacophore hypothesis demonstrated the
importance of aromaticity and hydrogen bond acceptors. CONCLUSION: The
significant models obtained in this study suggested that these techniques could
be used as a guidance for designing new benzothiazinimines with enhanced anti-HIV
potential.
PMID- 29807522
TI - Current State of Nanomedicines in the Treatment of Topical Infectious Disorders.
AB - BACKGROUND: Topical infections, involving a number of diseases such as impetigo,
eczema, pustular acne, psoriasis and infected seborrheic dermatitis are one among
the many challenges to health which stand out for their profound impact on human
species. The treatment of topical infections has always been a difficult
proposition because of the lack of efficacy of existing anti-infectives, longer
period of treatment and yet incomplete recovery. The increasing emergence of
antibiotic resistant bacterial strains like Staphylococcus aureus, Methicillin
Resistant Staphylococcus aureus (MRSA) and Pseudomonas aeruginosa undermine the
need of development of new delivery systems to enhance the therapeutic efficacy
of existing topical anti-infectives. METHODS: The application of nanotechnology
to medicine, or nanomedicine, is rapidly becoming a major driving force behind
ongoing changes in the anti-infective field because of its interaction at the sub
atomic level with the skin tissue. The latter, in the current scenario, points
towards vesicular carriers like liposomes, lipidic nanoparticles and silver
nanoparticles etc. as the most promising drug delivery solutions for topical
infection disorders. These have exhibited immense significance owing to their
uniqueness to facilitate the interactions at interfaces with the barrier
membranes. RESULTS: The present review summarizes the emerging efforts in
combating topical infections particularly using nanomedicine based delivery
systems as new tools to tackle the current challenges in treating infectious
diseases. Besides, compiling various research reports, this article also includes
formulation considerations, mechanisms of penetration and patents reported.
CONCLUSION: Despite the new emerging technologies and delivery systems, efforts
are still needed in the right direction to combat this global challenge.
PMID- 29807523
TI - Targeting Metabolism to Counteract Tumor Angiogenesis: A Review of Patent
Literature.
AB - BACKGROUND: Massive vessel recruitment is required to sustain rapid tumor growth
by delivering oxygen and nutrients. Current strategies to counteract angiogenesis
are mostly aimed at reducing tumor vessel density. However, many of these drugs
have been shown to trigger hypoxia, thus exacerbating tumor aggressiveness.
Promising results come from a completely different approach based on the
"normalization" of the endothelial layer and the consequent improvement of the
vascular function. This new strategy would ameliorate drug delivery to the tumor
meanwhile reducing invasiveness and metastatisation. OBJECTIVE: Since endothelial
metabolism has proved essential in the regulation of the angiogenic switch, many
recent patents focus on agents able to inhibit specific metabolic pathways in
Tumor- Associated Endothelial Cells (TECs) in order to provide vessel
normalization. Here, we provide a review of the recent advances in the
development of patents on agents targeting endothelial metabolism that have
proved effective in several vascular disorders. METHODS: Results of genetic and
pharmacologic studies that brought to the development of patents for methods to
counteract aberrant angiogenesis were analysed and sub-divided according to the
specific metabolic pathway targeted. RESULTS: Growing evidences indicate that
targeting specific molecular players involved in the endothelial metabolic
remodelling required to sustain aberrant angiogenesis, is a valuable therapeutic
strategy that can be exploited in vascular disorders as well as in tumor
angiogenesis. CONCLUSION: These findings might have important implications in
clinics and could be particularly relevant to patients developing resistance to
traditional anti-angiogenic drugs.
PMID- 29807524
TI - Exploring the Possible Prognostic Role of B-Lymphocyte Stimulator (BLyS) in a
Large Series of Patients with Neuroendocrine Tumors.
AB - BACKGROUND AND OBJECTIVE: BLyS (B-Lymphocyte stimulator) is over-expressed in
several tumoral settings, with direct or indirect effects on neoplastic
proliferation and possibly representing a therapeutic target. In this study, we
explored the role of BLyS in a large population of patients with neuroendocrine
tumors (NETs). METHODS: The study analyzed the stored sera of 124 consecutive
unselected patients with NETs: 36 lung carcinoids (24 typical, 12 atypical), 47
gastroenteric tract and 41 pancreatic (30 non-functioning and 11 functioning: 9
insulinomas, 2 glucagonomas). In 23 cases, BLyS was repeatedly assessed during
the follow-up and the disease was monitored (progression, stabilization or
remission) according to the RECIST criteria. Patients were compared to 92 age and
sex-matched blood donors (BDs). Serum levels of BLyS and Chromogranin A (CgA)
were analyzed by ELISA. RESULTS: NET patients showed significantly higher BLyS
levels than BDs (1274+/-809 pg/ml vs. 587+/-173 pg/ml; p<0.0001). BLyS correlated
weakly with CgA (r=0.19 and p=0.035) but did not correlate with Ki67, grading,
metastasis, histological type and site. In patients with sustained remission
after surgery, BLyS and CgA both showed a gradual reduction over time. Patients
with progressing disease showed higher BLyS levels compared to stable patients
(1524+/-694 pg/ml vs. 1168+/- 373 pg/ml; p= 0.033). BLyS serum levels remained
stable in remission and therapy-controlled patients, while increased in the
follow-up of progressing cases. CONCLUSION: Higher BLyS levels identify patients
with a more severe disease, characterized by progression despite treatments,
possibly representing a factor implicated in the proliferation of the neoplastic
cells or in sustaining the neoplastic environment.
PMID- 29807525
TI - Development and Evaluation of Liquid and Solid Lipid Based Drug Delivery Systems
Containing Technetium-99m-Radiolabeled Alendronate Sodium.
AB - OBJECTIVE: The purpose of this study was to develop lipid-water based drug
delivery system of Alendronate Sodium (ALD) in liquid and solid form obtained by
using spray drying method and compare these two forms with radioactive cell
culture studies. METHODS: This study included the development of liquid and solid
form obtained by spray drying method, radiolabelling of ALD with 99mTc,
preparation of formulations containing 99mTc -ALD and evaluation of their
permeability with Caco-2 cell. The liquid formulations have been developed by
using various surfactants, co-surfactants, oil and water phases. Physicochemical
characterizations like droplet size, polydispersity index (PDI) and zeta
potential measurements and short term stability studies were investigated.
RESULTS: According to the measurement results, two oil in water formulations (F1
L and F2-L) were selected and spray dried with Buchi mini spray dryer apparatus
to provide solid formulations (F1-S and F2-S). ALD was labeled with 99mTc and
added to formulations. The effect of experimental conditions on radiolabeling
efficiency of ALD and stability of all formulations containing 99mTc-ALD were
investigated through Radio Thin Layer Chromatography (RTLC). It was observed that
the labeling efficiency of ALD was greater than 90% and all formulations were
found to be stable up to 6 h at room temperature. Permeability of radiolabeled
ALD from all formulations was performed by using Caco-2 cells. According to the
cell culture studies, permeability from spray dried formulations of ALD was found
higher than liquid formulations. CONCLUSION: As a conclusion, spray dried
formulations could be a promising drug delivery system for enhancing the
permeability of ALD. Furthermore, this study is a good example of the use of
radiolabeled compounds in drug development.
PMID- 29807526
TI - Is the purinergic pathway involved in the pathology of COPD? Decreased lung CD39
expression at initial stages of COPD.
AB - BACKGROUND: Extracellular adenosine triphosphate (ATP) is up-regulated in the
airways of patients with chronic obstructive pulmonary disease (COPD), resulting
in increased inflammation, bronchoconstriction, and cough. Although extracellular
ATP levels are tightly controlled by nucleoside triphosphate diphosphohydrolase-1
(NTPDase1; also known as CD39) in the lungs, the role of CD39 in the pathology of
COPD is unknown. We hypothesized that alterations in the expression and activity
of CD39 could be part of the mechanisms for initiating and perpetuating the
disease. METHODS: We analyzed CD39 gene and protein expression as well as ATPase
enzyme activity in lung tissue samples of patients with COPD (n = 17), non
obstructed smokers (NOS) (n = 16), and never smokers (NS) (n = 13). Morphometry
studies were performed to analyze pulmonary vascular remodeling. RESULTS: There
was significantly decreased CD39 gene expression in the lungs of the COPD group
(1.17 [0.85-1.81]) compared with the NOS group (1.88 [1.35-4.41]) and NS group
(3.32 [1.23-5.39]) (p = 0.037). This attenuation correlated with higher systemic
inflammation and intimal thickening of muscular pulmonary arteries in the COPD
group. Lung CD39 protein levels were also lower in the COPD group (0.34 [0.22
0.92]) compared with the NOS group (0.67 [0.32-1.06]) and NS group (0.95 [0.4
1.1) (p = 0.133). Immunohistochemistry showed that CD39 was downregulated in lung
parenchyma, epithelial bronchial cells, and the endothelial cells of pulmonary
muscular arteries in the COPD group. ATPase activity in human pulmonary
structures was reduced in the lungs of patients with COPD. CONCLUSION: An
attenuation of CD39 expression and activity is presented in lung tissue of stable
COPD patients, which could lead to pulmonary ATP accumulation, favoring the
development of pulmonary inflammation and emphysema. This may be a mechanism
underlying the development of COPD.
PMID- 29807527
TI - Inflammation leads to distinct populations of extracellular vesicles from
microglia.
AB - BACKGROUND: Activated microglia play an essential role in inflammatory responses
elicited in the central nervous system (CNS). Microglia-derived extracellular
vesicles (EVs) are suggested to be involved in propagation of inflammatory
signals and in the modulation of cell-to-cell communication. However, there is a
lack of knowledge on the regulation of EVs and how this in turn facilitates the
communication between cells in the brain. Here, we characterized microglial EVs
under inflammatory conditions and investigated the effects of inflammation on the
EV size, quantity, and protein content. METHODS: We have utilized western blot,
nanoparticle tracking analysis (NTA), and mass spectrometry to characterize EVs
and examine the alterations of secreted EVs from a microglial cell line (BV2)
following lipopolysaccharide (LPS) and tumor necrosis factor (TNF) inhibitor
(etanercept) treatments, or either alone. The inflammatory responses were
measured with multiplex cytokine ELISA and western blot. We also subjected TNF
knockout mice to experimental stroke (permanent middle cerebral artery occlusion)
and validated the effect of TNF inhibition on EV release. RESULTS: Our analysis
of EVs originating from activated BV2 microglia revealed a significant increase
in the intravesicular levels of TNF and interleukin (IL)-6. We also observed that
the number of EVs released was reduced both in vitro and in vivo when
inflammation was inhibited via the TNF pathway. Finally, via mass spectrometry,
we identified 49 unique proteins in EVs released from LPS-activated microglia
compared to control EVs (58 proteins in EVs released from LPS-activated microglia
and 37 from control EVs). According to Gene Ontology (GO) analysis, we found a
large increase of proteins related to translation and transcription in EVs from
LPS. Importantly, we showed a distinct profile of proteins found in EVs released
from LPS treated cells compared to control. CONCLUSIONS: We demonstrate altered
EV production in BV2 microglial cells and altered cytokine levels and protein
composition carried by EVs in response to LPS challenge. Our findings provide new
insights into the potential roles of EVs that could be related to the
pathogenesis in neuroinflammatory diseases.
PMID- 29807529
TI - Influences of peer facilitation in general practice - a qualitative study.
AB - BACKGROUND: Practice facilitation is increasingly used to support guideline
implementation and practice development in primary care and there is a need to
explore how this implementation approach works in real-life settings. We focus on
a facilitation intervention from the perspective of the visited practices to gain
a more detailed understanding of how peer facilitation influenced practices and
how they valued the facilitation. METHODS: The facilitation intervention was
conducted in general practice in the Capital Region of Denmark with the purpose
of supporting the implementation of chronic disease management programmes. We
carried out a qualitative study, where we observed 30 facilitation visits in 13
practice settings and interviewed the visited practices after their first and
last visits. We then performed a thematic analysis. RESULTS: Most of the
respondents reported that facilitation visits had increased their knowledge and
skills as well as their motivation and confidence to change. These positive
influences were ascribed to a) the facilitation approach b) the credibility and
know-how associated with the facilitators' being peers c) the recurring visits
providing protected time and invoking a sense of commitment. Despite these
positive influences, both the facilitation and the change process were impeded by
several challenges, e.g. competing priorities, heavy workload, problems with
information technology and in some cases inadequate facilitation. CONCLUSION:
Practice facilitation is a multifaceted, interactive approach that may affect
participants in several ways. It is important to attune the expectations of all
the involved actors through elaborate discussions of needs, capabilities, wishes,
and approaches, and to adapt facilitation interventions according to an analysis
of influential contextual conditions and change opportunities.
PMID- 29807528
TI - Associations between three common single nucleotide polymorphisms (rs266729,
rs2241766, and rs1501299) of ADIPOQ and cardiovascular disease: a meta-analysis.
AB - BACKGROUND: Inconsistencies have existed in research findings on the association
between cardiovascular disease (CVD) and single nucleotide polymorphisms (SNPs)
of ADIPOQ, triggering this up-to-date meta-analysis. METHODS: We searched for
relevant studies in PubMed, EMBASE, Cochrane Library, CNKI, CBM, VIP, and WanFang
databases up to 1st July 2017. We included 19,106 cases and 31,629 controls from
65 published articles in this meta-analysis. STATA 12.0 software was used for all
statistical analyses. RESULTS: Our results showed that rs266729 polymorphism was
associated with the increased risk of CVD in dominant model or in heterozygote
model; rs2241766 polymorphism was associated with the increased risk of CVD in
the genetic models (allelic, dominant, recessive, heterozygote, and homozygote).
In subgroup analysis, significant associations were found in different subgroups
with the three SNPs. Meta-regression and subgroup analysis showed that
heterogeneity might be explained by other confounding factors. Sensitivity
analysis revealed that the results of our meta-analysis were stable and robust.
In addition, the results of trial sequential analysis showed that evidences of
our results are sufficient to reach concrete conclusions. CONCLUSIONS: In
conclusion, our meta-analysis found significant increased CVD risk is associated
with rs266729 and rs2241766, but not associated with rs1501299.
PMID- 29807530
TI - Towards defining muscular regions of interest from axial magnetic resonance
imaging with anatomical cross-reference: part II - cervical spine musculature.
AB - BACKGROUND: It has been suggested that the quantification of paravertebral muscle
composition and morphology (e.g. size/shape/structure) with magnetic resonance
imaging (MRI) has diagnostic, prognostic, and therapeutic potential in
contributing to overall musculoskeletal health. If this is to be realised, then
consensus towards standardised MRI methods for measuring muscular
size/shape/structure are crucial to allow the translation of such measurements
towards management of, and hopefully improved health for, those with some
musculoskeletal conditions. Following on from an original paper detailing methods
for measuring muscles traversing the lumbar spine, we propose new methods based
on anatomical cross-reference that strive towards standardising MRI-based
quantification of anterior and posterior cervical spine muscle composition.
METHODS: In this descriptive technical advance paper we expand our methods from
the lumbar spine by providing a detailed examination of regional cervical spine
muscle morphology, followed by a comprehensive description of the proposed
technique defining muscle ROI from axial MRI. Cross-referencing cervical
musculature and vertebral anatomy includes an innovative comparison between axial
E12 sheet-plastinates derived from cadaveric material to a series of axial MRIs
detailing commonly used sequences. These images are shown at different cervical
levels to illustrate differences in regional morphology. The method for defining
ROI for both anterior (scalenes group, sternocleidomastoid, longus colli, longus
capitis) and posterior (multifidus, semispinalis cervicis, semispinalis capitis,
splenius capitis) cervical muscles is then described and discussed in relation to
existing literature. RESULTS: A series of steps towards standardising the
quantification of cervical spine muscle quality are described, with concentration
on the measurement of muscle volume and fatty infiltration (MFI). We offer
recommendations for imaging parameters that should additionally inform a priori
decisions when planning investigations of cervical muscle tissues with MRI.
CONCLUSIONS: The proposed method provides an option rather than a final position
for quantifying cervical spine muscle composition and morphology using MRI. We
intend to stimulate discussion towards establishing measurement consensus whereby
data-pooling and meaningful comparisons between imaging studies (primarily MRI)
investigating cervical muscle quality becomes available and the norm.
PMID- 29807531
TI - A case report of multiple cervical artery dissection after peripheral type facial
palsy and use of steroids.
AB - BACKGROUND: Cervical artery dissection is one of the most important causes of
ischemic stroke in young age patients. However, multiple cervical artery
dissection simultaneously involving the anterior and posterior circulation is
uncommon. Here, we would like to report a case of a patient with bilateral
vertebral artery (VA) and internal carotid artery dissection (ICA) after a use of
systemic steroid due to peripheral facial palsy. CASE PRESENTATION: A 44-year-old
man with hypertension visited emergency department due to recurrent vertigo. He
was receiving methyl prednisolone for two weeks for the treatment of right
peripheral type facial palsy which occurred after retro-orbital headache.
Neurologic examination revealed severe ataxia at left side. Sensory for pain and
temperature was declined in the right arm and leg. Diffusion-weighted image
showed an acute ischemic lesion at the whole territory of posterior-inferior
cerebellar artery. Severe stenosis was observed from bilateral VAs and ICAs on
conventional magnetic resonance angiography. Intramural hematoma and intimal flap
was observed from the high-resolution MRI. CONCLUSIONS: Peripheral type facial
palsy is an unusual presentation of carotid dissection. Steroids aggravate
arterial dissection by increasing blood pressure and blood vessel fragility by
its negative effect on connective tissue strength. Use of steroid in patients
with peripheral type facial palsy with severe headache may need caution.
PMID- 29807532
TI - LpA-II:B:C:D:E: a new immunochemically-defined acute phase lipoprotein in humans.
AB - BACKGROUND: Previous studies of lipoproteins in patients with sepsis have been
performed on density fractions isolated by conventional ultracentrifugation that
are heterogeneous and provide no information about the cargo of apoproteins
present in the immunochemically distinct subclasses that populate the density
classes. Since apoproteins are now known to have important roles in host defense,
we have separated these subclasses according to their apoprotein content and
characterized their changes during experimental endotoxemia in human volunteers.
METHODS: We have studied apoB- and apoA containing lipoprotein subclasses in
twelve healthy male volunteers before and for 8 h after a single dose of
endotoxin (ET; 2 MUg/kg) to stimulate inflammation. RESULTS: After endotoxin, TG,
TC, apoB and the apoB-containing lipoprotein cholesterol-rich subclass LpB and
two of the three triglyceride-rich subclasses (TGRLP: Lp:B:C, LpB:C:E+ LpB:E) all
declined. In contrast, the third TGRLP, LpA-II:B:C:D:E ("complex particle"),
after reaching a nadir at 4 h rose 49% above baseline, p = .006 at 8 h and became
the dominant particle in the TGRLP pool. This increment exceeds the threshold of
> 25% change required for designation as an acute phase protein. Simultaneous
decreases in LpA-I:A-II and LpB:C:E + LpB:E suggest that these subclasses undergo
post-translational modification and contribute to the formation of new LpA
II:B:C:D:E particles. CONCLUSIONS: We have identified a new acute phase
lipoprotein whose apoprotein constituents have metabolic and immunoregulatory
properties applicable to host defense that make it well constituted to engage in
the APR.
PMID- 29807533
TI - Progestin-primed milder stimulation with clomiphene citrate yields fewer oocytes
and suboptimal pregnancy outcomes compared with the standard progestin-primed
ovarian stimulation in infertile women with polycystic ovarian syndrome.
AB - BACKGROUND: Oral progestin has recently been used to prevent premature LH surges
in ovarian stimulation, and this progestin-primed ovarian stimulation (PPOS) is
effective and safe in patients with different ovarian reserves. The current data
are lacking regarding how to individualize the gonadotropin dose and regimen for
women with polycystic ovarian syndrome (PCOS). A retrospective cohort trial was
performed to evaluate the efficacy of progestin-primed milder stimulation with
clomiphene citrate (CC) compared to the standard progestin-primed ovarian
stimulation (PPOS) protocol for infertile women with PCOS. METHODS: A total of
220 PCOS women were collected and classified into the study group (HMG 150 IU/d +
CC 50 mg/d + MPA 10 mg/d) and control group (HMG 225 IU/d + MPA 10 mg/d).
Ovulation was triggered by GnRH agonist 0.1 mg and hCG 1000 IU when dominant
follicles matured. Viable embryos were cryopreserved for later transfer. The
primary endpoint was the ongoing pregnancy rate. Secondary outcomes included the
cycle characteristics and the live birth rate. RESULT(S): The study group
consumed less HMG (1470.0 +/- 360.1 IU vs 1943.8 +/- 372.0 IU, P < 0.001) and
harvested fewer oocytes than the control group (12.2 +/- 7.4 vs 18.2 +/- 9.7, P <
0.001). The study group showed a higher mid-follicular LH concentration (4.49 +/-
2.49 mIU/ml vs 2.52 +/- 2.09 mIU/ml, P < 0.05) but no endogenous LH surge. No
between-group difference was found in the incidence of ovarian hyperstimulation
syndrome (OHSS) (0.91% vs 0.91%, P > 0.05). The cumulative ongoing pregnancy rate
and live birth rate per patient were lower but did not reach significance
compared with the control group (71.8% vs 81.8 and 64.5% vs 75.5%, respectively,
both P > 0.05). CONCLUSION(S): The milder PPOS with CC in PCOS women led to lower
oocyte yields and suboptimal pregnancy outcomes compared to the standard PPOS
treatment. The two regimens both achieved a low incidence of OHSS. The results
from the CC combination regimen provide a new insight for developing a more
patient-friendly protocol for PCOS women.
PMID- 29807534
TI - Abnormalities of age-related T cell senescence in Parkinson's disease.
AB - BACKGROUND: A wealth of evidence implicates both central and peripheral immune
changes as contributing to the pathogenesis of Parkinson's disease (PD). It is
critical to better understand this aspect of PD given that it is a tractable
target for disease-modifying therapy. Age-related changes are known to occur in
the immune system (immunosenescence) and might be of particular relevance in PD
given that its prevalence rises with increasing age. We therefore sought to
investigate this with respect to T cell replicative senescence, a key immune
component of human ageing. METHODS: Peripheral blood mononuclear cells were
extracted from blood samples from 41 patients with mild PD (Hoehn and Yahr stages
1-2, mean (SD) disease duration 4.3 (1.2) years) and 41 age- and gender-matched
controls. Immunophenotyping was performed with flow cytometry using markers of T
lymphocyte activation and senescence (CD3, CD4, CD8, HLA-DR, CD38, CD28, CCR7,
CD45RA, CD57, CD31). Cytomegalovirus (CMV) serology was measured given its
proposed relevance in driving T cell senescence. RESULTS: Markers of replicative
senescence in the CD8+ population were strikingly reduced in PD cases versus
controls (reduced CD57 expression (p = 0.005), reduced percentage of 'late
differentiated' CD57loCD28hi cells (p = 0.007) and 'TEMRA' cells (p = 0.042)),
whilst expression of activation markers (CD28) was increased (p = 0.005). This
was not driven by differences in CMV seropositivity. No significant changes were
observed in the CD4 population. CONCLUSIONS: This study demonstrates for the
first time that the peripheral immune profile in PD is distinctly atypical for an
older population, with a lack of the CD8+ T cell replicative senescence which
characterises normal ageing. This suggests that 'abnormal' immune ageing may
contribute to the development of PD, and markers of T cell senescence warrant
further investigation as potential biomarkers in this condition.
PMID- 29807535
TI - Family lifestyle dynamics and childhood obesity: evidence from the millennium
cohort study.
AB - BACKGROUND: The prevalence of childhood obesity has been increasing but the
causes are not fully understood. Recent public health interventions and guidance
aiming to reduce childhood obesity have focused on the whole family, as opposed
to just the child but there remains a lack of empirical evidence examining this
relationship. METHODS: Using data from the longitudinal Millennium Cohort Study
(MCS), we investigate the dynamic relationship between underlying family
lifestyle and childhood obesity during early childhood. The MCS interviewed
parents shortly after the birth of their child and follow up interviews were
carried out when the child was 3, 5 and 7 years. We use a dynamic latent factor
model, an approach that allows us to identify family lifestyle, its evolution
over time (in this case between birth and 7 years) and its influence on childhood
obesity and other observable outcomes. RESULTS: We find that family lifestyle is
persistent, 87.43% of families which were above the 95th percentile on the
lifestyle distribution, remained above the 95th percentile when the child was 7
years old. Family lifestyle has a significant influence on all outcomes in the
study, including diet, exercise and parental weight status; family lifestyle
accounts for 11.3% of the variation in child weight by age 7 years. CONCLUSION:
The analysis suggests that interventions should therefore be prolonged and
persuasive and target the underlying lifestyle of a family as early as possible
during childhood in order to have the greatest cumulative influence. Our results
suggest that children from advantaged backgrounds are more likely to be exposed
to healthier lifestyles and that this leads to inequalities in the prevalence of
obesity. To reduce inequalities in childhood obesity, policy makers should target
disadvantaged families and design interventions specifically for these families.
PMID- 29807536
TI - "Availability of healthcare providers for rural veterans eligible for purchased
care under the veterans choice act".
AB - BACKGROUND: Military Veterans in the United States are more likely than the
general population to live in rural areas, and often have limited geographic
access to Veterans Health Administration (VHA) facilities. In an effort to
improve access for Veterans living far from VHA facilities, the recently-enacted
Veterans Choice Act directed VHA to purchase care from non-VHA providers for
Veterans who live more than 40 miles from the nearest VHA facility. To explore
potential impacts of these reforms on Veterans and healthcare providers, we
identified VHA-users who were eligible for purchased care based on distance to
VHA facilities, and quantified the availability of various types of non-VHA
healthcare providers in counties where these Veterans lived. METHODS: We combined
2013 administrative data on VHA-users with county-level data on rurality, non-VHA
provider availability, population, household income, and population health
status. RESULTS: Most (77.9%) of the 416,338 VHA-users who were eligible for
purchased care based on distance lived in rural counties. Approximately 16% of
these Veterans lived in primary care shortage areas, while the majority (70.2%)
lived in mental health care shortage areas. Most lived in counties that lacked
specialized health care providers (e.g. cardiologists, pulmonologists, and
neurologists). Counterintuitively, VHA played a greater role in delivering
healthcare for the overall adult population in counties that were farther from
VHA facilities (30.7 VHA-users / 1000 adults in counties over 40 miles from VHA
facilities, vs. 22.4 VHA-users / 1000 adults in counties within 20 miles of VHA
facilities, p < 0.01). CONCLUSIONS: Initiatives to purchase care for Veterans
living more than 40 miles from VHA facilities may not significantly improve their
access to care, as these areas are underserved by non-VHA providers. Non-VHA
providers in the predominantly rural areas more than 40 miles from VHA facilities
may be asked to assume care for relatively large numbers of Veterans, because VHA
has recently cared for a greater proportion of the population in these areas, and
these Veterans are now eligible for purchased care.
PMID- 29807537
TI - Prevalence and characteristics of breakthrough cancer pain in an outpatient
clinic in a Catalan teaching hospital: incorporation of the Edmonton
Classification System for Cancer pain into the diagnostic algorithm.
AB - BACKGROUND: Breakthrough cancer pain (BTcP) is defined according to its principal
characteristics: high intensity, short time interval between onset and peak
intensity, short duration, potential recurrence over 24 h and non-responsiveness
to standard analgesic regimes. The Edmonton Classification System for Cancer Pain
(ECS-CP) is a classification tool that evaluates different dimensions of pain.
The aim of this study was to measure prevalence and the main characteristics of
BTcP in a sample of advanced cancer patients and to explore the complexity
observed when ECS-CP is incorporated into BTcP diagnostics algorithm. METHODS:
Descriptive prevalence study (Retrospective chart review). Davies' algorithm was
used to identify BTcP and ECS-CP was used to recognize appropriate dimensions of
pain. The study was conducted in a sample of advanced cancer patients attending
hospital outpatient clinic in Lleida, Spain. 277 patients were included from
01/01/2014 to 31/12/2015. No direct contact was made with participants. The
following information was extracted from the palliative care outpatient clinic
database: age, gender, civil status, cognitive impairment status, functional
performance status and variables related to tumour. Only BTcP cases were
included. RESULTS: Prevalence of BTcP was 39.34% (63.9% men). Mean of age was
68.2 years. Main diagnosis was lung cancer (n = 154; 31.6%). Metastases were
diagnosed in 83% of the sample. 138 patients (49.8%) were diagnosed with 1 type
of BTcP and 139 (50.2%) were diagnosed with more than one type of BTcP. In total,
488 different types of BTcP were recorded (mean 1.75 +/- 0, 9), 244 of these
types (50%) presented a component of neuropathic pain. Addictive behaviour,
measured through CAGE test, was present in 29.2% (N = 81) of the patients and
psychological distress was present in 40.8% (n = 113). CONCLUSIONS: Prevalence of
BTcP (39.34%) is similar to the one reflected in the existing literature. Study
results indicate that the routine use of ECS-CP in a clinical setting allows us
to detect more than one type of BTcP as well as additional complexity associated
with pain (neuropathic, addictive behavior and psychological distress).
PMID- 29807538
TI - Effects of spaceflight and simulated microgravity on microbial growth and
secondary metabolism.
AB - Spaceflight and ground-based microgravity analog experiments have suggested that
microgravity can affect microbial growth and metabolism. Although the effects of
microgravity and its analogs on microorganisms have been studied for more than 50
years, plausible conflicting and diverse results have frequently been reported in
different experiments, especially regarding microbial growth and secondary
metabolism. Until now, only the responses of a few typical microbes to
microgravity have been investigated; systematic studies of the genetic and
phenotypic responses of these microorganisms to microgravity in space are still
insufficient due to technological and logistical hurdles. The use of different
test strains and secondary metabolites in these studies appears to have caused
diverse and conflicting results. Moreover, subtle changes in the extracellular
microenvironments around microbial cells play a key role in the diverse responses
of microbial growth and secondary metabolisms. Therefore, "indirect" effects
represent a reasonable pathway to explain the occurrence of these phenomena in
microorganisms. This review summarizes current knowledge on the changes in
microbial growth and secondary metabolism in response to spaceflight and its
analogs and discusses the diverse and conflicting results. In addition,
recommendations are given for future studies on the effects of microgravity in
space on microbial growth and secondary metabolism.
PMID- 29807539
TI - H3K27me1 is essential for MMP-9-dependent H3N-terminal tail proteolysis during
osteoclastogenesis.
AB - BACKGROUND: MMP-9 plays a direct role in the activation of pro-osteoclastogenic
genes by cleaving histone H3N-terminal tail (H3NT) and altering chromatin
architecture. Although H3 acetylation at K18 has been shown to stimulate MMP-9
enzymatic activity toward H3NT, nothing is known about the influence of other
H3NT modifications on this epigenetic reaction. RESULTS: We show that H3
monomethylation at lysine 27 (H3K27me1) is essential for MMP-9-dependent H3NT
proteolysis during RANKL-induced osteoclast differentiation. Through the
recognition of H3K27me1 mark, MMP-9 localizes and generates H3NT proteolysis at
the genes encoding osteoclast differentiation factors. By using RNAi and small
molecule inhibitor approaches, we also confirmed that G9a is the major
methyltransferase to catalyze H3K27me1 for MMP-9-dependent H3NT proteolysis and
trigger the expression of osteoclast-specific genes. CONCLUSIONS: Our data
establish new functions for G9a-mediated H3K27me1 in MMP-9-dependent H3NT
proteolysis and demonstrate how histone modification can be exploited to regulate
osteoclastogenic gene expression at the molecular level. Further studies are
warranted to investigate the detailed mechanism by which G9a overexpression with
concomitant dysregulation of osteoclastogenesis contributes to the pathogenesis
of bone disorders.
PMID- 29807540
TI - Helminths of veterinary and zoonotic importance in Nigerian ruminants: a 46-year
meta-analysis (1970-2016) of their prevalence and distribution.
AB - BACKGROUND: The livestock industry plays a vital role in the economy of Nigeria.
It serves as a major source of income and livelihood for majority of Nigerians
who are rural settlers and contributes about 5.2% to the National Gross Domestic
Product (GDP). Helminths however, cause economic losses due to reductions in milk
production, weight gain, fertility and carcass quality. Zoonotic helminths of
livestock origin cause health problems in humans. METHODS: Using the Preferred
Reporting Items for Systematic Review and Meta-Analysis (PRISMA) guidelines, the
prevalence and distribution of helminths of veterinary and zoonotic importance in
Nigerian ruminants were determined in a meta-analysis of data published between
1970 and 2016. Data were stratified based on regions, hosts, study periods,
sample sizes and study types while helminths were phylogenetically grouped into
cestodes, nematodes and trematodes. RESULTS: Data from 44 studies reported across
19 Nigerian states revealed an overall pooled prevalence estimate (PPE) of 7.48%
(95% CI: 7.38-7.57) for helminths of veterinary and zoonotic importance from a
total of 320 208 ruminants. We observed a significant variation (P < 0.001)
between the PPEs range of 1.90% (95% CI: 1.78-2.02) and 60.98% (95% CI: 58.37
63.55) reported across different strata. High heterogeneity (99.78, 95% CI: 7.38
7.57) was observed. Strongyloides papillosus was the most prevalent (Prev:
32.02%, 95% CI: 31.01-33.11), while, Fasciola gigantica had the widest
geographical distribution. CONCLUSIONS: Helminths of veterinary and zoonotic
importance are prevalent in ruminants and well distributed across Nigeria. Our
findings show that helminths of ruminants may also be possible causes of
morbidity in humans and economic losses in the livestock industry in Nigeria.
High heterogeneity was observed within studies and the different strata. Good
agricultural practices on farms, standard veterinary meat inspection and adequate
hygiene and sanitation in abattoirs, farms and livestock markets need to be
implemented in Nigeria in order to reduce the economic, public health and
veterinary threats due to these helminths.
PMID- 29807542
TI - Completion pneumonectomy: a valuable option for lung cancer recurrence or new
primaries.
AB - BACKGROUND: The preoperative selection of patients with lung cancer recurrence
remains a major clinical challenge. Several aspects of this kind of surgery are
still insufficiently evidence-based, with only a few series with more than 50
patients. METHODS: A retrospective study on 29 patients who underwent a
completion pneumonectomy for postoperative lung cancer recurrence or new primary
was done in the period between October 2004 and December 2015. Inclusion criteria
include complete (R0) first and second resections, histologically proven
recurrent or new malignancy, complete pathohistological report after both
operations, and exact data about the treatment outcome at the time of the last
contact with patients or their families. RESULTS: There were 25 (86.2%) males and
4 (13.8%) females (M:F 6.2:1). In 13/29 patients, the interval between the first
and second operations was less than 2 years, while in the remaining 16 patients,
it was longer than 2 years. Concerning the operative stage distribution, stage I
was more frequent after the first operation (44.8 vs. 22%), while stage III was
dominant after the second operation (40.7 vs. 10.3%). The same tumor histology
after the first and second operations existed in 24 (82.8%) patients. Adjuvant
treatment was given to 53.6% of patients after the first and to 45.5% of patients
after the second operation. The overall 5-year survival was 30%, median survival
being 35 +/- 16.9 months (1.896, 68.104 95% CI). A median survival of patients in
post-surgery stage I after re-do surgery was better in comparison with that in
higher stages (35 +/- 22.6 vs.17.2 +/- 15.1 vs. 21 +/- 6.7 months, p > 0.05).
Patients with the same tumor type at both operations lived significantly longer
(median survival 48 +/- 21.5 vs. 7.7 +/- 1.9 months) than patients with different
tumor histology after the second operation. Patients under 60 years (42.9%) lived
longer than patients older than 60 years (median survival 69 +/- 4.5 vs. 17.2 +/-
14.3 months). The Cox regression analysis revealed only the disease stage at
first operation and the same/different tumor histology as significant prognostic
factors. One patient died from cardiac insufficiency caused by bronchopleural
fistula (3.4% operative mortality). Operative morbidity was 34.4%. CONCLUSION:
Completion pneumonectomy may be a reasonable option for postoperative lung cancer
recurrence or new primaries only in carefully selected patients, in whom the
potential oncological benefits overweigh the surgical risk.
PMID- 29807543
TI - Evaluation of the reliability and validity for X16 balance testing scale for the
elderly.
AB - BACKGROUND: Balance performance is considered as an indicator of functional
status in the elderly, a large scale population screening and evaluation in the
community context followed by proper interventions would be of great significance
at public health level. However, there has been no suitable balance testing scale
available for large scale studies in the unique community context of urban China.
METHODS: A balance scale named X16 balance testing scale was developed, which was
composed of 3 domains and 16 items. A total of 1985 functionally independent and
active community-dwelling elderly adults' balance abilities were tested using the
X16 scale. The internal consistency, split-half reliability, content validity,
construct validity, discriminant validity of X16 balance testing scale were
evaluated. RESULTS: Factor analysis was performed to identify alternative factor
structure. The Eigenvalues of factors 1, 2, and 3 were 8.53, 1.79, and 1.21,
respectively, and their cumulative contribution to the total variance reached
72.0%. These 3 factors mainly represented domains static balance, postural
stability, and dynamic balance. The Cronbach alpha coefficient for the scale was
0.933. The Spearman correlation coefficients between items and its corresponding
domains were ranged from 0.538 to 0.964. The correlation coefficients between
each item and its corresponding domain were higher than the coefficients between
this item and other domains. With the increase of age, the scores of balance
performance, domains static balance, postural stability, and dynamic balance in
the elderly declined gradually (P < 0.001). With the increase of age, the
proportion of the elderly with intact balance performance decreased gradually (P
< 0.001). CONCLUSIONS: The reliability and validity of the X16 balance testing
scale is both adequate and acceptable. Due to its simple and quick use features,
it is practical to be used repeatedly and routinely especially in community
setting and on large scale screening.
PMID- 29807545
TI - Expanded base editing in rice and wheat using a Cas9-adenosine deaminase fusion.
AB - Nucleotide base editors in plants have been limited to conversion of cytosine to
thymine. Here, we describe a new plant adenine base editor based on an evolved
tRNA adenosine deaminase fused to the nickase CRISPR/Cas9, enabling A*T to G*C
conversion at frequencies up to 7.5% in protoplasts and 59.1% in regenerated rice
and wheat plants. An endogenous gene is also successfully modified through
introducing a gain-of-function point mutation to directly produce an herbicide
tolerant rice plant. With this new adenine base editing system, it is now
possible to precisely edit all base pairs, thus expanding the toolset for precise
editing in plants.
PMID- 29807541
TI - Switching to dual/monotherapy determines an increase in CD8+ in HIV-infected
individuals: an observational cohort study.
AB - BACKGROUND: The CD4/CD8 ratio has been associated with the risk of AIDS and non
AIDS events. We describe trends in immunological parameters in people who
underwent a switch to monotherapy or dual therapy, compared to a control group
remaining on triple antiretroviral therapy (ART). METHODS: We included patients
in Icona who started a three-drug combination ART regimen from an ART-naive
status and achieved a viral load <= 50 copies/mL; they were subsequently switched
to another triple or to a mono or double regimen. Standard linear regression at
fixed points in time (12-24 months after the switch) and linear mixed model
analysis with random intercepts and slopes were used to compare CD4 and CD8
counts and their ratio over time according to regimen types (triple vs. dual and
vs. mono). RESULTS: A total of 1241 patients were included; 1073 switched to
triple regimens, 104 to dual (72 with 1 nucleoside reverse transcriptase
inhibitor (NRTI), 32 NRTI-sparing), and 64 to monotherapy. At 12 months after the
switch, for the multivariable linear regression the mean change in the log10
CD4/CD8 ratio for patients on dual therapy was -0.03 (95% confidence interval
(CI) -0.05, -0.0002), and the mean change in CD8 count was +99 (95% CI +12.1,
+186.3), taking those on triple therapy as reference. In contrast, there was no
evidence for a difference in CD4 count change. When using all counts, there was
evidence for a significant difference in the slope of the ratio and CD8 count
between people who were switched to triple (points/year change ratio = +0.056,
CD8 = -25.7) and those to dual regimen (ratio = -0.029, CD8 = +110.4).
CONCLUSIONS: We found an increase in CD8 lymphocytes in people who were switched
to dual regimens compared to those who were switched to triple. Patients on
monotherapy did not show significant differences. The long-term implications of
this difference should be ascertained.
PMID- 29807546
TI - Surgical plate fixation of multiple rib fractures: a case report.
AB - BACKGROUND: The healthcare system in developing countries is limited;
particularly, medical specialties such as emergency and trauma medicine are
underdeveloped. Consequently, trauma injuries sustained in traffic accidents
result in chronic morbidity more often than similar cases in developed countries.
Multiple rib fractures induce significant patient morbidity. Current
international guidelines recommend a multidisciplinary, surgery-based treatment
approach to achieve optimal clinical benefit. CASE PRESENTATION: We admitted a 41
year-old Albanian man to our emergency department following a pedestrian-vehicle
accident 5 days earlier. He presented with severe upper thoracic pain, chest
deformity, dyspnea, tachycardia, subcutaneous emphysema, and hematoma. Chest
radiography pointed to hypoventilated lung fields and a minor pleural effusion.
Computed tomographic scans indicated displaced fractures of right lateral ribs 5
11, hyperdensity regions from bone fragments, and pulmonary contusion. The
treatment consisted of surgical fixation of ribs 7-10 using titanium
reconstruction plates and cortical locking screws. The patient's clinical
condition rapidly improved postoperatively. Follow-up at 6 weeks confirmed a full
return to preoperative daily activities and a high quality of life. CONCLUSIONS:
In this case report, we present a novel and promising development in the field of
trauma medicine in the Republic of Macedonia. Trauma injuries can be treated via
advanced multidisciplinary medical care according to international standards,
allowing optimal health recovery.
PMID- 29807544
TI - Guidelines for whole genome bisulphite sequencing of intact and FFPET DNA on the
Illumina HiSeq X Ten.
AB - BACKGROUND: Comprehensive genome-wide DNA methylation profiling is critical to
gain insights into epigenetic reprogramming during development and disease
processes. Among the different genome-wide DNA methylation technologies, whole
genome bisulphite sequencing (WGBS) is considered the gold standard for assaying
genome-wide DNA methylation at single base resolution. However, the high
sequencing cost to achieve the optimal depth of coverage limits its application
in both basic and clinical research. To achieve 15* coverage of the human
methylome, using WGBS, requires approximately three lanes of 100-bp-paired-end
Illumina HiSeq 2500 sequencing. It is important, therefore, for advances in
sequencing technologies to be developed to enable cost-effective high-coverage
sequencing. RESULTS: In this study, we provide an optimised WGBS methodology,
from library preparation to sequencing and data processing, to enable 16-20*
genome-wide coverage per single lane of HiSeq X Ten, HCS 3.3.76. To process and
analyse the data, we developed a WGBS pipeline (METH10X) that is fast and can
call SNPs. We performed WGBS on both high-quality intact DNA and degraded DNA
from formalin-fixed paraffin-embedded tissue. First, we compared different
library preparation methods on the HiSeq 2500 platform to identify the best
method for sequencing on the HiSeq X Ten. Second, we optimised the PhiX and
genome spike-ins to achieve higher quality and coverage of WGBS data on the HiSeq
X Ten. Third, we performed integrated whole genome sequencing (WGS) and WGBS of
the same DNA sample in a single lane of HiSeq X Ten to improve data output.
Finally, we compared methylation data from the HiSeq 2500 and HiSeq X Ten and
found high concordance (Pearson r > 0.9*). CONCLUSIONS: Together we provide a
systematic, efficient and complete approach to perform and analyse WGBS on the
HiSeq X Ten. Our protocol allows for large-scale WGBS studies at reasonable
processing time and cost on the HiSeq X Ten platform.
PMID- 29807549
TI - Limited parasite acquisition by non-native Lepomis gibbosus (Antinopterygii:
Centrarchidae) at two ponds in the Upper Rhine basin, Germany.
AB - Metazoan parasite communities of Lepomis gibbosus (Centrarchidae), one of the
most successfully introduced fish species in Europe, were studied at two isolated
ponds (Knielingen, Tropfen) along the Upper Rhine in Germany. Nine parasite taxa
were observed, including North American species co-introduced to Europe
(ancyrocephalid monogeneans, diplostomid trematodes), circumpolar species
infecting L. gibbosus in both their native and non-native ranges (bothriocephalid
cestodes) and locally acquired parasitic nematodes. Both parasite communities
consisted predominantly of North American species. Acquisition of local parasites
was not observed at Tropfen, where the fish community comprised just two species,
with L. gibbosus dominant. Low prevalence and abundance of acquired parasites was
found at Knielingen, which supported a diverse fish community. At Tropfen, a high
abundance of the North American parasite Posthodiplostomum centrarchi probably
contributed to the lower condition index, hepatomegaly and splenomegaly observed.
Due to low local parasite competency, L. gibbosus appears to have no significant
impact on parasite dynamics in affected habitats.
PMID- 29807547
TI - Establishment of regulatory elements during erythro-megakaryopoiesis identifies
hematopoietic lineage-commitment points.
AB - BACKGROUND: Enhancers and promoters are cis-acting regulatory elements associated
with lineage-specific gene expression. Previous studies showed that different
categories of active regulatory elements are in regions of open chromatin, and
each category is associated with a specific subset of post-translationally marked
histones. These regulatory elements are systematically activated and repressed to
promote commitment of hematopoietic stem cells along separate differentiation
paths, including the closely related erythrocyte (ERY) and megakaryocyte (MK)
lineages. However, the order in which these decisions are made remains unclear.
RESULTS: To characterize the order of cell fate decisions during hematopoiesis,
we collected primary cells from mouse bone marrow and isolated 10 hematopoietic
populations to generate transcriptomes and genome-wide maps of chromatin
accessibility and histone H3 acetylated at lysine 27 binding (H3K27ac). Principle
component analysis of transcriptional and open chromatin profiles demonstrated
that cells of the megakaryocyte lineage group closely with multipotent progenitor
populations, whereas erythroid cells form a separate group distinct from other
populations. Using H3K27ac and open chromatin profiles, we showed that 89% of
immature MK (iMK)-specific active regulatory regions are present in the most
primitive hematopoietic cells, 46% of which contain active enhancer marks. These
candidate active enhancers are enriched for transcription factor binding site
motifs for megakaryopoiesis-essential proteins, including ERG and ETS1. In
comparison, only 64% of ERY-specific active regulatory regions are present in the
most primitive hematopoietic cells, 20% of which containing active enhancer
marks. These regions were not enriched for any transcription factor consensus
sequences. Incorporation of genome-wide DNA methylation identified significant
levels of de novo methylation in iMK, but not ERY. CONCLUSIONS: Our results
demonstrate that megakaryopoietic profiles are established early in hematopoiesis
and are present in the majority of the hematopoietic progenitor population.
However, megakaryopoiesis does not constitute a "default" differentiation
pathway, as extensive de novo DNA methylation accompanies megakaryopoietic
commitment. In contrast, erythropoietic profiles are not established until a
later stage of hematopoiesis, and require more dramatic changes to the
transcriptional and epigenetic programs. These data provide important insights
into lineage commitment and can contribute to ongoing studies related to diseases
associated with differentiation defects.
PMID- 29807548
TI - Splenic responses play an important role in remote ischemic preconditioning
mediated neuroprotection against stroke.
AB - BACKGROUND: Remote ischemic preconditioning (RIPC) of a limb has been reported to
protect against ischemic stroke. Our previous results demonstrated that the RIPC
mediated neuroprotection is associated with alterations in circulating immune
cell populations. Here, we evaluated the effect of the spleen, the largest
reservoir of immune cells, on RIPC-mediated neuroprotection against stroke.
METHODS: Noninvasive RIPC was achieved by four repeated cycles of 5-min blood
flow constriction in the hindlimbs using a tourniquet. The blood and spleens were
collected before and 1 h and 3 days after preconditioning to analyze the effect
of RIPC on the spleen and the correlation between splenic and peripheral
lymphocytes. Moreover, spleen weight and splenic lymphocytes were compared in
stroke rats with or without RIPC. Finally, splenectomy was made 1 day or 2 weeks
before RIPC and 90-min middle cerebral artery occlusion (MCAO). The infarct areas
and deficits were assessed. Blood was collected 1 h after RIPC and 3 days after
MCAO to explore the impact of splenectomy on RIPC-induced neuroprotection and
immune changes. The contralateral and ipsilateral hemispheres were collected 3
days after MCAO to detect the infiltration of immune cells after RIPC and
splenectomy. RESULTS: Flow cytometry analysis demonstrated that the RIPC promptly
increased the percentages of CD3+CD8+ cytotoxic T (Tc) cells in the spleen with a
relatively delayed elevation in CD3+CD161+ natural killer T (NKT) and CD3-CD45RA+
B lymphocytes. The percentages of circulating lymphocytes are positively
correlated with the percentages of splenic lymphocytes in normal rats.
Interestingly, RIPC resulted in negative correlations between the percentages of
splenic and circulating T lymphocytes, while the correlation between splenic and
circulating B lymphocytes remained positive. For animals subjected to RIPC
followed by MCAO, RIPC increased splenic volume with an expansion of splenic
lymphocytes 3 days after MCAO. Furthermore, the removal of the spleen 1 day or 2
weeks before RIPC and MCAO reduced the protective effect of RIPC on ischemic
brain injury and reversed the effects of RIPC on circulating immune cell
composition. RIPC significantly reduced brain infiltration of Tc and NKT cells.
Prior splenectomy showed no effect on immune cell infiltration after RIPC and
stroke. CONCLUSION: These results reveal an immunomodulatory effect of the
spleen, effecting mainly the spleen-derived lymphocytes, during RIPC-afforded
neuroprotection against cerebral ischemia.
PMID- 29807550
TI - Childhood trauma- and cannabis-associated microstructural white matter changes in
patients with psychotic disorder: a longitudinal family-based diffusion imaging
study.
AB - BACKGROUND: Decreased white matter (WM) integrity in patients with psychotic
disorder has been a consistent finding in diffusion tensor imaging (DTI) studies.
However, the contribution of environmental risk factors to these WM alterations
is rarely investigated. The current study examines whether individuals with
(increased risk for) psychotic disorder will show increased WM integrity change
over time with increasing levels of childhood trauma and cannabis exposure.
METHODS: DTI scans were obtained from 85 patients with a psychotic disorder, 93
non-psychotic siblings and 80 healthy controls, of which 60% were rescanned 3
years later. In a whole-brain voxel-based analysis, associations between change
in fractional anisotropy (DeltaFA) and environmental exposures as well as
interactions between group and environmental exposure in the model of FA and
DeltaFA were investigated. Analyses were adjusted for a priori hypothesized
confounding variables: age, sex, and level of education. RESULTS: At baseline, no
significant associations were found between FA and both environmental risk
factors. At follow-up as well as over a 3-year interval, significant interactions
between group and, respectively, cannabis exposure and childhood trauma exposure
in the model of FA and DeltaFA were found. Patients showed more FA decrease over
time compared with both controls and siblings when exposed to higher levels of
cannabis or childhood trauma. CONCLUSIONS: Higher levels of cannabis or childhood
trauma may compromise connectivity over the course of the illness in patients,
but not in individuals at low or higher than average genetic risk for psychotic
disorder, suggesting interactions between the environment and illness-related
factors.
PMID- 29807551
TI - The association between depressive and sleep symptoms for predicting incident
disease onset after 6-year follow-up: findings from the English Longitudinal
Study of Ageing.
AB - BACKGROUND: The independent effects of depressive symptoms and sleep problems for
future physical illness risk have yet to be studied systematically across a
variety of disease endpoints. METHODS: We analysed data from 7395 participants
(65.81 +/- 9.39 years; 54.8% female) from the English Longitudinal Study of
Ageing (ELSA). Baseline was wave 4 and participants were followed up for 6 years
until wave 7. Sleep was measured using an adapted version of the Jenkins Sleep
Problems questionnaire and depressive symptoms using the Centre for
Epidemiological Studies Depression scale. Participants with the illness of
interest at baseline [coronary heart disease (CHD), cancer, diabetes/high blood
glucose, arthritis] were excluded from models predicting the onset of that
illness at follow-up. Logistic regression was used, entering depressive symptoms
and sleep problems simultaneously into models controlling for a wide range of
covariates. RESULTS: In fully adjusted models depressive symptoms predicted
incident CHD (OR 1.11, 95% CI 1.04-1.20, p = 0.004) and diabetes/high blood
glucose (OR 1.13, 95% CI 1.04-1.22, p = 0.002) independent of sleep problems;
both depressive symptoms (OR 1.10, 95% CI 1.04-1.16, p = 0.002) and sleep
problems (OR 1.14, 95% CI 1.02-1.26, p = 0.019) predicted incident arthritis.
CONCLUSIONS: Sleep problems and depressive symptoms, and a combination of both,
were differentially associated with physical illness onset 6 years later. Our
findings highlight the importance of taking into account somatic and affective
experiences when looking across a variety of different physical illnesses.
PMID- 29807552
TI - Impact of longevity on greenhouse gas emissions and profitability of individual
dairy cows analysed with different system boundaries.
AB - Dairy production systems are often criticized as being major emitters of
greenhouse gases (GHG). In this context, the extension of the length of the
productive life of dairy cows is gaining interest as a potential GHG mitigation
option. In the present study, we investigated cow and system GHG emission
intensity and profitability based on data from 30 dairy cows of different
productive lifetime fed either no or limited amounts of concentrate. Detailed
information concerning productivity, feeding and individual enteric methane
emissions of the individuals was available from a controlled experiment and herd
book databases. A simplified GHG balance was calculated for each animal based on
the milk produced at the time of the experiment and for their entire lifetime
milk production. For the lifetime production, we also included the emissions
arising from potential beef produced by fattening the offspring of the dairy
cows. This accounted for the effect that changes in the length of productive life
will affect the replacement rate and thus the number of calves that can be used
for beef production. Profitability was assessed by calculating revenues and full
economic costs for the cows in the data set. Both emission intensity and
profitability were most favourable in cows with long productive life, whereas
cows that had not finished their first lactation performed particularly
unfavourably with regard to their emissions per unit of product and rearing costs
were mostly not repaid. Including the potential beef production, GHG emissions in
relation to total production of animal protein also decreased with age, but the
overall variability was greater, as the individual cow history (lifetime milk
yield, twin births, stillbirths, etc.) added further sources of variation. The
present results show that increasing the length of productive life of dairy cows
is a viable way to reduce the climate impact and to improve profitability of
dairy production.
PMID- 29807553
TI - A Naturalistic Comparison of Group Transdiagnostic Behaviour Therapy (TBT) and
Disorder-Specific Cognitive Behavioural Therapy Groups for the Affective
Disorders.
AB - BACKGROUND: Transdiagnostic psychotherapies are designed to apply the same
underlying treatment principles across a set of psychiatric disorders, without
significant tailoring to specific diagnoses. Several transdiagnostic
psychotherapy protocols have been developed recently, each of which has its own
strengths and weaknesses. One promising treatment is Transdiagnostic Behaviour
Therapy (TBT), in that it is one of the few transdiagnostic treatments to date
shown to be effective in patients with depressive and anxiety disorders. However,
TBT has only been investigated via individual psychotherapy. AIMS: The present
study investigated the effectiveness of a group protocol for TBT, compared with
disorder-specific group psychotherapies, in a naturalistic setting. METHOD: 109
participants with various diagnoses of affective disorders completed either group
TBT (n = 37) or a disorder-specific group psychotherapy (n = 72). Measures
included assessments of psychiatric symptomatology and transdiagnostic impairment
at baseline and post-treatment. RESULTS: Overall, participants in the TBT group
demonstrated significant improvements across all measures. When compared with
disorder-specific groups, no statistical differences were observed between groups
across symptoms; however, participants in the TBT group demonstrated roughly
twice the treatment effect sizes in transdiagnostic impairment compared with
participants in the disorder-specific groups. In addition, when participants from
the most well-represented diagnosis and disorder-specific treatment (social
anxiety disorder) were investigated separately, participants in the TBT group
demonstrated significantly larger improvements in comorbid depressive symptoms
than participants in the disorder-specific treatment. CONCLUSIONS: Pending
replication and additional comparison studies, group TBT may provide an effective
group treatment option for patients with affective disorders.
PMID- 29807554
TI - Effects of slow-wave activity on mood disturbance in major depressive disorder.
AB - BACKGROUND: Studies have demonstrated that decreases in slow-wave activity (SWA)
predict decreases in depressive symptoms in those with major depressive disorder
(MDD), suggesting that there may be a link between SWA and mood. The aim of the
present study was to determine if the consequent change in SWA regulation
following a mild homeostatic sleep challenge would predict mood disturbance.
METHODS: Thirty-seven depressed and fifty-nine healthy adults spent three
consecutive nights in the sleep laboratory. On the third night, bedtime was
delayed by 3 h, as this procedure has been shown to provoke SWA. The Profile of
Mood States questionnaire was administered on the morning following the baseline
and sleep delay nights to measure mood disturbance. RESULTS: Results revealed
that following sleep delay, a lower delta sleep ratio, indicative of inadequate
dissipation of SWA from the first to the second non-rapid eye movement period,
predicted increased mood disturbance in only those with MDD. CONCLUSIONS: These
data demonstrate that in the first half of the night, individuals with MDD who
have less SWA dissipation as a consequence of impaired SWA regulation have
greater mood disturbance, and may suggest that appropriate homeostatic regulation
of sleep is an important factor in the disorder.
PMID- 29807555
TI - Appropriateness of antibiotic prescriptions for acute sinusitis and pharyngitis
in an integrated healthcare system.
AB - We evaluated the appropriateness of antibiotic prescriptions for acute sinusitis
and pharyngitis. Overall, 81% of antibiotic prescriptions for acute sinusitis
were inappropriate and 48% of antibiotic prescriptions for pharyngitis were
inappropriate. Types of prescribing errors differed between the 2 infections,
including lack of an indication for antibiotics and excessive duration in ~50% of
sinusitis cases and incorrect antibiotic dose in ~33% of pharyngitis cases.Infect
Control Hosp Epidemiol 2018; 0, 1-3.
PMID- 29807556
TI - Analysis of founders and performance test effects on an autochthonous horse
population through pedigree analysis: structure, genetic variability and
inbreeding.
AB - The Maremmano is an autochthonous Italian horse breed, which probably descended
from the native horses of the Etruscans (VI century B.C.); the Studbook was
acknowledged in 1980, and it includes 12 368 horses born from that year up to
2015. The aim of this study was to evaluate the effect of the selection program
on the genetic variability of the Maremmano population; the analysis was
performed using both the 'Endog v 4.8' program available at
http://webs.ucm.es/info/prodanim/html/JP_Web.htm and in-house software on
official pedigree data. Four Reference Populations were considered, and the most
important one was the population of the 12 368 Maremmano horses officially
registered in the National Studbook. The pedigree completeness of this population
was very good because it was more than 90% at the third parental generation and
more than 70% at the fifth generation; the pedigree traced back to a maximum of
10.50 generations with an average of 3.30 complete generations and 5.70
equivalent complete generations. The average generation interval was 10.65+/-4.72
years, with stallions used for longer periods than mares. The intervals ranged
from 10.15+/-4.45 (mother-daughter) to 10.99+/-4.93 (father-daughter). The
effective number of founders (f e) was 74 and the effective number of ancestors
(f a) was 30 so that the ratio f e/f a was 2.47. The founder genome equivalents
(f g) was 13.72 with a ratio f g/f e equal to 0.18. The mean of the genetic
conservation index was 5.55+/-3.37, and it ranged from 0.81 to 21.32. The average
inbreeding coefficient was 2.94%, with an increase of 0.1%/year, and the average
relatedness coefficient was 5.52%. The effective population size (N e) computed
by an individual increase in inbreeding was 68.1+/-13.00; the N e on equivalent
generations was 42.00, and this value slightly increased to 42.20 when computed
by Log regression on equivalent generations. The analysis confirmed the presence
of seven traditional male lines. The percentage of Thoroughbred blood in the
foals born in 2015 was 20.30% and has increased 0.21%/year since 1980; in
particular, it increased more than twice (0.51%/year) until 1993 and afterwards
slightly fluctuated. The pedigree analysis confirmed the completeness of
genealogical information and the traditional importance that breeders gave to the
male lines; although the genetic diversity of Maremmano seemed to be not
endangered by the selection program, some effects on the population structure
were found and a more scientific approach to genetic conservation should be
incorporated in the selection plans.
PMID- 29807557
TI - Screening and diagnosis of chronic kidney disease in people with type 2 diabetes
attending Australian general practice.
AB - Australian guidelines recommend annual screening and monitoring of chronic kidney
disease (CKD) in people with type 2 diabetes (T2D). A cross-sectional study
utilising data from NPS MedicineWise MedicineInsight program from June 2015 to
May 2016 was undertaken to explore: (1) the proportion of patients with T2D
attending general practice who have had screening for, or ongoing monitoring of,
CKD; (2) the proportion of patients without a documented diagnosis of CKD who
have pathology consistent with CKD diagnosis; and (3) the patient factors
associated with screening and the recording of a diagnosis of CKD. Of 90550
patients with T2D, 44394 (49.0%) were appropriately screened or monitored. There
were 8030 (8.9%) patients with a recorded diagnosis of CKD, whereas 6597 (7.3%)
patients had no recorded diagnosis of CKD despite pathology consistent with a
diagnosis. Older age and diagnosis of hypertension or hyperlipidaemia were
associated with increased odds of CKD diagnosis being recorded. Older patients,
males, those with recorded diagnoses of hypertension or hyperlipidaemia and those
who had their medical record opened more frequently were more likely to be
screened appropriately. Screening and monitoring of CKD appears suboptimal.
Research to explore barriers to screening, recording and monitoring of CKD, and
strategies to address these, is required.
PMID- 29807558
TI - Improving access to important recovery information for heart patients with low
health literacy: reflections on practice-based initiatives.
AB - Evidence exists for the association between health literacy and heart health
outcomes. Cardiac rehabilitation is critical for recovery from heart attack and
reducing hospital readmissions. Despite this, <30% of people participate in a
program. Significant patient, hospital and health system challenges exist to
improve recovery through increased heart health literacy. This brief case study
reflects and documents practice-based initiatives by Heart Foundation Victoria to
improve access to recovery information for patients with low literacy levels.
Three key initiatives, namely the Six Steps To Cardiac Recovery resource, the
Love Your Heart book and the nurse ambassador program, were implemented informed
by mixed methods that assessed need and capacity at the individual,
organisational and systems levels. Key outcomes included increased access to
recovery information for patients with low health literacy, nurse knowledge and
confidence to engage with patients on recovery information, improved education of
patients and improved availability and accessibility of information for patients
in diverse formats. Given the challenges involved in addressing heart health
literacy, multifaceted practice-based approaches are essential to improve access
to recovery information for patients with low literacy levels.What is known about
the topic? Significant challenges exist for patients with lower health literacy
receiving recovery information after a heart attack in hospitals.What does this
paper add? This case study provides insights into a practice-based initiative by
Heart Foundation Victoria to improve access to recovery information for patients
with low literacy levels.What are the implications for practitioners? Strategies
to improve recovery through increased heart health literacy must address the
needs of patients, nursing staff and the health system within hospitals. Such
strategies need to be multifaceted and designed to build the capacity of nurses,
heart patients and their carers, as well as support from hospital management.
PMID- 29807561
TI - Sudden Dyspnea in a Liver Transplant Recipient.
PMID- 29807560
TI - The Screening, Diagnosis, Treatment, and Follow-Up of Breast Cancer.
AB - BACKGROUND: Breast cancer is the most common cancer in women. The German S3
guideline of 2012 has now been updated to take account of advances in the early
detection, diagnostic evaluation, treatment, and follow-up care of this disease.
METHODS: The updating process was based on the adaptation of identified source
guidelines and on reviews of the scientific evidence. A systematic search in
multiple literature databases was carried out, and the full texts of the selected
articles were evaluated. Suggested recommendations were then proposed by
interdisciplinary working groups and modified and graded in a nominal consensus
procedure. RESULTS: The value of mammographic screening is confirmed in the
updated guideline. As for the diagnostic evaluation of breast cancer, computed
tomography is recommended for staging in patients with a high risk of recurrence,
in addition to conventional methods. As for surgical treatment, the evidence
supporting locoregional surgery for primary breast cancer now affords an
opportunity for de-escalation: complete resection yields the best outcome, but a
safety margin of several millimeters is not necessary. Axillary dissection is no
longer recommended except in certain defined situations. Radiotherapeutic
approaches consist of hypofractionated applications. Adjuvant systemic therapy is
indicated for patients in certain high-risk situations defined by a constellation
of factors including tumor grade, patient age, node status, Ki-67 antigen
expression, hormone receptor status, and human epidermal growth factor receptor 2
(HER2) status. All patients with hormone receptor-positive breast cancer should
receive endocrine therapy. The indication for chemotherapy and/or anti-HER2
therapy should be determined in consideration of the expected benefit and side
effects. CONCLUSION: Consistent implementation of the recommendations in the
newly updated guideline can help lessen morbidity and mortality from breast
cancer. The actual extent to which breast cancer guidelines are implemented
should be a topic of future research.
PMID- 29807559
TI - Alcohol Biomarkers in Clinical and Forensic Contexts.
AB - BACKGROUND: Biomarkers of alcohol consumption are important not only in forensic
contexts, e.g., in child custody proceedings or as documentation of alcohol
abstinence after temporary confiscation of a driver's license. They are
increasingly being used in clinical medicine as well for verification of
abstinence or to rule out the harmful use of alcohol. METHODS: This review is
based on pertinent publications that were retrieved by a selective literature
search in PubMed concerning the direct and indirect alcohol markers discussed
here, as well as on the authors' experience in laboratory analysis and clinical
medicine. RESULTS: Alongside the direct demonstration of ethanol, the available
markers of alcohol consumption include the classic indirect markers carbohydrate
deficient transferrin (CDT), gamma-glutamyltransferase (GGT), and mean
corpuscular volume (MCV) as well as direct alcohol markers such as ethyl
glucuronide (EtG) and ethyl sulfate (EtS) in serum and urine and EtG and fatty
acid ethyl esters (FAEE) in hair. Phosphatidylethanol (PEth) is a promising
parameter that com - plements the existing spectrum of tests with high
specificity (48-89%) and sensi - tivity (88-100%). In routine clinical practice,
the demonstration of positive alcohol markers often leads patients to admit
previously denied alcohol use. This makes it possible to motivate the patient to
undergo treatment for alcoholism. CONCLUSION: The available alcohol biomarkers
vary in sensitivity and specificity with respect to the time period over which
they indicate alcohol use and the minimum extent of alcohol use that they can
detect. The appropriate marker or combination of markers should be chosen in each
case according to the particular question that is to be answered by laboratory
analysis.
PMID- 29807562
TI - Rainbow Cup.
PMID- 29807563
TI - Not an "All-or-Nothing" Principle.
PMID- 29807564
TI - Overdiagnosis is Stressful.
PMID- 29807565
TI - In Reply.
PMID- 29807566
TI - Blunt Trauma to the Rectum and Urethra After a Fall on the Ski Trail.
PMID- 29807567
TI - Extraintestinal Oxyuriasis.
PMID- 29807569
TI - Neurocranial anatomy of an enigmatic Early Devonian fish sheds light on early
osteichthyan evolution.
AB - The skull of 'Ligulalepis' from the Early Devonian of Australia (AM-F101607) has
significantly expanded our knowledge of early osteichthyan anatomy, but its
phylogenetic position has remained uncertain. We herein describe a second skull
of 'Ligulalepis' and present micro-CT data on both specimens to reveal novel
anatomical features, including cranial endocasts. Several features previously
considered to link 'Ligulalepis' with actinopterygians are now considered
generalized osteichthyan characters or of uncertain polarity. The presence of a
lateral cranial canal is shown to be variable in its development between
specimens. Other notable new features include the presence of a pineal foramen,
the some detail of skull roof sutures, the shape of the nasal capsules, a
placoderm-like hypophysial vein, and a chondrichthyan-like labyrinth system. New
phylogenetic analyses place 'Ligulalepis' as a stem osteichthyan, specifically as
the sister taxon to 'psarolepids' plus crown osteichthyans. The precise position
of 'psarolepids' differs between parsimony and Bayesian analyses.
PMID- 29807568
TI - Prediction error induced motor contagions in human behaviors.
AB - Motor contagions refer to implicit effects on one's actions induced by observed
actions. Motor contagions are believed to be induced simply by action observation
and cause an observer's action to become similar to the action observed. In
contrast, here we report a new motor contagion that is induced only when the
observation is accompanied by prediction errors - differences between actions one
observes and those he/she predicts or expects. In two experiments, one on whole
body baseball pitching and another on simple arm reaching, we show that the
observation of the same action induces distinct motor contagions, depending on
whether prediction errors are present or not. In the absence of prediction
errors, as in previous reports, participants' actions changed to become similar
to the observed action, while in the presence of prediction errors, their actions
changed to diverge away from it, suggesting distinct effects of action
observation and action prediction on human actions.
PMID- 29807571
TI - The impact of new technologies in our understanding of testis formation and
function.
PMID- 29807570
TI - Differential temporal dynamics during visual imagery and perception.
AB - Visual perception and imagery rely on similar representations in the visual
cortex. During perception, visual activity is characterized by distinct
processing stages, but the temporal dynamics underlying imagery remain unclear.
Here, we investigated the dynamics of visual imagery in human participants using
magnetoencephalography. Firstly, we show that, compared to perception, imagery
decoding becomes significant later and representations at the start of imagery
already overlap with later time points. This suggests that during imagery, the
entire visual representation is activated at once or that there are large
differences in the timing of imagery between trials. Secondly, we found
consistent overlap between imagery and perceptual processing around 160 ms and
from 300 ms after stimulus onset. This indicates that the N170 gets reactivated
during imagery and that imagery does not rely on early perceptual
representations. Together, these results provide important insights for our
understanding of the neural mechanisms of visual imagery.
PMID- 29807572
TI - Correction to Lancet Public Health 2016; 1: e56-65.
PMID- 29807573
TI - Cell cycle and apoptosis regulator 2 at the interface between DNA damage response
and cell physiology.
AB - Cell cycle and apoptosis regulator 2 (CCAR2 or DBC1) is a human protein recently
emerged as a novel and important player of the DNA damage response (DDR). Indeed,
upon genotoxic stress, CCAR2, phosphorylated by the apical DDR kinases ATM and
ATR, increases its binding to the NAD+-dependent histone deacetylase SIRT1 and
inhibits SIRT1 activity. This event promotes the acetylation and activation of
p53, a SIRT1 target, and the subsequent induction of p53 dependent apoptosis. In
addition, CCAR2 influences DNA repair pathway choice and promotes the chromatin
relaxation necessary for the repair of heterochromatic DNA lesions. However,
besides DDR, CCAR2 is involved in several other cellular functions. Indeed,
through the interaction with transcription factors, nuclear receptors, epigenetic
modifiers and RNA polymerase II, CCAR2 regulates transcription and transcript
elongation. Moreover, promoting Rev-erbalpha protein stability and repressing
BMAL1 and CLOCK expression, it was reported to modulate the circadian rhythm.
Through SIRT1 inhibition, CCAR2 is also involved in metabolism control and,
suppressing RelB and p65 activities in the NFkB pathway, it restricts B cell
proliferation and immunoglobulin production. Notably, CCAR2 expression is
deregulated in several tumors and, compared to the non-neoplastic counterpart, it
may be up- or down-regulated. Since its up-regulation in cancer patients is
usually associated with poor prognosis and its depletion reduces cancer cell
growth in vitro, CCAR2 was suggested to act as a tumor promoter. However, there
is also evidence that CCAR2 functions as a tumor suppressor and therefore its
role in cancer formation and progression is still unclear. In this review we
discuss CCAR2 functions in the DDR and its multiple biological activities in
unstressed cells.
PMID- 29807574
TI - Ampullary carcinoma-A genetic perspective.
AB - Ampulla of vater carcinoma (AVC) is a rare gastrointestinal tumour that is
associated with a high mortality rate and it's often diagnosed at later stages
due to lack of clinical symptoms. Early diagnosis of this condition is essential
to effectively treat patients for better prognosis. A significant amount of
advancement has been made in understanding the molecular nature of cancer in the
past decade. A substantial number of mutations and alterations have been detected
in various tumors. Despite the occurrence of AVC across the globe, the number of
studies conducted on this tumor type remains low; this is largely due to its rare
occurrence. Moreover, AVC tissues are complex and contain mutations in oncogenes,
tumour suppressors, apoptotic proteins, cell proliferation proteins, cell
signaling proteins, transcription factors, chromosomal abnormalities and cellular
adhesion proteins. The frequently mutated genes included KRAS, TP53 and SMAD4 and
are associated with prognosis. Several molecules of the PI3K, Wnt signaling, TGF
beta pathway and cell cycle have also been altered in AVCs. This review comprises
of all the genetic mutations, associated pathways and related prognosis that are
involved in AVCs from the year 1989 to 2017. This report can be used as a
stepping-stone to establish biomarkers for early diagnosis of AVC and to discover
molecular targets for drug therapy.
PMID- 29807575
TI - Monogenic diseases in India.
AB - Studies on monogenic diseases are considered valuable because they give insights
and expand our knowledge on gene function and regulation. Despite all the current
advancement in science and technology, a deep understanding and knowledge as to
why only those particular genes are affected in a disease is still vague. We also
lack profound illumination as to why only certain mutations are seen in a
disease. Though useful from a research perspective, a majority of these diseases
are lethal resulting in death of the affected individual. Unfortunately, in the
fast - growing land of India, the incidence of monogenic diseases is very high
with few counter-measures in place. This article encompasses a list of all
monogenic diseases ever to be reported in India with special focus on five
diseases which has been stated to have the highest incidence in India. Here, we
discuss about the limited research carried out in India on these high incidence
monogenic diseases, the other diseases related to those genes, the range of
treatments available for these diseases in India in contrast to its availability
around the world and the need to develop treatment strategies to reduce the
mortality and morbidity due to these rare but daunting diseases.
PMID- 29807576
TI - Genetic alterations affecting the genes encoding the enzymes of the kynurenine
pathway and their association with human diseases.
AB - Tryptophan is metabolized primarily via the kynurenine pathway (KP), which
involves several enzymes, including indoleamine 2,3-dioxygenase, tryptophan 2,3
dioxygenase (TDO), kynurenine aminotransferases (KATs), kynurenine monooxygenase
(KMO) etc. The majority of metabolites are neuroactive: some of them, such as
kynurenic acid, show neuroprotective effects, while others contribute to free
radical production, leading to neurodegeneration. Imbalance of the pathway is
assumed to contribute to the development of several neurodegenerative diseases,
psychiatric disorders, migraine and multiple sclerosis. Our aim was to summarize
published data on genetic alterations of enzymes involved in the KP leading to
disturbances of the pathway that can be related to different diseases. To achieve
this, a PubMed literature search was performed for publications on genetic
alterations of the KP enzymes upto April 2017. Several genetic alterations of the
KP have been identified and have been proposed to be associated with diseases.
Here we must emphasize that despite the large number of recognized genetic
alterations, the number of firmly established causal relations with specific
diseases is still small. The realization of this by those interested in the field
is very important and finding such connections should be a major focus of related
research. Polymorphisms of the genes encoding the enzymes of the KP have been
associated with autism, multiple sclerosis and schizophrenia, and were shown to
affect the immune response of patients with bacterial meningitis, just to mention
a few. To our knowledge, this is the first comprehensive review of the genetic
alterations of the KP enzymes. We believe that the identification of genetic
alterations underlying diseases has great value regarding both treatment and
diagnostics in precision medicine, as this work can promote the understanding of
pathological mechanisms, and might facilitate medicinal chemistry approaches to
substitute missing components or correct the disturbed metabolite balance of KP.
PMID- 29807577
TI - Progress in low dose health risk research: Novel effects and new concepts in low
dose radiobiology.
AB - People are more often exposed to low as opposed to high doses of ionising
radiation (IR). Knowledge on the health risks associated with exposures to
ionising radiation above 100 mGy is quite well established, while lower dose
risks are inferred from higher level exposure information (ICRP). The health risk
assessments are mainly based on epidemiological data derived from the atomic
bombing of Hiroshima and Nagasaki, medical exposure studies and follow-up studies
after nuclear accidents. For the estimation of long-term stochastic radiation
health effects (such as cancer) and radiation protection purposes, a linear non
threshold (LNT) model is applied. However, the general validity of the LNT
hypothesis for extrapolations from effects of high to low doses (<100 mGy) and
low dose-rates (<6 mGy/h) has been questioned as epidemiological studies are
statistically limited at low doses and unable to evaluate low dose and low dose
rate health risks (UNSCEAR). Thus, uncertainties on health risks need to be
clarified with the help of mechanistic studies. The European Network of
Excellence DoReMi (2010-2016) was designed to address some of the existing
uncertainties and to identify research lines that are likely to be most
informative for low dose risk assessment. The present review reports the results
obtained from studies addressing the induction of cancer and non-cancer effects
by low dose IR as well as on individual radiation sensitivity. It is shown that
low dose and low dose-rate effects are the result of complex network responses
including genetic, epigenetic, metabolic and immunological regulation. Evidence
is provided for the existence of nonlinear biological responses in the low and
medium dose range as well as effects other than the classical DNA damage. Such
effects may have a bearing on the quantitative and qualitative judgements on
health effects induced by low dose radiations.
PMID- 29807579
TI - Mutagenesis: Interactions with a parallel universe.
AB - Unexpected observations in mutagenesis research have led to a new perspective in
this personal reflection based on years of studying mutagenesis. Many mutagens
have been thought to operate via a single principal mechanism, with secondary
effects usually resulting in only minor changes in the observed mutation
frequencies and spectra. For example, we conceive of base analogs as resulting in
direct mispairing as their main mechanism of mutagenesis. Recent studies now show
that in fact even these simple mutagens can cause very large and unanticipated
effects both in mutation frequencies and in the mutational spectra when used in
certain pair-wise combinations. Here we characterize this leap in mutation
frequencies as a transport to an alternate universe of mutagenesis.
PMID- 29807578
TI - Induction and modulation of genotoxicity by the bacteriome in mammals.
AB - The living environment is a multilevel physical and chemical xenobiotic complex
with potentially mutagenic effects and health risks. In addition to inorganic
exposures, all terrestrial and aquatic living forms interact with microbiota as
selectively established communities of bacteria, viruses and fungi. Along these
lines, the human organism should then be considered a "meta-organism" with
complex dynamics of interaction between the environment and microbiome. Bacterial
communities within the microbiome, bacteriome, by its mass, symbiotic or
competitive position and composition are in a fragile balance with the host
organisms and have a crucial impact on their homeostasis. Bacteriome taxonomic
composition is modulated by age, sex and host genetic profile and may be changed
by adverse environmental exposures and life style factors such as diet or drug
intake. A changed and/or misbalanced bacteriome has genotoxic potential with
significant impact on the pathogenesis of acute, chronic and neoplastic diseases
in the host organism. Bacteria may produce genotoxins, express a variety of
pathways in which they generate free radicals or affect DNA repair causing genome
damage, cell cycle arrest and apoptosis, modulate immune response and launch
carcinogenesis in the host organism. Future investigations should focus on the
interplay between exposure to xenobiotics and bacteriome composition,
immunomodulation caused by misbalanced bacteriome, impact of the environment on
bacteriome composition in children and its lifelong effect on health risks.
PMID- 29807580
TI - Autophagy mediates hydrotropic response in Arabidopsis thaliana roots.
AB - This work shows that autophagy plays a key role in the hydrotropic curvature of
Arabidopsis thaliana roots. An analysis of GFP-ATG8a transgenic plants showed
that autophagosomes accumulated in the root curvature 2 h after the transfer of
seedlings to Normal Medium-Water Stress Medium (NM-WSM). Autophagy flux was
required for root bending. Remarkably, several atg mutants did not show
hydrotropic curvature in NM-WSM or the splitting-agar system. Hyper, an H2O2
sensor showed that H2O2 preferentially accumulated in the root curvature at a
similar rate as the autophagosomes did during hydrotropic response. Peroxidase
and ROBH activity inhibition affected, negatively or positively root curvature.
This data suggested H2O2 balance was required for root bending. Malondialdehyde,
a metabolite used as an indicator of oxidative stress, accumulated at the same
rate during the development of the curvature in NM-WSM. These results suggest
that autophagy is required for the hydrotropic response in NM-WSM. We discuss the
possible regulatory role of H2O2 on autophagy during the hydrotropic response
that might relieve oxidative stress provoked by water stress. NM-WSM is water
stress system suitable for studying hydrotropic responses on a short-term basis.
PMID- 29807581
TI - Gene expression and promoter characterization of heat-shock protein 90B gene
(HSP90B) in the model unicellular green alga Chlamydomonas reinhardtii.
AB - Molecular chaperones or heat shock proteins are a large protein family with
important functions in every cellular organism. Among all types of the heat shock
proteins, information on the ER-localized HSP90 protein (HSP90B) and its encoding
gene is relatively scarce in the literature, especially in photosynthetic
organisms. In this study, expression profiles as well as promoter sequence of the
HSP90B gene were investigated in the model green alga Chlamydomonas reinhardtii.
We have found that HSP90B is strongly induced by heat and ER stresses, while
other short-term exposure to abiotic stresses, such as salinity, dark-to-light
transition or light stress does not appear to affect the expression. Promoter
truncation analysis as well as chromatin immunoprecipitation using the antibodies
recognizing histone H3 and acetylated histone H3, revealed a putative core
constitutive promoter sequence between -1 to -253 bp from the transcription start
site. Our results also suggested that the nucleotides upstream of the core
promoter may contain repressive elements such as putative repressor binding
site(s).
PMID- 29807582
TI - Molecular and biochemical characterization of the sunflower (Helianthus annuus
L.) cytosolic and plastidial enolases in relation to seed development.
AB - In the present study, we describe the molecular and biochemical characterization
of sunflower (Helianthus annuus L.) enolase (ENO, EC 4.2.1.11) proteins, which
catalyze the formation of phosphoenolpyruvate, the penultimate intermediate in
the glycolytic pathway. We cloned and characterized three cDNAs encoding
different ENO isoforms from developing sunflower seeds. Studies using
fluorescently tagged ENOs confirmed the predicted subcellular localization of ENO
isoforms: HaENO1 in the plastid while HaENO2 and HaENO3 were found in the
cytosol. The cDNAs were used to express the corresponding 6(His)-tagged proteins
in Escherichia coli. The proteins were purified to electrophoretic homogeneity,
using immobilized metal ion affinity chromatography, and biochemically
characterized. Recombinant HaENO1 and HaENO2, but not HaENO3 were shown to have
enolase activity, in agreement with data obtained with the Arabidopsis homolog
proteins. Site directed mutagenesis of several critical amino acids was used to
attempt to recover enolase activity in recombinant HaENO3, resulting in very
small increases that were not additive. A kinetic characterization of the two
active isoforms showed that pH had similar effect on their velocity, that they
had similar affinity for 2-phosphoglycerate, but that the kcat/Km of the
plastidial enzyme was higher than that of the cytosolic isoform. Even though
HaENO2 was always the most highly expressed transcript, the levels of expression
of the three ENO genes were remarkably distinct in all the vegetative and
reproductive tissues studied. This indicates that in seeds the conversion of 2
phosphoglycerate to phosphoenolpyruvate takes place through the cytosolic and the
plastidial pathways therefore both routes could contribute to the supply of
carbon for lipid synthesis. The identity of the main source of carbon during the
period of stored products synthesis is discussed.
PMID- 29807583
TI - Overexpression of an EIN3-binding F-box protein2-like gene caused elongated fruit
shape and delayed fruit development and ripening in tomato.
AB - Ethylene signaling converges on the ETHYLENE-INSENSITIVE3 (EIN3)/EIN3-like (EIL)
transcription factors to regulate a wide range of developmental processes in
plants. EBF1/2 (EIN3-binding F-box protein 1 and 2) negatively regulate the
ethylene signaling pathway by mediating the degradation of EIN3/EIL proteins. We
uncovered previously that SlEBF1 and SlEBF2 are involved in ethylene response,
plant senescence, and fruit ripening in tomato. The present study reports on the
identification of a novel tomato F-box gene, designated as SlEBF2-like due that
its encoded protein is greater similarity to SlEBF2. The SlEBF2-like promoter
region contains three ethylene-response elements (EREs). SlEBF2-like is
upregulated by ethylene and downregulated by ethylene inhibitors in tomato
seedlings. It is dynamically expressed in flowers during bud-to-anthesis and
anthesis-to-post-anthesis transitions, and at the onset of fruit ripening,
suggesting its role in these situations where ethylene is required for flower
opening and fruit ripening. SlEBF2-like overexpression leaded to fruit
elongation, caused ripening and color change to start from fruit bottom and
expand gradually to the pedicel, and strongly delayed fruit development and
ripening in tomato. Our study indicates that the novel EBF gene, SlEBF2-like, is
involved in fruit development and ripening via regulating the ethylene response
in tomato.
PMID- 29807584
TI - Review: The promise and limits for enhancing sulfur-containing amino acid content
of soybean seed.
AB - Soybeans are an excellent source of protein in monogastric diets and rations with
~75% of soybeans produced worldwide used primarily for animal feed. Even though
soybeans are protein-rich and have a well-balanced amino acid profile, the
nutritive quality of this important crop could be further improved by elevating
the concentrations of certain amino acids. The levels of the sulfur-containing
amino acids cysteine and methionine in soybean seed proteins are inadequate for
optimal growth and development of monogastric animals, which necessitates dietary
supplementation. Subsequently, concerted efforts have been made to increase the
concentrations of cysteine and methionine in soybean seeds by both classical
breeding and genetic engineering; however, these efforts have met with only
limited success. In this review, we discuss the strengths and weakness of
different approaches in elevating the sulfur amino acid content of soybeans.
Manipulation of enzymes involved in the sulfur assimilatory pathway appears to be
a viable avenue for improving sulfur amino acid content. This approach requires a
through biochemical characterization of sulfur assimilatory enzymes in soybean
seeds. We highlight recent studies targeting key sulfur assimilatory enzymes and
the manipulation of sulfur metabolism in transgenic soybeans to improve the
nutritive value of soybean proteins.
PMID- 29807585
TI - Marker-assisted pyramiding of opaque2 and novel opaque16 genes for further
enrichment of lysine and tryptophan in sub-tropical maize.
AB - The improvement of protein quality in maize so far has been based on recessive
opaque2 (o2) mutant that along with endosperm-modifiers led to development of
quality protein maize (QPM). Recent discovery of nutritional benefits of
recessive opaque16 (o16) mutant was of immense significance for further
improvement of protein quality. In the present study, o16 was introgressed into
o2-based parental inbreds (HKI161, HKI193-1, HKI193-2 and HKI163) of four
commercial QPM hybrids (HQPM-1, HQPM-4, HQPM-5 and HQPM-7) released in India,
using marker-assisted backcross breeding. Background selection led to high
recovery of recurrent parent genome (RPG) to maximum of 95%, and introgressed
progenies showed considerable phenotypic resemblance for plant-, ear- and grain-
characteristics to their respective recurrent parents. Selection of markers for
o2 and o16 led to development of pyramided lines (o2o2/o16o16) that possessed as
high as 76% and 91% more lysine and tryptophan over the recurrent parents,
respectively. Reconstituted hybrids showed an average enhancement of 49% and 60%
in lysine and tryptophan over the original hybrids, with highest enhancement
amounting 64% and 86%, respectively. This is first report of enhancement of both
lysine and tryptophan by o16 in maize genotypes adaptable to sub-tropics.
Moderate variation in lysine and tryptophan was also observed in pyramided lines.
Multi-location evaluation of reconstituted hybrids revealed similar grain yield
and attributing traits to their original versions. This study signified the role
of o16 as supplementary to o2 for nutritional quality enhancement in maize, and
improved elite inbreds and hybrids developed here hold great significance in
maize biofortification programme.
PMID- 29807586
TI - WRINKLED1 transcription factor: How much do we know about its regulatory
mechanism?
AB - Many plant species produce and build up triacylglycerol (TAG) in their seeds as a
main resource to provide carbon and energy during seedling development. Plant
seed oils are important not only for human diets but also as renewable feedstock
of industrial uses. WRINKLED1 (WRI1), an APETALA2 (AP2) transcription factor,
plays an essential role in the transcriptional regulation of TAG biosynthesis as
WRI1 regulates the expression of key genes in the glycolytic and fatty acid
biosynthetic pathways. Recent work has identified intrinsic structural disorder
in WRI1 that may affect the stability of the protein. Furthermore, WRI1 activity
is modulated by post-translational modifications and interacting partners. These
progresses shed light on regulatory functions of WRI1 at the molecular levels,
paving new paths to the use of WRI1 for bioengineering of TAG in plants.
PMID- 29807587
TI - Regulation of expression of the mitochondrial and peroxisomal forms of citrate
synthase in maize during germination and in response to light.
AB - Expression of genes encoding the mitochondrial and peroxisomal forms of citrate
synthase (EC 2.3.3.1) was studied in maize (Zea mays L.) in scutella during
germination and in leaves depending on light regime. During germination, citrate
synthase activity increased in scutella both in mitochondria and in fatty-acid
metabolizing peroxisomes (glyoxysomes) by day 6 and then declined. This was
preceded by the peak of expression of the genes encoding the mitochondrial (Csy1)
and peroxisomal (Csy2) forms of citrate synthase occurring on the day 3 of
germination, after which the expression of Csy1 gradually and of Csy2 sharply
declined. The decrease of expression of both genes was followed by the increase
of promoter methylation which was more intensive for the gene encoding the
mitochondrial form. In leaves, the activity of the mitochondrial form was much
higher than that of the peroxisomal form and increased in darkness, while the
peroxisomal form was almost undetectable in darkness and increased in the light.
The mitochondrial form was inhibited by white and red light while the peroxisomal
form was induced by white, red and blue light indicating the involvement of
phytochrome and cryptochrome. The mechanism of light regulation of citrate
synthase involved promoter methylation leading to the inhibition of corresponding
genes and exhibiting opposite patterns for Csy1 and Csy2. Citrate synthase was
purified from mitochondria and glyoxysomes of maize scutellum. The mitochondrial
form had higher optimum pH as compared to the glyoxysomal form and possessed
higher affinity to oxaloacetate and acetyl-CoA. It is concluded that expression
of citrate synthase during germination and in response to light is regulated by
methylation of promoters of corresponding genes.
PMID- 29807588
TI - Physiological profile of CAX1a TILLING mutants of Brassica rapa exposed to
different calcium doses.
AB - Calcium (Ca) is an essential macronutrient for plants and its homeostasis is
basic for many processes in plants. Therefore, both Ca deficiency and toxicity
constitute potential issues for crops. CAX1 transporter is a potential target to
obtain plants with better Ca homeostasis and higher Ca concentration in edible
parts. Three Brassica rapa mutants for CAX1 were obtained through TILLING. The
objective of this work is to evaluate the growth, physiological state and
nutrients concentration of these mutants grown with different Ca doses. The
mutants and the parental line were grown under low, control and high Ca doses and
parameters related to their oxidative stress, photosynthetic performance and
nutrients concentration were determined. BraA.cax1a-4 and BraA.cax1a-7 mutants
presented lower total Chl, an altered photosynthesis performance and higher ROS
levels. BraA.cax1a-12 mutant grew better under high Ca conditions. All mutants
accumulated more Ca and Mg in leaves under control and high Ca doses and
accumulated more Fe regardless the Ca dose. The results obtained point to
BraA.cax1a-12 as a potential candidate for biofortification with Fe, Ca and Mg
since it accumulate higher concentrations of these elements, do not present an
altered growth and is able to tolerate higher Ca doses.
PMID- 29807589
TI - Cellular mechanisms to survive salt in the halophyte Cakile maritima.
AB - We recently identified two behaviours in cultured cells of the salt accumulating
halophyte Cakile maritima: one related to a sustained depolarization due to Na+
influx through the non-selective cation channels leading to programmed cell death
of these cells, a second one related to a transient depolarization allowing cells
to survive (Ben Hamed-Laouti, 2016). In this study, we considered at the cellular
level mechanisms that could participate to the exclusion of Na+ out of the cell
and thus participate in the regulation of the internal contents of Na+ and cell
survival. Upon addition of NaCl in the culture medium of suspension cells of C.
maritima, we observed a rapid influx of Na+ followed by an efflux dependent of
the activity of plasma membrane H+-ATPases, in accordance with the functioning of
a Na+/H+ antiporter and the ability of some cells to repolarize. The Na+ efflux
was shown to be dependent on Na+-dependent on Ca2+ influx like the SOS1 Na+/H+
antiporter. We further could observe in response to salt addition, an early
production of singlet oxygen (1O2) probably due to peroxidase activities. This
early 1O2 production seemed to be a prerequisite to the Na+ efflux. Our findings
suggest that in addition to the pathway leading to PCD (Ben Hamed-Laouti, 2016),
a second pathway comprising an SOS-like system could participate to the survival
of a part of the C. maritima cultured cells challenged by salt stress.
PMID- 29807590
TI - Layers of regulation - Insights into the role of transcription factors
controlling mucilage production in the Arabidopsis seed coat.
AB - A polysaccharide-rich mucilage is released from the seed coat epidermis of
numerous plant species and has been intensively studied in the model plant
Arabidopsis. This has led to the identification of a large number of genes
involved in the synthesis, secretion and modification of cell wall
polysaccharides such as pectin, hemicellulose and cellulose being identified.
These genes include a small network of transcription factors (TFs) and
transcriptional co-regulators, that not only regulate mucilage production, but
epidermal cell differentiation and in some cases flavonoid biosynthesis in the
internal endothelial layer of the seed coat. Here we focus on the function of
these regulators and propose a simplified model where they are assigned to a
hierarchical gene network with three regulatory levels (tiers) as a means of
assisting in the interpretation of the complexity. We discuss limitations of
current methodologies and highlight some of the problems associated with defining
the function of TFs, particularly those that perform different functions in
adjacent layers of the seed coat. We suggest approaches that should provide a
more accurate picture of the function of transcription factors involved with
mucilage production and release.
PMID- 29807591
TI - Features of cues and processes during chloroplast-mediated retrograde signaling
in the alga Chlamydomonas.
AB - Retrograde signaling is an intracellular communication process defined by cues
generated in chloroplast and mitochondria which traverse membranes to their
destination in the nucleus in order to regulate nuclear gene expression and
protein synthesis. The coding and decoding of such organellar message(s) involve
gene medleys and metabolic components about which more is known in higher plants
than the unicellular organisms such as algae. Chlamydomonas reinhardtii is an
oxygenic microalgal model for genetic and physiological studies. It harbors a
single chloroplast and is amenable for generating mutants. The focus of this
review is on studies that delineate retrograde signaling in Chlamydomonas vis a
vis higher plants. Thus, communication networks between chloroplast and nucleus
involving photosynthesis- and ROS-generated signals, functional tetrapyrrole
biosynthesis intermediates, and Ca2+-signaling that modulate nuclear gene
expression in this alga are discussed. Conceptually, different signaling
components converge to regulate either the same or functionally-overlapping gene
products.
PMID- 29807592
TI - Corrigendum to "Genetic variability of the phloem sap metabolite content of maize
(Zea mays L.) during the kernel-filling period" [Plant Sci. 252 (2016) 347-357].
PMID- 29807593
TI - Structural and functional analyses of genes encoding VQ proteins in apple.
AB - Recent studies with Arabidopsis and soybean have shown that a class of valine
glutamine (VQ) motif-containing proteins interacts with some WRKY transcription
factors. However, little is known about the evolution, structures, and functions
of those proteins in apple. Here, we examined their features and identified 49
apple VQ genes. Our evolutional analysis revealed that the proteins could be
clustered into nine groups together with their homologues in 33 species.
Historically, the main characteristics of proteins in Groups I, V, VI, VII, IX,
and X were thought to have been generated before the monocot-dicot split, whereas
those in Groups II, III + IV, and VIII were generated after that split. In the
structural analysis, apple MdVQ proteins appeared to bind only with Group I and
IIc MdWRKY proteins. Meanwhile, MdVQ1, MdVQ10, MdVQ15, and MdVQ36 interacted with
multiple MdVQ proteins to form heterodimers but MdVQ15 formed a homodimer. The
functional analysis indicated that overexpression of some apple MdVQs in
Arabidopsis and tobacco plants effected their vegetative and reproductive growth.
These results provide important information about the characteristics of apple
MdVQ genes and can serve as a solid foundation for further studies about the role
of WRKY-VQ interactions in regulating apple developmental and defense mechanisms.
PMID- 29807594
TI - Insight into cellular proteome of Lolium multiflorum/Festuca arundinacea
introgression forms to decipher crucial mechanisms of cold acclimation in forage
grasses.
AB - Frost tolerance is the main component of winter-hardiness. To express this trait,
plants sense low temperature, and respond by activating the process of cold
acclimation. The molecular mechanisms of this acclimation have not been fully
understood in the agronomically important group of forage grasses, including
Lolium-Festuca species. Herein, the introgression forms of L. multiflorum/F.
arundinacea distinct with respect to their frost tolerance, were used as models
for the comprehensive, proteomic and physiological, research to recognize the
crucial components of cold acclimation in forage grasses. The obtained results
stressed the importance of photosynthetic performance under acclimation to low
temperature. The stable level of photochemical processes after three weeks of
cold acclimation in the introgression form with a higher level of frost
tolerance, combined simultaneously with only slightly (but not significantly)
decreased level of CO2 assimilation after that period, despite significantly
lower stomatal conductance, indicated the capacity for that form to acclimate its
photosynthesis to low temperature. This phenomenon was driven by the Calvin cycle
efficiency, associated with revealed here accumulation profiles and activities of
chloroplastic aldolase. The capacity to acclimate the photosynthetic machinery to
cold could be one of the most crucial components of forage grass metabolism to
improve frost tolerance.
PMID- 29807595
TI - Photoinhibition and photoprotection during flower opening in lilies.
AB - Although most studies to extend vase life in cut flowers have focused on flower
senescence thus far, flower opening is a complex process of major biological
significance in the determination of flower commercialization. In order to better
understand flower opening, this study evaluated to what extent photoinhibition
and photo-oxidative stress are associated with tepal de-greening during flower
opening in lilies (Lilium "Litouwen"). We estimated the degree of
photoinhibition, the capacity for photo- and antioxidant protection, and the
extent of lipid peroxidation at four flower opening stages, from closed flowers
to anthesis. Additionally, we evaluated to what extent and by which mechanisms
related to photo- and antioxidant protection, Promalin(r) (a combination of
gibberellins and cytokinins) delays flower opening. Results showed that
chlorophyll content decreased progressively during flower opening, while a sharp
decrease of the maximum PSII efficiency (Fv/Fm ratio) was observed just before
anthesis. Moreover, content of secondary lipid peroxidation products
(malondialdehyde and jasmonic acid) increased just before anthesis, which was
preceded by an enhanced production of primary lipid peroxidation products (lipid
hydroperoxides). While both tocopherols and tocotrienols (vitamin E) increased
during flower opening, beta-carotene and xanthophyll content decreased sharply,
which may be associated with the sharp decline in the Fv/Fm ratio before
anthesis. Flowers treated with Promalin(r), which showed delayed opening,
experienced transient increases of lipid hydroperoxide and jasmonic acid contents
at early stages of flower opening, together with reduced vitamin E and
malondialdehyde contents just prior to anthesis. We conclude that the extent of
photoinhibition, the capacity of photo- and antioxidant protection and the
production of primary and secondary products of lipid peroxidation are finely
controlled in a time-dependent manner to allow a correct development of lily
flowers.
PMID- 29807596
TI - Erratum to "Expression of CLAVATA3 fusions indicates rapid intracellular
processing and a role of ERAD" [Plant Sci. 271 (2018) 67-80].
PMID- 29807597
TI - Subtle regulation of cotton resistance to Verticillium wilt mediated by MAPKK
family members.
AB - Verticillium wilt caused by soil-borne fungus of Verticillium dahliae Kleb. is
one of the most devastating diseases of cotton. Since the hierarchically
organized mitogen-activated protein kinase (MAPK) cascade plays pivotal roles in
signaling plant defense against pathogen attack, and the key nodes of MAPKKs
(MKKs) may serve as for the convergence and divergence of signals in MAPK
cascades, the possible relations between MAPK signaling and cotton Verticillium
resistance were examined in this study. A total of 24 MKK genes were identified
in the Gossypium hirsutum L. genome and then classified based on phylogenetic
analysis. Then the regulation roles of all types of cotton MKKs in activation of
cotton disease resistance were tested with the virus-induced gene silencing
(VIGS) method. The results showed that three types of MKKs (GhMKK4, GhMKK6 and
GhMKK9) positively regulate, while GhMKK10 negatively regulate the cotton
resistance to Verticillium wilt. Further, more subtle regulation of cotton
resistance mediated by MKK genes were revealed. In GhMKK9, only Gh_A12G2448 and
Gh_D12G2574 displayed positive regulation of cotton resistance; whereas only
Gh_A12G1883 and Gh_D12G2062 displayed negative regulation of cotton resistance in
GhMKK10. All these results show that MKK members in MAPK signal cascades play
dual roles in subtly regulating of cotton resistance to Verticillium wilt.
PMID- 29807598
TI - A lycopene beta-cyclase gene, IbLCYB2, enhances carotenoid contents and abiotic
stress tolerance in transgenic sweetpotato.
AB - Lycopene beta-cyclase (LCYB) is an essential enzyme that catalyzes the conversion
of lycopene into alpha-carotene and beta-carotene in carotenoid biosynthesis
pathway. However, the roles and underlying mechanisms of the LCYB gene in plant
responses to abiotic stresses are rarely known. This gene has not been used to
improve carotenoid contents of sweetpotato, Ipomoea batatas (L.) Lam.. In the
present study, a new allele of the LCYB gene, named IbLCYB2, was isolated from
the storage roots of sweetpotato line HVB-3. Its overexpression significantly
increased the contents of alpha-carotene, beta-carotene, lutein, beta
cryptoxanthin and zeaxanthin and enhanced the tolerance to salt, drought and
oxidative stresses in the transgenic sweetpotato (cv. Shangshu 19) plants. The
genes involved in carotenoid and abscisic acid (ABA) biosynthesis pathways and
abiotic stress responses were up-regulated in the transgenic plants. The ABA and
proline contents and superoxide dismutase (SOD) activity were significantly
increased, whereas malonaldehyde (MDA) and H2O2 contents were significantly
decreased in the transgenic plants under abiotic stresses. The overall results
indicate that the IbLCYB2 gene enhances carotenoid contents and abiotic stress
tolerance through positive regulation of carotenoid and ABA biosynthesis pathways
in sweetpotato. This gene has the potential to improve carotenoid contents and
abiotic stress tolerance in sweetpotato and other plants.
PMID- 29807599
TI - Application of Brachypodium genotypes to the analysis of type II resistance to
Fusarium head blight (FHB).
AB - The resistance to Fusarium head blight (FHB) in wheat is mainly via the restrain
of fungal expansion through spike rachis (type II resistance). In order to
unravel the resistance mechanisms, Brachypodium distachyon 21 (Bd21), a
monocotyledonous model plant, was previously proved to interact with F.
graminearum, while the disease development in spike still needs to be explored in
detail. Herein, it is found that the fungal spores mainly germinate on pistil of
Bd21, then the hyphae rapidly extend to the bottom of floret and enter spike
rachis, similar with the infection progress in wheat. However, structural
difference of spike rachis was found between Brachypodium and wheat. It was found
that the spread of the fungus through the rachis node of inoculated spikelets is
an important index for the evaluation of type II FHB resistance in Brachypodium
under optimal conditions at 28 degrees C and 50%-70% humidity. To verify the
feasibility of this strategy, the transcription factor TaTGA2 was overexpressed
in Bd21, and transgenic plants were found to show improved resistance to F.
graminearum in both spikes and detached leaves, which was further supported by
the increased disease severity when silencing TaTGA2 in the wheat cultivar "Sumai
3" or in tilling "Kronos" mutants. Except for Bd21, another 49 Brachypodium
germplasms were further screened for FHB resistance, and three moderately
susceptible germplasms, namely, PI 317418, W6-39284, and PI 254868, feasible for
transformation, were determined to be better hosts than Bd21 when evaluating
heterologous genes that positively regulate FHB resistance. The present study
also observed variations in the levels of FHB resistance between coleoptiles and
spikes or transgenic plants and natural germplasms.
PMID- 29807600
TI - Overexpression of PDX-II gene in potato (Solanum tuberosum L.) leads to the
enhanced accumulation of vitamin B6 in tuber tissues and tolerance to abiotic
stresses.
AB - Vitamin B6 is a vital metabolite required for living organisms as a cofactor in
several metabolic biochemical reactions and recognized as a potent antioxidant
molecule which modulates the expression of the proteins responsible for the
scavenging of cellular reactive oxygen species. It is well established that the
microorganisms and plants can synthesize the B6 de novo, therefore, all the
animals including humans must acquire it from the plant dietary resources.
However, the bioavailability of the vitamin in the edible portions of the
commonly consumed plants is insufficient to meet the daily recommended doses.
Genetic engineering techniques have proven successful in increasing the vitamin
B6 content in the model plants. Present study describe the development of
transgenic potato (Solanum tuberosum L. cv. Kufri chipsona) overexpressing key
vitamin B6 pathway gene, the PDXII (NCBI database Ref. ID- NM_125447.2) isolated
from Arabidopsis thaliana under the control of CaMV 35S constitutive promoter.
The stable integration and expression of transgene in the transgenic lines were
confirmed by PCR, Southern blot and RT-PCR analysis. Transgenic tubers exhibited
considerably improved vitamin B6 accumulation (up to 107-150%) in comparison to
the untransformed controls potato. This increase in vitamin B6 was also
correlated with the increased mRNA expression of PDXII gene. The prominent
increase in the B6 content of transgenic potato was also associated with the
capability to survive under abiotic stresses, therefore, the transgenic lines
were able to withstand various abiotic stresses imposed by salinity (NaCl) or
methyl viologen (MV). We thus demonstrated that overexpression of PDXII gene
under the control of a constitutive promoter enhanced the accumulation of the
vitamin B6 which also augmented the tolerance under various abiotic stresses in
potato (Solanum tuberosum L.).
PMID- 29807601
TI - Comparative analysis of root transcriptome profiles between drought-tolerant and
susceptible wheat genotypes in response to water stress.
AB - Water deficit is one of the major factors limiting crop productivity worldwide.
Plant roots play a key role in uptaking water, perceiving and transducing of
water deficit signals to shoot. Although the mechanisms of drought-tolerance have
been reported recently, the transcriptional regulatory network of wheat root
response to water stress has not been fully understood. In this study, drought
tolerant cultivar JM-262 and susceptible cultivar LM-2 are planted to
characterize the root transcriptional changes and physiological responses to
water deficit. A total of 8197 drought tolerance-associated differentially
expressed genes (DEGs) are identified, these genes are mainly mapped to carbon
metabolism, flavonoid biosynthesis, and phytohormone signal transduction. The
number and expression level of DEGs involved in antioxidative and antiosmotic
stresses are more enhanced in JM-262 under water stress. Furthermore, we find the
DEGs related to root development are much more induced in JM-262 in phytohormone
signal transduction and carbon metabolism pathway. In conclusion, JM-262 may
alleviate the damage of drought by producing more osmoprotectants, ROS
scavengers, biomass and energy. Interestingly, hormone signaling and cross-talk
probably play an important role in promoting JM-262 greater root systems to take
up more water, higher capabilities to induce more drought-related DEGs and higher
resisitance to oxidative stresse.
PMID- 29807602
TI - The effects of elevated CO2 and nitrogen nutrition on root dynamics.
AB - Ambient CO2 concentration is currently 400 MUmol mol-1, and projections forecast
an increase up to 970 MUmol mol-1 by century's end. Elevated CO2 can stimulate C3
plant growth, whereas nitrogen is the main nutrient plants acquire from soils and
often limits growth. Plants primarily obtain two nitrogen sources from the soil,
ammonium (NH4+) and nitrate (NO3-). At elevated CO2 levels, plant growth and
nitrogen metabolism is affected by the nitrogen source. Most research has focused
on shoot traits, while neglecting the plants' hidden half, the root. We studied
the effects of elevated CO2 and nitrogen source on hydroponically grown tomato
plants, a C3 model and crop plant. Our main objective was to determine how the
nitrogen source and elevated CO2 affect root development. Our results indicate
they affect development in terms of the size and anatomy of different root
orders. Specifically, root xylem development was found sensitive to the nitrogen
source, whereas NO3--supplied plants displayed greater xylem development compared
to their NH4+ counterparts, and also to a lesser extent, to elevated CO2, which
we found inhibits this development. Additionally, elevated CO2 decreased root
respiration in different root orders exclusively in plants supplied with NH4+as
the sole nitrogen source.
PMID- 29807603
TI - Phosphorus and potassium effects on taproot C and N reserve pools and long-term
persistence of alfalfa (Medicago sativa L.).
AB - Improved P and K nutrition can enhance yield and persistence of alfalfa (Medicago
sativa L.) grown on low fertility soils, but it is unknown if the improved
agronomic performance is associated with greater taproot N and C reserves. Our
objective was to use cluster analysis to determine how alfalfa plant persistence
is altered by P and K fertilization, and determine if changes in specific taproot
C and/or N reserves were associated with alfalfa plant death. Taproots were dug
and plants counted in May and December of each year and taproots analyzed for P,
K, starch, sugar, amino-N, and soluble protein. K-means clustering was used to
create six clusters that were subsequently compared using two-sample t-tests. Low
K in herbage and taproots was associated with low yield and poor persistence of
the Low and Very Low clusters and taproots of these plants generally had low
starch, protein, and amino-N concentrations. Plants died primarily between May
and December. Plant persistence of the low yielding, P-deficient Medium cluster
was high and associated with high starch concentrations. Low amino-N
concentrations in taproots may provide an early indication of potential plant
death because these were evident in poor-persisting Low and Very Low clusters
early in the study.
PMID- 29807604
TI - Enhancing freezing tolerance of Brassica napus L. by overexpression of a stearoyl
acyl carrier protein desaturase gene (SAD) from Sapium sebiferum (L.) Roxb.
AB - Sapium sebiferum (L.) Roxb. is an important woody oil tree and traditional herbal
medicine in China. Stearoyl-acyl carrier protein desaturase (SAD) is a
dehydrogenase enzyme that plays a key role in the transformation of saturated
fatty acids into unsaturated fatty acids in oil; these fatty acids greatly
influence the freezing tolerance of plants. However, it remains unclear whether
freezing tolerance can be regulated by the expression level of SsSAD in S.
sebiferum L. Our research indicated that SsSAD expression in S. sebiferum L.
increased under freezing stress. To further confirm this result, we constructed a
pEGAD-SsSAD vector and transformed it into B. napus L. W10 by Agrobacterium
tumefaciens-mediated transformation. Transgenic plants that overexpressed the
SsSAD gene exhibited significantly higher linoleic (18:2) and linolenic acid
(18:3) content and advanced freezing tolerance. These results suggest that SsSAD
overexpression in B. napus L. can increase the content of polyunsaturated fatty
acids (PUFAs) such as linoleic (18:2) and linolenic acid (18:3), which are likely
pivotal in improving freezing tolerance in B. napus L. plants. Thus, SsSAD
overexpression could be useful in the production of freeze-tolerant varieties of
B. napus L.
PMID- 29807605
TI - Modulations in primary and secondary metabolic pathways and adjustment in
physiological behaviour of Withania somnifera under drought stress.
AB - In general medicinal plants grown under water limiting conditions show much
higher concentrations of secondary metabolites in comparison to control plants.
In the present study, Withania somnifera plants were subjected to water stress
and data related to drought tolerance phenomenon was collected and a putative
mechanistic concept considering growth responses, physiological behaviour, and
metabolite content and gene expression aspects is presented. Drought induced
metabolic and physiological responses as well as drastic decrease in CO2 uptake
due to stomatal limitations. As a result, the consumption of reduction
equivalents (NADPH2+) for CO2 assimilation via the calvin cycle declines
significantly resulting in the generation of a large oxidative stress and an
oversupply of antioxidant enzymes. Drought also results in the shifting of
metabolic processes towards biosynthetic activities that consume reduction
equivalents. Thus, biosynthesis of reduced compounds (isoprenoids, phenols and
alkaloids) is enhanced. The dynamics of various metabolites have been discussed
in the light of gene expression analysis of control and drought treated leaves.
Gene encoding enzymes of pathways leading to glucose, fructose and fructan
production, conversion of triose phosphates to hexoses and hexose phosphorylation
were up-regulated in the drought stressed leaves. The down-regulated Calvin cycle
genes were co-ordinately regulated with the down-regulation of chloroplast
triosephosphate/phosphate translocator, cytoplasmic fructose-1,6-bisphosphate
aldolase and fructose bisphosphatase. Expression of gene encoding Squalene
Synthase (SQS) was highly upregulated under drought stress which is responsible
for the diversion of carbon flux towards withanolides biosynthesis from
isoprenoid pathway.
PMID- 29807606
TI - Knowns and unknowns of plasma membrane protein degradation in plants.
AB - Plasma membrane (PM) not only creates a physical barrier to enclose the
intracellular compartments but also mediates the direct communication between
plants and the ever-changing environment. A tight control of PM protein
homeostasis by selective degradation is thus crucial for proper plant development
and plant-environment interactions. Accumulated evidences have shown that a
number of plant PM proteins undergo clathrin-dependent or membrane microdomain
associated endocytic routes to vacuole for degradation in a cargo-ubiquitination
dependent or independent manner. Besides, several trans-acting determinants
involved in the regulation of endocytosis, recycling and multivesicular body
mediated vacuolar sorting have been identified in plants. More interestingly,
recent findings have uncovered the participation of selective autophagy in PM
protein turnover in plants. Although great progresses have been made to identify
the PM proteins that undergo dynamic changes in subcellular localizations and to
explore the factors that control the membrane protein trafficking, several
questions remain to be answered regarding the molecular mechanisms of PM protein
degradation in plants. In this short review article, we briefly summarize recent
progress in our understanding of the internalization, sorting and degradation of
plant PM proteins. More specifically, we focus on discussing the elusive aspects
underlying the pathways of PM protein degradation in plants.
PMID- 29807607
TI - Pathogen-induced AdDjSKI of the wild peanut, Arachis diogoi, potentiates
tolerance of multiple stresses in E. coli and tobacco.
AB - A gene encoding a serine-rich DnaJIII protein called AdDjSKI that has a 4Fe-4S
cluster domain was found to be differentially upregulated in the wild peanut,
Arachis diogoi in its resistance responses against the late leaf spot causing
fungal pathogen Phaeoisariopsis personata when compared with the cultivated
peanut, Arachis hypogaea. AdDjSKI is induced in multiple stress conditions in A.
diogoi. Recombinant E. coli cells expressing AdDjSKI showed better growth
kinetics when compared with vector control cells under salinity, osmotic, acidic
and alkaline stress conditions. Overexpression of this type three J-protein
potentiates not only abiotic stress tolerance in Nicotiana tabacum var. Samsun,
but also enhances its disease resistance against the phytopathogenic fungi
Phytophthora parasitica pv nicotianae and Sclerotinia sclerotiorum. In the
present study we show transcriptional upregulation of APX, Mn-SOD and HSP70 under
heat stress and increased transcripts of PR genes in response to fungal
infection. This transmembrane-domain-containing J protein displays punctate
localization in chloroplasts. AdDjSKI appears to ensure proper folding of
proteins associated with the photosynthetic machinery under stress.
PMID- 29807608
TI - Suppression of a tomato SEPALLATA MADS-box gene, SlCMB1, generates altered
inflorescence architecture and enlarged sepals.
AB - The SEPALLATA (SEP) MADS-box transcription factors play essential roles in
reproductive growth, especially in floral organ differentiation. Here, SlCMB1, a
tomato SEP MADS-box gene, was isolated. SlCMB1 is noticeably expressed in
inflorescences and flowers. Its transcript levels were higher in sepals than in
other floral organs and decreased during sepal development. Tomato plants with
reduced SlCMB1 mRNA levels displayed longer, branched and indeterminate
inflorescences that exhibited a transition from reproductive to vegetative growth
and enlarged and abnormally fused sepals. The transcript levels of genes known to
regulate the development of inflorescence architecture and sepal size in tomato
were dramatically changed. In addition, the expression levels of cell elongation
related and gibberellin biosynthetic genes also showed significant differences
between the transgenic lines and the wild type, and the GA content of the
peduncle in the transgenic lines was higher than that in the wild type. Yeast two
hybrid assay showed that SlCMB1 could interact individually with MC, J, AP2a and
SlMBP21. Overall, our results indicate that SlCMB1 is an important regulator
involved in the development of inflorescence architecture and sepal size in
tomato plants.
PMID- 29807609
TI - Carbon monoxide signal regulates light-initiated seed germination by suppressing
SOM expression.
AB - Light is a critical external signal for seed germination. The photoreceptor
phytochrome B (PHYB) perceives light stimulation and promotes seed germination
during the early phase after imbibition. SOM is a CCH-type zinc finger protein
and negatively regulates PHYB-mediated seed germination by controlling downstream
gibberellic acid (GA) and abscisic acid (ABA) metabolism. As a small molecular
signal, carbon monoxide (CO) has been reported to regulate seed germination under
environmental stress, but the underlying mechanism remains unclear. In this
study, we first found that CO enhanced PHYB-dependent seed germination, and red
light irradiation increased the transcriptional level of gene encoding Heme
oxygenase 1(HY1) for CO production, this process required PHYB. Pharmacological
and genetic analyses revealed that CO signals repressed the transcriptional level
of SOM to alter downstream GA/ABA metabolism related genes expression, ultimately
relieving the inhibitory effect of SOM on seed germination. Furthermore, CO
signals possibly recruited histone deacetylase 6 (HDA6) to the promoter region of
SOM to decrease its expression by diminishing histone H3 acetylation levels at
this locus. Taken together, our results propose a novel mechanism for CO signals
in promoting light-initiated seed germination via recruiting HDA6 to
epigenetically regulate SOM expression.
PMID- 29807610
TI - Differential methylation and expression of HUA1 ortholog in three sex types of
papaya.
AB - Papaya is trioecious and an excellent system for studying sex determination and
differentiation in plants. An ortholog of HUA1, CpHUA1, a gene controlling stamen
and carpel development in Arabidopsis, was cloned and characterized in papaya.
CpHUA1 consists of 12 exons with full genomic length of 19,313 bp in male AU9 and
19,312 bp in hermaphrodite SunUp, whereas the Arabidopsis HUA1 consists of 12
exons with full genomic length of 4300 bp. All the 324 SNPs between male and
hermaphrodite varieties are in the 11th intron, which spans 8.5 kb. Quantitative
RT-PCR revealed that CpHUA1 expression is highly elevated in carpels, suggesting
that CpHUA1 may be involved in sex differentiation gene network. Southern blot
analysis revealed a distinct restriction pattern in male AU9 compared to
hermaphrodite Kapoho and SunUp, despite high DNA sequence identity and sharing of
all but two EcoR I restriction sites in genomic CpHUA1 sequences of AU9 and
SunUp. The methylation of cytosine at one restriction site in male but not in
other two sex types may result in distinct restriction pattern of EcoR I in
southern blot result. Bisulfite sequencing showed differential methylation of
CpHUA1 among sex types, particularly the enrichment of sex-specific methylation
in 9th and 11th intron. The methylation difference in cold stress induced male to
hermaphrodite mutant mostly observed in the CHH context of CpHUA1, but no
methylation difference detected in CHH context in other sex types, which may
indicate the role of methylation in CHH context of CpHUA1 in temperature-related
stress response and sex reversal.
PMID- 29807611
TI - Retraction notice to: "Role of Mammalian sirtuin 1 (SIRT1) in lipids metabolism
and cell proliferation of goose primary hepatocytes" MCE Volume 382, Issue 1, 25
January 2014, Pages 282-291.
PMID- 29807612
TI - Changes in differential gene expression during a fatal stroke.
AB - We present a young woman (with an identical twin sister) who arrived at the
Emergency Department (ED) within 1hour of her initial stroke symptoms. Previous
microarray studies have demonstrated differential expression of multiple genes
between stroke patients and healthy controls. However, for many of these studies
there is a significant delay between the initial symptoms and collection of blood
samples, potentially leaving the important early activators/regulators of the
inflammatory response unrecognised. Blood samples were collected from the patient
for an analysis of differential gene expression over time during the evolution of
a fatal stroke. The time points for blood collection were ED arrival (T0) and 1,
3 and 24hours post ED arrival (T1, T3 and T24). This was compared to her
identical twin and an additional two age and sex-matched healthy controls. When
compared to the controls, the patient had 12 mRNA that were significantly
upregulated at T0, and no downregulated mRNA (with a cut off fold change value +/
1.5). Of the 12 upregulated mRNA at T0, granzyme B demonstrated the most marked
upregulation on arrival, with expression steadily declining over time, whereas
S100 calcium-binding protein A12 (S100A12) gene expression increased from T0 to
T24, remaining >two-fold above that in the healthy controls at T24. Other genes,
such as matrix metalloproteinase 9, high mobility group box 2 and interleukin-18
receptor I were not upregulated at T0, but they demonstrated clear upregulation
from T1-T3, with gene expression declining by T24. A greater understanding of the
underlying immunopathological mechanisms that are involved during the evolution
of ischaemic stroke may help to distinguish between patients with stroke and
stroke mimics.
PMID- 29807613
TI - Prevalence and correlates of beta human papillomavirus detection in fingernail
samples from mid-adult women.
AB - Cutaneous human papillomaviruses (HPVs) have not been evaluated in fingernails
from healthy individuals. To determine prevalence and correlates of beta-HPVs in
fingernails from healthy mid-adult women, we tested archived samples collected
from 2011 to 2012 using a multiplex PCR combined with Luminex technology for 46
beta-HPV genotypes. One hundred thirteen (61.1%) of 185 fingernail samples were
positive for beta-HPV, and the median number of types detected in positive
samples was 2 (interquartile range: 1-4). The most common genotypes detected were
HPV-23 (beta-2) (13.5%), HPV-38 (beta-2) (13.0%), HPV-5 (beta-1) (9.2%), HPV-107
(beta-2) (8.7%), and HPV-120 (beta-2) (8.7%). In multivariate analysis, beta-HPV
detection was associated with age (prevalence ratio [PR] for women 40-51 years
versus 30-39 years = 1.30, 95% CI: 1.05-1.62) and race (PR for non-white versus
white race = 0.65, 95% CI: 0.45-0.94). The prevalence of beta-HPV in fingernail
samples from healthy mid-adult women was similar to the prevalence of beta-HPV
reported at other cutaneous sites in prior studies. We did not identify any
significant health or sexual behavior predictors of beta-HPV detection in
fingernails. Our results support the hypothesis that fingers may serve as a
source of transmission or autoinoculation of cutaneous HPVs to other anatomic
sites.
PMID- 29807616
TI - Corrigendum to ''The effects of vortioxetine on cognitive dysfunction in patients
with inadequate response to current antidepressants in major depressive disorder:
A short-term, randomized, double-blind, exploratory study versus escitalopram''
[Journal of Affective Disorders, 227 (2018) 803-809].
PMID- 29807617
TI - Tumor Collision Over Sebaceous Nevus: Clues for Dermoscopic Diagnosis.
PMID- 29807619
TI - Teledermatology Implementation: The Importance of a Clear Objective.
PMID- 29807614
TI - Murine HPV16 E7-expressing transgenic skin effectively emulates the cellular and
molecular features of human high-grade squamous intraepithelial lesions.
AB - Currently available vaccines prevent HPV infection and development of HPV
associated malignancies, but do not cure existing HPV infections and dysplastic
lesions. Persistence of infection(s) in immunocompetent patients may reflect
induction of local immunosuppressive mechanisms by HPV, providing a target for
therapeutic intervention. We have proposed that a mouse, expressing HPV16 E7
oncoprotein under a Keratin 14 promoter (K14E7 mice), and which develops
epithelial hyperplasia, may assist with understanding local immune suppression
mechanisms that support persistence of HPV oncogene-induced epithelial
hyperplasia. K14E7 skin grafts recruit immune cells from immunocompetent hosts,
but consistently fail to be rejected. Here, we review the literature on HPV
associated local immunoregulation, and compare the findings with published
observations on the K14E7 transgenic murine model, including comparison of the
transcriptome of human HPV-infected pre-malignancies with that of murine K14E7
transgenic skin. We argue from the similarity of i) the literature findings and
ii) the transcriptome profiles that murine K14E7 transgenic skin recapitulates
the cellular and secreted protein profiles of high-grade HPV-associated lesions
in human subjects. We propose that the K14E7 mouse may be an appropriate model to
further study the immunoregulatory effects of HPV E7 expression, and can
facilitate development and testing of therapeutic vaccines.
PMID- 29807618
TI - Practice Models in Teledermatology in Spain: Longitudinal Study, 2009-2014.
AB - INTRODUCTION: Teledermatology is the most advanced clinical specialty in
telemedicine. The development of teledermatology in specific countries has not
been studied in depth. METHODS: Our objective was to analyze teledermatology
models in clinical practice in Spain. We paid special attention to organization,
technical aspects, training, and the advantages/disadvantages as seen by
teledermatologists. Two surveys were carried out (2009 and 2014). RESULTS:
Teledermatology was used at 25 centers in 2009 and at 70 in 2014. The extended
survey was completed by 21 centers in 2009 and 41 in 2014. Store-and-forward
teledermatology was the main technique (83%) in 2014. Only 12% of centers used
the real-time method, and 5% used a hybrid modality. Patients lived less than
25km away in 75% of cases (urban teledermatology). Most centers used mid-range
bridge cameras; only 12% used mobile phones. Teledermoscopy and tertiary
teledermatology were each used in 15% of centers. Teledermatology was restricted
to skin cancer in 25% of cases, and 66% of centers used it to train primary care
physicians. The main advantages, assessed on a scale of 1 to 10, were
prioritization in cancer screening (8.3), rapid emergency care (7.8), training of
and communication with primary care physicians (7.6), screening for trivial
conditions (7.6), and reduction in the number of face-to-face visits (7.6). The
main disadvantages were poor image quality (6.3), fear of error (5.7), difficulty
in coordinating with primary care physicians (3.8), and time commitment (3.3).
Between 2009 and 2014, the number of centers using teledermatology and the number
of teledermatologists increased, as did use of the store-and-forward and urban
models. The technology used also improved. CONCLUSION: Teledermatology is an
emerging technology that is becoming well established in Spain. More than 25% of
dermatology centers in Spain have implemented a teledermatology model. Store-and
forward in an urban setting is the most widely used modality. Teledermatologists
see this technology as an effective option with more advantages than
disadvantages. General satisfaction is high, although there is room for
significant improvement in some areas.
PMID- 29807620
TI - The repercussion of stopping reimbursement of olmesartan on antihypertensive
drugs prescription and blood pressure control of treated hypertensive patients in
France.
AB - OBJECTIVE: Since January 2017, olmesartan-based treatments are no longer
reimbursed by French health insurance. Health authorities have recommended switch
to one of the "many effective, better tolerated and reimbursed alternatives". The
objective of this study was to evaluate the consequences on the prescription of
antihypertensive drugs in France and to evaluate the blood pressure control of
treated hypertensive patients after the switch from olmesartan to another
Angiotensin receptor blocker (ARB). METHODS: To evaluate antihypertensive
prescriptions, the French League Against Hypertension Survey (FLAHS) was
conducted in 2007, 2012 and 2017 by self-questionnaire sent by mail to a
representative panel of the population living in metropolitan France aged 35
years and over. Antihypertensive treatments were grouped by pharmacological
class. To evaluate blood pressure control in hypertensive patients treated with
olmesartan alone or in combination, 3 home blood pressure monitoring (HBPM) were
performed. The first and the second were performed without modification of the
dose of olmesartan. The third was performed 1 month after the switch to another
ARB. RESULTS: Antihypertensive prescriptions changed between 2007 and 2017. Beta
blockers decreased between 2007 and 2012 and then increased slightly. Between
2012 and 2017, ARB and diuretics decreased and ACE inhibitors (ACE-I) and calcium
antagonist (CA) drugs increased. Blood pressure control was assessed in 82
hypertensive patients aged 63+/-11 years treated with olmesartan. The difference
in SBP/DBP between the first 2 self-measurements was -0.96/-0.83mmHg. After
therapy switch, the 3rd self-measurement showed an increase in SBP/DBP of
3.4/1.2mmHg. In the subgroup of olmesartan-treated controlled hypertensive
patients, the switch to another ARB lead to uncontrolled hypertension for 20% of
patients with a 12.1mmHg increase in SBP. CONCLUSION: With the halt of
reimbursement of olmesartan, there was a decrease in the prescription of ARB in
France. When olmersartan was replaced by another ARB, a worse blood pressure
control was observed in treated hypertensive patients. The cessation of the
reimbursement of olmesartan has had consequences on the treatment of hypertension
in France.
PMID- 29807622
TI - Intravital imaging of cutaneous immune responses.
AB - Various immune cells are present in the skin and modulate the cutaneous immune
response. In order to capture such dynamic phenomena, intravital imaging is an
important technique and there is a possibility to provide substantial information
that is not available using conventional histological analysis. Multiphoton
microscope enable direct, three-dimensional, minimally invasive imaging of
biological samples with high spatiotemporal resolution, and now become the main
method for intravital imaging studies. Here, we will introduce the latest
knowledge obtained by intravital imaging of the skin.
PMID- 29807621
TI - Polygenic Risk Scores, School Achievement, and Risk for Schizophrenia: A Danish
Population-Based Study.
AB - BACKGROUND: Studies have suggested that poor school achievement is associated
with increased risk of schizophrenia; however, the possible genetic contribution
to this association is unknown. We investigated the possible effect of the
polygenic risk score (PRS) for schizophrenia (PRSSCZ) and for educational
attainment (PRSEDU) on the association between school performance and later
schizophrenia. METHODS: We conducted a case-cohort study on a Danish population
based sample born from 1987 to 1995 comprising 1470 individuals with
schizophrenia and 7318 subcohort noncases. Genome-wide data, school performance,
and family psychiatric and socioeconomic background information were obtained
from national registers and neonatal biobanks. PRSSCZ and PRSEDU were calculated
using discovery effect size estimates from a meta-analysis of 34,600 cases and
45,968 controls and 293,723 individuals. RESULTS: Higher PRSSCZ increased the
risk (incidence rate ratio [IRR]: 1.28; 95% confidence interval [CI], 1.19-1.36),
whereas higher PRSEDU decreased the risk of schizophrenia (IRR, 0.87; 95% CI,
0.82-0.92) per standard deviation. Not completing primary school and receiving
low school marks were associated with increased risk of schizophrenia (IRR, 2.92;
95% CI, 2.37-3.60; and IRR, 1.58; 95% CI, 1.27-1.97, respectively), which was not
confounded by PRSSCZ or PRSEDU. Adjusting for social factors and parental
psychiatric history, effects of not completing primary school and receiving low
school marks were attenuated by up to 25% (IRR, 2.19; 95% CI, 1.75-2.73; and IRR,
1.39; 95% CI, 1.11-1.75, respectively). Increasing PRSEDU correlated with better
school performance (p < .01; R2 = 7.6%). PRSSCZ and PRSEDU was significantly
negatively correlated (r = -.31, p < .01). CONCLUSIONS: The current PRS did not
account for the observed association between primary school performance and risk
of schizophrenia.
PMID- 29807623
TI - Macrophages in obesity.
AB - Obesity is a worldwide public health concern yet no safe therapies are currently
available. The activity of sympathetic neurons is necessary and sufficient for
fat mass reduction, via norepinephrine (NE) signaling. Macrophage accumulation in
the adipose tissue is thought to play the central role in the onset of obesity,
yet their relation to NE has been controversial. We have identified a population
of sympathetic neuron-associated macrophages (SAMs) that control obesity via the
uptake and clearing of NE. Here we focus on the neuro-immune regulation of
obesity by discussing the genetic, cellular and functional signatures of SAMs vis
a-vis adipose tissue macrophages (ATMs).
PMID- 29807624
TI - High throughput scaffold-based 3D micro-tumor array for efficient drug screening
and chemosensitivity testing.
AB - Oncology drug development is greatly hampered by inefficient drug screening using
2D culture. Herein, we present ready-to-use micro-scaffolds in 384-well format to
generate uniform 3D micro-tumor array (3D-MTA, CV < 0.15) that predicts in vivo
drug responses more accurately than 2D monolayer. 3D-MTA generated from both cell
lines and primary cells achieved high screen quality (Z' > 0.5), and were
compatible with standard high throughput and high content instruments.
Doxorubicin identified by 3D-MTA and 2D successfully inhibited tumor growth in
mice bearing lung cancer cell line (H226) xenografts, but not gemcitabine and
vinorelbine, which were selected solely by 2D. Resistance towards targeted
therapy was modeled on 3D-MTA, which elicited SK-BR-3 to express higher
proliferation-related genes in response to gefitinb, as compared to 2D. Screening
of 56 MAPK inhibitors identified pisamertib to synergistically improve
cytotoxicity effect in combination with gefitinib. Primary tumor cells derived
from patient-derived xenografts further attested concordance of drug response in
3D-MTA with in vivo response. 3D-MTA was further extended to realize
chemosensitivity testing using patient-derived cells. Overall, 3D-MTA
demonstrated strong potential to accelerate drug discovery and improve cancer
treatment by providing efficient drug screening.
PMID- 29807625
TI - A tale of two algal blooms: Negative and predictable effects of two common bloom
forming macroalgae on seagrass and epiphytes.
AB - Recent evidence suggests macroalgal blooms may play a role in the worldwide
decline in seagrass, but the shape of the functional relationship between
seagrass health and dominant bloom-forming macroalgae is poorly characterized. We
tested whether the impact of varying abundances of two cosmopolitan bloom-forming
macroalgal genera caused linear/quasi-linear or sudden threshold changes in
measures of eelgrass, Zostera marina, meadow health. We conducted two caging
experiments in a shallow Z. marina bed (~1 m depth) in Bodega Harbor, California,
USA where we maintained six densities within the range of natural abundances of
macroalgae, Ulva (0-4.0 kg m-2) and Gracilariopsis (0-2.0 kg m-2), as well as
uncaged controls over a 10-week period. Shoot density, blade growth, and epiphyte
load were measured every two weeks and algal treatments reset. We did not find
support for threshold transitions between algal abundance and measures of
seagrass bed health using sigmoidal and broken-stick regression analyses for each
data set; these models are commonly used to identify threshold patterns in
ecological shifts. Instead, final measurements of shoot density and epiphyte load
were best modelled as linear or slightly non-linear declines with increasing Ulva
abundance. A negative linear relationship also existed between shoot density and
Gracilariopsis abundance and a trend towards linear negative effects on epiphyte
load. The similar shape of these functional relationships across different types
of algae suggests the relationship may be generalizable. At algal abundances that
are commonly observed, we found smooth and predictable negative impacts to Z.
marina by decline in shoot density and potential impacts to food webs by loss of
epiphytes rather than sudden threshold shifts or "ecological surprises". Our work
contrasts with the growing body of literature suggesting highly non-linear shifts
in response to human impact; thus, it is important to broaden understanding of
shifts to more than just pattern but to the processes that drive different
patterns of shifts.
PMID- 29807626
TI - Radioecological aftermath: Maternal transfer of anthropogenic radionuclides to
shark progeny is sustained and enhanced well beyond maternal exposure.
AB - Cartilaginous dogfish Scyliorhinus canicula continued to transfer four
anthropogenic radionuclides (65Zn, 60Co, 134Cs and 241Am) to their eggs for over
six months, after two months of continued maternal exposure to radio-labelled
food. Unexpectedly, rates of radionuclide transfers to eggs and their yolk &
embryo during maternal depuration were equivalent for 60Co and 241Am, or even
enhanced for 65Zn and 134Cs by factors of c.200-350%, over two-three months,
compared to their maximal transfer rates at the end of the maternal uptake phase.
These rates of maternal transfer of radionuclides to yolk & embryo were
positively associated with their previously determined efficiencies of
assimilation (AE) from ingested radio-labelled food. Thus progeny may be more
exposed via maternal transfer to those radionuclides which have greater rates of
maternal assimilation from food. As maternal depuration continued beyond 60-80 up
to 180-200 days the transfers of all four radionuclides to eggs did diminish but
were still substantial at mean values of 18% for 241Am, 17% for 134Cs and 9 and
8% for 60Co and 65Zn, respectively. In the yolk & embryo the mean rates of
transfer over this period were further reduced for 241Am (13.5%), 60Co (2.5%) and
65Zn (5.8%), but were still appreciable for 134Cs at 56%. These results for S.
canicula have demonstrated a potential enhanced radiological risk of extended
duration due to the particular biokinetics of maternal transfer in this species.
This study draws further attention to the current paucity of knowledge about the
maternal: progeny transfer pathway, particularly in the context of the known
heightened radio-sensitivity of early life stages in fish and other vertebrates,
compared to later life stages.
PMID- 29807627
TI - The treatment of incomplete data: Reporting, analysis, reproducibility, and
replicability.
AB - Proper analysis and reporting of incomplete data continues to be a challenging
task for practitioners from various research areas. Recently Nguyen, Strazdins,
Nicholson and Cooklin (NSNC; 2018) evaluated the impact of complete case analysis
and multiple imputation in studies of parental employment and health. Their work
joins interdisciplinary efforts to educate and motivate scientists across the
research community to use principled statistical methods when analyzing
incomplete data. Although we fully support and encourage work in parallel to
NSNC's, we also think that further actions should be taken by the research
community to improve current practices. In this commentary, we discuss some
aspects and misconceptions related to analysis of incomplete data, in particular
multiple imputation. In our view, the missing data problem is part of a larger
problem of research reproducibility and replicability today. Thus, we believe
that improving analysis and reporting of incomplete data will make
reproducibility and replicability efforts easier. We also provide a brief
checklist of recommendations which could be used by members of the scientific
community, including practitioners, journal editors, and reviewers to set higher
publication standards.
PMID- 29807628
TI - Searching for better outcomes in septic shock trials.
PMID- 29807629
TI - Intravenous subdissociative-dose ketamine versus morphine for acute geriatric
pain in the Emergency Department: A randomized controlled trial.
AB - STUDY OBJECTIVE: We compare the analgesic efficacy and safety of subdissociative
intravenous-dose ketamine (SDK) versus morphine in geriatric Emergency Department
(ED) patients. METHODS: This was a prospective, randomized, double-blind trial
evaluating ED patients aged 65 and older experiencing moderate to severe acute
abdominal, flank, musculoskeletal, or malignant pain. Patients were randomized to
receive SDK at 0.3 mg/kg or morphine at 0.1 mg/kg by short intravenous infusion
over 15 min. Evaluations occurred at 15, 30, 60, 90, and 120 min. Primary outcome
was reduction in pain at 30 min. Secondary outcomes included overall rates of
adverse effects and incidence of rescue analgesia. RESULTS: Thirty patients per
group were enrolled in the study. The primary change in mean pain scores was not
significantly different in the ketamine and morphine groups: 9.0 versus 8.4 at
baseline (mean difference 0.6; 95% CI -0.30 to 1.43) and 4.2 versus 4.4 at 30 min
(mean difference -0.2; 95% CI -1.93 to1.46). Patients in the SDK group reported
higher rates of psychoperceptual adverse effects at 15, 30, and 60 min post drug
administration. Two patients in the ketamine group and one in the morphine group
experienced brief desaturation episodes. There were no statistically significant
differences with respect to changes in vital signs and need for rescue
medication. CONCLUSION: SDK administered at 0.3 mg/kg over 15 min provides
analgesic efficacy comparable to morphine for short-term treatment of acute pain
in the geriatric ED patients but results in higher rates of psychoperceptual
adverse effects. ClinicalTrials.gov Registration #: NCT02673372.
PMID- 29807630
TI - Characteristics associated with problematic pediatric transports to a regional
children's hospital.
PMID- 29807631
TI - Volume-based feeding improves nutritional adequacy in surgical patients.
AB - BACKGROUND: Critically ill surgical patients often receive inadequate enteral
nutrition using traditional rate-based feeding methods. An alternative strategy
is volume-based feeding, in which feeding rates are adjusted to deliver a goal
volume per day. METHODS: This prospective quality improvement study in a single
surgical, trauma, and burn ICU compared volume-based feeding to rate-based
feeding in a before-and-after design. Outcomes included calories and protein
delivered, length of stay, infection, and mortality. RESULTS: A total of 50
patients received volume-based feeding and 49 rate-based feeding. The volume
based group received a higher proportion of goal calories (84.5% vs. 73.4%; p =
0.005) and protein (86.2% vs. 77.4%; p = 0.01), as well as increased total daily
calories (1604 vs. 1356 kcal; p = 0.02). There was no difference in length of
stay, mortality, aspiration, or gastrointestinal intolerance. CONCLUSIONS: Volume
based feeding improved nutritional intake in critically ill surgical patients,
although this study was underpowered to determine differences in clinical
outcomes.
PMID- 29807632
TI - Trends in the clinical presentation, treatment, and survival for pancreatic
adenocarcinoma.
AB - BACKGROUND: We assessed trends in the clinical presentation, treatment, and
survival for pancreatic adenocarcinoma. METHODS: A retrospective cohort study
using data from the SEER program (2004-2014). All patients diagnosed with
pancreatic adenocarcinoma over 2 eras were included (A: 2004-2009 vs. B: 2010
2014). Outcomes of interest were the likelihood of metastatic disease at
diagnosis, utilization of resection, and overall survival. RESULTS: A total of
62,201 patients were included in this study [Era B - 31,998 (51.4%)]. Patients
diagnosed in Era B were significantly less likely to have metastatic pancreatic
cancer at diagnosis, and demonstrated improved long-term survival after risk
adjustment. Similarly, patients with non-metastatic pancreatic cancer that were
diagnosed in Era B were independently more likely to undergo resection. The
observed association between era of diagnosis and survival was independent of
resection status and the presence of metastatic disease. CONCLUSIONS: There have
been significant improvements in pancreatic cancer care over the last decade, as
evidenced by earlier diagnosis, increased utilization of surgery, and improvement
in overall survival for both resected and un-resected patients.
PMID- 29807634
TI - Letter From Editor: Lung Cancer.
PMID- 29807633
TI - Disparate outcomes of global emergency surgery - A matched comparison of patients
in developed and under-developed healthcare settings.
AB - INTRODUCTION: Access to surgical care is an essential element of health-systems
strengthening. This study aims to compare two diverse healthcare settings in
South Asia and the United States (US). METHODS: Patients at the Aga Khan
University Hospital (AKUH), Pakistan were matched to patients captured in the US
Nationwide Inpatient Sample (US-NIS) from 2009 to 2011. Risk-adjusted differences
in mortality, major morbidity, and LOS were compared using logistic and
generalized-linear (family gamma, link log) models after coarsened-exact
matching. RESULTS: A total of 2,244,486 patients (n = 4867 AKUH; n = 2,239,619 US
NIS) were included. Of those in the US-NIS, 990,963 (42.5%) were treated at urban
teaching hospitals, 332,568 (14.3%) in rural locations. Risk-adjusted odds of
reported mortality were higher for Pakistani patients (OR[95%CI]: 3.80[2.68
5.37]), while odds of reported complications were lower (OR[95%CI]: 0.56[0.48
0.65]). No differences were observed in LOS. The difference in outcomes was less
pronounced when comparing Pakistani patients to American rural patients.
CONCLUSION: These results demonstrate significant reported morbidity, mortality
differences between healthcare systems. Comparative assessments such as this will
inform global health policy development and support.
PMID- 29807635
TI - Management of Incidental Lung Nodules.
AB - The incidental pulmonary nodule is commonly encountered when interpreting chest
CTs. The management of pulmonary nodules requires a multidisciplinary approach
entailing integration of nodule size and features, clinical risk factors, and
patient preference and comorbidities. Guidelines have been issued for the
management of both solid and subsolid nodules, with the Fleischner Society
issuing revised guidelines in 2017. This article focuses on the CT imaging
characteristics and clinical behavior of pulmonary nodules, with review of the
current management guidelines that reflect this knowledge.
PMID- 29807636
TI - Understanding Lung-RADS 1.0: A Case-Based Review.
AB - Lung cancer is the leading cause of cancer death in both men and women in the
United States. The National Lung Screening Trial (NLST) demonstrated that low
dose computed tomography (CT) screening reduces lung cancer mortality by 20%
compared to screening with chest radiography. Currently, many institutions in the
US are implementing lung cancer screening programs. The use of lung-RADS as a
quality assurance tool allows standardization of lung cancer screening CT
lexicon, reporting and management recommendations, and reduces confusion in lung
cancer screening CT interpretations. Lung-RADS will also facilitate outcome
monitoring and future auditing of lung cancer screening programs, assist
research, and consequently refine and improve lung cancer screening practices.
Familiarity with lung-RADS version 1.0 is essential not only for radiologists
interpreting low-dose computed tomography screening studies, but all medical
personnel involved in multidisciplinary lung cancer screening programs. This
article reviews the Lung-RADS categories and management recommendations using a
case-based approach.
PMID- 29807638
TI - PET/CT Interpretative Pitfalls in Thoracic Malignancies.
AB - Applications of positron emission tomography/computed tomography (PET/CT) in the
thorax include the evaluation of solitary pulmonary nodules, staging and
restaging of oncologic patients, assessment of therapeutic response, and
detection of residual or recurrent disease. Accurate interpretation of PET/CT
requires knowledge of the physiological distribution of [18F]-fluoro-2-deoxy-D
glucose, as well as artifacts and quantitative errors due to the use of CT for
attenuation correction of the PET scan. Potential pitfalls include malignancies
that are PET negative and benign conditions that are PET positive. Awareness of
these artifacts and potential pitfalls is important in preventing
misinterpretation that can alter patient management.
PMID- 29807637
TI - Incidental Findings on Lung Cancer Screening: Significance and Management.
AB - Incidental findings are commonly detected by computed tomography, but
distinguishing which findings have little or no clinical consequence and which
are significant enough to require further evaluation is not always clear. This
distinction is important for patient care and to ensure appropriate use of health
care resources. This article aims to highlight some of the incidental findings
detected by low-dose CT (LDCT) performed for lung cancer screening and to present
an overview of currently accepted management recommendations.
PMID- 29807639
TI - Postoperative Imaging and Complications in Resection of Lung Cancer.
AB - Surgical resection offers the best hope of cure for patients with operable early
stage lung cancer. Wedge resection, segmentectomy, lobectomy, or pneumonectomy
may be performed depending on the size and location of the tumor. Radiologists
must be familiar with the types of surgical resection utilized in the treatment
of lung carcinoma and with their normal and abnormal postsurgical appearance on
imaging studies. Prompt identification of postoperative complications on imaging
is essential to appropriate patient management and helps to determine when
additional intervention is warranted.
PMID- 29807640
TI - Imaging of Radiation Treatment of Lung Cancer.
AB - Radiation therapy is an important modality in the treatment of patients with lung
cancer. Recent advances in delivering radiotherapy were designed to improve loco
regional tumor control by focusing higher doses on the tumor. More sophisticated
techniques in treatment planning include 3-dimensional conformal radiation
therapy, intensity-modulated radiotherapy, stereotactic body radiotherapy, and
proton therapy. These methods may result in nontraditional patterns of radiation
injury and various radiologic appearances that can be mistaken for recurrence,
infection and other lung diseases. Knowledge of radiological manifestations,
awareness of new radiation delivery techniques and correlation with radiation
treatment plans are essential in order to correctly interpret imaging in these
patients.
PMID- 29807641
TI - Imaging on Lung Cancer and Treatment with Targeted Therapy.
AB - The identification of genetic mutations known as oncogenic driver mutations that
lead to the growth and survival of cancer cells has been an important advance in
the field of oncology. Treatment in advanced non-small-cell lung cancer (NSCLC)
has transitioned from a more general approach to a more personalized approach
based on genetic mutations of the cancer itself. Common mutations detected in
patients with advanced NSCLC include mutations of epidermal growth factor
receptor and anaplastic lymphoma kinase (ALK). Targeted therapies are aimed at
the products of these gene mutations and include erlotinib (used in epidermal
growth factor receptor mutant NSCLC) and crizotinib (used in anaplastic lymphoma
kinase positive NSCLC). In this review, we discuss common genetic mutations in
advanced NSCLC, the role of targeted therapies, and imaging findings that can be
associated with various genetic mutations.
PMID- 29807642
TI - Immunotherapy in Lung Cancer and the Role of Imaging.
AB - Lung cancer is the leading cause of cancer-related mortality and accounts for
more deaths than breast, prostate, and colon cancers combined. Traditionally,
treatment options have included surgery, chemotherapy, and radiation therapy.
Continual advances in the characterization of lung cancer have resulted in the
development of effective immunotherapies. These agents help the immune system
recognize tumors as foreign, stimulate the immune system, and relieve the
inhibition that allows the growth and spread of cancer. Conventional response
criteria such as the World Health Organization criteria and Response Evaluation
Criteria in Solid Tumors (RECIST) have been used extensively in clinical trials;
however, these guidelines have been optimized for use with traditional cytotoxic
chemotherapy. Data from clinical trials employing immunotherapy has shown that
unique responses may be seen with these agents that are not fully captured by
conventional response criteria. In response to these observations, several
modified criteria have been developed for use with immunotherapy, including
immune-related response criteria, immune-related RECIST, and immune RECIST. As
the use of immunotherapy continues to grow, there is increasing recognition of
immune-related adverse events, which may manifest on imaging examinations.
PMID- 29807643
TI - De Novo HECW2 Mutation Associated With Epilepsy, Developmental Decline, and
Intellectual Disability: Case Report and Review of Literature.
PMID- 29807644
TI - Immunological In Vivo and In Vitro Investigations of Aqueous Extract of Stem Bark
of Pterocarpus erinaceus Poir (Fabaceae).
AB - BACKGROUND: Macrophages are the first cells to recognize invading foreign bodies
and are central to cell mediated and humoral immunity. Therefore, the activation
of macrophages is a key event for effective innate and adaptive immunity.
Pterocarpus erinaceus has been reported to control infectious diseases, but the
mechanism remains to be elucidated. In this study, we demonstrated the immune
modulatory effect of aqueous extract of P. erinaceus using human macrophages and
lymphocytes, as well as mice. METHODS: Hot water was used to extract P. erinaceus
from the stem bark. Its effect on lymphocytes was measured by evaluating
proliferative response and delayed hypersensitivity. Phagocytic activity of
macrophages were measured based on neutral red uptake assay, nitric oxide
production and myeloperoxidase and phosphatase acid activity. Hematopoietic and
infectious activities were analyzed using the effect on infectious stress and
chloramphenicol-induced leucopenic mice model. RESULTS: Aqueous extract showed
stronger stimulatory effects on the neutral red uptake, production of nitric
oxide and phosphatase acid activity in lipopolysaccharide-activated macrophages.
In addition, aqueous extract significantly stimulated the proliferation of
phytohemagglutinin-activated lymphocytes, enhanced delayed hypersensitivity
response to erythrocytes and attenuated infection-induced fever. Furthermore,
aqueous extract also significantly increased the rate of recovery of white blood
cell levels in chloramphenicol-induced leucopenia mice. CONCLUSIONS: The results
suggest that aqueous extract of P. erinaceus stem bark is able to modulate the
immune system and has potential effects in clinical conditions when an immune
enhancing and anti-infectious effect is desired.
PMID- 29807645
TI - Editorial overview: Genome architecture and expression: Mobile elements at work.
PMID- 29807646
TI - Redefining medicine from an anticipatory perspective.
AB - The meaning of the concept of anticipation escapes the majority of those
concerned with change, in particular those who study health. To characterize only
genetic disorders, such as conditions with progressively earlier symptoms and
higher intensity of disease from generation to generation, in terms of
anticipatory expression is rather limited and limiting. Practitioners of medical
care could benefit from understanding anticipation as definitory of the living.
This view explains why diminished anticipatory expression, in all forms of the
living, results in conditions calling for medical attention. So far, medicine has
opted for a deterministic-reductionist perspective that reduces the living to a
machine. Medical care, stuck in the grey zone between success and failure, should
overcome its reactive obsession. From an almost exclusively mechanistic activity,
it should evolve into a holistic proactive practice of well-being that reflects
awareness of anticipation.
PMID- 29807647
TI - Laparoscopic Nissen fundoplication.
PMID- 29807648
TI - Risk-stratified clinical pathways decrease the duration of hospitalization and
costs of perioperative care after pancreatectomy.
AB - BACKGROUND: Postoperative pancreatic fistula is associated with adverse events,
increased duration of stay and hospital costs. We developed perioperative care
pathways stratified by postoperative pancreatic fistula risk with the aims of
minimizing variations in care, improving quality, and decreasing costs. STUDY
DESIGN: Three unique risk-stratified pancreatectomy clinical pathways-low-risk
pancreatoduodenectomy, high-risk pancreatoduodenectomy, and distal pancreatectomy
were developed and implemented. Consecutive patients treated after implementation
of the risk-stratified pancreatectomy clinical pathways were compared with
patients treated immediately prior. Duration of stay, rates of perioperative
adverse effects, discharge disposition, and hospital readmission, as well as the
associated costs of care, were evaluated. RESULTS: The median hospital stay after
pancreatectomy decreased from 10 to 6 days after implementation of the risk
stratified pancreatectomy clinical pathways (P < .001), and the median cost of
index hospitalization decreased by 22%. Decreased changes in median hospital stay
and costs of hospitalization were observed in association with low-risk
pancreatoduodenectomy (P < .05) and distal pancreatectomy (P < .05), but not high
risk pancreatoduodenectomy. The rates of 90-day adverse events, grade B/C
postoperative pancreatic fistula, discharge to a facility other than home, or
readmission did not change after implementation. CONCLUSION: Implementation of
risk-stratified pancreatectomy clinical pathways decreased median stay and cost
of index hospitalization after pancreatectomy without unfavorably affecting rates
of perioperative adverse events or readmission, or discharge disposition.
Outcomes were most favorably improved for low-risk pancreatoduodenectomy and
distal pancreatectomy. Additional work is necessary to decrease the rate of
postoperative pancreatic fistula, minimize variability, and improve outcomes
after high-risk pancreatoduodenectomy.
PMID- 29807649
TI - Limited adoption of abdominal aortic aneurysm screening guidelines associated
with no improvement in aneurysm rupture rate.
AB - BACKGROUND: Screening for abdominal aortic aneurysms can prevent life-threatening
rupture. The Screening Abdominal Aortic Aneurysms Very Efficiently Act was
implemented in 2007. This provides for a one-time abdominal aortic aneurysm
screening. We hypothesize that the Screening Abdominal Aortic Aneurysms Very
Efficiently Act has increased the screening rate and identified more abdominal
aortic aneurysms, leading to fewer ruptured abdominal aortic aneurysms. METHODS:
Centers for Medicare and Medicaid Services data were used to estimate the number
of Medicare enrollees eligible for screening and the number screened. The
Nationwide Inpatient Sample database was queried for discharges involving
abdominal aortic aneurysm rupture and/or repair from the years 2000 to 2015 to
assess national trends in abdominal aortic aneurysm admissions. The main outcomes
were abdominal aortic aneurysm screening rates and standardized yearly incidence
of abdominal aortic aneurysm rupture and abdominal aortic aneurysm repairs
(stratified by open and endovascular). RESULTS: The number of patients screened
increased from 9,884 (2007) to 95,243 (2015). The screening rate increased from
0.2% (2007) to 1.4% (2015) (P < .001) of eligible patients. The number of
abdominal aortic aneurysm ruptures increased slightly after the initiation of the
Screening Abdominal Aortic Aneurysms Very Efficiently Act from 8.3 per 100,000 to
9.4 per 100,000 (incidence rate ratio 1.12, 95% confidence interval 1.06-1.19).
The average yearly change in abdominal aortic aneurysm ruptures was not
significant (95% confidence interval -0.01 to 0.00, P = .30). The number of open
abdominal aortic aneurysm repairs declined, while endovascular repairs increased
during the study period. CONCLUSION: The Screening Abdominal Aortic Aneurysms
Very Efficiently Act has increased the number of patients being screened;
however, screening rates remain low. The number of patients presenting with
rupture has not decreased. Screening strategies need to be reassessed or made
more widely available for this legislation to have an impact.
PMID- 29807650
TI - Nodal skip metastasis may undermine the predictive power of topographic pN
classification in esophageal squamous cell carcinoma.
PMID- 29807652
TI - Selective and non-selective OT receptor agonists induce different locomotor
behaviors in male rats via central OT receptors and peripheral V1a receptors.
AB - Oxytocin (OT) continues to inspire much research due to its diverse physiological
effects. While the best-understood actions of OT are uterine contraction and milk
ejection, OT is also implicated in maternal and bonding behaviors, and
potentially in CNS disorders such as autism, schizophrenia, and pain. The
dissection of the mechanism of action of OT is complicated by the fact that this
peptide activates not only its cognate receptor but also vasopressin type 1a
(V1a) receptors. In this study, we evaluated OT and a selective OT receptor (OTR)
agonist, FE 204409, in an automated assay that measures rat locomotor activity.
The results showed: 1) Subcutaneous (sc) administration of OT decreased locomotor
behavior (distance traveled, stereotypy, and rearing). This effect was reversed
by a V1a receptor (V1aR) antagonist ([Pmp1,Tyr(ME)2]AVP, sc), suggesting that OT
acts through peripheral V1aR to inhibit locomotor activity. 2) A selective OTR
agonist (FE 204409, sc) increased stereotypy. This effect was reversed by an OTR
antagonist dosed icv, suggesting a central OTR site of action. Our findings
identify distinct behavioral effects for OT and the selective agonist FE 204409,
adding to the growing body of evidence that the V1aR mediates many effects
attributed to OT and that peptides administered systemically at supra
physiological doses may activate receptors in the brain. Our studies further
emphasize the importance of utilizing selective agonists and antagonists to
assess therapeutic indications.
PMID- 29807651
TI - Persistent inflammation, immunosuppression, and catabolism and the development of
chronic critical illness after surgery.
AB - As early as the 1990s, chronic critical illness, a distinct syndrome of
persistent high-acuity illness requiring management in the ICU, was reported
under a variety of descriptive terms including the "neuropathy of critical
illness," "myopathy of critical illness," "ICU-acquired weakness," and most
recently "post-intensive care unit syndrome." The widespread implementation of
targeted shock resuscitation, improved organ support modalities, and evidence
based protocolized ICU care has resulted in significantly decreased in-hospital
mortality within surgical ICUs, specifically by reducing early multiple organ
failure deaths. However, a new phenotype of multiple organ failure has now
emerged with persistent but manageable organ dysfunction, high resource
utilization, and discharge to prolonged care facilities. This new multiple organ
failure phenotype is now clinically associated with the rapidly increasing
incidence of chronic critical illness in critically ill surgery patients.
Although the underlying pathophysiology driving chronic critical illness remains
incompletely described, the persistent inflammation, immunosuppression, and
catabolism syndrome has been proposed as a mechanistic framework in which to
explain the increased incidence of chronic critical illness in surgical ICUs. The
purpose of this review is to provide a historic perspective of the epidemiologic
evolution of multiple organ failure into persistent inflammation,
immunosuppression, and catabolism syndrome; describe the mechanism that drives
and sustains chronic critical illness, and review the long-term outcomes of
surgical patients who develop chronic critical illness.
PMID- 29807654
TI - Corrigendum to "Polymer hydrogel from carboxymethyl guargum and carbon nanotube
for sustained transdermal release of diclofenac sodium" [Int. J. Biol. Macromol.
49(5) (2011) 885-93].
PMID- 29807653
TI - Apelin, a promising target for Alzheimer disease prevention and treatment.
AB - Alzheimer's disease (AD) is a progressive neurodegenerative disease with high
outbreak rates. It is estimated that about 35 million individuals around the
world suffered from dementia in 2010. AD is expected to increase twofold every 20
years and, by 2030, approximately 65 million people could suffer from this
illness. AD is determined clinically by a cognitive impairment and pathologically
by the production of amyloid beta (Abeta), neurofibrillary tangles, toxic free
radicals and inflammatory mediators in the brain. There is still no treatment to
cure or even alter the progressive course of this disease; however, many new
therapies are being investigated and are at various stages of clinical trials.
Neuropeptides are signaling molecules used by neurons to communicate with each
other. One of the important neuropeptides is apelin, which can be isolated from
bovine stomach. Apelin and its receptor APJ have been shown to broadly
disseminate in the neurons and oligodendrocytes of the central nervous system.
Apelin-13 is known to be the predominant neuropeptide in neuroprotection. It is
involved in the processes of memory and learning as well as the prevention of
neuronal damage. Studies have shown that apelin can directly or indirectly
prevent the production of Abeta and reduce its amounts by increasing its
degradation. Phosphorylation and accumulation of tau protein may also be
inhibited by apelin. Apelin is considered as an anti-inflammatory agent by
preventing the production of inflammatory mediators such as interleukin-1beta and
tumor necrosis factor alpha. It has been shown that in vivo and in vitro anti
apoptotic effects of apelin have prevented the death of neurons. In this review,
we describe the various functions of apelin associated with AD and present an
integrated overview of recent findings that, in general, recommend apelin as a
promising therapeutic agent in the treatment of this ailment.
PMID- 29807655
TI - An Update on the Acinetobacter baumannii Regulatory Circuitry.
AB - Acinetobacter baumannii adapts to different environmental conditions by
expressing complex regulatory circuitry. Recent studies revealed that this
circuitry includes regulatory factors that control the emergence of distinct
bacterial subpopulations, which are critical for the capacity of this pathogen to
persist in medical settings and cause infections in compromised hosts.
PMID- 29807656
TI - Elective oocyte cryopreservation: an ounce of prevention?
PMID- 29807657
TI - To freeze or not to freeze: decision regret and satisfaction following elective
oocyte cryopreservation.
AB - OBJECTIVE: To characterize the degree of decision regret following elective
oocyte cryopreservation (EOC) for social indications, and identify factors
associated with regret. DESIGN: Retrospective cohort survey study. SETTING:
Academic center. PATIENTS: Two hundred one women who underwent EOC for fertility
preservation between 2012 and 2016. INTERVENTIONS: None. MAIN OUTCOME MEASURES:
Decision Regret Scale (DRS) score, from 0-100, with a cut-off >25 indicative of
moderate to severe regret; and attitudes regarding decision satisfaction.
RESULTS: Median DRS score was 0 (interquartile range 0-15) and the mean was 10
(range 0-90). Thirty-three women (16%) experienced moderate to severe decision
regret. Factors associated with decision regret included: number of eggs frozen,
perceived adequacy of information prior to EOC, adequacy of emotional support
during EOC, and patient-estimated probability of achieving a live birth using
their banked eggs. In a multivariate logistic model, increased perceived adequacy
of information (adjusted odds ratio 0.63, 95% confidence interval 0.42-0.97) and
patient-estimated probability of achieving a live birth (adjusted odds ratio
0.80, 95% confidence interval 0.67-0.96) were associated with reduced odds of
regret. One hundred sixty-seven women (88%) reported increased control over
reproductive planning following EOC. One hundred eighty-three (89%) affirmed they
will be happy they froze eggs, even if they never use them. CONCLUSIONS: The risk
of decision regret following EOC is non-negligible. Low number of mature oocytes
cryopreserved is a risk factor for increased regret, while perceptions of
adequate information and emotional support, and increased patient-estimates of
achieving a live birth using banked eggs are associated with reduced risk of
regret.
PMID- 29807658
TI - Model-based adaptive sliding mode control of the subcritical boiler-turbine
system with uncertainties.
AB - As higher requirements are proposed for the load regulation and efficiency
enhancement, the control performance of boiler-turbine systems has become much
more important. In this paper, a novel robust control approach is proposed to
improve the coordinated control performance for subcritical boiler-turbine units.
To capture the key features of the boiler-turbine system, a nonlinear control
oriented model is established and validated with the history operation data of a
300 MW unit. To achieve system linearization and decoupling, an adaptive feedback
linearization strategy is proposed, which could asymptotically eliminate the
linearization error caused by the model uncertainties. Based on the linearized
boiler-turbine system, a second-order sliding mode controller is designed with
the super-twisting algorithm. Moreover, the closed-loop system is proved robustly
stable with respect to uncertainties and disturbances. Simulation results are
presented to illustrate the effectiveness of the proposed control scheme, which
achieves excellent tracking performance, strong robustness and chattering
reduction.
PMID- 29807659
TI - Sparsity-based signal extraction using dual Q-factors for gearbox fault
detection.
AB - Early detection of faults developed in gearboxes is of great importance to
prevent catastrophic accidents. In this paper, a sparsity-based feature
extraction method using the tunable Q-factor wavelet transform with dual Q
factors is proposed for gearbox fault detection. Specifically, the proposed
method addresses the problem of simultaneously extracting periodic transients and
high-resonance component from noisy data for the gearboxes fault detection
purpose. Firstly, a sparse optimization problem is formulated to jointly estimate
the useful components from the noisy observation. In order to promote wavelet
sparsity, non-convex regularizations are employed in the cost function of the
optimization problem. Then, a fast converging, computationally efficient
iterative algorithm which termed SpaEdualQA (the sparsity-based signal extraction
algorithm using dual Q-factors) is developed to solve the formulated optimization
problem. The derivation of the proposed fast algorithm combines the split
augmented Lagrangian shrinkage algorithm (SALSA) with majorization-minimization
(MM). Finally, the effectiveness of the proposed SpaEdualQA is validated by
analyzing numerical signals and real data collected from engineering fields. The
results demonstrated that the proposed SpaEdualQA can effectively extract
periodic transients and high-resonance component from noisy vibration signals.
PMID- 29807660
TI - Supraglottoplasty in children with laryngomalacia: A review and parents'
appraisal.
AB - PURPOSE: To assess the parents' perspective concerning the children's clinical
picture before and after supraglottoplasty for the treatment of laryngomalacia
(LM). MATERIALS AND METHODS: Retrospective study in 110 children diagnosed with
LM followed at the Pediatric Otorhinolaryngology outpatient clinics of S. Joao
Hospital Center, between 2008 and 2016. Children who underwent supraglottoplasty
were reviewed in terms of demographics, symptoms, comorbidities, treatment and
follow-up. Parents were interviewed and filled out a structured questionnaire
designed to evaluate their perception of the child's clinical picture and their
degree of comfort before and after surgery. RESULTS: Thirty-one children (28,2%)
underwent supraglottoplasty at a median age of 6 months-old. Twelve patients had
one or more medical comorbidities. Stridor was present in all children on the pre
operative period and resolved in 92,3% of the cases after supraglottoplasty;
shortness of breath persisted in 3,8% in contrast to the previous 57,7%; and
feeding difficulties remained in 15,4% children against the 65,4% before the
procedure. Failure in thriving was also a pre-operative complaint, that recovered
as reported by parents in all children after supraglottoplasty. No surgical
complications were reported, and the median hospital stay was two days. In a 0 to
10 points scale, the median level of the parents' comfort with their child's
clinical picture before supraglottoplasty was one point which was significantly
worse than the mean level of ten points after surgery (p < 0.001). CONCLUSIONS:
In severe cases, LM can have a strong negative impact on family dynamics and
functioning. In selected cases, supraglottoplasty can be a safe and effective
treatment option which is associated with a high degree of parental satisfaction.
PMID- 29807661
TI - Stress-induced reliance on habitual behavior is moderated by cortisol reactivity.
AB - Instrumental learning, i.e., learning that specific behaviors lead to desired
outcomes, occurs through goal-directed and habit memory systems. Exposure to
acute stress has been shown to result in less goal-directed control, thus
rendering behavior more habitual. The aim of the current studies was to replicate
and extend findings on stress-induced prompting of habitual responding and
specifically focused on the role of stress-induced cortisol reactivity. Study 1
used an established outcome devaluation paradigm to assess goal-directed and
habitual control. Study 2 utilized a modified version of this paradigm that was
intended to establish stronger habitual responding through more extensive reward
training and applying a relevant behavioral devaluation procedure (i.e., eating
to satiety). Both studies failed to replicate that stress overall, i.e.,
independent of cortisol reactivity, shifted behavior from goal-directed to
habitual control. However, both studies found that relative to stress-exposed
cortisol non-responders and no-stress controls, participants displaying stress
induced cortisol reactivity displayed prominent habitual responding. These
findings highlight the importance of stress-induced cortisol reactivity in
facilitating habits.
PMID- 29807662
TI - Corrigendum to "Application of a short term air quality action plan in Madrid
(Spain) under a high-pollution episode - Part I: Diagnostic and analysis from
observations" [Sci. Total Environ. 635C (2018) 1561-1574].
PMID- 29807663
TI - Corrigendum to "Application of a short term air quality action plan in Madrid
(Spain) under a high-pollution episode - Part II: Assessment from multi-scale
modelling" [Sci. Total Environ. 635C (2018) 1575-1585].
PMID- 29807664
TI - The future of nutrition care in hospitals.
PMID- 29807665
TI - Beyond vaccines: improving survival rates in the DRC Ebola outbreak.
PMID- 29807666
TI - Reversal of coronary atherosclerosis: Role of life style and medical management.
AB - Atherosclerotic coronary artery disease continues to be a major global health
burden in developing and developed nations. Newer imaging techniques afford an
accurate assessment of plaque burden and characteristics as well as the effects
of treatment. Lifestyle interventions and pharmacotherapy remain the mainstay of
non-interventional treatment of coronary atherosclerosis, with reversal seen in
many studies. In addition, control of modifiable risk factors can be beneficial.
As a better understanding of atherosclerosis pathophysiology is achieved, new
therapeutic targets and combination therapies may join the armamentarium that
promotes regression of atherosclerotic plaque. We present a review of the
literature regarding lifestyle and medical therapies that can promote the
reversal of coronary atherosclerosis.
PMID- 29807667
TI - Post-traumatic fluctuant pretibial wound on the left leg.
PMID- 29807668
TI - Use of Galactomannan Antigen and Aspergillus DNA Real-time Polymerase Chain
Reaction as Routine Methods for Invasive Aspergillosis in Immunosuppressed
Children in Greece.
AB - PURPOSE: Invasive aspergillosis (IA) remains a critical issue in immunosuppressed
patients. Detection of galactomannan antigen (GM) in serum samples is included as
a criterion of IA by the European Organization for the Research and Treatment of
Cancer/Mycoses Study Group. Nevertheless, Aspergillus DNA detection by polymerase
chain reaction (PCR) has not yet been included because clinical data validation
is lacking. The present study describes the simultaneous performance of GM and
PCR tests as routine methods for IA diagnosis. METHODS: During the period January
2012 to December 2017, a total of 156 white children hospitalized in a tertiary
children's hospital of Athens (97 boys and 59 girls; age range, 5 months-14
years) were examined as possible cases of IA. Patients were classified into 4
groups based on their underlying diseases: hematologic malignancies (107 of 156
[68.6%]), solid tumors (16 of 156 [10.2%]), primary immunodeficiency (12 of 156
[7.7%]), and hereditary immunodeficiency (21 of 156 [13.5%]). GM detection was
made with the Platelia Aspergillus Ag kit (Bio-Rad Laboratories, Hercules,
California). Sera with a cut-off index >=0.5 on at least 2 separate blood
collections were considered positive. Serum detection of Aspergillus DNA was
conducted with real-time PCR MycAssay Aspergillus assay (Myconostica Ltd,
Cambridge, United Kingdom). PCR positivity was determined by using a threshold of
38 cycles in at least 1 serum sample. Four or more successive samples per patient
were tested. FINDINGS: Overall, 28 of 156 patients (53 of 744 serum samples) were
found positive. Eleven patients were positive using both methods (24 samples).
Four children were positive only by PCR (6 samples), whereas 13 (23 samples) were
positive only with GM in consecutive samples. Agreement of both methods,
GM(+)/PCR(+) or GM(-)/PCR(-), was found in 139 patients (90% of total patients)
and 715 samples (96.1% of total samples). The agreement of both methods was
found: (1) 85% in patients with hematologic malignancies; (2) 100% in patients
with solid tumors; (3) 97.5% in patients with primary immunodeficiency; and (4)
98.8% in patients with hereditary immunodeficiency. Overall disagreement was
observed in 17 patients, in which the positive result in any of the 2 methods was
estimated as true positive in conjunction with radiologic and other clinical
findings. IMPLICATIONS: The combination of GM and PCR, provided high diagnostic
accuracy in consecutive samples (twice a week). Clinical, radiologic, and other
laboratory findings should be taken into consideration in the evaluation of GM
and PCR.
PMID- 29807669
TI - Improvement in dietary inflammatory index score after 6-month dietary
intervention is associated with reduction in interleukin-6 in patients with
coronary heart disease: The AUSMED heart trial.
AB - The Dietary Inflammatory Index (DII) was designed to measure the inflammatory
potential of one's diet. Evidence from observational studies supports that a
higher (ie, more pro-inflammatory) DII score is associated with inflammation and
cardiometabolic diseases. We hypothesized that reduction in DII score would
improve inflammatory cytokines. To test this hypothesis, we assessed data from a
dietary intervention trial in patients with diagnosed coronary heart disease
(CHD) to determine whether reduction in DII scores through healthy diets is
linked to improvement in inflammatory and related cardiometabolic risk markers.
Participants (n = 65, 83% male) were randomized to a Mediterranean diet or low
fat diet intervention for 6-months. Anthropometry, body composition and blood
markers were measured and DII scores were calculated from 7-day food diaries.
After 6-months, in participants who completed the intervention (n = 56),
reduction in DII score correlated significantly with reduction in high
sensitivity interleukin-6 (hs-IL-6) (r = 0.34, 95% CI 0.05, 0.56) and
triglycerides (r = -0.30, 95% CI -0.51, -0.06) but not with C-reactive protein,
adiponectin, glucose, body composition or anthropometry. The adjusted mean
difference in hs-IL-6 and triglycerides between the highest and lowest tertiles
of DII improvement was -0.47 pg/mL (95% CI 0.41, 1.10) and +0.30 mmol/L (95% CI
1.06, 1.59), respectively. The present study found that improvement in DII score
through healthy diet intervention was linked with reduced levels of hs-IL-6, but
also increased triglycerides, in adult Australian patients with CHD. Future
research is warranted to investigate the impact of change in DII on
cardiometabolic risk markers in larger cohorts, other disease populations or
healthy subjects and with longer-term follow up.
PMID- 29807670
TI - Reducing inpatient suicide rates: The success of a suicide management programme
in a general hospital.
PMID- 29807671
TI - Examining spiritual support among African American and Caucasian Alzheimer's
caregivers: A risk and resilience study.
AB - Research shows African Americans at greater risk of developing Alzheimer's
disease (AD) compared to the Caucasian population, suggesting African American AD
caregivers are rising in numbers at a greater rate than Caucasian counterparts.
Over a decade ago, an article in Geriatric Nursing revealed spiritual well-being
differences among these caregiver groups. The purpose of this study was a quasi
follow-up, utilizing a larger caregiver sample to test spiritual support as a
moderator via a risk-and-resilience framework. Secondary data analysis from a
sample of 691 AD caregivers examined data on demographics and standardized
measures of spiritual support, caregiver burden, and psychological resilience.
One-third of the sample reported as African American. Resilience negatively
regressed, though not significantly, on caregiving burden among both groups.
Spiritual support positively, significantly impacted resilience among both
groups, slightly stronger among African Americans. Spiritual support did not
significantly moderate risk with either group. Implications for professional
healthcare practice are discussed.
PMID- 29807672
TI - Improving medication adherence in the pediatric population using integrated care
of companion animals.
AB - Medication non-adherence occurs in more than half of children with chronic
conditions. Unfortunately, most strategies for improving adherence have had
limited success in the pediatric population highlighting the need for novel
interventions that establish healthy self-management habits for children and
adolescents. In this paper we discuss innovative strategies to improve adherence
by embedding a medical regimen within a pet care routine, thereby capitalizing on
the benefits of a structured habit while providing opportunities for development
of autonomy in children and fostering collaborative parent interactions.
PMID- 29807674
TI - Impact of cefazolin co-administration with vancomycin to reduce development of
vancomycin-intermediate Staphylococcus aureus.
AB - OBJECTIVE: Development of antimicrobial resistance during monotherapy of
complicated methicillin-resistant Staphylococcus aureus bacteremia is problematic
due to cross-resistance between vancomycin (VAN) and daptomycin, the only
approved agents for this condition. Our objective was to demonstrate that
development of resistance under conditions of suboptimal VAN (200 mg q 12 h)
exposure in S. aureus can be attenuated by addition of cefazolin (CFZ). METHODS:
Two strains of S. aureus, 1 methicillin-susceptible Staphylococcus aureus (MSSA)
(RN9120) and 1 methicillin-resistant S. aureus (MRSA) (JH1), were evaluated. The
organisms were exposed to subtherapeutic VAN concentrations in a 1-compartment
pharmacokinetic/pharmacodynamic model combined with recycling in the presence and
absence of CFZ. Changes in MIC to glyco/lipopeptides and beta-lactams along with
susceptibility to human cathelicidin LL-37 killing were studied. Population
analysis profiles (PAPs) were performed to detect changes in VAN
heteroresistance. RESULTS: VAN MIC of both organisms increased from 1 to 4 mg/L
within 144 h under subtherapeutic VAN exposure. Increase in VAN MIC was
associated with increased glyco/lipopeptides MICs. Additionally, increased
survival in LL-37 killing assays from 40% to >90% accompanied the increase in VAN
MIC. Addition of CFZ prevented the emergence of VAN-intermediate S. aureus. PAPs
demonstrated an attenuation of VAN area under the curve shift (reduced organism
selection with higher MICs values) when suboptimal VAN exposure was accompanied
with CFZ compared to VAN alone (MSSA 17.81 versus 36.027, MRSA -0.35 versus
17.92, respectively). Given the emerging data on the clinical benefits of beta
lactam adjunctive therapy in refractory MRSA bacteremia, additional studies on a
larger collection of clinical isolates are needed to establish the utility of VAN
plus CFZ for treatment of MRSA bacteremia.
PMID- 29807673
TI - Long-term outcomes of en-bloc renal transplantation from paediatric donors into
adult recipients.
AB - INTRODUCTION: Transplant units are exploring strategies to increase the
availability of donor kidneys. The use of en-bloc kidney transplantation (EBKT)
from paediatric donors represents one potential solution. We present our long
term experience with paediatric EBKT among adult recipients. METHODS: Twenty
three paediatric to adult EBKTs were performed by the Irish National Kidney
Transplant Service between 1990 and 2016. The primary outcome variable was long
term en-bloc allograft survival rate. Secondary outcome variables were incidence
of allograft thrombosis, incidence of delayed graft function, overall patient
survival and serum creatinine at most recent follow-up. Outcomes were compared to
single kidney transplant recipients from the same time period. RESULTS: Mean
donor age was 1.8 +/- 0.97 years (range: 7 months to 3 years). Recipient age was
46 +/- 12 years. Mean follow-up was 133 +/- 64 months (range: 36-264). Overall
graft survival was 100%, 91% and 80% after 1, 5 and 10 years respectively,
compared to 92%, 79% and 61% in single kidney transplant recipients (p = 0.04).
There were 5 cases of allograft failure, 3 due to death from unrelated causes.
Median time to graft failure was 108 months (range: 36-172). Mean serum
creatinine was 72.6 +/- 21.6 MUmol/l after the follow-up period. There were no
cases of graft thrombosis or delayed graft function. Overall survival was 96.4%,
88.0%, 76.23% and 50.5% at 1, 5, 10 and 20 years respectively. CONCLUSION: En
bloc paediatric kidney transplantation is associated with excellent long-term
allograft and patient survival and is a feasible strategy for increasing the
transplant donor pool in carefully selected recipients.
PMID- 29807675
TI - The role of Impella in high-risk percutaneous coronary intervention.
AB - Percutaneous coronary intervention (PCI) has been increasingly performed in
patients with severely depressed left ventricular function and complex coronary
lesions, including multivessel disease. Mechanical ventricular assist devices
play an increasingly important role in high-risk PCI. Impella CP(r) (Abiomed,
Inc.) is a new percutaneous left ventricular assist device, designed for short
term circulatory support. It is a promising option for hemodynamic support in
high-risk procedures and can potentially reduce PCI-related complications. The
authors present two case reports of high-risk PCI using the Impella CP(r) device.
In the setting of low coronary flow reserve, severely depressed left ventricular
function and potential hemodynamic instability, the Impella CP(r) device has made
it possible to maintain hemodynamic stability during procedures, without being
associated with vascular complications.
PMID- 29807676
TI - Modified shock index: A bedside clinical index for risk assessment of ST-segment
elevation myocardial infarction at presentation.
AB - INTRODUCTION: Prompt identification of higher-risk patients presenting with ST
segment elevation myocardial infarction (STEMI) is crucial to pursue a more
aggressive approach. OBJECTIVE: We aimed to assess whether the modified shock
index (MSI), the ratio of heart rate to mean arterial pressure, could predict six
month mortality among patients admitted with STEMI. METHODS: A retrospective
observational cohort study was performed in a single center including 1158
patients diagnosed with STEMI, without cardiogenic shock on admission, between
July 2009 and December 2014. They were divided into two groups: group 1 -
patients with MSI<0.93 (72%); group 2 - patients with MSI>=0.93 (28%). The
primary endpoint was six-month all-cause mortality. RESULTS: MSI>=0.93 identified
patients who were more likely to have signs of heart failure (p=0.002), anemia
(p<0.001), renal insufficiency (p=0.014) and left ventricular systolic
dysfunction (p=0.045). They more often required inotropic support (p<0.001),
intra-aortic balloon pump (p<0.001) and mechanical ventilation (p<0.001).
Regarding in-hospital adverse events, they had a higher prevalence of malignant
arrhythmias (p=0.01) and mechanical complications (p=0.027). MSI>=0.93 was an
independent predictor of overall six-month mortality (adjusted HR 2.00, 95% CI
1.20-3.34, p=0.008). CONCLUSION: MSI was shown to be a valuable bedside tool
which can rapidly identify high-risk STEMI patients at presentation.
PMID- 29807677
TI - Hippocampal sclerosis: volumetric evaluation of the substructures of the
hippocampus by magnetic resonance imaging.
AB - OBJECTIVE: The pathological classification of hippocampal sclerosis is based on
the loss of neurons in the substructures of the hippocampus. This study aimed to
evaluate these substructures in patients with hippocampal sclerosis by magnetic
resonance imaging and to compare the usefulness of this morphological analysis
compared to that of volumetric analysis of the entire hippocampus. MATERIAL AND
METHODS: We included 25 controls and 25 patients with hippocampal sclerosis whose
diagnosis was extracted from the institutional epilepsy board. We used FreeSurfer
to process the studies and obtain the volumetric data. We evaluated overall
volume and volume by substructure: fimbria, subiculum, presubiculum, hippocampal
sulcus, CA1, CA2-CA3, CA4, and dentate gyrus (DG). We considered p < 0.05
statistically significant. RESULTS: We observed statistically significant
decreases in the volume of the hippocampus ipsilateral to the epileptogenic focus
in 19 (76.0%) of the 25 cases. With the exception of the hippocampal sulcus, we
observed a decrease in all ipsilateral hippocampal substructures in patients with
right hippocampal sclerosis (CA1, p=0.0223; CA2-CA3, p=0.0066; CA4-GD, p=0.0066;
fimbria, p=0.0046; presubiculum, p=0.0087; subiculum, p=0.0017) and in those with
left hippocampal sclerosis (CA1, p<0.0001; CA2-CA3, p<0. 0001; CA4-GD, p<0. 0001;
fimbria, p=0.0183; presubiculum, p<0. 0001; subiculum, p<0. 0001). In four
patients with left hippocampal sclerosis, none of the substructures had
statistically significant alterations, although a trend toward atrophy was
observed, mainly in CA2-CA3 and CA4-GD. CONCLUSION: The findings suggest that it
can be useful to assess the substructures of the hippocampus to improve the
performance of diagnostic imaging in patients with hippocampal sclerosis.
PMID- 29807679
TI - Twenty-five-gauge trocar anterior chamber maintainer: New device for infusion.
AB - The technique, feasibility, and results of a new 25-gauge trocar-anterior chamber
maintainer (ACM) designed for maintaining intraoperative fluid infusion is
described in this prospective interventional analysis. The 25-gauge trocar-ACM
with an overall length of the trocar blade of 6.0 mm, cannula length of 4.0 mm,
and the cannula tip beveled at 45 degrees to the base was designed and used in
all the cases. The dimensions of the sclerotomy wound that comprised of the
internal ostium, external ostium, wound length, and the time interval for wound
apposition in the postoperative period was analyzed by spectral-domain anterior
segment optical coherence tomography.
PMID- 29807678
TI - SEOM-SERAM-SEMNIM guidelines on the use of functional and molecular imaging
techniques in advanced non-small-cell lung cancer.
AB - Imaging in oncology is an essential tool for patient management but its potential
is being profoundly underutilized. Each of the techniques used in the diagnostic
process also conveys functional information that can be relevant in treatment
decision making. New imaging algorithms and techniques enhance our knowledge
about the phenotype of the tumor and its potential response to different
therapies. Functional imaging can be defined as the one that provides information
beyond the purely morphological data, and include all the techniques that make it
possible to measure specific physiological functions of the tumor, whereas
molecular imaging would include techniques that allow us to measure metabolic
changes. Functional and molecular techniques included in this document are based
on multi-detector computed tomography (CT), 18F-fluorodeoxyglucose positron
emission tomography (18F-FDG PET), magnetic resonance imaging (MRI), and hybrid
equipments, integrating PET with CT (PET/CT) or MRI (PET-MRI). Lung cancer is one
of the most frequent and deadly tumors although survival is increasing thanks to
advances in diagnostic methods and new treatments. This increased survival poises
challenges in terms of proper follow-up and definitions of response and
progression, as exemplified by immune therapy-related pseudoprogression. In this
consensus document, the use of functional and molecular imaging techniques will
be addressed to exploit their current potential and explore future applications
in the diagnosis, evaluation of response and detection of recurrence of advanced
NSCLC.
PMID- 29807680
TI - Time Motion Analysis: Impact of Scribes on Provider Time Management.
AB - BACKGROUND: Scribes are unlicensed professionals trained in medical data entry.
Limited data exist on the impact of scribes on provider time management in the
emergency department (ED). Time-motion analysis is a tool utilized in business to
capture detailed movements and durations to task completion. It offers a means to
categorize how providers allocate their time during a clinical shift. OBJECTIVE:
Evaluate the impact of scribes on how ED providers spend their time. METHODS: A
prospective observational study was conducted to assess scribe impact on provider
time utilization. Four research assistants (RAs) observed attending providers on
24 8-h control shifts (without a scribe), and 24 scribed shifts. RAs observed and
categorized provider activity. Providers self-reported after-hours documentation
times. Two-sample t-tests were used for normally distributed data, and Wilcoxon
rank-sum tests were used for skewed data. All tests were two-sided, and p-values
< 0.05 were considered statistically significant. RESULTS: Scribes decreased
total documentation time both on shift (mean 55.3 vs. 36.4 min, p < 0.001) and
post shift (mean 42.5 vs. 23.3 min, p = 0.038). They did not significantly
decrease the amount of time spent reviewing the medical records or placing
orders, nor did they have an impact on provider time spent at patients' bedside
or time spent discussing patient care with team members. CONCLUSION: The presence
of scribes decreased provider documentation time but did not change the amount of
time spent at the bedside or communicating with other team members. Scribes may
be a potential strategy to decrease the clerical burden.
PMID- 29807681
TI - Persons With Chronic Spinal Cord Injuries in the Emergency Department: a Review
of a Unique Population.
AB - BACKGROUND: Persons with spinal cord injuries (SCIs) are frequent utilizers of
emergency medical services but are a poorly understood and medically complex
population. As the treatment of acute spinal cord injuries improves, there is a
growing population of patients suffering from the chronic neurological deficits
and altered homeostasis resulting from those injuries. OBJECTIVES: We sought to
highlight the unique diagnostic challenges of treating persons with SCIs and to
review ailments uncommon in the general population but often encountered in this
population. DISCUSSION: Spinal cord anatomy is briefly reviewed and commonly used
nomenclature and grading scales are defined. An organ by organ review is offered
detailing unique clinical issues that pertain to those systems. Practice pearls
and pitfall are elucidated when relevant. Psychiatric complications of this
disease entity are also discussed. CONCLUSION: A SCI is a devastating but
increasingly survivable event. The long-term care of persons with SCIs is
challenging because of the unique pathologies encountered in this population and
the disruption of normal and expected physiological responses to common ailments.
This review will facilitate a better understanding of the emergency care needs of
this unique patient population.
PMID- 29807682
TI - Corrigendum to "Evidence of viral dissemination and seasonality in a
Mediterranean river catchment: implications for water pollution management" [J.
Environ. Manag. 159 (2015) 58-67].
PMID- 29807683
TI - Owner's Manual: Nutrition Care for Your Kidney Transplant.
PMID- 29807684
TI - A probable prehistoric case of meningococcal disease from San Francisco Bay: Next
generation sequencing of Neisseria meningitidis from dental calculus and
osteological evidence.
AB - Next Generation Sequencing (NGS) of ancient dental calculus samples from a
prehistoric site in San Francisco Bay, CA-SCL-919, reveals a wide range of
potentially pathogenic bacteria. One older adult woman, in particular, had high
levels of Neisseria meningitidis and low levels of Haemophilus influenzae,
species that were not observed in the calculus from three other individuals.
Combined with the presence of incipient endocranial lesions and pronounced
meningeal grooves, we interpret this as an ancient case of meningococcal disease.
This disease afflicts millions around the globe today, but little is known about
its (pre)history. With additional sampling, we suggest NGS of calculus offers an
exciting new window into the evolutionary history of these bacterial species and
their interactions with humans.
PMID- 29807685
TI - Leishmania donovani mediated higher expression of CCL4 induces differential
accumulation of CD4+CD56+NKT and CD8+CD56+NKT cells at infection site.
AB - Sterile cure from visceralized Leishmania donovani (L. donovani) needs Th1 cell
support along with the assistance from innate immune cells, NK cells and NKT
cells. NKT cells play as a connecting link between innate and adaptive immune
cell and support T helper cell function. Earlier, a categorical function of CD56
positive CD4+ or CD8+ NKT cells was reported in visceral leishmaniasis (VL). It
was observed in in vitro that CD4+CD56+NKT cells, but not CD8+CD56+NKT cells,
were accumulated at the L. donovani infection site. Therefore, in vitro
experiments have been carried out to decipher the mechanism behind preferential
accumulation of CD4+CD56+NKT cells at infection site. In this study, 1.89 fold
higher expression of CCL4/MIP-1beta was noticed in infected macrophages. The
higher expression of CCL4 was correlated with preferential accumulation of
CCR5+CD4+CD56+NKT cells and apoptosis of CD8+CD56+NKT cells at in vitro infection
site. The CD4+CD56+NKT cells were also observed expressing TGF-beta dominantly.
Interaction of CCL4 chemotaxis was interrupted by blocking, which led to drift
back the TGF-beta producing CD4+CD56+NKT cells and promoted CD8+CD56+NKT cells
recruitment in in vitro infection site. CCR5 blockade also reduced CD25 and FoxP3
positive CD4+CD56+NKT cells in in vitro infection site. Therefore, it was
concluded that Leishmania promotes strategic expression of CCL4, which
alternately attracts CCR5+ cells, mostly expressing regulatory cytokines, at
infection site. This reduces the CD8+CD56+NKT cells at infection site through
Smad4 mediated TGF-beta expression and activation of caspases. Data indicates
that L. donovani induces higher expression of CCL4 in host cell to attract CCR5+
cells under its strategic plan to downregulate host immune response.
PMID- 29807686
TI - Circulating secreted frizzled-related protein 5 and chronic kidney disease in
patients with acute ST-segment elevation myocardial infarction.
AB - Secreted frizzled-related protein-5 (Sfrp5) known as secreted antagonist binds to
Wnt protein. It has been shown to be downregulated by histone acetylation and
promoter methylation, and to function as a tumor suppressor gene by inducing
apoptosis in renal cell cancer cells. However, its relationship with chronic
kidney disease (CKD) has not been well studied. Our objective was to investigate
the effect of plasma Sfrp5 levels in subjects with and without CKD. Plasma Sfrp5
levels were determined by enzyme-linked immunosorbent assays in 196 consecutive
patients with acute ST-segment elevation myocardial infarction (STEMI). CKD was
defined as an estimated glomerular filtration rate (eGFR) <60 ml/min per 1.73 m2.
For the purpose of this study, stage 1 or 2 CKD patients (eGFR >= 60 ml/min per
1.73 m2) were classified as not having CKD. With increasing Sfrp5 tertiles, the
patients had higher frequencies of hypertension, stage 4 or 5 CKD, and waist-to
hip ratio, incrementally lower eGFRs and serum hemoglobin levels, and higher
levels of blood urine nitrogen (BUN), creatinine, and adiponectin. Multivariate
logistic regression analysis showed that an increased plasma Sfrp5 level was
independently associated with CKD for all subjects (adjusted odds ratio (OR),
1.08; 95% confidence interval (CI), 1.02-1.14; p = 0.011). Sfrp5 was also
significantly positively related to BUN, creatinine, and adiponectin, and
significantly negatively related to eGFR and hemoglobin. When the patients were
stratified by age, plasma Sfrp5 level was independently related to CKD for
patients >65 years old (adjusted OR, 1.10; 95% CI, 1.00-1.20; p = 0.045),
however, the association was not significant for those <65 years old. In
addition, Sfrp5 was significantly positively related to BUN, creatinine, and
adiponectin, and significantly negatively related to eGFR and hemoglobin in
patients >65 years old. Our results suggest that Sfrp5 may play a role in the
pathogenesis of CKD in acute STEMI patients who are older than 65 years.
PMID- 29807687
TI - Human cytomegalovirus replication induces endothelial cell interleukin-11.
AB - Endothelial cells (EC) are critical sites of human cytomegalovirus (hCMV)
infection in vivo. Infection can induce the production of various EC cytokines,
such as interleukin (IL-)6, which can have autocrine and/or paracrine effector
functions. Here, we report that hCMV induces the production of EC IL-11, a
relatively understudied member of the IL-6-type cytokine family. We detail
temporal EC IL-11 translation and protein secretion dynamics in response to hCMV
infection, and reveal distinct differences compared to EC IL-6. Viral replication
had markedly opposing effects on the regulation of these closely related
cytokines, representing a major driving force behind IL-11 production, whilst
concurrently suppressing IL-6 expression. This is the first report of any
biological agent that stimulates EC IL-11 production.
PMID- 29807689
TI - Native aortic valve endocarditis due to Pseudomonas stutzeri in a 91-year-old
woman.
PMID- 29807690
TI - Three-dimensional laparoscopy in urology: Initial experience after 100 cases.
AB - OBJECTIVE: To evaluate the efficacy of three-dimensional (3D) laparoscopy in
urological procedures in a cohort study compared to two-dimensional (2D)
laparoscopy. METHODS: From October 2016 to August 2017, 100 patients underwent
various urological procedures with 3D laparoscopy performed by a single
experienced surgeon at the University Medical Centre of Ho Chi Minh City. The
surgeon's subjective assessment of image quality, depth perception, ease of intra
corporeal suturing and knotting was recorded. The State-Trait Anxiety Inventory
for Adults (STAI-6) short version was used to quantify aspects of stress
experienced during each operative procedure. A subgroup of 73 complicated 3D
laparoscopic procedures (nephron sparing nephrectomy, nephrectomy, adrenalectomy,
pyeloplasty and ureterolithotomy) was compared to the same clinical parameter
group of 74 two-dimensional laparoscopic procedures, performed by the same
surgeon in the year before to define the differences in operative time, blood
loss and time taken for critical surgical steps during the procedures. RESULTS:
Mean time of operation was 112.8 min +/- 14.5 (range 45-210 min). Mean estimated
blood loss was 54.7 mL +/- 8.2 (range 20-100 mL). The surgeon's subjective
assessment of image quality, depth perception, operative strain, ease of intra
corporeal suturing, and knotting, and hand-eye coordination was considered as
good in 100% of cases. Mean score of STAI-6 was 11.6 +/- 2.17 (range 10-22).
Subgroup data analysis was all statistically better for 3D compared to 2D.
CONCLUSION: The use of 3D systems in laparoscopic urologic procedures resulted in
better image quality and better surgeon performance with lower stress.
PMID- 29807688
TI - Baboon CD8 T cells suppress SIVmac infection in CD4 T cells through contact
dependent production of MIP-1alpha, MIP-1beta, and RANTES.
AB - Simian immunodeficiency virus (SIV) infection in rhesus macaques is often
characterized by high viremia and CD4 T cell depletion. By contrast, SIV
infection in African nonhuman primate natural hosts is typically nonpathogenic
despite active viral replication. Baboons are abundant in Africa and have a
geographical distribution that overlaps with natural hosts, but they do not
harbor SIVs. Previous work has demonstrated baboons are resistant to chronic SIV
infection and/or disease in vivo but the underlying mechanisms remain unknown.
Using in vitro SIVmac infections, we sought to identify SIV restriction factors
in baboons by comparing observations to the pathogenic rhesus macaque model.
SIVmac replicated in baboon PBMC but had delayed kinetics compared to rhesus
PBMC. However, SIVmac replication in baboon and rhesus isolated CD4 cells were
similar to the kinetics seen for rhesus PBMC, demonstrating intracellular
restriction factors do not play a strong role in baboon inhibition of SIVmac
replication. Here, we show CD8 T cells contribute to the innate SIV-suppressive
activity seen in naive baboon PBMC. As one mechanism of restriction, we
identified higher production of MIP-1alpha, MIP-1beta, and RANTES by baboon PBMC.
Contact between CD4 and CD8 T cells resulted in maximum production of these
chemokines and suppression of viral replication, whereas neutralization of CCR5
binding chemokines in baboon PBMC increased viral loads. Our studies indicate
baboon natural restriction of SIVmac replication is largely dependent on CD4
extrinsinc mechanisms mediated, in part, by CD8 T cells.
PMID- 29807691
TI - Factors affecting outcomes after endovascular treatment for femoropopliteal
atherosclerotic lesions.
AB - BACKGROUND/OBJECTIVE: This study aimed to investigate the outcomes of
femoropopliteal interventions in relation to various influencing factors.
METHODS: A retrospective review of 243 endovascular procedures for
femoropopliteal atherosclerotic lesions on 243 limbs of 197 patients was
performed. RESULTS: In patients with claudication, the TLR free rates at 1-, 3-,
and 5-year intervals were 89.1%, 82.2%, and 78.9%, respectively. Amputation-free
survival rates in the claudicants at 1-, 3-, and 5-year intervals were 95.3%,
81.1%, and 65.2%, respectively. Freedom from ischemia at 1-, 3-, and 5-year
follow-ups was 77.8%, 69.0%, and 61.3%, respectively. In patients with critical
limb ischemia, the TLR free rates at 1-, 3-, and 5-year intervals were 91.3%,
87.4%, and 65.4%, respectively, amputation free survival rates were 72.5%, 44.2%,
and 36.8%, respectively, and their freedom from ischemia was 64.6%, 63.4%, and
49.7%, respectively. In the multivariate analysis of influencing factors related
to freedom from ischemia, renal insufficiency (hazard ratio [HR] 1.623; 95%
confidence interval [CI] 0.999-2.636; p = 0.050), TASC C/D lesion (HR 1.903; 95%
CI 1.151-3.148; p = 0.012), and poor tibial runoff (HR 1.770; 95% CI 1.037-3.023;
p = 0.036) were statistically significant risk factors. TASC C/D lesion and poor
tibial runoff were significant risk factors for recurrent or persistent ischemia
in claudication (p = 0.015) and in critical limb ischemia (p = 0.05),
respectively. CONCLUSION: Endovascular treatment for femoropopliteal
atherosclerotic lesions showed acceptable intermediate-term and long-term
outcomes. Renal insufficiency, TASC C/D lesions, and poor tibial runoff adversely
affected freedom from ischemia.
PMID- 29807693
TI - Speaking Voice in Children and Adolescents: Normative Data and Associations with
BMI, Tanner Stage, and Singing Activity.
AB - OBJECTIVES: The aim of this study was to establish normative data concerning the
speaking voice of children and adolescents for clinical diagnostics. STUDY
DESIGN: Population-based mixed cross-sectional and longitudinal childhood cohort
study. METHODS: Normative data measuring the speaking voice profile of 1352 male
and 1274 female participants aged 6 to 17 years were collected. To evaluate the
voice range, five different intensity levels as the quietest voicing speaking
voice (Level I), conversational voice (Level II), classroom voice (Level III),
shouting voice (Level IV), and again the quietest speaking voice (Level V) were
investigated. Multivariable analyses were performed to describe the effects of
body mass index, Tanner stage, and singing activity on the outcome variables.
RESULTS: A clear distinction in frequencies and sound pressure levels between the
five different voice levels can be found in both genders. In females the mean
fundamental frequency of the conversational voice lowers from 223.3 to 205.8 Hz.
In male participants it lowers from 223.3 to 102.3 Hz. The most substantial
decrease in the fundamental frequency of the speaking voice in boys occurs at
13.5 years. Girls show an almost continuous decline in their fundamental
frequency. Only the Tanner stage showed significant positive relationships with
the grade of lowering of the fundamental frequency in both sexes. CONCLUSIONS: It
was shown that the investigation of the speaking voice using predefined intensity
levels represents a feasible examination for children and adolescents. This study
provides reference data on the range and age-adjusted normative values of the
speaking voice.
PMID- 29807692
TI - Between-case standardized effect size analysis of single case designs:
Examination of the two methods.
AB - An increasing movement in single case research is to employ statistical analyses
as one form of data analysis. Researchers have proposed different statistical
approaches. The purpose of this paper is to examine the utility and discriminant
validity of two novel types of between-case standardized effect size analyses
with two existing systematic reviews. The between-case analyses found greater
effect sizes for the studies in the object play review and smaller effect sizes
for studies of sensory intervention, which were consistent with the overall
conclusions reached in the original systematic reviews. These findings provide
evidence of discriminant validity, although concerns remain around the methods'
utility across different single case research designs. Future directions for
research and development also are provided.
PMID- 29807694
TI - Pre-operative assessment and post-operative outcomes of elderly women with
gynecologic cancers, primary analysis of NRG CC-002: An NRG oncology
group/gynecologic oncology group study.
AB - INTRODUCTION: CC-002 is a prospective cooperative group study conducted by NRG
Oncology to evaluate whether a pre-operative GA-GYN score derived from a
predictive model utilizing components of an abbreviated geriatric assessment (GA)
is associated with major post-operative complications in elderly women with
suspected ovarian, fallopian tube, primary peritoneal or advanced stage papillary
serous uterine (GYN) carcinoma undergoing primary open cytoreductive surgery.
METHODS: Patients 70 years or older with suspected advanced gynecologic cancers
undergoing evaluation for surgery were eligible. A GA-GYN score was derived from
a model utilizing the GA as a pre-operative tool. Patients were followed for six
weeks post-operatively or until start of chemotherapy. Post-operative events were
recorded either directly as binary occurrence (yes or no) using CTCAE version
4.0. RESULTS: There were 189 eligible patients, 117 patients with primary
surgical intervention and 37 patients undergoing interval cytoreduction surgery.
The association between higher GA-GYN score and major postoperative complications
in patients undergoing primary surgery was not significant (p = 0.1341). In a
subgroup analysis of patients with advanced staged malignant disease who
underwent primary cytoreductive surgery, there was a trend towards an association
with the GA-GYN score and post-operative complications. CONCLUSION: The pre
operative GA-GYN score derived from a predictive model utilizing components of an
abbreviated geriatric assessment was not predictive of major post-operative
complications in elderly patients undergoing primary open cytoreductive surgery.
However, there was an association between GA-GYN score and post-operative
complications in a subgroup of patients with advanced staged malignant disease.
PMID- 29807695
TI - Postoperative radiation rates in stage IIA1 cervical cancer: Is surgical
treatment justified? An Israeli Gynecologic Oncology Group Study.
AB - OBJECTIVES: Data on the outcome of stage IIA1 cervical cancer is limited, as
these tumors comprise a small percentage of early tumors. NCCN guidelines suggest
consideration of surgical management for small tumors with vaginal involvement.
Our objective was to evaluate the risk of adjuvant radiotherapy in stage IIA1
cervical cancer and its associated features, in order to improve selection of
patients for surgical management. METHODS: A retrospective cohort study comparing
surgically treated cervical cancer patients with stage IB1 and stage IIA1
disease. Women treated between 2000 and 2015 in ten Israeli medical centers were
included. Patient and disease features were compared between stages. The relative
risk (Fisher's exact test) of receiving post-operative radiation was calculated
and compared for each risk factor. A general linear model (GLM) was used for
multivariable analysis. RESULTS: 199 patients were included, of whom 21 had stage
IIA1 disease. Most features were comparable for stage IB1 and stage IIA1 disease,
although patients with vaginal involvement were more likely to have close
surgical margins (23.8% vs 8.5%, p = 0.03). Patients with stage IIA1 disease were
more likely to receive radiation after surgery (76% vs. 46%, RR = 1.65 (1.24
2.2), p = 0.011). Vaginal involvement as well as depth of stromal invasion, LVSI
and lymph node metastases were independent predictors of radiation on
multivariable general linear modeling. CONCLUSIONS: Cervical cancer patients with
vaginal involvement are highly more likely to require postoperative radiation. We
recommend careful evaluation of these patients before surgical management is
offered.
PMID- 29807696
TI - ESR1 methylation in primary tumors and paired circulating tumor DNA of patients
with high-grade serous ovarian cancer.
AB - OBJECTIVE: Estrogen receptor, coded by the ESR1 gene, is highly expressed in
epithelial ovarian cancer. ESR1 gene is frequently methylated in many types of
gynecological malignancies. However, only a few studies attempted to investigate
the role of ESR1 methylation and its clinical significance in ovarian cancer so
far. The aim of our study was to examine ESR1 methylation status in primary
tumors and corresponding circulating tumor DNA of patients with high-grade serous
ovarian cancer (HGSC). METHODS: ESR1 methylation was detected by a highly
specific and sensitive real-time methylation-specific PCR assay. Two groups of
HGSC samples were analyzed: group A (n = 66 primary tumors) and group B (n = 53
primary tumors and 50 corresponding plasma samples). RESULTS: ESR1 was found
methylated in both groups of primary tumors: in 32/66 (48.5%) of group A and in
15/53 (28.3%) of group B. 19/50 (38.0%) corresponding plasma samples of group B
were also methylated for ESR1. A significant agreement for ESR1 methylation was
observed between primary tumors and paired plasma ctDNA samples (P = 0.004).
Interestingly, the presence of ESR1 methylation in primary tumor samples of group
B was significantly correlated with a better overall survival (P = 0.027) and
progression-free survival (P = 0.041). CONCLUSIONS: We report for the first time
the presence of ESR1 methylation in plasma ctDNA of patients with HGSC. The
agreement between ESR1 methylation in primary tumors and paired ctDNA is
statistically significant. Our results indicate a correlation between the
presence of ESR1 methylation and a better clinical outcome in HGSC patients.
PMID- 29807697
TI - Response rates to second-line platinum-based therapy in ovarian cancer patients
challenge the clinical definition of platinum resistance.
AB - OBJECTIVE: The aim of this study was to compare response rates and survival in
women with "platinum resistant" epithelial ovarian cancer (EOC) who received
further platinum-based or non-platinum chemotherapy for treatment at first
relapse. METHODS: Patients with high-grade EOC (including fallopian tube and
peritoneal cancer) of all histologies recruited to the Australian Ovarian Cancer
Study (AOCS) and treated with platinum-based primary chemotherapy were included.
Response to second-line chemotherapy, overall survival (OS) and survival after
treatment for first progression (OS2) were determined in all histologies and
separately in women with high-grade serous tumors. RESULTS: Of the 341 patients
classified as platinum-resistant by the 6-month threshold, 243 (71%) were treated
with chemotherapy at relapse. CA-125 response rates to platinum-based
chemotherapy were significantly higher compared to non-platinum chemotherapy (51%
vs 21%, P < 0.001). Among patients with a platinum-free interval (PFI) of 3-6
months, OS2 in patients treated with platinum was significantly longer compared
to individuals receiving non-platinum-based treatment (median 17.67 months, 95%
CI: 14.79-20.75 vs. 10.62 months, 95% CI: 8.02-12.72, P = 0.022). The patterns
were similar when restricted to patients with high-grade serous histology. In
patients with PFI <3 months, there was no significant difference in response or
survival according to type of second-line treatment. CONCLUSIONS: Our findings
further question the use of a 6-month PFI as an arbitrary threshold for
subsequent treatment decision-making. Some patients considered "platinum
resistant" still derive clinical benefit from platinum-based chemotherapy.
Biomarkers of platinum sensitivity are needed in clinical practice to identify
potential responders who should be offered re-treatment with platinum.
PMID- 29807698
TI - Dual emissive bispyrene peptide probes for highly sensitive measurements of
trypsin activity and evaluation of trypsin inhibitors.
AB - Peptide substrates were double labeled with pyrenes to prepare fluorescent probes
for highly sensitive detection of protease activity and evaluation of protease
inhibitors using pyrene monomer/excimer signals. Two proximate pyrene moieties
formed excited state dimers in the probes, and these pyrene excimer formations
were dissociated by tryptic digestion. The specificity constant of the optimum
bispyrene peptide probe was 2.7 times higher than that of the conventional
peptide-4-methylcoumarin amide. Moreover, our probe had high sensitivity with an
estimated detection limit for trypsin of 4.11 pM. The half maximal inhibitory
concentration and dissociation constant of the Bowman-Birk inhibitor were
successfully estimated.
PMID- 29807699
TI - Design, synthesis and biological evaluation of phosphopeptides as Polo-like
kinase 1 Polo-box domain inhibitors.
AB - Polo-like kinase 1 (Plk1) is an anti-cancer target due to its critical role in
mitotic progression. A growing body of evidence has documented that Peptide-Plk1
inhibitors showed high Plk1 binding affinity. However, phosphopeptides-Plk1
inhibitors showed poor cell membranes permeability, which limits their clinical
applications. In current study, nine candidate phosphopeptides consisting of non
natural amino acids were rationally designed and then successfully synthesized
using an Fmoc-solid phase peptide synthesis (SPPS) strategy. Moreover, the
binding affinities and selectivity were evaluated via fluorescence polarization
(FP) assay. The results confirmed that the most promising phosphopeptide 6 bound
to Plk1 PBD with the IC50 of 38.99 nM, which was approximately 600-fold
selectivity over Plk3 PBD (IC50 = 25.44 MUM) and nearly no binding to Plk2 PBD.
Furthermore the intracellular activities and the cell membrane permeability of
phosphopeptide 6 were evalutated. Phosphopeptide 6 demonstrated appropriate cell
membrane permeability and arrested HeLa cells cycle in G2/M phase by regulating
CyclinB1-CDK1. Further, phosphopeptide 6 showed typical apoptotic morphology and
induced caspase-dependent apoptosis. In conclusion, we expect our discovery can
provide new insights into the further optimization of Plk1 PBD inhibitors.
PMID- 29807700
TI - Vinyldiaminotriazine-acridine conjugate as G-quadruplex alkylating agent.
AB - Higher-order structures of nucleic acids have become widely noted for their
biological consequences and the discovery of an alkylating small molecule for
these structures has been of interest due to its therapeutic potential. We
previously developed the vinyldiaminotriazine (VDAT)-acridine conjugate as a T-T
mismatch alkylating agent. In this report, we focused on the finding of the
alkylation to the G-quadruplex (G4) DNA with VDAT-acridine conjugates. The VDAT
acridine conjugates exhibited a considerable alkylation ability to G4 under mild
conditions. Moreover, the investigation of properties with the alkylated G4
revealed that alkylation by this conjugate significantly increased the stability
of the G4 structure. This study provides a starting point in the further
development of selective G4 alkylating small molecules.
PMID- 29807701
TI - The Krebs Uric Acid Cycle: A Forgotten Krebs Cycle.
AB - Hans Kornberg wrote a paper entitled 'Krebs and his trinity of cycles' commenting
that every school biology student knows of the Krebs cycle, but few know that
Krebs discovered two other cycles. These are (i) the ornithine cycle (urea
cycle), (ii) the citric acid cycle (tricarboxylic acid or TCA cycle), and (iii)
the glyoxylate cycle that was described by Krebs and Kornberg. Ironically,
Kornberg, codiscoverer of the 'glyoxylate cycle', overlooked a fourth Krebs cycle
- (iv) the uric acid cycle.
PMID- 29807702
TI - Comment on the quantitative assessment of the learning curve for cleft lip repair
using LC-CUSUM.
PMID- 29807703
TI - 3D numerical modelling of a pulsed pumping process of a large DNAPL pool: In situ
pilot-scale case study of hexachlorobutadiene in a keyed enclosure.
AB - Remediation of dense non-aqueous phase liquids (DNAPLs) represents a challenging
issue because of their persistent behaviour in the environment. This pilot-scale
study investigates, by means of in situ experiments and numerical modelling, the
feasibility of the pulsed pumping process of a large amount of a DNAPL in an
alluvial aquifer. The main compound of the DNAPL is hexachlorobutadiene (HCBD),
added in 2015 to the persistent organic pollutants list (POP). A low-permeability
keyed enclosure was built at the location of the DNAPL source zone in order to
isolate a finite volume of soil and a 3-month pulsed pumping process was applied
inside the enclosure to exclusively extract the DNAPL. The water/DNAPL interface
elevation at both the pumping well and an observation well was recorded. The
cumulated pumped volume of DNAPL was also monitored. A total volume of about 20
m3 of pure DNAPL was recovered since no water was extracted during the process.
The three-dimensional and multiphase flow simulator TMVOC was used and a
conceptual model was elaborated and generated with the pre/post-processing tool
mView. Numerical simulations reproduce the pulsed pumping process and show an
excellent match between simulated and field data of DNAPL cumulated pumped volume
and a reasonable agreement between modelled and observed data for the evolution
of the water/DNAPL interface elevations at the two wells. This study offers a new
perspective in remediation since DNAPL pumping system optimisation may be
performed where a large amount of DNAPL is encountered.
PMID- 29807704
TI - Commentary to "Ischemic priapism in pediatric patients: spontaneous detumescence
with ketamine sedation".
PMID- 29807705
TI - The Way of Tau: Secretion and Synaptic Dysfunction.
AB - Tau, a microtubule-associated protein, is linked to many neurodegenerative
diseases, including Alzheimer's disease (AD). A recent study uncovered a new
pathway for its secretion, leading to its transcellular uptake, while another
study found that tau secreted from human induced pluripotent stem cells (iPSCs)
modeling trisomy 21-related AD caused synaptic impairment in rats. These findings
could inform tau-directed therapies.
PMID- 29807706
TI - Fast and high-efficiency magnetic surface imprinting based on microwave
accelerated reversible addition fragmentation chain transfer polymerization for
the selective extraction of estrogen residues in milk.
AB - A novel microwave-accelerated reversible addition fragmentation chain transfer
(RAFT) polymerization strategy has been introduced to shorten reaction time and
improved polymerization efficiency of the conventional molecularly imprinting
technology based on RAFT. Magnetic molecular imprinted polymers (MMIPs) were
successfully synthesized much more efficiently using 17beta-estradiol (E2) as a
template for the determination of estrogen residues. The resultant MMIPs had well
defined thin imprinted film, favoring the fast mass transfer. Moreover, the
reaction time, which was just 1/24 of the time taken by conventional heating, was
significantly decreased, improving the reaction efficiency and reducing the
probability of side reactions. Meanwhile, the obtained polymers have good
capacity of 6.67 mg g-1 and satisfactory selectivity to template molecule with
the imprinting factor of 5.11. As a result, a method combination of the resultant
MMIPs as solid phase extraction sorbents and high-performance liquid
chromatography was successfully set up to determinate three estrogen residues in
milk samples. For E2, estrone, and estriol, the limit of detections were
calculated to be 0.03, 0.08, and 0.06 ng mL-1, respectively, and the limit of
quantifications were 0.11, 0.27, and 0.21 ng mL-1, respectively. At the spiked
level of 1, 5, and 10 ng mL-1, the recoveries of the three estrogens were ranged
from 69.1% to 91.9% and the intra-day relative standard deviation (RSD) was less
than 5.7%. In addition, the resultant MMIPs exhibited good reproducibility and
reusability with the inter-batch RSD of 5.3% and the intra-batch RSD of 6.2%,
respectively. Overall, the realization of this strategy facilitates the
preparation of MMIPs with good architecture and high reaction efficiencies for
the analysis of complicated real samples.
PMID- 29807707
TI - Integrated approach for confidence-enhanced quantitative analysis of herbal
medicines, Cistanche salsa as a case.
AB - Although far away from perfect, it is practical to assess the quality of a given
herbal medicine (HM) through simultaneous determination of a panel of components.
However, the confidences of the quantitative outcomes from LC-MS/MS platform risk
several technical barriers, such as chemical degradation, polarity range,
concentration span, and identity misrecognition. Herein, we made an attempt to
circumvent these obstacles by integrating several fit-for-purpose techniques,
including online extraction (OLE), serially coupled reversed phase LC-hydrophilic
interaction liquid chromatography (RPLC-HILIC), tailored multiple reaction
monitoring (MRM), and relative response vs. collision energy curve (RRCEC)
matching. Confidence-enhanced quantitative analysis of Cistanche salsa (Csa), a
well-known psammophytic species and tonic herbal medicine, was conducted as a
proof-of-concept. OLE module was deployed to prohibit chemical degradation, in
particular E/Z-configuration transformation for phenylethanoid glycosides.
Satisfactory retention took place for each analyte regardless of polarity because
of successive passing through RPLC and HILIC columns. Optimum parameters for the
minor components, at the meanwhile of inferior ones for the abundant ingredients,
ensured the locations of all contents in the linear ranges. The unequivocal
assignment of the captured signals was achieved by matching retention times, ion
transitions, and more importantly, RRCECs between authentic compounds and suspect
peaks. Diverse validation assays demonstrated the newly developed method to be
reliable. Particularly, the distribution of mannitol rather than galactitol was
disclosed although these isomers showed identical retention time and ion
transitions. The contents of 21 compounds-of-interest were definitively
determined in Csa as well as two analogous species, and the quantitative patterns
exerted great variations among not only different species but different Csa
samples. Together, the fortification of OLE-RPLC-HILIC-tailored MRM with RRCEC
matching could fully address the demands from confidence-enhanced quantitative
analysis of HMs.
PMID- 29807708
TI - Rapid microwave-assisted dispersive micro-solid phase extraction of mycotoxins in
food using zirconia nanoparticles.
AB - Mycotoxins are a group of secondary fungi metabolites present in foods that cause
adverse effects in humans and animals. The objective of this study was to develop
and validate a reliable and sensitive method to determine the presence of
fumonisin B1, aflatoxin B1, ochratoxin B, T-2 toxin, ochratoxin A and
zearalenone. A rapid, effective process, which involves microwave-assisted
dispersive micro-solid phase extraction (MA-d-MU-SPE), has been proposed for the
extraction and detection of 6 mycotoxins in peach seed, milk powder, corn flour
and beer sample matrixes, for subsequent analysis by ultra-high-performance
liquid chromatography coupled with quadrupole time-of-flight tandem mass
spectrometry (UHPLC-Q-TOF/MS). Several experimental parameters (type of
dispersant, concentration of dispersant, vortex time, type of desorption solvent
and pH) affecting the extraction efficiency were systematically studied and
optimized. The optimum extraction conditions involved immersing 2.5 MUg/mL of
nano zirconia (as dispersant) in a 5 mL sample solution. After 2 min of
extraction by vigorous shaking, the target analytes were desorbed by 100 MUL of
chloroform at pH 4.5. The results indicated good linearity in the range of 0.0074
3.6 MUg/mL (r >= 0.9982), low limits of detection (0.0036-0.033 MUg/kg for solid
samples and 0.0022-0.017 ng/mL for beer), acceptable reproducibility (relative
standard deviation (RSD%) 2.08-2.76% for retention time and 3.51-4.59% for peak
area, n = 3), and satisfactory spiked recoveries (84.27-104.96%) for studied
mycotoxins in sample matrixes, which demonstrated that MA-d-MU-SPE coupled with
UHPLC-Q-TOF/MS is a useful tool for analysis of multi-mycotoxin.
PMID- 29807709
TI - Efficacy of a recombinant Intimin, EspB and Shiga toxin 2B vaccine in calves
experimentally challenged with Escherichia coli O157:H7.
AB - Escherichia coli O157:H7 is a zoonotic pathogen of global importance and the
serotype of Shiga toxin-producing E.coli (STEC) most frequently associated with
Hemolytic Uremic Syndrome (HUS) in humans. The main STEC reservoir is cattle.
Vaccination of calves with the carboxy-terminal fraction of Intimin gamma
(IntC280) and EspB can reduce E.coli O157:H7 fecal shedding after experimental
challenge. Shiga toxin (Stx) exerts local immunosuppressive effects in the bovine
intestine and Stx2B fused to Brucella lumazine synthase (BLS-Stx2B) induces Stx2
neutralizing antibodies. To determine if an immune response against Stx could
improve a vaccine's effect on fecal shedding, groups of calves were immunized
with EspB + IntC280, with EspB + IntC280 + BLS-Stx2B, or kept as controls. At 24
days post vaccination calves were challenged with E.coli O157:H7. Shedding of
E.coli O157:H7 was assessed in recto-anal mucosal swabs by direct plating and
enrichment followed by immunomagnetic separation and multiplex PCR. Calves were
euthanized 15 days after the challenge and intestinal segments were obtained to
assess mucosal antibodies. Vaccination induced a significant increase of IntC280
and EspB specific antibodies in serum and intestinal mucosa in both vaccinated
groups. Antibodies against Stx2B were detected in serum and intestinal mucosa of
animals vaccinated with 3 antigens. Sera and intestinal homogenates were able to
neutralize Stx2 verocytotoxicity compared to the control and the 2-antigens
vaccinated group. Both vaccines reduced E.coli O157:H7 shedding compared to the
control group. The addition of Stx2B to the vaccine formulation did not result in
a superior level of protection compared to the one conferred by IntC280 and EspB
alone. It remains to be determined if the inclusion of Stx2B in the vaccine
alters E.coli O157:H7 shedding patterns in the long term and after recurrent low
dose exposure as occurring in cattle herds.
PMID- 29807710
TI - Health and economic benefits of single-dose HPV vaccination in a Gavi-eligible
country.
AB - BACKGROUND: Although guidelines for prophylactic human papillomavirus (HPV)
vaccination recommend two doses for girls ages 9-14 years, several studies have
demonstrated similar protection with one dose. Our objective was to evaluate the
long-term health and economic impacts of routine one-dose HPV vaccination
compared to (1) no vaccination and (2) two-dose HPV vaccination in a low-income
country. METHODS: We used a three-tiered hybrid modeling approach that captured
HPV transmission, cervical carcinogenesis, and population demographics to project
long-term health and economic outcomes associated with one-dose HPV vaccination
(assuming 80% efficacy against HPV-16/18 infections under three waning scenarios)
and two-dose HPV vaccination (assuming 100% efficacy over the lifetime) in
Uganda. Costs included the vaccine program (dosage and delivery) costs over a 10
year period and cervical cancer costs over the lifetimes of the current
population of Ugandan women. Health outcomes included number of cervical cancer
cases and disability-adjusted life years (DALYs). Incremental cost-effectiveness
ratios (i.e., cost per DALY averted) were calculated and compared against the
Ugandan per-capita gross domestic product. RESULTS: Routine one-dose HPV
vaccination of 9-year-old girls required substantial upfront investment but was
cost-saving compared to no vaccination when accounting for the cost-offsets from
future cancers averted. Forty years after initiating routine vaccination and
depending on assumptions of vaccine waning, one-dose HPV vaccination with
equivalent coverage (70%) averted 15-16% of cervical cancer cases versus 21% with
two-dose vaccination but required only half the upfront economic investment.
Vaccination with two doses had an attractive cost-effectiveness profile except if
one-dose vaccination enabled higher coverage (90% vs. 70%) and did not wane.
CONCLUSIONS: One-dose HPV vaccination resulted in cost-savings compared to no
vaccination and could be cost-effective compared to two-dose vaccination if
protection is longstanding and higher coverage can be achieved.
PMID- 29807711
TI - Live zoster vaccination in an immunocompromised patient leading to death
secondary to disseminated varicella zoster virus infection.
AB - In 2016, the live attenuated zoster vaccine (Zostavax, Merck and Co, USA) was
introduced into the Australian National Immunisation Program for people aged 70
years who are not significantly immunocompromised. We report the administration
of Zostavax in an immunocompromised patient with chronic lymphocytic leukaemia
and no evidence of primary varicella zoster virus (VZV) infection. The patient
presented with a bilateral vesicular facial rash 22 days after receiving Zostavax
and was initially managed as an outpatient with oral acyclovir. He re-presented
three days later and was diagnosed with disseminated VZV infection complicated by
meningoencephalitis. The patient died following cardiac arrest on day 10 of
hospitalisation. This unfortunate case highlights the challenge of safely
implementing a high titre live vaccine in a population where contraindications
are prevalent. The non-live recombinant herpes zoster subunit vaccine (Shingrix,
GSK) may provide a safe and effective option to protect immunocompromised
patients from shingles and post-herpetic neuralgia.
PMID- 29807712
TI - A recombinant virus vaccine that protects against both Chikungunya and Zika virus
infections.
AB - Chikungunya virus (CHIKV) and Zika virus (ZIKV) have recently expanded their
range in the world and caused serious and widespread outbreaks of near pandemic
proportions. There are no licensed vaccines that protect against these co
circulating viruses that are transmitted by invasive mosquito vectors. We report
here on the development of a single-dose, bivalent experimental vaccine for CHIKV
and ZIKV. This vaccine is based on a chimeric vesicular stomatitis virus (VSV)
that expresses the CHIKV envelope polyprotein (E3-E2-6K-E1) in place of the VSV
glycoprotein (G) and also expresses the membrane-envelope (ME) glycoproteins of
ZIKV. This vaccine induced neutralizing antibody responses to both CHIKV and ZIKV
in wild-type mice and in interferon receptor-deficient A129 mice, animal models
for CHIKV and ZIKV infection. A single vaccination of A129 mice with the vector
protected these mice against infection with both CHIKV and ZIKV. Our single-dose
vaccine could provide durable, low-cost protection against both CHIKV and ZIKV
for people traveling to or living in areas where both viruses are circulating,
which include most tropical regions in the world.
PMID- 29807714
TI - Perioperative Evaluation and Surgical Management of a Patient With a Pericardial
Hemangioma Abutting the Right Ventricular Outflow Tract and Main Pulmonary
Artery.
PMID- 29807715
TI - [Moderate exercise and intake of either high or low glycemic index carbohydrates
in sedentary women].
AB - OBJECTIVE: To analyze changes in blood glucose, insulin and triglyceride
concentrations in relation to a moderate aerobic exercise in sedentary women of
different body weight, exposed to either a high or low glycemic index
carbohydrates diet. DISEnO: Cross-over type. SITE: Research was performed in the
Exercise Physiology Laboratory at Facultad de Ciencias de la Cultura Fisica,
Universidad Autonoma de Chihuahua, Mexico. PARTICIPANTS: Twenty-six young
sedentary women who did not exercise in the last year participated in the study.
Four of adequate weight (AW) and 2 with obesity (OB) were excluded for not
consuming the suggested carbohydrates (1gr/kg of weight) nor completed the
programed exercise. There were n=10 in each group (AW/OB). INTERVENTION: Two
treatments of 55minutes of aerobic exercise each were applied one day after
consuming either high or low glycemic index carbohydrates. MAIN MEASUREMENTS:
Plasmatic glucose, insulin, and triglycerides were determined before and after
the scheduled exercise. RESULTS: Glucose, insulin, and triglycerides were higher
in OB than in AW at baseline. Glucose was normalized in OB from 5.8+/-0.35 to
5.3+/-0.23 mmol/L (P=.001), only by eating foods with low glycemic index;
triglycerides increased from 139.5+/-66.0 to 150.8+/-67.2mg/dl (P=.004) at the
end of the exercise, after consumption of low glycemic index carbohydrates.
CONCLUSION: Elevation of triglycerides secondary to exercise after consumption of
low glycemic index seems to indicate an increase of lipid oxidation in OB.
PMID- 29807713
TI - Skin Cell Heterogeneity in Development, Wound Healing, and Cancer.
AB - Skin architecture and function depend on diverse populations of epidermal cells
and dermal fibroblasts. Reciprocal communication between the epidermis and dermis
plays a key role in skin development, homeostasis and repair. While several stem
cell populations have been identified in the epidermis with distinct locations
and functions, it is now recognised that there is additional heterogeneity within
the mesenchymal cells of the dermis. Here, we discuss recent insights into how
these distinct cell populations are maintained and coordinated during
development, homeostasis, and wound healing. We highlight the importance of the
local environment, or niche, in cellular plasticity. We also discuss new
mechanisms that have been identified as influencing wound repair and cancer
progression.
PMID- 29807716
TI - Envisioning the times of future events: The role of personal goals.
AB - Episodic future thinking refers to the human capacity to imagine or simulate
events that might occur in one's personal future. Previous studies have shown
that personal goals guide the construction and organization of episodic future
thoughts, and here we sought to investigate the role of personal goals in the
process of locating imagined events in time. Using a think-aloud protocol, we
found that dates were directly accessed more frequently for goal-related than
goal-unrelated future events, and the goal-relevance of events was a significant
predictor of direct access to temporal information on a trial-by-trial basis.
Furthermore, when an event was not directly dated, references to anticipated
lifetime periods were more frequently used as a strategy to determine when a goal
related event might occur. Together, these findings shed new light on the
mechanisms by which personal goals contribute to the location of imagined events
in future times.
PMID- 29807717
TI - Shoulder adhesive capsulitis: epidemiology and predictors of surgery.
AB - BACKGROUND: Adhesive capsulitis is characterized by a gradual, painful loss of
shoulder motion. This study evaluated patient variables significantly associated
with developing adhesive capsulitis compared with a sex-matched control group
without adhesive capsulitis. We also sought to determine those factors associated
with adhesive capsulitis patients requiring surgical intervention. METHODS: All
patients presenting to our hospital with adhesive capsulitis between 2004 and
2014 were identified. Demographic characteristics were collected, and a sex
matched control group was randomly generated from the electronic medical record
and used for comparison. Patients who underwent surgical intervention for
adhesive capsulitis were also identified, and factors associated with surgical
intervention were identified with logistic regression analysis. RESULTS: Included
were 2190 adhesive capsulitis patients with a normal age distribution of 56.4 +/-
13.1 years. Most were classified as overweight (30.7%) or obese (27.0%). Compared
with controls, adhesive capsulitis patients were more likely to be younger (<50
vs. >=50 years; odds ratio [OR], 0.69; P < .001), obese (OR, 1.26; P < .001),
black/African American (OR, 1.71; P < .001), Hispanic/Latino (OR, 4.85; P <
.001), or diabetic (OR, 1.12; P < .001) and less likely to have hypertension (OR,
0.93; P = .006). Overall, 361 subsequently underwent surgical intervention. Older
patients, racial minorities, and government-sponsored/uninsured patients were
significantly less likely to have surgery for adhesive capsulitis (P < .01),
whereas workers' compensation patients were 8 times more likely to receive
surgery compared with privately insured patients (P < .001). CONCLUSIONS: Obesity
and diabetes were significantly associated with adhesive capsulitis and should be
considered modifiable patient factors. Additionally, younger patients and racial
minorities were also significantly more likely to be diagnosed with adhesive
capsulitis. Younger, white, and workers' compensation patients were more likely
to receive surgery, whereas patients with government-sponsored or no insurance
status were more likely to receive nonoperative treatment.
PMID- 29807718
TI - [Factors associated to loss of follow-up in patients underwent antiretroviral
therapy in an ambulatory HIV treatment center at Conakry].
AB - BACKGROUND: Late or inadequate therapeutic management increases the risk of
mortality associated with HIV/AIDS. The aim of this study was to analyze the
proportion and factors associated with loss of follow-up in HIV patients who
receiving antiretroviral therapy at Conakry. METHODS: A retrospective cohort
study was conducted in HIV patients aged over 15 years and who receiving
antiretroviral therapy. Between August 1, 2008 and July 31, 2015, all patients
managed by the ambulatory treatment center of the Guinean Women Association
against AIDS and sexually and transmissible infection were included. Loss of
follow-up was defined as no follow-up visit within 3 months. Kaplan-Meier curves
and multivariate Cox regression models were used to analyze factors associated
with loss of follow-up. Analyses were performed by using Stata 13 software.
RESULTS: 614 patients aged 36.3+/-11.2 years, mainly females (68.4%) and living
in Conakry (80.5%) were included. Among them, 104 were loss to follow-up,
corresponding to a proportion rate of 16.9% (95% CI: 14.2-19.7%) or 5.79/100
person-years. The results of multivariate analyses showed that factors
independently associated with loss of follow-up were malnutrition (AHR=7.05; 95%
CI: 2.05-24.27; P=0.002) and CD4 cells account at the initiation of AHR (2.35;
95% CI: 1.61-6.39; P=0.016) in patients with 201-350 CD4/MUL and 5.83 (95% CI:
2.85-11.90; P<0.001) in patients with less than 150CD4/MUL. CONCLUSION: Despite
efforts of health care workers and free antiretroviral therapy, many patients
were loss to follow-up. Multivariate analysis showed that malnutrition and low
CD4 account were independently associated with loss to follow-up.
PMID- 29807719
TI - Improving Running Economy by Transitioning to Minimalist Footwear: A Randomised
Controlled Trial.
AB - OBJECTIVES: Ongoing debates about benefits and risks of barefoot- and minimally
shod running have, to date, revealed no conclusive findings for long-term effects
on physical performance. The purpose of this study was to examine the effects of
an 8-week transition to minimalist footwear (MFW) on running economy (RE).
DESIGN: Randomised controlled trial. METHODS: Thirty-two male, habitually-shod
runners were assigned randomly to an 8-week training intervention either in
minimalist (=intervention group) or conventional running shoes (=control group).
The intervention consisted of a gradual increase in use of the new footwear by 5%
of the individual weekly distance. Before and after the intervention, a VO2max
test was followed by a submaximal RE test at 70% and 80% of vVO2max in both shoe
conditions 7days later. RE was measured at the submaximal tests and expressed as
caloric unit cost (kcalkg-1km-1) and oxygen consumption (mlkg-1km-1). RESULTS: RE
improved in the intervention group over time compared to the control group with
small to moderate effect sizes (ES) in both shoe conditions: Effects on RE
(kcalkg-1km-1) in conventional running shoes: ES vVO270%: 0.68 (95% CI: -0.14 to
1.51), ES vVO280%: 0.78 (95% CI: 0-1.56). In minimalist footwear: ES vVO270%: 0.3
(95% CI: -0.54 to 1.14), ES vVO280%: 0.42 (95% CI: -0.41 to 1.25). These effects
were not statistically significant (p>0.05). The repeated-measures ANOVA also
showed no group by time interactions for all submaximal RE testing conditions
(p>0.05). CONCLUSIONS: Although not reaching statistical significance, training
in MFW compared to CRS resulted in small to moderate improvements in RE.
PMID- 29807720
TI - 30-year changes in Australian children's standing broad jump: 1985-2015.
AB - OBJECTIVES: Muscular strength is an important component of fitness that enables
the execution of a range of daily activities across the lifespan including sport
participation. The purpose of this study was to examine changes in children's
standing broad jump, an indicator of muscular strength, between 1985 and 2015.
DESIGN: Two representative cross-sectional population surveys of Australian
children age 9-15 years (n=7051). METHODS: In 1985 and 2015 children's standing
broad jump (SBJ; cm) and anthropometry were measured by trained field teams.
General linear regression examined the temporal change in SBJ by sex and age
adjusting for height, weight, socioeconomic status, and linguistic background.
RESULTS: Over a 30-year period, the height, weight, and BMI of children
significantly increased and muscular strength decreased. Among boys, the adjusted
SBJ distance declined -4.5cms (95%CI -8.8, -0.10) in 9-11 year olds and 7.6cms
(95%CI -12.5, -2.7) in 12-15 year olds. Among girls, the adjusted SBJ distance
declined 8.5cms (95%CI -12.9, -4.2) in 9-11 year olds and 9.3cms (95%CI -14.1,
4.6) in 12-15 year olds. Larger declines in the distance jumped were observed
among children and adolescents from non-English speaking backgrounds, than
English speaking peers and children from low socioeconomic neighbourhoods than
the declines among children from high SES neighbourhoods. CONCLUSIONS: Overall,
the distance children and adolescents jumped in 2015 was lower than the distance
their peers jumped in 1985. The declines differed by sex and sociodemographic
characteristics, which suggests targeted sub-population interventions to improve
children's muscular strength should be considered.
PMID- 29807721
TI - Comparative risk assessment of spill response options for a deepwater oil well
blowout: Part III. Stakeholder engagement.
AB - This paper describes oil spill stakeholder engagement in a recent comparative
risk assessment (CRA) project that examined the tradeoffs associated with a
hypothetical offshore well blowout in the Gulf of Mexico, with a specific focus
on subsea dispersant injection (SSDI) at the wellhead. SSDI is a new technology
deployed during the Deepwater Horizon (DWH) oil spill response. Oil spill
stakeholders include decision makers, who will consider whether to integrate SSDI
into future tradeoff decisions. This CRA considered the tradeoffs associated with
three sets of response strategies: (1) no intervention; (2) mechanical recovery,
in-situ burning, and surface dispersants; and, (3) SSDI in addition to responses
in (2). For context, the paper begins with a historical review of U.S. policy and
engagement with oil spill stakeholders regarding dispersants. Stakeholder
activities throughout the project involved decision-maker representatives and
their advisors to inform the approach and consider CRA utility in future oil
spill preparedness.
PMID- 29807722
TI - Candida albicans Aro1 affects cell wall integrity, biofilm formation and
virulence.
AB - BACKGROUND: Candida albicans is an opportunistic pathogen capable of causing life
threatening systemic infections. The C. albicans ARO1 gene encodes an arom
multifunctional enzyme, which can possibly catalyze reactions of the shikimate
pathway to synthesize aromatic amino acids. However, the functions of C. albicans
Aro1 have not been extensively characterized. METHODS: ARO1 knockdown mutant
strain was constructed, using a tetracycline-regulated (TR) expression system.
Cell growth of the mutant strain was compared with wild type. Effects of the ARO1
gene knockdown on cell wall properties, adhesion to polystyrene and biofilm
formation were further investigated. Finally, Galleria mellonella was used as a
model host to study the role of ARO1 in virulence of C. albicans. RESULTS: We
showed that defective growth in the ARO1 knockdown strain was rescued by
supplemental aromatic amino acids. In addition, the ARO1 knockdown strain was
easily aggregated and precipitated. The knockdown of ARO1 also caused changes in
cell wall properties and compositions and promoted C. albicans cell adhesion to
polystyrene and biofilm formation. Finally, the ARO1 knockdown strain showed
attenuation of C. albicans virulence. CONCLUSION: This work provides new insights
into C. albicans metabolism, cell wall and virulence.
PMID- 29807723
TI - Active and passive immunizations with HtsA, a streptococcal heme transporter
protein, protect mice from subcutaneous group A Streptococcus infection.
AB - BACKGROUND/PURPOSE: HtsA (Streptococcus heme transporter A) is the lipoprotein
component of the streptococcal heme ABC transporter (HtsABC). The aim of this
study is to investigate whether the HtsA protein has immunoprotective effect
against group A Streptococcus (GAS) infection in mice. METHODS: The HtsA protein
was purified by sequential chromatography on Ni-sepharose, DEAE-sepharose and
Phenyl-sepharose, CD-1 mice were actively immunized with ALUM (control) or
HtsA/ALUM, and passively immunized with control or anti-HtsA serum. Mice were
challenged with GAS after immunization, and the survival rate, skin lesion size
and systemic GAS dissemination were determined. RESULTS: The HtsA gene was
cloned, and the recombinant protein HtsA was successfully purified. HtsA has a
strong antigenicity, and active immunization with the HtsA protein significantly
protected mice against lethal subcutaneous GAS infection, inhibited invasion of
the skin by GAS, and reduced GAS systemic dissemination in blood and organs. In
addition, passive immunization with anti-HtsA serum also significantly protected
mice against subcutaneous GAS infection, and inhibited invasion of the skin by
GAS. CONCLUSION: The results showed that both active and passive immunization
with the HtsA protein protected mice against subcutaneous GAS infection,
suggesting that HtsA may be a candidate of GAS vaccine to protect against GAS
infection.
PMID- 29807724
TI - An outbreak of hemolytic uremic syndrome in southern Romania during 2015-2016:
Epidemiologic, clinical, laboratory, microbiologic, therapeutic and outcome
characteristics.
AB - BACKGROUND AND AIMS: To describe the epidemiologic, clinical, microbiological,
therapeutic and outcome characteristics of a HUS outbreak occurring in southern
Romania from 2015 to 2016. METHODS: We retrospectively collected data from the
medical records of all HUS cases hospitalized at the pediatric nephrology
department of Marie Curie Children's Hospital of Bucharest, Romania. RESULTS:
There were 32 HUS cases (19 girls/13 boys, 87.6% <2 years), all associated with
diarrhea (bloody in 13, 40.6%). Thirteen (40.6%) and 4 (12.5%) patients had
oliguria and anuria at admission. Extreme pallor, generalized edema, vomiting,
dehydration, fever and seizures were found in 100%, 56.3%, 31.3%, 31.3%, 25% and
9.4% of patients, respectively. E. coli and STEC were identified in the stools of
6 and 8 patients, respectively; E. coli O26 and O157 infection were documented
serologically in 10 and 3 children, respectively. There were 15/32 (46.9%)
patients with confirmed HUS. Eighteen (56.3%) patients were hypertensive; other
complications included infections, left ventricular hypertrophy, cardiopulmonary
arrest, seizures and encephalopathy in 62.5%, 37.5%, 28.3%, 18.8% and 12.5%,
respectively. Peritoneal dialysis and hemodialysis were performed in 23 (72%) and
2 patients, respectively. Three patients (9.4%) died early during
hospitalization. A 6-12-month follow-up of 26 patients revealed that 65.4% had
post-HUS sequelae (persistent hypertension and chronic renal failure in 34.6% and
30.8%, respectively). CONCLUSIONS: The principal STEC serotype involved was
O26:H11 and the number of confirmed HUS cases reached half of the patients.
Compared with the medical literature, this outbreak had a higher rate of
complications and renal sequelae and was associated with a high fatality rate.
PMID- 29807725
TI - Uncommon pediatric stroke caused by MCA dissection presenting as initial loss of
consciousness.
PMID- 29807726
TI - Centralised versus decentralised manufacturing and the delivery of healthcare
products: A United Kingdom exemplar.
AB - BACKGROUND: The cell and gene therapy (CGT) field is at a critical juncture.
Clinical successes have underpinned the requirement for developing manufacturing
capacity suited to patient-specific therapies that can satisfy the eventual
demand post-launch. Decentralised or 'redistributed' manufacturing divides
manufacturing capacity across geographic regions, promising local, responsive
manufacturing, customised to the end user, and is an attractive solution to
overcome challenges facing the CGT manufacturing chain. METHODS: A study was
undertaken building on previous, so far unpublished, semi-structured interviews
with key opinion leaders in advanced therapy research, manufacturing and clinical
practice. The qualitative findings were applied to construct a cost of goods
model that permitted the cost impact of regional siting to be combined with
variable and fixed costs of manufacture of a mesenchymal stromal cell product.
RESULTS: Using the United Kingdom as an exemplar, cost disparities between
regions were examined. Per patient dose costs of ~L1,800 per 75,000,000 cells
were observed. Financial savings from situating the facility outside of London
allow 25-41 additional staff or 24-35 extra manufacturing vessels to be employed.
Decentralised quality control to mitigate site-to-site variation was examined.
Partial decentralisation of quality control was observed to be financially
possible and an attractive option for facilitating release 'at risk'. DISCUSSION:
There are important challenges that obstruct the easy adoption of decentralised
manufacturing that have the potential to undermine the market success of
otherwise promising products. By using the United Kingdom as an exemplar, the
modelled data provide a framework to inform similar regional policy
considerations across other global territories.
PMID- 29807727
TI - What you should know about the low anterior resection syndrome - Clinical
recommendations from a patient perspective.
AB - INTRODUCTION: Functional bowel complaints, referred to as Low Anterior Resection
Syndrome (LARS), are common after sphincter-saving surgical procedures and have a
severe impact on quality of life (QoL). Care for LARS patients is complex and
surgeons underestimate or misinterpret its associated symptoms. This study aimed
to explore the impact of LARS from a patient perspective facilitating the
construction of a set of recommendations improving current care stratagems.
METHODS: In a non-academic Dutch teaching hospital, three focus group sessions
were conducted with 16 patients (males = 50%) who had undergone colorectal
surgery between 2012 and 2017. A trained moderator orchestrated patient
discussion regarding illness perception and health-care needs. Transcripts were
analysed using inductive content analysis. RESULTS: Three themes were identified:
illness perception, preoperative care and postoperative supportive care. Specific
attention and screening for LARS is deemed necessary for breaking the taboo
surrounding it. Extension of preoperative counselling on the normal postoperative
course, including ways to optimize social support, were identified as crucial.
After discharge, patients experienced a lack of supportive care regarding
functional complaints and did not know who to counsel. In addition, they felt
intrinsically motivated to actively prepare for surgery, i.e. by participating in
prehabilitation programs. CONCLUSION: Exploring perspectives in LARS patients
resulted in the identification of potential improvements in current care
pathways. Recommendations on ways to improve information provision, screening of
LARS and methods to intervene in the gap of supportive care after discharge are
presented. We recommend to implement these measures as QoL of patients undergoing
colorectal cancer surgery may be improved.
PMID- 29807728
TI - Preoperative neutrophil-to-lymphocyte ratio as a prognosticator in early stage
pancreatic ductal adenocarcinoma.
AB - BACKGROUND: The neutrophil-to-lymphocyte ratio (NLR), which reflects the cancer
induced systemic inflammation response, has been proposed as a risk factor for
poor long-term prognosis in cancer. We investigated the prognostic role of the
NLR and the relationship between the NLR and TNM stage in pancreatic ductal
adenocarcinoma (PDAC) patients following curative resection. METHODS: One-hundred
thirty-eight consecutive patients with resected PDAC were enrolled between 2004
and 2014. Univariate and multivariate analyses identified variables associated
with overall survival (OS) and recurrence-free survival (RFS). Patients were
stratified according to the NLR, with an NLR cut-off value of 2.2 being estimated
by receiver operating characteristic curve. RESULTS: Compared to patients with a
low NLR (<=2.2), those with a high preoperative NLR (>2.2) had worse OS and RFS
(P = 0.017, P = 0.029, respectively). For early-stage tumors, tumor size >=20 mm
and a high NLR were independent risk factors for poor OS (hazard ratio (HR):
3.255, 95% confidence interval (CI): 1.082-9.789, P = 0.036; HR: 3.690, 95% CI:
1.026-13.272, P = 0.046, respectively) and RFS (HR: 3.575, 95% CI: 1.174-10.892,
P = 0.025; HR: 5.380, 95% CI: 1.587-18.234, P = 0.007, respectively). The NLR was
not correlated with prognosis in patients with advanced stages. CONCLUSIONS: An
elevated preoperative NLR was an important prognosticator for early TNM stage
PDAC. The NLR, which is calculated using inexpensive and readily available
biomarkers, could be a novel tool for predicting long-term survival in patients,
especially those with early stage PDAC.
PMID- 29807729
TI - Preventable deaths in a French regional trauma system: A six-year analysis of
severe trauma mortality.
AB - BACKGROUND: Analyzing mortality in a mature trauma system is useful to improve
quality of care of severe trauma patients. Standardization of error reporting can
be done using the classification of the Joint Commission on the Accreditation of
Healthcare Organizations (JCAHO). The aim of our study was to describe
preventable deaths in our trauma system and to classify errors according to the
JCAHO taxonomy. METHODS: We performed a six-year retrospective study using the
registry of the Northern French Alps trauma network (TRENAU). Consecutive
patients who died in the prehospital field or within their stay at hospital were
included. An adjudication committee analyzed deaths to identify preventable or
potentially preventable deaths from 2009 to 2014. All errors were classified
using the JCAHO taxonomy. RESULTS: Within the study period, 503 deaths were
reported among 7484 consecutive severe trauma patients (overall mortality equal
to 6.7%). Seventy-two (14%) deaths were judged as potentially preventable and 36
(7%) deaths as preventable. Using the JACHO taxonomy, 170 errors were reported.
These errors were detected both in the prehospital setting and in the hospital
phase. Most were related to clinical performance of physicians and consisted of
rule-based or knowledge based failures. Prevention or mitigation of errors
required an improvement of communication among caregivers. CONCLUSIONS:
Standardization of error reporting is the first step to improve the efficiency of
trauma systems. Preventable deaths are frequently related to clinical performance
in the early phase of trauma management. Universal strategies are necessary to
prevent or mitigate these errors.
PMID- 29807730
TI - Pathogenicity of Antibodies against NMDA Receptor: Molecular Insights into
Autoimmune Psychosis.
AB - Recent years have seen a flourishing literature on detection of circulating
autoantibodies against neurotransmitter receptors in patients with
neuropsychiatric disorders. These studies have generated hope for a better
understanding of the underlying molecular dysfunctions and for appropriate
therapeutic strategies. However, the detection of these autoantibodies in healthy
subjects, and the lack of mechanistic insights have fostered debate about the
pathogenic role of such autoantibodies. Here, we specifically discuss the
biological evidence linking autoantibodies directed against the glutamatergic N
methyl-d-aspartate (NMDA) receptor (NMDAR-Abs) and psychosis, emphasising recent
single-molecule imaging investigations that unveiled the impaired surface
trafficking of NMDAR in the presence of NMDAR-Abs from psychotic patients.
Although still in its infancy, the hypothesis that NMDAR-Abs from patients with
psychosis play a pathogenic role is thus gaining support, opening avenues of
fundamental and translational investigations.
PMID- 29807731
TI - A Welcome Proposal to Amend the GMO Legislation of the EU.
AB - Is the European Union (EU) regulatory framework for genetically modified
organisms (GMOs) adequate for emerging techniques, such as genome editing? This
has been discussed extensively for more than 10 years. A recent proposal from The
Netherlands offers a way to break the deadlock. Here, we discuss how the proposal
would affect examples from public plant research.
PMID- 29807733
TI - [Understand the tobacco industry's strategy for recruiting teens: Lessons from a
1973 marketing document].
AB - : For years, the tobacco industry has organized the inoculation of tobacco
addiction to adolescents. METHOD: The analysis of a 1973 RJReynols(r) document
identified ten physical and psychological factors in order to increase the number
of young users for a brand of cigarettes. These young people are classified into
three groups: pre-smokers, learners and smokers. RESULT: The taste for pre
smokers and learners and nicotine for smokers are main physical parameters. The
industry clearly knows that tobacco is mainly consumed because of nicotine
addiction, so it is necessary to make adolescents addict. It is interesting to
note that cigarette pack was in 1973 a positive factor to attract young smokers,
whereas now with the arrival of the neutral packaging, the tobacco industry
declares that packaging has no influence to attract teenagers ! Of the
psychological factors, the only negative factor is the self-image of the smoker.
The tobacco industry already recognized in 1973 that smokers were unhappy about
smoking. For learners, self-image and the experience of adults are most important
factor, which is why the industry strives to create a positive image and convey
message that smoking initiation is a ritual to become adult. According to the
tobacco industry, stress and alleviation of boredom are also important points in
turning pre-smokers into learners and learners into smokers. CONCLUSION: This
article aims to provide practical tools for understanding industry initiatives
targeting adolescents. The attached tool can be used by the teens or adults
involved to understand the optimization of teenagers tobacco marketing.
PMID- 29807732
TI - [Smoking in France: What is the situation?]
AB - Tobacco consumption in France is still important. Several reasons explain this: a
great proximity between the State and the tobacco industry which allows an
important lobby up to the highest level of the State, valorization by the elites.
Yet things change gradually. The image of tobacco is less positive and the
epidemiology of consumption has changed since the early 2000s. While adult
consumption remains around 30% of daily smokers, youth consumption is steadily
decreasing (41% in 2000 vs. 25% in 2017). Another positive point is the decrease
in the number of smokers among general practitioners, 1994: 34% vs. 16% in 2015.
These points hide the current reality of smoking in France that kills 73,000
people/year and lung cancer rates that literally explode in women. In 20 years,
the incidence of lung cancer in women has increased from 5/10,000 people/year to
12/10,000 people years making lung cancer in women the leading cause of cancer
deaths from breast cancer. At the same time, men went from 50/10,000 to
40/10,000. Finally, this health impact is responsible for a major financial
impact: the social cost of tobacco in France is estimated at ? 120 billion a
year. Faced with these figures, the French policy to fight against tobacco has
been reinforced since 2016 with the adoption of the plain packet and a
significant increase in the price (+30% in 2 years).
PMID- 29807734
TI - Using serological studies to reconstruct the history of bluetongue epidemic in
French cattle under successive vaccination campaigns.
AB - Bluetongue virus is a vector-borne pathogen affecting ruminants that has caused
major epidemics in France. Reconstructing the history of bluetongue in French
cattle under control strategies such as vaccination has been hampered by the high
level of sub-clinical infection, incomplete case data and poor understanding of
vaccine uptake over time and space. To tackle these challenges, we used three age
structured serological surveys carried out in cattle (N = 22,342) from ten
administrative subdivisions called departments. We fitted catalytic models within
a Bayesian MCMC framework to reconstruct the force of seroconversion from
infection or vaccination, and the population-level susceptibility per semester
between 2007 and 2016. In the departments of the study area, we estimated that
36% of cattle had been infected prior to vaccine rollout that became compulsory
from July 2008. The last outbreak case was notified in December 2009, at which
time 83% of the animals were seropositive, under the cumulative effect of
vaccination and infection. The probability of seroconversion per semester dropped
below 10% after 2010 when vaccination became optional. Vaccine uptake was smaller
during the 2012 campaign than during the one in 2011, with strong regional
contrasts. Eighty four percent of cattle were susceptible when bluetongue re
emerged in 2015. Thus, serological surveys can be used to estimate vaccine uptake
and the magnitude of infection, the relative effect of which can sometimes be
inferred using prior knowledge on reported incidence and vaccination dates.
PMID- 29807735
TI - Evaluation of the fit of metal copings fabricated using stereolithography.
AB - STATEMENT OF PROBLEM: Rapid prototyping, including stereolithography (SLA), is a
more recent technique for fabricating metal frameworks than the conventional lost
wax technique. However, investigations of the marginal discrepancies and internal
spacing of cobalt-chromium (Co-Cr) metal copings fabricated using SLA are
lacking. PURPOSE: The purpose of this in vitro study was to evaluate the clinical
acceptability of the marginal discrepancies and internal spacing of Co-Cr metal
copings fabricated using the SLA technique. MATERIAL AND METHODS: A resin tooth
of a maxillary right first premolar was prepared with a deep chamfer margin for a
metal-ceramic crown. Titanium master dies were milled after scanning the prepared
tooth (n=45). In conventional lost wax group (group LW), the conventional lost
wax technique was used to fabricate Co-Cr metal copings (n=15). In milling group
(group MC), a computer-aided design (CAD) system was used to design the metal
copings, which were milled from Co-Cr alloy (n=15). The CAD system was also used
to design the metal copings in a 3D-printed group (group SL), and Co-Cr metal
copings were cast from resin patterns fabricated using the SLA device (n=15).
Marginal discrepancies and internal spaces were measured using an optical
microscope at *100 magnification at 11 reference points. The values were analyzed
statistically with 1-way analysis of variance (alpha=.05). RESULTS: The mean (+/
SD) overall space was 63.2 +/-16.6 MUm for group LW, 70.2 +/-15.5 MUm for group
SL, and 130.3 +/-13.8 MUm for group MC. The overall spaces differed significantly
between group MC and the other 2 groups (P<.05). The marginal discrepancy and
internal spaces were significantly larger in group MC than in groups LW and SL.
(P<.05). Occlusal spaces differed significantly among the 3 study groups (P<.05).
CONCLUSIONS: Co-Cr metal copings fabricated using an SLA technique showed
clinically acceptable marginal discrepancies and internal spaces. These spaces
did not differ significantly from those obtained with the conventional lost-wax
technique.
PMID- 29807736
TI - Retrievability of implant-supported zirconia restorations cemented on zirconia
abutments.
AB - STATEMENT OF PROBLEM: Retrievability of implant-supported restorations is
important. Data are lacking for cemented zirconia crowns on zirconia abutments.
PURPOSE: The purpose of this in vitro study was to investigate the influence of
different cements and marginal discrepancy on the retrievability of implant
supported zirconia crowns. Furthermore, the influence of thermocycling on
retrievability was evaluated. MATERIAL AND METHODS: Thirty tapered Camlog
zirconia abutments (6-degree taper, 6*4.3 mm) were used. Thirty zirconia crowns
with 3 different marginal cementation discrepancies (70, 130, 190 MUm) were
fabricated by using computer-aided design and computer-aided manufacturing (CAD
CAM) technology. Five cements for interim or semidefinitive cementation were
used: eugenol-free zinc oxide (Freegenol) and acrylurethane (ImProv) and 3
different composite resin cements (X-Pand Implant, Dyna Implant, Telio CS Cem
Implant). Specimens underwent either 3-day storage in sodium chloride or
thermocycling (10 000 cycles). Crowns were removed by using a universal testing
machine (UTM) and a clinical removal device. Data were analyzed using 1-way ANOVA
and the Scheffe test (alpha=.05). RESULTS: Thermocycling decreased the retention
force significantly (P<.001). Marginal discrepancy (70 to 190 MUm) was not
significantly influential on retrievability (P>.05). Therefore, groups were
pooled according to the factor of marginal discrepancy. The mean retention force
using the UTM after 3-day storage and thermocycling was as follows: Freegenol,
235 +/-42 N (thermocycling, 29 +/-9 N); Improv, 110 +/-50 N (thermocycling, 35 +/
38 N); Telio CS, 104 +/-17 N (thermocycling, 6 +/-10 N); Dyna implant, 61 +/-17 N
(thermocycling, 1 +/-1 N); and X-Pand, 50 +/-16 N (thermocycling, 2 +/-2 N).
CONCLUSIONS: Retention forces of the tested cements were significantly different
and decreased considerably after thermocycling. Marginal cementation discrepancy
between 70 and 190 MUm did not influence retrievability.
PMID- 29807737
TI - Orientation of digital casts according to facial lines.
PMID- 29807738
TI - Interim restoration using dynamic abutments to re-treat a single-implant crown
with a labial angulation: A clinical report.
AB - The cause of some peri-implant problems may be primarily attributable to the
design of the prosthesis. A screw-retained interim implant may be advisable for
reversibility and to avoid peri-implant cement, although screw retention may be
difficult for maxillary anterior implants with a labial angulation. In the
treatment described, a interim screw-retained crown was attached to a dynamic
abutment with a lingual screw access hole to obtain a correctly fitting
restoration.
PMID- 29807739
TI - Impending total airway collapse involving a maxillary removable partial denture:
A clinical report.
AB - This article presents a rare incident of a bilateral maxillary removable partial
denture as an airway foreign body. The removable partial denture and the
surrounding soft tissue irritation caused partial obstruction of the airway.
Impending airway collapse was avoided after successful removal of the foreign
body. Removing a removable dental prostheses at night, not only to prevent soft
tissue pathology but also to avoid ingestion or aspiration of the prosthesis,
must be emphasized.
PMID- 29807740
TI - Assessment of CAD-CAM zirconia crowns designed with 2 different methods: A self
controlled clinical trial.
AB - STATEMENT OF PROBLEM: In computer-aided design and computer-aided manufacturing
(CAD-CAM) dentistry, the correlation method is an efficient way to design
complete crowns. However, the occlusal relationship and patient satisfaction with
prostheses fabricated using this method remain unclear. PURPOSE: The purpose of
this clinical trial was to compare the occlusion of monolithic zirconia crowns
designed using the correlation and library methods. MATERIAL AND METHODS: Twenty
three teeth of 21 participants received 2 monolithic zirconia crowns designed by
using the correlation or the library method. Defective teeth were scanned using
an intraoral scanner to obtain references and working casts from before and after
the preparation. Before cementation, the occlusal relationship of both crowns and
patient satisfaction were evaluated, and the occlusal adjustment time was
recorded. RESULTS: The correlation method resulted in less lateral occlusal
interference of the crowns than the library method (P<.01). The occlusal
adjustment times of the correlation and library conditions were 455.8 +/-357.1
seconds and 575.3 +/-488.0 seconds, respectively (P>.05). Relative occlusal force
was significantly higher in the correlation than in the library condition and was
related to before preparation relative occlusal force (r=0.706, P<.01). The
visual analog score before occlusal adjustment was higher in the correlation than
in the library condition (P<.05). The occlusal contacts, occlusal contact
distributions, and number of occlusal contacts did not differ between conditions
(P>.05). CONCLUSIONS: Better eccentric occlusion and reduced lateral occlusal
interference were obtained when the correlation method was used to design crowns.
The correlation method yielded higher relative occlusal force, which helped to
restore the original occlusal force.
PMID- 29807741
TI - A simplified technique to record implant positions when fabricating a conversion
prosthesis for immediate loading.
PMID- 29807742
TI - Flexural strength, fracture toughness and translucency of cubic/tetragonal
zirconia materials.
AB - STATEMENT OF PROBLEM: The development of zirconia materials with optimized
properties has been rapid, and studies comparing the mechanical and optical
properties of recently introduced zirconia with lithium disilicate materials are
limited. PURPOSE: The purpose of this in vitro study was to compare the
mechanical and optical properties of cubic/tetragonal zirconia materials with
those of a lithium disilicate ceramic. MATERIAL AND METHODS: Specimens were
fabricated from 6 different noncolored zirconia materials: Ceramill Zolid FX
(CZ), CopraSmile (CS), DD cubeX2 (DD), NOVAZIR MaxT (NZ), priti multidisc ZrO2
(PD), and StarCeram Z-Smile (SC), and 1 lithium disilicate ceramic as a control,
IPS e.max Press LT A2 (CG). Four-point flexural strength (N=105/n=15) and
fracture toughness using the single-edge V-notched beam (N=105/n=15) were
examined according to International Organization for Standardization standard
6872:2015. Translucency (N=70/n=10) was evaluated with an ultraviolet
spectrophotometer. Grain size (N=6/n=1) of zirconia was investigated by using
scanning electron microscopy. Data were analyzed using the Kolmogorov-Smirnov
test, multivariate analysis, 1-way analysis of variance, followed by the post hoc
Scheffe test and Kruskal-Wallis and Mann-Whitney U tests, and Weibull analysis,
using the maximum likelihood estimation method at 95% confidence level
(alpha=.05). RESULTS: Zirconia materials showed higher mechanical and lower
optical properties than CG (P<.001). No differences were observed among the
zirconia materials with respect to flexural strength (P=.259) or fracture
toughness (P=.408). CG and CS showed significantly higher Weibull modulus than SC
and PD. The lowest translucency values were measured for NZ and SC, followed by
CS, DD, and PD (P<.001). CZ showed the highest translucency values (P<.001). The
lowest grain sizes were found for NZ, DD, and SC; the largest were shown for CS
(P<.001). CONCLUSIONS: Cubic/tetragonal zirconia showed better mechanical
properties than lithium disilicate ceramic. However, the optical properties and
the reliability of zirconia are lower than those of lithium disilicate ceramic.
PMID- 29807743
TI - Role of laser or photodynamic therapy in treatment of denture stomatitis: A
systematic review.
AB - STATEMENT OF PROBLEM: Denture stomatitis (DS) is an inflammatory oral lesion that
occurs mostly in elderly denture wearers and that can negatively affect their
quality of life. The conventional treatment plan for DS is topical or systemic
fungicidal drug therapy, which are not without side effects. PURPOSE: The purpose
of this systematic review was to evaluate the clinical outcomes of using low
level laser therapy (LLLT) or photodynamic therapy (PDT) in the treatment of DS
by reviewing high-quality published articles. MATERIAL AND METHODS: The Cochrane
Library, Web of Science, Google Scholar, PubMed, ProQuest, Scopus, Embase, and
Ovid databases were searched using appropriate key words. Related titles and
abstracts published up to May 2017 were screened and selected based on defined
inclusion criteria. The full texts of all selected articles were read, and
citation lists were checked for missing references. All randomized controlled
trials (RCT) were subjected to quality assessments. RESULTS: A total of 760
articles were included in the study after the initial search. Six studies were
selected for assessment of eligibility, and 4 of them were sufficiently reliable
in methodology and randomization to be included in the study. The applied
wavelength varied from 455 nm to 830 nm. Also, the highest energy patients were
exposed to was 122 J/cm2, and the lowest was 1 J/cm2. Exposure times ranged from
10 seconds to 26 minutes in the reviewed studies. CONCLUSIONS: Based on the
available RCTs, LLLT has a significant role in the clinical treatment of DS.
However, the results of PDT were similar to those of conventional antifungal
therapies.
PMID- 29807744
TI - Effects of fabrication and shading technique on the color and translucency of new
generation translucent zirconia after coffee thermocycling.
AB - STATEMENT OF PROBLEM: The color stability and translucency of preshaded and
externally shaded monolithic and veneered new generation translucent zirconia are
not well known. PURPOSE: The purpose of this in vitro study was to investigate
the effect of fabrication (veneered or monolithic) and shading (preshaded or
externally shaded) techniques on the color and relative translucency of
translucent zirconia after coffee thermocycling. MATERIAL AND METHODS: Specimens
of different thicknesses (0.5 mm for veneered and 1.5 mm for monolithic) were
sectioned from preshaded and externally shaded translucent zirconia. Externally
shaded specimens were colored by using the dipping technique. Externally shaded
(Ext Mono) and preshaded (Pre Mono) monolithic zirconia specimens were sintered
and glazed. Externally shaded (Ext Vene) and preshaded (Pre Vene) 0.5-mm-thick
specimens were sintered, veneered with feldspathic porcelain (1 mm), and glazed.
The color coordinates of specimens were measured with a spectroradiometer before
and after 10 000 thermocycles in coffee solution. Color differences were
calculated using CIEDE2000, and relative translucency parameter (RTP) values were
calculated using the RTPCIEDE2000 formula. Analysis of variance (ANOVA) was used
to analyze the CIEDE2000 color differences and RTP values (alpha=.05). RESULTS:
Shading technique had a significant effect on the color difference values
(P=.018). For the translucency data, the 3-way ANOVA revealed a significant
interaction between the fabrication technique and shading technique (P=.002).
Each pair of material subgroups within each combination of fabrication technique
and shading technique was found to have a significantly different RTP, except
between Ext Vene and Pre Vene (P=.115). CONCLUSIONS: Externally shaded
translucent zirconia had a greater color change in coffee than the preshaded
translucent zirconia, either in monolithic or veneered form. Fabrication
technique significantly affected the RTP, and the monolithic zirconia was more
translucent than the veneered zirconia.
PMID- 29807745
TI - Computer-guided implant removal: A clinical report.
AB - Occasionally, osseointegrated dental implants must be removed because of
complications such as malpositioning or screw fracture. This is most often
accomplished with a surgical handpiece and trephine. However, a flap is often
required to access and visualize the implants. This paper presents a treatment in
which computer planning and a 3-dimensional-printed, customized fabricated,
surgical guide was used to assist in implant removal. This technique simplified
the procedure, allowed conservative removal of peri-implant bone, and permitted
subsequent immediate implant replacement.
PMID- 29807746
TI - Rad5, HLTF, and SHPRH: A Fresh View of an Old Story.
AB - Not only have helicase-like transcription factor (HLTF) and SNF2 histone-linker
PHD-finger RING-finger helicase (SHPRH) proved to be important players in post
replication repair like their yeast counterpart, Rad5, but they are also involved
in multiple biological functions and are associated with several human disorders.
We provide here an updated view of their functions, associated diseases, and
potential therapeutic approaches.
PMID- 29807747
TI - Frail Elderly, Inconspicuous Finance System, Complex Healthcare: Are We Realists
or Optimists?
PMID- 29807748
TI - Associations of Body Mass Index and Waist Circumference with 3-Year All-Cause
Mortality Among the Oldest Old: Evidence from a Chinese Community-Based
Prospective Cohort Study.
AB - OBJECTIVE: Current international and national guidelines for body mass index
(BMI) and waist circumference (WC) have been recommended to all adults. However,
whether recommendations applied to the oldest old (aged 80+) is poorly known. The
study objective was to investigate the relation of BMI and WC with 3-year all
cause mortality among the oldest old. DESIGN, SETTING, AND PARTICIPANTS: A total
of 4361 Chinese oldest old (mean age 91.8) participated in this community-based
prospective cohort study. MEASUREMENTS: BMI and WC were measured at baseline in
2011 and were used as continuous variables and as categorized variables by
recommendations or by tertiles. Adjusted, sex-stratified Cox models with
penalized splines and Cox models were constructed to explore the association.
RESULTS: Greater BMI and WC were linearly associated with lower mortality risk in
both genders. The mortality risk was the lowest in overweight or obese
participants (BMI >= 24.0) and was lower in participants with abdominal obesity.
Compared to the upper tertile, those in the middle and lower tertile of BMI had a
higher risk of mortality for men [hazard ratio (HR): 1.23 (1.02-1.48) and 1.53
(1.28-1.82)] and for women [HR: 1.21 (1.03-1.41) and 1.35 (1.15-1.58)]; it was
also found in participants in the middle and lower tertile of WC for men [HR:
1.21 (1.01-1.46) and 1.41 (1.18-1.69)] and for women [HR: 1.35 (1.15-1.58) and
1.55 (1.32-1.81)] (all the P values for trend <.001). These findings were robust
in further sensitivity analyses or when using propensity score matching, in
subgroup analyses, or in octogenarians, nonagenarians, and centenarians.
CONCLUSIONS: In Chinese oldest old, both higher BMI and higher WC predict better
survival in both genders. The finding suggests optimal BMI and WC may be
sensitive to age, thus, the current recommendations for the oldest old may need
to be revisited.
PMID- 29807749
TI - Diagnostic accuracy of plasma atrial natriuretic peptide concentrations in cats
with and without cardiomyopathies.
AB - OBJECTIVES: Plasma atrial natriuretic peptide (ANP) levels have been reported to
be elevated in cats with cardiomyopathy. We investigated the diagnostic accuracy
of plasma ANP concentration as an indicator of the severity of cardiomyopathies.
ANIMALS: This study included 78 control cats and 83 cats with various types of
cardiomyopathy. METHODS: This was a prospective multicentre study. Control cats
were determined to have a normal heart, and diseased cats were diagnosed by
echocardiography. Diseased cats were divided into asymptomatic cats without left
atrial dilation (LAD), asymptomatic cats with LAD, and cats with heart failure.
Plasma C-terminal ANP concentrations were measured using chemiluminescence.
RESULTS: The median plasma ANP concentration in controls was 43.3 (interquartile
range, 33.0-56.3) pg/mL. Plasma ANP values were significantly higher in the
cardiomyopathic cats with LAD and heart failure, but the values in cats without
LAD were comparable to those in control cats. To distinguish cats with
cardiomyopathy from controls, a plasma ANP concentration >77.5 pg/mL afforded
sensitivity of 66.3% and specificity of 84.6%. Use of plasma ANP concentration
>110.9 pg/mL to identify cats with LAD had a sensitivity of 73.6% and specificity
of 93.5%. The areas under the receiver-operating characteristic curve were 0.80
and 0.86. CONCLUSIONS: Plasma ANP concentrations were higher in cats with more
advanced cardiomyopathy. Although assaying the ANP concentration alone may not
help to diagnose cardiac disease, measuring provides additional information that
is useful for assessing the severity of cardiomyopathies.
PMID- 29807750
TI - Polymerase chain reaction detection of Bartonella spp. in dogs from Spain with
blood culture-negative infectious endocarditis.
AB - OBJECTIVES: The presence of Bartonella spp. was detected by polymerase chain
reaction (PCR) in dogs from Spain with blood culture-negative endocarditis. The
aim of this study is to add information about canine infectious endocarditis in
Europe. ANIMALS: Thirty dogs with naturally occurring blood culture-negative
endocarditis were examined from 2010 to 2017 at three veterinary referral
hospitals, located in northwest, northeast, and southeast of Spain. METHODS: It
is a retrospective study. Medical records were reviewed to extract relevant data.
Frozen or paraffin-embedded cardiac valve tissue and/or ethylenediamine
tetraacetic acid blood samples were evaluated by PCR for the presence of
Bartonella DNA. Positive results were sequenced to confirm the species. RESULTS:
Polymerase chain reaction was positive for eight out of 30 dogs included (26.6%).
Bartonella rochalimae, Bartonella vinsonii subsp. berkhoffii, and Bartonella
koehlerae were detected in valve tissue or blood. CONCLUSIONS: Bartonella could
be an important cause of blood culture-negative infectious endocarditis in dogs
from Spain. The outcome for those dogs affected with Bartonella spp. was grave.
Prompt empirical treatment with amoxicillin-clavulanate plus fluoroquinolones
could be of value in cases of blood culture-negative endocarditis.
PMID- 29807751
TI - Ethics Committees in Ayurvedic PG institutions: Losing opportunities of making an
impact.
AB - Ethics is a crucial component of medical practice world over and also an
indispensable part of medical research. Ethics in medical practice primarily
refers to not harming the patients by the proposed interventions; similarly
ethics in research refers to assuring optimal care of the participants and
causing no harm to them on account of research. Ethics in research has come a
long way from its voluntarily application on moral grounds to a mandatory
condition regulated by the state of law. Ethics Committees (ECs) have been
erected at research institutions to safeguard the interest of patients, to ensure
their safety during any such trial and to assure the accountability of the
researcher in case of any unforeseen event. Such committees therefore have a
noble role to play in the form of promoting ethical practices in research.
Ayurvedic clinical research also follows the similar path by erecting ECs at its
research institutions. However, in reality, such committees are found much away
from the principle of their inception and method of functioning. In the absence
of accountability and clear objectivity, such ECs at Ayurvedic research
institutions are not serving any purpose. This article critically examines the
positioning of ECs at Ayurveda research institutions and suggests pragmatic
mechanisms to ensure their role in improving the quality of research in Ayurveda.
PMID- 29807752
TI - Targeted Glycemic Control for Adult Patients With Type 2 Diabetes Mellitus in the
Acute Care Setting.
AB - Diabetes mellitus and complications arising from the disease are a leading cause
of morbidity and mortality worldwide. With increasing prevalence over the past 50
years and an estimated 20% of health-care spending dedicated to the disease,
diabetes is considered by many to be a true public health emergency. Several
protocols and management options exist to maximize glycemic control in the
ambulatory setting, but the optimal glucose level in critically and noncritically
ill inpatients is still debated. This review examines the evidence behind
differing degrees of glycemic control across a variety of hospital settings and
clinical scenarios. Patients presenting to the emergency department who are found
to be hyperglycemic pose additional management challenges for clinicians. In this
setting, no consensus exists for optimal serum glucose level and safe discharge
parameters.
PMID- 29807753
TI - From Snow to Hill to ALS: An epidemiological odyssey in search of ALS causation.
AB - BACKGROUND: Establishing mechanisms of disease causation in neurodegenerative
diseases has long seemed to be beyond the pale of traditional epidemiological
tools. Establishing a plausible mechanism for initiation of amyotrophic lateral
sclerosis (ALS) has appeared a particularly elusive goal. This review shows that
a likely mechanism for ALS initiation may be inferred by applying classical
methods of epidemiological inference. KEY POINTS: Advances in characterizing the
biology of ALS suggest that most cases of ALS are cortically-generated, part of
the ALS-FTD spectrum, with focal onset and spread by contiguity within the motor
super-network. Evidence-based methods identified the most credible exogenous risk
factor - smoking. AB Hill's nine viewpoints to inferring causation from
association were invoked. The most likely mechanism consistent with smoking being
a risk factor for ALS was inferred: cumulative DNA damage, akin to cumulative
somatic mutations in carcinogenesis. Focal onset supports the concept that these
changes, occurring in a single cell, may trigger the cascade leading to clinical
ALS. The plausibility of this mechanism was affirmed by its coherence/consistency
with other observations in sporadic, familial and western Pacific ALS.
CONCLUSION: Application of traditional epidemiological reasoning suggests that
cumulative DNA damage may contribute to disease onset in ALS.
PMID- 29807754
TI - Predictive value of comorbidity and anemia on outcome in patients with sinonasal
carcinoma.
AB - INTRODUCTION: To evaluate the influence of comorbidities and anemia on outcome
and survival in patients with sinonasal carcinomas. MATERIALS AND METHODS: Review
of medical records of 121 patients with carcinoma treated at a single quaternary
medical care and cancer center between 2002 and 2015. Comorbidities were
classified according to the age-adjusted Charlson comorbidity index (ACCI)
scoring system. RESULTS: 71 patients were male, 50 were female. Median age was 65
years, and the median interval between tumor diagnosis and date of study
inclusion was 71 months. The most common histological subtype was squamous cell
carcinoma (n = 64). The median ACCI score was 2 and the most frequent ACCI score
was 0 (n = 26). Mean overall survival was 52.2 months (ACCI 0-2) and 39.5 months
(ACCI >= 3), respectively (p < 0.0001). Mean disease-free survival was 52.9
months (ACCI 0-2) and 45.5 months (ACCI >= 3), respectively (p = 0.026). Mean
overall survival in patients without anemia was 49.5 months, compared with 39.8
months in patients with anemia (p = 0.043). CONCLUSION: Comorbidity is an
independent risk factor on overall, disease-free and disease-specific survival in
patients with sinonasal carcinoma. Anemia is associated with shorter overall
survival. This is the first study evaluating comorbidity using the ACCI scoring
system and focusing on patients with sinonasal carcinoma.
PMID- 29807755
TI - Gunshot residue (GSR) analysis by single particle inductively coupled plasma mass
spectrometry (spICP-MS).
AB - Single particle inductively coupled plasma mass spectrometry (spICP-MS) was
investigated as a screening-level technique for the analysis and characterization
of inorganic gunshot residue (IGSR) nanoparticles. spICP-MS works with undigested
samples whereby nanoparticles (NPs) in a suspension are individually atomized and
ionized as they reach the plasma, each resulting in a pulse of analyte ions that
can be quantified. The method is rapid, and signals from hundreds of NPs can be
collected in 1-2min per sample. The technique is quantitative for NP mass and
number concentration when only one element (single element mode) is measured
using a quadrupole MS. Likewise, a qualitative elemental fingerprint can be
obtained for individual NPs when peak-hopping between two elements (dual element
mode). For this proof of concept study, each shooter's hand was sampled with
ultrapure water or swab to obtain NPs suspensions. Measurements of antimony,
barium, and lead were performed using both analysis modes. With no sample
preparation and fully automated sample introduction, it is possible to analyze
more than 100 samples in a day. Results show that this technique opens a new
perspective for future research on GSR sample identification and characterization
and can complement SEM/EDX analysis.
PMID- 29807756
TI - Modern (forensic) mummies: A study of twenty cases.
AB - Twenty mummies discovered in a forensic context between 2002 and 2016 were
compiled in this work. 15 cases were excluded and 15 cases of forensic mummies
were found in the literature. In the current work the percentage of mummification
was calculated by "the rule of nines" used for describing burned injuries in
livings. Dry and hot environments, emaciation, little access to flies are
favorable conditions for mummification. Nevertheless mummification was also
observed in other cold and humid environments. Extensive mummification (defined
in this work as "at least 50% of mummification of the body skin) has occurred in
as little as three weeks in the current series. The post mortem interval was
estimated by indirect clues. The cause of death was usually impossible to
establish.
PMID- 29807758
TI - Redox Pathways as Drug Targets in Microaerophilic Parasites.
AB - The microaerophilic parasites Entamoeba histolytica, Trichomonas vaginalis, and
Giardia lamblia jointly cause hundreds of millions of infections in humans every
year. Other microaerophilic parasites such as Tritrichomonas foetus and
Spironucleus spp. pose a relevant health problem in veterinary medicine.
Unfortunately, vaccines against these pathogens are unavailable, but their
microaerophilic lifestyle opens opportunities for specifically developed
chemotherapeutics. In particular, their high sensitivity towards oxygen can be
exploited by targeting redox enzymes. This review focusses on the redox pathways
of microaerophilic parasites and on drugs, either already in use or currently in
the state of development, which target these pathways.
PMID- 29807757
TI - Intravenous Thrombolysis in Patients with Acute Ischemic Stroke after a Reversal
of Dabigatran Anticoagulation with Idarucizumab: A Real-World Clinical
Experience.
AB - BACKGROUND: Intravenous thrombolysis (IVT) is contraindicated in patients with
acute ischemic stroke (AIS) using oral anticoagulants. A specific human
monoclonal antibody was introduced to reverse immediately the anticoagulation
effect of the direct inhibitor of thrombin, dabigatran. Until now, mostly
individual cases presenting with successful IVT after a reversal of dabigatran
anticoagulation in patients with AIS were published. Thus, we aimed to report
real-world data from clinical practice. METHODS: Patients with AIS on dabigatran
treated with IVT after antidote reversal were enrolled in the retrospective
nationwide study. Neurological deficit was scored using the National Institutes
of Health Stroke Scale (NIHSS) and 90-day clinical outcome using modified Rankin
scale (mRS) with a score 0-2 for a good outcome. Intracerebral hemorrhage (ICH)
was defined as a presence of any sign of bleeding on control imaging after IVT,
and symptomatic intracerebral hemorrhage (SICH) was assessed according to the
Safe Implementation of Thrombolysis in Stroke-Monitoring Study (SITS-MOST)
criteria. RESULTS: In total, 13 patients (7 men, mean age 70.0 +/- 9.1 years)
with a median NIHSS admission score of 7 points were analyzed. Of these patients,
61.5% used 2 * 150 mg of dabigatran daily. Antidote was administrated 427 +/- 235
minutes after the last intake of dabigatran, with a mean activated prothrombin
time of 38.1 +/- 27.8 seconds and a mean thrombin time of 72.2 +/- 56.1 seconds.
Of the 13 patients, 2 had ICH and 1 had SICH, and no other bleeding complications
were observed after IVT. Of the total number of patients, 76.9% had a good 3
month clinical outcome and 3 patients (23.1%) died. Recurrent ischemic stroke
occurred in 2 patients (15.4%). CONCLUSION: The data presented in the study
support the safety and efficacy of IVT after the reversal of the anticoagulation
effect of dabigatran with antidote in a real-world clinical practice.
PMID- 29807759
TI - Single-Cell Transcriptome Profiling of Protozoan and Metazoan Parasites.
AB - Single-cell RNA sequencing (scRNAseq) technologies are changing the way we study
populations of cells by allowing for an unbiased characterization of the
composition of these populations. This Forum article highlights outstanding
questions in parasitology that could benefit from scRNAseq and provides guiding
thoughts for planning such experiments.
PMID- 29807760
TI - Thrombotic and Bleeding Events After Percutaneous Coronary Intervention in Out-of
hospital Cardiac Arrest With and Without Therapeutic Hypothermia.
PMID- 29807761
TI - A Global Assessment of Circulating Prolysyl Oxidase in Nonischemic Patients With
Garden-variety Heart Failure With Preserved Ejection Fraction.
AB - INTRODUCTION AND OBJECTIVES: Lysyl oxidase is overexpressed in the myocardium of
patients with hypertensive cardiomyopathy. We aimed to explore whether patients
with hypertensive-metabolic heart failure with preserved ejection fraction (HM
HFpEF) also have increased concentrations of circulating prolysyl oxidase (cpLOX)
and its possible consequences. METHODS: We quantified cpLOX concentrations in 85
nonischemic patients with stage C, HM-HFpEF, and compared them with those of 51
healthy controls. We also assessed the correlations of cpLOX with myocardial
stiffness parameters, collagen turnover products and fibrogenic cytokines, as
well as the predictive value of plasma proenzyme levels at 1-year of follow-up.
RESULTS: We detected raised cpLOX values and found that they correlated with
calculated E/E' ratios and stiffness constants. The subgroup of patients with
type I diastolic dysfunction showed a single negative correlation between cpLOX
and B-type natriuretic peptide whereas patients with a restrictive diastolic
pattern showed a strong correlation between cpLOX and galectin-3. Kaplan-Meier
analysis revealed that cpLOX > 52.20 ng/mL slightly increased the risk of a fatal
outcome (log-rank = 4.45; P = .034). When Cox regression was used, cpLOX was
found to be a significant independent predictor of cardiovascular death or
hospitalization due to the decompensation of HM-HFpEF (HR, 1.360; 95%CI, 1.126
1.638; P = .046). CONCLUSIONS: Patients with symptomatic HM-HFpEF show high cpLOX
serum levels associated with restrictive diastolic filling indices. These levels
represent a moderate risk factor for poor clinical outcome. Throughout the
natural history of HM-HFpEF, we observed that cpLOX concentrations were initially
negatively correlated with B-type natriuretic peptide but positively correlated
with galectin-3 as advanced diastolic dysfunction developed.
PMID- 29807762
TI - High resolution three-dimensional strain mapping of bioprosthetic heart valves
using digital image correlation.
AB - Transcatheter aortic valve replacement (TAVR) is a safe and effective treatment
option for patients deemed at high and intermediate risk for surgical aortic
valve replacement. Similar to surgical aortic valves (SAVs), transcatheter aortic
valves (TAVs) undergo calcification and mechanical wear over time. However, to
date, there have been limited publications on the long-term durability of TAV
devices. To assess longevity and mechanical strength of TAVs in comparison to
surgical bioprosthetic valves, three-dimensional deformation analysis and strain
measurement of the leaflets become an inevitable part of the evaluation. The goal
of this study was to measure and compare leaflet displacement and strain of two
commonly used TAVs in a side-by-side comparison with a commonly used SAV using a
high-resolution digital image correlation (DIC) system. 26-mm Edwards SAPIEN 3,
26-mm Medtronic CoreValve, and 25-mm Carpentier-Edwards PERIMOUNT Magna surgical
bioprosthesis were examined in a custom-made valve testing apparatus. A time
varying, spatially uniform pressure was applied to the leaflets at different
loading rates. GOM ARAMIS(r) software was used to map leaflet displacement and
strain fields during loading and unloading. High displacement regions were found
to be at the leaflet belly region of the three bioprosthetic valves. In addition,
the frame of the surgical bioprosthesis was found to be remarkably flexible, in
contrary to CoreValve and SAPIEN 3 in which the stent was nearly rigid under a
similar loading condition. The experimental DIC measurements can be used to
characterize the anisotropic materiel behavior of the bioprosthetic heart valve
leaflets and validate heart valve computational simulations.
PMID- 29807763
TI - Influence of ablation technique on treatment failure for perivascular
hepatocellular carcinoma.
PMID- 29807764
TI - Gene expression changes in uterine myomas in response to ulipristal acetate
treatment.
AB - RESEARCH QUESTION: Does ulipristal acetate (UPA) modify the expression of genes
related to apoptosis or the extracellular matrix in uterine myomas and are any
modifications associated with a clinical response? DESIGN: Targeted analysis of
176 apoptosis- or extracellular-matrix-related genes was conducted using
polymerase chain reaction (PCR) arrays. Relevant results were validated by
quantitative PCR. Four groups were established: responsive short-term (one
course, n = 9), responsive long-term (two to four courses, n = 9), non-responsive
(n = 9), and the control group who was not given any hormone therapy (n = 9). The
clinical response was monitored by medical imagery and considered significant
when volume reduction was greater than 25%. RESULTS: Compared with untreated
myomas, significant changes in expression of four genes were found in UPA-treated
myomas. Gene expression of integrin subunit beta 4 was repressed by UPA treatment
(fold change [FC] = -12.50, P < 0.001, q < 0.001), tenascin-C expression was
downregulated in UPA-responsive patients (FC = -2.50, P = 0.010, q = 0.090),
survivin was repressed in short-term UPA-responsive tumours (FC = -7.69, P <
0.001, q = 0.010), and catenin delta 2 gene expression was upregulated in non
responsive myomas (FC = +7.36, P < 0.001, q = 0.010). CONCLUSION: This
characterization provides the first molecular distinction between myomas
responsive or non-responsive to UPA treatment.
PMID- 29807765
TI - Laparoscopic step 1 ALPPS with microwave transection of the liver for Klatskin
tumors: Is it worthwhile?
PMID- 29807766
TI - Lower tacrolimus trough levels in the late period after living donor liver
transplantation contribute to improvements in long-term clinical outcomes.
AB - BACKGROUND: Previous studies have emphasized the need to reduce tacrolimus (TAC)
trough levels in the early post-liver transplantation (LT) period. However,
whether late-period TAC trough levels influence the long-term outcomes of liver
recipients is not clear. METHODS: We enrolled 155 adult liver recipients survived
more than 3 years after living donor liver transplantation because of non
malignant liver diseases. The maintenance immunosuppressive regimens were TAC
monotherapy and combined therapy with mycophenolate mofetil. Patients were
divided into three groups according to their late-period TAC trough levels: < 3
ng/mL group, 3-5 ng/mL group, and >5 ng/mL group. The complications and adverse
effects of TAC were analyzed. RESULTS: Each group showed similar rejection, graft
loss and mortality. Patients achieved the < 5 ng/mL state in less than 4 years
had fewer new-onset diabetes, hyperlipidemia, de novo malignancies, and hepatitis
B virus recurrence; the complications of renal dysfunction and hypertension rates
were the same among these 3 groups. CONCLUSIONS: Collectively, our findings
indicated that lower TAC trough levels in the late period of liver
transplantation are safe, improve the long-term outcomes.
PMID- 29807767
TI - Primary lymphoma of the appendix: A case report and review of the literature.
PMID- 29807768
TI - Obesity, weight change, and mortality in older adults with metabolic
abnormalities.
AB - BACKGROUND AND AIMS: It is expected that older adults with metabolic
abnormalities may benefit from weight loss; however, data on this population are
limited. Our study was to assess the effect of obesity and weight change on
mortality risk in older adults with metabolic abnormalities. METHODS AND RESULTS:
A total of 3649 Chinese older adults aged 60-90 years with metabolic
abnormalities were included between 2000 and 2014. Weight change between two
health checkup periods was calculated. During a median follow-up period of 37
months, 503 all-cause mortality and 235 cardiovascular disease mortality
occurred. Death rate was the lowest in overweight participants and in the
participants with weight stability. After adjustment for covariates, hazard
ratios (95% confidence intervals) of overweight participants for all-cause
mortality and cardiovascular mortality were 0.71 (0.59, 0.86) and 0.72 (0.55,
0.95), respectively, whereas obesity was not significantly associated with
mortality risk. Furthermore, relative to weight stability, risks of mortality
significantly increased with the increase in weight loss or weight gain, except
small weight gain. These associations were unchanged when the participants were
stratified by baseline covariates and even when several definitions of weight
change were considered. CONCLUSIONS: Overweight was associated with less
mortality risk, and obesity was not associated with mortality risk in older
adults with metabolic abnormalities. Mortality risk increased with the increase
in weight loss or weight gain, regardless of body weight levels at the baseline.
These findings suggest that maintaining a stable weight may be the best choice in
older adults with metabolic abnormalities.
PMID- 29807769
TI - Reply to Dr. Rezaei and Dr. Gholami.
PMID- 29807770
TI - Transient corneal epithelial bullae associated with large diameter scleral lens
wear: A case series.
AB - With the increased fitting of scleral lenses by eye care practitioners,
complications with lens wear need to be considered. Several prior studies have
addressed complications specific to scleral lens wear and the incidence of
hypoxia with extended wear, but few report the presence of epithelial bullae.
This case series investigates three patients with differing ocular surface
diseases, yet all developed transient epithelial bullae with concurrent large
diameter scleral lens wear. These bullae appear to form due to weakened
connections in the corneal epithelium at the level of basement membrane and
Bowman's layer and flatten within minutes of lens removal. These bullae need to
be further studied, as they can increase the patient's risk of epithelial
defects, infections, and other complications in already compromised corneas.
PMID- 29807771
TI - 0.1% Nepafenac reduces pain and increases patient comfort during cataract
surgery.
AB - PURPOSE: To evaluates analgesic effectiveness of 0.1% nepafenac during cataract
surgery. METHODS: This prospective randomized randomized double-masked, placebo
controlled study comprised 80 eyes of 40 consecutive patients who underwent
bilateral cataract surgery and implantation of foldable intraocular lens with
topical anesthesia with and without topical nepafenac drops. Each eye of patients
was assigned to group 1 and group 2. Topical anesthesia combined with 0.1%
nepafenac used three times a day the day before the surgery and once half an hour
just before the surgery was group 1, consisting of 40 eyes, and topical
anesthesia with using placebo was group 2 consisting of 40 eyes. Patients were
asked to score their pain using a visual analog scale (VAS) and verbal pain scale
(VPS) immediately following the surgery. When the patient moved or squeeze the
eye during surgery, the surgical comfort was evaluated as bad and otherwise, it
was evaluated as good. RESULTS: When the intensity of pain during the surgery was
evaluated, the percentage of patients reporting mild or no pain in group 1 was
%825 and in group 2 was %45. Mean VAS pain score and mean VPS pain score in group
1 was significantly lower than that in group 2(p = 0.024, p < 0.001). Surgical
comfort in group 1 was %825 and in group 2%65(P = 0.075). CONCLUSION: 0.1%
nepafenac reduces pain of patients who undergone routine clear corneal
phacoemulsification with topical anesthesia and may increase patient comfort
during the surgery when used preoperatively.
PMID- 29807772
TI - Modification of expanded polytetrafluoroethylene valved conduit using the thin
type leaflets.
AB - OBJECTIVES: The expanded polytetrafluoroethylene conduit with fan-shaped leaflets
and bulging sinuses for right ventricular outflow tract reconstruction was
modified with a newly developed thin-type expanded polytetrafluoroethylene
leaflet. The purpose of this study was to evaluate the clinical outcomes and
hemodynamic performance of the modified conduit. METHODS: From January 2010 to
December 2013, 149 patients underwent definitive right ventricular outflow tract
reconstruction using the expanded polytetrafluoroethylene conduit; the 55
patients receiving a conventional conduit (group N) were compared with the 94
patients receiving a modified conduit (group T). RESULTS: There were no conduit
related deaths, operative deaths, or reimplantations for conduit failure. The
overall survival and freedom from reintervention for conduit-related reasons at 3
years were 98.2% versus 95.6% (P = .438) and 94.7% versus 97.9% (P = .954) for
groups N and T, respectively. The mean peak pressure gradients were 22.6 +/- 15.6
mm Hg versus 18.2 +/- 11.5 mm Hg (P = .161), and in the subanalysis within small
sized conduits, they were 30.2 +/- 16.5 mm Hg versus 20.4 +/- 10.7 mm Hg (P =
.034). Regarding conduit insufficiency, the modified conduit showed a
significantly worse grade of insufficiency (P = .014) only in the subanalysis
within large-sized conduits. CONCLUSIONS: Although the clinical outcomes did not
differ within midterm observation, the thin-type expanded polytetrafluoroethylene
leaflet was considered to be suitable for the small-sized conduits, but not for
large-sized conduits, based on the comparison of the hemodynamic performance.
Long-term follow-up is necessary to address the appropriate sheet type for middle
sized conduits and to estimate the durability of the thin-type leaflet.
PMID- 29807774
TI - Sending our lungs to rehab: The future of ex vivo lung perfusion?
PMID- 29807775
TI - Genes, aortic diseases, and cardiovascular surgery.
PMID- 29807773
TI - Preclinical performance of a pediatric mechanical circulatory support device: The
PediaFlow ventricular assist device.
AB - OBJECTIVES: The PediaFlow (HeartWare International, Inc, Framingham, Mass) is a
miniature, implantable, rotodynamic, fully magnetically levitated, continuous
flow pediatric ventricular assist device. The fourth-generation PediaFlow was
evaluated in vitro and in vivo to characterize performance and biocompatibility.
METHODS: Supported by 2 National Heart, Lung, and Blood Institute contract
initiatives to address the limited options available for pediatric patients with
congenital or acquired cardiac disease, the PediaFlow was developed with the
intent to provide chronic cardiac support for infants as small as 3 kg. The
University of Pittsburgh-led Consortium evaluated fourth-generation PediaFlow
prototypes both in vitro and within a preclinical ovine model (n = 11). The
latter experiments led to multiple redesigns of the inflow cannula and outflow
graft, resulting in the implantable design represented in the most recent
implants (n = 2). RESULTS: With more than a decade of extensive computational and
experimental efforts spanning 4 device iterations, the AA battery-sized fourth
generation PediaFlow has an operating range of 0.5 to 1.5 L/min with minimal
hemolysis in vitro and excellent hemocompatibility (eg, minimal hemolysis and
platelet activation) in vivo. The pump and finalized accompanying implantable
components demonstrated preclinical hemodynamics suitable for the intended
pediatric application for up to 60 days. CONCLUSIONS: Designated a Humanitarian
Use Device for "mechanical circulatory support in neonates, infants, and toddlers
weighing up to 20 kg as a bridge to transplant, a bridge to other therapeutic
intervention such as surgery, or as a bridge to recovery" by the Food and Drug
Administration, these initial results document the biocompatibility and potential
of the fourth-generation PediaFlow design to provide chronic pediatric cardiac
support.
PMID- 29807776
TI - Endobronchial ultrasound-guided transbronchial needle aspiration in the diagnosis
of mediastinal metastases of clear cell renal cell carcinoma.
AB - Evaluation of mediastinal lymphadenopathy in patients with a previous diagnosis
of renal cell carcinoma (RCC) is critical for the determination of further
treatment. A minimally invasive method of cytology sampling of mediastinal lymph
nodes using endobronchial ultrasound-guided transbronchial needle aspiration
(EBUS-TBNA) has emerged as a useful tool in diagnosis. Between January 2010 and
April 2018, we performed 1744 EBUS-TBNA studies of mediastinal and hilar lymph
nodes for a variety of clinical indications including mediastinal malignancy.
Sixteen patients (93.7% males, mean age 59.1 years, range 44-81 years) were
diagnosed by cytological and cell block study to have metastatic clear cell RCC.
Twelve patients had been diagnosed with clear cell RCC in the past (mean 39
months, range 4-89 months) while in four, the tumor was primarily diagnosed in
the staging phase on the basis of EBUS-TBNA. The EBUS features of the mediastinal
nodal masses included increase of size (mean 2.5 cm, range 1.6-3.8 cm),
irregular, inhomogeneous, hypervascular, and hyperechoic echotexture. EBUS-TBNA
is a procedure safe and effective for evaluating mediastinal lymphadenopathy in
patients with clear cell RCC. Immunohistochemistry in the cell block is decisive
for proper diagnosis. The cytologist plays a key role in the diagnosis of
metastatic clear cell RCC due to the treatment implications that this neoplasm
encompasses.
PMID- 29807777
TI - The prognostic value of DAPK1 hypermethylation in gliomas: A site-specific
analysis.
AB - BACKGROUND AND AIMS: The gene of death associated protein kinase 1 (DAPK1) has
been reported to be methylated in various cancers including gliomas. However, its
prognostic value for gliomas is still controversy, and the methylation at
specific CpG sites of DAPK1 has not been investigated. The aim of this study was
to prognostically evaluate the methylation level of different CpG sites within
DAPK1 promoter region in gliomas. METHODS: Based on sodium bisulfite treated DNA
products, we made use of DNA pyrosequencing method to evaluate overall and site
specific methylation of DAPK1 in 143 gliomas and 26 benign tumors (meningeomas)
or normal brain tissues. We both statistically analyzed the association between
methylation levels of each CpG site and the clinicopathological characteristics,
and estimated the prognosis predictive value of site-specific methylation for
glioma patients. RESULTS: Methylation status of DAPK1 site -1527, -1543, and the
overall five sites concerned was higher in gliomas than controlled subjects (p <
0.001). Hypermethylation at site -1527 or together with site -1543 associated
with better survival in patients taken postoperative therapies (-1527: p = 0.002;
-1527 & -1543: p = 0.023), as well as in patients just underwent radiotherapy
after surgery (-1527: p = 0.015; -1527 & -1543: p = 0.030). However, Cox
regression analysis indicated the site-specific methylation was not independent
contributor for gliomas prognosis. CONCLUSION: Analysis of DAPK1 gene promoter by
quantitative pyrosequencing provided more detailed information of methylation
status of CpG sites. DAPK1 methylation level is associated with gliomas clinical
features and outcomes. Interestingly, the hypermethylation at site -1527 or
together with site -1543 indicated good sensitivity of postoperative therapies,
especially radiotherapy. Thus, site specifically analysis of DAPK1 methylation
may be a valuable diagnostic and prognostic estimation for gliomas.
PMID- 29807778
TI - Multi-laboratory proficiency testing of clinical cancer genomic profiling by next
generation sequencing.
AB - Next-generation sequencing (NGS) enables parallel analysis of multiple genomic
targets. The increasing demand for NGS-based multiplexed molecular diagnostics
requires standardized protocols and recommendations to ensure reproducibility and
accuracy of test results for routine clinical decision making. However, the lack
of clinical NGS data from multi-laboratory tests and the absence of inter
laboratory comparisons have hampered the establishment of instructive clinical
NGS standards. To fill the gap, we set up Proficiency Testing (PT) for inter
laboratory comparison, in which formalin-fixed paraffin-embedded specimens from
eight lung and eight colon cancers were analyzed by 15 European molecular
diagnostic laboratories on three different platforms using multiple target
enrichment systems. We first performed platform, test, and informatics pipeline
validation and conducted sensitivity and specificity analysis by random in silico
down-sampling. We then implemented a multi-level filtering strategy based on
performance tests of base substitution, replicate runs, and Sanger sequencing
verified variants. We finally applied the filter criteria to the NGS data from
the respective PT participants and obtained high inter-laboratory agreement. We
demonstrated accuracy, scalability, and robustness of NGS by means of PT, serving
as a benchmark for detecting clinically actionable molecular alterations in
research and diagnostic laboratories. In conclusion, this study strongly
highlights the importance of establishing standards for NGS-based testing,
particularly when the test results impact on clinical decisions, and
systematically provides data sets from multiple different labs to infer such
standards.
PMID- 29807779
TI - Use of intravenous iron in cyanotic patients with congenital heart disease and/or
pulmonary hypertension.
AB - BACKGROUND: Secondary erythrocytosis is common in patients with cyanosis
secondary to congenital heart disease (CHD) and/or pulmonary hypertension (PH).
This compensatory mechanism aims at increasing oxygen delivery to the tissues,
but it requires adequate iron stores. Optimal methods of iron supplementation in
this setting remain controversial, with fears of excessive erythropoiesis and
hyperviscosity symptoms. We describe our experience using intravenous ferrous
carboxymaltose. METHODS AND RESULTS: 142 consecutive cyanotic patients were
treated over 5.7 years (201 administrations). Mean age was 51.3 +/- 17.6 years
and 55 (38.7%) were male. Eisenmenger syndrome (ES) was present in 41 (28.8%),
other pulmonary arterial hypertension (PAH) related to CHD (PAH-CHD) in 27
(19.0%), cyanotic CHD without PAH in 16 (11.3%) and PH without CHD in 58(40.8%).
Baseline haemoglobin (Hb) concentration was 14.6 +/- 3.0 g/dL and haematocrit
0.45 +/- 0.09. A 500 mg dose of intravenous (IV) iron carboxymaltose was given in
163 (81.1%) of administrations and a 1000 mg dose in 37 (18.4%). A significant
improvement in average Hb, haematocrit, ferritin and transferrin saturation was
observed after a median follow-up of 100.0 [70.0-161.0] days (p <= 0.0001 for
all). There were no cases of excessive erythropoiesis resulting in new
hyperviscosity symptoms and/or requiring venesection. A minor transient rash was
observed in 2 patients and one patient experienced an air embolus causing a
transient ischemic attack. CONCLUSIONS: Intravenous ferrous carboxymaltose
appears to be safe in iron deficient patients with cyanosis due to CHD and/or PH,
as long as care is taken to avoid air emboli. Further randomised studies are
needed to confirm the safety and efficacy of intravenous iron in this setting.
PMID- 29807780
TI - Appraisal of Clinical Practice Guideline: 2018 Guidelines for the Early
Management of Patients with Acute Ischemic Stroke.
PMID- 29807781
TI - Dominant-Negative TGF-beta Receptor Enhances PSMA-Targeted Human CAR T Cell
Proliferation And Augments Prostate Cancer Eradication.
AB - Cancer has an impressive ability to evolve multiple processes to evade therapies.
While immunotherapies and vaccines have shown great promise, particularly in
certain solid tumors such as prostate cancer, they have been met with resistance
from tumors that use a multitude of mechanisms of immunosuppression to limit
effectiveness. Prostate cancer, in particular, secretes transforming growth
factor beta (TGF-beta) as a means to inhibit immunity while allowing for cancer
progression. Blocking TGF-beta signaling in T cells increases their ability to
infiltrate, proliferate, and mediate antitumor responses in prostate cancer
models. We tested whether the potency of chimeric antigen receptor (CAR) T cells
directed to prostate-specific membrane antigen (PSMA) could be enhanced by the co
expression of a dominant-negative TGF-betaRII (dnTGF-betaRII). Upon expression of
the dominant-negative TGF-betaRII in CAR T cells, we observed increased
proliferation of these lymphocytes, enhanced cytokine secretion, resistance to
exhaustion, long-term in vivo persistence, and the induction of tumor eradication
in aggressive human prostate cancer mouse models. Based on our observations, we
initiated a phase I clinical trial to assess these CAR T cells as a novel
approach for patients with relapsed and refractory metastatic prostate cancer
(ClinicalTrials.gov: NCT03089203).
PMID- 29807783
TI - Exosomes in Myocardial Repair: Advances and Challenges in the Development of Next
Generation Therapeutics.
AB - Myocardial disease is a leading cause of morbidity and mortality worldwide. Given
the limited regenerative capacity of the human heart following myocardial injury,
stem cell-based therapies have emerged as a promising approach for improving
cardiac repair and function. The discovery of extracellular vesicles including
exosomes as a key component of the beneficial function of stem cells has
generated hope for their use to advance cell-based regenerative therapies for
cardiac repair. Exosomes secreted from stem cells are membranous bionanovesicles,
naturally loaded with various proteins, lipids, and nucleic acids. They have been
found to have anti-apoptotic, anti-fibrotic, as well as pro-angiogenic effects,
all of which are crucial to restore function of the damaged myocardium. In this
brief review, we will focus on the latest research and debates on cardiac repair
and regenerative potential of exosomes from a variety of sources such as cardiac
and non-cardiac stem and progenitor cells, somatic cells, and body fluids. We
will also highlight important barriers involved in translating these findings
into developing clinically suitable exosome-based therapies.
PMID- 29807784
TI - 3D printing utility for surgical treatment of acetabular fractures.
AB - INTRODUCTION: Preoperative 3D modelling enables more effective diagnosis and
simulates the surgical procedure. MATERIAL AND METHODS: We report twenty cases of
acetabular fractures with preoperative planning performed by pre-contouring
synthesis plates on a 3D printed mould obtained from a computarized tomography
(CT) scan. The mould impression was made with the DaVinci 1.0 printer model (XYZ
Printing). After obtaining the printed hemipelvis, we proceeded to select the
implant size (pelvic Matta system, Stryker(r)) that matched the characteristics
of the fracture and the approach to be used. RESULTS: Printing the moulds took a
mean of 385minutes (322-539), and 238grams of plastic were used to print the
model (180-410). In all cases, anatomic reduction was obtained and intra
operative changes were not required in the initial contouring of the plates. The
time needed to perform the full osteosynthesis, once the fracture had been
reduced was 16.9minutes (10-24). In one case fixed with two plates, a
postoperative CT scan showed partial contact of the implant with the surface of
the quadrilateral plate. In the remaining cases, the contact was complete.
CONCLUSIONS: In conclusion, our results suggest that the use of preoperative
planning, by printing 3D mirror imaging models of the opposite hemipelvis and pre
contouring plates over the mould, might effectively achieve a predefined surgical
objective and reduce the inherent risks in these difficult procedures.
PMID- 29807785
TI - Endoscopic treatment of sciatic nerve entrapment in deep gluteal syndrome:
Clinical results.
AB - INTRODUCTION: Deep gluteal syndrome (DGS) is characterized by compression, at
extra-pelvic level, of the sciatic nerve within any structure of the deep gluteal
space. The objective was to evaluate the clinical results in patients with DGS
treated with endoscopic technique. METHODS: Retrospective study of patients with
DGS treated with an endoscopic technique between 2012 and 2016 with a minimum
follow-up of 12 months. The patients were evaluated before the procedure and
during the first year of follow-up with the WOMAC and VAIL scale. RESULTS: Forty
four operations on 41 patients (36 women and 5 men) were included with an average
age of 48.4+/-14.5. The most common cause of nerve compression was fibrovascular
bands. There were two cases of anatomic variant at the exit of the nerve;
compression of the sciatic nerve was associated with the use of biopolymers in
the gluteal region in an isolated case. The results showed an improvement of
functionality and pain measured with the WOMAC scale with a mean of 63 to 26
points after the procedure (P<.05). However, at the end of the follow-up one
patient continued to manifest residual pain of the posterior cutaneous femoral
nerve. Four cases required revision at 6 months following the procedure due to
compression of the scarred tissue surrounding the sciatic nerve. CONCLUSION:
Endoscopic release of the sciatic nerve offers an alternative in the management
of DGS by improving functionality and reducing pain levels in appropriately
selected patients.
PMID- 29807786
TI - Are Medicare's "Comprehensive Care for Joint Replacement" Bundled Payments
Stratifying Risk Adequately?
AB - BACKGROUND: Bundled payments are meant to reduce costs and improve quality of
care. Without adequate risk adjustment, bundling may be inequitable to providers
and restrict access for certain patients. This study examines patient factors
that could improve risk stratification for the Comprehensive Care for Joint
Replacement (CJR) bundled-payment program. METHODS: Ninety-five thousand twenty
four patients meeting the CJR criteria were retrospectively reviewed using
administrative Medicare data. Multivariable regression was used to identify
associations between patient factors and traditional (fee-for-service) Medicare
reimbursement over the bundle period. RESULTS: Average reimbursement was $18,786
+/- $12,386. Older age, male gender, cases performed for hip fractures, and most
comorbidities were associated with higher reimbursement (P < .05), except
dementia (lower reimbursement; P < .01). Stratification incorporating these
factors displayed greater accuracy than the current CJR risk adjustment methods
(R2 = 0.23 vs 0.17). CONCLUSION: More robust risk stratification could provide
more equitable reimbursement in the CJR program. LEVEL OF EVIDENCE: Large
database analysis; Level III.
PMID- 29807782
TI - Mesenchymal Stem Cell-Based Therapy for Cardiovascular Disease: Progress and
Challenges.
AB - Administration of mesenchymal stem cells (MSCs) to diseased hearts improves
cardiac function and reduces scar size. These effects occur via the stimulation
of endogenous repair mechanisms, including regulation of immune responses, tissue
perfusion, inhibition of fibrosis, and proliferation of resident cardiac cells,
although rare events of transdifferentiation into cardiomyocytes and vascular
components are also described in animal models. While these improvements
demonstrate the potential of stem cell therapy, the goal of full cardiac recovery
has yet to be realized in either preclinical or clinical studies. To reach this
goal, novel cell-based therapeutic approaches are needed. Ongoing studies include
cell combinations, incorporation of MSCs into biomaterials, or pre-conditioning
or genetic manipulation of MSCs to boost their release of paracrine factors, such
as exosomes, growth factors, microRNAs, etc. All of these approaches can augment
therapeutic efficacy. Further study of the optimal route of administration, the
correct dose, the best cell population(s), and timing for treatment are
parameters that still need to be addressed in order to achieve the goal of
complete cardiac regeneration. Despite significant progress, many challenges
remain.
PMID- 29807787
TI - An Evidence-Based Clinical Prediction Algorithm for the Musculoskeletal Infection
Society Minor Criteria.
AB - BACKGROUND: The diagnosis of a periprosthetic joint infection (PJI) remains a
clinical challenge, as there is no uniformly accepted gold standard. In 2011, the
Musculoskeletal Infection Society (MSIS) convened a work group to create a
standardized definition for a PJI that could be universally adopted. Based on the
MSIS criteria, the diagnosis of a PJI can be made with 1 of the 2 major criteria,
or 3 of the 5 minor criteria. The purpose of this study was to determine the
likelihood of having a PJI based on the number of positive minor criteria and
thereby develop a prediction algorithm for differentiating between a chronic PJI
and a non-PJI based on the number of positive MSIS minor criteria. METHODS: We
retrospectively reviewed 297 patients who presented to a tertiary care center
between 2004 and 2014 with a failed total joint arthroplasty and subsequently
underwent a PJI workup to exclude chronic PJI. Patients were divided into 2
groups: (1) PJI group and (2) non-PJI group. Patients who had a positive PJI
workup and subsequently underwent a 2-stage revision for infection were included
in the PJI group. Patients who had a negative clinical and diagnostic workup were
included in the non-PJI group. One hundred eighty-two patients met the criteria
for inclusion in the study, 91 in each group. Univariate and multiple logistic
regression analyses were used to evaluate 21 independent variables in each of the
2 groups. A prediction algorithm for differentiating between a chronic PJI and a
non-PJI based on independent multivariate variables was created. RESULTS:
Patients who had a PJI differed significantly (P < .05) from those who did not
have a PJI with regard to 10 independent variables, which included all the MSIS
minor criteria we evaluated. Five independent multivariate variables were
identified to differentiate between the 2 groups: positive cultures, elevated
synovial white blood cell count, elevated synovial polymorphonuclear neutrophil
percentage, elevated erythrocyte sedimentation rate, and elevated C-reactive
protein. The predictive probability of a PJI for all 32 combinations of these 5
variables was: 3.6% for 1 positive variable, 19.3% for 2, 58.7% for 3, 83.8% for
4, and 97.8% for 5. The chi-squared test for trend and the area under the
receiver-operating characteristic curve (0.977) suggest that the model is highly
predictive, with an excellent diagnostic performance in identifying a PJI.
CONCLUSIONS: Diagnosing a PJI remains a clinical challenge as there is no gold
standard for diagnosis. The development of the MSIS criteria, which is based on a
consensus of over 400 of the world's experts in musculoskeletal infection, was a
major step forward in defining the diagnosis of a PJI. However, to our knowledge,
the likelihood of having a PJI based on the number of positive minor criteria has
yet to be validated or quantified. Of the 20 independent variables that were
evaluated, 10 were found to be significantly associated with a PJI, including all
the MSIS minor criteria evaluated. In addition, a diagnostic prediction algorithm
was constructed to determine the likelihood of a PJI based on 5 binary
independent multivariate variables. The relationship was also examined with a
receiver-operating characteristic curve analysis. The area under the curve was
0.98, indicating excellent diagnostic performance for the MSIS minor criteria in
identifying a PJI. LEVEL OF EVIDENCE: III.
PMID- 29807788
TI - Acute Kidney Injury After Prophylactic Cefuroxime and Gentamicin in Patients
Undergoing Primary Hip and Knee Arthroplasty-A Propensity Score-Matched Study.
AB - BACKGROUND: Perioperative acute kidney injury (AKI) can be associated with lower
limb arthroplasty and increases morbidity, length of stay, and mortality. AKI is
more prevalent in some antibiotic regimes compared with others. The aim of the
present study is to assess the impact of cefuroxime (CEF), with or without
gentamicin (+/-G), on AKI rates. METHODS: A prospective cohort study involving
patients undergoing hip or knee arthroplasty was performed, between September 1,
2015 and November 30, 2016. Prophylactic intravenous antibiotics were
administered according to local policy. AKI was graded according to the validated
Acute Kidney Injury Network criteria based on the changes from baseline serum
creatinine values. Propensity score matching was performed to identify risk
factors. The local audit department approved the study. Appropriate statistical
analyses were performed. RESULTS: A total of 2560 met the inclusion criteria,
with a female preponderance (1447/2560; 56.5%). The mean age was 67.5 +/- 10.7
years, with males being significantly younger (65.9 +/- 10.9 vs 68.7 +/- 10.4
years). AKI developed in 32 cases (1.25%). There was no difference in AKI rates
between CEF alone and CEF in combination with gentamicin (1.07% vs 1.36%; P =
.524). Overall 31/32 cases were Acute Kidney Injury Network stage I. AKI did not
affect the length of stay. Postoperative infection rate was 7/2560 (0.27%). There
were no incidences of Clostridium difficile-associated diarrhea. Multivariate
analysis demonstrated an increased AKI risk with the use of intravenous
gentamicin. CONCLUSION: C +/- G yields low rates of infection and AKI compared
with high-dose penicillin-based regimes. It is a safe and effective choice for
lower limb arthroplasty.
PMID- 29807789
TI - Modifiable Risk Factors in Primary Joint Arthroplasty Increase 90-Day Cost of
Care.
AB - BACKGROUND: Risk factors in demographics and health status have been identified
that increase the risk of complications after joint arthroplasty, necessitating
additional care and incurring additional charges. The purpose of this study was
to identify the number of patients in a hospital network database who had one or
more predefined modifiable risk factors and determine their impact on average
length of stay, need for additional care during the 90-day postoperative period,
and the 90-day charges for care. METHODS: An electronic hospital record query of
6968 lower extremity joint arthroplasty procedures under Diagnosis-Related Group
469/470 performed in 2014-2015 was reviewed, and total 90-day charges were
calculated. The case mean was compared to charges for patients with modifiable
risk factors: anemia (Hgb < 10 g/dL), malnutrition (albumin < 3.4 g/dL), obesity
(body mass index > 45 kg/m2), uncontrolled diabetes (random glucose >180 mg/dL or
A1C > 8), narcotic use (prescription filled), and tobacco use (documented within
30 days before surgery). Length of stay, emergency room visits, and hospital
readmission were compared. RESULTS: Mean 90-day charges for Diagnosis-Related
Group 469/470 were $36,647. Risk factors were associated with a significant
increase in 90-day charges: anemia (+$ 15,869/126 patients), malnutrition
(+$9270/592), obesity (+$2048/445), diabetes (+$5074/291), narcotic use
(+$1801/1943), and tobacco use (+$2034/1882). Intensive care unit admission rate,
emergency department visits, and hospital readmission were significantly
increased for patients with each risk factor. Length of stay was higher in
patients with anemia, malnutrition, diabetes, and tobacco use. When separated by
elective vs fracture admission, 90-day charges were significantly higher for each
risk factor. CONCLUSIONS: Medical strategies to optimize patients before joint
arthroplasty are warranted to improve postoperative outcomes.
PMID- 29807790
TI - Physical Activity Is Associated With the Level of Chromium but Not With Changes
in Pseudotumor Size in Patients With Metal-on-Metal Hip Arthroplasty.
AB - BACKGROUND: Metal-on-metal (MoM) total hip arthroplasty (THA) and resurfacing hip
arthroplasty (RHA) were previously considered an excellent option for young and
physically active patients. However, the relationship between MoM THA/RHA
patients' daily physical activity (PA), metal ion measurements of chromium and
cobalt, and pseudotumor dynamic is insufficiently explored. METHODS: One hundred
eleven patients with 148 hip articulations, 77 MoM THA/RHA and 71 MoP THA,
participated in a prospective cohort study, with 5 cross-sectional analyses
during a 1-year follow-up. Baseline follow-up was at mean 7.1 (range: 0.2-21.5)
years postoperative. At baseline and every 3 months thereafter, patients' daily
PA was monitored during a 2-week period using a triaxial accelerometer, and next
metal artifact reducing sequence magnetic resonance imaging scans, metal ion
measurements of chromium and cobalt, and the Copenhagen Hip and Groin Outcome
Score questionnaire were completed. RESULTS: We found a statistically significant
relationship between daily PA and metal ion measurements of chromium at all
follow-ups in MoM THA/RHA patients (P <= .03) but not in MoP THA patients (P >
.35). Patients' daily PA was not related to changes in pseudotumor size at any
follow-up (P > .30). Ten of 26 (38%) pseudotumors in MoM THA/RHA and 8 of 29
(28%) pseudotumors in MoP THA changed classification according to the Anderson
grading. No pseudotumors transformed in appearance or changed anatomical
location. CONCLUSION: The daily PA of MoM THA/RHA patients is associated with
metal ion measurements of chromium but not with changes in pseudotumor size. This
is new and important knowledge, which may be useful for hip surgeons in
recommendation and monitoration of the consequences of PA in active patients with
MoM THA/RHA.
PMID- 29807791
TI - An Early Report of the Use of a Modular Dual Mobility Articulation in Revision
Acetabular Reconstruction.
AB - BACKGROUND: Instability remains one of the main problems after revision hip
surgery. The aim of this study was to review the clinical, radiological, and
patient-reported outcomes with the use of modular dual-mobility articulation for
revision acetabular reconstruction and investigate the risk of fretting corrosion
by measuring serum trace metal ion levels. METHODS: Sixty consecutive patients
with a minimum of 24-month follow-up after the insertion of a modular dual
mobility (Stryker, Mahwah, NJ) cup at the time of revision hip surgery were
identified. Follow-up included clinical and radiological patient review and
functional outcome measures, and a subset of patients had their metal ion (cobalt
and chromium) levels checked. RESULTS: At the most recent follow-up, 5 patients
had died, 3 patients have been revised because of ongoing instability, and 3
patients have had revision surgery due to infection. Overall functional outcome
(mean Western Ontario and McMaster Universities Osteoarthritis Indexfunction 76,
University of California, Los Angeles 5.6, mean Oxford 74.7, Short Form-12
physical 41.6/mental 53.3) and overall pain relief (mean Western Ontario and
McMaster Universities Osteoarthritis Index pain score 78.3) scores were good. The
mean satisfaction score was 78 of 100. The median serum trace metal chromium and
cobalt levels at the most recent follow-up were 0.4 ug/L (range 0.1-6.1 ug/L) and
0.42 ug/L (range 0.21-9.42 ug/L), respectively. The survival with revision as the
end point was 90%. CONCLUSIONS: Dual-mobility cups with modularity represent an
excellent option for the patient having revision hip surgery at high risk of
instability. This series presents good patient-reported outcome measures and a
low complication and revision rate.
PMID- 29807792
TI - Patient-Specific Simulated Dynamics After Total Knee Arthroplasty Correlate With
Patient-Reported Outcomes.
AB - BACKGROUND: Component alignment variation following total knee arthroplasty (TKA)
does not fully explain the instance of long-term postoperative pain. Joint
dynamics following TKA vary with component alignment and patient-specific
musculoskeletal anatomy. Computational simulations allow joint dynamics outcomes
to be studied across populations. This study aims to determine if simulated
postoperative TKA joint dynamics correlate with patient-reported outcomes.
METHODS: Landmarking and 3D registration of implants was performed on 96
segmented postoperative computed tomography scans of TKAs. A cadaver rig
validated platform for generating patient-specific simulation of deep knee bend
kinematics was run for each patient. Resultant dynamic outcomes were correlated
with a 12-month postoperative Knee Injury and Osteoarthritis Outcome Score
(KOOS). A Classification and Regression Tree (CART) was used for determining
nonlinear relationships. RESULTS: Nonlinear relationships between the KOOS pain
score and rollback and dynamic coronal alignment were found to be significant.
Combining a dynamic coronal angular change from extension to full flexion between
0 degrees and 4 degrees varus (long leg axis) and measured rollback of no more
than 6 mm without rollforward formed a "kinematic safe zone" of outcomes in which
the postoperative KOOS score is 10.5 points higher (P = .013). CONCLUSION: The
study showed statistically significant correlations between kinematic factors in
a simulation of postoperative TKA and postoperative KOOS scores. The presence of
a dynamic safe zone in the data suggests a potential optimal target for any given
individual patient's joint dynamics and the opportunity to preoperatively
determine a patient-specific alignment target to achieve those joint dynamics.
PMID- 29807793
TI - Clinical Outcomes of Patients With Lateral Femoral Cutaneous Nerve Injury After
Direct Anterior Total Hip Arthroplasty.
AB - BACKGROUND: Direct anterior total hip arthroplasty (THA) is an increasingly
utilized and patient-requested approach for arthroplasty carrying a unique set of
complications. Injury to the lateral femoral cutaneous nerve (LFCN) can have a
wide range of clinical symptoms ranging from hypesthesia to painful paresthesia.
Long-term effects of this injury have not been well studied. We describe duration
and severity of these symptoms and correlate their relationship with hip
functional scores. METHODS: Between January 2009 and January 2016, 1665 patients
with 1871 hips who underwent direct anterior THA by a single surgeon were
surveyed for reported outcomes including Douleur Neuropathique 4-Interview (DN4
I), Hip Disability and Osteoarthritis Outcome Score for Joint Replacement (HOOS,
JR), and Patient-Reported Outcomes Measurement Information System Short Form
Global Health Assessment. The DN4-I was considered positive if 3 (or more) of 7
neuropathic pain symptoms were affirmed at present in the distribution of the
LFCN of the affected leg. RESULTS: Six hundred eighty patients accounting for 778
hips completed the survey. Overall, 16% of responders had positive DN4-I scores
for continued neuropathic symptoms with a mean time since surgery of 3.9 years at
assessment. Twenty-four percent of those responding within 2 years of surgery had
positive scores compared with 15% from 2 to 4 years, 14% from 4 to 6 years, and
11% positive from 6 to 8 years after surgery. Of those with positive DN4-I
scores, the most commonly affirmed neuropathic symptom was "numbness", reported
in 37% of patients. The overall average interval HOOS, JR score was 89.8. There
were no differences in HOOS, JR or Patient-Reported Outcomes Measurement
Information System scores for patients further out from surgery. CONCLUSION: The
most commonly experienced neuropathic symptom in the distribution of the LFCN
following direct anterior THA is "numbness" that occurred in 37% of patients with
a positive DN4-I score. Neuropathic symptoms improved in patients further out
from surgery with pain reported in 11% of patients from 6 to 8 years
postoperatively. Neuropathic symptoms significantly improve with time and appear
to be independent of hip function scores.
PMID- 29807794
TI - Synthesis of C-ring-modified blebbistatin derivatives and evaluation of their
myosin II ATPase inhibitory potency.
AB - (S)-Blebbistatin is a micromolar myosin II ATPase inhibitor that is extensively
used in research. In search of analogs with improved potency, we have synthesized
for the first time C-ring modified analogs. We introduced hydroxymethyl or
allyloxymethyl functionalities in search of additional favorable interactions and
a more optimal filling of the binding pocket. Unfortunately, the resulting
compounds did not significantly inhibit the ATPase activity of rabbit skeletal
muscle myosin II. This and earlier reports suggest that rational design of potent
myosin II inhibitors based on the architecture of the blebbistatin binding pocket
is an ineffective strategy.
PMID- 29807795
TI - Piperlongumine derivative, CG-06, inhibits STAT3 activity by direct binding to
STAT3 and regulating the reactive oxygen species in DU145 prostate carcinoma
cells.
AB - Piperlongumine (PL), isolated from Piper longum L., is receiving intense interest
due to its selectively ability to kill cancer cells but not normal cells. We
synthesized a number of analogues by replacing the cyclic amide of PL with
aliphatic amides to explore structural diversity. Compound CG-06 had the
strongest cytotoxic profile of this series, showing potent effects in human
prostate cancer DU-145 cells, in which signal transducer and activator of
transcription 3 (STAT3) is constitutively active. CG-06 inhibited STAT3
phosphorylation at tyrosine 705 in a dose- and time dependent manner in DU-145
cells and suppressed IL-6-induced STAT3 phosphorylation at Tyr-705 in DU-145 and
LNCaP cell lines. CG-06 decreased the expression levels of STAT3 target genes,
such as cyclin A, Bcl-2, and survivin. Notably, we used drug affinity responsive
target stability (DARTS) to show that CG-06 binds directly to STAT3, and the
reactive oxygen species (ROS) scavenger N-acetyl cysteine (NAC) rescued the CG-06
induced suppression p-STAT3. Our results suggest that CG-06 is a novel inhibitor
of STAT3 and may be a useful lead molecule for the development of a therapeutic
STAT3 inhibitor.
PMID- 29807796
TI - New TRAP1 and Hsp90 chaperone inhibitors with cationic components: Preliminary
studies on mitochondrial targeting.
AB - TRAP1 (Hsp75) is the mitochondrial paralog of the Hsp90 molecular chaperone
family. Due to structural similarity among Hsp90 chaperones, a potential strategy
to induce apoptosis through mitochondrial TRAP1 ATPase inhibition has been
envisaged and a series of compounds has been developed by binding the simple
pharmacophoric core of known Hsp90 inhibitors with various appendages bearing a
permanent cationic head, or a basic group highly ionizable at physiologic pH.
Cationic appendages were selected as vehicles to deliver drugs to mitochondria.
Indeed, masses of new derivatives were evidenced to accumulate in the
mitochondrial fraction from colon carcinoma cells and a compound in the series,
with a guanidine appendage, demonstrated good activity in inhibiting recombinant
TRAP1 ATPase and cell growth and in inducing apoptotic cell death in colon
carcinoma cells.
PMID- 29807798
TI - Reduced Smoothened level rescues Abeta-induced memory deficits and neuronal
inflammation in animal models of Alzheimer's disease.
AB - Emerging evidence suggests that neuro-inflammation begins early and drives the
pathogenesis of Alzheimer's disease (AD), and anti-inflammatory therapies are
under clinical development. However, several anti-inflammatory compounds failed
to improve memory in clinical trials, indicating that reducing inflammation alone
might not be enough. On the other hand, neuro-inflammation is implicated in a
number of mental disorders which share the same therapeutic targets. Based on
these observations, we screened a batch of genes related with mental disorder and
neuro-inflammation in a classical olfactory conditioning in an amyloid beta
(Abeta) overexpression fly model. A Smoothened (SMO) mutant was identified as a
genetic modifier of Abeta toxicity in 3-min memory and downregulation of SMO
rescued Abeta-induced 3-min and 1-h memory deficiency. Also, Abeta activated
innate inflammatory response in fly by increasing the expression of antimicrobial
peptides, which were alleviated by downregulating SMO. Furthermore,
pharmaceutical administration of a SMO antagonist LDE rescued Abeta-induced
upregulation of SMO in astrocytes of mouse hippocampus, improved memory in Morris
water maze (MWM), and reduced expression of astrocyte secreting pro-inflammatory
factors IL-1beta, TNFalpha and the microglia marker IBA-1 in an APP/PS1
transgenic mouse model. Our study suggests that SMO is an important conserved
modulator of Abeta toxicity in both fly and mouse models of AD.
PMID- 29807797
TI - Editorial.
PMID- 29807799
TI - A Multilevel Analysis of the determinants of emergency care visits by the elderly
in France.
AB - BACKGROUND: Rising numbers of visits to emergency departments (EDs), especially
amongst the elderly, is a source of pressure on hospitals and on the healthcare
system. This study aims to establish the determinants of ED visits in France at a
territorial level with a focus on the impact of ambulatory care organisation on
ED visits by older adults aged 65 years and over. METHODS: We use multilevel
regressions to analyse how the organisation of healthcare provision at municipal
and wider 'department' levels impacts ED utilisation by the elderly while
controlling for the local demographic, socioeconomic and health context of the
area in which patients live. RESULTS: ED visits vary significantly by health
context and economic level of municipalities. Controlling for demand-side
factors, ED rates by the elderly are lower in areas where accessibility to
primary care is high, measured as availability of primary care professionals, out
of-hours care and home visits in an area. Proximity (distance) and size of ED are
drivers of ED use. CONCLUSION: High rates of ED visits are partly linked to
inadequate accessibility of health services provided in ambulatory settings.
Redesigning ambulatory care at local level, in particular by improving
accessibility and continuity of primary and social care services for older adults
could reduce ED visits and, therefore, improve the efficient use of available
healthcare resources.
PMID- 29807800
TI - Oral and non-oral routes of administration among prescription opioid users:
Pathways, decision-making and directionality.
PMID- 29807801
TI - An Investigation of Dosimetric Correlates of Acute Toxicity in Prostate
Stereotactic Body Radiotherapy: Dose to Urinary Trigone is Associated with Acute
Urinary Toxicity.
AB - AIMS: There are limited data on dosimetric correlates of toxicity in stereotactic
body radiotherapy (SBRT) for prostate cancer. We aimed to identify potential
relationships between dose and toxicity using conventional dose-volume histograms
(DVHs) and dose-surface maps (DSMs). MATERIALS AND METHODS: Urinary bladder
trigone and rectum DSMs were produced for a single-institution service evaluation
cohort of 50 patients receiving SBRT for localised prostate cancer, together with
conventional DVHs for bladder and rectum. Patients had been prospectively
recruited to this cohort and treated according to a pre-defined protocol to a
dose of 36.25 Gy in five fractions. Radiation Therapy Oncology Group (RTOG) and
International Prostate Symptom Score (IPSS) toxicity data were recorded
prospectively. Logistic regression was used to identify dosimetric predictors of
acute IPSS+10 (rise of 10 points or more above baseline) and grade 2+ RTOG
toxicity. RESULTS: On univariate analysis, trigone area receiving 40 Gy and
trigone Dmax were associated with IPSS+10 (odds ratio 1.06 [1.02-1.11], P = 0.007
and odds ratio 1.54 [1.06-2.25], P = 0.024, respectively). These two variables
were highly correlated. In a multivariate model, including all baseline
variables, trigone Dmax remained associated with IPSS+10 (odds ratio 1.91 [1.13
3.22], P = 0.016). These findings were not significant with Holm-Bonferroni
correction for multiple testing (corrected P value threshold 0.006). No
associations were seen between rectal toxicity and DVH or DSM parameters.
CONCLUSIONS: Our study suggests a potential relationship between high doses to
the urinary bladder trigone and patient-reported urinary toxicity in prostate
SBRT, and is consistent with previous studies in conventionally fractionated
radiotherapy, justifying further evaluation in larger cohorts.
PMID- 29807802
TI - Evaluation of Parameters Related to the Probability of Leukemic Progression in
Patients With Lower-Risk Myelodysplastic Syndrome.
AB - BACKGROUND: The prognosis of patients with lower-risk myelodysplastic syndrome
(LR-MDS) is very heterogeneous. In addition to survival estimates, identification
of factors related to the probability of leukemic progression might help
prognosis assessment. PATIENTS AND METHODS: The present study is a retrospective
analysis of 409 patients with primary LR-MDS. The probability of leukemic
progression was estimated in the competing risk framework by the cumulative
incidence method considering death without acute myeloid leukemia (AML) as a
competing event. RESULTS: Sixty-six patients (16.1%) progressed to AML. The
following covariates influenced the probability of leukemic progression in a
multivariate competing risk regression model: intermediate karyotype versus
diploid or chromosome 5 deletion, 5% to 9% bone marrow blast percentage, platelet
count <50 * 10e9/L and age younger than 75 years. CONCLUSION: According to these,
a predictive model is proposed, which categorizes patients with different
probability of leukemic progression (P < .001). Validation of these results might
help prognostic refinement of patients with LR-MDS.
PMID- 29807803
TI - Prevalence and Clinicopathologic Significance of BRAF V600E Mutation in Chinese
Multiple Myeloma Patients.
AB - BACKGROUND: Previous studies in Western countries demonstrated BRAF V600E
mutation only in a small subset of multiple myeloma (MM) patients. However, the
prevalence and clinicopathologic significances of this mutation remain unclear in
Chinese MM patients. PATIENTS AND METHODS: We studied diagnostic bone marrow
samples from 205 Chinese MM patients by allele-specific PCR to detect BRAF V600E
mutation and by high-resolution melting assay to detect KRAS and NRAS mutations.
The mutations were confirmed by independent assays. RESULTS: BRAF V600E mutation
was found in 9.3% of the cases, the highest prevalence hitherto reported. In
addition, the mutation was significantly associated with hypercalcemia and a male
predominance but not with aggressive extramedullary diseases or a high serum
creatinine level as reported in Western studies. Importantly, BRAF V600E mutation
was an adverse prognostic factor for overall survival in younger MM patients by
subgroup analysis. Concurrent analysis of RAS mutations highlighted differential
alteration spectrum of RAS signaling between Chinese and Western MM, which may
suggest a unique myeloma-related genetic profile in Chinese patients. CONCLUSION:
Our study revealed a higher prevalence of BRAF V600E mutation in Chinese MM
patients. The associated prognostic impacts on younger patients could be
beneficial to risk stratification and potential application of BRAF-targeted
therapies in Chinese MM management. This is the first large-scale study revealing
the prevalence and clinicopathologic significances of BRAF V600E mutation in
Chinese myeloma.
PMID- 29807804
TI - Machine learning improved classification of psychoses using clinical and
biological stratification: Update from the bipolar-schizophrenia network for
intermediate phenotypes (B-SNIP).
PMID- 29807805
TI - Auditory steady state response deficits are associated with symptom severity and
poor functioning in patients with psychotic disorder.
AB - OBJECTIVES: Gamma oscillation is important for cortico-cortical coordination and
the integration of information across neural networks. The 40 Hz auditory steady
state response (ASSR), which reflects neural synchrony in the gamma band (30-100
Hz), is abnormal in patients with schizophrenia (SZ). The present study used the
ASSR at multiple frequencies to examine (1) gamma dysfunction in patients with
SZ, schizoaffective (SA), and bipolar disorder (BD) compared with controls, (2)
the relationship between ASSR measures and clinical symptom severity, and (3) the
relationship between ASSR measures and real-life community functioning. METHODS:
EEG was recorded from 75 controls, 52 SZ, 55 SA, and 89 BD patients during 20-30
40-Hz binaural click trains. ANCOVA was used to compare ASSR measures between
groups controlling for age, sex, and education. Associations between ASSR
measures, symptom severity, and community functioning were examined using linear
regression and Pearson partial correlations. RESULTS: ASSR deficits at gamma
frequency were observed in all patient groups. SA patients showed additional
specific deficit in the 20 Hz ASSR. Severity of manic, depressive, and anxiety
symptoms mediated ASSR deficits. Severity of hallucinatory symptom and community
functioning, particularly independent living/meaningful activity, were
significantly and independently associated with the 40 Hz ASSR. CONCLUSIONS: SZ,
SA and BD patients are likely to share the same abnormalities in neural processes
that generate gamma oscillations. 40 Hz ASSR are associated with community
functioning across patients and may serve as a biomarker for predicting
functional outcome.
PMID- 29807807
TI - Systematic review and meta-analysis of postoperative pancreatic fistula rates
using the updated 2016 International Study Group Pancreatic Fistula definition in
patients undergoing pancreatic resection with soft and hard pancreatic texture.
AB - BACKGROUND: In 2016, the International Study Group of Pancreatic Fistula (ISGPS)
proposed an updated definition for postoperative pancreatic fistula (POPF).
Pancreas texture (PT) is an established risk factor of POPF. The definition of
soft vs. hard texture, however, remains elusive. METHODS: A systematic search was
performed to identify PT definitions and a meta-analysis linking POPF to PT using
the updated ISGPS definition. RESULTS: 122 studies including 22 376 patients were
identified. Definition criteria for PT varied among studies and most classified
PT in hard and soft based on intraoperative subjective assessment. The total POPF
rate (pooled grades B and C) after pancreatoduodenectomy was 14.5% (n = 10 395)
and 15.5% (n = 3767) after distal pancreatectomy. In pancreatoduodenectomy, POPF
rate was higher in soft compared to hard pancreas (RR, 4.4, 3.3 to 6.1; p <
0.001; n = 6393), where PT grouped as soft and hard. No data were available for
intermediate PT. CONCLUSION: The reported POPF rates may be used in planning
future prospective studies. A widely accepted definition of PT is lacking and a
correlation with the risk of POPF is based on subjective evaluation, which is
still acceptable. Classification of PT into 2-groups is more reasonable than
classification into 3-groups.
PMID- 29807808
TI - Total neoadjuvant therapy for rectal cancer.
AB - While outcomes for patients with locally advanced disease have improved
considerably with combined modality therapy, there is now an emphasis on
developing risk-adapted treatment strategies. Moreover, the primary cause of
death from locally advanced rectal cancer is related to distant progression,
which now exceeds the rate of local failure. Thus, the necessity to optimally
address micrometastatic disease has led to increasing interest in delivering
chemotherapy in the neoadjuvant setting rather than in the postoperative setting.
This review critically appraises the emerging literature on the options for
sequencing of therapy, focusing on the total neoadjuvant therapy paradigm as well
as emerging options for omitting components of multimodality therapy.
PMID- 29807809
TI - DNAJA4 deficiency enhances NF-kappa B-related growth arrest induced by
hyperthermia in human keratinocytes.
AB - BACKGROUND: Hyperthermia is an effective treatment against cancer and human
papillomavirus (HPV) infection. Previous studies have shown that heat shock
proteins are crucial to the action of hyperthermia. OBJECTIVES: To examine the
effects of hyperthermia in combination with DNAJA4-deficiency on human
keratinocytes and Condyloma acumunatum (CA) tissues. METHODS: HaCaT cells were
subjected to 44 degrees C (compared to 37 degrees C) waterbath for 30min for
stimulation. Foreskin or CA tissues obtained from patients undergoing
circumcision or pathological examination were bisected and subjected to similar
treatments. DNAJA4-knockout (KO) HaCaT cells were generated with CRISPR/Cas9
technology. mRNA and protein expressions were determined using rt-qPCR and
western-blotting. Cell cycle distribution, apoptosis and senescence were analyzed
by flow cytometry. RESULTS: DNAJA4 was induced in HaCaT cells, foreskin and CA
tissues subjected to hyperthermia at both transcriptional and translational
levels. NF-kB,3 was activated by hyperthermia in HaCaT cells, and further
enhanced by DNAJA4-deficiency. Transcription of TNF-alpha4; IL-1B,5 TNFAIP36 and
IL-87 were induced in HaCaT cells subjected to hyperthermia. DNAJA4-knockout
promoted transcriptions of TNF-alpha and IL-1B, whereas decreased that of TNFAIP3
and IL-8. Reduced cell survival, proliferation and viability were demonstrated
using flow cytometry and MTS assays. Furthermore, NF-kB inhibitors reversed most
of the phenotypes observed. CONCLUSIONS: Hyperthermia reduced HaCaT cell
proliferation and promoted cytokine expressions responsible for anti-viral
activity, mainly through a NF-kB dependent pathway. DNAJA4-deficiency enhanced
the activation of NF-kB by hyperthermia in HaCaT cells, indicating that DNAJA4
may be a promising therapeutic target for use in the treatment of cutaneous HPV
infections.
PMID- 29807806
TI - Measuring adherence to antipsychotic medications for schizophrenia: Concordance
and validity among a community sample in rural China.
AB - BACKGROUND: Despite the abundance of measures to assess medication adherence by
persons suffering schizophrenia, few studies have evaluated their concordance and
validity against a reference standard in resource-poor community settings. We
explored the concordance and validity of several measures to assess antipsychotic
medication adherence in a resource-poor community. METHOD: Based on a random
sample of 278 villagers diagnosed with schizophrenia from Liuyang, Hunan
Province, China, we used a concordance correlation coefficient (rc) and Kappa
statistic to assess agreement among pill counts, refill records, clinician
rating, Drug Attitude Inventory (DAI), and the Brief Adherence Rating Scale
(BARS). The validity of various measures was evaluated by their concordance and
sensitivity/specificity to home-based unannounced pill count (UPC) as the
reference standard. RESULTS: The estimated proportion of adherent patients
according to all measures (41% ~ 88%) was substantially higher than identified by
UPC (35%). Concordance between any two measures was poor (rc/Kappa mostly <0.30).
Validity of various measures also was poor against the UPC (rc < 0.20; Kappa
<0.16), although refill records and the structured instruments (BARS) performed
better than office-based pill counts and clinician impression. BARS, DAI and
clinician rating were not sensitive to changes in adherence and would likely
underestimate any program effect. CONCLUSION: In resource-poor community
settings, most measures assessed in this study should not be used alone as they
overestimated adherence, underestimated program effect, and had poor validity. A
combination of UPC and several other measures may provide more insight into
clinical trials and programmatic management.
PMID- 29807810
TI - Surgical treatment strategy in Warthin tumor of the parotid gland.
AB - INTRODUCTION: Warthin tumors are the second most common benign tumors of the
parotid gland. We examined the clinical features of Warthin tumors in our
hospital, and analyzed the consistency within the literatures. OBJECTIVE: The aim
of this study is to analyze the clinical features of Warthin tumors in our 10
year experience of 118 Warthin tumors undergoing surgery at a single institute.
METHODS: From December 2006 to December 2016, 110 patients who underwent surgical
treatment for Warthin tumors were identified based on their medical records.
RESULTS: A total of 118 parotid gland operations were performed in 110 patients.
Almost 90% of Warthin tumors were found in males, and average patient age was
66.1+/-6.1 years. The prevalence of smoking history was 89.1% (98/110). Eight
patients (7.3%) had bilateral Warthin tumors. Seventy-seven lesions (65.3%) were
located in the parotid tail portion, followed by 34 lesions in the superficial
lobe (28.8%) and 7 lesions in the deep lobe (5.9%). CONCLUSION: We determined the
appropriate extent of surgery depending on the fine needle aspiration cytology
and tumor location by computed tomography scans. Partial facial dysfunction after
the operation was detected in 12 cases, and facial nerve function recovered
within 3 months. Only one patient experienced a recurrence, and was disease free
after the re-operation. We suggest that our treatment algorithm, depending on the
location of tumors and the result of fine needle aspiration cytology, can be
useful to determine the appropriate extent of surgery for Warthin tumors.
PMID- 29807811
TI - Steroid vs. antibiotic impregnated absorbable nasal packing for wound healing
after endoscopic sinus surgery: a randomized, double blind, placebo-controlled
study.
AB - INTRODUCTION: Endoscopic sinus surgery can lead to crusting or synechiae
formation, which can affect the healing process. OBJECTIVE: The aim of our study
was to compare the influence of steroid versus antibiotic versus saline solution
impregnated absorbable nasal spacers on postoperative wound healing and patient
satisfaction. METHODS: Eighty patients, 33 women and 47 men, were enrolled in
this study. At the end of the surgery, two pieces of 4cm biodegradable material
were applied in each ethmoid cavity. One of them was impregnated with saline
solution, while the second one with steroid, or with antibiotic. RESULTS: We
observed statistically significant differences in the Lund-Kennedy score between
the control and both treatment groups: for the Antibiotic-group on days 10 and 30
(p=0.009; p=0.009) and for the Steroid-group on day 90 (p=0.008). The extended
endoscopic appearance of nasal mucosa indicated statistically significant
differences in crust formation on day 10 comparing the steroid and control
dressing (p=0.025), in secretion type on days 10 and 30 comparing the antibiotic
and control dressing (p=0.003; p=0.016) and additionally for steroid and control
on day 90 (p=0.046). On Day 90 we observed statistically significant differences
in the absence of mucosal edema in the S-group compared to controls (p=0.007).
CONCLUSIONS: The results of this study reveal the significant positive influence
of steroid- and antibiotic-impregnated biodegradable nasal packing on the
postoperative healing process and patient satisfaction compared to the saline
soaked dressing.
PMID- 29807812
TI - The role of nasopharyngeal examination and biopsy in the diagnosis of malignant
diseases.
AB - INTRODUCTION: In direct proportion to the increasing rate of nasopharynx
examinations applied, the early diagnosis and treatment of lesions in this region
is possible. At times the clinical findings and the biopsy results are not
consistent, so biopsies may have to be repeated. OBJECTIVES: The aim of this
study was to evaluate the distribution of pathology test results obtained from
cases of nasopharynx biopsy, to determine with which methods determination most
often was made, and to investigate which kinds of cases required the biopsy to be
repeated. METHODS: The study included a total of 1074 patients (500 female, 574
male) who underwent nasopharyngeal biopsy in our clinic between June 2011 and
June 2017. Data were obtained from patient records of age, gender, clinical
findings, imaging findings if available and pathological diagnosis. The
pathological diagnoses were separated into 3 main groups as chronic
nasopharyngitis, benign cytology and malignant cytology. RESULTS: The
examinations resulted in 996 cases reported as chronic nasopharyngitis, 47 as
benign cytology and 31 as malignant cytology. Of the 31 malignant lesions,
diagnosis was made in 15 patients (48.4%) with a single biopsy, and in 16
patients (51.6%), as a result of the pathology report when 2 or more biopsies
were taken. In the comparison of the benign and malignant lesions in respect of
the need for repeated biopsies, the cases determined with malignancy were found
to have a statistically significantly higher rate of repeated biopsy (p<0.001).
CONCLUSION: In comparison with cases of benign tumor, a statistically
significantly greater number of repeated biopsies were required in cases
diagnosed as malignant tumors to confirm the pathological diagnosis or when there
was continued suspicion of malignancy. Therefore, when there is clinical
suspicion, even if there are no findings of malignancy on the first biopsy, the
biopsy should be repeated expeditiously.
PMID- 29807813
TI - Disruptive innovation in acute stroke systems of care.
PMID- 29807814
TI - Corrections.
PMID- 29807816
TI - Random Versus Nonrandom Peer Review: A Case for More Meaningful Peer Review.
AB - OBJECTIVE: Random peer review programs are not optimized to discover cases with
diagnostic error and thus have inherent limitations with respect to educational
and quality improvement value. Nonrandom peer review offers an alternative
approach in which diagnostic error cases are targeted for collection during
routine clinical practice. The objective of this study was to compare error cases
identified through random and nonrandom peer review approaches at an academic
center. METHODS: During the 1-year study period, the number of discrepancy cases
and score of discrepancy were determined from each approach. RESULTS: The
nonrandom peer review process collected 190 cases, of which 60 were scored as 2
(minor discrepancy), 94 as 3 (significant discrepancy), and 36 as 4 (major
discrepancy). In the random peer review process, 1,690 cases were reviewed, of
which 1,646 were scored as 1 (no discrepancy), 44 were scored as 2 (minor
discrepancy), and none were scored as 3 or 4. Several teaching lessons and
quality improvement measures were developed as a result of analysis of error
cases collected through the nonrandom peer review process. CONCLUSIONS: Our
experience supports the implementation of nonrandom peer review as a replacement
to random peer review, with nonrandom peer review serving as a more effective
method for collecting diagnostic error cases with educational and quality
improvement value.
PMID- 29807815
TI - Frequency of abnormal fractional flow reserve measurements among major coronary
arteries.
AB - BACKGROUND: Fractional flow reserve(FFR) is a validated tool for evaluating
functional severity and guiding the revascularization of angiographically
moderate coronary artery lesions. OBJECTIVE: To study if there is a higher
frequency of positive FFR measurements in the left anterior descending(LAD)
versus other major coronary arteries and also evaluate the differences in the
total length of the stent placed. METHODS: A retrospective cohort study including
all subjects (January 2011 to December 2015) who had fractional flow reserve
(FFR) measured during coronary catheterization was conducted. Coronary
catheterizations with FFR at a single tertiary care center were reviewed and FFR
<= 0.80 post adenosine was deemed positive. The differences in the baseline
characteristics and the degree of stenosis were compared between the different
vessel groups. RESULTS: Of the 758 vessels included in the analysis, the majority
were LAD(51.3%) followed by right coronary artery(RCA)(22.8%), Circumflex(22.2%),
Left main(2.2%), and Ramus intermedius(1.5%). 25.1% of 758 vessels were FFR
positive. The proportion of positive FFR were higher among LAD versus other
vessels(33.2%vs.16.5%,p < 0.001), while no differences were noted between RCA and
circumflex(p = 0.87) or other vessels excluding LAD(p = 0.69). Of 175 patients
who received stents, no statistical difference was noted in the median[range]
total length of the stent between LAD(22[9-64]) and the other coronary arteries
(18[8-42])(p = 0.19). In patients with an FFR <0.75, we found that the stent
length(median [range]) was significantly longer in LAD(28[9-42]) than the other
coronary arteries(18[8-42])(p = 0.03). CONCLUSION: In our study, FFR was almost
twice as likely to be positive in the LAD when compared to other major coronary
arteries. Furthermore, there was a trend towards FFR positive LAD lesions needing
longer stents than other coronary arteries. This data should encourage operators
to evaluate moderate, long lesions in the LAD with FFR, as they have a higher
probability of functional significance.
PMID- 29807817
TI - Delivering Humanitarian Teleradiology Services to Besieged Areas in Syria.
PMID- 29807818
TI - Impact of a Commercially Available Clinical Decision Support Program on Provider
Ordering Habits.
AB - PURPOSE: Clinical decision support (CDS) software designed around the ACR
Appropriateness Criteria assists health care providers in choosing appropriate
imaging studies at the time of order entry. The goal of this study was to
determine the impact of commercially available CDS on the ordering habits of
inpatient and emergency providers. METHODS: In 2014, ACR Select was integrated
into our electronic health record, though without displaying appropriateness
scores in a "silent" mode for 6 months. Then, feedback regarding examination
appropriateness was "turned on" at order entry for adult patients in the
emergency and inpatient settings for 24 months. We retrospectively compared the
appropriateness scores of imaging tests before and after displaying feedback at
order entry and evaluated these data by modality and attending versus trainee
status. RESULTS: The commercially available CDS-generated scores for 34% and
20.4% of pre- and postintervention studies, respectively. After feedback, the
relative frequency of low utility studies decreased to 5.4% from 11%, and the
relative frequency of indicated studies increased to 82% from 64.5%. This was
most pronounced in trainees for whom the percentage of low utility studies
decreased from 10.8% (95% confidence interval [CI]: 10.0%, 11.7%) to 4.8% (95%
CI: 4.4%, 5.2%) and the percentage of indicated studies increased from 65.6% (95%
CI: 64.3%, 66.9%) to 83.7% (83.0%, 84.3%). CONCLUSIONS: After implementation of a
commercially available decision support tool integrated into the electronic
health record, there was a significant improvement in imaging study
appropriateness scores, more pronounced in studies ordered by trainees.
PMID- 29807819
TI - Silent slips, trips and broken hips in the under 60s: A review of the literature.
AB - This critical review of the literature regarding the recovery experiences and
healthcare needs of people under 60 following a fragility hip fracture seeks to
identify the associated implications for nursing practice and inform care
delivery. Forty papers were included following a structured database, citation
and grey literature search and filtering of results in line with specified
inclusion criteria. Hip fracture is a common, serious and complex injury and an
important cause of morbidity, mortality and rising healthcare costs worldwide.
This review indicates that although commonly associated with the elderly,
incidence and impact in the under 60s has been under-explored. Current health
policy, professional and social norms almost exclusively focus on the elderly,
surgical interventions and short-term outcomes, rendering the under 60s an
inadvertently marginalised, relatively 'silent' sub-set of the hip fracture
population. Nurses must be aware, however, of the different recovery needs of
this younger group. The limited evidence available indicates these include work
related needs and long term physical and psychosocial limitations in this
socially and economically active group. Priorities are identified for research to
inform policy and practice. Meanwhile, nurses can address the needs of this group
by listening to and involving them and their families as healthcare partners.
PMID- 29807820
TI - Comparison of spinal and general anesthesia approaches for MRI-guided
brachytherapy for cervical cancer.
AB - PURPOSE: To evaluate the impact of general versus spinal anesthesia on
postprocedure narcotic use and of extradepartmental planning MRI on treatment
time in high-dose-rate brachytherapy for cervical cancer. METHODS AND MATERIALS:
Twenty-five patients (10 general anesthesia and 15 spinal anesthesia) who
collectively received 96 brachytherapy fractions (39 general and 57 spinal) for
cervical cancer between February 2015 and April 2017 were retrospectively
reviewed. Over this time, institutional practice shifted from operating room
based general anesthesia to intradepartmental spinal anesthesia for tandem and
ring placement. In some cases, extradepartmental planning MRI was performed.
Administrations of narcotics after tandem and ring placement were recorded, and
dosages were converted to intravenous (IV) morphine equivalents. Total treatment
times for fractions using spinal anesthesia were documented. RESULTS: The general
anesthesia group included a significantly higher proportion of fractions using
postprocedure narcotics (100.0% vs. 31.6%, p < 0.0001). The general and spinal
anesthesia groups required an average of 16.9 mg (range: 2.0-59.2) and 1.4 mg
(range: 0.0-17.5) IV morphine equivalents per fraction, respectively (p <
0.0001). When using spinal anesthesia, the average total treatment time with MRI
was 311.0 min (range: 218-379) versus 306.6 min (range: 177-429) without MRI (p =
0.810). CONCLUSION: Intradepartmental spinal anesthesia results in significant
decreases in postprocedure narcotic usage compared with operating room-based
general anesthesia. When using spinal anesthesia, addition of extradepartmental
MRI does not increase treatment time. This workflow avoids transporting patients
under general anesthesia, minimizes the need for MRI-compatible monitoring,
allows treatment of multiple patients per day, and provides adequate analgesia.
PMID- 29807822
TI - Diagnosing and caring for MS in Haiti.
PMID- 29807821
TI - Reply to: Comment on Y.D. Fragoso et al.: "Lymphocyte count in peripheral blood
is not associated with the level of clinical response to treatment with
fingolimod" [Mult. Scler. Relat. Disord. (2017)].
PMID- 29807823
TI - Management of Idiopathic Overactive Bladder Syndrome: What Is the Optimal
Strategy After Failure of Conservative Treatment?
AB - CONTEXT: A considerable number of patients affected by the overactive bladder
syndrome (OAB) do not respond to pharmacotherapy and bladder training due to
unsatisfactory response or intolerability. OBJECTIVE: To review the available
literature assessing therapeutic effect of the available third-line treatment
modalities for OAB. EVIDENCE ACQUISITION: PubMed, Medline, and Cochrane databases
were searched for all studies comparing outcomes of the available third-line
treatment modalities for OAB. EVIDENCE SYNTHESIS: Several minimally invasive
surgical procedures are available for patients with refractory OAB. These
therapies include intravesical botulinum toxin type A, posterior tibial nerve
stimulation, and sacral neuromodulation. CONCLUSIONS: None of the mentioned
therapeutic modalities shows strong superiority over another. If the results of
one therapy are not satisfactory, switching to another third-line treatment can
be attempted. The treatment algorithm is dependent on several factors, including
age, comorbidity, patient preference, surgical expertise, and financial concerns.
All these factors should be taken into consideration before initiation of
treatment. PATIENT SUMMARY: In the management of drug-resistant overactive
bladder syndrome, the different minimally invasive treatments that are available
are equal. If the results of one therapy are not satisfactory, switching to
another treatment can be attempted. The treatment algorithm is dependent on
several factors, including age, comorbidity, patient preference, surgical
expertise, and financial concerns.
PMID- 29807825
TI - Preferred strategy for postsurgical thyroid ablation in low-risk thyroid cancer.
PMID- 29807824
TI - Outcome after ablation in patients with low-risk thyroid cancer (ESTIMABL1): 5
year follow-up results of a randomised, phase 3, equivalence trial.
AB - BACKGROUND: In ESTIMABL1, a randomised phase 3 trial of radioactive iodine (131I)
administration after complete surgical resection in patients with low-risk
thyroid cancer, 92% of patients had complete thyroid ablation at 6-10 months,
defined as a recombinant human thyroid-stimulating hormone (rhTSH)-stimulated
serum thyroglobulin concentration of 1 ng/mL or less and normal findings on neck
ultrasonography. Equivalence was shown between low-activity (1.1 GBq) and high
activity (3.7 GBq) radioactive iodine and also between the use of rhTSH
injections and thyroid hormone withdrawal. Here, we report outcomes after 5 years
of follow-up. METHODS: This multicentre, randomised, open-label, equivalence
trial was done at 24 centres in France. Between March 28, 2007, and Feb 25, 2010,
we randomly assigned (1:1:1:1) adults with low-risk differentiated thyroid
carcinoma who had undergone total thyroidectomy to one of four strategies, each
combining one of two methods of thyrotropin stimulation (rhTSH or thyroid hormone
withdrawal) and one of two radioactive iodine activities (1.1 GBq or 3.7 GBq).
Randomisation was by computer-generated sequence, with variable block size.
Follow-up consisted of a yearly serum thyroglobulin measurement on levothyroxine
treatment. Measurement of rhTSH-stimulated thyroglobulin and neck ultrasonography
were done at the discretion of the treating physician. No evidence of disease was
defined as serum thyroglobulin of 1 ng/mL or less on levothyroxine treatment and
normal results on neck ultrasonography, when performed. This study was registered
with ClinicalTrials.gov, number NCT00435851. FINDINGS: 726 patients (97% of the
752 patients originally randomised) were followed up. At a median follow-up since
randomisation of 5.4 years (range 0.5-9.2), 715 (98%) had no evidence of disease.
The other 11 had either structural disease (n=4), raised serum thyroglobulin
concentration (n=5), or indeterminate findings on neck ultrasonography (n=2). At
ablation, six of these patients had received 1.1 GBq radioactive iodine (five
after rhTSH and one after withdrawal) and five had received 3.7 GBq (two after
rhTSH and three after withdrawal). TSH-stimulated (either after rhTSH injections
or thyroid hormone withdrawal according to the treatment group) thyroglobulin
concentration measured at the time of ablation was prognostic for structural
disease status at ablation, ablation status at 6-10 months, and the final
outcome. INTERPRETATION: Our findings suggest that disease recurrence was not
related to the strategy used for ablation. These data validate the use of 1.1 GBq
radioactive iodine after rhTSH for postoperative ablation in patients with low
risk thyroid cancer. FUNDING: French National Cancer Institute (INCa), French
Ministry of Health, and Sanofi Genzyme.
PMID- 29807826
TI - Semen analysis parameters from a captive population of the endangered Chacoan
peccary (Catagonus wagneri) in Paraguay.
AB - Baseline information about the reproductive physiology of an endangered species
is vital to captive breeding programs. This study analyzed reproductive
parameters from eleven captive Chacoan peccaries (Catagonus wagneri) (mean age:
10.5 +/- 1.2 years old) in Paraguay. After immobilization, testis length, width
and firmness (hard to flaccid, 1-3) were assessed, followed by electroejaculation
and analysis of semen. Samples were examined for percentage and progressive
motility, total cell count, percentage live spermatozoa and morphology. Mean (+/
SEM) testis volume and firmness were 24.7 +/- 1.8 cm3 and 2.1 +/- 0.1,
respectively. Mean ejaculate volume was 2.9 +/- 0.7 ml with a pH of 7.7 +/- 0.3.
Few male peccaries had motile spermatozoa (n = 5/9) with a mean percentage and
progressive motility of 18.3 +/- 8.5% and 0.6 +/- 0.3, respectively. The mean
percentage of live spermatozoa was 25.1 +/- 5.6%. Male peccaries had a low
percentage of normal spermatozoa (12.4 +/- 2.5%). The mean total count of
spermatozoa per ejaculate was also quite low at 1.58 +/- 1.01 million total
spermatozoa per ejaculate. Spermatozoa defects were predominantly primary (77.7%)
with the most common spermatozoa defects being tapered head (19.0 +/- 7.4%),
diadem/crater (17.7 +/- 2.8%), and excess residual cytoplasm (9.6 +/- 2.5%). Male
age was not correlated with semen parameters (percent live: r=-0.19; motility
percentage: r = 0.01; percent normal spermatozoa: r = 0.38; total count: r =
0.29; p>0.05). Evaluation of additional males from this population as well as
other captive populations at various time points during the year is warranted.
PMID- 29807827
TI - The influence of inhibition of acid phosphatase, beta-N-acetylglucosaminidase and
lactate dehydrogenase present in the sperm of ide (Leuciscus idus) on the
percentage of fertilised eggs.
AB - This study investigated how the inhibition of certain enzymes present in ide
sperm influences sperm motility and the percentage of fertilised eggs. The
enzymes studied were acid phosphatase (AcP), beta-N-acetylglucosaminidase (beta
NAGase) and lactate dehydrogenase (LDH). None of the inhibitors affected ide
sperm motility parameters. The addition of gossypol (a LDH inhibitor) caused a
considerable increase in the percentage of fertilised eggs (92-95% compared to
63% in the control). The inhibition of AcP caused a considerable decrease in
fertility rate - at the highest inhibitor dose, the percentage of fertilised eggs
decreased to 26%. A similar effect was seen after the addition of acetamide (a
beta-NAGase inhibitor), but in this case the highest dose caused complete
inhibition of fertilisation. The results presented here indicate the importance
of AcP and beta-NAGase in the process of ide fertilisation.
PMID- 29807828
TI - Interferon epsilon is constitutively expressed in equine endometrium and up
regulated during the luteal phase.
AB - Interferon epsilon (IFNE) is type I interferon which stands out through its
unusual expression profile and differing regulation compared to classic type I
interferons such as interferon alpha and interferon beta. Unlike other type I
interferons, the expression of IFNE is not stimulated through exposure to viral
agents. Expression of IFNE is most abundant in mouse and human endometrium where
it is constitutively expressed in luminal and glandular epithelial cells and
expression levels are up-regulated with estrogen exposure. The aim of the current
study was to determine whether a cycle or pregnancy dependent expression pattern
of IFNE is existent in equine endometrium and to localize IFNE expression within
the endometrium. Additionally, endometrial explant culture and culture of mixed
epithelial/stromal cells populations was used to determine the effects estrogen
and seminal plasma on IFNE transcript abundance. Samples collected during
diestrus and pregnancy expressed significantly higher levels of IFNE than samples
obtained from anestrous or estrous mares (P < 0.001). Exposure of mixed
endometrial epithelial/stromal cell populations and endometrial explants to 10%
seminal plasma and estradiol 17-beta did not affect IFNE expression levels (P >
0.05). Upon in situ hybridization, staining was exclusively present in luminal
and glandular epithelial cells, with stromal displaying absent staining
intensity. Both diestrous and pregnant samples were characterized by markedly
stronger staining of glandular epithelial cells than anestrous and estrous
samples. The progesterone-dependent increase in IFNE abundance during the estrous
cycle likely implies that IFNE is part of the innate immune system in endometrium
that gives protection against uterine infections during progesterone-dominated
phase of the estrous cycle.
PMID- 29807829
TI - Minimally invasive plate osteosynthesis with locking compression plate in
patients with Vancouver type B1 periprosthetic femoral fractures.
AB - BACKGROUND: Periprosthetic femoral fractures (PFF) following total hip
arthroplasty (THA) remain one of the most challenging complications to address.
Although the principal treatment modalities for Vancouver type B1 fractures are
open reduction and internal fixation (ORIF), surgeons have not yet reached a
consensus on the optimal method for reduction and fixation. We therefore
investigated whether minimally invasive plate osteosynthesis (MIPO) using locking
compression plate (LCP) would lead to favorable outcomes for patients with
Vancouver type B1 PFFs. In addition, we also compared the outcomes of patients
treated with MIPO to those treated with ORIF. METHOD: We retrospectively
evaluated the clinical and radiographic outcomes of a series of 21 Vancouver type
B1 PFFs treated with MIPO and LCP between February 2011 and February 2017. The
mean duration of follow-up was 33.8 months. We also compared outcomes of these
patients to those of patients with 19 Vancouver type B1 fractures treated with
ORIF between April 2006 and December 2011. RESULTS: Fracture healing without
complications was achieved in 20 (95.2%) out of 21 cases in the MIPO group and in
14 (87.5%) out of 16 cases in the ORIF group. There was one case of fixation
failure with stem subsidence in the MIPO group. In the ORIF group, there were 2
nonunion with metal failure. Operation time was significantly shorter and
intraoperative blood loss was significantly less in the MIPO group compared to
the ORIF group. However, there were no significant differences in frequency of
transfusion, time-to-union, walking abilities, modified Harris hip score, or
complications. CONCLUSION: The radiological and clinical outcomes of MIPO using
LCP in patients with Vancouver type B1 PFFs were shown not to be inferior to ORIF
and resulted in fewer intraoperative complications than ORIF. If care is taken
regarding the stability of femoral implant and optimal surgical techniques, MIPO
may be a recommended option in the treatment of Vancouver type B1 periprosthetic
fracture.
PMID- 29807830
TI - Review of bedside surgeon-performed ultrasound in pediatric patients.
AB - PURPOSE: Pediatric surgeon performed bedside ultrasound (PSPBUS) is a targeted
examination that is diagnostic or therapeutic. The aim of this paper is to review
literature involving PSPBUS. METHODS: PSPBUS practices reviewed in this paper
include central venous catheter placement, physiologic assessment (volume status
and echocardiography), hypertrophic pyloric stenosis diagnosis, appendicitis
diagnosis, the Focused Assessment with Sonography for Trauma (FAST), thoracic
evaluation, and soft tissue infection evaluation. RESULTS: There are no standards
for the practice of PSPBUS. CONCLUSIONS: As the role of the pediatric surgeon
continues to evolve, PSPBUS will influence practice patterns, disease diagnosis,
and patient management. TYPE OF STUDY: Review Article. LEVEL OF EVIDENCE: Level
III.
PMID- 29807831
TI - Identification of Glypican-3 (GPC3) Expression in a Lethal Subgroup of Refractory
Cisplatin-Resistant Testicular Germ-Cell Tumors.
PMID- 29807832
TI - Mesenchymal Stromal Cells Directly Promote Inflammation by Canonical NLRP3 and
Non-canonical Caspase-11 Inflammasomes.
AB - Mesenchymal stromal cells (MSCs) based therapy is a promising approach to treat
inflammatory disorders. However, therapeutic effect is not always achieved. Thus
the mechanism involved in inflammation requires further elucidation. To explore
the mechanisms by which MSCs respond to inflammatory stimuli, we investigated
whether MSCs employed inflammasomes to participate in inflammation. Using in
vitro and in vivo models, we found that canonical NLRP3 and non-canonical caspase
11 inflammasomes were activated in bone-associated MSCs (BA-MSCs) to promote the
inflammatory response. The NLRP3 inflammasome was activated to mainly elicit IL
1beta/18 release, whereas the caspase-11 inflammasome managed pyroptosis.
Furthermore, we sought a small molecule component (66PR) to inhibit the
activation of inflammasomes in BA-MSCs, which consequently improved their
survival and therapeutic potential in inflammation bowel diseases. These current
findings indicated that MSCs themselves could directly promote the inflammatory
response by an inflammasome-dependent pathway. Our observations suggested that
inhibition of the proinflammatory property may improve MSCs utilization in
inflammatory disorders.
PMID- 29807834
TI - The effect of new biosimilars in rheumatology and gastroenterology specialities
on UK healthcare budgets: Results of a budget impact analysis.
AB - BACKGROUND: The approval of new biosimilars of infliximab, etanercept and
adalimumab by the European Medicines Agency is expected to produce further cost
savings to the healthcare system budget. OBJECTIVES: This study aimed to estimate
the budget impact of the introduction of new biosimilars Flixabi(r), Erelzi(r),
Solymbic(r), Amgevita(r) and Imraldi(r) in rheumatology and gastroenterology
specialities in the UK. METHODS: A published budget impact model was adapted to
estimate the expected cost savings following the entry of new biosimilars
Flixabi(r), Erelzi(r), Solymbic(r), Amgevita(r) and Imraldi(r) in the UK over
three-year time horizon. This model was based on retrospective market shares of
biologics used in rheumatology and gastroenterology which were derived from
DEFINE Software and healthcare professional perspectives. RESULTS: The model
predicted that infliximab and etanercept biosimilars would replace their
corresponding reference agents by 2020. Adalimumab biosimilars were predicted to
achieve 19% of the rheumatology and gastroenterology market by 2020. Without the
introduction of further biosimilars, the model predicted a reduction in
expenditure of L44 million on biologics over the next three years. With the entry
of Flixabi(r), Erelzi(r), Solymbic(r), Amgevita(r) and Imraldi(r) the model
estimates cumulative savings of L285 million by 2020. CONCLUSIONS: The
introduction of new infliximab, etanercept and adalimumab biosimilars will be
associated with considerable cost savings and have a substantial favourable
impact on the UK NHS budget. The number of biosimilars and time of entry of is
critical to create competition which will result in maximum cost savings.
PMID- 29807835
TI - Daily IGRT for prostate cancer: Can we stop the train?
PMID- 29807833
TI - Identifying Circulating Tumor DNA Mutation Profiles in Metastatic Breast Cancer
Patients with Multiline Resistance.
AB - PURPOSE: In cancer patients, tumor gene mutations contribute to drug resistance
and treatment failure. In patients with metastatic breast cancer (MBC), these
mutations increase after multiline treatment, thereby decreasing treatment
efficiency. The aim of this study was to evaluate gene mutation patterns in MBC
patients to predict drug resistance and disease progression. METHOD: A total of
68 MBC patients who had received multiline treatment were recruited. Circulating
tumor DNA (ctDNA) mutations were evaluated and compared among hormone receptor
(HR)/human epidermal growth factor receptor 2 (HER2) subgroups. RESULTS: The
baseline gene mutation pattern (at the time of recruitment) varied among HR/HER2
subtypes. BRCA1 and MED12 were frequently mutated in triple negative breast
cancer (TNBC) patients, PIK3CA and FAT1 mutations were frequent in HR+ patients,
and PIK3CA and ERBB2 mutations were frequent in HER2+ patients. Gene mutation
patterns also varied in patients who progressed within either 3 months or 3-6
months of chemotherapy treatment. For example, in HR+ patients who progressed
within 3 months of treatment, the frequency of TERT mutations significantly
increased. Other related mutations included FAT1 and NOTCH4. In HR+ patients who
progressed within 3-6 months, PIK3CA, TP53, MLL3, ERBB2, NOTCH2, and ERS1 were
the candidate mutations. This suggests that different mechanisms underlie disease
progression at different times after treatment initiation. In the COX model, the
ctDNA TP53 + PIK3CA gene mutation pattern successfully predicted progression
within 6 months. CONCLUSION: ctDNA gene mutation profiles differed among HR/HER2
subtypes of MBC patients. By identifying mutations associated with treatment
resistance, we hope to improve therapy selection for MBC patients who received
multiline treatment.
PMID- 29807836
TI - Delineation guideline for the para-aortic lymph node region in cervical cancer.
PMID- 29807838
TI - Ecological and Evolutionary Consequences of Parasite Avoidance.
AB - Predators often cause prey to adopt defensive strategies that reduce predation
risk. The 'ecology of fear' examines these trait changes and their consequences.
Similarly, parasites can cause hosts to adopt defensive strategies that reduce
infection risk. However the ecological and evolutionary consequences of these
behaviors (the 'ecology of disgust') are seldom considered. Here we identify
direct and indirect effects of parasite avoidance on hosts and parasites, and
examine differences between predators and parasites in terms of cost,
detectability, and aggregation. We suggest that the nonconsumptive effects of
parasites might overshadow their consumptive effects, as has been shown for
predators. We emphasize the value of uniting predator-prey and parasite-host
theory under a general consumer-resource framework.
PMID- 29807839
TI - beta-Diversity, Community Assembly, and Ecosystem Functioning.
AB - Evidence is increasing for positive effects of alpha-diversity on ecosystem
functioning. We highlight here the crucial role of beta-diversity - a hitherto
underexplored facet of biodiversity - for a better process-level understanding of
biodiversity change and its consequences for ecosystems. A focus on beta
diversity has the potential to improve predictions of natural and anthropogenic
influences on diversity and ecosystem functioning. However, linking the causes
and consequences of biodiversity change is complex because species assemblages in
nature are shaped by many factors simultaneously, including disturbance,
environmental heterogeneity, deterministic niche factors, and stochasticity.
Because variability and change are ubiquitous in ecosystems, acknowledging these
inherent properties of nature is an essential step for further advancing
scientific knowledge of biodiversity-ecosystem functioning in theory and
practice.
PMID- 29807837
TI - Evaluation of a magnetic resonance guided linear accelerator for stereotactic
radiosurgery treatment.
AB - INTRODUCTION: The purpose of this study was to investigate the systematic
localization accuracy, treatment planning capability, and delivery accuracy of an
integrated magnetic resonance imaging guided Linear Accelerator (MR-Linac)
platform for stereotactic radiosurgery. MATERIALS AND METHODS: The phantom for
the end-to-end test comprises three different compartments: a rectangular MR/CT
target phantom, a Winston-Lutz cube, and a rectangular MR/CT isocenter phantom.
Hidden target tests were performed at gantry angles of 0, 90, 180, and 270
degrees to quantify the systematic accuracy. Five patient plans with a total of
eleven lesions were used to evaluate the dosimetric accuracy. Single-isocenter
IMRT treatment plans using 10-15 coplanar beams were generated to treat the
multiple metastases. RESULTS: The end-to-end localization accuracy of the system
was 1.0 +/- 0.1 mm. The conformity index, homogeneity index and gradient index of
the plans were 1.26 +/- 0.22, 1.22 +/- 0.10, and 5.38 +/- 1.44, respectively. The
average absolute point dose difference between measured and calculated dose was
1.64 +/- 1.90%, and the mean percentage of points passing the 3%/1 mm gamma
criteria was 96.87%. CONCLUSIONS: Our experience demonstrates that excellent plan
quality and delivery accuracy was achievable on the MR-Linac for treating
multiple brain metastases with a single isocenter.
PMID- 29807840
TI - Historical Contingency and Developmental Constraints in Avian Coloration.
AB - The remarkable diversity of color in nature remains largely unexplained. Recent
studies on birds show how historical reconstructions, the identification of genes
affecting color differences, and an increased understanding of the underlying
developmental mechanisms are helping to explain why species are the color they
are.
PMID- 29807841
TI - Anti-cancer activity of di- and tri-organotin(IV) compounds with D-(+)
Galacturonic acid on human tumor cells.
AB - We have compared the anti-proliferative activity in vitro, of R2SnGala (1-3) [R =
Me, n-Bu, Ph] and novel R3SnGala (4, 5) [R = Me, n-Bu] with D-(+)-Galacturonic
acid [HGala; Galaq-, q = (2) and (1) for R2SnGala and R3SnGala, respectively]
compounds, towards human tumor cell lines of intestinal carcinoma (HCT-116) and
breast adenocarcinoma (MCF-7). The new synthesized 4 and 5 compounds were
characterized, in solution, by 1H, 13C and 119Sn NMR, that showed that HGala acts
as monoanionic moiety and evidenced the dynamic behavior of the compounds, due to
inter-conversions involving the anomeric carbon atom of the ligand. Cell
viability, apoptosis induction and cell cycle distribution were analyzed by 3
(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide (MTT) colorimetric
assay and flow cytometry, respectively. The cytotoxicity of the compounds, in the
micro-submicromolar range, changed in the order of the organotin(IV) moieties,
according to 5 > 3 > 2, while 1 and 4, containing MenSn(IV) (n = 2,3) moieties,
were ineffective. Compound 5 showed peculiar cytotoxic effects. It did not cause
time dependent inhibition of cell growth nor accumulated into the cells. Cell
death induced by the active 2, 3, and 5, was shown to be apoptotic by measuring
the exposure of phosphatidylserine to the outer membrane and the loss of
mitochondrial potential. All the cytotoxic compounds induced an accumulation of
cells in the subG0/G1phase, while only 2 and 3 perturbed the cell cycle confining
viable cells in G0/G1phase. Finally, none of the compounds investigated affected
the viability of normal intestinal or liver cells, indicating selectivity towards
tumor cells.
PMID- 29807842
TI - Partial Hand Transplant: Lessons Learned From Cadaveric Dissection Studies.
AB - As the field of vascularized composite allotransplantation continues to expand,
new upper extremity transplant candidates are being considered. We recently
evaluated a bilateral amputee who had a mid-forearm amputation and a
contralateral metacarpal hand amputation. In the latter limb, a "partial" hand
transplant that preserved the majority of the patient's existing hand, including
a partially severed thumb with intact thenar muscle function, was proposed. The
feasibility of this partial hand transplant was studied in fresh-frozen cadaver
limbs. This report details the proposed approach, the cadaveric dissections, and
the lessons learned from these dissections. Issues of osteosynthesis,
microvascular planning, and intrinsic muscle recovery are discussed, all of which
are critical considerations for partial hand transplant candidates. Ultimately,
the partial hand approach was felt to be inferior to a more conventional distal
forearm transplant in this particular candidate. Practical, functional, and
ethical implications of such decision are presented.
PMID- 29807843
TI - Extending a Hand: Increasing Diversity at the American Society for Surgery of the
Hand.
AB - PURPOSE: This study aimed to assess diversity among American Society for Surgery
of the Hand (ASSH) members, ASSH annual meeting attendees, and ASSH annual
meeting presenters from 2010 to 2016. We hypothesized that over the past 7 years,
the ASSH has seen an increase in diversity in its membership, annual meeting
attendance, and presenters. METHODS: Detailed demographic data for membership,
annual meeting attendance, and annual meeting presenters were obtained from the
ASSH for a 7-year period (2010-2016). The proportion of women, underrepresented
minorities (URM), and nationality of members, attendees, and presenters was
compared over the 7 years to assess trends and differences. RESULTS: Membership
in ASSH has increased 30.0% over the past 7 years. Whereas United States
membership increased by 113 members annually, international membership increased
by 53 members annually, reflecting a 136% total increase. The percentage of women
and URM attending the annual meeting is higher than the that of women and URM
members. There have been increases in the number of women, URM, and international
members over this period. CONCLUSIONS: Over the study period, the ASSH membership
has seen increases in women and URM representation. International membership has
seen substantial growth. In addition, meeting attendance by international members
has increased, particularly since implementation of the guest nation program.
CLINICAL RELEVANCE: Women and URM make up an increasing percentage of ASSH
members. International members and presenters have also increased. Although
diversity has improved over the past several years, the ASSH should continue to
efforts toward greater inclusion and representation.
PMID- 29807845
TI - Detachment of retinal pigment epithelium in retinopathy due to malaria.
AB - CASE REPORT: A 45-year-old man was diagnosed with malaria with neurological
involvement. Two months later he referred metamorphopsia in the left eye.
Malarial retinopathy was observed in the fundus examination. The Optic Coherence
Tomography (OCT) of the macula showed parafoveal pigment epithelium detachment
(DEP). Specific anti-malarial treatment was initiated, with the disappearance of
the retinopathy being observed. DISCUSSION: Plasmodium falciparum is responsible
for the retinopathy in neurological malaria. A funduscopic examination and
macular OCT should be performed in these patients, as it is associated with a
higher mortality when there is a retinal involvement.
PMID- 29807844
TI - Evaluation index for asymmetric ventricular size on brain magnetic resonance
images in very low birth weight infants.
AB - OBJECTIVE: Asymmetric ventriculomegaly is often evident on brain magnetic
resonance imaging (MRI) in very low birth weight infants (VLBWI) and is
interpreted as white matter injury. However, no evaluation index for asymmetric
left-right and anterior-posterior ventricular sizes has been established.
METHODS: In this retrospective multicenter cohort study, brain T2-weighted MRI
was performed at term-equivalent ages in 294 VLBWI born between 2009 and 2011.
The value of a lateral ventricular index (LVI) to evaluate asymmetric ventricular
size, as well as the relationship between the LVI value and walking at a
corrected age of 18 months was investigated. At the level of the foramen of Monro
in a horizontal slice, asymmetry between the left and right sides and between the
anterior and posterior horns was identified by the corrected width and was
detected by a low concordance rate and kappa statistic value. An LVI representing
the sum of the widths of the four horns of the lateral ventricle corrected for
cerebral diameter was devised. RESULTS: Asymmetric left-right and anterior
posterior ventricular sizes were confirmed. The LVI value was significantly
higher in the non-walking VLBWI group (n = 39) than in the walking VLBWI group (n
= 255; 18.2 vs. 15.8, p = 0.02). An LVI cut-off value of 21.5 was associated with
non-walking. Multivariate analysis revealed that an LVI value >21.5 was an
independent predictor of walking disability at the corrected age of 18 months
(odds ratio 2.56, p = 0.008). CONCLUSIONS: The LVI value calculated via MRI may
predict walking disability at a corrected age of 18 months in VLBWI.
PMID- 29807847
TI - Right Ventricular-Pulmonary Vascular Interactions: An Emerging Role for Pulmonary
Artery Acceleration Time by Echocardiography in Adults and Children.
PMID- 29807846
TI - Characterizing decision-making and reward processing in bipolar disorder: A
cluster analysis.
AB - The presence of abnormalities in emotional decision-making and reward processing
among bipolar patients (BP) has been well rehearsed. These disturbances are not
limited to acute phases and are common even during remission. In recent years,
the existence of discrete cognitive profiles in this psychiatric population has
been replicated. However, emotional decision making and reward processing domains
have barely been studied. Therefore, our aim was to explore the existence of
different profiles on the aforementioned cognitive dimensions in BP. The sample
consisted of 126 euthymic BP. Main sociodemographic, clinical, functioning, and
neurocognitive variables were gathered. A hierarchical-clustering technique was
used to identify discrete neurocognitive profiles based on the performance in the
Iowa Gambling Task. Afterward, the resulting clusters were compared using ANOVA
or Chi-squared Test, as appropriate. Evidence for the existence of three
different profiles was provided. Cluster 1 was mainly characterized by poor
decision ability. Cluster 2 presented the lowest sensitivity to punishment.
Finally, cluster 3 presented the best decision-making ability and the highest
levels of punishment sensitivity. Comparison between the three clusters indicated
that cluster 2 was the most functionally impaired group. The poorest outcomes in
attention, executive function domains, and social cognition were also observed
within the same group. In conclusion, similarly to that observed in "cold
cognitive" domains, our results suggest the existence of three discrete cognitive
profiles concerning emotional decision making and reward processing. Amongst all
the indexes explored, low punishment sensitivity emerge as a potential correlate
of poorer cognitive and functional outcomes in bipolar disorder.
PMID- 29807848
TI - Accurate Estimation of Elevated Pulmonary Vascular Resistance Using Sophisticated
Echocardiographic Variables in Children with Cardiomyopathy.
PMID- 29807849
TI - A disease looking for innovative drugs: The case of pulmonary arterial
hypertension.
AB - BACKGROUND: Pulmonary arterial hypertension (PAH) is a life-threatening rare
disease. Between 2001 and 2016 the European Medicines Agency (EMA) approved nine
drugs to treat PAH. Considering the poor prognosis of patients with PAH it would
be useful to understand whether the approved therapies can change the natural
history of the disease. We assessed the therapeutic value and the quality of the
evidence on medicines that have been authorized by the EMA in the 2000s. METHODS:
Information about drug approval was obtained from the EMA website and the
European Public Assessment Reports. MedLine, Embase, and Cochrane databases were
systematically searched for published randomized clinical trials and meta
analyses of the selected drugs and their combinations. RESULTS: At the time of
approval no medicine had been proved to reduce mortality or slow the progression
of the disease or to improve patients' quality of life. Recent meta-analyses
concluded that, compared to placebo, active treatments reduced mortality but
there was no conclusion on any preferred therapeutic option. Approvals of
monotherapies in the absence of best evidence of their efficacy, have prompted
the search for better efficacy of their combinations. Three meta-analyses found
no advantage in survival from combinations as opposed to monotherapies.
CONCLUSIONS: This model case confirms previous analyses that marketing
authorizations granted in spite of low evidence of therapeutic efficacy not only
expose patients to treatments with unknown benefit-risk profiles but also hamper
post-marketing research aimed at filling the information gap.
PMID- 29807850
TI - Redefining obesity toward classifying as a disease.
PMID- 29807851
TI - Large databases (Big Data) and evidence-based medicine.
PMID- 29807852
TI - Voriconazole associated mucormycosis in a patient with relapsed acute
lymphoblastic leukemia and hematopoietic stem cell transplant failure: A case
report.
AB - The patients with hematologic malignancies and hematopoietic stem cell
transplantation (HSCT) recipients are at high risk for invasive fungal diseases
(IFDs) mainly due to the severe and prolonged neutropenia related to high-dose
chemotherapy. Voriconazole prophylaxis is recommended for possible IFDs.
Mucormycosis is a fulminant infection, which may occur after voriconazole
prophylaxis for invasive aspergillosis in immunocompromised hosts. Here, we
report mucormycosis after 4 months of voriconazole prophylaxis in a young patient
with relapsed acute lymphoblastic leukemia and hematopoietic stem cell transplant
failure and discuss the clinical manifestation, imaging, laboratory findings and
therapeutic regimens. Clinician's awareness of this entity and timely diagnosis
using conventional and molecular methods are the promising approach for the
management of this devastating infection.
PMID- 29807853
TI - Individual Differences in Autobiographical Memory.
AB - Although humans have a remarkable capacity to recall a wealth of detail from the
past, there are marked interindividual differences in the quantity and quality of
our mnemonic experiences. Such differences in autobiographical memory may appear
self-evident, yet there has been little research on this topic. In this review,
we synthesize an emerging body of research regarding individual differences in
autobiographical memory. We focus on two syndromes that fall at the extremes of
the 'remembering' dimension: highly superior autobiographical memory (HSAM) and
severely deficient autobiographical memory (SDAM). We also discuss findings from
research on less extreme individual differences in autobiographical memory. This
avenue of research is pivotal for a full description of the behavioral and neural
substrates of autobiographical memory.
PMID- 29807854
TI - Targeted alpha therapy with 212Pb or 225Ac: Change in RBE from daughter
migration.
AB - Targeted alpha-therapy (TAT) could be delivered early to patients who are at a
high-risk for developing brain metastases, targeting the areas of the vasculature
where tumor cells are penetrating into the brain. We have utilized a Monte Carlo
model representing brain vasculature to calculate physical dose and DNA damage
from the alpha-emitters 225Ac and 212Pb. The micron-scale dose distributions from
all radioactive decay products were modeled in Geant4, including the eV-scale
interactions using the Geant4-DNA models. These interactions were then
superimposed on an atomic-scale DNA model to estimate strand break yields. In
addition to 225Ac having a higher dose per decay than 212Pb, it also has a double
strand break yield per decay that is 4.7 +/- 0.5 times that of 212Pb. However,
the efficacy of both nuclides depends on retaining the daughter nuclei at the
target location in the brain vasculature. The relative biological effectiveness
(RBE) of 225Ac and 212Pb are similar when the entire decay chains are included,
with maxima of 2.7 +/- 0.6 and 2.5 +/- 0.5 (respectively), and RBE values of
about 2 to a depth of 80 MUm. If the initial daughter is lost, the RBE of 212Pb
is completely reduced to 1 or lower and the RBE of 225Ac is approximately 2 only
for the first 40 MUm.
PMID- 29807855
TI - Geldanamycin administration reduces the amount of primordial germ cells in the
mouse embryo.
AB - INTRODUCTION: Heat shock proteins (HSPs) are expressed or overexpressed in
response to exposure to stress. They act as molecular chaperones, ensuring the
correct folding of numerous client proteins. HSP90 is one of the most conserved
HSPs. Its role extends beyond stress tolerance. HSP90 also contributes to
development, differenciation, apoptosis and oncogenesis. Numerous tumors are
associated with an overexpression of HSP90 and this expression can be used to
evaluate its metastatic capacity. Primordial germ cells (PGCs) exhibit HSP90
expression under normal conditions. PGCs arise early in development and migrate
by a combination of passive and active movements towards the gonads. The aim of
this work was to study the impact of an inhibition of HSP90 on the migration of
the PGCs. Geldanamycin, a well established HSP90 inhibitor with potent antitumor
properties was used to achieve this inhibition. MATERIEL AND METHODS: 5mg of
Geldanamycin were administered to E8 pregnant mice. E17 embryos were removed and
fixed for staining and Immunohistochemistry with anti-HSP90 and anti-VASA
antibodies. RESULTS: Geldanamycin-treated mouse embryos exhibited less VASA
immunopositive cells compared to the non-treated ones. These results suggest that
geldanamycin administration at the time of PGCs migration reduces the number of
PGCs in the gonads. HSP90 and VASA stainings were identical. We therefore
expressed the idea that HSP90 could be used as a reliable marker for PGCs.
PMID- 29807856
TI - Clinical Application of Genomic Profiling With Circulating Tumor DNA for
Management of Advanced Non-Small-cell Lung Cancer in Asia.
AB - BACKGROUND: Genomic profiling of cell-free circulating tumor DNA (ctDNA) is a
potential alternative to repeat invasive biopsy in patients with advanced cancer.
We report the first real-world cohort of comprehensive genomic assessments of
patients with non-small-cell lung cancer (NSCLC) in a Chinese population.
PATIENTS AND METHODS: We performed a retrospective analysis of patients with
advanced or metastatic NSCLC whose physician requested ctDNA-based genomic
profiling using the Guardant360 platform from January 2016 to June 2017.
Guardant360 includes all 4 major types of genomic alterations (point mutations,
insertion-deletion alterations, fusions, and amplifications) in 73 genes.
RESULTS: Genomic profiling was performed in 76 patients from Hong Kong during the
18-month study period (median age, 59.5 years; 41 men and 35 women). The
histologic types included adenocarcinoma (n = 10), NSCLC, not otherwise specified
(n = 58), and squamous cell carcinoma (n = 8). In the adenocarcinoma and NSCLC,
not otherwise specified, combined group, 62 of the 68 patients (91%) had variants
identified (range, 1-12; median, 3), of whom, 26 (42%) had >= 1 of the 7 National
Comprehensive Cancer Network-recommended lung adenocarcinoma genomic targets.
Concurrent detection of driver and resistance mutations were identified in 6 of
13 patients with EGFR driver mutations and in 3 of 5 patients with EML4-ALK
fusions. All 8 patients with squamous cell carcinoma had multiple variants
identified (range, 1-20; median, 6), including FGFR1 amplification and ERBB2
(HER2) amplification. PIK3CA amplification occurred in combination with either
FGFR1 or ERBB2 (HER2) amplification or alone. CONCLUSION: Genomic profiling using
ctDNA analysis detected alterations in most patients with advanced-stage NSCLC,
with targetable aberrations and resistance mechanisms identified. This approach
has demonstrated its feasibility in Asia.
PMID- 29807857
TI - Our Great Leap Forward and Us-Right Now.
PMID- 29807858
TI - Phalangeal metastasis in colon adenocarcinoma.
PMID- 29807859
TI - Impact of an ultraviolet air sterilizer on cardiac surgery patients, a randomized
clinical trial.
AB - BACKGROUND: Numerous studies have evaluated the use of ultraviolet-C devices for
terminal disinfection in hospitals, however, to date there is little information
about the device's final impact on patients. We investigated the effect of an
ultraviolet air sterilizer (UVAS) on the clinical outcomes of cardiac surgery
patients. MATERIALS AND METHODS: This random, prospective and non-interventional
study included 1097 adult patients undergoing elective cardiac surgery: 522
stayed in an ICU room with UVAS (Medixair(r)) and 575 patients ICU room without
UVAS and were used as a control. The primary outcome measure was to evaluate the
effect of a UVAS on the overall prevalence of nosocomial infections in
postoperative cardiac patients in ICUs. RESULTS: No significant differences in
ventilator-associated pneumonia (4.6% vs. 5.0%, p=0.77) and total infection
(14.0% vs. 15.5%, p=0.45) rates were detected in patients with and without the
UVAS. The length of stay in the intensive care unit and at the hospital was
similar in both groups, UVAS (4.6 (8.2) days and 18.3 (5.5) days) and without
UVAS (4.6 (7.3) days and 19.2 (18.6) days). The 30-day in-hospital mortality rate
was 5.3%, no significant differences between groups were observed (p=0.053).
CONCLUSION: Novel ultraviolet-C technology has not been shown to significantly
reduce nosocomial infections or mortality rates in cardiac surgery patients.
PMID- 29807861
TI - Evaluation of pain intensity in people with rheumatoid arthritis using the MOS
intensity scale.
AB - INTRODUCTION AND OBJECTIVE: Patients with rheumatoid arthritis (RA) consider pain
to be their main problem. The goal of this study was to evaluate validity and
sensitivity to change to measure pain intensity using the MOS scale in RA
patients. PATIENTS AND METHODS: Three hundred sixty-three RA subjects were
included. Internal consistency of the instrument was assessed with Chronbachs
alpha, construct validity was estimated with confirmatory factor analysis and
hypothesis testing and sensitivity to change was evaluated with the standard
response mean and hypothesis testing. RESULTS: The MOS scale showed an
appropriate internal consistency (alpha=0.89) and confirmatory factor analysis
revealed it to be a unidimensional scale. In addition, the MOS scale was strongly
correlated (rho=0.86) with the visual analogue scale. Convergent validity was
demonstrated with the acceptance of 83% of hypotheses a priori. MOS scale
standard response mean was 0.33 and 0.21 for the visual analogue scale, pain
intensity changes in scales were strongly correlated, supporting its sensitivity
to change. CONCLUSION: MOS scale is a useful instrument to measure pain intensity
as well as pain relief.
PMID- 29807862
TI - Second-line therapy and advanced non-small cell lung cancer: What about smoking?
PMID- 29807860
TI - Left endocarditis, changes in the new millennium.
AB - INTRODUCTION: a description of infective left endocarditis at the turn of the
millennium. METHOD: A multicentre prospective study into the left endocarditis
using data collected from the Andalusian cohort for the study of cardiovascular
infections during 1984-2014. RESULTS: Of the 1,604 endocarditis cases collected,
382 belonged to G1 (group-1, period 1983-1999) and 1,222 to G2 (group-2, 2000
2014). Patients in the new millennium have a significantly higher mean age, have
more comorbidity and concomitant diseases, and nosocomial and health-related
endocarditis are more frequent, as well as complications. An increase in
methicillin-resistant Staphylococcus aureus, Enterococcus sp., Gram-negative
bacilli and Streptococcus bovis was noted. Regarding treatment, there is an
increase in the use of cephalosporins and a decrease in penicillins; there is
more surgery when admitted to hospital and less delay. Mortality stands at around
30% in both millennia. In the multivariate analysis, mortality was associated
with: previous millennium (G1), age, Charlson index, renal failure and septic
shock, and aetiologically with Staphylococcus aureus. CONCLUSIONS: Mortality
remains stable, despite diagnostic and therapeutic improvements, because patients
are older, have greater comorbidity, a closer relationship with the health care
system (nosocomial) and microorganisms are more aggressive.
PMID- 29807863
TI - Cochlear implantation in patients with bilateral deafness caused by otitis media
with ANCA-associated vasculitis (OMAAV): A report of four cases.
AB - OBJECTIVE: Antineutrophil cytoplasmic antibody (ANCA)-associated vasculitis (AAV)
without systemic symptoms but with initial symptoms related to the ear, such as
hearing loss, otalgia, and dizziness, has recently been reported. We have
categorized this condition as otitis media with AAV (OMAAV), and have recently
proposed its diagnostic criteria. METHODS: To determine the effectiveness of
cochlear implantation (CI) in patients with profound hearing loss due to OMAAV.
We examined the language understanding ability of four patients with bilateral
profound or total deafness due to OMAAV, who underwent CI. RESULTS: In three of
the four patients, the language understanding ability with CI was poor. These
three patients with poor performance had characteristic features, including a
short interval from the onset of ear symptoms to total deafness and clear
enhancement of the cochlea on magnetic resonance imaging (MRI). CONCLUSION: The
poor results observed in patients with a rapidly progressive history of hearing
loss were attributed to possible severe and profuse intracochlear bleeding and/or
destruction of structures, including the spiral ganglion. All the three patients
showed contrast enhancement in the inner ear on MRI. We believe that preoperative
evaluation of the history of hearing loss as well as the findings of contrast
enhanced MRI is important for predicting the prognosis after CI.
PMID- 29807865
TI - Lead extraction in a non-infectious situation - It's becoming a major cause
gradually.
PMID- 29807864
TI - Impact of the extent of low-voltage zone on outcomes after voltage-based catheter
ablation for persistent atrial fibrillation.
AB - BACKGROUND: Low-voltage zones (LVZs), as measured by electroanatomic mapping, are
thought to be associated with fibrosis. We reported the efficacy of atrial
fibrillation (AF) ablation aiming to homogenize left atrial (LA) LVZ. The purpose
of this study was to evaluate the impact of LVZ extension outcomes after LVZ
homogenization in patients with nonparoxysmal AF. METHODS: This prospective
observational cohort study included 172 patients with nonparoxysmal AF undergoing
their initial ablation. LVZ was defined as an area with bipolar electrograms
<0.5mV during sinus rhythm. LVZ extent was calculated as the percentage of LA
surface area, and subsequently, LVZ was categorized into stages I (<5%), II (>=5%
to <20%), III (>=20% to <30%), and IV (>=30%). Patients with LVZs underwent LVZ
ablation aimed at homogenization of >=80% of LVZs following pulmonary vein
isolation. The primary endpoint was atrial tachyarrhythmia recurrence-free
survival after a single procedure at 18 months off antiarrhythmic drugs. The
association of %LVZ with recurrence-free survival was examined using Cox
proportional hazard models. RESULTS: The survival rates were 76%, 74%, 57%, and
28% in patients with stages I, II, III, and IV LVZ, respectively. The difference
was significant between stages I and IV (log-rank, p<0.001), while not
significant between stages I vs. II and I vs. III (p=0.843, p=0.073,
respectively). Cox proportional hazard model revealed that %LVZ was an
independent predictor of recurrence-free survival (hazard ratio, 1.025 per 1%
increase, p<0.001; unadjusted model). The results were similar after demographic
and clinical covariate adjustments and after excluding 12 patients who did not
achieve homogenization of >=80% of LVZ. CONCLUSIONS: The extent of LVZ is an
independent predictor for recurrence even after LVZ homogenization.
PMID- 29807866
TI - Plastic material investment in load-bearing silk attachments in spiders.
AB - The nature and size of attachments is a fundamental element of animal
constructions. Presumably, these adhesive structures are plastically deployed to
balance material investment and attachment strength. Here we studied plasticity
in dragline anchorages of the golden orb web spider, Nephila plumipes.
Specifically, we predict that spiders adjust the size and structure of dragline
anchorages with load, i.e. spider mass. Mass was manipulated by attaching lead
pieces to the spider's abdomen resulting in a 50 percent increase in mass. Loaded
spiders spun larger but structurally similar thread anchorages than unloaded
spiders. Thus, the spinning program that determines the overall anchor structure
is highly stereotypic, and flexibility is introduced through varying the anchor
size by increasing material investment. Our study showcases substrate attachments
as suitable models to investigate the interplay between innate and changeable
elements in the economy of building behaviours.
PMID- 29807867
TI - Comparative study on safety of linezolid and vancomycin in the treatment of
infants and neonates for Gram-positive bacterial infections.
AB - BACKGROUND: Vancomycin has been the common antimicrobial treatment for Gram
positive infection even in neonates and infants, while it is difficult to adjust
blood concentration. Linezolid is also effective for Gram-positive infection, and
is not necessary to monitor drug blood concentration. Primary objective of this
study was to compare the safety of linezolid and vancomycin in infants and
neonates for resistant Gram-positive infections. METHODS: In total, 68 patients
[linezolid group (32 patients); vancomycin group (36 patients)] treated with
antimicrobials at Aichi Medical University Hospital between April 2014 and March
2017. Investigation items were as follows; sex, age, gestational age, birth
weight, body weight, duration of treatment, Apgar score, laboratory data, rate of
patients with blood transfusion, serum levels of vancomycin, disease type,
concomitant medications, clinical isolates, adverse effects during antimicrobial
treatment, antimicrobial susceptibility of isolated Gram-positive bacteria.
RESULTS: Any substantially abnormal laboratory values were admitted in linezolid
40.6% (13/32) and vancomycin 41.7% (15/36) groups, respectively (p = 0.93).
Platelet count was significantly decreased in only linezolid group (p = 0.03).
Any adverse events during antimicrobial treatment were admitted in linezolid
46.9% (15/32) and vancomycin 58.3% (21/36) groups, respectively (p = 0.34).
CONCLUSION: There were no notable differences in safety of linezolid and
vancomycin groups even in neonates and infants. However, platelet count was
significantly decreased in only linezolid group. The careful monitoring of
platelet count would be required for infants and neonates receiving linezolid
treatment.
PMID- 29807868
TI - Safety of laparoscopic sleeve gastrectomy and Roux-en-Y gastric bypass in elderly
patients - analysis of the MBSAQIP.
AB - BACKGROUND: An increase in the prevalence of obesity and longer life expectancy
has resulted in an increased number of candidates over the age of 60 who are
pursuing a bariatric procedure. OBJECTIVE: The aim of this study was to assess
the safety of laparoscopic Roux-Y gastric bypass (LRYGB) compared to laparoscopic
sleeve gastrectomy (LSG) in patients aged 60 years or older. SETTING: University
Hospital, United States METHODS: Preoperative characteristics and 30-day outcomes
from the MBSAQIP 2015 were selected for all patients aged 60 years or older who
underwent a LSG or LRYGB. LRYGB cases were closely matched (1:1) with LSG
patients by age (+/-1 year), BMI (+/-1 kg/m2), gender, preoperative steroid or
immunosuppressant use, preoperative functional health status and comorbidities
including: diabetes, gastroesophageal reflux disease, hypertension,
hyperlipidemia, venous stasis, sleep apnea and history of severe chronic
obstructive pulmonary disease. RESULTS: A 3371 matched pairs were included in the
study. The mean operative time in LRYGB was significantly longer in comparison to
LSG patients (122 vs 84 min., P<0.001). Patients after LRYGB had a significantly
increased anastomotic leakage rate (1.01% vs 0.47 %, p = 0.011), 30-day
readmission rate (6.08% vs 3.74%, p < 0.001) and 30-day reoperation rate (2.49%
vs 0.89%, p < 0.001) The length of hospital stay was longer in LRYGB. Mortality
and bleed rate was comparable. CONCLUSIONS: LRYGB and LSG in patients aged 60
years or older are relatively safe in the short term with an acceptable
complication rate and low mortality. However, LRYGB is more challenging and is
associated with significantly increased rates of leakage events, 30-day
reoperation, 30-day readmission, longer operative time and longer hospital stay.
PMID- 29807869
TI - The changes in the transcriptomic profiling of subcutaneous adipose tissue after
bariatric surgery depend on the insulin resistance state.
AB - BACKGROUND: The changes that are produced in the gene expression of subcutaneous
adipose tissue after Roux-en-Y gastric bypass are not yet fully known. OBJECTIVE:
To identify the changes in the subcutaneous adipose tissue gene expression of
morbidly obese women with low insulin resistance (MO-low-IR) and high insulin
resistance (MO-high-IR) to find a relationship with measured obesity-related co
morbidities. SETTING: A university hospital. METHODS: Subcutaneous adipose tissue
samples were assessed by microarray analysis before and 2 years after Roux-en-Y
gastric bypass in MO-low-IR and MO-high-IR patients. RESULTS: There is a group of
shared differentially expressed genes (DEG) in both MO-low-IR and MO-high-IR,
also there is a group of exclusive DEG in MO-low-IR and another group in MO-high
IR. In MO-high-IR, the downexpressed DEG are related to the regulation of
transcription and are involved in the pathways related to cytokine-cytokine
receptor interaction, cancer, phosphatidylinositol 3-kinase-protein kinase B
signaling, human T-lymphotropic virus I infection, chemokine signaling, and Janus
kinase/signal transducers and activators of transcription signaling. In MO-low
IR, the overexpressed DEG are related to carbohydrate metabolic processes, the
downexpressed DEG to the glycosaminoglycan metabolic process and regulation of
translation, and the pathways are related to phosphatidylinositol 3-kinase
protein kinase B signaling and metabolic pathways. The fold change of DEG mainly
correlates with the percentage of change (Delta) of waist, Deltahip,
Deltaglucose, and Deltatriglycerides. These DEG were mainly related to cancer,
inflammation/immune regulation, metabolic pathways, ribonucleic
acid/deoxyribonucleic acid regulation, virus infection, and regulation of
cellular proliferation. CONCLUSIONS: This study suggests a potential association
between high insulin resistance and the expression of genes related to cancer and
chronic immune activation/inflammation.
PMID- 29807871
TI - Systematic review with meta-analysis: Change in liver stiffness during anti-viral
therapy in patients with hepatitis B.
AB - BACKGROUND: Time-varying impact of anti-viral therapy on liver stiffness in
patients with hepatitis B is unclear. AIMS: To estimate the magnitude and
kinetics of change in liver stiffness in hepatitis B patients treated with
nucleot(s)ide analogs. METHODS: Through a systematic review of multiple
databases, we identified 24 studies in adults with hepatitis B who underwent
transient elastography before and at least 6 months after starting nucleot(s)ide
analogs therapy. We estimated change in liver stiffness 6 m, 12 m, 24 m, 36 m and
60 m after starting therapy, as weighted mean difference and 95% confidence
intervals, using random-effects meta-analysis. RESULTS: Liver stiffness
significantly declined by 2.21 kPa (95% CI, -1.36 to -3.05), 2.56 kPa (-2.23 to
2.89), 3.73 kPa (-2.98 to -4.49), 4.15 kPa (-2.75 to -5.54), and 5.19 kPa (-3.34
to -7.03) at 6 months, 1 year, 2 years, 3 years, and 5 years from the start of
therapy, respectively (p < 0.001). High baseline alanine aminotransferase level,
viral load and liver stiffness were associated with greater magnitude of decline
in liver stiffness. CONCLUSIONS: Antiviral therapy is associated with progressive
decline in liver stiffness in patients with hepatitis B, particularly in patients
with high baseline alanine aminotransferase and viral load.
PMID- 29807872
TI - Current allocation policy is favorable for patients with hepatocellular carcinoma
waiting for liver transplantation.
AB - BACKGROUND: Patients with hepatocellular carcinoma (HCC) are a growing population
of the transplantation waiting list (WL) for orthotopic liver transplantation
(OLT). There is no consensus to prioritize these patients while on the WL. AIMS:
To assess whether patients with HCC were more prioritized than non-HCC patients
based on their WL survival as primary outcome. METHODS: Restrospective cohort
study including patients listed for elective OLT from January 2013 to January
2016. RESULTS: 165 patients with cirrhosis were listed for OLT: 64 in the HCC
group (38.78%) and 101 in the non-HCC group (61.22%). Outcomes (HCC vs. non-HCC)
were: OLT in 75.51% vs. 64.37%; death or dropout due to worsening in 20.41% vs.
27.59%, and delisting because of improvement in 4.08% vs. 8.05%. HCC patients had
a significantly higher WL survival rate (HR = 0.45; 95% CI: 0.21-0.96); lower
MELD score at transplantation (21 [20-24] vs. 24 [20-30]; p = 0.021); higher
delta-MELD - the difference between MELD at transplantation and MELD at listing
time - (3 [2-6] vs. 0 [0-5]; p = 0.024) and longer waiting time until OLT (143
[70-233] vs. 67 [21-164] days; p = 0.008). CONCLUSION: Despite having to wait
longer, patients with HCC showed higher WL survival than non-HCC patients.
PMID- 29807873
TI - New concepts on intestinal microbiota and the role of the non-absorbable
antibiotics with special reference to rifaximin in digestive diseases.
AB - Digestive diseases are a broad range of chronic disorders that substantially and
negatively impact the patients' quality of life. Here, we review our current
understanding on the pathophysiology of hepatic encephalopathy, irritable bowel
syndrome, and diverticular disease, with a special focus on the gut microbiota
composition associated with these disorders. Furthermore, we review the current
clinical practice for their therapeutic treatments, including probiotics, diet
change, non-adsorbable disaccharides, and antibiotics. We highlight that broad
spectrum non-adsorbable antibiotics, such as rifaximin, are quite effective and
safe for the treatment of all essayed digestive diseases.
PMID- 29807874
TI - A comparison study between Magniview and high definition white light endoscopy in
detecting villous atrophy and coeliac disease: A single centre pilot study.
AB - BACKGROUND AND AIMS: Coeliac disease may be missed at gastroscopy. We aimed to
assess the sensitivity of Pentax optical zoom technology endoscopes in detecting
duodenal villous atrophy and the ease of image interpretation by non-coeliac
specialists. METHOD: All patients attending for a gastroscopy were assessed for
endoscopic villous atrophy in part one and two of the duodenum with high
definition white light endoscopy and magnification endoscopy. Endoscopic findings
of the duodenum were compared to histology as the reference standard. A short
training video of varying degrees of villous atrophy seen by magnification
endoscopy was used to train individuals. They were then assessed for the ability
to differentiate between normal duodenum and villous atrophy. RESULTS: Two
hundred and fifty patients were prospectively recruited (145 females, 58%; age
range 16-84, median age 50.5). Ninety-six patients had villous atrophy on
histology (38.4%) 154 were controls. Magnification endoscopy had a higher
sensitivity in detecting villous atrophy compared to high definition white light
endoscopy (86.4% versus 78.4%, p = .0005). 9/10 individuals undertaking
magnification endoscopy training correctly identified all cases of villous
atrophy. CONCLUSION: Magnification endoscopy has superior diagnostic sensitivity
in detecting villous atrophy compared to high definition white light endoscopy
and the potential to be easily adopted by all endoscopists.
PMID- 29807870
TI - Estrogen receptor subcellular localization and cardiometabolism.
AB - BACKGROUND: In addition to their crucial role in reproduction, estrogens are key
regulators of energy and glucose homeostasis and they also exert several
cardiovascular protective effects. These beneficial actions are mainly mediated
by estrogen receptor alpha (ERalpha), which is widely expressed in metabolic and
vascular tissues. As a member of the nuclear receptor superfamily, ERalpha was
primarily considered as a transcription factor that controls gene expression
through the activation of its two activation functions (ERalphaAF-1 and ERalphaAF
2). However, besides these nuclear actions, a pool of ERalpha is localized in the
vicinity of the plasma membrane, where it mediates rapid signaling effects called
membrane-initiated steroid signals (MISS) that have been well described in vitro,
especially in endothelial cells. SCOPE OF THE REVIEW: This review aims to
summarize our current knowledge of the mechanisms of nuclear vs membrane ERalpha
activation that contribute to the cardiometabolic protection conferred by
estrogens. Indeed, new transgenic mouse models (affecting either DNA binding,
activation functions or membrane localization), together with the use of novel
pharmacological tools that electively activate membrane ERalpha effects recently
allowed to begin to unravel the different modes of ERalpha signaling in vivo.
CONCLUSION: Altogether, available data demonstrate the prominent role of ERalpha
nuclear effects, and, more specifically, of ERalphaAF-2, in the preventive
effects of estrogens against obesity, diabetes, and atheroma. However, membrane
ERalpha signaling selectively mediates some of the estrogen endothelial/vascular
effects (NO release, reendothelialization) and could also contribute to the
regulation of energy balance, insulin sensitivity, and glucose metabolism. Such a
dissection of ERalpha biological functions related to its subcellular
localization will help to understand the mechanism of action of "old" ER
modulators and to design new ones with an optimized benefit/risk profile.
PMID- 29807875
TI - Primary sclerosing cholangitis is associated with abnormalities in CFTR.
AB - BACKGROUND: The etiology of primary sclerosing cholangitis (PSC) is unknown. PSC
and Cystic Fibrosis related liver disease have common features: chronic
inflammation, biliary damage and similar cholangiographic findings. It is unknown
whether or not PSC is related to cystic fibrosis transmembrane conductance
regulator (CFTR) dysfunction. We hypothesize that a sub-group of PSC patients may
be a "single-organ" presentation of CF. METHODS: Patients with PSC underwent
nasal potential difference (NPD) measurement, sweat chloride measurement and
complete CFTR sequencing by new generation sequencing. RESULTS: 6/32 patients
aged 46 +/- 13 yrs. had CFTR causing mutations on one allele and 19 had CFTR
polymorphisms; 6/23 tested had abnormal and 21 had intermediate sweat tests; 4/32
patients had abnormal NPD. One patient had chronic pancreatitis and was
infertile. CONCLUSIONS: 19% of PSC patients had features of CFTR related
disorder, 19% carry CFTR mutations and 50% had CFTR polymorphisms. In some
patients, PSC may be a single organ presentation of CF or a CFTR-related
disorder.
PMID- 29807876
TI - Complete ring finger avulsion: Review of 16 years of cases at a Hand Emergency
Unit.
AB - Replanting complete ring avulsion injuries remains a challenge for hand surgeons.
The prognosis depends on achieving satisfactory function. We present the results
of our 16 years' experience with managing this type of injury. Our cohort
consisted of 83 cases of complete ring avulsion injuries in patients with an
average age of 23.5 years, treated in a Hand Emergency Unit between 1998 and
2014. Seventy-two were replanted. A vein graft was used in 57 cases to bridge the
arterial injury and direct anastomosis was performed in 15 cases. Forty-one cases
were a microsurgical success. Twenty-four patients were reviewed with an average
follow-up of 87 months. The mean of total active motion was 164 degrees , with 64
degrees range of motion in the proximal interphalangeal joint on average. The
two-point discrimination for sensitivity averaged 6mm. Two cases of severe cold
intolerance were noted. Using a graft for vascular repair increases the odds of
microsurgical success. The functional outcome depends on the condition of the
proximal interphalangeal joint. Cold intolerance and lack of sensitivity have
little effect on the functional outcome and patient satisfaction. Replantation of
complete digital avulsion injuries should be attempted. Amputation at the
metacarpal base is better discussed later on, after the initial surgery.
PMID- 29807877
TI - Web-Based Telepresence Exercise Program for Community-Dwelling Elderly Women With
a High Risk of Falling: Randomized Controlled Trial.
AB - BACKGROUND: While physical exercise is known to help prevent falls in the
elderly, bad weather and long distance between the home and place of exercise
represent substantial deterrents for the elderly to join or continue attending
exercise programs outside their residence. Conventional modalities for home
exercise can be helpful but do not offer direct and prompt feedback to the
participant, which minimizes the benefit. OBJECTIVE: We aimed to develop an
elderly-friendly telepresence exercise platform and to evaluate the effects of a
12-week telepresence exercise program on fall-related risk factors in community
dwelling elderly women with a high risk of falling. METHODS: In total, 34 women
aged 68-91 years with Fall Risk Assessment scores >14 and no medical
contraindication to physical training-based therapy were recruited in person from
a senior citizen center. The telepresence exercise platform included a 15-inch
tablet computer, custom-made peer-to-peer video conferencing server system, and
broadband Internet connectivity. The Web-based program included supervised
resistance exercises performed using elastic resistance bands and balance
exercise for 20-40 minutes a day, three times a week, for 12 weeks. During the
telepresence exercise session, each participant in the intervention group was
supervised remotely by a specialized instructor who provided feedback in real
time. The women in the control group maintained their lifestyle without any
intervention. Fall-related physical factors (body composition and physical
function parameters) and psychological factors (Korean Falls Efficacy Scale
score, Fear of Falling Questionnaire score) before and after the 12-week
interventional period were examined in person by an exercise specialist blinded
to the group allocation scheme. RESULTS: Of the 30 women enrolled, 23 completed
the study. Compared to women in the control group (n=13), those in the
intervention group (n=10) showed significant improvements on the scores for the
chair stand test (95% confidence interval -10.45 to -5.94, P<.001), Berg Balance
Scale (95% confidence interval -2.31 to -0.28, P=.02), and Fear of Falling
Questionnaire (95% confidence interval 0.69-3.5, P=.01). CONCLUSIONS: The
telepresence exercise program had positive effects on fall-related risk factors
in community-dwelling elderly women with a high risk of falling. Elderly-friendly
telepresence technology for home-based exercises can serve as an effective
intervention to improve fall-related physical and psychological factors. TRIAL
REGISTRATION: Clinical Research Information Service KCT0002710;
https://cris.nih.go.kr/cris/en/search/ search_result_st01.jsp?seq=11246 (Archived
by WebCite at http://www.webcitation.org/6zdSUEsmb).
PMID- 29807879
TI - A Smart Screening Device for Patients with Mental Health Problems in Primary
Health Care: Development and Pilot Study.
AB - BACKGROUND: Adequate recognition of mental health problems is a prerequisite for
successful treatment. Although most people tend to consult their general
practitioner (GP) when they first experience mental health problems, GPs are not
very well equipped to screen for various forms of psychopathology to help them
determine clients' need for treatment. OBJECTIVE: In this paper, the development
and characteristics of CATja, a computerized adaptive test battery built to
facilitate triage in primary care settings, are described, and first results of
its implementation are reported. METHODS: CATja was developed in close
collaboration with GPs and mental health assistants (MHAs). During
implementation, MHAs were requested to appraise clients' rankings (N=91) on the
domains to be tested and to indicate the treatment level they deemed most
appropriate for clients before test administration. We compared the agreement
between domain score appraisals and domain score computed by CATja and the
agreement between initial (before test administration) treatment level advice and
final treatment level advice. RESULTS: Agreements (Cohen kappas) between MHAs'
appraisals of clients' scores and clients' scores computed by CATja were mostly
between .40 and .50 (Cohen kappas=.10-.20), and the agreement between "initial"
treatment levels and the final treatment level advised was .65 (Cohen kappa=.55).
CONCLUSIONS: Using CATja, caregivers can efficiently generate summaries of their
clients' mental well-being on which decisions about treatment type and care level
may be based. Further validation research is needed.
PMID- 29807878
TI - Developing a Mental Health eClinic to Improve Access to and Quality of Mental
Health Care for Young People: Using Participatory Design as Research
Methodologies.
AB - BACKGROUND: Each year, many young Australians aged between 16 and 25 years
experience a mental health disorder, yet only a small proportion access services
and even fewer receive timely and evidence-based treatments. Today, with ever
increasing access to the Internet and use of technology, the potential to provide
all young people with access (24 hours a day, 7 days a week) to the support they
require to improve their mental health and well-being is promising. OBJECTIVE:
The aim of this study was to use participatory design (PD) as research
methodologies with end users (young people aged between 16 and 25 years and youth
health professionals) and our research team to develop the Mental Health eClinic
(a Web-based mental health clinic) to improve timely access to, and better
quality, mental health care for young people across Australia. METHODS: A
research and development (R&D) cycle for the codesign and build of the Mental
Health eClinic included several iterative PD phases: PD workshops; translation of
knowledge and ideas generated during workshops to produce mockups of webpages
either as hand-drawn sketches or as wireframes (simple layout of a webpage before
visual design and content is added); rapid prototyping; and one-on-one
consultations with end users to assess the usability of the alpha build of the
Mental Health eClinic. RESULTS: Four PD workshops were held with 28 end users
(young people n=18, youth health professionals n=10) and our research team (n=8).
Each PD workshop was followed by a knowledge translation session. At the
conclusion of this cycle, the alpha prototype was built, and one round of one-on
one end user consultation sessions was conducted (n=6; all new participants,
young people n=4, youth health professionals n=2). The R&D cycle revealed the
importance of five key components for the Mental Health eClinic: a home page with
a visible triage system for those requiring urgent help; a comprehensive online
physical and mental health assessment; a detailed dashboard of results; a booking
and videoconferencing system to enable video visits; and the generation of a
personalized well-being plan that includes links to evidence-based, and health
professional-recommended, apps and etools. CONCLUSIONS: The Mental Health eClinic
provides health promotion, triage protocols, screening, assessment, a video visit
system, the development of personalized well-being plans, and self-directed
mental health support for young people. It presents a technologically advanced
and clinically efficient system that can be adapted to suit a variety of settings
in which there is an opportunity to connect with young people. This will enable
all young people, and especially those currently not able or willing to connect
with face-to-face services, to receive best practice clinical services by
breaking down traditional barriers to care and making health care more
personalized, accessible, affordable, and available.
PMID- 29807880
TI - Increasing Interest of Mass Communication Media and the General Public in the
Distribution of Tweets About Mental Disorders: Observational Study.
AB - BACKGROUND: The contents of traditional communication media and new internet
social media reflect the interests of society. However, certain barriers and a
lack of attention towards mental disorders have been previously observed.
OBJECTIVE: The objective of this study is to measure the relevance of influential
American mainstream media outlets for the distribution of psychiatric information
and the interest generated in these topics among their Twitter followers.
METHODS: We investigated tweets generated about mental health conditions and
diseases among 15 mainstream general communication media outlets in the United
States of America between January 2007 and December 2016. Our study strategy
focused on identifying several psychiatric terms of primary interest. The number
of retweets generated from the selected tweets was also investigated. As a
control, we examined tweets generated about the main causes of death in the
United States of America, the main chronic neurological degenerative diseases,
and HIV. RESULTS: In total, 13,119 tweets about mental health disorders sent by
the American mainstream media outlets were analyzed. The results showed a
heterogeneous distribution but preferential accumulation for a select number of
conditions. Suicide and gender dysphoria accounted for half of the number of
tweets sent. Variability in the number of tweets related to each control disease
was also found (5998). The number of tweets sent regarding each different
psychiatric or organic disease analyzed was significantly correlated with the
number of retweets generated by followers (1,030,974 and 424,813 responses to
mental health disorders and organic diseases, respectively). However, the
probability of a tweet being retweeted differed significantly among the
conditions and diseases analyzed. Furthermore, the retweeted to tweet ratio was
significantly higher for psychiatric diseases than for the control diseases (odds
ratio 1.11, CI 1.07-1.14; P<.001). CONCLUSIONS: American mainstream media outlets
and the general public demonstrate a preferential interest for psychiatric
diseases on Twitter. The heterogeneous weights given by the media outlets
analyzed to the different mental health disorders and conditions are reflected in
the responses of Twitter followers.
PMID- 29807881
TI - Nontraditional Electrocardiogram and Algorithms for Inconspicuous In-Home
Monitoring: Comparative Study.
AB - BACKGROUND: Wearable and connected in-home medical devices are typically utilized
in uncontrolled environments and often measure physiologic signals at suboptimal
locations. Motion artifacts and reduced signal-to-noise ratio, compared with
clinical grade equipment, results in a highly variable signal quality that can
change significantly from moment to moment. The use of signal quality
classification algorithms and robust feature delineation algorithms designed to
achieve high accuracy on poor quality physiologic signals can prove beneficial in
addressing concerns associated with measurement accuracy, confidence, and
clinical validity. OBJECTIVE: The objective of this study was to demonstrate the
successful extraction of clinical grade measures using a custom signal quality
classification algorithm for the rejection of poor-quality regions and a robust
QRS delineation algorithm from a nonstandard electrocardiogram (ECG) integrated
into a toilet seat; a device plagued by many of the same challenges as wearable
technologies and other Internet of Things-based medical devices. METHODS: The
present algorithms were validated using a study of 25 normative subjects and 29
heart failure (HF) subjects. Measurements captured from a toilet seat-based
buttocks electrocardiogram were compared with a simultaneously captured 12-lead
clinical grade ECG. The ECG lead with the highest morphological correlation to
buttocks electrocardiogram was used to determine the accuracy of the heart rate
(HR), heart rate variability (HRV), which used the standard deviation of the
normal-to-normal (SDNN) intervals between sinus beats, QRS duration, and the
corrected QT interval (QTc). These algorithms were benchmarked using the MIT-BIH
Arrhythmia Database (MITDB) and European ST-T Database (EDB), which are
standardized databases commonly used to test QRS detection algorithms. RESULTS:
Clinical grade accuracy was achieved for all buttocks electrocardiogram measures
compared with standard Lead II. For the normative cohort, the mean was -0.0 (SD
0.3) bpm (N=141 recordings) for HR accuracy and -1.0 (SD 3.4) ms for HRV (N=135).
The QRS duration and the QTc interval had an accuracy of -0.5 (SD 6.6) ms (N=85)
and 14.5 (SD 11.1) ms (N=85), respectively. In the HF cohort, the accuracy for
HR, HRV, QRS duration, and QTc interval was 0.0 (SD 0.3) bpm (N=109), -6.6 (SD
13.2) ms (N=99), 2.9 (SD 11.5) ms (N=59), and 11.2 (SD 19.1) ms (N=58),
respectively. When tested on MITDB and EDB, the algorithms presented herein had
an overall sensitivity and positive predictive value of over 99.82% (N=900,059
total beats), which is comparable to best in-class algorithms tuned specifically
for use with these databases. CONCLUSIONS: The present algorithmic approach to
data analysis of noisy physiologic data was successfully demonstrated using a
toilet seat-based ECG remote monitoring system. This approach to the analysis of
physiologic data captured from wearable and connected devices has future
potential to enable new types of monitoring devices, providing new insights
through daily, inconspicuous in-home monitoring.
PMID- 29807882
TI - Cybersecurity in Hospitals: A Systematic, Organizational Perspective.
AB - BACKGROUND: Cybersecurity incidents are a growing threat to the health care
industry in general and hospitals in particular. The health care industry has
lagged behind other industries in protecting its main stakeholder (ie, patients),
and now hospitals must invest considerable capital and effort in protecting their
systems. However, this is easier said than done because hospitals are
extraordinarily technology-saturated, complex organizations with high end point
complexity, internal politics, and regulatory pressures. OBJECTIVE: The purpose
of this study was to develop a systematic and organizational perspective for
studying (1) the dynamics of cybersecurity capability development at hospitals
and (2) how these internal organizational dynamics interact to form a system of
hospital cybersecurity in the United States. METHODS: We conducted interviews
with hospital chief information officers, chief information security officers,
and health care cybersecurity experts; analyzed the interview data; and developed
a system dynamics model that unravels the mechanisms by which hospitals build
cybersecurity capabilities. We then use simulation analysis to examine how
changes to variables within the model affect the likelihood of cyberattacks
across both individual hospitals and a system of hospitals. RESULTS: We discuss
several key mechanisms that hospitals use to reduce the likelihood of
cybercriminal activity. The variable that most influences the risk of cyberattack
in a hospital is end point complexity, followed by internal stakeholder
alignment. Although resource availability is important in fueling efforts to
close cybersecurity capability gaps, low levels of resources could be compensated
for by setting a high target level of cybersecurity. CONCLUSIONS: To enhance
cybersecurity capabilities at hospitals, the main focus of chief information
officers and chief information security officers should be on reducing end point
complexity and improving internal stakeholder alignment. These strategies can
solve cybersecurity problems more effectively than blindly pursuing more
resources. On a macro level, the cyber vulnerability of a country's hospital
infrastructure is affected by the vulnerabilities of all individual hospitals. In
this large system, reducing variation in resource availability makes the whole
system less vulnerable-a few hospitals with low resources for cybersecurity
threaten the entire infrastructure of health care. In other words, hospitals need
to move forward together to make the industry less attractive to cybercriminals.
Moreover, although compliance is essential, it does not equal security. Hospitals
should set their target level of cybersecurity beyond the requirements of current
regulations and policies. As of today, policies mostly address data privacy, not
data security. Thus, policy makers need to introduce policies that not only raise
the target level of cybersecurity capabilities but also reduce the variability in
resource availability across the entire health care system.
PMID- 29807884
TI - New Tobacco and Tobacco-Related Products: Early Detection of Product Development,
Marketing Strategies, and Consumer Interest.
AB - BACKGROUND: A wide variety of new tobacco and tobacco-related products have
emerged on the market in recent years. OBJECTIVE: To understand their potential
implications for public health and to guide tobacco control efforts, we have used
an infoveillance approach to identify new tobacco and tobacco-related products.
METHODS: Our search for tobacco(-related) products consists of several tailored
search profiles using combinations of keywords such as "e-cigarette" and "new" to
extract information from almost 9000 preselected sources such as websites of
online shops, tobacco manufacturers, and news sites. RESULTS: Developments in e
cigarette design characteristics show a trend toward customization by
possibilities to adjust temperature and airflow, and by the large variety of
flavors of e-liquids. Additionally, more e-cigarettes are equipped with
personalized accessories, such as mobile phones, applications, and Bluetooth.
Waterpipe products follow the trend toward electronic vaping. Various heat-not
burn products were reintroduced to the market. CONCLUSIONS: Our search for
tobacco(-related) products was specific and timely, though advances in product
development require ongoing optimization of the search strategy. Our results show
a trend toward products resembling tobacco cigarettes vaporizers that can be
adapted to the consumers' needs. Our search for tobacco(-related) products could
aid in the assessment of the likelihood of new products to gain market share, as
a possible health risk or as an indicator for the need on independent and
reliable information of the product to the general public.
PMID- 29807883
TI - A Role for New Brain Magnetic Resonance Imaging Modalities in Daily Clinical
Practice: Protocol of the Prediction of Cognitive Recovery After Stroke (PROCRAS)
Study.
AB - BACKGROUND: Cognitive impairment is common after acute ischemic stroke, affecting
up to 75% of the patients. About half of the patients will show recovery, whereas
the others will remain cognitively impaired or deteriorate. It is difficult to
predict these different cognitive outcomes. OBJECTIVE: The objective of this
study is to investigate whether diffusion tensor imaging-based measures of brain
connectivity predict cognitive recovery after 1 year, in addition to patient
characteristics and stroke severity. A specific premise of the Prediction of
Cognitive Recovery After Stroke (PROCRAS) study is that it is conducted in a
daily practice setting. METHODS: The PROCRAS study is a prospective, mono-center
cohort study conducted in a large teaching hospital in the Netherlands. A total
of 350 patients suffering from an ischemic stroke who screen positive for
cognitive impairment on the Montreal Cognitive Assessment (MoCA<26) in the acute
stage will undergo a 3Tesla-Magnetic Resonance Imaging (3T-MRI) with a diffusion
weighted sequence and a neuropsychological assessment. Patients will be
classified as being unimpaired, as having a mild vascular cognitive disorder, or
as having a major vascular cognitive disorder. One year after stroke, patients
will undergo follow-up neuropsychological assessment. The primary endpoint is
recovery of cognitive function 1 year after stroke in patients with a confirmed
poststroke cognitive disorder. The secondary endpoint is deterioration of
cognitive function in the first year after stroke. RESULTS: The study is already
ongoing for 1.5 years, and thus far, 252 patients have provided written informed
consent. Final results are expected in June 2019. CONCLUSIONS: The PROCRAS study
will show the additional predictive value of diffusion tensor imaging-based
measures of brain connectivity for cognitive outcome at 1 year in patients with a
poststroke cognitive disorder in a daily clinical practice setting. REGISTERED
REPORT IDENTIFIER: RR1-10.2196/9431.
PMID- 29807886
TI - AHA/ASA 2018 AIS guidelines: impact and opportunity for endovascular stroke care.
PMID- 29807887
TI - Thrombectomy 24 hours after stroke: beyond DAWN.
AB - BACKGROUND AND PURPOSE: The results of the DAWN trial support the benefit of
thrombectomy in patients with anterior circulation large vessel occlusion (LVO)
acute stroke presenting within 6-24 hours from time last known well (TLKW). We
sought to evaluate the characteristics and outcomes of patients who met DAWN
criteria but underwent thrombectomy beyond 24 hours of TLKW. METHODS: A
retrospective review of endovascular thrombectomy databases at three
comprehensive stroke centers was performed to identify all patients who received
thrombectomy beyond 24 hours of TLKW and otherwise met the DAWN criteria.
Baseline characteristics, efficacy, and safety outcomes were compared with
patients in the DAWN trial intervention arm. RESULTS: Twenty-one patients met the
inclusion criteria. Rates of successful reperfusion (mTICI2b-3: 81% vs 84%,
P=0.72), 90-day functional independence (modified Rankin Scale score 0-2, 43% vs
48%, P=0.68), and symptomatic intracranial hemorrhage (5% vs 6%, P=0.87) were
comparable across the two groups. CONCLUSION: Thrombectomy appears to be safe and
feasible in patients with acute ischemic stroke due to LVO meeting all DAWN trial
criteria but treated beyond 24 hours of TLKW with outcomes comparable to patients
in the DAWN trial intervention arm. Further studies are warranted to validate
these findings.
PMID- 29807885
TI - Evaluating a Serious Gaming Electronic Medication Administration Record System
Among Nursing Students: Protocol for a Pragmatic Randomized Controlled Trial.
AB - BACKGROUND: Although electronic medication administration record systems have
been implemented in settings where nurses work, nursing students commonly lack
robust learning opportunities to practice the skills and workflow of digitalized
medication administration during their formative education. As a result, nursing
students' performance in administering medication facilitated by technology is
often poor. Serious gaming has been recommended as a possible intervention to
improve nursing students' performance with electronic medication administration
in nursing education. OBJECTIVE: The objectives of this study are to examine
whether the use of a gamified electronic medication administration simulator (1)
improves nursing students' attention to medication administration safety within
simulated practice, (2) increases student self-efficacy and knowledge of the
medication administration process, and (3) improves motivational and cognitive
processing attributes related to student learning in a technology-enabled
environment. METHODS: This study comprised the development of a gamified
electronic medication administration record simulator and its evaluation in 2
phases. Phase 1 consists of a prospective, pragmatic randomized controlled trial
with second-year baccalaureate nursing students at a Canadian university. Phase 2
consists of qualitative focus group interviews with a cross-section of nursing
student participants. RESULTS: The gamified medication administration simulator
has been developed, and data collection is currently under way. CONCLUSIONS: If
the gamified electronic medication administration simulator is found to be
effective, it could be used to support other health professional simulated
education and scaled more widely in nursing education programs. TRIAL
REGISTRATION: ClinicalTrials.gov NCT03219151;
https://clinicaltrials.gov/show/NCT03219151 (Archived by WebCite at
http://www.webcitation.org/6yjBROoDt). REGISTERED REPORT IDENTIFIER: RR1
10.2196/9601.
PMID- 29807888
TI - Quadricuspid Pulmonic Valve, Pulmonary Artery Aneurysm, and Apical Hypertrophic
Cardiomyopathy: A Rare Combination.
PMID- 29807889
TI - Psoriasis and Inflammation More Than Skin Deep.
PMID- 29807891
TI - Extracontextuality and extravalence in quantum mechanics.
AB - We develop the point of view where quantum mechanics results from the interplay
between the quantized number of 'modalities' accessible to a quantum system, and
the continuum of 'contexts' that are required to define these modalities. We
point out the specific roles of 'extracontextuality' and 'extravalence' of
modalities, and relate them to the Kochen-Specker and Gleason theorems.This
article is part of a discussion meeting issue 'Foundations of quantum mechanics
and their impact on contemporary society'.
PMID- 29807892
TI - 'Space is blue and birds fly through it'.
AB - Quantum mechanics is not about 'quantum states': it is about values of physical
variables. I give a short fresh presentation and update on the relational
perspective on the theory, and a comment on its philosophical implications.This
article is part of a discussion meeting issue 'Foundations of quantum mechanics
and their impact on contemporary society'.
PMID- 29807890
TI - Interaction of Left Ventricular Size and Sex on Outcome of Cardiac
Resynchronization Therapy Among Patients With a Narrow QRS Duration in the
EchoCRT Trial.
AB - BACKGROUND: Longer QRS duration (QRSd) improves, but increased left ventricular
(LV) end-diastolic volume (LVEDV) reduces, efficacy of cardiac resynchronization
therapy (CRT). QRSd/LVEDV ratios differ between sexes. We hypothesized that in
the EchoCRT (Echocardiography Guided Cardiac Resynchronization Therapy) trial
enrolling patients with heart failure with QRSd <130 ms, those with larger LVEDV
would deteriorate but those with the highest QRSd/LVEDV would improve with CRT.
METHODS AND RESULTS: Primary outcome in patients (n=787, 72% men, 93% New York
Heart Association class III, QRSd <130 ms, LV ejection fraction <=35%, LV
dilation and dyssynchrony) randomized to CRT-ON or CRT-OFF and followed up for 19
months was compared according to LVEDV (height indexed) or QRSd/LVEDV ratio, in
multivariable analysis. Structural remodeling was assessed echocardiographically
6 months after implantation. Patients with baseline LVEDV higher than or equal to
median worsened with CRT (death/heart failure hospitalization: CRT-ON versus CRT
OFF, 35.2% versus 24.5% [hazard ratio, 1.64; 95% confidence interval, 1.11-2.42;
P=0.012]), but those with LVEDV lower than median remained unaffected. Patients
with the highest QRSd/LVEDV ratio improved with CRT (death/heart failure
hospitalization in top quartile: 20.9% in CRT-ON [n=91] versus 28.3% in CRT-OFF
[n=106] [hazard ratio, 0.64; 95% confidence interval, 0.34-1.24; P=0.188], versus
the remaining quartiles: 31.7% in CRT-ON [n=300] versus 24.8% in CRT-OFF [n=290]
[hazard ratio, 1.47; 95% confidence interval, 1.07-2.02; P=0.016], test for
interaction P=0.046). QRSd and dyssynchrony were similar between groups. The 3
way test for interaction indicated no sex-specific effects. However, numerically,
men with LVEDV higher than or equal to median accounted for worse outcomes of CRT
ON. Women, with the highest QRSd/LVEDV ratio exhibited significant reverse
remodeling. CONCLUSION: CRT has opposite effects among patients with heart
failure with QRSd <130 ms according to LV size: worsening outcomes in patients
with larger LV, but inducing beneficial effects in those with smaller LV.
CLINICAL TRIAL REGISTRATION: URL: https://www.clinicaltrials.gov/. Unique
identifier: NCT00683696.
PMID- 29807893
TI - Causality re-established.
AB - Causality has never gained the status of a 'law' or 'principle' in physics. Some
recent literature has even popularized the false idea that causality is a notion
that should be banned from theory. Such misconception relies on an alleged
universality of the reversibility of the laws of physics, based either on the
determinism of classical theory, or on the multiverse interpretation of quantum
theory, in both cases motivated by mere interpretational requirements for realism
of the theory. Here, I will show that a properly defined unambiguous notion of
causality is a theorem of quantum theory, which is also a falsifiable proposition
of the theory. Such a notion of causality appeared in the literature within the
framework of operational probabilistic theories. It is a genuinely theoretical
notion, corresponding to establishing a definite partial order among events, in
the same way as we do by using the future causal cone on Minkowski space. The
notion of causality is logically completely independent of the misidentified
concept of 'determinism', and, being a consequence of quantum theory, is
ubiquitous in physics. In addition, as classical theory can be regarded as a
restriction of quantum theory, causality holds also in the classical case,
although the determinism of the theory trivializes it. I then conclude by arguing
that causality naturally establishes an arrow of time. This implies that the
scenario of the 'block Universe' and the connected 'past hypothesis' are
incompatible with causality, and thus with quantum theory: they are both doomed
to remain mere interpretations and, as such, are not falsifiable, similar to the
hypothesis of 'super-determinism'.This article is part of a discussion meeting
issue 'Foundations of quantum mechanics and their impact on contemporary
society'.
PMID- 29807894
TI - Quantum reversibility is relative, or does a quantum measurement reset initial
conditions?
AB - I compare the role of the information in classical and quantum dynamics by
examining the relation between information flows in measurements and the ability
of observers to reverse evolutions. I show that in the Newtonian dynamics
reversibility is unaffected by the observer's retention of the information about
the measurement outcome. By contrast-even though quantum dynamics is unitary,
hence, reversible-reversing quantum evolution that led to a measurement becomes,
in principle, impossible for an observer who keeps the record of its outcome.
Thus, quantum irreversibility can result from the information gain rather than
just its loss-rather than just an increase of the (von Neumann) entropy.
Recording of the outcome of the measurement resets, in effect, initial conditions
within the observer's (branch of) the Universe. Nevertheless, I also show that
the observer's friend-an agent who knows what measurement was successfully
carried out and can confirm that the observer knows the outcome but resists his
curiosity and does not find out the result-can, in principle, undo the
measurement. This relativity of quantum reversibility sheds new light on the
origin of the arrow of time and elucidates the role of information in classical
and quantum physics. Quantum discord appears as a natural measure of the extent
to which dissemination of information about the outcome affects the ability to
reverse the measurement.This article is part of a discussion meeting issue
'Foundations of quantum mechanics and their impact on contemporary society'.
PMID- 29807895
TI - The quantum theory of time, the block universe, and human experience.
AB - Advances in our understanding of the physical universe have dramatically affected
how we view ourselves. Right at the core of all modern thinking about the
universe is the assumption that dynamics is an elemental feature that exists
without question. However, ongoing research into the quantum nature of time is
challenging this view: my recently introduced quantum theory of time suggests
that dynamics may be a phenomenological consequence of a fundamental violation of
time reversal symmetry. I show here that there is consistency between the new
theory and the block universe view. I also discuss the new theory in relation to
the human experience of existing in the present moment, able to reflect on the
past and contemplate a future that is yet to happen.This article is part of a
discussion meeting issue 'Foundations of quantum mechanics and their impact on
contemporary society'.
PMID- 29807896
TI - Dealing with indistinguishable particles and their entanglement.
AB - Here, we discuss a particle-based approach to deal with systems of many identical
quantum objects (particles) that never employs labels to mark them. We show that
it avoids both methodological problems and drawbacks in the study of quantum
correlations associated with the standard quantum mechanical treatment of
identical particles. The core of this approach is represented by the
multiparticle probability amplitude, whose structure in terms of single-particle
amplitudes we derive here by first principles. To characterize entanglement among
the identical particles, this new method uses the same notions, such as partial
trace, adopted for non-identical ones. We highlight the connection between our
approach and second quantization. We also define spin-exchanged multipartite
states which contain a generalization of W states to identical particles. We
prove that particle spatial overlap plays a role in the distributed entanglement
within multipartite systems and is responsible for the appearance of non-local
quantum correlations.This article is part of a discussion meeting issue
'Foundations of quantum mechanics and their impact on contemporary society'.
PMID- 29807897
TI - Locality and quantum mechanics.
AB - It is argued that it is best not to think of quantum mechanics as non-local, but
rather that it is non-realistic.This article is part of a discussion meeting
issue 'Foundations of quantum mechanics and their impact on contemporary
society'.
PMID- 29807898
TI - Operational locality in global theories.
AB - Within a global physical theory, a notion of locality allows us to find and
justify information-processing primitives, like non-signalling between distant
agents. Here, we propose exploring the opposite direction: to take agents as the
basic building blocks through which we test a physical theory, and recover
operational notions of locality from signalling conditions. First, we introduce
an operational model for the effective state spaces of individual agents, as well
as the range of their actions. We then formulate natural secrecy conditions
between agents and identify the aspects of locality relevant for signalling. We
discuss the possibility of taking commutation of transformations as a primitive
of physical theories, as well as applications to quantum theory and generalized
probability frameworks. This 'it from bit' approach establishes an operational
connection between local actions and local observations, and gives a global
interpretation to concepts like discarding a subsystem or composing local
functions.This article is part of a discussion meeting issue 'Foundations of
quantum mechanics and their impact on contemporary society'.
PMID- 29807899
TI - What is quantum in quantum randomness?
AB - It is often said that quantum and classical randomness are of different nature,
the former being ontological and the latter epistemological. However, so far the
question of 'What is quantum in quantum randomness?', i.e. what is the impact of
quantization and discreteness on the nature of randomness, remains to be
answered. In a first part, we make explicit the differences between quantum and
classical randomness within a recently proposed ontology for quantum mechanics
based on contextual objectivity. In this view, quantum randomness is the result
of contextuality and quantization. We show that this approach strongly impacts
the purposes of quantum theory as well as its areas of application. In
particular, it challenges current programmes inspired by classical reductionism,
aiming at the emergence of the classical world from a large number of quantum
systems. In a second part, we analyse quantum physics and thermodynamics as
theories of randomness, unveiling their mutual influences. We finally consider
new technological applications of quantum randomness that have opened up in the
emerging field of quantum thermodynamics.This article is part of a discussion
meeting issue 'Foundations of quantum mechanics and their impact on contemporary
society'.
PMID- 29807900
TI - The small stellated dodecahedron code and friends.
AB - We explore a distance-3 homological CSS quantum code, namely the small stellated
dodecahedron code, for dense storage of quantum information and we compare its
performance with the distance-3 surface code. The data and ancilla qubits of the
small stellated dodecahedron code can be located on the edges respectively
vertices of a small stellated dodecahedron, making this code suitable for three
dimensional connectivity. This code encodes eight logical qubits into 30 physical
qubits (plus 22 ancilla qubits for parity check measurements) in contrast with
one logical qubit into nine physical qubits (plus eight ancilla qubits) for the
surface code. We develop fault-tolerant parity check circuits and a decoder for
this code, allowing us to numerically assess the circuit-based pseudo
threshold.This article is part of a discussion meeting issue 'Foundations of
quantum mechanics and their impact on contemporary society'.
PMID- 29807901
TI - Quantum information versus black hole physics: deep firewalls from narrow
assumptions.
AB - The prevalent view that evaporating black holes should simply be smaller black
holes has been challenged by the firewall paradox. In particular, this paradox
suggests that something different occurs once a black hole has evaporated to one
half its original surface area. Here, we derive variations of the firewall
paradox by tracking the thermodynamic entropy within a black hole across its
entire lifetime and extend it even to anti-de Sitter space-times. Our approach
sweeps away many unnecessary assumptions, allowing us to demonstrate a paradox
exists even after its initial onset (when conventional assumptions render earlier
analyses invalid). The most natural resolution may be to accept firewalls as a
real phenomenon. Further, the vast entropy accumulated implies a deep firewall
that goes 'all the way down' in contrast with earlier work describing only a
structure at the horizon.This article is part of a discussion meeting issue
'Foundations of quantum mechanics and their impact on contemporary society'.
PMID- 29807902
TI - Transforming graph states using single-qubit operations.
AB - Stabilizer states form an important class of states in quantum information, and
are of central importance in quantum error correction. Here, we provide an
algorithm for deciding whether one stabilizer (target) state can be obtained from
another stabilizer (source) state by single-qubit Clifford operations (LC),
single-qubit Pauli measurements (LPM) and classical communication (CC) between
sites holding the individual qubits. What is more, we provide a recipe to obtain
the sequence of LC+LPM+CC operations which prepare the desired target state from
the source state, and show how these operations can be applied in parallel to
reach the target state in constant time. Our algorithm has applications in
quantum networks, quantum computing, and can also serve as a design tool-for
example, to find transformations between quantum error correcting codes. We
provide a software implementation of our algorithm that makes this tool easier to
apply. A key insight leading to our algorithm is to show that the problem is
equivalent to one in graph theory, which is to decide whether some graph G' is a
vertex-minor of another graph G The vertex-minor problem is, in general,
[Formula: see text]-Complete, but can be solved efficiently on graphs which are
not too complex. A measure of the complexity of a graph is the rank-width which
equals the Schmidt-rank width of a subclass of stabilizer states called graph
states, and thus intuitively is a measure of entanglement. Here, we show that the
vertex-minor problem can be solved in time O(|G|3), where |G| is the size of the
graph G, whenever the rank-width of G and the size of G' are bounded. Our
algorithm is based on techniques by Courcelle for solving fixed parameter
tractable problems, where here the relevant fixed parameter is the rank width.
The second half of this paper serves as an accessible but far from exhausting
introduction to these concepts, that could be useful for many other problems in
quantum information.This article is part of a discussion meeting issue
'Foundations of quantum mechanics and their impact on contemporary society'.
PMID- 29807903
TI - From quantum foundations to applications and back.
AB - Quantum non-locality has been an extremely fruitful subject of research, leading
the scientific revolution towards quantum information science, in particular, to
device-independent quantum information processing. We argue that the time is ripe
to work on another basic problem in the foundations of quantum physics, the
quantum measurement problem, which should produce good physics in theoretical,
mathematical, experimental and applied physics. We briefly review how quantum non
locality contributed to physics (including some outstanding open problems) and
suggest ways in which questions around macroscopic quantumness could equally
contribute to all aspects of physics.This article is part of a discussion meeting
issue 'Foundations of quantum mechanics and their impact on contemporary
society'.
PMID- 29807904
TI - Exploring the boundaries of quantum mechanics: advances in satellite quantum
communications.
AB - Recent interest in quantum communications has stimulated great technological
progress in satellite quantum technologies. These advances have rendered the
aforesaid technologies mature enough to support the realization of experiments
that test the foundations of quantum theory at unprecedented scales and in the
unexplored space environment. Such experiments, in fact, could explore the
boundaries of quantum theory and may provide new insights to investigate
phenomena where gravity affects quantum objects. Here, we review recent results
in satellite quantum communications and discuss possible phenomena that could be
observable with current technologies. Furthermore, stressing the fact that space
represents an incredible resource to realize new experiments aimed at
highlighting some physical effects, we challenge the community to propose new
experiments that unveil the interplay between quantum mechanics and gravity that
could be realizable in the near future.This article is part of a discussion
meeting issue 'Foundations of quantum mechanics and their impact on contemporary
society'.
PMID- 29807905
TI - Quantum theory of the classical: quantum jumps, Born's Rule and objective
classical reality via quantum Darwinism.
AB - The emergence of the classical world from the quantum substrate of our Universe
is a long-standing conundrum. In this paper, I describe three insights into the
transition from quantum to classical that are based on the recognition of the
role of the environment. I begin with the derivation of preferred sets of states
that help to define what exists-our everyday classical reality. They emerge as a
result of the breaking of the unitary symmetry of the Hilbert space which happens
when the unitarity of quantum evolutions encounters nonlinearities inherent in
the process of amplification-of replicating information. This derivation is
accomplished without the usual tools of decoherence, and accounts for the
appearance of quantum jumps and the emergence of preferred pointer states
consistent with those obtained via environment-induced superselection, or
einselection The pointer states obtained in this way determine what can happen
define events-without appealing to Born's Rule for probabilities. Therefore, pk
=|psik |2 can now be deduced from the entanglement-assisted invariance, or
envariance-a symmetry of entangled quantum states. With probabilities at hand,
one also gains new insights into the foundations of quantum statistical physics.
Moreover, one can now analyse the information flows responsible for decoherence.
These information flows explain how the perception of objective classical reality
arises from the quantum substrate: the effective amplification that they
represent accounts for the objective existence of the einselected states of
macroscopic quantum systems through the redundancy of pointer state records in
their environment-through quantum DarwinismThis article is part of a discussion
meeting issue 'Foundations of quantum mechanics and their impact on contemporary
society'.
PMID- 29807906
TI - Quantum reference frames and their applications to thermodynamics.
AB - We construct a quantum reference frame, which can be used to approximately
implement arbitrary unitary transformations on a system in the presence of any
number of extensive conserved quantities, by absorbing any back action provided
by the conservation laws. Thus, the reference frame at the same time acts as a
battery for the conserved quantities. Our construction features a physically
intuitive, clear and implementation-friendly realization. Indeed, the reference
system is composed of the same types of subsystems as the original system and is
finite for any desired accuracy. In addition, the interaction with the reference
frame can be broken down into two-body terms coupling the system to one of the
reference frame subsystems at a time. We apply this construction to quantum
thermodynamic set-ups with multiple, possibly non-commuting conserved quantities,
which allows for the definition of explicit batteries in such cases.This article
is part of a discussion meeting issue 'Foundations of quantum mechanics and their
impact on contemporary society'.
PMID- 29807907
TI - Foundations of quantum mechanics and their impact on contemporary society.
PMID- 29807910
TI - Identification of a Rhythmic Firing Pattern in the Enteric Nervous System That
Generates Rhythmic Electrical Activity in Smooth Muscle.
AB - The enteric nervous system (ENS) contains millions of neurons essential for
organization of motor behavior of the intestine. It is well established that the
large intestine requires ENS activity to drive propulsive motor behaviors.
However, the firing pattern of the ENS underlying propagating neurogenic
contractions of the large intestine remains unknown. To identify this, we used
high-resolution neuronal imaging with electrophysiology from neighboring smooth
muscle. Myoelectric activity underlying propagating neurogenic contractions along
murine large intestine [also referred to as colonic migrating motor complexes,
(CMMCs)] consisted of prolonged bursts of rhythmic depolarizations at a frequency
of ~2 Hz. Temporal coordination of this activity in the smooth muscle over large
spatial fields (~7 mm, longitudinally) was dependent on the ENS. During quiescent
periods between neurogenic contractions, recordings from large populations of
enteric neurons, in mice of either sex, revealed ongoing activity. The onset of
neurogenic contractions was characterized by the emergence of temporally
synchronized activity across large populations of excitatory and inhibitory
neurons. This neuronal firing pattern was rhythmic and temporally synchronized
across large numbers of ganglia at ~2 Hz. ENS activation preceded smooth muscle
depolarization, indicating rhythmic depolarizations in smooth muscle were
controlled by firing of enteric neurons. The cyclical emergence of temporally
coordinated firing of large populations of enteric neurons represents a unique
neural motor pattern outside the CNS. This is the first direct observation of
rhythmic firing in the ENS underlying rhythmic electrical depolarizations in
smooth muscle. The pattern of neuronal activity we identified underlies the
generation of CMMCs.SIGNIFICANCE STATEMENT How the enteric nervous system (ENS)
generates neurogenic contractions of smooth muscle in the gastrointestinal (GI)
tract has been a long-standing mystery in vertebrates. It is well known that
myogenic pacemaker cells exist in the GI tract [called interstitial cells of
Cajal (ICCs)] that generate rhythmic myogenic contractions. However, the
mechanisms underlying the generation of rhythmic neurogenic contractions of
smooth muscle in the GI tract remains unknown. We developed a high-resolution
neuronal imaging method with electrophysiology to address this issue. This
technique revealed a novel pattern of rhythmic coordinated neuronal firing in the
ENS that has never been identified. Rhythmic neuronal firing in the ENS was found
to generate rhythmic neurogenic depolarizations in smooth muscle that underlie
contraction of the GI tract.
PMID- 29807909
TI - Differential Neurotoxicity Related to Tetracycline Transactivator and TDP-43
Expression in Conditional TDP-43 Mouse Model of Frontotemporal Lobar
Degeneration.
AB - Frontotemporal lobar degeneration (FTLD) is among the most prevalent dementias of
early-onset. Pathologically, FTLD presents with tauopathy or TAR DNA-binding
protein 43 (TDP-43) proteinopathy. A biallelic mouse model of FTLD was produced
on a mix FVB/129SVE background overexpressing wild-type human TDP-43 (hTDP-43)
using tetracycline transactivator (tTA), a system widely used in mouse models of
neurological disorders. tTA activates hTDP-43, which is placed downstream of the
tetracycline response element. The original study on this transgenic mouse found
hippocampal degeneration following hTDP-43 expression, but did not account for
independent effects of tTA protein. Here, we initially analyzed the neurotoxic
effects of tTA in postweaning age mice of either sex using immunostaining and
area measurements of select brain regions. We observed tTA-dependent toxicity
selectively in the hippocampus affecting the dentate gyrus significantly more
than CA fields, whereas hTDP-43-dependent toxicity in bigenic mice occurred in
most other cortical regions. Atrophy was associated with inflammation, activation
of caspase-3, and loss of neurons. The atrophy associated with tTA expression was
rescuable by the tetracycline analog, doxycycline, in the diet. MRI studies
corroborated the patterns of atrophy. tTA-induced degeneration was strain
dependent and was rescued by moving the transgene onto a congenic C57BL/6
background. Despite significant hippocampal atrophy, behavioral tests in bigenic
mice revealed no hippocampally mediated memory impairment. Significant atrophy in
most cortical areas due solely to TDP-43 expression indicates that this mouse
model remains useful for providing critical insight into co-occurrence of TDP-43
pathology, neurodegeneration, and behavioral deficits in FTLD.SIGNIFICANCE
STATEMENT The tTA expression system has been widely used in mice to model
neurological disorders. The technique allows investigators to reversibly turn on
or off disease causing genes. Here, we report on a mouse model that overexpresses
human TDP-43 using tTA and attempt to recapitulate features of TDP-43 pathology
present in human FTLD. The tTA expression system is problematic, resulting in
dramatic degeneration of the hippocampus. Thus, our study adds a note of caution
for the use of the tTA system. However, because FTLD is primarily characterized
by cortical degeneration and our mouse model shows significant atrophy in most
cortical areas due to human TDP-43 overexpression, our animal model remains
useful for providing critical insight on this human disease.
PMID- 29807908
TI - Mapping DNA damage-dependent genetic interactions in yeast via party mating and
barcode fusion genetics.
AB - Condition-dependent genetic interactions can reveal functional relationships
between genes that are not evident under standard culture conditions. State-of
the-art yeast genetic interaction mapping, which relies on robotic manipulation
of arrays of double-mutant strains, does not scale readily to multi-condition
studies. Here, we describe barcode fusion genetics to map genetic interactions
(BFG-GI), by which double-mutant strains generated via en masse "party" mating
can also be monitored en masse for growth to detect genetic interactions. By
using site-specific recombination to fuse two DNA barcodes, each representing a
specific gene deletion, BFG-GI enables multiplexed quantitative tracking of
double mutants via next-generation sequencing. We applied BFG-GI to a matrix of
DNA repair genes under nine different conditions, including methyl
methanesulfonate (MMS), 4-nitroquinoline 1-oxide (4NQO), bleomycin, zeocin, and
three other DNA-damaging environments. BFG-GI recapitulated known genetic
interactions and yielded new condition-dependent genetic interactions. We
validated and further explored a subnetwork of condition-dependent genetic
interactions involving MAG1, SLX4, and genes encoding the Shu complex, and
inferred that loss of the Shu complex leads to an increase in the activation of
the checkpoint protein kinase Rad53.
PMID- 29807913
TI - What's Happening in Neurology(r)Clinical Practice.
PMID- 29807912
TI - What's Happening in Neurology(r).
PMID- 29807911
TI - Neural Coding of Whisker-Mediated Touch in Primary Somatosensory Cortex Is
Altered Following Early Blindness.
AB - Sensory systems do not develop and function independently of one another, yet
they are typically studied in isolation. Effects of multisensory interactions on
the developing neocortex can be revealed by altering the ratios of incoming
sensory inputs associated with different modalities. We investigated neural
responses in primary somatosensory cortex (S1) of short-tailed opossums
(Monodelphis domestica; either sex) after the elimination of visual input through
bilateral enucleation very early in development. To assess the influence of
tactile experience after vision loss, we also examined naturally occurring
patterns of exploratory behavior. In early blind (EB) animals, overall levels of
tactile experience were similar to those of sighted controls (SC); locomotor
activity was unimpaired and accompanied by whisking. Using extracellular single
unit recording techniques under anesthesia, we found that EB animals exhibited a
reduction in the magnitude of neural responses to whisker stimuli in S1, coupled
with spatial sharpening of receptive fields, in comparison to SC animals. These
alterations manifested as two different effects on sensory processing in S1 of EB
animals: the ability of neurons to detect single whisker stimulation was
decreased, whereas their ability to discriminate between stimulation of
neighboring whiskers was enhanced. The increased selectivity of S1 neurons in EB
animals was reflected in improved population decoding performance for whisker
stimulus position, particularly along the rostrocaudal axis of the snout, which
aligns with the primary axis of natural whisker motion. These findings suggest
that a functionally distinct form of somatosensory plasticity occurs when vision
is lost early in development.SIGNIFICANCE STATEMENT After sensory loss,
compensatory behavior mediated through the spared senses could be generated
entirely through the recruitment of brain areas associated with the deprived
sense. Alternatively, functional compensation in spared modalities may be
achieved through a combination of plasticity in brain areas corresponding to both
spared and deprived sensory modalities. Although activation of neurons in cortex
associated with a deprived sense has been described frequently, it is unclear
whether this is the only substrate available for compensation or if plasticity
within cortical fields corresponding to spared modalities, particularly primary
sensory cortices, may also contribute. Here, we demonstrate empirically that
early loss of vision alters coding of sensory inputs in primary somatosensory
cortex in a manner that supports enhanced tactile discrimination.
PMID- 29807915
TI - What's Happening in Neurology(r)Neuroimmunology & Neuroinflammation.
PMID- 29807914
TI - What's Happening in Neurology(r)Genetics.
PMID- 29807916
TI - 150th anniversary of clinical description of multiple sclerosis: Leopold
Ordenstein's legacy.
AB - The clinical features of multiple sclerosis were first defined in detail and with
pathologic confirmation in a medical thesis published at the Salpetriere, Paris,
in 1868. The author, Leopold Ordenstein (1835-1902), a German physician, analyzed
cases collected by his mentor, Jean-Martin Charcot (1825-1893). The 2 clinician
scientists described the characteristic symptoms, predisposing age, and
pathologic features of the disease, and emphasized the clear delineation from
other chronic progressive disorders, especially paralysis agitans. The latter was
referred to as Parkinson disease by William Sanders in 1865 and adopted by Desire
Magloire Bourneville on behalf of Charcot in 1875. This essay commemorates the
150th anniversary of the publication of the pioneering work of Leopold Ordenstein
and Jean-Martin Charcot.
PMID- 29807917
TI - Resident & Fellow Rounds.
PMID- 29807918
TI - Mystery Case: Bilateral temporal crescent sparing after cardiac arrest.
PMID- 29807919
TI - Editors' note: Pilocytic astrocytoma with anaplasia arising from the optic chiasm
in a very elderly patient.
PMID- 29807920
TI - Reader response: Pilocytic astrocytoma with anaplasia arising from the optic
chiasm in a very elderly patient.
PMID- 29807921
TI - Author response: Pilocytic astrocytoma with anaplasia arising from the optic
chiasm in a very elderly patient.
PMID- 29807922
TI - Editors' note: ELAPSS score for prediction of risk of growth of unruptured
intracranial aneurysms.
PMID- 29807923
TI - Reader response: ELAPSS score for prediction of risk of growth of unruptured
intracranial aneurysms.
PMID- 29807924
TI - Multiparametric MRI changes persist beyond recovery in concussed adolescent
hockey players.
PMID- 29807925
TI - Clinical Reasoning: A 23-year-old woman with fever and vertical diplopia.
PMID- 29807926
TI - Teaching NeuroImages: Distinct brain microhemorrhage pattern in critical illness
associated with respiratory failure.
PMID- 29807927
TI - Teaching NeuroImages: Prosopagnosia heralding anti-NMDA receptor encephalitis.
PMID- 29807928
TI - Regular sauna use shown to decrease risk of first-time stroke.
PMID- 29807929
TI - Fluid therapy in the emergency department: an expert practice review.
AB - Intravenous fluid therapy is one of the most common therapeutic interventions
performed in the ED and is a long-established treatment. The potential benefits
of fluid therapy were initially described by Dr W B O'Shaughnessy in 1831 and
first administered to an elderly woman with cholera by Dr Thomas Latta in 1832,
with a marked initial clinical response. However, it was not until the end of the
19th century that medicine had gained understanding of infection risk that
practice became safer and that the practice gained acceptance. The majority of
fluid research has been performed on patients with critical illness, most
commonly sepsis as this accounts for around two-thirds of shocked patients
treated in the ED. However, there are few data to guide clinicians on fluid
therapy choices in the non-critically unwell, by far our largest patient group.
In this paper, we will discuss the best evidence and controversies for fluid
therapy in medically ill patients.
PMID- 29807930
TI - Is it all for naught? What does mathematical coupling mean for acute:chronic
workload ratios?
PMID- 29807931
TI - The Nitrate-Responsive Protein MdBT2 Regulates Anthocyanin Biosynthesis by
Interacting with the MdMYB1 Transcription Factor.
AB - In addition to scavenging reactive oxygen species, anthocyanins are pigments that
give organs their color. In apple (Malus domestica), R2R3-MYB transcription
factor MdMYB1 is a master regulator of anthocyanin biosynthesis and fruit
coloration. In this study, we found that MdMYB1 was degraded via a ubiquitin
dependent pathway in response to nitrate, an inhibitor of anthocyanin synthesis.
Using a yeast two-hybrid (Y2H) approach, we found that the BTB-TAZ protein
encoded by the nitrate-responsive gene MdBT2 interacts with MdMYB1. Pull-down and
coimmunoprecipitation assays supported this conclusion. In vivo and in vitro
experiments revealed that MdBT2 promoted the ubiquitination and degradation of
MdMYB1 through a cullin protein MdCUL3-independent pathway. Expression analysis
demonstrated that MdBT2 and MdMYB1 were inversely regulated by nitrate and other
environmental signals. Furthermore, we used transgenic approaches in apple and
Arabidopsis (Arabidopsis thaliana) to characterize the function of MdBT2 in
regulating anthocyanin biosynthesis in response to nitrate. Our findings provide
insight into a mechanism involving the MdBT2-MdMYB1 pathway that regulates
anthocyanin accumulation in apple and possibly in other plant species.
PMID- 29807934
TI - Coming soon: CMAJ policy on data sharing.
PMID- 29807933
TI - Healthcare Resource Use and Cost Implications in the MOMENTUM 3 Long-Term Outcome
Study.
AB - BACKGROUND: The MOMENTUM 3 trial compares the centrifugal HeartMate 3 (HM3) with
the axial HeartMate II (HMII) continuous-flow left ventricular assist system in
patients with advanced heart failure, irrespective of the intended goal of
therapy. The trial's 2-year clinical outcome (n=366) demonstrated superiority of
the HM3 for the primary end point (survival free of a disabling stroke or
reoperation to replace or remove a malfunctioning pump). This analysis evaluates
health resource use and cost implications of the observed differences between the
2 devices while patients were enrolled in the trial. METHODS: We analyzed all
hospitalizations and their associated costs occurring after discharge from the
implant hospitalization until censoring (study withdrawal, heart transplantation,
and pump exchange with a nonstudy device or death). Each adjudicated episode of
hospital-based care was used to calculate costs (device-attributable and non
device-attributable event costs), estimated by using trial data and payer
administrative claims databases. Cost savings stratified by subgroups (study
outcome [transplant, death, or ongoing on device], intended goal of therapy, type
of insurance, or sex) were also assessed. RESULTS: In 366 randomly assigned
patients, 361 comprised the as-treated group (189 in the HM3 group and 172 in the
HMII group), of whom 337 (177 in the HM3 group and 160 in the HMII group) were
successfully discharged following implantation. The HM3 arm experienced fewer
total hospitalizations per patient-year (HM3: 2.1+/-0.2 versus HMII: 2.7+/-0.2;
P=0.015) and 8.3 fewer hospital days per patient-year on average (HM3: 17.1 days
versus HMII: 25.5 days; P=0.003). These differences were driven by patients
hospitalized for suspected pump thrombosis (HM3: 0.6% versus HMII: 12.5%;
P<0.001) and stroke (HM3: 2.8% versus HMII: 11.3%; P=0.002). Controlled for time
spent in the study (average cumulative cost per patient-year), postdischarge HM3
arm costs were 51% lower than with the HMII (HM3: $37 685+/-4251 versus HMII: $76
599+/-11 889, P<0.001) and similar in either bridge to transplant or destination
therapy intent. CONCLUSIONS: In this 2-year outcome economic analysis of the
MOMENTUM 3 trial, the HM3 demonstrated a reduction in rehospitalizations,
hospital days spent during rehospitalizations, and a significant cost savings
following discharge in comparison with the HMII left ventricular assist system,
irrespective of the intended goal of therapy. CLINICAL TRIAL REGISTRATION: URL:
https://www.clinicaltrials.gov . Unique identifier: NCT02224755.
PMID- 29807932
TI - Photodynamic therapy with redaporfin targets the endoplasmic reticulum and Golgi
apparatus.
AB - Preclinical evidence depicts the capacity of redaporfin (Redp) to act as potent
photosensitizer, causing direct antineoplastic effects as well as indirect immune
dependent destruction of malignant lesions. Here, we investigated the mechanisms
through which photodynamic therapy (PDT) with redaporfin kills cancer cells.
Subcellular localization and fractionation studies based on the physicochemical
properties of redaporfin revealed its selective tropism for the endoplasmic
reticulum (ER) and the Golgi apparatus (GA). When activated, redaporfin caused
rapid reactive oxygen species-dependent perturbation of ER/GA compartments,
coupled to ER stress and an inhibition of the GA-dependent secretory pathway.
This led to a general inhibition of protein secretion by PDT-treated cancer
cells. The ER/GA play a role upstream of mitochondria in the lethal signaling
pathway triggered by redaporfin-based PDT Pharmacological perturbation of GA
function or homeostasis reduces mitochondrial permeabilization. In contrast,
removal of the pro-apoptotic multidomain proteins BAX and BAK or pretreatment
with protease inhibitors reduced cell killing, yet left the GA perturbation
unaffected. Altogether, these results point to the capacity of redaporfin to kill
tumor cells via destroying ER/GA function.
PMID- 29807935
TI - Community paramedicine is growing in impact and potential.
PMID- 29807936
TI - Evaluation of a community paramedicine health promotion and lifestyle risk
assessment program for older adults who live in social housing: a cluster
randomized trial.
AB - BACKGROUND: Low-income older adults who live in subsidized housing have higher
mortality and morbidity. We aimed to determine if a community paramedicine
program - in which paramedics provide health care services outside of the
traditional emergency response - reduced the number of ambulance calls to
subsidized housing for older adults. METHODS: We conducted an open-label
pragmatic cluster-randomized controlled trial (RCT) with parallel intervention
and control groups in subsidized apartment buildings for older adults. We
selected 6 buildings using predefined criteria, which we then randomly assigned
to intervention (Community Paramedicine at Clinic [CP@clinic] for 1 yr) or
control (usual health care) using computer-generated paired randomization.
CP@clinic is a paramedic-led, community-based health promotion program to prevent
diabetes, cardiovascular disease and falls for residents 55 years of age and
older. The primary outcome was building-level mean monthly ambulance calls.
Secondary outcomes were individual-level changes in blood pressure, health
behaviours and risk of diabetes assessed using the Canadian Diabetes Risk
Questionnaire. We analyzed the data using generalized estimating equations and
hierarchical linear modelling. RESULTS: The 3 intervention and 3 control
buildings had 455 and 637 residents, respectively. Mean monthly ambulance calls
in the intervention buildings (3.11 [standard deviation (SD) 1.30] calls per 100
units/mo) was significantly lower (-0.88, 95% confidence interval [CI] -0.45 to
1.30) than in control buildings (3.99 [SD 1.17] calls per 100 units/mo), when
adjusted for baseline calls and building pairs. Survey participation was 28.4% (n
= 129) and 20.3% (n = 129) in the intervention and control buildings,
respectively. Residents living in the intervention buildings showed significant
improvement compared with those living in control buildings in quality-adjusted
life years (QALYs) (mean difference 0.09, 95% CI 0.01 to 0.17) and ability to
perform usual activities (odds ratio 2.6, 95% CI 1.2 to 5.8). Those who received
the intervention had a significant decrease in systolic (mean change 5.0, 95% CI
1.0 to 9.0) and diastolic (mean change 4.8, 95% CI 1.9 to 7.6) blood pressure.
INTERPRETATION: A paramedic-led, community-based health promotion program
(CP@clinic) significantly lowered the number of ambulance calls, improved QALYs
and ability to perform usual activities, and lowered systolic blood pressure
among older adults living in subsidized housing. Trial registration:
Clinicaltrials.gov, no. NCT02152891.
PMID- 29807938
TI - Herpes zoster ophthalmicus.
PMID- 29807937
TI - Quadrivalent human papillomavirus vaccination in girls and the risk of autoimmune
disorders: the Ontario Grade 8 HPV Vaccine Cohort Study.
AB - BACKGROUND: Despite demonstrated effectiveness in real-world settings, concerns
persist regarding the safety of the quadrivalent human papillomavirus (HPV4)
vaccine. We sought to assess the risk of autoimmune disorders following HPV4
vaccination among grade 8 girls eligible for Ontario's school-based HPV
vaccination program. METHODS: We undertook a population-based retrospective
cohort study using Ontario's administrative health and vaccination databases from
2007 to 2013. The self-controlled case series method was used to compare the rate
of a composite end point of autoimmune disorders diagnosed during days 7-60 post
vaccination ("exposed" follow-up) to that at any other time ("unexposed"). The
analysis was repeated to assess the effect of a history of immune-mediated
diseases and time since vaccination. We also conducted an exploratory analysis of
individual autoimmune disorders. Rate ratios and 95% confidence intervals (CIs)
were estimated using conditional Poisson regression, adjusted for age,
seasonality, concomitant vaccinations and infections. RESULTS: The study cohort
consisted of 290 939 girls aged 12-17 years who were eligible for vaccination
between 2007 and 2013. There was no significant risk for developing an autoimmune
disorder following HPV4 vaccination (n = 681; rate ratio 1.12, 95% CI 0.85-1.47),
and the association was unchanged by a history of immune-mediated disorders and
time since vaccination. Exploratory analyses of individual autoimmune disorders
found no significant risks, including for Bell palsy (n = 65; rate ratio 1.73,
95% CI 0.77-3.89), optic neuritis (n = 67; rate ratio 1.57, 95% CI 0.74-3.33) and
Graves disease (n = 47; rate ratio 1.55, 95% CI 0.92-2.63). INTERPRETATION: We
did not observe an increased risk of autoimmune disorders following HPV4
vaccination among teenaged girls. These findings should reassure parents and
health care providers.
PMID- 29807939
TI - Japanese encephalitis.
PMID- 29807940
TI - Whom should we really call a "doctor"?
PMID- 29807941
TI - What constitutes a public health response to the opioid overdose epidemic?
PMID- 29807942
TI - "Medutainment" - Are doctors using patients to gain social media celebrity?
PMID- 29807943
TI - Why are women still earning less than men in medicine?
PMID- 29807944
TI - Family medicine faces shortage of doctors willing to teach.
PMID- 29807945
TI - Does the use of an iodine-containing contrast agent to visualise the PICC tip in
preterm babies cause hypothyroidism? A randomised controlled trial.
AB - AIM: To compare thyroid function tests in preterm neonates (<30 weeks and >48
hour old) exposed to iodine-based contrast with controls and ascertain the
certainty of peripherally inserted central catheter (PICC) tip position. METHODS:
Infants requiring a PICC were randomised to receive 0.3 mL of iodine-containing
contrast or normal saline. The primary outcome was the difference in thyroid
stimulating hormone (TSH) levels on day 14 post PICC insertion and on day 28 of
life. RESULTS: 41 infants were randomised with no significant differences in TSH
level (mIU/L) at day 14 post PICC insertion (3.1 vs 2) or on day 28 of life (2.2
vs 1.7). The PICC tip was more easily localised in the contrast group (85% vs
55%). Urinary iodine levels were significantly increased in the contrast-exposed
group. CONCLUSION: Use of contrast did not suppress subsequent thyroid function
and helped visualise the PICC tip with more certainty. CLINICAL TRIAL
REGISTRATION NUMBER: ACTRN 12614000560695, pre-result.
PMID- 29807946
TI - Toxicant inhalation among singleton waterpipe tobacco users in natural settings.
AB - BACKGROUND: Studies that assess waterpipe tobacco smoking behaviour and toxicant
exposure generally use controlled laboratory environments with small samples that
may not fully capture real-world variability in human behaviour and waterpipe
products. This study aimed to conduct real-time sampling of waterpipe tobacco use
in natural environments using an in situ device. METHODS: We used the REALTIME
sampling instrument: a validated, portable, self-powered device designed to
sample automatically a fixed percentage of the aerosol flowing through the
waterpipe mouthpiece during every puff. We recruited participants at cafe and
home settings in Jordan and measured puffing behaviour in addition to inhalation
exposure of total particulate matter (TPM), carbon monoxide (CO), nicotine,
polycyclic aromatic hydrocarbons and volatile aldehydes. We correlated total
inhaled volume with five selected toxicants and calculated the regression line of
this relationship. RESULTS: Averaged across 79 singleton sessions (52% male, mean
age 27.0, 95% home sessions), sessions lasted 46.9 min and participants drew 290
puffs and inhaled 214 L per session. Mean quantities of inhaled toxicants per
session were 1910 mg TPM, 259 mg CO, 5.0 mg nicotine, 117 ng benzo[a]pyrene and
198 ng formaldehyde. We found positive correlations between total inhaled volume
and TPM (r=0.472; p<0.001), CO (r=0.751; p<0.001), nicotine (r=0.301, p=0.035)
and formaldehyde (r=0.526; p<0.001), but a non-significant correlation for
benzo[a]pyrene (r=0.289; p=0.056). CONCLUSIONS: In the natural environment,
waterpipe tobacco users inhale large quantities of toxicants that induce tobacco
related disease, including cancer. Toxicant content per waterpipe session is at
least equal, but for many toxicants several magnitudes of order higher, than that
of a cigarette. Health warnings based on early controlled laboratory studies were
well founded; if anything our findings suggest a greater exposure risk.
PMID- 29807947
TI - Should flavours be banned in cigarettes and e-cigarettes? Evidence on adult
smokers and recent quitters from a discrete choice experiment.
AB - OBJECTIVES: To provide the policy-relevant estimates of impacts of alternative
flavour bans on preferences and demand for cigarettes and e-cigarettes in adult
smokers and recent quitters. METHODS: A best-best discrete choice experiment
(DCE) is used to elicit smokers' and recent quitters' preferences for flavours,
price, health impact and nicotine level in cigarettes and e-cigarettes. Choice of
tobacco products and an opt-out option were examined. An efficient design yielded
36 choice sets. Exploded logit choice models were estimated. Flavour bans are
modelled by restricting flavour coefficients in the estimated model. SETTING AND
PARTICIPANTS: A sample of 2031 adult smokers and recent quitters was recruited to
complete an online survey and DCE. RESULTS: Current smokers and recent quitters,
on average, prefer cigarettes and menthol cigarettes over flavoured e-cigarettes.
However, there is substantial preference heterogeneity by younger adults (ages 18
25), race/ethnicity and respondents with higher education. Our predictions
suggest that a ban on menthol cigarettes would produce the greatest reduction in
the choice of cigarettes (-5.2%), but with an accompanying increase in e
cigarettes use (3.8%). In contrast, banning flavours in e-cigarettes, while
allowing menthol in cigarettes would result in the greatest increase in the
selection of cigarettes (8.3%), and a decline in the use of e-cigarettes (
11.1%). A ban on all flavours, but tobacco in both products would increase
'opting-out' the most (5.2%) but would also increase choice of cigarettes (2.7%)
and decrease choice of e-cigarettes (-7.9%). CONCLUSIONS: A ban on flavoured e
cigarettes alone would likely increase the choice of cigarettes in smokers,
arguably the more harmful way of obtaining nicotine, whereas a ban on menthol
cigarettes alone would likely be more effective in reducing the choice of
cigarettes. A ban on all flavours in both products would likely reduce the
smoking/vaping rates, but the use of cigarettes would be higher than in the
status quo. Policy-makers should use these results to guide the choice of flavour
bans in light of their stance on the potential health impacts both products.
PMID- 29807948
TI - Hypospadias surgery in children: improved service model of enhanced recovery
pathway and dedicated surgical team.
AB - INTRODUCTION: Children in Hong Kong are generally hospitalised for 1 to 2 weeks
after hypospadias repairs. In July 2013, we introduced a new service model that
featured an enhanced recovery pathway and a dedicated surgical team responsible
for all perioperative services. In this study, we investigated the outcomes of
hypospadias repair after the introduction of the new service model. METHODS: We
conducted a retrospective study on consecutive children who underwent primary
hypospadias repair from January 2006 to August 2016, comparing patients under the
old service with those under the new service. Outcome measures included early
morbidity, operative success, and completion of enhanced recovery pathway.
RESULTS: The old service and new service cohorts comprised 176 and 126 cases,
respectively. There was no difference between the two cohorts in types of
hypospadias and surgical procedures performed. The median hospital stay was 2
days in the new service cohort compared with 10 days in the old service cohort
(P<0.001). Patients experienced less early morbidity (5.6% vs 15.9%; P=0.006) and
had a lower operative failure rate (20.2% vs 44.2%; P<0.001) under the new
service than the old service. Multivariable analysis revealed that the new
service significantly reduced the odds of early morbidity (odds ratio=0.35, 95%
confidence interval=0.15-0.85; P=0.02) and operative failure (odds ratio=0.32,
95% confidence interval=0.17-0.59; P<0.001) in comparison with the old service.
Of the new service cohort, 111(88.1%) patients successfully completed the
enhanced recovery pathway. CONCLUSIONS: The enhanced recovery pathway can be
implemented safely and effectively to primary hypospadias repair. A dedicated
surgical team may play an important role in successful implementation of the
enhanced recovery pathway and optimisation of surgical outcomes.
PMID- 29807949
TI - Outcomes of salvage radiotherapy for recurrent prostate cancer after radical
prostatectomy.
AB - INTRODUCTION: Salvage radiotherapy (SRT) provides effective biochemical control
for patients with prostate cancer who have prostate specific antigen (PSA)
failure after radical prostatectomy. However, the effect of SRT on long-term
clinical outcomes remains unknown. Therefore, we report the natural history of
patients treated with SRT. METHODS: We identified 84 Chinese patients with
prostate cancer treated with SRT to the prostatic fossa alone during 2006-2017 at
Tuen Mun Hospital, Hong Kong. Survival was calculated using Kaplan-Meier method.
Log-rank test and Cox regression were used to determine significance of clinical
parameters with outcomes. RESULTS: Median SRT dose given was 70 Gy (range, 64-76
Gy). Median pre-SRT PSA level was 0.4 ng/mL (0.2-7.4 ng/mL). After SRT, 47 (56%)
patients had undetectable (<0.1 ng/mL) PSA levels. After median follow-up of 48
months (2 months to 10 years), 25 (30%) patients had further biochemical
progression. Subsequently, 12 patients received androgen deprivation therapy and
nine (11%) developed distant metastasis. The 5-year biochemical progression-free
survival, androgen deprivation therapy-free survival and metastasis-free survival
were 62.7%, 83.5% and 86.7%, respectively. Early PSA failure after radical
prostatectomy (hazard ratio 7.4), negative surgical margin (hazard ratio 2.7),
positive extracapsular extension (hazard ratio 4.6), and detectable PSA levels
after SRT (hazard ratio 17.3) were associated with lower biochemical progression
free survival after SRT. CONCLUSIONS: High-dose SRT with intensity-modulated
radiotherapy/volumetric modulated arc radiotherapy is an effective local
treatment that can prevent distant metastasis and avoid the need for androgen
deprivation therapy in Chinese patients who have PSA failure after radical
prostatectomy.
PMID- 29807950
TI - Jaundice in infants and children: causes, diagnosis, and management.
AB - Jaundice is caused by an accumulation of bilirubin in the blood. The presentation
in infants and children can be indicative of a wide range of conditions, with
some self-limiting and others potentially life-threatening. This article aims to
provide a concise review of the common medical and surgical causes in children
and discuss their diagnosis and management.
PMID- 29807951
TI - Plasma soluble cluster of differentiation 147 levels are increased in breast
cancer patients and associated with lymph node metastasis and chemoresistance.
AB - INTRODUCTION: Cluster of differentiation 147 (CD147) contributes to breast cancer
invasion, metastasis, and multidrug resistance. Recent studies have shown that
peripheral soluble CD147 (sCD147) is increased in hepatocellular tumour and
multiple myeloma patients and correlated with disease severity. The primary aim
of our study was to assess the level, as well as the biological and clinical
significance of sCD147 in breast cancer. METHODS: We tested plasma sCD147 levels
in 308 breast cancer patients by enzyme-linked immunosorbent assay between
February 2014 and February 2017. A subset of 165 cases of benign breast diseases
was included as a control group at the same period. We analysed the clinical
significance of plasma sCD147 with relevance to clinicopathological factors of
breast cancer patients. RESULTS: Plasma sCD147 levels were significantly higher
in patients with primary breast cancer than those with benign breast diseases
(P=0.001), in patients with locally advanced breast cancer (T3-T4 tumour) than
those in early breast cancer (T1-T2 tumour; P=0.001), in patients with lymph node
metastasis than in those without (P<0.001), and in patients with high recurrence
risk than those with medium recurrence risk (P<0.001). Plasma sCD147 levels were
also significantly higher in the chemotherapy-resistant group than in the
chemotherapy-sensitive group (P=0.040). Plasma sCD147 was an independent
predictor for lymph node metastasis in breast cancer patients (P=0.001).
CONCLUSION: This is the first study to demonstrate that plasma sCD147 levels are
elevated in breast cancer patients. Soluble CD147 is also associated with tumour
size, lymph node metastasis, high recurrent risk, and chemoresistance. Our
findings support that plasma sCD147 is an independent predictive factor for lymph
node metastasis.
PMID- 29807952
TI - Clinical and biochemical characteristics of infants with prolonged neonatal
jaundice.
AB - INTRODUCTION: Protocols for investigating neonatal prolonged jaundice vary and
the yield from screening has not been assessed. International guidelines
recommend establishing cholestasis before proceeding to investigate the
underlying pathology. However, in most hospitals administered by the Hospital
Authority, full liver function is checked at the first neonatal jaundice clinic
visit. To study the diagnostic yield of this approach, we carried out a
retrospective study of all infants referred for prolonged jaundice. METHODS:
Attendance records from the neonatal jaundice clinic at the Tuen Mun Hospital,
Hong Kong, the clinical management system, and electronic patient records were
used to retrieve epidemiological, clinical, and laboratory data, and patients'
clinical progress. RESULTS: During the 8-month study period from 8 July 2015 to 8
March 2016, 1164 infants were referred to the neonatal jaundice clinic for
prolonged jaundice. Among them, 16 (1.4%) infants had conjugated
hyperbilirubinaemia. Diagnoses included biliary atresia (n=1), cytomegalovirus
(CMV) infection (n=3), neonatal hepatitis syndrome (n=2), and transient
cholestasis (n=10). In total, 98 (8.42%) infants had elevated alanine
transaminase levels. Diagnoses included biliary atresia (n=1), hepatic congestion
related to congestive heart failure (n=1), CMV infection (n=5), neonatal
hepatitis syndrome (n=16), and non-specific elevated alanine transaminase (n=75).
In total, 59 infants had elevated alkaline phosphatase levels. CONCLUSIONS: A
stepwise approach is recommended, in which full liver function is checked and the
underlying cause of jaundice is investigated only after confirming cholestasis.
PMID- 29807953
TI - Evaluation of a multiplex flow immunoassay versus conventional assays in
detecting autoantibodies in systemic lupus erythematosus.
AB - INTRODUCTION: Conventional diagnostic assays are being replaced with automated
multiplex assays, but their performance needs to be evaluated. We compared a
multiplex flow immunoassay with conventional techniques in the detection of
antinuclear antibodies (ANAs) and antibodies to specific extractable nuclear
antigens (ENAs) in serum samples from patients with systemic lupus erythematosus.
METHODS: A total of 140 consecutive Chinese patients with systemic lupus
erythematosus and 41 healthy controls were included. The automated BioPlex 2200
ANA Screen assay (Bio-Rad Laboratories, Hercules [CA], US) was compared with
indirect immunofluorescence. In addition, use of BioPlex 2200 to detect anti-ENA
antibodies was compared with in-house assays of countercurrent
immunoelectrophoresis (CIEP), enzyme-linked immunosorbent assay (ELISA), and line
blot. RESULTS: The sensitivity and specificity of BioPlex in detecting ANAs
(91.4% and 95.1%, respectively) were comparable to those of indirect
immunofluorescence (90.7% and 85.4%, respectively). Overall, BioPlex achieved the
best agreement with ELISA in detecting anti-ENA antibodies: agreement was >90%
for most antibody types (kappa=0.79-0.94). In contrast, agreement was poorest
with CIEP, ranging from 85.6% (kappa=0.33) for anti-Sm antibodies to 93.9%
(kappa=0.88) for anti-Ro antibodies. Overall, BioPlex and ELISA had the highest
sensitivity, whereas CIEP had the highest specificity. In terms of disease
association, anti-Sm detected by CIEP had the best positive predictive value and
specificity for lupus nephritis. CONCLUSIONS: In a local lupus cohort, BioPlex
showed comparable sensitivity to indirect immunofluorescence in detecting ANAs
and comparable performance to ELISA in detecting anti-ENA antibodies. However,
CIEP was the best method in terms of disease specificity.
PMID- 29807954
TI - Laser Capture Microdissection in Oral Cancer.
AB - Oral cancer is one of the most significant public health concerns in the world.
The past decades have witnessed the trend of molecular profiling for diagnostic
and prognostic implications.
PMID- 29807955
TI - Occurrence of Malocclusion in Patients with Orofacial Pain and Temporomandibular
Disorders.
AB - AIM: This study aims to investigate the occurrence of malocclusion in patients
with orofacial pain and temporomandibular disorders (TMDs). MATERIALS AND
METHODS: A total of 437 standard orthodontic screening forms at a craniofacial
pain TMD center were utilized to collect the data for this retrospective study.
In addition to patient's demographics and Angle's molar classification, the
following data were collected: Overjet (OJ), overbite (OB), mandibular range of
motion, and whether or not there was a posterior crossbite or prior history of
orthodontic therapy. Analysis of variance (ANOVA) and chi-square tests were then
used to detect any statistical significant difference of the secondary variables'
distribution among the three malocclusion groups. RESULTS: The majority of the
studied population sample had a class I molar relationship (70.9%), followed by
class II (21.1%) and class III molar relationship (8%). Overjet and OB were
significantly increased for class II molar relationship group (p < 0.001), where
no statistical differences could be identified for the mandibular range of motion
between the groups. The prevalence of right and left posterior crossbite was
about 12% for both, and most of the crossbites presented within class I molar
group. CONCLUSION: Class I followed by class II molar relationships were found to
be the most occurring relationship in the studied population. Posterior crossbite
presented in 12% of cases and mostly affected subjects with class I molar
relationship. CLINICAL SIGNIFICANCE: These findings would aid in recognizing the
studied population's orthodontic presentation and support the assessment of their
transverse interventional needs.
PMID- 29807956
TI - Oral Health Status in Alzheimer's Disease Patients: A Descriptive Study in an
Italian Population.
AB - AIM: To evaluate the oral health status in Alzheimer's disease (AD) patients.
MATERIALS AND METHODS: A descriptive study was performed on 120 AD patients (60
institutionalized in a public institute and 60 attended a daytime center), from
September 2013 to January 2014. About 103 subjects formed the control group. The
following medical and dental data were collected: dementia severity,
pharmacological therapy, physical status (American Society of Anesthesiologists
[ASA]), decayed (D), filled (F), and remaining natural teeth (T), DF/T ratio,
community periodontal index (CPI), and gingival index (GI). A t-test for
independent samples and the Spearman's correlation test were used to evaluate all
variables. The significance level was set at 0.05. RESULTS: Statistically more AD
patients (91.7%) were under pharmacological therapy and their physical status was
more severe (ASA 2, ASA 3) compared with control subjects (p < 0.001). Moreover,
they presented numbers of D, CPI, and GI significantly higher (p <= 0.005). In
the institutionalized subgroup, statistically more moderate and severe AD cases
were detected and more patients were edentulous (p < 0.001). Noninstitutionalized
patients presented DF/T ratio, CPI, and GI significantly lower (p <= 0.024). A
significant weak negative correlation (r = -0.121 to -0.372) between
epidemiologic indices and AD severity was observed. CONCLUSION: Alzheimer's
disease patients show a low oral health status that decreases progressively as
the disease severity aggravates. Therefore, further studies are necessary to
investigate oral health care interventions for AD patients. CLINICAL
SIGNIFICANCE: It would be beneficial to introduce trained professional figures in
specialized elderly institutions for regular follow-up visits and professional
oral hygiene procedures. This task has to be coordinated with the treating
physician, family members, and/or caregivers. Knowing that the severity of AD has
a negative effect on the oral health status and the type of institutionalization
exacerbates it.
PMID- 29807957
TI - Cephalometric Evaluation of the Hyoid Bone Position in Lebanese Healthy Young
Adults.
AB - INTRODUCTION: The objectives of this study are to assess hyoid sagittal and
vertical position, and potential correlations with gender, skeletal class, and
anthropometrics. MATERIALS AND METHODS: Twenty-seven cephalometric linear,
angular, and ratio measurements for the hyoid were recorded on lateral
cephalograms obtained from 117 healthy young Lebanese adults. Anthropometric
parameters including height, weight, body mass index (BMI), and neck
circumference (NC) were measured. RESULTS: Statistically significant gender
differences were demonstrated for 21 out of 27 parameters considered. All linear
and two out of three angular measurements defining the vertical hyoid position
were larger in males compared with females. Five linear, one angular, and two
ratio measurements showed differences in the sagittal dimension. Skeletal classes
did not influence the sagittal and vertical hyoid position. Anthropometric
variables as height were strongly correlated to the vertical hyoid position,
while weight correlated more sagittally. CONCLUSION: Cephalometric norms for
hyoid position were established, sexual dimorphism and ethnic differences were
demonstrated. Skeletal patterns did not influence the sagittal and vertical hyoid
bone position. Anthropometric parameters, such as BMI correlated the least to
both vertical and sagittal hyoid position measurements, while the impact of
height and weight as separate entities made a paradigm shift providing accurate
and strong correlation of the vertical hyoid position to the height, and the
sagittal hyoid position to the weight of individuals. CLINICAL SIGNIFICANCE: The
cephalometric norms for the hyoid bone position in the Lebanese population
established in the present study are of paramount clinical importance and should
be considered in planning combined orthodontic and breathing disorders
treatments.
PMID- 29807958
TI - Clinical Assessment of Gingival Sulcus Width using Various Gingival Displacement
Materials.
AB - AIM: This study aims to compare the clinical efficacy of three different gingival
retraction systems on gingival sulcus width. MATERIALS AND METHODS: Study was
conducted on prepared right or left maxillary central incisor for 45 subjects.
Totally, 15 patients were randomly allocated in three gingival displacement
groups, i.e., group I (retraction cord impregnated with aluminum chloride), group
II (magic foam), and group III (laser). The pre- and postdisplacement impressions
were made with addition silicone material using two-stage double mix technique.
RESULTS: There was no difference between the groups at baseline. Group III
produced more displacement (mean value 0.48 +/- 0.101 mm) than group II (mean
value 0.31 +/- 0.09 mm) and group I (mean value 0.44 +/- 0.11 mm), and this was
statistically significant. CONCLUSION: Laser gingival displacement system was
found to be effective among the three retraction systems. Choice of gingival
displacement system is based on clinical situation and choice of operator.
CLINICAL SIGNIFICANCE: The retraction groups in the study created greater amount
of gingival retraction than the least sulcus width required for the elastomeric
impression material and so are clinically useful.
PMID- 29807959
TI - Comparative Evaluation of Fracture Strength of Different Types of Composite Core
Build-up Materials: An in vitro Study.
AB - AIM: The aim of the study was to evaluate the fracture strength of three types of
composite core build-up materials. The objectives were to study and evaluate the
fracture strength and type of fracture in composite core build-up in restoration
of endodonti-cally treated teeth with or without a prefabricated metallic post.
MATERIALS AND METHODS: A total of 60 freshly extracted mandibular premolars free
of caries, cracks, or fractures were end-odontically treated and restored with
composite core build-up with prefabricated metallic posts cemented with resin
luting cement (group I) and without a post (group II). This was followed by a
core build-up of 10 teeth each with three different types of composite materials:
Hybrid composite, nanocomposite, and ormocer respectively. The samples were
mounted on polyvinyl chloride block and then loaded in the universal load frame
at 90 degrees to the long axis of tooth. The fracture strength of the samples
was directly obtained from the load indicator attached to the universal load
frame. RESULTS: Analysis of variance (ANOVA) test revealed that teeth restored
with post exhibited highest fracture strength (1552.32 N) and teeth restored
without post exhibited lowest fracture strength (232.20 N). Bonferroni's test
revealed that values for hybrid composite (Z-100, 3M ESPE) with post,
nanocomposite (Z-350, 3M ESPE) with post, ormocer composite (Admira-VOCO) with
post, and nanocomposite (Z-350, 3M ESPE) without post were not significantly
different from each other. CONCLUSION: Teeth restored with post and core using
hybrid composite yielded the highest values for fracture strength. Teeth restored
with ormocer core without post exhibited the lowest values. Teeth restored with
nanocomposite core without post exhibited strength that was comparable with
hybrid composite core but higher than that of ormocer. CLINICAL SIGNIFICANCE:
Mutilated endodontically treated teeth can be prosthetically rehabilitated
successfully by using adhesive composite core build-up along with post to meet
anatomical, functional, and esthetic demands.
PMID- 29807960
TI - Use of Interarch Width Ratio to measure Transverse Relationship: A New Method to
measure and assess Interarch Discrepancy.
AB - AIM: The purpose of this study was to assess the arch width, transverse
discrepancy, and transverse interarch ratio for class I malocclusion sample, with
and without crossbite, in permanent dentition stage. MATERIALS AND METHODS:
Records of class I malocclusion patients with minimal crowding and spacing with
and without posterior crossbite were selected. Each group consisted of 40 pairs
of dental casts (20 males and 20 females). Arch widths were measured for the
canine, first, and second premolars, and first permanent molars using the buccal
approach. Means and standard deviations (SDs) of dental arch widths were measured
for the maxillary and mandibular arches, and the interarch width ratio was
calculated. RESULTS: There was a highly significant difference between the
noncrossbite and crossbite groups regarding the maxillary width, p < 0.001.
However, no differences were found between groups for the mandibular widths, p >
0.01. There were also significant differences between both groups for the
intercanine, first and second premolars, and first molar ratios, p < 0.001.
CONCLUSION: This new simple method showed that a transverse maxillary-mandibular
ratio of 1:1.1 is ideal. A ratio less than 1:0.9 will indicate the presence of
crossbite. CLINICAL SIGNIFICANCE: The interarch ratio significantly aids in
orthodontic treatment planning in patients requiring maxillary expansion and/or
surgical cases.
PMID- 29807961
TI - Enamel Surface Roughness after Debonding: A Comparative Study using Three
Different Burs.
AB - AIM: To compare effects of three different burs, i.e., tungsten carbide bur,
composite bur, and fiber glass bur on the surface roughness of enamel after
debonding evaluated by means of profilometry. MATERIALS AND METHODS: The present
study was conducted in the Department of Orthodontics and Dentofacial
Orthopedics, Guru Nanak Dev Dental College and Research Institute, Sunam, Punjab,
India, from August 2011 to December 2012 on 36 extracted premolars. After
mounting the samples in acrylic blocks with their buccal surfaces exposed,
initial measurement of the surface roughness was made using profilometry. Teeth
were then etched and brackets were bonded with light cure adhesive. After 3 days,
the brackets were debonded using three different rotating burs at low speed,
i.e., tungsten carbide bur, fiber glass bur, and composite bur. Enamel surface
roughness values were obtained and assessed using paired t-test, one-way analysis
of variance (ANOVA) test, and post hoc multiple tests. RESULTS: Surface roughness
of enamel increased significantly for tungsten carbide bur when compared with
fiber glass bur and composite bur. But there was no significant difference in the
surface roughness value when fiber glass bur was compared with the composite bur.
CONCLUSION: Composite and fiber glass burs used for resin removal after
orthodontic debonding produced a smoother enamel surface as compared with the
tungsten carbide bur. CLINICAL SIGNIFICANCE: After an orthodontic treatment,
restoring the enamel surface to its pretreatment condition without inducing any
iatrogenic damage after debonding is a clinical challenge. Residual resin removal
through proper means ensures a smooth surface, and, hence, a plaque-free
environment. Finishing requires as much planning and execution as planned for the
fixed therapy itself.
PMID- 29807962
TI - Effect of 0.8% Hyaluronic Acid in Conventional Treatment of Moderate to Severe
Chronic Periodontitis.
AB - OBJECTIVE: The aim of this study is to evaluate the effect of the subgingival
application of 0.8% hyaluronic acid (HA) gel (GENGIGEL(r)) as an adjunct to
scaling and root planing (SRP) on clinical parameters and expression of human
beta defensin-2 (hBD-2) in patients with moderate to severe chronic
periodontitis. MATERIALS AND METHODS: In this randomized, split mouth design
study, 24 participants with moderate to severe chronic periodontitis were
evaluated after full mouth SRP. In the test sites 1 mL of 0.8% hyaluronan gel was
applied subgingivally after SRP at baseline and 1 week post therapy. Plaque index
(PI), gingival index (GI), papillary bleeding index (PBI), periodontal probing
depth (PPD), and clinical attachment loss (CAL) were recorded and gingival
crevicular fluid (GCF) samples were collected at baseline, after 6 and 12 weeks.
Expression of human beta defensin-2 (hBD-2) was analyzed by enzyme-linked
immunosorbent assay. RESULTS: At baseline, there were no statistical differences
between test and control sites in all clinical parameters and hBD-2 expression.
An improvement of PI, GI, PBI, PPD, and CAL was observed at 6 and 12 weeks (p <
0.05) in both groups. Clinically, it was noticed that all indices except CAL had
more statistically significant reduction in test sites than control sites at 6
and 12 weeks. The hBD-2 levels were significantly higher in the test sites than
in the control sites at 6 and 12 weeks. CONCLUSION: The local application of 0.8%
hyaluronan gel with SRP have a positive effect on periodontal health of moderate
to severe chronic periodontitis patients after 6 and 12 weeks. CLINICAL
SIGNIFICANCE: Subgingival application of 0.8% HA gel following SRP has shown anti
inflammatory effect and has a beneficial effect on clinical parameters in
moderate to severe chronic periodontitis patients.
PMID- 29807963
TI - Evaluation of Predictability of Suitable Implant Lengths as related to Accurate
Treatment Planning using Recent Roentgenographic Measures: A Key to Success.
AB - BACKGROUND AND AIM: Selection of suitable length of dental implants is very
subjective and largely depends on clinical evidences and operator's expertise.
The present study was aimed to assess the role of cone beam computed tomography
(CBCT) and cephalograms as far as the selection of right implant length is
concerned. MATERIALS AND METHODS: The study includes 220 patients for whom
radiographic and follow-up records were obtained. There were 105 males and 115
females in the age range of 22 to 58 years. A total of 98 implant sites in
different edentulous areas were studied. Length of implant was predicted at
treatment planning and compared with finally placed implant at surgical stages.
It also includes the in-depth exploration of (1) number of implants placed per
patient, (2) implant per edentulous areas, (3) implant location, and (4) implant
region. For prediction of implant lengths, CBCT results were obtained and
compared with cephalometric findings. Accuracies of implant lengths as planned by
CBCT and cephalometric images were also studied at treatment planning and
surgical stages. Statistical analysis and results: Upon statistical comparisons,
we found that larger implant lengths chosen at treatment planning stage did not
change in 65.5% of patients. In addition, the smaller length implants were just
about the same as that with larger dimensions. The CBCT results were satisfactory
(up to 98.5%). Cephalometry was performed well in this prediction, especially in
posterior mandible (87.9%). However, its performance in anterior maxillary region
was not satisfactory (69.6%). Intergroup comparisons of CBCT and cephalometric
results at different regions were significant (p < 0.001). CONCLUSION: Our study
results show insignificant changes in the length of implants that was exactly
planned using CBCT scans. Therefore, accurate prediction of implant lengths can
be done using CBCT scans as they have superior and advanced tools that facilitate
presurgical decision-making. Lateral cephalometric evaluation has been shown as
an imperative radiographic tool for determining implant lengths as it confirmed
the significance bone resorption on the selection of implant length at different
sites. CLINICAL SIGNIFICANCE: The CBCT and lateral cephalogram offer excellent
anatomical details that can be judiciously applied for treatment planning and
other clinical decision-making, including selection of correct implant length.
Our study advocates thorough cephalometric evaluations of mandible wherein
implants are to be placed for implant-supported overdentures.
PMID- 29807964
TI - Effect of using the New Glass Fiber Pin in Resin Composite Restorations.
AB - AIM: The purpose was to compare the fracture strength between restorations
containing the metal pin (MP) and those containing the glass fiber pin (GFP).
MATERIALS AND METHODS: Forty-five healthy bovine anterior teeth were used in this
study. A preparation of 4 mm * 4 mm was created on the incisal surface of each
tooth. All teeth were prepared in the same way. The teeth were randomly divided
into three groups (n = 15): group I-control: teeth restored with resin composite
(RC) only, without reinforcement; group II-Each tooth was restored with a MP and
RC; group III-Each tooth was restored with a GFP and RC. The specimens were left
in an oven at a temperature of 37 degrees C for 24 hours before performing the
fracture strength tests. The fracture strength test was performed in a universal
test machine at an angle of 90 degrees . RESULTS: The Tukey test (p <= 0.05)
showed that for the variable maximum force (kgf), there was no statistically
significant difference among the groups (p = 0.272). The chi-square test showed
that groups II and III presented over 70% of cohesive-adhesive type fractures
when compared with group I (over 70% of adhesive fractures), and this difference
was statistically significant (p < 0.05). CONCLUSION: The pins tested did not
increase the fracture resistance of the restorations (RC) in comparison with
group I (without reinforcement); however, with the use of the MP and GFP, there
was a predominance of cohesive-adhesive fractures (groups II and III). CLINICAL
SIGNIFICANCE: Evaluate if the new GFP can generate greater resistance to fracture
of RC restorations.
PMID- 29807965
TI - Caries Assessment Spectrum and Treatment: Would It direct Future Epidemiological
Surveys?
AB - AIM: To evaluate caries spectrum among 12- and 15-year-old Indian children using
the Caries Assessment Spectrum and Treatment (CAST) index. MATERIALS AND METHODS:
An epidemiological survey of the schoolchildren was carried out in a district in
India. A stratified cluster random sampling method was used to select the sample.
The caries prevalence of the surveyed population was obtained by using this CAST
tool. Chi-square test was used to verify the association between dental
fluorosis, socioeconomic status, and age and caries experience. Mann-Whitney U
test was used to compare the caries experience between the two age groups.
RESULTS: A total of 2,610 children were examined. The majority of the
schoolchildren (12 years: 74.2%, 15 years: 75.5%) were healthy concerning their
caries experience and the prevalence of the other codes was minimal. The
prevalence of dentin carious lesions and the percent of restorable teeth was
greater among the 15-year-olds. The mean decayed, missing, and filled teeth
(DMFT) of 12- and 15-year-old subjects was calculated to be 0.22 and 0.29
respectively. CONCLUSION: The currently surveyed population showed a low caries
prevalence and the use of the tool highlighted the caries spectrum in an
impressive way. CLINICAL SIGNIFICANCE: A well-designed tool to assess the carious
spectrum of an individual or a community, thus enabling the responsible
stakeholders to plan an appropriate care that is necessary.
PMID- 29807966
TI - Layered Base Plate Blocks and Operative Dentistry Skills.
AB - AIM: Preclinical dental training is essential for the development of psychomotor
skills necessary for clinical practice. The study aimed to investigate the impact
of teaching with a layered base plate blocks on cavity preparations and students'
self-perceived confidence, readiness, and ability. MATERIALS AND METHODS: A cross
sectional study recruited 52 dental students into two groups; one trained (test)
with layered base plate and the other without (control). Students answered an
eight-question survey to assess self-perceived confidence, readiness, and ability
for performing cavity preparation at the start of their first preclinical
operative dentistry course. Students prepared one molar tooth for a class I
cavity and two for class II cavities. Preparations were assessed for different
elements of depth and outline extension by two blinded examiners. RESULTS:
Statistically significant differences were found between the median scores with
respect to the preparation of class II cavities among students exposed to layered
base plate (Mann-Whitney U-test, p < 0.05). There were no significant differences
between the median scores awarded with respect to the preparation of class I
cavity. A statistically significant difference was reported in self-perceived
confidence among students who trained with the layered base plate (x2, p < 0.05).
CONCLUSION: Training on the layered base plate blocks increased students' initial
confidence level in performing cavity preparations, and improved the preparation
skills in class II cavity. CLINICAL SIGNIFICANCE: This study explores the methods
of enhancing motor skills taught in preclinical operative dentistry courses,
especially in short academic programs.
PMID- 29807967
TI - Fracture Strength of Endodontically treated Maxillary Central Incisors restored
with Nickel Chromium and Nonprecious Gold Alloy Casting Post and Cores.
AB - AIM: Since fiber posts are not recommended for teeth under lateral loads, a new
alloy containing >80% copper (nonprecious gold or NPG) was introduced with a
modulus of elasticity closer to that of dentin and easier preparation. This study
aimed to compare the fracture strength of endodontically treated maxillary
central incisors restored with nickel chromium (Ni-Cr) and NPG alloys. MATERIALS
AND METHODS: Casting post and cores were fabricated of Ni-Cr and NPG alloys for
24 maxillary central incisors (n = 12). Full-metal crowns were also fabricated
and placed under cyclin loading in 30 N load and 45 degrees angle, for 250,000
cycles with 2.6 Hz frequency. The fracture strength was measured at a crosshead
speed of 0.5 mm/min and 135 degrees angle in a universal testing machine. Data
were analyzed using independent t-test. RESULTS: The mean fracture strength was
731.179 +/- 327.47 and 1411.89 +/- 313.56 N in the Ni-Cr and NPG groups
respectively; this difference was statistically significant (p < 0.001). The
fracture was irreparable in all teeth since the fracture line was below the
cementoenamel junction (CEJ). CONCLUSION: The fracture strength of teeth restored
with cast NPG post and cores was significantly higher than that of teeth restored
with cast Ni-Cr post and cores. CLINICAL SIGNIFICANCE: Due to proper mechanical
properties, NPG post and cores seem to be a suitable choice for restoration of
severely damaged anterior teeth, provided that other properties are proven to be
acceptable.
PMID- 29807968
TI - Evaluation of Relationship between Exposure Parameters and Maxillofacial Bone
Quality with Salivary Glands Absorbed Dose in Cone Beam Computed Tomography
Imaging.
AB - AIM: The purpose of this study was to evaluate the protective effect of jaw bone
on the dose of salivary glands and its relationship with radiation conditions.
MATERIALS AND METHODS: In this cross-sectional study, seven dried human skulls
were used. In each mandible, six dosimeters were placed in six salivary gland
regions numbered in visible light absorbent envelopes. Cone beam computed
tomography (CBCT) images were taken from each mandible with a constant kV of 90
and mA varied from 6, 8, and 10. The absorbed dose was calculated using SOLAR 2A.
The bone quality of each of the six areas was obtained using densitometry tool in
On Demand software. Finally, the results were analyzed using Statistical Package
for the Social Sciences (SPSS) software version 23 with covariance and Tukey
tests (alpha = 0.05). RESULTS: The results of covariance analysis and Tukey test
indicated that the sublingual salivary gland had the highest absorption dose.
Meanwhile, the results of the analysis showed that the absorption rate of the
glands increased by increasing the radiation conditions from 6 to 8 and
increasing from 8 to 10 mA (p < 0.001). The results of Pearson analysis showed an
inverse relationship between absorbed dose and bone quality (r = -0.327) (p <
0.001). CONCLUSION: The results of this study showed that the absorption rate of
salivary glands will increase with increasing radiation conditions, while
increasing the quality of mandibular bone, the absorption dose will decrease.
CLINICAL SIGNIFICANCE: The clinical significance is to reduce patient's absorbed
dose in order to decrease the risk of mutation and cancer formation.
PMID- 29807969
TI - Crestal Bone Loss around Dental Implants: Platform Switching vs Platform Matching
A Retrospective Study.
AB - AIM: The objective of this study was to determine the vertical and horizontal
marginal bone levels in platform-switched and platform-matched dental implants.
MATERIALS AND METHODS: In the present study, 50 dental implants were placed in 50
patients over a 1-year period. Measurement was performed from the implant
shoulder to the most apical and horizontal marginal defect by periapical
radiographs to examine the changes of peri-implant alveolar bone before and 12
months after prosthodontic restoration delivery. RESULTS: These marginal bone
measurements showed a bone gain of 0.53 +/- 0.98 mm in the vertical gap and 0.52
+/- 0.93 mm in the horizontal gap of the platform matching, while in the platform
switching, a bone gain of 1.33 +/- 1 mm in the vertical gap (p < 0.05) and 1.60
+/- 0.56 mm in the horizontal gap was found. Statistically significant difference
was found for bone regeneration in the vertical gap between the two groups (p <
0.05) using t-test with Statistical Package for the Social Sciences (SPSS)
statistical test version 17. CONCLUSION: The crestal bone around the implants can
be preserved with platform-switching concept and it can be applied in clinical
condition. CLINICAL SIGNIFICANCE: The crestal bone around the implants can be
preserved with platform-switching concept.
PMID- 29807970
TI - Evaluation of Tumor-associated Tissue Eosinophilia in Different Stages of Oral
Squamous Cell Carcinoma using Special Stains: An in vitro Histopathological
Study.
AB - AIM: To evaluate the tissue eosinophilia in different stages and grades of oral
squamous cell carcinoma (OSCC) and correlate its possible role as a
prognosticator in primary OSCC using special stains like Congo red and carbol
chromotrope. MATERIALS AND METHODS: Forty-five intraoral histopathologi-cally
proven cases of OSCC were selected (15 cases each of well-differentiated squamous
cell carcinoma (WDSCC), moderately differentiated squamous cell carcinoma
(MDSCC), and poorly differentiated squamous cell carcinoma (PDSCC)). Three
sections of 4 pm were taken for each case. All slides were stained using routine
stain, i.e., hematoxylin and eosin (H&E), and special stains, i.e., carbol
chromotrope and Congo red respectively, for studying tissue eosinophils in all
these groups. Histopathological evaluation was performed on paraffin sections for
calculating the quantitative eosinophil distribution by two separate observers
who were blinded to clinical and histopathological data. Tumor-associated tissue
eosinophilia (TATE) was calculated using the density method. RESULTS: An increase
in the degree of TATE from stage I to stage IV suggested that elevated tissue
eosinophilia was seen with an increasing size of primary tumor. Also an increase
in TATE was observed with an increasing grade of OSCC. Chromotrope stain was
found to be a much better and more specific stain for eosinophils, and gave more
accurate eosinophil count compared with H&E and Congo red. CONCLUSION: The
findings of the present study highlight the significance of eosinophil counting
and that it can be used as an additional morphological parameter in the grading
of OSCC which can also be included in the biopsy report.
PMID- 29807971
TI - Risk Assessment in Long-term Survival Rates of Dental Implants: A Prospective
Clinical Study.
AB - AIM: The present study was done to analyze the risk factors associated with
dental implants in its survival rate. MATERIALS AND METHODS: The present study
was conducted on 208 patients with 425 dental implants placed. Patients were
divided into four groups: group I (diabetes), group II (periodontitis), group III
(smoking), and group IV (bruxism). All patients were followed for 8 to 10 years
for the survival rates. RESULTS: Out of 425 dental implants, 145 were inserted in
72 males and 280 in 136 females. The difference was significant (p = 0.01). Group
I had 16 males and 36 females, group II had 20 males and 32 females, group III
had 28 males and 24 females, and group IV had 8 males and 44 females. The
difference was statistically significant (p < 0.05). Out of 425 implants, 90
(21.17%) had failures: 24 dental implants (29%) in group I, 22 dental implants
(15.2%) group II, 34 dental implants (27%) in group III, and 10 dental implants
(13%) in group IV showed failure. The difference was significant (p < 0.05).
Success rate was 70.7% in group I, 83.3% in group II, 80.9% in group III, and
86.3% in group IV. The difference was nonsignificant (p > 0.05). CONCLUSION:
Diabetes, periodontitis, bruxism, and smoking are among various causative factors
which affect the survival rate of dental implants. These are risk factors leading
to implant failures. CLINICAL SIGNIFICANCE: Diabetes, periodontitis, bruxism, and
smoking are among various causative factors which affect the survival rate of
dental implants.
PMID- 29807972
TI - Antibacterial Effect of Silver Diammine Fluoride on Cariogenic Organisms
AB - AIM: To screen the possible antimicrobial activity of a range of clinically used,
silver-based compounds on cariogenic organisms: silver diammine fluoride (SDF),
silver fluoride, and silver nitrate. MATERIALS AND METHODS: Preliminary screening
disk-diffusion susceptibility tests were conducted on Mueller-Hinton agar plates
inoculated with Streptococcus mutans, Lactobacillus acidophilus, and Actinomyces
naeslundii, organisms known to be cariogenic. In order to identify which
component of the silver compounds was responsible for any antibacterial (AB)
effect, and to provide controls, the following were also investigated at high and
low concentrations: sodium fluoride, ammonium fluoride, ammonium chloride, sodium
fluoride, sodium chloride, and sodium nitrate, as well as deionized water as
control. A volume of 10 pL of a test solution was dispensed onto a paper disk
resting on the inoculated agar surface, and the plate incubated anaerobically at
37 degrees C for 48 hours. The zones of inhibition were then measured. RESULTS:
Silver diammine fluoride, silver fluoride, silver nitrate, and ammonium fluoride
had significant AB effect (p < 0.05) on all three test organisms, although
ammonium fluoride had no effect at low concentration; the remaining other
compounds had no effect. CONCLUSION: Silver ions appear to be the principal AB
agent at both high and low concentration; fluoride ions only have an AB effect at
high concentration, while ammonium, nitrate, chloride and sodium ions have none.
The anticaries effect of topical silver solutions appears restricted to that of
the silver ions. CLINICAL SIGNIFICANCE: Silver compounds, such as SDF, silver
fluoride, and silver nitrate have AB effect against cariogenic organisms and
these may have clinical impact in arresting or preventing dental decay. Sodium
fluoride did not have AB effect under the conditions tested.
PMID- 29807973
TI - Oral Rehabilitation of Young Adult with Amelogenesis Imperfecta.
AB - BACKGROUND: Amelogenesis imperfecta is a heterogeneous group of hereditary
disorders that affect the enamel formation of the primary and permanent
dentitions while the remaining tooth structure is normal. Appropriate patient
care is necessary to prevent adverse effects on dental oral health, dental
disfigurement, and psychological well-being. AIM: This clinical report presents a
27-year-old Chinese male with amelogenesis imperfecta (AI) and his restorative
management. CASE REPORT: This clinical report presents a 27-year-old Chinese male
with AI and his restorative management. Extraoral examination showed a skeletal
class III profile and increased lower facial proportion. Intraorally, all the
permanent dentition was hypoplastic with noticeable tooth surface loss and a
yellow-brown appearance. This was complicated with a mild maloc-clusion and food
packing on his posterior teeth. The patient wanted to improve his appearance and
masticatory efficiency. Orthodontic treatment was performed to treat the mild
malocclu-sion and create physiological interproximal spacing to minimize tooth
preparation and facilitate oral hygiene. CONCLUSION: This report demonstrates how
a multidisciplinary approach for the management of AI can achieve a predictable,
functional, and esthetic outcome. Orthodontic treatment facilitated a
conservative prosthodontic treatment outcome by selectively increasing
interproximal space, minimizing tooth preparation, correcting posterior bilateral
cross-bite, as well as an anterior reverse overjet and derotation of the canines.
CLINICAL SIGNIFICANCE: This case report demonstrates the effective restoration of
AI using a multidisciplinary approach to overcome crowding using a relatively
conservative approach.
PMID- 29807974
TI - Biomarkers as Independent Predictors of Bone Regeneration around Biomaterials: A
Systematic Review of Literature.
AB - BACKGROUND: Biomarkers are detected during bone formation and resorption
associated with the dynamics of bone metabolism and are gaining importance as
preferential indicators of bone healing in comparison with conventional
methodologies. Current literature suggests that the usage of bone turnover
markers for monitoring bone regeneration in association with biomaterials is
limited. AIM: To systematically review literature and evaluate whether bone
biomarkers can independently predict bone regeneration following implantation of
various bone biomaterials. MATERIALS AND METHODS: An electronic search was
conducted in PubMed (MEDLINE) database from 1980 to January 2017. The articles
for systematic review were selected based on formulated inclusion and exclusion
criteria Results: Upon database searching, 443 articles were retrieved and
thoroughly reviewed based on the inclusion and exclusion criteria. In all, 41
studies were finally included for evaluation out of which 4 were clinical studies
and the remaining 37 studies utilized animal models. On further evaluation, 12
studies reported the presence of biomarkers in association with cellular response
during bone regeneration around bio-materials. Moreover, biomarkers related to
enzyme activity and matrix protein derivatives were enhanced during bone-matrix
deposition as reported in 14 studies. Inorganic skeletal matrix biomarkers
indicative of bone mineralization showed positive expression in eight studies.
CONCLUSION: Several biomarkers appear to be useful for the assessment of bone
regeneration around biomaterials. Although biomarkers are capable of
independently predicting bone regeneration, lack of substantial evidence in the
literature limits their true clinical utility. CLINICAL SIGNIFICANCE: Noninvasive
and inexpensive methods of isolating and characterization of biomarkers from
cellular and extracellular skeletal matrix during bone regeneration have proven
value in evaluating success of bone biomaterials.
PMID- 29807975
TI - Extraction Planning in Orthodontics.
AB - INTRODUCTION: Planning extraction of teeth for orthodontic treatment is one of
the challenging factors for successful functional and esthetic orthodontic
results and for the long-term stability. AIM: The aim of this study is to
document possible extraction guidelines for planning of orthodontic treatment for
space deficiency cases related to excess tooth material. RESULTS: The guidelines
are written as patterns to facilitate the decision of extraction of teeth for
class I, class II division 1, class II division 2, and class III malocclusions,
for crowding, overjet reduction, or correcting the buccal segment relationship.
The decision for extraction of teeth for orthodontic treatment is enforced by the
oral hygiene, carious teeth, periodontal involvement, impacted teeth,
supernumeraries, hypodontia, or for orthognathic purposes. Also, planning the
decision for accurate extraction pattern is the main factor in the success of the
orthodontic treatment and for the long-term stability of the results. CONCLUSION:
Orthodontic tooth extraction should always be planned with consideration of the
width and length of the face, the oral hygiene, carious activity, periodontal
involvement, malformed crowns, length and health of the root of the teeth,
prognosis of impacted teeth, supernumeraries, and hypodontia. CLINICAL
SIGNIFICANCE: Accurate diagnosis and treatment planning following orthodontic
extraction guidelines lead to a long-term stability of the corrected results.
PMID- 29807976
TI - A Comprehensive Review on the Errors That occur during Ideal Teeth Arrangement
for Complete Denture Prosthesis.
AB - AIM: The aim of this article is to present a comprehensive review and a
classification system on the various errors that occur during the ideal
arrangement of artificial teeth for complete denture prosthesis. MATERIALS AND
METHODS: Assessment of various classification systems presented for errors in
artificial tooth arrangement and identifying the lacunae in each system. RESULTS:
A comprehensive review and a classification system on the various errors that
occur during the ideal arrangement of artificial teeth for complete denture
prosthesis have been presented. CONCLUSION: This classification system is aimed
toward dental students and dental practitioners to aid in the arrangement of
artificial teeth for complete denture prosthesis. CLINICAL SIGNIFICANCE: The
proposed classification system helps the operator to identify the various errors
which may occur during the arrangement of artificial teeth for complete denture
prosthesis. It also aids in providing a detailed insight into the role played by
artificial teeth in restoring the form and function of completely edentulous
patients.
PMID- 29807977
TI - Coronary Artery-Left Ventricular Fistula and Takotsubo Cardiomyopathy - An
Association or an Incidental Finding? A Case Report.
AB - BACKGROUND A coronary artery-left ventricular fistula is an anomalous
communication between the coronary arteries and the cardiac chambers and is a
rare congenital coronary anomaly that is often small and asymptomatic. Takotsubo
cardiomyopathy, on the other hand, is a syndrome characterized by transient
regional systolic dysfunction of the left ventricle, mimicking myocardial
infarction, but in the absence of angiographic evidence of obstructive coronary
artery disease or acute plaque rupture. We present the case of an elderly woman
who presented with Takotsubo cardiomyopathy and who was incidentally discovered
to have an associated coronary artery-left ventricular fistula. CASE REPORT We
report the case of a 68-years-old woman with a family history of premature
cardiac diseases who presented with ischemic chest pain and elevated troponin
levels. Her EKG and troponins were suggestive of non-ST-elevation myocardial
infarction (NSTEMI), for which she was initially treated medically and later
underwent coronary angiography. Unexpectedly, the angiography revealed patent
coronary arteries, and we discovered evidence of coronary artery to left
ventricular fistula in the addition to angiographic evidence of Takotsubo
cardiomyopathy. A working diagnosis of Takotsubo was made, for which she was
treated medically with resulting improvement of her symptoms and later in the
imaging findings. CONCLUSIONS This described case illustrates a rare association
between coronary artery fistulas and Takotsubo cardiomyopathy. It is unclear if
this association has played a role in the pathogenesis or perhaps is just an
incidental finding. More similar cases are needed to expand the clinical
presentation of both conditions and add to the literature.
PMID- 29807978
TI - Suppression of miR-21 Expression Inhibits Cell Proliferation and Migration of
Liver Cancer Cells by Targeting Phosphatase and Tensin Homolog (PTEN).
AB - BACKGROUND Liver cancer is considered one of the main causes of cancer related
deaths across the globe. Moreover, the incidence of liver cancer in developed
countries is likely to increase in future. The increase in the incidence of liver
cancer, the limited availability of standard treatments, and the side effects of
the existing drugs demands exploration and identification of new targets and
treatment strategies for liver cancer. In this context, the present study
investigated the potential of miR-21 as the therapeutic target for the management
of liver cancer. MATERIAL AND METHODS Total RNA was extracted by RNA isolation
kit (RNeasy) as per the manufacturer's instructions. The cDNA synthesis was
carried out with the help of RevertAid cDNA synthesis kit (Fermentas). Expression
analysis was performed by quantitative RT-PCR. Cell proliferation was examined by
CellTiter 96 aqueous one cell proliferation assay kit (Promega) as per
manufacturer's guidelines. Apoptosis was detected by DAPI and Annexin V/PI
staining. Cell migration was assessed by wound healing assay. MicroRNA-383 target
was delimited by TargetScan software. Protein expression analysis was evaluated
by western blotting. RESULTS Our results revealed that miR-21 was significantly
upregulated in liver cancer cells. However, downregulation of miR-21 inhibited
cancer cell proliferation, promoted apoptosis, inhibited cell migration, and
triggered cell cycle arrest in KYN-2 liver cancer cells. Additionally, in silico
analysis revealed PTEN to be the downstream target of miR-21, which was further
confirmed by expression analysis through western blotting. CONCLUSIONS Our
results reveal that miR-21 might prove to be an important target for the
management of liver cancer.
PMID- 29807979
TI - Impact of Preoperative Abdominal Visceral Adipose Tissue Area and Nutritional
Status on Renal Function After Donor Nephrectomy in Japanese Living Donors for
Renal Transplantation.
AB - BACKGROUND Living kidney donors face the risk of renal dysfunction, resulting in
end-stage renal disease, cardiovascular disease, or cerebrovascular disease,
after donor nephrectomy. Reducing this risk is important to increasing survival
of living donors. In this study, we investigated the effect of preoperative
distribution of abdominal adipose tissue and nutritional status on postoperative
renal function in living donors. MATERIAL AND METHODS Seventy-five living donors
were enrolled in this retrospective study. Preoperative unenhanced computed
tomography images were used to measure abdominal adipose tissue parameters.
Prognostic nutritional index (PNI) was used to assess preoperative nutritional
status. Donors were divided into 2 groups according to abdominal visceral adipose
tissue (VAT) area at the level of the fourth and fifth lumbar vertebrae (<80 or
>=80 cm2). Postoperative renal function was compared in the 2 groups, and
prognostic factors for development of chronic kidney disease (CKD) G3b were
identified using multivariate analysis. RESULTS Donors with a VAT area >=80
significantly more often had hypertension preoperatively. Although there was no
significant difference in preoperative estimated glomerular filtration rate
(eGFR) between the 2 groups, postoperative renal function was significantly
decreased in donors with a VAT area >=80 compared to those with a VAT area <80.
In multivariate analysis, VAT area >=80 and PNI <54 were independent factors
predicting the development of CKD G3b after 12 months. CONCLUSIONS Our findings
suggest that preoperative VAT and PNI affect postoperative renal function.
Further research is required to establish appropriate exercise protocols and
nutritional interventions during follow-up to improve outcomes in living donors.
PMID- 29807980
TI - [Design and validation of a brief questionnaire to assess young's sexual
knowledge].
AB - OBJECTIVE: Only very few instruments have been developed to assess sexual
knowledge and practices. Most of the research to date has been carried out with
adolescent samples, but not with university students, who are also at a
particularly risky stage. The aim of this study was to design and validate a
brief questionnaire to assess young's sexual knowledge, practices and behaviors
to design health education programs in the university context. METHODS: We
created a specific questionnaire about sexual pattern in university adolescents
and a brief questionnaire consisted of 9 items (true/false) about contraception,
sexuality and sexual transmission diseases. We carried out a pilot study,
reliability (KR-20) and validity analyses using factorial analysis and examining
the association with other variables. RESULTS: 566 students from University of
Seville participated during 2015/16. One item was eliminated because of
comprehension (only 13.9% of correct answers) and weak or non significant
associations (p more than 0.05). Finally, the scale was formed by 8 items and had
good internal consistency reliability (KR-20 = 0.57), and both factorial and
external validity reliability. A three-factor model showed good data fit, chi2
(14, N=566)=17.48, p= 0.232, Comparative Fit Index CFI = 0.97, root mean squared
error of prediction RMSEA = 0.02. Participants with less knowledge about
sexuality were whose did not receive any information (M=6.82, SD=1.41), without
partner (M=6.87, SD=1.35), had an abortion (M=6.43, SD=1.95) and did not use any
contraceptive method (M=6.66, SD=0.58) or coitus interruptus (M=6.55, SD=1.39),
and had less sexual relationships, e.g., once or twice a year (M=6.49, SD=1.70).
CONCLUSIONS: This questionnaire is a short instrument to assess students'
practices and knowledge about sexuality and contraception. The analyses of
reliability and validity have shown the good psychometric properties of this
instrument.
PMID- 29807981
TI - A high-fat diet stimulates fibroblast growth factor 23 formation in mice through
TNFalpha upregulation.
AB - BACKGROUND/OBJECTIVES: Bone-derived fibroblast growth factor 23 (FGF23) is a
hormone that suppresses renal phosphate reabsorption and calcitriol (i.e.,
1,25(OH)2D3) formation together with its co-receptor Klotho. FGF23- or Klotho
deficient mice suffer from rapid aging with multiple age-associated diseases, at
least in part due to massive calcification. FGF23 is considered as a disease
biomarker since elevated plasma levels are observed early in patients with acute
and chronic disorders including renal, cardiovascular, inflammatory, and
metabolic diseases. An energy-dense diet, which induces sequelae of the metabolic
syndrome in humans and mice at least in part by enhancing pro-inflammatory
TNFalpha formation, has recently been demonstrated to stimulate FGF23 production.
METHODS: We investigated the relevance of TNFalpha for high-fat diet (HFD)
induced FGF23 formation in wild-type (tnf+/+) and TNFalpha-deficient (tnf-/-)
mice. RESULTS: Within 3 weeks, HFD feeding resulted in a strong increase in the
serum FGF23 level in tnf+/+ mice. Moreover, it caused low-grade inflammation as
evident from a surge in hepatic Tnfalpha transcript levels. TNFalpha stimulated
Fgf23 transcription in UMR106 osteoblast-like cells. Serum FGF23 was
significantly lower in tnf-/- mice compared to tnf+/+ mice following HFD. Serum
phosphate and calcitriol were not significantly affected by genotype or diet.
CONCLUSIONS: We show that HFD feeding is a powerful stimulator of murine FGF23
production through TNFalpha formation.
PMID- 29807982
TI - A pathogenesis-related 10 protein catalyzes the final step in thebaine
biosynthesis.
AB - The ultimate step in the formation of thebaine, a pentacyclic opiate alkaloid
readily converted to the narcotic analgesics codeine and morphine in the opium
poppy, has long been presumed to be a spontaneous reaction. We have detected and
purified a novel enzyme from opium poppy latex that is capable of the efficient
formation of thebaine from (7S)-salutaridinol 7-O-acetate at the expense of
labile hydroxylated byproducts, which are preferentially produced by spontaneous
allylic elimination. Remarkably, thebaine synthase (THS), a member of the
pathogenesis-related 10 protein (PR10) superfamily, is encoded within a novel
gene cluster in the opium poppy genome that also includes genes encoding the four
biosynthetic enzymes immediately upstream. THS is a missing component that is
crucial to the development of fermentation-based opiate production and
dramatically improves thebaine yield in engineered yeast.
PMID- 29807983
TI - Mannose-binding lectin and mannose-binding protein-associated serine protease 2
levels and infection in very-low-birth-weight infants.
AB - OBJECTIVE: The aim of this study was to explore the role of the lectin pathway in
neonatal sepsis through the study of MBL and MASP2 levels and their relationship
with infection in a cohort of very-low-birth-weight infants (VLBWI). METHODS: MBL
and MASP2 were measured in plasma samples of n = 89 VLBWI using ELISA and
correlated with clinical parameters. MBL plasma levels were aligned with
genotyping data of mbl2 exon 1 polymorphisms, rs1800450, rs1800451, and
rs5030737. RESULTS: MBL levels were clearly determined by MBL genotype, i.e., AA
individuals had tenfold higher MBL levels than AO individuals. MBL and MASP2
levels did not correlate with gestational age, apart from MASP2 levels on day 7.
During the first 21 days of life, we noted a gradual increase in both MBL and
MASP2 levels. On day 7 of life, MASP2 levels in infants developing late-onset
sepsis measured before the onset of symptoms were found to be lower, as compared
to non-LOS infants. CONCLUSIONS: In our cohort of VLBWI, MBL levels were
genetically determined, but not associated with gestational age or sepsis in the
first 21 days of life. Lower MASP2 levels on day 7 may indicate increased risk
for late-onset infection.
PMID- 29807984
TI - Holstein polaron in a valley-degenerate two-dimensional semiconductor.
AB - Two-dimensional (2D) crystals have emerged as a class of materials with tunable
carrier density1. Carrier doping to 2D semiconductors can be used to modulate
many-body interactions2 and to explore novel composite particles. The Holstein
polaron is a small composite particle of an electron that carries a cloud of self
induced lattice deformation (or phonons)3-5, which has been proposed to play a
key role in high-temperature superconductivity6 and carrier mobility in devices7.
Here we report the discovery of Holstein polarons in a surface-doped layered
semiconductor, MoS2, in which a puzzling 2D superconducting dome with the
critical temperature of 12 K was found recently8-11. Using a high-resolution band
mapping of charge carriers, we found strong band renormalizations collectively
identified as a hitherto unobserved spectral function of Holstein polarons12-18.
The short-range nature of electron-phonon (e-ph) coupling in MoS2 can be
explained by its valley degeneracy, which enables strong intervalley coupling
mediated by acoustic phonons. The coupling strength is found to increase
gradually along the superconducting dome up to the intermediate regime, which
suggests a bipolaronic pairing in the 2D superconductivity.
PMID- 29807985
TI - Spin colossal magnetoresistance in an antiferromagnetic insulator.
AB - Colossal magnetoresistance (CMR) refers to a large change in electrical
conductivity induced by a magnetic field in the vicinity of a metal-insulator
transition and has inspired extensive studies for decades1,2. Here we demonstrate
an analogous spin effect near the Neel temperature, TN = 296 K, of the
antiferromagnetic insulator Cr2O3. Using a yttrium iron garnet YIG/Cr2O3/Pt
trilayer, we injected a spin current from the YIG into the Cr2O3 layer and
collected, via the inverse spin Hall effect, the spin signal transmitted into the
heavy metal Pt. We observed a two orders of magnitude difference in the
transmitted spin current within 14 K of the Neel temperature. This transition
between spin conducting and non-conducting states was also modulated by a
magnetic field in isothermal conditions. This effect, which we term spin colossal
magnetoresistance (SCMR), has the potential to simplify the design of fundamental
spintronics components, for instance, by enabling the realization of spin-current
switches or spin-current-based memories.
PMID- 29807986
TI - Self-assembled highly ordered acid layers in precisely sulfonated polyethylene
produce efficient proton transport.
AB - Recent advances in polymer synthesis have allowed remarkable control over chain
microstructure and conformation. Capitalizing on such developments, here we
create well-controlled chain folding in sulfonated polyethylene, leading to
highly uniform hydrated acid layers of subnanometre thickness with high proton
conductivity. The linear polyethylene contains sulfonic acid groups pendant to
precisely every twenty-first carbon atom that induce tight chain folds to form
the hydrated layers, while the methylene segments crystallize. The proton
conductivity is on par with Nafion 117, the benchmark for fuel cell membranes. We
demonstrate that well-controlled hairpin chain folding can be utilized for proton
conductivity within a crystalline polymer structure, and we project that this
structure could be adapted for ion transport. This layered polyethylene-based
structure is an innovative and versatile design paradigm for functional polymer
membranes, opening doors to efficient and selective transport of other ions and
small molecules on appropriate selection of functional groups.
PMID- 29807988
TI - Recovery of genomes from metagenomes via a dereplication, aggregation and scoring
strategy.
AB - Microbial communities are critical to ecosystem function. A key objective of
metagenomic studies is to analyse organism-specific metabolic pathways and
reconstruct community interaction networks. This requires accurate assignment of
assembled genome fragments to genomes. Existing binning methods often fail to
reconstruct a reasonable number of genomes and report many bins of low quality
and completeness. Furthermore, the performance of existing algorithms varies
between samples and biotopes. Here, we present a dereplication, aggregation and
scoring strategy, DAS Tool, that combines the strengths of a flexible set of
established binning algorithms. DAS Tool applied to a constructed community
generated more accurate bins than any automated method. Indeed, when applied to
environmental and host-associated samples of different complexity, DAS Tool
recovered substantially more near-complete genomes, including previously
unreported lineages, than any single binning method alone. The ability to
reconstruct many near-complete genomes from metagenomics data will greatly
advance genome-centric analyses of ecosystems.
PMID- 29807987
TI - Significant Dzyaloshinskii-Moriya interaction at graphene-ferromagnet interfaces
due to the Rashba effect.
AB - The possibility of utilizing the rich spin-dependent properties of graphene has
attracted much attention in the pursuit of spintronics advances. The promise of
high-speed and low-energy-consumption devices motivates the search for layered
structures that stabilize chiral spin textures such as topologically protected
skyrmions. Here we demonstrate that chiral spin textures are induced at
graphene/ferromagnetic metal interfaces. Graphene is a weak spin-orbit coupling
material and is generally not expected to induce a sufficient Dzyaloshinskii
Moriya interaction to affect magnetic chirality. We demonstrate that indeed
graphene does induce a type of Dzyaloshinskii-Moriya interaction due to the
Rashba effect. First-principles calculations and experiments using spin-polarized
electron microscopy show that this graphene-induced Dzyaloshinskii-Moriya
interaction can have a similar magnitude to that at interfaces with heavy metals.
This work paves a path towards two-dimensional-material-based spin-orbitronics.
PMID- 29807990
TI - Metabolic signaling functions of the heme oxygenase/CO system in metabolic
diseases.
PMID- 29807989
TI - Mutually orthogonal pyrrolysyl-tRNA synthetase/tRNA pairs.
AB - Genetically encoding distinct non-canonical amino acids (ncAAs) into proteins
synthesized in cells requires mutually orthogonal aminoacyl-tRNA synthetase
(aaRS)/tRNA pairs. The pyrrolysyl-tRNA synthetase/PyltRNA pair from
Methanosarcina mazei (Mm) has been engineered to incorporate diverse ncAAs and is
commonly considered an ideal pair for genetic code expansion. However, finding
new aaRS/tRNA pairs that share the advantages of the MmPylRS/MmPyltRNA pair and
are orthogonal to both endogenous aaRS/tRNA pairs and the MmPylRS/MmPyltRNA pair
has proved challenging. Here we demonstrate that several DeltaNPylRS/PyltRNACUA
pairs, in which PylRS lacks an N-terminal domain, are active, orthogonal and
efficiently incorporate ncAAs in Escherichia coli. We create new PylRS/PyltRNA
pairs that are mutually orthogonal to the MmPylRS/MmPyltRNA pair and show that
transplanting mutations that reprogram the ncAA specificity of MmPylRS into the
new PylRS reprograms its substrate specificity. Finally, we show that distinct
PylRS/PyltRNA-derived pairs can function in the same cell, decode distinct codons
and incorporate distinct ncAAs.
PMID- 29807992
TI - Theropods on top.
PMID- 29807991
TI - Preliminary esophageal microbiome studies prompt important scientific questions.
AB - Analysis of the esophageal microbiome remains a new field of research. Two
hypothesis-generating papers published in the current issue of the Journal go
beyond characterizing the esophageal microbiome in Barrett's esophagus or
eosinophilic esophagitis (EoE). Snider et al. suggest that the salivary
microbiome can be used as a screening tool for Barrett's esophagus, and Arias et
al. demonstrates abnormal expression of Toll-like receptors and innate immune
effector proteins in patients with active EoE. We discuss these findings, raise
fundamental questions about microbiome studies, and offer ideas for future
studies.
PMID- 29807994
TI - Division of labour and the evolution of extreme specialization.
AB - Division of labour is a common feature of social groups, from biofilms to complex
animal societies. However, we lack a theoretical framework that can explain why
division of labour has evolved on certain branches of the tree of life but not
others. Here, we model the division of labour over a cooperative behaviour,
considering both when it should evolve and the extent to which the different
types should become specialized. We found that: (1) division of labour is usually
but not always-favoured by high efficiency benefits to specialization and low
within-group conflict; and (2) natural selection favours extreme specialization,
where some individuals are completely dependent on the helping behaviour of
others. We make a number of predictions, several of which are supported by the
existing empirical data, from microbes and animals, while others suggest novel
directions for empirical work. More generally, we show how division of labour can
lead to mutual dependence between different individuals and hence drive major
evolutionary transitions, such as those to multicellularity and eusociality.
PMID- 29807993
TI - An epigenetic mechanism for cavefish eye degeneration.
AB - Coding and non-coding mutations in DNA contribute significantly to phenotypic
variability during evolution. However, less is known about the role of
epigenetics in this process. Although previous studies have identified eye
development genes associated with the loss-of-eyes phenotype in the Pachon blind
cave morph of the Mexican tetra Astyanax mexicanus, no inactivating mutations
have been found in any of these genes. Here, we show that excess DNA methylation
based epigenetic silencing promotes eye degeneration in blind cave A. mexicanus.
By performing parallel analyses in A. mexicanus cave and surface morphs, and in
the zebrafish Danio rerio, we have discovered that DNA methylation mediates eye
specific gene repression and globally regulates early eye development. The most
significantly hypermethylated and downregulated genes in the cave morph are also
linked to human eye disorders, suggesting that the function of these genes is
conserved across vertebrates. Our results show that changes in DNA methylation
based gene repression can serve as an important molecular mechanism generating
phenotypic diversity during development and evolution.
PMID- 29807996
TI - A bacteriophage enzyme induces bacterial metabolic perturbation that confers a
novel promiscuous function.
AB - One key concept in the evolution of new functions is the ability of enzymes to
perform promiscuous side-reactions that serve as a source of novelty that may
become beneficial under certain conditions. Here, we identify a mechanism where a
bacteriophage-encoded enzyme introduces novelty by inducing expression of a
promiscuous bacterial enzyme. By screening for bacteriophage DNA that rescued an
auxotrophic Escherichia coli mutant carrying a deletion of the ilvA gene, we show
that bacteriophage-encoded S-adenosylmethionine (SAM) hydrolases reduce SAM
levels. Through this perturbation of bacterial metabolism, expression of the
promiscuous bacterial enzyme MetB is increased, which in turn complements the
absence of IlvA. These results demonstrate how foreign DNA can increase the
metabolic capacity of bacteria, not only by transfer of bona fide new genes, but
also by bringing cryptic bacterial functions to light via perturbations of
cellular physiology.
PMID- 29807997
TI - Fixing tropical forests.
PMID- 29807995
TI - Legume abundance along successional and rainfall gradients in Neotropical
forests.
AB - The nutrient demands of regrowing tropical forests are partly satisfied by
nitrogen-fixing legume trees, but our understanding of the abundance of those
species is biased towards wet tropical regions. Here we show how the abundance of
Leguminosae is affected by both recovery from disturbance and large-scale
rainfall gradients through a synthesis of forest inventory plots from a network
of 42 Neotropical forest chronosequences. During the first three decades of
natural forest regeneration, legume basal area is twice as high in dry compared
with wet secondary forests. The tremendous ecological success of legumes in
recently disturbed, water-limited forests is likely to be related to both their
reduced leaflet size and ability to fix N2, which together enhance legume drought
tolerance and water-use efficiency. Earth system models should incorporate these
large-scale successional and climatic patterns of legume dominance to provide
more accurate estimates of the maximum potential for natural nitrogen fixation
across tropical forests.
PMID- 29807998
TI - Did two booster doses for schoolchildren change the epidemiology of pertussis in
Israel?
AB - Pertussis is the only vaccine-preventable disease that has re-emerged in Israel.
In the last two decades, despite high primary immunization coverage, crude
incidence increased over tenfold, with especially high morbidity among infants
and adolescents and with 19 infant deaths. Two pertussis vaccine boosters were
added, in 2005 for 7-year-olds and in 2011 for 13-year-olds. We reviewed age
group incidence from 1999 to 2016, before and after the booster program
introduction. We compared three groups of 13-15 year-olds with identical primary
immunization but different booster immunization histories. Vaccine effectiveness
was calculated before and after adjustment for specific incidence in those aged
65 and over. Two years after one booster, adjusted vaccine effectiveness was
74.5%. Two years after two boosters, adjusted vaccine effectiveness was 91.8%.
However, crude morbidity rates were not reduced. The booster program has been
effective only among recipient groups. The program will be continued. Israel is
now encouraging pregnant women to be vaccinated against pertussis to improve
protection of infants.
PMID- 29808000
TI - Structural basis for signal recognition and transduction by platelet-activating
factor receptor.
AB - Platelet-activating-factor receptor (PAFR) responds to platelet-activating factor
(PAF), a phospholipid mediator of cell-to-cell communication that exhibits
diverse physiological effects. PAFR is considered an important drug target for
treating asthma, inflammation and cardiovascular diseases. Here we report crystal
structures of human PAFR in complex with the antagonist SR 27417 and the inverse
agonist ABT-491 at 2.8-A and 2.9-A resolution, respectively. The structures,
supported by molecular docking of PAF, provide insights into the signal
recognition mechanisms of PAFR. The PAFR-SR 27417 structure reveals an unusual
conformation showing that the intracellular tips of helices II and IV shift
outward by 13 A and 4 A, respectively, and helix VIII adopts an inward
conformation. The PAFR structures, combined with single-molecule FRET and cell
based functional assays, suggest that the conformational change in the helical
bundle is ligand dependent and plays a critical role in PAFR activation, thus
greatly extending knowledge about signaling by G-protein-coupled receptors.
PMID- 29808001
TI - DNA scaffolds support stable and uniform peptide nanopores.
AB - The assembly of peptides into membrane-spanning nanopores might be promoted by
scaffolds to pre-organize the structures. Such scaffolds could enable the
construction of uniform pores of various sizes and pores with controlled
permutations around a central axis. Here, we show that DNA nanostructures can
serve as scaffolds to arrange peptides derived from the octameric polysaccharide
transporter Wza to form uniform nanopores in planar lipid bilayers. Our ring
shaped DNA scaffold is assembled from short synthetic oligonucleotides that are
connected to Wza peptides through flexible linkers. When scaffolded, the Wza
peptides form conducting nanopores of which only octamers are stable and of
uniform conductance. Removal of the DNA scaffold by cleavage of the linkers leads
to a rapid loss of the nanopores from the lipid bilayer, which shows that the
scaffold is essential for their stability. The DNA scaffold also adds
functionality to the nanopores by enabling reversible and permanent binding of
complementary tagged oligonucleotides near the nanopore entrance.
PMID- 29807999
TI - RPA and RAD51: fork reversal, fork protection, and genome stability.
AB - Replication protein A (RPA) and RAD51 are DNA-binding proteins that help maintain
genome stability during DNA replication. These proteins regulate nucleases,
helicases, DNA translocases, and signaling proteins to control replication,
repair, recombination, and the DNA damage response. Their different DNA-binding
mechanisms, enzymatic activities, and binding partners provide unique
functionalities that cooperate to ensure that the appropriate activities are
deployed at the right time to overcome replication challenges. Here we review and
discuss the latest discoveries of the mechanisms by which these proteins work to
preserve genome stability, with a focus on their actions in fork reversal and
fork protection.
PMID- 29808004
TI - Modeling cytokine release syndrome.
PMID- 29808003
TI - Preeclampsia predicts higher incidence of bronchopulmonary dysplasia.
AB - INTRODUCTION: It is not known whether very preterm infants born to preeclamptic
women have worse outcomes than those delivered preterm for other causes.
OBJECTIVE: We assessed the association between preeclampsia (PE) and the neonatal
morbidity and mortality of very preterm infants. METHODS: Over 2015 and 2016, 11
collaborating Portuguese level III NICUs prospectively enrolled a cohort of
mothers with or without PE who delivered liveborn premature infants between 24
and 30 completed weeks of gestation. Data on neonatal morbidities were collected
and their association to PE was assessed. RESULTS: The final cohort consisted of
410 mothers who delivered 494 preterm infants. Infants from PE mothers weighed
less than those of non-PE mothers (819 +/- 207 g vs. 989 +/- 256 g, p < 0.0001).
Incidences of respiratory distress syndrome, patent ductus arteriosus, early and
nosocomial sepsis, necrotizing enterocolitis, pneumonia, meningitis, retinopathy
of prematurity, intraventricular hemorrhage, periventricular infarction,
periventricular leukomalacia, and mortality did not differ significantly between
infants of PE or non-PE mothers. Incidence of bronchopulmonary dysplasia (BPD
defined as oxygen dependency at 36 weeks) was higher in PE infants compared with
non-PE infants by both univariate and multivariate logistic regression (p =
0.007). CONCLUSION: We conclude that, when controlling for gestational age,
maternal PE results in higher incidence of only BPD among preterm Portuguese
infants.
PMID- 29808005
TI - CAR T cell-induced cytokine release syndrome is mediated by macrophages and
abated by IL-1 blockade.
AB - Chimeric antigen receptor (CAR) therapy targeting CD19 is an effective treatment
for refractory B cell malignancies, especially acute lymphoblastic leukemia (ALL)
1 . Although a majority of patients will achieve a complete response following a
single infusion of CD19-targeted CAR-modified T cells (CD19 CAR T cells)2-4, the
broad applicability of this treatment is hampered by severe cytokine release
syndrome (CRS), which is characterized by fever, hypotension and respiratory
insufficiency associated with elevated serum cytokines, including interleukin-6
(IL-6)2,5. CRS usually occurs within days of T cell infusion at the peak of CAR T
cell expansion. In ALL, it is most frequent and more severe in patients with high
tumor burden2-4. CRS may respond to IL-6 receptor blockade but can require
further treatment with high dose corticosteroids to curb potentially lethal
severity2-9. Improved therapeutic and preventive treatments require a better
understanding of CRS physiopathology, which has so far remained elusive. Here we
report a murine model of CRS that develops within 2-3 d of CAR T cell infusion
and that is potentially lethal and responsive to IL-6 receptor blockade. We show
that its severity is mediated not by CAR T cell-derived cytokines, but by IL-6,
IL-1 and nitric oxide (NO) produced by recipient macrophages, which enables new
therapeutic interventions.
PMID- 29808002
TI - Accuracy of the Bayley-II mental development index at 2 years as a predictor of
cognitive impairment at school age among children born extremely preterm.
AB - OBJECTIVE: To describe the accuracy of the Bayley Scales of Infant Development
Second Edition (BSID-II) Mental Development Index (MDI) at 2 years of age for
prediction of cognitive function at school age of children born extremely
preterm. DESIGN: Study participants were enrolled in the Extremely Low
Gestational Age Newborn Study between 2002 and 2004. Two-thirds of surviving
children (n = 795) were assessed at 2 years with the BSID-II and at 10 years with
an intelligence quotient (IQ) test. We computed test characteristics for a low
MDI (<70), including predictive value positive. RESULTS: Almost two-thirds of
children with a low MDI had a normal IQ (>= 70) at 10 years. Concordance between
MDI and IQ was highest among children with major motor and/or sensory impairment,
and when MDI was adjusted for gestational age. CONCLUSION: Most children born
extremely preterm with low BSID-II MDI at 2 years have normal intelligence at
school age.
PMID- 29808006
TI - SHP2 is required for growth of KRAS-mutant non-small-cell lung cancer in vivo.
AB - RAS mutations are frequent in human cancer, especially in pancreatic, colorectal
and non-small-cell lung cancers (NSCLCs)1-3. Inhibition of the RAS oncoproteins
has proven difficult4, and attempts to target downstream effectors5-7 have been
hampered by the activation of compensatory resistance mechanisms8. It is also
well established that KRAS-mutant tumors are insensitive to inhibition of
upstream growth factor receptor signaling. Thus, epidermal growth factor receptor
antibody therapy is only effective in KRAS wild-type colon cancers9,10.
Consistently, inhibition of SHP2 (also known as PTPN11), which links receptor
tyrosine kinase signaling to the RAS-RAF-MEK-ERK pathway11,12, was shown to be
ineffective in KRAS-mutant or BRAF-mutant cancer cell lines13. Our data also
indicate that SHP2 inhibition in KRAS-mutant NSCLC cells under normal cell
culture conditions has little effect. By contrast, SHP2 inhibition under growth
factor-limiting conditions in vitro results in a senescence response. In vivo,
inhibition of SHP2 in KRAS-mutant NSCLC also provokes a senescence response,
which is exacerbated by MEK inhibition. Our data identify SHP2 inhibition as an
unexpected vulnerability of KRAS-mutant NSCLC cells that remains undetected in
cell culture and can be exploited therapeutically.
PMID- 29808007
TI - Monocyte-derived IL-1 and IL-6 are differentially required for cytokine-release
syndrome and neurotoxicity due to CAR T cells.
AB - In the clinic, chimeric antigen receptor-modified T (CAR T) cell therapy is
frequently associated with life-threatening cytokine-release syndrome (CRS) and
neurotoxicity. Understanding the nature of these pathologies and developing
treatments for them are hampered by the lack of appropriate animal models.
Herein, we describe a mouse model recapitulating key features of CRS and
neurotoxicity. In humanized mice with high leukemia burden, CAR T cell-mediated
clearance of cancer triggered high fever and elevated IL-6 levels, which are
hallmarks of CRS. Human monocytes were the major source of IL-1 and IL-6 during
CRS. Accordingly, the syndrome was prevented by monocyte depletion or by blocking
IL-6 receptor with tocilizumab. Nonetheless, tocilizumab failed to protect mice
from delayed lethal neurotoxicity, characterized by meningeal inflammation.
Instead, the IL-1 receptor antagonist anakinra abolished both CRS and
neurotoxicity, resulting in substantially extended leukemia-free survival. These
findings offer a therapeutic strategy to tackle neurotoxicity and open new
avenues to safer CAR T cell therapies.
PMID- 29808008
TI - Convergence of placenta biology and genetic risk for schizophrenia.
AB - Defining the environmental context in which genes enhance disease susceptibility
can provide insight into the pathogenesis of complex disorders. We report that
the intra-uterine environment modulates the association of schizophrenia with
genomic risk (in this study, genome-wide association study-derived polygenic risk
scores (PRSs)). In independent samples from the United States, Italy, and
Germany, the liability of schizophrenia explained by PRS is more than five times
greater in the presence of early-life complications (ELCs) compared with their
absence. Patients with ELC histories have significantly higher PRS than patients
without ELC histories, which is confirmed in additional samples from Germany and
Japan. The gene set composed of schizophrenia loci that interact with ELCs is
highly expressed in placenta, is differentially expressed in placentae from
complicated in comparison with normal pregnancies, and is differentially
upregulated in placentae from male compared with female offspring. Pathway
analyses reveal that genes driving the PRS-ELC interaction are involved in
cellular stress response; genes that do not drive such interaction implicate
orthogonal biological processes (for example, synaptic function). We conclude
that a subset of the most significant genetic variants associated with
schizophrenia converge on a developmental trajectory sensitive to events that
affect the placental response to stress, which may offer insights into sex biases
and primary prevention.
PMID- 29808009
TI - Mutant KRAS-driven cancers depend on PTPN11/SHP2 phosphatase.
AB - The ubiquitously expressed non-receptor protein tyrosine phosphatase SHP2,
encoded by PTPN11, is involved in signal transduction downstream of multiple
growth factor, cytokine and integrin receptors1. Its requirement for complete RAS
MAPK activation and its role as a negative regulator of JAK-STAT signaling have
established SHP2 as an essential player in oncogenic signaling pathways1-7.
Recently, a novel potent allosteric SHP2 inhibitor was presented as a viable
therapeutic option for receptor tyrosine kinase-driven cancers, but was shown to
be ineffective in KRAS-mutant tumor cell lines in vitro8. Here, we report a
central and indispensable role for SHP2 in oncogenic KRAS-driven tumors. Genetic
deletion of Ptpn11 profoundly inhibited tumor development in mutant KRAS-driven
murine models of pancreatic ductal adenocarcinoma and non-small-cell lung cancer.
We provide evidence for a critical dependence of mutant KRAS on SHP2 during
carcinogenesis. Deletion or inhibition of SHP2 in established tumors delayed
tumor progression but was not sufficient to achieve tumor regression. However,
SHP2 was necessary for resistance mechanisms upon blockade of MEK. Synergy was
observed when both SHP2 and MEK were targeted, resulting in sustained tumor
growth control in murine and human patient-derived organoids and xenograft models
of pancreatic ductal adenocarcinoma and non-small-cell lung cancer. Our data
indicate the clinical utility of dual SHP2/MEK inhibition as a targeted therapy
approach for KRAS-mutant cancers.
PMID- 29808011
TI - Impairment in delay discounting in schizophrenia and schizoaffective disorder but
not primary mood disorders.
AB - A measure of planning and impulse control, the delay-discounting (DD) task
estimates the extent to which an individual decreases the perceived value of a
reward as the reward is delayed. We examined cross-disorder performance between
healthy controls (n = 88), individuals with bipolar disorder (n = 23), major
depressive disorder (n = 43), and primary psychotic disorders (schizophrenia and
schizoaffective disorder; n = 51) on the DD task (using a $10 delayed larger
reward), as well as the interaction of DD scores with other symptom domains
(cognition, psychosis, and affect). We found that individuals with schizophrenia
and schizoaffective disorder display significantly greater rates of discounting
compared to healthy controls, while individuals with a primary mood disorder do
not differ from healthy controls after adjustment for IQ. Further, impairment in
working memory is associated with higher discounting rates among individuals with
schizophrenia and schizoaffective disorder, but cognitive dysfunction alone does
not account for the extent of impairment in DD. Taken together, these results
suggest an impaired ability to plan for the future and make adaptive decisions
that are specific to individuals with psychotic disorders, and likely related to
adverse functional outcomes. More generally, this work demonstrates the presence
of variation in impulsivity across major psychiatric illnesses, supporting the
use of a trans-diagnostic perspective.
PMID- 29808010
TI - Targeting wild-type KRAS-amplified gastroesophageal cancer through combined MEK
and SHP2 inhibition.
AB - The role of KRAS, when activated through canonical mutations, has been well
established in cancer1. Here we explore a secondary means of KRAS activation in
cancer: focal high-level amplification of the KRAS gene in the absence of coding
mutations. These amplifications occur most commonly in esophageal, gastric and
ovarian adenocarcinomas2-4. KRAS-amplified gastric cancer models show marked
overexpression of the KRAS protein and are insensitive to MAPK blockade owing to
their capacity to adaptively respond by rapidly increasing KRAS-GTP levels. Here
we demonstrate that inhibition of the guanine-exchange factors SOS1 and SOS2 or
the protein tyrosine phosphatase SHP2 can attenuate this adaptive process and
that targeting these factors, both genetically and pharmacologically, can enhance
the sensitivity of KRAS-amplified models to MEK inhibition in both in vitro and
in vivo settings. These data demonstrate the relevance of copy-number
amplification as a mechanism of KRAS activation, and uncover the therapeutic
potential for targeting of these tumors through combined SHP2 and MEK inhibition.
PMID- 29808013
TI - Proximity to overhead power lines and childhood leukaemia: an international
pooled analysis.
AB - BACKGROUND: Although studies have consistently found an association between
childhood leukaemia risk and magnetic fields, the associations between childhood
leukaemia and distance to overhead power lines have been inconsistent. We pooled
data from multiple studies to assess the association with distance and evaluate
whether it is due to magnetic fields or other factors associated with distance
from lines. METHODS: We present a pooled analysis combining individual-level data
(29,049 cases and 68,231 controls) from 11 record-based studies. RESULTS: There
was no material association between childhood leukaemia and distance to nearest
overhead power line of any voltage. Among children living < 50 m from 200 + kV
power lines, the adjusted odds ratio for childhood leukaemia was 1.33 (95% CI:
0.92-1.93). The odds ratio was higher among children diagnosed before age 5
years. There was no association with calculated magnetic fields. Odds ratios
remained unchanged with adjustment for potential confounders. CONCLUSIONS: In
this first comprehensive pooled analysis of childhood leukaemia and distance to
power lines, we found a small and imprecise risk for residences < 50 m of 200 +
kV lines that was not explained by high magnetic fields. Reasons for the
increased risk, found in this and many other studies, remains to be elucidated.
PMID- 29808012
TI - Switching off IMMP2L signaling drives senescence via simultaneous metabolic
alteration and blockage of cell death.
AB - Cellular senescence is a fundamental cell fate playing a significant role
throughout the natural aging process. However, the molecular determinants
distinguishing senescence from other cell-cycle arrest states such as quiescence
and post-mitotic state, and the specified mechanisms underlying cell-fate
decisions towards senescence versus cell death in response to cellular stress
stimuli remain less understood. Employing multi-omics approaches, we revealed
that switching off the specific mitochondrial processing machinery involving the
peptidase IMMP2L serves as the foundation of the senescence program, which was
also observed during the mammalian aging process. Mechanistically, we demonstrate
that IMMP2L processes and thus activates at least two substrates, mitochondrial
metabolic enzyme glycerol-3-phosphate dehydrogenase (GPD2) and cell death
regulator apoptosis-inducing factor (AIF). For cells destined to senesce,
concerted shutdown of the IMMP2L-GPD2 and IMMP2L-AIF signaling axes
collaboratively drives the senescent process by reprogramming mitochondria
associated redox status, phospholipid metabolism and signaling network, and
simultaneously blocking cell death under oxidative stress conditions.
PMID- 29808015
TI - Checkpoint blockade in the treatment of breast cancer: current status and future
directions.
AB - There is now accumulating evidence that the host immune system plays an important
role in influencing response to treatment and prognosis in breast cancer.
Immunotherapy with immune checkpoint inhibitors is a promising and rapidly
growing field of interest in many solid tumours, including breast cancer. Trials
to date have largely focused on metastatic triple-negative disease, a genomically
unstable subtype of breast cancer that is believed to be the most immunogenic and
following the development of treatment resistance, has limited treatment options
and a particularly poor prognosis. Both checkpoint inhibitor monotherapy and
combinations with chemotherapy are being investigated. In this review, we discuss
the current evidence for PD-1/PD-L1 blockade in metastatic triple-negative breast
cancer (TNBC), HER2+ breast cancer and ER+ disease, as well as the emerging
evidence for use in the early-stage (neoadjuvant) setting. We also propose
potential ways of improving responses to checkpoint blockade in breast cancer.
PMID- 29808014
TI - Alpha-fetoprotein kinetics in patients with hepatocellular carcinoma receiving
ramucirumab or placebo: an analysis of the phase 3 REACH study.
AB - BACKGROUND: Post-hoc analyses of AFP response and progression and their
relationship with objective measures of response and survival were performed in
patients from REACH. METHODS: Serum AFP was measured at baseline and every 3
cycles (2 weeks/cycle). Associations between AFP and radiographic progression and
efficacy end points were analysed. RESULTS: Median percent AFP increase from
baseline was smaller in the ramucirumab than in the placebo arm throughout
treatment. Time to AFP progression (HR 0.621; P < 0.0001) and to radiographic
progression (HR 0.613; P < 0.0001) favoured ramucirumab. Association between AFP
and radiographic progression was shown at 6 (OR 6.44, 95% CI 4.03, 10.29; P <
0.0001) and 12 weeks (OR 2.28, 95% CI 1.47, 3.53; P = 0.0002). AFP response was
higher with ramucirumab compared with placebo (P < 0.0001). More patients in the
ramucirumab arm experienced tumour shrinkage and AFP response compared with
placebo. Survival was longer in patients with AFP response (13.6 months) than in
patients without (6.2 months), irrespective of treatment (HR 0.457, P < 0.0001).
CONCLUSIONS: Treatment with ramucirumab prolonged time to AFP progression, slowed
AFP increase and was more likely to induce AFP response. Similar benefits in
radiographic progression and response correlated with AFP changes.
PMID- 29808016
TI - Author Correction: Chemotherapy with radiotherapy influences time-to-development
of radiation-induced sarcomas: a multicenter study.
AB - Since the publication of this paper, the authors noticed an error in Fig. 1. The
X-axis on all the figure panels should read 'Time (years)', not 'Time (months)'.
The corrected Fig. 1 is shown below.
PMID- 29808018
TI - Nanoparticles for super-resolution microscopy and single-molecule tracking.
AB - We review the use of luminescent nanoparticles in super-resolution imaging and
single-molecule tracking, and showcase novel approaches to super-resolution
imaging that leverage the brightness, stability, and unique optical-switching
properties of these nanoparticles. We also discuss the challenges associated with
their use in biological systems, including intracellular delivery and molecular
targeting. In doing so, we hope to provide practical guidance for biologists and
continue to bridge the fields of super-resolution imaging and nanoparticle
engineering to support their mutual advancement.
PMID- 29808017
TI - High-serum MMP-8 levels are associated with decreased survival and systemic
inflammation in colorectal cancer.
AB - BACKGROUND: Matrix metalloproteinase-8 (MMP-8) is a protease mainly expressed by
neutrophils that cleaves numerous substrates, including collagens and cytokines.
We have previously shown that serum MMP-8 levels increase in colorectal cancer
(CRC) and correlate with distant metastasis. However, short follow-up in our
prospective cohort did not enable survival analyses at the time of the first
publication. METHODS: Preoperative serum MMP-8 levels were measured by
immunofluorometric assay in 271 CRC patients and related to clinicopathological
parameters, markers of systemic inflammation (modified Glasgow Prognostic Score,
mGPS; serum levels of C-reactive protein (CRP), albumin and 13 cytokines), the
density of six types of tumour-infiltrating immune cells and survival. RESULTS:
Increased MMP-8 levels associated with higher mGPS and higher serum levels of CRP
and several cytokines, including IL-1ra, IL-7 and IL-8 (p < 0.001 for all). Serum
MMP-8 negatively correlated with tumour-infiltrating mast cells (invasive margin:
p = 0.005, tumour centre: p = 0.010). The patients with high-serum MMP-8 levels
(>100 ng/mL) had poor cancer-specific survival, independent of tumour stage,
grade, lymphatic invasion, patient age, BRAF VE1 immunohistochemistry, mismatch
repair deficiency, Immunoscore and mGPS (multivariate HR 2.12, 95% CI 1.21-3.71,
p = 0.009). CONCLUSIONS: High-serum MMP-8 levels are associated with systemic
inflammation and adverse outcome in CRC.
PMID- 29808020
TI - A parental tug-of-war.
PMID- 29808021
TI - Optimise wheat A-genome.
PMID- 29808019
TI - Paternally expressed imprinted genes associate with hybridization barriers in
Capsella.
AB - Hybrid seed lethality is a widespread type of reproductive barrier among
angiosperm taxa1,2 that contributes to species divergence by preventing gene flow
between natural populations3,4. Besides its ecological importance, it is an
important obstacle to plant breeding strategies 5 . Hybrid seed lethality is
mostly due to a failure of the nourishing endosperm tissue, resulting in embryo
arrest3,6,7. The cause of this failure is a parental dosage imbalance in the
endosperm that can be a consequence of either differences in parental ploidy
levels or differences in the 'effective ploidy', also known as the endosperm
balance number (EBN)8,9. Hybrid seed defects exhibit a parent-of-origin
pattern3,6,7, suggesting that differences in number or expression strength of
parent-of-origin-specific imprinted genes underpin, as the primary or the
secondary cause, the molecular basis of the EBN7,10. Here, we have tested this
concept in the genus Capsella and show that the effective ploidy of three
Capsella species correlates with the number and expression level of paternally
expressed genes (PEGs). Importantly, the number of PEGs and the effective ploidy
decrease with the selfing history of a species: the obligate outbreeder Capsella
grandiflora had the highest effective ploidy, followed by the recent selfer
Capsella rubella and the ancient selfer Capsella orientalis. PEGs were associated
with the presence of transposable elements and their silencing mark, DNA
methylation in CHH context (where H denotes any base except C). This suggests
that transposable elements have driven the imprintome divergence between Capsella
species. Together, we propose that variation in transposable element insertions,
the resulting differences in PEG number and divergence in their expression level
form one component of the effective ploidy variation between species of different
breeding system histories, and, as a consequence, allow the establishment of
endosperm-based hybridization barriers.
PMID- 29808022
TI - The stigma of death.
PMID- 29808024
TI - A new twist in SA signalling.
PMID- 29808023
TI - KIRA1 and ORESARA1 terminate flower receptivity by promoting cell death in the
stigma of Arabidopsis.
AB - Flowers have a species-specific functional life span that determines the time
window in which pollination, fertilization and seed set can occur. The stigma
tissue plays a key role in flower receptivity by intercepting pollen and
initiating pollen tube growth toward the ovary. In this article, we show that a
developmentally controlled cell death programme terminates the functional life
span of stigma cells in Arabidopsis. We identified the leaf senescence regulator
ORESARA1 (also known as ANAC092) and the previously uncharacterized KIRA1 (also
known as ANAC074) as partially redundant transcription factors that modulate
stigma longevity by controlling the expression of programmed cell death
associated genes. KIRA1 expression is sufficient to induce cell death and
terminate floral receptivity, whereas lack of both KIRA1 and ORESARA1
substantially increases stigma life span. Surprisingly, the extension of stigma
longevity is accompanied by only a moderate extension of flower receptivity,
suggesting that additional processes participate in the control of the flower's
receptive life span.
PMID- 29808025
TI - Emergence of vascular plants.
PMID- 29808026
TI - Translational control of phloem development by RNA G-quadruplex-JULGI determines
plant sink strength.
AB - The emergence of a plant vascular system was a prerequisite for the colonization
of land; however, it is unclear how the photosynthate transporting system was
established during plant evolution. Here, we identify a novel translational
regulatory module for phloem development involving the zinc-finger protein JULGI
(JUL) and its targets, the 5' untranslated regions (UTRs) of the SUPPRESSOR OF
MAX2 1-LIKE4/5 (SMXL4/5) mRNAs, which is exclusively conserved in vascular
plants. JUL directly binds and induces an RNA G-quadruplex in the 5' UTR of
SMXL4/5, which are key promoters of phloem differentiation. We show that RNA G
quadruplex formation suppresses SMXL4/5 translation and restricts phloem
differentiation. In turn, JUL deficiency promotes phloem formation and strikingly
increases sink strength per seed. We propose that the translational regulation by
the JUL/5' UTR G-quadruplex module is a major determinant of phloem
establishment, thereby determining carbon allocation to sink tissues, and that
this mechanism was a key invention during the emergence of vascular plants.
PMID- 29808029
TI - Quantification of subclonal selection in cancer from bulk sequencing data.
AB - Subclonal architectures are prevalent across cancer types. However, the temporal
evolutionary dynamics that produce tumor subclones remain unknown. Here we
measure clone dynamics in human cancers by using computational modeling of
subclonal selection and theoretical population genetics applied to high
throughput sequencing data. Our method determined the detectable subclonal
architecture of tumor samples and simultaneously measured the selective advantage
and time of appearance of each subclone. We demonstrate the accuracy of our
approach and the extent to which evolutionary dynamics are recorded in the
genome. Application of our method to high-depth sequencing data from breast,
gastric, blood, colon and lung cancer samples, as well as metastatic deposits,
showed that detectable subclones under selection, when present, consistently
emerged early during tumor growth and had a large fitness advantage (>20%). Our
quantitative framework provides new insight into the evolutionary trajectories of
human cancers and facilitates predictive measurements in individual tumors from
widely available sequencing data.
PMID- 29808031
TI - MTF2 recruits Polycomb Repressive Complex 2 by helical-shape-selective DNA
binding.
AB - ABSTACT: Polycomb-mediated repression of gene expression is essential for
development, with a pivotal role played by trimethylation of histone H3 lysine 27
(H3K27me3), which is deposited by Polycomb Repressive Complex 2 (PRC2). The
mechanism by which PRC2 is recruited to target genes has remained largely
elusive, particularly in vertebrates. Here we demonstrate that MTF2, one of the
three vertebrate homologs of Drosophila melanogaster Polycomblike, is a DNA
binding, methylation-sensitive PRC2 recruiter in mouse embryonic stem cells. MTF2
directly binds to DNA and is essential for recruitment of PRC2 both in vitro and
in vivo. Genome-wide recruitment of the PRC2 catalytic subunit EZH2 is abrogated
in Mtf2 knockout cells, resulting in greatly reduced H3K27me3 deposition. MTF2
selectively binds regions with a high density of unmethylated CpGs in a context
of reduced helix twist, which distinguishes target from non-target CpG islands.
These results demonstrate instructive recruitment of PRC2 to genomic targets by
MTF2.
PMID- 29808032
TI - Neuroimaging findings in women who develop neurologic symptoms in severe
preeclampsia with or without eclampsia.
AB - Eclampsia is a leading cause of maternal and fetal morbidity and mortality
worldwide, and its pathogenesis remains elusive. Our objective was to investigate
neuroimaging findings in women who developed neurologic symptoms in severe
preeclampsia with or without eclampsia to further understand the relationship
between neuroimaging findings and the pathogenesis of eclamptic seizures. This
retrospective study included 79 women with severe preeclampsia/eclampsia who
underwent brain MRI/CT examination between 2005 and 2017. We analyzed imaging
findings, clinical data, and laboratory data in order to compare patients with
severe preeclampsia to those with eclampsia and patients with abnormal imaging
findings to those with normal CT or MRI. A total of 41 of 79 women were diagnosed
with eclampsia, 36 (88.80%) of which had abnormal neuroimaging findings,
including cerebral edema (19 cases), infarction (5 cases), cerebral venous
thrombosis (5 cases), and cerebral hemorrhage (7 cases). Five patients died of
cerebral hemorrhage. Of the 38 cases of severe preeclampsia, 21 (55.26%) cases
had abnormal imaging findings, including cerebral edema (20 cases), and 1 case
had cerebral hemorrhage. Serum uric acid was significantly higher in patients
with abnormal imaging findings than in patients without them (P = 0.004). The
imaging findings in women with neurologic symptoms were similar between the
severe preeclampsia and eclampsia groups. Our results suggest that eclampsia may
not be a diagnosis with a unique pathogenesis; rather, it may be best considered
a severe symptom of the intracranial pathophysiology of preeclampsia. We suggest
that cranial imaging should be performed early in the management of patients with
severe preeclampsia who develop new neurologic symptoms.
PMID- 29808028
TI - Analysis of the androgen receptor-regulated lncRNA landscape identifies a role
for ARLNC1 in prostate cancer progression.
AB - The androgen receptor (AR) plays a critical role in the development of the normal
prostate as well as prostate cancer. Using an integrative transcriptomic analysis
of prostate cancer cell lines and tissues, we identified ARLNC1 (AR-regulated
long noncoding RNA 1) as an important long noncoding RNA that is strongly
associated with AR signaling in prostate cancer progression. Not only was ARLNC1
induced by the AR protein, but ARLNC1 stabilized the AR transcript via RNA-RNA
interaction. ARLNC1 knockdown suppressed AR expression, global AR signaling and
prostate cancer growth in vitro and in vivo. Taken together, these data support a
role for ARLNC1 in maintaining a positive feedback loop that potentiates AR
signaling during prostate cancer progression and identify ARLNC1 as a novel
therapeutic target.
PMID- 29808033
TI - Predictive power of home blood pressure indices at baseline and during follow-up
in hypertensive patients: HOMED-BP study.
AB - We compared the predictive power for a major adverse cardiovascular event (MACE)
of four home blood pressure (BP) indices (systolic BP, diastolic BP, mean BP, and
pulse pressure (PP)) obtained at baseline before treatment and during the on
treatment follow-up period in 3147 patients with essential hypertension (women:
50.1%, mean age: 59.5 years). Associations between MACE and each index were
determined using Cox proportional hazard models and the likelihood ratio (LR)
test. During a median follow-up of 5.4 years, 46 patients experienced MACE, which
was a composite of cardiovascular death, non-fatal stroke, and non-fatal
myocardial infarction. The LR test showed that systolic, diastolic, and mean BP
during follow-up was more closely associated with cardiovascular risk than the
corresponding indices at baseline (LR chi2 for baseline versus follow-up:
systolic BP, (6.0, P = 0.014) versus (11.3, P = 0.0008); diastolic BP, (0.4, P =
0.53) versus (12.4, P = 0.0004); mean BP, (3.2, P = 0.074) versus (15.0, P =
0.0001)), whereas neither PP at baseline nor that during follow-up was
significantly associated with MACE risk. Among home BP indices during follow-up,
mean BP further improved prediction models in which systolic or diastolic BP was
already included (P <= 0.042), but neither systolic nor diastolic BP improved
models with mean BP (P = 0.80). In addition to home systolic and diastolic BP,
mean BP during follow-up period provides essential information in predicting
future cardiovascular diseases, whereas its utilization should be further
assessed by an intervention trial targeting mean BP levels.
PMID- 29808030
TI - The fecal metabolome as a functional readout of the gut microbiome.
AB - The human gut microbiome plays a key role in human health 1 , but 16S
characterization lacks quantitative functional annotation 2 . The fecal
metabolome provides a functional readout of microbial activity and can be used as
an intermediate phenotype mediating host-microbiome interactions 3 . In this
comprehensive description of the fecal metabolome, examining 1,116 metabolites
from 786 individuals from a population-based twin study (TwinsUK), the fecal
metabolome was found to be only modestly influenced by host genetics
(heritability (H2) = 17.9%). One replicated locus at the NAT2 gene was associated
with fecal metabolic traits. The fecal metabolome largely reflects gut microbial
composition, explaining on average 67.7% (+/-18.8%) of its variance. It is
strongly associated with visceral-fat mass, thereby illustrating potential
mechanisms underlying the well-established microbial influence on abdominal
obesity. Fecal metabolic profiling thus is a novel tool to explore links among
microbiome composition, host phenotypes, and heritable complex traits.
PMID- 29808035
TI - Men's health - A public health issue.
PMID- 29808034
TI - Clinical significance of stress-related increase in blood pressure: current
evidence in office and out-of-office settings.
AB - High blood pressure is the most significant risk factor of cardiovascular and
cerebrovascular diseases worldwide. Blood pressure and its variability are
recognized as risk factors. Thus, hypertension control should focus not only on
maintaining optimal levels but also on achieving less variability in blood
pressure. Psychosocial stress is known to contribute to the development and
worsening of hypertension. Stress is perceived by the brain and induces
neuroendocrine responses in either a rapid or long-term manner. Moreover,
endothelial dysfunction and inflammation might be further involved in the
modulation of blood pressure elevation associated with stress. White-coat
hypertension, defined as high clinic blood pressure but normal out-of-office
blood pressure, is the most popular stress-related blood pressure response.
Careful follow-up is necessary for this type of hypertensive patients because
some show organ damage or a worse prognosis. On the other hand, masked
hypertension, defined as high out-of-office blood pressure but normal office
blood pressure, has received considerable interest as a poor prognostic
condition. The cause of masked hypertension is complex, but evidence suggests
that chronic stress at the workplace or home could be involved. Chronic
psychological stress could be associated with distorted lifestyle and mental
distress as well as long-lasting allostatic load, contributing to the maintenance
of blood pressure elevation. Stress issues are common in patients in modern
society. Considering psychosocial stress as the pathogenesis of blood pressure
elevation is useful for achieving an individual-focused approach and 24-h blood
pressure control.
PMID- 29808037
TI - Can platelets be the early biomarkers of erectile dysfunction?
AB - OBJECTIVE: To assess the relationship between erectile dysfunction and platelet
count and other platelet indices. METHODS: The case-control study was done at
Hitit University Erol Olcok Training and Research Hospital, Turkey, and comprised
patient data between January 2014 and September 2016 that was compared with age
matched controls with no history of erectile dysfunction who were randomly chosen
from patients attending the urology clinic. Platelet count and other platelet
indices were measured in both cases and healthy controls. Erectile status of the
patients was evaluated by using International Index of Erectile Function- 5
questionnaire. RESULTS: There were 203 cases and 102 controls. The mean Index
scores of the cases was 12.86+/-4.55 and that of the controls was 24.65+/-3.25
(p<0.001). Platelet levels were higher in cases than controls (p<0.001). But
there was no statistically significant difference between the groups according to
mean platelet volume values (p=0.309).. CONCLUSIONS: Platelet values can be used
as an early biomarker for erectile dysfunction.
PMID- 29808036
TI - Diagnostic dilemma of patients with methylmalonic aciduria: Experience from a
tertiary care centre in Pakistan.
AB - OBJECTIVE: To determine the frequency of disorders leading to methylmalonic
acidurias. METHODS: This cross-sectional study was conducted from January 2013 to
April 2016 at the Aga Khan University Hospital, Karachi, and comprised patients
diagnosed with methylmalonic acidurias based on urine organic acid analysis.
Clinical history and biochemical data was collected from the biochemical genetics
laboratory requisition forms. Organic acid chromatograms of all the subjects were
critically reviewed by a biochemical pathologist and a metabolic physician. For
assessing the clinical outcome, medical charts of the patients were reviewed.
SPSS 19 was used for data analysis. RESULTS: Of the 1,778 patients 50(2.81%) were
detected with methylmalonic acidurias. After excluding patients with non
significant peaks of methylmalonic acidemia, 41(2.31%) were included in the final
analysis. Of these, 20(48.7%) were females, while the overall median age was 11.5
months (interquartile range: 6-41.5). On stratification by type of disorders
leading to methylmalonic acidurias, 9(22%) had methylmalonic acidemia, 12(29%)
had Cobalamin-related remethylation disorders, nonspecific methylmalonic
acidurias in 16(39%), while 2(5%) each had succinyl coenzyme A synthetase and
Vitamin B12 deficiency. respectively. CONCLUSIONS: Screening tests, including
urine organic acid, provided valuable clues to the aetiology of methylmalonic
acidurias.
PMID- 29808038
TI - Outcome of immediate postpartum insertion of intrauterine contraceptive device:
Experience at tertiary care hospital.
AB - OBJECTIVE: To determine the outcome of immediate post-placental intrauterine
contraceptive device insertion in women. METHODS: This quasi-experimental study
was conducted at Services Hospital Lahore, Pakistan, from August 2015 to January
2017.Postpartum intrauterine contraceptive device was inserted within 10 minutes
of the delivery of the placenta in patients who gave informed consent. They were
followed up at 6 weeks and 6 months and those who completed the follow-up were
enrolled as study subjects. Data regarding safety, efficacy and continuation was
collected at 6 months. Primary outcome measures were safety in terms of
associated complications and secondary outcome measure was continuation rates.
Efficacy was measured in terms of prevention of pregnancy. SPSS 23 was used for
data analysis. RESULTS: A total of 8,000 eligible patients were counselled, out
of whom 3,012(37.6%) exhibited a positive response and had postpartum
intrauterine contraceptive device inserted. Of them, 1,250(41.5%) were followed
up at 6 months and 833(66.6%) had no complications. Mean age was 30.2+/-11.4
years. Menstrual disturbances, vaginal discharge, lost string, misplaced device
and expulsion were seen in 238(19%), 193(15.4%), 268(21.4%), 14(1.8%) and 75(6%)
of the patients respectively. These complications except lost strings were
comparable in vaginal and caesarean section insertions (p<0.05). Lost strings
were more frequently observed in caesarean section group (p=0.001). Besides,
1,058(84.3%) patients wanted to continue this method of contraception.
CONCLUSIONS: Postpartum intrauterine contraceptive device was found to be an
effective, acceptable contraception with fewer complications for the patients.
PMID- 29808039
TI - Effect of botulinum toxin A & task-specific training on upper limb function in
post-stroke focal dystonia.
AB - OBJECTIVE: To determine the effect of botulinum toxin A and task-specific
training on upper limb function in post-stroke focal dystonia patients. METHODS:
A randomised control trial was conducted at hospitals in Rawalpindi and
Islamabad, Pakistan, from October 2015 to September 2016. The subjects were
recruited using non-probability purposive sampling and were divided equally into
control and experimental groups by sealed envelope method. The experimental group
received botulinum toxin A followed by task-specific training, while the control
group received only task-specific training for 8 weeks. Data was collected at
baseline, after 4 weeks and 8 weeks by using upper extremity items of Motor
Assessment Scale and Fugl-Meyer Assessment scale of upper limb. RESULTS: There
were 43 subjects divided into two equal groups of 23(50%) each. In the
experimental group, mean age of patients was 43.57+/-10.94 years while in the
control group it was 48.75+/-10.75 years (p=0.135). There were 15(71.4%) male and
6(28.6%) female patients in the experimental group and 9(45%) were male and
11(55%) were female in the control group. Both groups showed significant
improvements on the Motor Assessment Scale and Fugl-Meyer Assessment scale
(p<0.01), but no significant differences were observed between the groups at
baseline, after 4 and 8 weeks of intervention (p>0.05). CONCLUSIONS: Eight weeks
of task-specific training improved upper limb function in post-stroke focal
dystonia patients.
PMID- 29808040
TI - Educational interventions for improved diet and fluid management in haemodialysis
patients: An interventional study.
AB - OBJECTIVE: To evaluate the effect of education provided by the nurse on the
knowledge and behaviours of haemodialysis patients about dietary and fluid
restrictions. METHODS: This quasi-experimental study was conducted at five
publicly funded dialysis centres located in Istanbul Province, Turkey, in 2014,
and comprised dialysis patients and controls. Data was collected using the Scale
for Dietary Knowledge in Haemodialysis Patients and the Scale for Dietary
Behaviours in Haemodialysis Patients. The dietary education was given to the
intervention group. SPSS 20 was used for data analysis. RESULTS: Of the 80
patients, there were 40(50%) in each group. The overall mean age was 64.12+/
55.50 years, and 42(52.5 %) of the participants were male. After the education
provided to the intervention group, the dietary knowledge and behaviours' post
test scores significantly increased compared to the pre-test scores, and the
serum sodium level, pre-dialysis weight gain and diastolic blood pressure
significantly decreased (p<0.05 each). Between the intervention and control
groups, a significant difference was found between the pre-test and post-test in
serum sodium levels (p<0.05). CONCLUSIONS: The education provided by the nurse
had a positive effect on the knowledge and behaviour of haemodialysis patients
about dietary and fluid restrictions.
PMID- 29808041
TI - Topical use of tranexamic acid in open heart surgery.
AB - OBJECTIVE: To determine the efficacy of topical pouring of tranexamic acid in
reducing post-operative mediastinal bleeding, requirement for blood products and
the rate of re-exploration for re-securing haemostasis or relief of pericardial
tamponade after open heart surgery. METHODS: The prospective, randomised, placebo
controlled, double-blind comparative study was conducted from March 2013 to
September 2015 at Rehmatul-lil-Alameen Institute of Cardiology, Punjab Employees
Social Security Institution, Lahore, and comprised patients scheduled for primary
isolated elective or urgent open heart surgery. The subjects were divided into
two equal groups. The hetranexamic acid group received cardiac bath with 2gm of
tranexamic acid diluted in 50mlof normal saline, while the placebo group received
cardiac bath without tranexamic acid. Before the closure of sternum, the solution
was poured into pericardial cavity as cardiac bath while the chest tubes were
temporarily clamped. Data was entered into a pre-designed proforma. RESULTS: Of
the 100 subjects, there were 50(50%) in each of the two groups. There was no
difference in surgical characteristics and perioperative complications in the
groups (p>0.05). After 48 post-operative hours, total blood loss was
significantly less in the tranexamic acid group compared to the placebo group
(p<0.05). Significantly less number of blood pints were transfused in the acid
group than the placebo group (p<0.05). No patient in the acid group was re
explored for excessive bleeding compared to 4(8%) in the placebo group.
CONCLUSIONS: There was significant reduction in post-operative blood drainage,
need of blood products and rate of re-exploration after topical use of tranexamic
acid in open heart surgery.
PMID- 29808042
TI - Comparison of vitamin D levels with bone density, calcium, phosphate and alkaline
phosphatase - an insight from major cities of Pakistan.
AB - OBJECTIVE: To compare vitamin D levels with bone mineral density, serum calcium,
phosphorous and alkaline phosphatase. METHODS: The cross-sectional multicentre
study was conducted at Pakistan Health Research Council centres in Islamabad,
Lahore, and Karachi,and comprised subjects coming for either vitamin D testing or
bone mineral density examination. Information related to demography,
height/weight, skin colour, smoking, use of sun screen, daily milk intake, sun
exposure and exercise was taken along with biochemical tests like serum calcium
and phosphorous, alkaline phosphatase, and 25 hydroxy vitamin D.Bone mineral
density was done using dual-energy X-ray absorptiometry scan. SPSS 15.0 was used
for data analysis. RESULTS: Of the 291 subjects, 100(34.3%) each were enrolled
from Islamabad and Lahore, while 91 (31.2%) were from Karachi. Overall, 245(84%)
had insufficient vitamin D which was significantly associated with age and dark
skin colour (p<0.05 each). Besides, 137(48%) cases had a reduced bone density,
and there was a significant difference between age groups, gender and skin colour
(p<0.05 each).Vitamin D deficiency did not have any effect on bone mineral
density (p>0.05) Serum calcium and phosphorus levels were also similar regardless
of vitamin D level (p>0.05). CONCLUSIONS: Vitamin D deficiency did not have any
direct impact on bone mineral density and serum calcium, phosphorous and alkaline
phosphatase.
PMID- 29808043
TI - Low glycaemic index diet is effective in managing weight among obese postpartum
women.
AB - OBJECTIVE: To determine the effect of low glycaemic index diet on weight loss
among obese post-partum women.. METHODS: This randomised controlled trial study
was conducted at the National Hospital and Medical Centre, and Services Hospital,
Lahore, from April to June 2015, and comprised obese post-partum women. Subjects
in the intervention group were assigned low glycaemic index diet for 12 weeks
while the control group was advised to continue routine diet. SPSS 22 was used
for data analysis. RESULTS: Of the 74 participants, 38(51.4%) were in the
interventional group and 36(48.6%) in the control group. The low glycaemic index
diet had a significant effect on weight reduction in the intervention group
compared to the control group (83.6+/-0.75 vs. 89.1+/-2) (p=0.02). Low glycaemic
diet also had a positive impact on body mass index (p=0.02), body fat percentage
(p=0.03) and fat mass (p=0.02). Significant changes were found in the
intervention group after 12 weeks in terms of body mass index, body fat
percentage, and fat mass, fat-free mass and muscle mass. On the other hand, no
changes were established in the control group after 12 weeks of the study
period.. CONCLUSIONS: Low glycaemic index diet was effective for reducing weight
among obese post-partum women.
PMID- 29808044
TI - Status of non-HDL-cholesterol and LDL-cholesterol among subjects with and without
metabolic syndrome.
AB - OBJECTIVE: To to compare non-high-density lipoprotein and low-density lipoprotein
cholesterol among subjects with or without metabolic syndrome, glycation status
and nephropathic changes. METHODS: The comparative cross-sectional study was
carried out from Dec 21, 2015, to Nov 15, 2016, at the department of pathology
and medicine PNS HAFEEZ and department of chemical pathology and clinical
endocrinology (AFIP), and comprised patients of either gender visiting the out
patient department for routine screening. They were evaluated for anthropometric
indices, blood pressure and sampled for lipid profile, fasting plasma glucose,
glycated haemoglobin, insulin, and urine albumin-to-creatinine ratio. Subjects
were segregated based upon presence (Group1) or absence (Group2) of metabolic
syndrome based upon criteria of National Cholesterol Education Programme and the
International Diabetes Federation. Differences in high and low density
lipoprotein cholesterols were calculated between the groups. RESULTS: Of the 229
subjects, 120(52.4%) were women and 109(47.6%) were men. Overall, there were
107(46.7%) subjects in Group 1, and 122(53.3%) in Group 2. Non-high-density
lipoprotein cholesterol was significantly different between subjects with and
without metabolic syndrome as per both the study criteria (p<0.05 each). .
CONCLUSIONS: Non-high-density lipoprotein cholesterol levels were higher in
subjects with metabolic syndrome.
PMID- 29808045
TI - Risk factors and clinical follow-up of patients with preterm births in a tertiary
referral maternity unit in Bucharest, Romania.
AB - OBJECTIVE: To analyse the historical risk factors associated with preterm birth,
and the potential benefit of correct prenatal care for prevention. METHODS: This
prospective study was performed in 2011-12 at the Department of Obstetrics and
Gynaecology, Dr. I. Cantacuzino Hospital, Bucharest, Romania, and comprised women
who gave birth at the hospital during the study period. The pregnancy was
considered clinically cared if a pregnant woman underwent the following exams:
first trimester ultrasound, maternal serum tests, screening tests, second
trimester ultrasound, and amniocentesis if deemed necessary. The women with
multiple pregnancies (twins or more) were excluded. Data collection was conducted
according to the guidelines of the Mother and Child Health Research Network. IMB
SPSS 21 was used for data analyses. RESULTS: Of the 4,078 cases, the prevalence
of preterm births was 477(11.7%). Women giving birth to preterm babies had
significantly less prenatal visits during pregnancy compared to women giving
birth at term (p<0.05). High body mass index for 34-36 gestational weeks, a
previous history of spontaneous abortion, a family history of preterm births and
smoking were the most important risk factors for preterm birth (p<0.05 each).
CONCLUSIONS: The preterm delivery risk factors can be reduced at least partially
by taking correct preventive measures during pregnancy.
PMID- 29808027
TI - Genome-wide association meta-analysis highlights light-induced signaling as a
driver for refractive error.
AB - Refractive errors, including myopia, are the most frequent eye disorders
worldwide and an increasingly common cause of blindness. This genome-wide
association meta-analysis in 160,420 participants and replication in 95,505
participants increased the number of established independent signals from 37 to
161 and showed high genetic correlation between Europeans and Asians (>0.78).
Expression experiments and comprehensive in silico analyses identified retinal
cell physiology and light processing as prominent mechanisms, and also identified
functional contributions to refractive-error development in all cell types of the
neurosensory retina, retinal pigment epithelium, vascular endothelium and
extracellular matrix. Newly identified genes implicate novel mechanisms such as
rod-and-cone bipolar synaptic neurotransmission, anterior-segment morphology and
angiogenesis. Thirty-one loci resided in or near regions transcribing small RNAs,
thus suggesting a role for post-transcriptional regulation. Our results support
the notion that refractive errors are caused by a light-dependent retina-to
sclera signaling cascade and delineate potential pathobiological molecular
drivers.
PMID- 29808046
TI - Household chores as the main source of physical activity: Perspectives of
pregnant Pakistani women.
AB - OBJECTIVE: To understand the level of physical activity in pregnant women and to
identify perceived facilitators and barriers faced by them. METHODS: This cross
sectional study was conducted from January to June 2016 at Aga Khan Maternity and
Child Care Centre, Hyderabad, Pakistan, and comprised pregnant women attending
the antenatal clinics. They were administered the pregnancy physical activity
questionnaire while additional questions were asked to assess perceived barriers
and facilitators in pregnancy. SPSS 19 was used for data analysis. RESULTS: Of
the 455 subjects, 179(36%) were physically active. Their median metabolic
equivalent of task hours per week was 14.65 (interquartile range=0-105.8). The
overall mean age of subjects was 26+/-4.47 years, while the mean gestational age
was 24+/-10 weeks. Household activity had a strong positive correlation with
total activity (p<0.05). Reported barriers included lack of energy and lack of
information regarding benefits of physical activity, and facilitators included
support from family and affordable facilities in the area of residence.
CONCLUSIONS: Majority of the pregnant women failed to meet the daily
recommendations for physical activity..
PMID- 29808047
TI - Student perception and post-exam analysis of one best MCQs and one correct MCQs:
A comparative study.
AB - OBJECTIVE: To find differences between One-Correct and One-Best multiple-choice
questions with relation to student scores, post-exam item analyses results and
student perception. METHODS: This comparative cross-sectional study was conducted
at the Dow University of Health Sciences, Karachi, from November 2010 to April
2011, and comprised medical students. Data was analysed using SPSS 18. RESULTS:
Of the 207 participants, 16(7.7%) were boys and 191(92.3%) were girls. The mean
score in Paper I was 18.62+/-4.7, while in Paper II it was 19.58+/-6.1. One-Best
multiple-choice questions performed better than One-Correct. There was no
statistically significant difference in the mean scores of the two papers or in
the difficulty indices. Difficulty and discrimination indices correlated well in
both papers. Cronbach's alpha of paper I was 0.584 and that of paper II was
0.696. Point-biserial values were better for paper II than for paper I. Most
students expressed dissatisfaction with paper II. CONCLUSIONS: One-Best multiple
choice questions showed better scores, higher reliability, better item
performance and correlation values.
PMID- 29808048
TI - Awareness, knowledge and attitude towards breast cancer, breast screening and
early detection techniques among women in Pakistan.
AB - OBJECTIVE: To document the knowledge and attitudes of women towards breast
cancer, breast screening and early detection techniques. METHODS: This cross
sectional survey was conducted from December 2015 to May 2016 in Karachi and
Islamabad, Pakistan, and comprised women aged above 18 years. The survey used
breast cancer inventory as a research instrument after piloting and validation.
SPSS 20 was used for data analysis. RESULTS: Of the 1,304 respondents, 948(72.7%)
were single, 1,082(83%) belonged to the 18-30 age group, 800(61.3%) had Urdu
speaking ethnicity and 794(60.9%) were educated. The prevalence of breast cancer
in family was 226(17.33%). Besides, 446(34.2%) had low knowledge regarding the
subject, 646(49.5%) appeared welcoming to the idea of breast screening,
1,008(77.3%) indicated their discomfort in discussing the topic, whereas
1,080(82.8%)preferred a female physician. CONCLUSIONS: There was a dearth of
knowledge regarding breast cancer among the participants..
PMID- 29808049
TI - The use of herbal supplements by individuals with diabetes mellitus.
AB - OBJECTIVE: To analyse the use of herbal supplements by individuals with diabetes
mellitus. METHODS: This cross-sectional study was carried out in the
endocrinology clinics of two hospitals in Izmir, Turkey, between June and August
2016. The sample consisted of diabetic patients. Data was collected through face
to-face interviews guided by a questionnaire. SPSS 17 was used to analyse the
data. RESULTS: There were 455 subjects with a mean age of 47.02+/-16.6 years. Of
the total, 373(82%) were female, and 352(77.4%) were diagnosed with type-2
diabetes. Overall, 262(57.6%) subjects used herbal supplements like cinnamon,
sage, thyme, turmeric and ginseng. Significant differences in the use of herbal
supplements were found according to gender, marital status, and duration of
diabetes (p<0.05 each). CONCLUSIONS: About half of the diabetic patients used
herbal supplements.
PMID- 29808050
TI - Awareness of dentists regarding immediate management of dental avulsion:
Knowledge, Attitude, and Practice study.
AB - OBJECTIVE: To assess the knowledge of practising dentists regarding immediate
management of dental avulsion. METHODS: The cross-sectional analytical study was
conducted in various dental colleges and teaching hospitals of Karachi, Pakistan,
in October-November 2016, and comprised dentists working in academic institutions
/ departments or as general dental practitioners for at least 1 year. The
subjects were asked to fill out a self-administered questionnaire. Questions
related to knowledge and practice regarding immediate management of dental
avulsion was asked and then responses were categorised as good knowledge,
moderate and poor knowledge. SPSS 22 was used for data analysis.. RESULTS: Of the
282 subjects, 179 (63.5%) were females and 103(36.5%) were males. The overall
mean age was 28. 33+/-4.7 years, and 194 (68.8%) had clinical experience of less
than 5 years. Of the total, 30(11%) dentists had good knowledge while 130(46%)
had moderate and 122(43%) had poor knowledge. Statistically significant
association of knowledge regarding tooth avulsion was observed with the specialty
(p= 0.006) and qualification (p=0.001). CONCLUSIONS: The knowledge of dentists
regarding immediate management of avulsion injuries was inadequate. Knowledge was
significantly associated with specialty and qualification of the dentist.
PMID- 29808051
TI - Medical student's learning habits: A mixed method study during clinical rotation
in general surgery.
AB - OBJECTIVE: To investigate medical students' study habits, their learning styles,
and preferences, during general surgery rotation, for better understanding the
art of pedagogy and improving the quality of teaching and learning. METHODS: This
mixed-method study was conducted at the Quaid-i-Azam Medical College, Bahawalpur,
Pakistan, from March 2013 to December 2014. Medical students of third and final
years were asked to fill in a13-item questionnaire during surgery rotation.
RESULTS: Of the 90 students, 54(60%) were third-year and 36(40%) were final-year
students. The results did not show any significant gender difference (p>0.05).
Moreover, 18(33%) and 14(39%) students in third and final years said they studied
due to motivation of tests and exams. Besides, 44(81%) and 32(89%) used books as
the primary source of knowledge, and 2(3.7%) 4(11%) in the respective groups used
the internet. Peer discussion was effective in deep learning for 44(81%) and
32(89%) students respectively. CONCLUSIONS: The majority of medical students used
books and found algorithms, mind maps and peer discussion useful for their
learning.
PMID- 29808052
TI - A review: Therapeutics potentials of phytochemical drugs and their loading in pH
specific degradable Nano-drug carrier targeting colorectal cancer.
AB - Increasing incidents of colorectal cancer have shifted researchers' attention to
the production and improvement of anti-cancer drugs by the scientific
investigation of vast pool of synthetic, biological and natural products.
Thymoquinone and thymohydroquinone are considered the ideal compounds for the
cancer therapy as they are economically and environmental friendly and have less
toxicity level to the survival and diseased model up to increased dosage level.
For colorectal cancer, researches are shifting towards the oral drug delivery
instead of injection, as administering drugs through oral route shows maximum
absorption of drugs, improves patient life quality and is cost-effective.
Naturally occurring polysaccharides as oral drug carriers, such as pectin, have
the ability to break down completely in colon, making it suitable for targeted
drug delivery against cancer cells. Pectin with polymeric base is an efficient
nano drug carrier. The current study reviews the delivery of
thymoquinone/thymohydroquinone through pectin nano carriers to treat colorectal
cancer.
PMID- 29808053
TI - Pedestrian's exposure to road traffic crashes in urban environment: A case study
of Peshawar, Pakistan.
AB - This study was aimed at exploring accident statistics and suggesting counter
measures to mitigate road traffic crashes in Peshawar, Pakistan, and was
conducted in 2015-16. Data was extracted from all 30 police stations in
cantonment, city and rural circles for the 2003-12 period. A total of 3,280
crashes were reported, including 856(26%) fatal and 2,424(74%) non-fatal ones.
Moreover, 602(69%) fatalities and 1,782(59%) injuries of overall road traffic
fatalities and injuries during the period studied were borne by pedestrians. No
regular annual pattern was noticed for overall and pedestrians' fatalities and
injuries. Detailed RTCs' analysis, police officials' interviews and engineering
judgement during field visits indicate that there is a dire deficiency of
physical infrastructure for pedestrians, signage and markings. There is a need to
improve post-crash evaluation and implement counter measures for speed control.
PMID- 29808054
TI - Peer assessment of professional behaviour: A proposed model for medical schools
in Pakistan.
AB - Peer-marked assessment of professional behaviour among medical students has
currently been established in most of the developed world. It is one of the
standard components of overall summative assessment systems in undergraduate
medical education. However, in many places globally, it has not been introduced
in final examinations. This paper reviews the recent local studies on
professional behaviour in some medical schools of Pakistan and analyses the need
of an assessment process. It also examines various studies done throughout the
world validating the peer assessment method. Developing on the findings of the
local studies and the authentic evidence showing effectiveness of the system
internationally, we are proposing a model of peer -marked assessment among
medical students in Pakistan. An instrument already found reliable and valid has
been adapted in this proposal while at the same time considering local factors in
its implementation.
PMID- 29808055
TI - The Flipped Classroom: An active teaching and learning strategy for making the
sessions more interactive and challenging.
AB - Flipping the classroom is a pedagogical model that employs easy to use, readily
accessible technology based resources such as video lectures, reading handouts,
and practice problems outside the classroom, whereas interactive group-based,
problem-solving activities conducted in the classroom. This strategy permits for
an extended range of learning activities during the session. Using class time for
active learning provides greater opportunity for mentoring and peer to peer
collaboration. Instead of spending too much time on delivering lectures, class
time can best be utilized by interacting with students, discussing their concerns
related to the particular topic to be taught, providing real life examples
relevant to the course content, challenging students to think in a broader aspect
about complex process and encouraging different team based learning activities.
PMID- 29808056
TI - Correlates of being sick or injured in under five-year old children by district
in Pakistan: A spatial analysis case study.
AB - This case study demonstrates the use of population based, spatial analysis of
public health indices in Pakistan. The data for this study were obtained from the
Pakistan Bureau of Statistics website. Using district level data, for the spatial
analysis of having being sick or injured, and their correlates in the under-five
year old children. Percent under 5 year children, who either fell sick or injured
during the past two-weeks by district, was used as an outcome variable in the
final spatial regression model. While district level population density, average
household size, literacy ratios for females were used as explanatory variables.
As opposed to the final Ordinary-Least-Squares model, only population density was
statistically significant in the spatial model. Limitations in terms of
availability of current and regularly updated, attribute as well as geographic
data in the country are underscored by the results of this case study.
PMID- 29808057
TI - Posture related musculoskeletal discomfort and its association with computer use
among university students.
AB - The objective of this study was to assess the association of postural
musculoskeletal discomfort with computer use and to determine its contributing
factors among undergraduate university students. A comparative cross sectional
study with a sample of 136 students was conducted at Shifa Tameer-e-Millat
University. Musculoskeletal discomfort was calculated using ssCMDQ. A positive
association of musculoskeletal discomfort was found with duration of computer use
(P<0.05). Significant differences were observed in musculoskeletal discomfort
scores based on the type of posture adopted (P<0.05), however no significant
differences were observed in terms of discomfort based on gender, ergonomic
awareness and type of computer used (P>0.05). The findings of this study reveal
that risk factors for postural discomfort include posture, duration and type of
computer used however ergonomic awareness and gender is not associated with the
buildup of musculoskeletal discomfort. Ideal posture to be adopted during
computer use is supported sitting on chair with computer on desk.
PMID- 29808059
TI - Cardiac magnetic resonance imaging in a young patient with left ventricular
spongiform cardiomyopathy: A case report and review of literature.
AB - Left ventricular spongiform or non compaction cardiomyopathy is one of the rarer
forms of cardiomyopathy with a reported prevalence of 0.014-0.05% in adults. It
is characterized by severe left ventricular systolic dysfunction occurring
secondary to the failure of the myocardium to fuse resulting in non-compacted
myocardium with a trabeculated appearance of the myocardium. Initially described
on the basis of 2-D echocardiography, the identification of left ventricular non
compaction has now improved with the introduction of sophisticated imaging
modalities such as cardiac magnetic resonance imaging that allows better
visualization of the non-compacted myocardium assisting in accurate diagnosis. We
report a case of isolated left ventricular spongiform or non-compaction
cardiomyopathy that was diagnosed on cardiac magnetic resonance imaging with
classical features. The patient was started on standard heart failure medications
along with anticoagulation and continues to do well on follow up.
PMID- 29808058
TI - Multidrug resistance in Gram-negative pathogens isolated from patients with
chronic kidney diseases and renal transplant.
AB - Multidrug resistance (MDR) in gram-negative pathogens is the emerging threat to
clinicians. The current study was designed to determine the prevalence and
pattern of multidrug resistance in gram-negative clinical isolates. It was
conducted at the COMSATS Institute of Information Technology, Islamabad,
Pakistan, from June to October 2014. Of the 8, 300 samples collected, 729(8.8%)
clinically important gram-negative pathogens were retrieved. These pathogens were
subjected to phenotypic and biochemical detection and were further processed for
multidrug resistance pattern. It was observed that gram-negative pathogens were
simultaneously resistant to many antibiotics. The prevalence of extended spectrum
b-lactamase phenomenon was 220(100%) in Klebsiella pneumoniae, 195(75%) in
Escherichia coli. Resistance to carbapenem was 174(79%) in Klebsiella pneumoniae
and 14(5.4%) in Escherichia coli. Resistance against fluoroquinolones also
displayed an escalating trend. The current study found that resistance against
antibiotics was displaying a drastic increase in chronic renal patients.
PMID- 29808060
TI - Non O1, non O139 Vibrio cholerae bacteraemia in an infant; case report and
literature review.
AB - Non 01, Non O139 Vibrio cholerae bacteraemia is a rare but potentially fatal
occurrence. There have been very few incidents of this infection from around the
world. The treatment regimen of antibiotics also varies in literature. We present
a case of bacteraemia caused by Non O1, Non O139 Vibrio cholerae along with
associated risk factors, disease manifestations, laboratory diagnosis and
treatment regimen. This serves to add additional information regarding symptoms
and signs of this infection along with management of patient. Knowledge regarding
this topic shall be highly useful to professionals if further cases are detected.
In the discussion section, a review of literature of previous cases is also
presented.
PMID- 29808061
TI - Leprosy manifesting with type 2 leprae reaction in a patient presenting with
chronic fever: A case report.
AB - Leprosy is a chronic granulomatous disease involving the skin and nerves, leading
to a debilitating condition. Leprosy has been controlled in most parts of the
world; therefore physicians are not very well versed in the recognition,
management and assessment of this disease. The protean manifestations of leprosy
often lead to delays in diagnosis and increase the morbidity. We present a case
of a 33-year-old male with fever, lymphadenopathy, nodular skin lesions, uveitis
and arthritis. Lymphnode, bonemarrow and skin biopsy revealed 3+ AFB smear with
negative AFB cultures, leading to the diagnosis of leprosy. The course of illness
was complicated by flare of Erythema Nodosum Leprosum (ENL).
PMID- 29808062
TI - Hemiconvulsion Hemiplegia Syndrome in a young boy with developmental delay.
AB - Hemiconvulsion hemiplegia epilepsy (HHE) syndrome is a rare complication of
prolonged focal seizures in children upto 4 years of age. It is usually
idiopathic and seen in the setting of febrile seizures in otherwise normal
children but less commonly is also associated with structural, infective,
traumatic and degenerative diseases that predispose to seizures. It has 3 stages,
the first of prolonged focal seizures, then the development of hemiplegia and
then followed by final stage of development of epilepsy after a variable latent
period. Early recognition and seizure control is important to prevent the
development of hemiplegia and intractable epilepsy. We report a child with
developmental delay and epilepsy who developed HH syndrome after prolonged
unrecognized and difficult to control partial status epilepticus.
PMID- 29808063
TI - Managed successfully: Iatrogenic aortic dissection during primary percutaneous
coronary intervention.
AB - Iatrogenic aortic dissection caused by primary percutaneous coronary intervention
(PCI) is a rare but potentially fatal complication; therefore prompt recognition
of this life-threatening condition is crucial. We present herein a case of a 70
year-old lady who underwent primary PCI for transmural myocardial infarction of
left anterior descending artery territory. Manipulation of the extra backup (EBU)
guiding catheter during an attempt to cannulate the left system resulted in an
aortic dissection. The patient was managed conservatively with strict monitoring
in the coronary care unit (CCU), and underwent serial evaluation with non
invasive imaging studies including a computed tomography angiography (CTA). On
the 3rd post-procedure day, she developed cerebrovascular accident from which she
recovered completely. Repeat CT angiogram showed complete resolution of the
ascending aortic dissection. Initial follow-up was conducted at 2 weeks and the
patient was doing well.
PMID- 29808064
TI - Prevalence of stromal corneal dystrophies in Lahore.
AB - To determine the prevalence of Stromal Corneal Dystrophies (SCDs) in patient from
Lahore hospitals. The study was performed between November, 2014 to July 2015 at
the Layton Rahmatullah Benevolent Trust Hospital, Mughal Hospital, Mayo Hospital
and General Hospital, Lahore. For the clinical evaluation of SCD by
ophthalmologists examination of cornea was done by biomicroscopy, specular
microscopy, topography, keratometry, orbscan and far visual acuity. Fifty cases
of SCDs were recognized from Lahore, matching to hospital prevalence of 0.4%. The
variables examined were age, gender, main complaint, corneal thickness,
intraocular pressure and far visual acuity. SCDs are predominant in age group of
40-50 years. SCDs are more in male (n=30) as compared to females (n=20). Careful
clinical evaluation, genotyping, governmental approval and subsequent development
of human clinical trials of possible therapies and treatments should be taken to
continue making improvement and effective control of SCDs.
PMID- 29808065
TI - Ramadan fasting during pregnancy: Obstetric risk stratification.
AB - Some women may wish to fast during pregnancy or lactation, for religious or
spiritual reasons. This may pose a dilemma to the obstetric care provider, who
has to balance the need for maternal and foetal wellbeing with the wishes and
needs of the mother. This communication describes a pragmatic, shared decision
making, based on patient centred approach to this challenging clinical situation.
It highlights simple clinical and investigation based clues which allow obstetric
risk stratification of women in pregnancy or lactation. This clinical and
laboratory based assessment allows appropriate decision making regarding fasting,
while keeping foeto-maternal safety paramount.
PMID- 29808066
TI - Management of prediabetes.
AB - Prediabetes is an intermediate stage of hyperglycaemia, characterized by impaired
fasting glucose, impaired glucose tolerance and/ or an HbA1c ranging from 5.7
6.4%. Prediabetes is associated with an increased risk of progression to overt
diabetes and increased risk of cardiovascular disease. Several intervention
studies have demonstrated that onset of diabetes can be prevented or delayed with
intensive lifestyle modification and oral antidiabetic agents including
metformin, thiazolidinediones and alpha-glucosidase inhibitors. Prediabetes
management should focus on lifestyle modification and multiple risk factor
management. In cases at high risk, use of oral antidiabetic agents may be
considered.
PMID- 29808067
TI - Depression in adult patients with primary brain tumours: a review of independent
risk factors.
AB - Depression is considered an under-diagnosed problem, especially in patients with
malignancies. Patients with brain tumours in particular, have a relatively higher
risk of developing depression, which is multifactorial. Herein, the authors
review the recent literature on the prevalence of depression in patients with
brain tumours, and explore the various risk factors involved. .
PMID- 29808068
TI - Adequacy of platelet counting by automated haematology analyzers: An insight on
current methodologies.
PMID- 29808069
TI - PLAATO (Percutaneous Left Atrial Appendage Trans-catheter Occlusion) - to get off
the hassles of anticoagulation in atrial fibrillation.
PMID- 29808070
TI - Stress of infertility: Can the couple cope?
PMID- 29808071
TI - Use of Trans-cutaneous Electric Nerve Stimulation (TENS) can alleviate labour
pain and delay the use of neuraxial analgesia.
PMID- 29808072
TI - Musculoskeletal pain and its associated risk factors among medical students of a
public sector University in Karachi, Pakistan.
AB - OBJECTIVE: To assess the frequency and anatomical distribution of musculoskeletal
pain and its related risk factors. METHODS: This cross-sectional study was
conducted at the Dow Medical College, Karachi, from September to November 2016,
and comprised medical students. A self-administered questionnaire was filled out
by the participants. Data was analysed using SPSS 17. RESULTS: Of the 360
participants, 268(74.4%)and 140(38.9%)students reported having musculoskeletal
pain in at least one of the body sites in the past 12 months and in the past
seven days, respectively. Self-reported mental stress (p=0.01) and history of
trauma in the neck, shoulder or lower back (p=0.002) were the most significant
predictors of musculoskeletal pain during the past seven days. Age, body mass
index, gender, academic year, family history of musculoskeletal disorders, hours
of clinical practice per day, hours of study per day, smoking and exercise had no
significant relation with musculoskeletal pain in neck, shoulder or lower back
(p>0.05 each). The use of computer/laptop for more than three hours per day had
an increased occurrence of neck pain (p=0.03).. CONCLUSIONS: There was a
significant risk of musculoskeletal pain for medical students.
PMID- 29808073
TI - Dengue in Pakistan; a new approach to battle the endemic.
PMID- 29808074
TI - Faecal microbial transplant: Therapy of the past, magic pill of the present?
PMID- 29808075
TI - GDM: SAFES RECOMMENDATIONS AND ACTION PLAN - 2017.
AB - The South Asian Federation of Endocrine Societies (SAFES) is an association of
five national professional bodies in South Asia: The Endocrine Society of
Bangladesh, Endocrine Society of India, Diabetes and Endocrine Association of
Nepal, Pakistan Endocrine Society, and Endocrine Society of Sri Lanka. SAFES aim
to bring together its members, to share and learn from each other, and to
contribute to the growth of endocrinology in South Asia. SAFES, in consultation
with each of its associations, has listed, analysed and prioritised various
endocrine public health issues. On this background, Dhaka Declaration was made on
2nd SAFES Summit, Dhaka, Bangladesh, 24-26 April, 2015 where gestational diabetes
mellitus (GDM) was identified as the focus of attention for the term 2015-2017.
In the height of Dhaka Declaration, a follow up meeting was held in Colombo, Sri
Lanka October 2015, initiatives on "Action plan on GDM" was formulated which is
to be implemented on four phases; Phase 1: Dhaka declaration that initiated the
Action plan, Phase 2: Draft formulation on Action plan and relevant researches,
Phase 3: Implementation and Phase 4: Final Recommendation.
PMID- 29808076
TI - Partial likelihood estimation of isotonic proportional hazards models.
AB - We consider the estimation of the semiparametric proportional hazards model with
an unspecified baseline hazard function where the effect of a continuous
covariate is assumed to be monotone. Previous work on nonparametric maximum
likelihood estimation for isotonic proportional hazard regression with right
censored data is computationally intensive, lacks theoretical justification, and
may be prohibitive in large samples. In this paper, partial likelihood estimation
is studied. An iterative quadratic programming method is considered, which has
performed well with likelihoods for isotonic parametric regression models.
However, the iterative quadratic programming method for the partial likelihood
cannot be implemented using standard pool-adjacent-violators techniques,
increasing the computational burden and numerical instability. The iterative
convex minorant algorithm which uses pool-adjacent-violators techniques has also
been shown to perform well in related parametric likelihood set-ups, but
evidences computational difficulties under the proportional hazards model. An
alternative pseudo-iterative convex minorant algorithm is proposed which exploits
the pool-adjacent-violators techniques, is theoretically justified, and exhibits
computational stability. A separate estimator of the baseline hazard function is
provided. The algorithms are extended to models with time-dependent covariates.
Simulation studies demonstrate that the pseudo-iterative convex minorant
algorithm may yield orders-of-magnitude reduction in computing time relative to
the iterative quadratic programming method and the iterative convex minorant
algorithm, with moderate reductions in the bias and variance of the estimators.
Analysis of data from a recent HIV prevention study illustrates the practical
utility of the isotonic methodology in estimating nonlinear, monotonic covariate
effects.
PMID- 29808077
TI - Thio acid-mediated conversion of azides to amides - exploratory studies en route
to oroidin alkaloids.
AB - The utility of the thio acid-azide coupling reaction to afford amides is explored
in imidazole-containing substrates for application in the total synthesis of
examples of oroidin alkaloids. Good yields of the expected amides are obtained in
both monomeric and dimeric substrates. Bis azides react preferentially at the 2
azido position but hydrosulfenylation and reduction interfere. 2-Thiophenyl and 2
oxo groups were evaluated as 2-amino surrogates, the thioether delivered the
expected amide, whereas 2-imidazolone gave a mixture of the expected amide and
the hydrosulfenylation product.
PMID- 29808079
TI - Retrospective Analysis of Spectrum of Presentation and Treatment Outcome in
Extremity Sarcomas: A Single-Centre Experience.
AB - Introduction: The most common site for soft tissue sarcoma is extremity. As
complete surgical resection is possible in majority, outcome of this subset is
relatively better. There is paucity of data regarding extremity soft tissue
sarcoma (STS) from sub-Himalayan and hilly geographical regions. Materials and
Methods: Retrospective analysis was done for extremity STS visiting the study
center over a period of 5 years. Data were collected and analyzed for demography,
disease characteristics, treatment modalities, and outcome. Result: Extremity STS
constituted 32.8% of all STS enlisted. Most common subtype noted was pleomorphic
STS. Metastatic disease at presentation was noted among 7/43 cases with lung
being the most common metastasis site. Wide local excision was done in 37 cases
while amputation was required in 5 cases. Adjuvant radiotherapy was given in 27
cases while 18 cases received adjuvant chemotherapy. At median follow-up of 47
months, the overall survival and event-free survival were noted as 47.64% and
41.49%, respectively. Conclusion: This study depicts single-center experience of
extremity STS. The population analyzed was from sub-Himalayan region with
significant lost to follow-up. Pooling of data from different centers has been
advocated to derive conclusive results.
PMID- 29808078
TI - Source Characterization and Exposure Modeling of Gas-Phase Polycyclic Aromatic
Hydrocarbon (PAH) Concentrations in Southern California.
AB - Airborne exposures to polycyclic aromatic hydrocarbons (PAHs) are associated with
adverse health outcomes. Because personal air measurements of PAHs are labor
intensive and costly, spatial PAH exposure models are useful for epidemiological
studies. However, few studies provide adequate spatial coverage to reflect intra
urban variability of ambient PAHs. In this study, we collected 39-40 weekly gas
phase PAH samples in southern California twice in summer and twice in winter,
2009, in order to characterize PAH source contributions and develop spatial
models that can estimate gas-phase PAH concentrations at a high resolution. A
spatial mixed regression model was constructed, including such variables as
roadway, traffic, land-use, vegetation index, commercial cooking facilities,
meteorology, and population density. Cross validation of the model resulted in an
R2 of 0.66 for summer and 0.77 for winter. Results showed higher total PAH
concentrations in winter. Pyrogenic sources, such as fossil fuels and diesel
exhaust, were the most dominant contributors to total PAHs. PAH sources varied by
season, with a higher fossil fuel and wood burning contribution in winter.
Spatial autocorrelation accounted for a substantial amount of the variance in
total PAH concentrations for both winter (56%) and summer (19%). In summer, other
key variables explaining the variance included meteorological factors (9%),
population density (15%), and roadway length (21%). In winter, the variance was
also explained by traffic density (16%). In this study, source characterization
confirmed the dominance of traffic and other fossil fuel sources to total
measured gas-phase PAH concentrations while a spatial exposure model identified
key predictors of PAH concentrations. Gas-phase PAH source characterization and
exposure estimation is of high utility to epidemiologist and policy makers
interested in understanding the health impacts of gas-phase PAHs and strategies
to reduce emissions.
PMID- 29808080
TI - Multiple Soft Tissue Sarcomas in a Single Patient: An International Multicentre
Review.
AB - Developing multiple soft tissue sarcomas (STSs) is a rare process, sparsely
reported in the literature to date. Little is known about the pattern of disease
development or outcomes in these patients. Patients were identified from three
tertiary orthopaedic oncology centres in Canada and the UK. Patients who
developed multiple extremity STSs were collated retrospectively from prospective
oncology databases. A literature review using MEDLINE was also performed. Six
patients were identified in the case series from these three institutions, and
five studies were identified from the literature review. Overall, 17 patients
were identified with a median age of 51 years (range: 19 to 77). The prevalence
of this manifestation in STS patients is 1 in 1225. The median disease-free
interval between diagnoses was 2.3 years (range: 0 to 19 years). Most patients
developed the secondary STS in a metachronous pattern, the remaining,
synchronously. The median survival after the first sarcoma was 6 years, and it
was 1.6 years after the second sarcoma. The 5-year overall survival rate was
83.3% and 50% following the first and second STS diagnoses, respectively. A
diagnosis of two STSs does not confer a worse prognosis than the diagnosis of a
single STS. Developing a second STS is a rare event with no identifiable
histological pattern of occurrence. Presentation in a metachronous pattern is
more common. A high degree of vigilance is required in patients with a previous
STS both to detect both local recurrence and to identify new masses remote from
the previous STS site. Acquiring an early histological diagnosis should be
attempted.
PMID- 29808081
TI - Treatment of Sarcoma Lung Metastases with Stereotactic Body Radiotherapy.
AB - Background: The most common site of sarcoma metastasis is the lung. Surgical
resection of pulmonary metastases and chemotherapy are treatment options that
have been employed, but many patients are poor candidates for these treatments
for multiple host or tumor-related reasons. In this group of patients, radiation
might provide a less morbid treatment alternative. We sought to evaluate the
efficacy of radiotherapy in the treatment of metastatic sarcoma to the lung.
Methods: Stereotactic body radiotherapy (SBRT) was used to treat 117 pulmonary
metastases in 44 patients. Patients were followed with serial computed tomography
imaging of the chest. The primary endpoint was failure of control of a pulmonary
lesion as measured by continued growth. Radiation-associated complications were
recorded. Results: The majority of patients (84%) received a total dose of 50 Gy
per metastatic nodule utilizing an image-guided SBRT technique. The median
interval follow-up was 14.2 months (range 1.6-98.6 months). Overall survival was
82% at two years and 50% at five years. Of 117 metastatic nodules treated, six
nodules showed failure of treatment (95% control rate). Twenty patients (27%)
developed new metastatic lesions and underwent further SBRT. The side effects of
SBRT included transient radiation pneumonitis (n=6), cough (n=2), rib fracture
(n=1), chronic pain (n=1), dermatitis (n=1), and dyspnea (n=1). Conclusion:
Stereotactic body radiotherapy is an effective and safe treatment for the
ablation of pulmonary metastasis from sarcoma. Further work is needed to evaluate
the optimal role of SBRT relative to surgery or chemotherapy for treatment of
metastatic sarcoma.
PMID- 29808082
TI - Age of Insomnia Onset Correlates with a Reversal of Default Mode Network and
Supplementary Motor Cortex Connectivity.
AB - Insomnia might occur as result of increased cognitive and physiological arousal
caused by acute or long acting stressors and associated cognitive rumination.
This might lead to alterations in brain connectivity patterns as those captured
by functional connectivity fMRI analysis, leading to potential insight about
primary insomnia (PI) pathophysiology as well as the impact of long-term exposure
to sleep deprivation. We investigated changes of voxel-wise connectivity patterns
in a sample of 17 drug-naive PI patients and 17 age-gender matched healthy
controls, as well as the relationship between brain connectivity and age of
onset, illness duration, and severity. Results showed a significant increase in
resting-state functional connectivity of the bilateral visual cortex in PI
patients, associated with decreased connectivity between the visual cortex and
bilateral temporal pole. Regression with clinical scores originally unveiled a
pattern of increased local connectivity as measured by intrinsic connectivity
contrast (ICC), specifically resembling the default mode network (DMN).
Additionally, age of onset was found to be correlated with the connectivity of
supplementary motor area (SMA), and the strength of DMN<-->SMA connectivity was
significantly correlated with both age of onset (R2 = 41%) and disease duration
(R2 = 21%). Chronic sleep deprivation, but most importantly early insomnia onset,
seems to have a significant disruptive effect over the physiological negative
correlation between DMN and SMA, a well-known fMRI marker of attention
performance in humans. This suggests the need for more in-depth investigations on
the prevention and treatment of connectivity changes and associated cognitive and
psychological deficits in PI patients.
PMID- 29808083
TI - Pirt Together with TRPV1 Is Involved in the Regulation of Neuropathic Pain.
AB - Neuropathic pain is a chronic pain and reduces the life quality of patients
substantially. Transient receptor potential vanilloid channel 1 (TRPV1), a
nonselective cation channel, has been shown to play a crucial role in neuropathic
pain. Although TRPV1 plays an important role in neuropathic pain, the mechanism
of how TRPV1 was regulated in neuropathic pain remains unclear. Pirt is a
membrane protein and binds to TRPV1 to enhance its activity. It was suggested
that Pirt should also be involved in neuropathic pain. In this study, we
investigated the role of Pirt in neuropathic pain (CCI model); the results show
that mechanical allodynia and thermal hyperalgesia were alleviated in Pirt-/-
mice in CCI models. TRPV1 expression was increased by immunofluorescence and real
time PCR experiments. The increase in TRPV1 expression was less in Pirt knockout
mice in CCI models. Moreover, the number of capsaicin-responding neurons and the
magnitude of evoked calcium response were attenuated in DRG neurons from Pirt-/-
mice in CCI models. Finally, we found that the pain behavior attenuated in
dysfunction of both Pirt and TRPV1 was much stronger than in dysfunction of Pirt
or TRPV1 only in a CCI model in vitro study. Taken together, Pirt together with
TRPV1 is involved in CCI-induced neuropathic pain.
PMID- 29808084
TI - Optimal Combination of Anodal Transcranial Direct Current Stimulations and Motor
Imagery Interventions.
AB - Motor imagery contributes to enhance the (re)learning of motor skills through
remapping of cortical networks. Combining motor imagery with anodal transcranial
direct-current stimulation (a-tDCS) over the primary motor cortex has further
been shown to promote its beneficial effects on postural control. Whether motor
imagery should be performed concomitantly to a-tDCS (over depolarized membrane)
or consecutively (over changing neurotransmitters activity) remains to be
elucidated. In the present study, we measured the performance in a postural
control task before and after three experimental conditions. Participants
received a-tDCS before (tDCSBefore), during (tDCSDuring), or both before and
during motor imagery training (tDCSBefore + During). Performance was improved
after tDCSDuring, but not after both the tDCSBefore and tDCSBefore + During
conditions. These results support that homeostatic plasticity is likely to
operate following a-tDCS through decreasing cortical excitability and that motor
imagery should be performed during anodal stimulation for optimum gains.
PMID- 29808085
TI - Synthesis and Pharmacological Valorization of Derivatives of 4-Phenyl-1,5
Benzodiazepin-2-One.
AB - The objective of our work is to make a pharmacological study of molecules derived
from 4-phenyl-1,5-benzodiazepin-2-one carrying long chains so that they have a
structure similar to surfactants, with the benzodiazepine as a hydrophilic head
and a carbon chain as a hydrophobic tail. First, we studied the acute toxicity of
the above mentioned 4-phenyl-1,5-benzodiazepin-2-one derivatives. This study was
conducted according to OECD 423 guidelines in female mice and revealed that these
compounds are nontoxic. We then assessed the psychotropic effects of our products
on the central nervous system (CNS). The results obtained show that 4-phenyl-1,5
benzodiazepin-2-one has no sedative effect at therapeutic doses of 100 and 200
mg/kg. On the other hand, its long-chain derivatives possess them. Moreover, all
these products have no cataleptic and hypnotic effects at the doses studied. But
at 100 mg/kg, these compounds all have the ability to significantly prolong the
hypnotic effect of thiopental sodium.
PMID- 29808086
TI - Adjuvant Therapy for Stage IB Germ Cell Tumors: One versus Two Cycles of BEP.
AB - Testicular germ cell tumours are the commonest tumours of young men and are
broadly managed either as pure seminomas or as 'nonseminomas'. The management of
Stage 1 nonseminomatous germ cell tumours (NSGCTs), beyond surgical removal of
the primary tumour at orchidectomy, is somewhat controversial. Cancer-specific
survival rates in these patients are in the order of 99% regardless of whether
surveillance, retroperitoneal lymph node dissection, or adjuvant chemotherapy is
employed. However, the toxicities of these treatment modalities differ.
Undertreating those destined to relapse exposes them to the potentially
significant toxicities of 3-4 cycles of bleomycin, etoposide, and cisplatin (BEP)
chemotherapy. Conversely, giving adjuvant chemotherapy to all patients following
orchidectomy results in overtreatment of a significant proportion. Therefore, the
challenge lies in delineating the patient population who require adjuvant
chemotherapy and in determining how much chemotherapy to give to adequately
reduce relapse risk. This chapter reviews the factors to be considered when
adopting a risk-adapted strategy for giving adjuvant chemotherapy in Stage 1B
NSGCT sand discusses the data regarding the number of BEP cycles to administer.
PMID- 29808087
TI - Implication of Nonalcoholic Fatty Liver Disease, Metabolic Syndrome, and
Subclinical Inflammation on Mild Renal Insufficiency.
AB - Background: Limited information exists about the impact of nonalcoholic fatty
liver disease (NAFLD) on mild renal insufficiency. We compared the relative
influence of NAFLD, metabolic syndrome (MetS), and subclinical inflammation,
alone or in combination, on mild renal insufficiency. Methods: This study
included 1174 Korean adults. NAFLD was diagnosed using ultrasonography. Mild
renal insufficiency was defined as an estimated glomerular filtration rate (eGFR)
>= 60 and <90 mL/min/1.73 m2. Results: In partial correlation analysis, several
components of MetS and liver aminotransferase levels, but not high-sensitivity C
reactive protein (hsCRP), were associated with eGFR. Multivariate logistic
regression analysis demonstrated the independent association of NAFLD (P = 0.034)
and MetS (P = 0.018) with mild renal insufficiency, but not elevated hsCRP (P =
0.885). Furthermore, NAFLD without the MetS group (odds ratio (95% confidence
interval) = 1.56 (1.05-2.34)) or MetS without the NAFLD group (1.82 (1.11-3.00))
was associated with mild renal insufficiency after adjusting for confounding
variables. However, individuals with high hsCRP showed no relationship with mild
renal insufficiency, irrespective of the existence of NAFLD. Conclusions: This
study demonstrated that NAFLD and MetS are independently associated with mild
renal insufficiency, whereas subclinical inflammation did not affect the risk for
mild renal insufficiency in Korean adults.
PMID- 29808088
TI - Oxidative Stress, Apoptosis, and Mitochondrial Function in Diabetic Nephropathy.
AB - Diabetic nephropathy (DN) is the second most frequent and prevalent complication
of diabetes mellitus (DM). The increase in the production of oxidative stress
(OS) is induced by the persistent hyperglycemic state capable of producing
oxidative damage to the macromolecules (lipids, carbohydrates, proteins, and
nucleic acids). OS favors the production of oxidative damage to the histones of
the double-chain DNA and affects expression of the DNA repairer enzyme which
leads to cell death from apoptosis. The chronic hyperglycemic state unchains an
increase in advanced glycation end-products (AGE) that interact through the
cellular receptors to favor activation of the transcription factor NF-kappaB and
the protein kinase C (PKC) system, leading to the appearance of inflammation,
growth, and augmentation of synthesis of the extracellular matrix (ECM) in DN.
The reactive oxygen species (ROS) play an important role in the pathogenesis of
diabetic complications because the production of ROS increases during the
persistent hyperglycemia. The primary source of the excessive production of ROS
is the mitochondria with the capacity to exceed production of endogenous
antioxidants. Due to the fact that the mechanisms involved in the development of
DN have not been fully clarified, there are different approaches to specific
therapeutic targets or adjuvant management alternatives in the control of
glycemia in DN.
PMID- 29808091
TI - Risk of Microvascular Complications and Macrovascular Risk Factors in Early-Onset
Type 1 Diabetes after at Least 10 Years Duration: An Analysis of Three Population
Based Cross-Sectional Surveys in Germany between 2009 and 2016.
AB - Aims: To estimate the risk of microvascular complications and macrovascular risk
factors among persons with early-onset (diagnosed at ages 0 to <5 years) and long
duration type 1 diabetes and determine temporal trends and associations with
potential predictors. Methods: We conducted three population-based cross
sectional surveys in Germany (N = 1789) to obtain information on exposures and
five outcomes (retinopathy, nephropathy, dyslipidemia, hypertension, and a
composite endpoint combining all four outcomes). For each outcome, log-binomial
spline regression was applied to estimate the risk and dose-response relationship
with diabetes duration and exposures. Results: The risk for microvascular
complications increased after 14 years since diabetes diagnosis whereas
dyslipidemia and hypertension were already prevalent at 10 years. The 15-year
risk (95% confidence interval) of the composite endpoint for female and male
patients was 22.9% (18.8%-27.9%) and 19.2% (15.5%-23.8%), respectively. Temporal
trends suggested a decreasing risk between 2009 and 2016. Glycemic control,
lifestyle-related factors, and SES, but not health care-related factors, were
associated with the risk of the composite endpoint. Conclusions: In early-onset
type 1 diabetes, there exists a considerable risk of complications and
comorbidities already in young ages. Future research should focus on prevention
of diabetic complications in young patients and clarification of pathways of the
associations found.
PMID- 29808090
TI - Role of the Wnt/beta-Catenin Pathway in Renal Osteodystrophy.
AB - Vascular calcification and bone fragility are common and interrelated health
problems that affect chronic kidney disease (CKD) patients. Bone fragility, which
leads to higher risk of fracture and mortality, arises from the abnormal bone
remodeling and mineralization that are seen in chronic kidney disease. Recently,
sclerostin and Dickkopf-related protein 1 were suggested to play a significant
role in CKD-related bone disease as they are known inhibitors of the Wnt pathway,
thus preventing bone formation. This review focuses on new knowledge about the
Wnt pathway in bone, how its function is affected by chronic kidney disease and
how this affects bone structure. Expression of components and inhibitors of the
Wnt pathway has been shown to be affected by the loss of kidney function, and a
better understanding of the bone effects of Wnt pathway inhibitors could allow
the development of new therapies to prevent bone fragility in this population.
PMID- 29808093
TI - A Radiographic Evaluation of Missing of Permanent First Molars in a Group of
Iranian Children and Adults: A Retrospective Study.
AB - The missing of permanent first molars influences the occlusal status and dental
health. The purpose of this study was to determine the prevalence of missing
first molar teeth in a selected population of Shiraz, Iran. Methods. A total of
2206 panoramic views of patients aged from 7 to 75 years old were inspected for
missing of permanent first molars. Patients were categorized into five age
groups: from 7 to 15, 16 to 30, 31 to 45, 46 to 60, and more than 60 years old.
Data were categorized according to sex, age, and number of lost teeth using SPSS
software. Results. No first molar was missing in 59.9% of the cases, 17.05% had
lost one, 10.4% had lost two, 7.2% had lost three, and 5% were missing all four
of their permanent first molars. The mandibular first molar was the most commonly
lost tooth, and the left side in both jaws was more affected than the right side.
There was a positive relation between age and missing first molar. Conclusions. A
missing first molar is a common finding in southern Iran population. Due to the
important role of permanent first molars in occlusion, more education and dental
care is recommended to preserve these teeth.
PMID- 29808092
TI - The Efficacy and Safety of the Chinese Herbal Formula, JTTZ, for the Treatment of
Type 2 Diabetes with Obesity and Hyperlipidemia: A Multicenter Randomized,
Positive-Controlled, Open-Label Clinical Trial.
AB - Background and Aim: Studies have shown an increasing number of type 2 diabetes
(T2D) patients with concomitant obesity and hyperlipidemia syndromes, resulting
from relevant metabolic disorders. However, there are few medications and
therapies, which can thoroughly address these issues. Therefore, the current
study evaluated the efficacy and safety of using JTTZ, a Chinese herbal formula,
to treat T2D with obesity and hyperlipidemia. Methods: A total of 450
participants with T2D (HbA1c >= 7.0%; waist circumference >= 90 cm and 80 cm in
males and females, resp.; and triglycerides (TG) >= 1.7 mmol/L) were randomly
assigned, in equal proportions, to two groups in this multicenter randomized,
positive-controlled, open-label trial. One group received JTTZ formula, and the
other received metformin (MET) for 12 consecutive weeks. The primary efficacy
outcomes were changes in HbA1c, TG, weight, and waist circumference. Adverse
reactions and hypoglycemia were monitored. Results: HbA1c decreased by 0.75 +/-
1.32% and 0.71 +/- 1.2% in the JTTZ and MET groups, respectively, after 12 weeks
of treatment. TG levels in the JTTZ and MET groups were reduced by 0.64 +/- 2.37
mmol/L and 0.37 +/- 2.18 mmol/L, respectively. Weight was decreased by 2.47 +/-
2.71 kg in the JTTZ group and by 2.03 +/- 2.36 kg in the MET group. JTTZ also
appeared to alleviate insulin resistance and increase HOMA-beta. In addition,
symptoms were significantly relieved in participants in the JTTZ group compared
to those in the MET group. One case of hypoglycemia was reported in the MET
group. No severe adverse events were reported in either group. Conclusions: The
JTTZ formula led to safe and significant improvements in the blood glucose, blood
lipids, and weight levels; relieved symptoms; and enhanced beta cell function for
T2D patients with obesity and hyperlipidemia. The JTTZ formula has shown that it
could potentially be developed as an alternative medicine for patients with T2D,
particularly those who cannot tolerate metformin or other hypoglycemic drugs.
This trial was registered with Clinicaltrials.gov NCT01471275.
PMID- 29808089
TI - Noncoding RNAs Carried by Extracellular Vesicles in Endocrine Diseases.
AB - RNA molecules are essential and fine regulators of important biological
processes. Their role is well documented also in the endocrine system, both in
physiological and pathological conditions. Increasing interest is arising about
the function and the importance of noncoding RNAs shuttled by extracellular
vesicles (EVs). In fact, EV membrane protects nucleic acids from enzyme
degradation. Nowadays, the research on EVs and their cargoes, as well as their
biological functions, faces the lack of standardization in EV purification. Here,
the main techniques for EV isolation are discussed and compared for their
advantages and vulnerabilities. Despite the possible discrepancy due to
methodological variability, EVs and their RNA content are reported to be key
mediators of intercellular communication in pathologies of main endocrine organs,
including the pancreas, thyroid, and reproductive system. In particular, the
present work describes the role of RNAs contained in EVs in pathogenesis and
progression of several metabolic dysfunctions, including obesity and diabetes,
and their related manifestations. Their importance in the establishment and
progression of thyroid autoimmunity disorders and complicated pregnancy is also
discussed. Preliminary studies highlight the attractive possibility to use RNAs
contained in EVs as biomarkers suggesting their exploitation for new diagnostic
approaches in endocrinology.
PMID- 29808094
TI - Subdural Hemorrhage after Scoliosis and Detethering of Cord Surgery.
AB - Introduction: Intracranial hypotension may occur when CSF leaks from the
subarachnoid space. Formation of intracranial, subdural, and subarachnoid
hemorrhage has been observed after significant CSF leak as seen in lumbar
puncture or ventricular shunt placement. However, very few cases, referring to
these remote complications following spine surgery, have been described in
literature. We present a case of a 10-year-old male child operated for idiopathic
scoliosis with low-lying conus medullaris who postoperatively developed subdural
hemorrhage. Case Report: A case of a 10-year-old male operated for idiopathic
scoliosis with low-lying conus medullaris is presented. To correct this,
detethering was done at the L3 level, laminectomy was done from L2 to L3 with
pedicular screw fixation from T3 to L2, and bone grafting with right costoplasty
was done from the 3rd to the 6th ribs. On the 5th day postoperatively, the
patient developed convulsions and drowsiness and recovered subsequently by
postoperative day 7. Conclusion: We report a rare case of an acute intracranial
subdural hemorrhage caused by intracranial hypotension following scoliosis and
detethering of cord surgery. This report highlights the potential morbidity
associated with CSF leak occurring after this surgery.
PMID- 29808095
TI - A Large Grade 5 Mobile Aortic Arch Atheromatous Plaque: Cause of Cerebrovascular
Accident.
AB - Aortic atheromas (aortic atheromatous plaques) are defined by an irregular
thickening of the intima >=2 mm, and a complex plaque is defined as a protruding
atheroma >=4 mm with or without an attached mobile component. Stroke incidence is
approximately 25% in patients with mobile plaques of the aortic arch and 2% in
patients with quiescent nonmobile plaques. Antiplatelet agents, oral
anticoagulants, and statins have been suggested in the management of atheromas.
We present an 80-year-old male, with non-ST-segment elevation myocardial
infarction (NSTEMI) and chronic dysarthria, found to have an acute
cerebrovascular accident (CVA) secondary to embolism from a large 12 mm aortic
arch plaque, treated medically with oral antiplatelet therapy, anticoagulation,
and statin therapy.
PMID- 29808096
TI - Hypoparathyroidism Causing Seizures: When Epilepsy Does Not Fit.
AB - A 24-year-old man presented to the Chris Hani Baragwanath Academic Hospital
emergency department with recurrent seizures having previously been diagnosed
with epilepsy from age 14. The biochemical investigations and brain imaging were
suggestive of seizures secondary to hypocalcemia, and a diagnosis of idiopathic
hypoparathyroidism was confirmed. After calcium and vitamin D replacement, the
patient recovered well and is seizure free, and off antiepileptic therapy. This
case highlights the occurrence of brain calcinosis in idiopathic
hypoparathyroidism; the occurrence of acute symptomatic seizures due to provoking
factors other than epilepsy; and the importance, in the correct clinical setting,
of considering alternative, and sometimes treatable, causes of seizures other
than epilepsy.
PMID- 29808097
TI - Infectious Aortitis: A Life-Threatening Endovascular Complication of Nontyphoidal
Salmonella Bacteremia.
AB - A 65-year-old Japanese man living in the United States presented with pyrexia and
chills associated with intermittent lower abdominal and back pain for 5 days. He
denied recent travel, rash, diarrhea, or rectal bleeding. Physical examination
revealed spiking pyrexia, and routine laboratory tests revealed mild leukocytosis
and neutrophilia. Abdominal CT with contrast showed findings highly compatible
with aortitis. Comprehensive autoimmune evaluation was negative. Salmonella
enterica serotype Enteritidis was isolated from blood cultures. IV antibiotics
were administered, but the patient continued to experience low-grade pyrexia and
mild leukocytosis, and follow-up abdominal CT showed progressive aortic
inflammation. The patient therefore underwent resection of the affected aortic
segment with in-situ graft replacement and lifelong suppressive antibiotics. The
patient is asymptomatic with no complications at 18 weeks of follow-up. This case
report illustrates that patients with infectious aortitis from nontyphoidal
Salmonella may (1) present with nonspecific and nonlocalizing symptoms and signs
except for sepsis; (2) have diagnostic blood cultures and abdominal CT findings;
and (3) typically require aggressive, prolonged IV antibiotic therapy and surgery
for potential cure of this life-threatening infection.
PMID- 29808099
TI - Muscle Coactivation during Stability Exercises in Rhythmic Gymnastics: A Two-Case
Study.
AB - Balance exercises in rhythmic gymnastics are performed on tiptoes, which causes
overload of foot joints. This study aimed to evaluate the engagement of muscles
stabilizing ankle and knee joints in balance exercises and determine exercises
which may lead to ankle and knee joint injuries. It was hypothesized that long
term training has an influence on balance control and efficient use of muscles in
their stabilizing function. Two rhythmic gymnasts (8 and 21 years old) performed
balances on tiptoes (side split with hand support, ring with hand support) and on
a flat foot (back split without hand support exercise). Surface electromyography,
ground reaction forces, and kinematic parameters of movement were measured. The
measuring systems applied were synchronized with the BTS SMART system. The
results show the necessity to limit balance exercises on tiptoes in children
because gastrocnemius medialis (GM) and gastrocnemius lateralis (GL) activity
significantly exceeds their activity. Ankle joint stabilizing activity of GM and
GL muscles in the younger gymnast was more important than in the older one.
Performing this exercise, the younger gymnast distributed load on the anterior
side of the foot while the older one did so on its posterior. Gymnastics coaches
should be advised to exclude ring with hand support exercise from the training of
young gymnasts.
PMID- 29808098
TI - Feasibility of Muscle Synergy Outcomes in Clinics, Robotics, and Sports: A
Systematic Review.
AB - In the last years, several studies have been focused on understanding how the
central nervous system controls muscles to perform a specific motor task.
Although it still remains an open question, muscle synergies have come to be an
appealing theory to explain the modular organization of the central nervous
system. Even though the neural encoding of muscle synergies remains
controversial, a large number of papers demonstrated that muscle synergies are
robust across different tested conditions, which are within a day, between days,
within a single subject, and between subjects that have similar demographic
characteristics. Thus, muscle synergy theory has been largely used in several
research fields, such as clinics, robotics, and sports. The present systematical
review aims at providing an overview on the applications of muscle synergy theory
in clinics, robotics, and sports; in particular, the review is focused on the
papers that provide tangible information for (i) diagnosis or pathology
assessment in clinics, (ii) robot-control design in robotics, and (iii) athletes'
performance assessment or training guidelines in sports.
PMID- 29808101
TI - Clinical and Functional Characteristics of Subjects with Asthma, COPD, and Asthma
COPD Overlap: A Multicentre Study in Vietnam.
AB - Introduction: Subjects with asthma-chronic obstructive pulmonary disease (COPD)
overlap (ACO) share common features of patients with asthma and COPD. Our study
was planned to describe the clinical and functional features of subjects with ACO
compared to asthma and COPD patients. Subjects and Methods: Study subjects who
met the inclusion criteria were classified into three different groups: asthma,
COPD, and ACO groups. All study subjects underwent clinical examination and
biological and functional testing. They were then followed for 6 months to
evaluate the response to conventional treatment. Results: From March 2015 to
March 2017, 76 asthmatic (mean age: 41 +/- 22 years), 74 COPD (59 +/- 13 years),
and 59 ACO (52 +/- 14 years) subjects were included. The percentage of subjects
with dyspnea on excretion in the ACO group was higher than that in asthma and
COPD groups (P < 0.001 and P < 0.05, resp.). Subjects with COPD and ACO had
significant airflow limitation (FEV1) compared to asthma (64 +/- 17% and 54 +/-
14% versus 80 +/- 22%; P < 0.01 and P < 0.01, resp.). The levels of FENO in
subjects with asthma and ACO were significantly higher than those in subjects
with COPD (46 +/- 28 ppb and 34 +/- 12 ppb versus 15 +/- 8 ppb; P < 0.001 and P <
0.001, resp.). VO2 max and 6MWD were improved in study subjects after 6 months of
treatment. Increased CANO and AHI > 15/hour had a significant probability of risk
for ACO (OR = 33.2, P < 0.001, and OR = 3.4, P < 0.05, resp.). Conclusion:
Subjects with ACO share the common clinical and functional characteristics of
asthma and COPD but are more likely to have sleep apnea. The majority of patients
with ACO have a favourable response to combined treatment.
PMID- 29808100
TI - Functional Connectivity Changes in Behavioral, Semantic, and Nonfluent Variants
of Frontotemporal Dementia.
AB - Frontotemporal dementia (FTD) affects behavior, language, and personality. This
study aims to explore functional connectivity changes in three FTD variants:
behavioral (bvFTD), semantic (svPPA), and nonfluent variant (nfvPPA). Seventy-six
patients diagnosed with FTD by international criteria and thirty-two controls
were investigated. Functional connectivity from resting functional magnetic
resonance imaging (fMRI) was estimated for the whole brain. Two types of analysis
were done: network basic statistic and topological measures by graph theory.
Several hubs in the limbic system and basal ganglia were compromised in the
behavioral variant apart from frontal networks. Nonfluent variants showed a major
disconnection with respect to the behavioral variant in operculum and parietal
inferior. The global efficiency had lower coefficients in nonfluent variants than
behavioral variants and controls. Our results support an extensive disconnection
among frontal, limbic, basal ganglia, and parietal hubs.
PMID- 29808102
TI - The Function of Ophiocordyceps sinensis in Airway Epithelial Cell Senescence in a
Rat COPD Model.
AB - Ophiocordyceps sinensis (O. sinensis) seems to be able to alleviate airway
epithelial cell senescence in chronic obstructive pulmonary disease (COPD). The
objective of the study is to evaluate the effect of O. sinensis on airway
epithelial senescence in the COPD model both in vitro and in vivo. We observed
the expression of P16 and P21 in the airway epithelia of 30 patients with COPD.
The optimal concentration of O. sinensis and exposure time of the cigarette smoke
extract (CSE) were determined in vitro, and senescence-associated beta
galactosidase (SA-beta-gal) and 5-bromodeoxyuridine (BrdU) were used to evaluate
the senescence and proliferation of human bronchial epithelial (16HBE) cells
pretreated with O. sinensis by staining kits. COPD model rats were treated with
O. sinensis at various concentrations to determine the changes in P16 and P21
expression in airway epithelial tissues. It was found that the expression levels
of P16 and P21 were higher in the airway epithelia of COPD patients than those in
the control group based on immunohistochemical staining, real-time quantitative
PCR, and western blotting. The CSE could induce 16HBE cell senescence, and O.
sinensis could alleviate CSE-induced senescence and promote the proliferation of
16HBE cells. The expression levels of P16 and P21 were also higher in the airway
epithelia of COPD model rats; however, the levels of P16 and P21 in the groups
treated with all concentrations of O. sinensis were obviously lower than those in
the COPD model group based on real-time quantitative PCR and western blotting. In
conclusion, the CSE can induce airway epithelium senescence, and O. sinensis can
inhibit CSE-induced cellular senescence, both in vitro and in vivo.
PMID- 29808103
TI - Daily Chronic Intermittent Hypobaric Hypoxia Does Not Induce Chronic Increase in
Pulmonary Arterial Pressure Assessed by Echocardiography.
AB - Chronic hypoxia causes pulmonary vascular remodeling resulting in persistently
increased pulmonary arterial pressures (PAP) even after return to normoxia.
Recently, interest in chronic intermittent hypobaric hypoxia (CIHH) was raised
because it occurs in subjects working at high altitude (HA) but living in
lowland. However, effects of daily CIHH on PAP are unknown. In this pilot study,
we included 8 healthy subjects working at (2650 m) each workday for 8-9 h while
living and sleeping at LA and 8 matched control subjects living and working at
LA. Cardiorespiratory measurements including echocardiography at rest and during
exercise were performed at LA (Munich, 530 m) and HA (Zugspitze, 2650 m).
Hemoglobin was higher in CIHH subjects. LA echocardiography showed normal right
and left cardiac dimensions and function in all subjects. Systolic PAP (sPAP) and
tricuspid annular plane systolic excursion (TAPSE) at rest were similar in both
groups. Resting blood gas analysis (BGA) at HA revealed decreased pCO2 in CIHH
compared to controls (HA: 28.4 versus 31.7 mmHg, p=0.01). During exercise, sPAP
was lower in CIHH subjects compared to controls (LA: 28.7 versus 35.3 mmHg,
p=0.02; HA: 26.3 versus 33.6 mmHg, p=0.04) and peripheral oxygen saturation
(SpO2) was higher. In sum, subjects exposed to CIHH showed no signs of pulmonary
vascular remodeling.
PMID- 29808104
TI - Structure Analysis of Effective Chemical Compounds against Dengue Viruses
Isolated from Isatis tinctoria.
AB - The history of Chinese herb research can be traced back to thousands of years
ago, and the abundant knowledge accumulated for these herbs makes them good
candidates for developing new natural drugs. Isatis tinctoria is probably the
most well-studied Chinese herb, which has been identified to be effective against
dengue fever. However, the underlying biological mechanisms are still unclear. In
this study, we adopt combined methods of bioactive trace technology and
phytochemical extraction and separation, to guide the isolation and purification
of the effective chemical constituents on the water-soluble components of aerial
parts of Isatis tinctoria. In addition, we apply polarimetry and 1D or 2D nuclear
magnetic resonance (NMR) spectroscopy to identify their structures, which lay a
foundation for further study on the biological mechanisms underlying medicinal
effects of Isatis tinctoria using in vitro and in vivo experiments. Specifically,
we identify and infer the structures of 27 types of chemical compounds named GB
1, GB-2, ..., GB-27, respectively, among which GB-7 is a novel compound. Further
study of these compounds is critical to reveal the secrets behind the medicinal
effects of Isatis tinctoria.
PMID- 29808105
TI - Ultrasound-Guided Intervention for Treatment of Trigeminal Neuralgia: An Updated
Review of Anatomy and Techniques.
AB - Orofacial myofascial pain is prevalent and most often results from entrapment of
branches of the trigeminal nerves. It is challenging to inject branches of the
trigeminal nerve, a large portion of which are shielded by the facial bones. Bony
landmarks of the cranium serve as important guides for palpation-guided
injections and can be delineated using ultrasound. Ultrasound also provides real
time images of the adjacent muscles and accompanying arteries and can be used to
guide the needle to the target region. Most importantly, ultrasound guidance
significantly reduces the risk of collateral injury to vital neurovascular
structures. In this review, we aimed to summarize the regional anatomy and
ultrasound-guided injection techniques for the trigeminal nerve and its branches,
including the supraorbital, infraorbital, mental, auriculotemporal, maxillary,
and mandibular nerves.
PMID- 29808106
TI - Clinical Significance of Epidurography Contrast Patterns after Adhesiolysis
during Lumbar Percutaneous Epidural Neuroplasty.
AB - Background: The correlation between epidurography contrast patterns and the
clinical outcomes of percutaneous epidural neuroplasty (PEN) remains unclear.
Objective: To analyze the correlation between postadhesiolysis epidurography
contrast patterns and the clinical outcomes of patients who undergo lumbar PEN.
Design: This study is a retrospective analysis of 78 consecutive patients who
underwent lumbar PEN between April 2012 and March 2013. Setting: The analysis was
done in the university hospital center. Method: The clinical outcomes of all
patients were assessed before and 1, 3, 6, and 12 months after undergoing lumbar
PEN. Specifically, the intensity of back and leg pain, quality of life, and
procedural outcomes were evaluated using a visual analog scale (VAS), the
Oswestry Disability Index (ODI), and the 12-Item Short-Form Health Survey (SF
12). Results: The VAS scores for back and leg pain, ODI score, and SF-12 score
exhibited a significant improvement during the follow-up period (P < 0.01 versus
preprocedural scores). At most follow-up time points, patients exhibiting
extraforaminal contrast distribution (n=22) on postadhesiolysis epidurograms
exhibited a similar improvement in VAS scores and a significantly better
improvement in ODI and SF-12 scores compared with patients exhibiting intracanal
contrast distribution (n=56). Conclusion: Extraforaminal contrast distribution
during lumbar PEN may be associated with better functional outcomes.
PMID- 29808107
TI - Subjective Experiences and Sensitivities in Women with Fibromyalgia: A
Quantitative and Comparative Study.
AB - Fibromyalgia is a chronic widespread pain syndrome associated with chronic
fatigue. Its pathogenesis is not clearly understood. This study presents
subjective experiences and sensitivities reported by fibromyalgia patients, which
should be considered in primary care to avoid medical nomadism, as well as
stigmatization of the patients. The prevalence of significant characteristics was
compared with others patients consulting at the same pain unit who suffer from
rebel and disabling form of chronic migraine. Psychometric tests were anonymously
completed by 78 patients of the Pain Unit (44 fibromyalgia patients and 34
migraine patients). Tests evaluated pain (Visual Analog scale), childhood traumas
(Childhood Trauma Questionnaire), lack of parental affection, stressful life
events (Holmes and Rahe Scale), anxiety and depression (Hospital Anxiety and
Depression Scale), perceived hypersensitivity to 10 stimuli, and hyperactivity
before illness. However, pain scores were comparable in the two groups, and the
prevalence was significantly higher in fibromyalgia patients than in migraine
patients for anxiety (81.8% versus 51.5%) and depression (57.1% versus 8.8%).
Childhood physical abuses were more frequently reported in fibromyalgia than in
migraine cases (25% versus 3%). Similarly, the feeling of lack of parental
affection, subjective hypersensitivity to stress and stimuli (cold, moisture,
heat, full moon, and flavors) or hyperactivity (ergomania), appeared as prominent
features of fibromyalgia patients. Fibromyalgia patients considered themselves as
being hypersensitive (mentally and physically) compared to migraine patients.
They also have higher depression levels. Beyond somatic symptoms, precociously
taking account of psychosocial and behavioral strategies would highly improve
treatment efficiency of the fibromyalgia syndrome.
PMID- 29808108
TI - Long-Term Outcomes of a Multimodal Day-Clinic Treatment for Chronic Pain under
the Conditions of Routine Care.
AB - Chronic pain has high prevalence rates and is one of the top causes of years
lived with disability. The aim of the present study was to evaluate the long-term
effects of a multimodal day-clinic treatment for chronic pain. The sample
included 183 chronic pain patients (114 females and 69 males; 53.3 +/- 9.8 years)
who participated in a four-week multimodal day-clinic treatment for chronic pain.
The patients' average current pain intensity (NRS), sensory and affective pain
(Pain Perception Scale), and depression and anxiety (HADS) were assessed at pre-
and posttreatment, as well as at three follow-ups (one month, six months, and
twelve months after completion of the treatment). Multilevel models for
discontinuous change were performed to evaluate the change of the outcome
variables. Improvements from pretreatment to posttreatment and from pretreatment
to all follow-ups emerged for pain intensity (NRS; 0.54 <= d <= 0.74), affective
pain (Pain Perception Scale; 0.24 <= d <= 0.47), depression (HADS; 0.38 <= d <=
0.53), and anxiety (HADS; 0.26 <= d <= 0.43) (all p < 0.05). Sensory pain as
assessed with the Pain Perception Scale did not show any significant change.
Patients suffering from chronic pain benefited from the multimodal pain treatment
up to twelve months after completion of the treatment.
PMID- 29808109
TI - A Lower Limb Rehabilitation Robot in Sitting Position with a Review of Training
Activities.
AB - Robots for stroke rehabilitation at the lower limbs in sitting/lying position
have been developed extensively. Some of them have been applied in clinics and
shown the potential of the recovery of poststroke patients who suffer from
hemiparesis. These robots were developed to provide training at different joints
of lower limbs with various activities and modalities. This article reviews the
training activities that were realized by rehabilitation robots in literature, in
order to offer insights for developing a novel robot suitable for stroke
rehabilitation. The control system of the lower limb rehabilitation robot in
sitting position that was introduced in the previous work is discussed in detail
to demonstrate the behavior of the robot while training a subject. The nonlinear
impedance control law, based on active assistive control strategy, is able to
define the response of the robot with more specifications while the passivity
property and the robustness of the system is verified. A preliminary experiment
is conducted on a healthy subject to show that the robot is able to perform
active assistive exercises with various training activities and assist the
subject to complete the training with desired level of assistance.
PMID- 29808110
TI - Detection of Heart Rate through a Wall Using UWB Impulse Radar.
AB - Measuring the physiological functions of the human body in a noncontact manner
through walls is useful for healthcare, security, and surveillance. And radar
technology can be used for this purpose. In this paper, a new method for
detecting the human heartbeat using ultra wideband (UWB) impulse radar, which has
advantages of low power consumption and harmlessness to human body, is proposed.
The heart rate is extracted by processing the radar signal in the time domain and
then using a principal component analysis of the time series data to indicate the
phase variations that are caused by heartbeats. The experimental results show
that a highly accurate detection of heart rate is possible with this method.
PMID- 29808111
TI - Classification of Targets and Distractors Present in Visual Hemifields Using Time
Frequency Domain EEG Features.
AB - This paper presents a classification system to classify the cognitive load
corresponding to targets and distractors present in opposite visual hemifields.
The approach includes the study of EEG (electroencephalogram) signal features
acquired in a spatial attention task. The process comprises of EEG feature
selection based on the feature distribution, followed by the stepwise
discriminant analysis- (SDA-) based channel selection. Repeated measure analysis
of variance (rANOVA) is applied to test the statistical significance of the
selected features. Classifiers are developed and compared using the selected
features to classify the target and distractor present in visual hemifields. The
results provide a maximum classification accuracy of 87.2% and 86.1% and an
average classification accuracy of 76.5 +/- 4% and 76.2 +/- 5.3% over the
thirteen subjects corresponding to the two task conditions. These correlates
present a step towards building a feature-based neurofeedback system for visual
attention.
PMID- 29808112
TI - The Association between E326K of GBA and the Risk of Parkinson's Disease.
AB - It is reported that both the homozygous and heterozygous states of GBA mutations
which are the causes of Gaucher disease (GD) are linked to the risk of PD.
However, the GBA variant p.E326K (c.1093G > A, rs2230288), which does not result
in GD in homozygous carriers, has triggered debate among experts studying
Parkinson's disease (PD). In order to determine if the E326K variant of GBA is
associated with the risk of PD, a standard meta-analysis was conducted by
searching and screening publications, data extraction, and statistical analysis.
Finally, a total of 15 publications, containing 5,908 PD patients and 5,605
controls, were included in this analysis. The pooled OR of the E326K genotype
analysis was 1.99 (95% CI: 1.57-2.51). The minor allele frequencies of E326K for
PD patients and controls were 1.67% and 1.03%, respectively. The pooled OR for
the minor allele A was 1.99 (95% CI: 1.58-2.50). According to the subgroup
analysis, we found that the significant differences between PD patients and
controls for both genotype and allele of E326K also exist in Asians and
Caucasians, respectively. In this study, we found that E326K of GBA is associated
with the risk of PD in total populations, Asians, and Caucasians, respectively.
Further studies are needed to clarify the role of GBA in the pathogenesis of PD.
PMID- 29808113
TI - Clinimetrics of the 9- and 19-Item Wearing-Off Questionnaire: A Systematic
Review.
AB - The treatment of Parkinson's disease (PD) with dopaminergic therapy improves
functionality and quality of life. However, as the disease progresses, the
wearing-off phenomenon develops, which necessitates complex posology adjustment
or adjuvant therapy. This phenomenon may not be well recognized, especially if it
is mild or involves nonmotor symptoms. Questionnaires were developed to improve
the recognition of the wearing-off phenomenon. The questionnaires consist of a
list of symptoms that patients must check if they have and if the symptoms
improve with medication. A recent review by the Movement Disorder Society
suggested the 19-item (WOQ-19) and 9-item (WOQ-9) questionnaires as screening
tools for the wearing-off phenomenon. However, there has not been a systematic
review to assess the questionnaires' clinimetric properties, such as sensitivity,
specificity, test-retest reliability, and responsiveness. We conducted an
extensive search for studies using these two tools. We identified 3 studies using
WOQ-19 and 5 studies using WOQ-9. Both questionnaires seem to have good
sensitivity (0.81-1). WOQ-19 has variable specificity (0.39-0.8), depending on
the number of positive items, while WOQ-9 lacks specificity (0.1-0.69). Only one
study using WOQ-19 reported test-retest, and only two studies reported
responsiveness. Thus, this report describes the first independent systematic
review to exam quantitatively the clinimetric properties of these two
questionnaires.
PMID- 29808114
TI - Preoperative Low-Density Lipoprotein Apheresis for Preventing Recurrence of Focal
Segmental Glomerulosclerosis after Kidney Transplantation.
AB - Background: Focal segmental glomerulosclerosis (FSGS) often develops rapidly and
frequently progresses to renal failure, while the recurrence rate after kidney
transplantation is 20-50%. We performed low-density lipoprotein (LDL) apheresis
before kidney transplantation in FSGS patients to prevent recurrence. Methods:
Five adult patients with chronic renal failure due to FSGS undergoing living
related donor kidney transplantation were investigated retrospectively. LDL
apheresis was done 1-2 times before transplantation. Postoperative renal function
and recurrence of FSGS were assessed. Results: The patients were two men and
three women aged 24 to 41 years. The observation period ranged from 60 days to 22
months. Preoperative LDL apheresis was performed once in one patient and twice in
four patients. Blood LDL cholesterol levels were normal before LDL apheresis and
remained normal both after LDL apheresis and after kidney transplantation.
Additional LDL apheresis was performed once in one patient with mild proteinuria
after transplantation. The renal graft survived in all patients and there was no
evidence of recurrent FSGS. Conclusions: Although the observation period was
short, FSGS did not recur in all 5 patients receiving preoperative LDL apheresis.
These results suggest that LDL apheresis can be effective in preventing
recurrence of FSGS after kidney transplantation.
PMID- 29808115
TI - The Chronic Effect of Interval Training on Energy Intake: A Systematic Review and
Meta-Analysis.
AB - Single bouts of acute exercise do not appear to increase subsequent energy intake
(EI), even when energy deficit is large. However, studies have shown a
compensatory effect on EI following chronic exercise, and it remains unclear
whether this is affected by exercise intensity. We investigated the chronic
effect of high-intensity interval training (HIIT) and sprint interval training
(SIT) on EI when compared with moderate-intensity continuous training (MICT) or
no exercise (CON). Databases were searched until 13 March 2017 for studies
measuring EI in response to chronic exercise (>=4 weeks of duration) of a high
intensity interval nature. Meta-analysis was conducted for between-group
comparisons on EI (kilojoules) and bodyweight (kg). Results showed large
heterogeneity, and therefore, metaregression analyses were conducted. There were
no significant differences in EI between HIIT/SIT versus MICT (P=0.282), HIIT/SIT
versus CON (P=0.398), or MICT versus CON (P=0.329). Although bodyweight was
significantly reduced after HIIT/SIT versus CON but not HIIT/SIT versus MICT (in
studies measuring EI), this was not clinically meaningful (<2% mean difference).
In conclusion, there is no compensatory increase in EI following a period of
HIIT/SIT compared to MICT or no exercise. However, this review highlights
important methodological considerations for future studies.
PMID- 29808116
TI - Obesity Prevention: A Systematic Review of Setting-Based Interventions from
Nordic Countries and the Netherlands.
AB - Aim: Effective evidence-based interventions have an important role in obesity
prevention. Our aim was to present a qualitative synthesis of setting-based
health promotion interventions on obesity, from Nordic countries and the
Netherlands. Methods: A systematic review of the literature was completed for
studies in the community, schools, and worksite, with BMI as an outcome. A
descriptive analysis was completed for all full-text articles meeting the
inclusion criteria. Results: Thirty-three articles were identified: 7 whole of
community, 3 worksite, and 23 school-based interventions. The studies were
largely quasiexperimental in design (21/33), with follow-up from 4 months to 8
years. The explicit use of theory was not featured in many of the studies
(20/33). No consistent direction for BMI change could be identified in the whole
of community interventions (2/7 positive, 2/7 negative, and 3/7 no effect) and no
effect for worksite (3/3 no effect) or many of the school-based interventions
(1/23 negative, 4/23 positive, 15/23 no effect, 1/23 BMI significant increase
only for control group and 3/23 no data available). Conclusions: There is a need
to prioritise interventions with study designs of high quality, theory, and a
participatory approach, for optimal implementation and evaluation of obesity
prevention interventions.
PMID- 29808117
TI - Can Diaphragm Dysfunction Be Reliably Evaluated with Pocket-Sized Ultrasound
Devices in Intensive Care Unit?
AB - Background: Diaphragm dysfunction (DD) is frequently seen in critically ill
patients, and ultrasound could be a useful tool to detect it and to predict
extubation success or failure in mechanically ventilated patients. Besides, it
would also be useful in differential diagnosis of dyspnea and respiratory
failure. The aim of this study is to evaluate usefulness and accuracy of pocket
sized ultrasound devices (PSDs) in assessment of DD in intensive care unit (ICU)
patients in comparison with standard ultrasound devices (SD). Methods: In this
prospective observational study, we compared the performance of PSD and SD in
visualization of diaphragm, detection of paradoxical movement, measurement of
tidal and maximal thickness, tidal and maximal excursion, and calculation of
thickening fraction (TF) of the diaphragm. We used Bland and Altman test for
agreement and bias analysis and intraclass correlation analysis to evaluate
interobserver variability. Results: Thirty-nine patients were included in the
study. In 93% of the patients, diaphragm was visualized with PSD. There was very
good agreement between the measurements of the devices, and there was no
proportional bias in the measurements of tidal inspiratory and expiratory
thickness, tidal TF, tidal excursion, and maximal inspiratory thickness. In
interobserver reliability analysis of all measurements for both devices, ICC
coefficients were higher than 0.8. Total diaphragm examination times of the
devices were similar (p > 0.05). Conclusion: These results suggest that PSD can
be useful in ICU patients for evaluating DD. But further studies are required to
determine the exact place of these devices in evaluation of DD in ICU patients.
PMID- 29808118
TI - Evaluation of Autonomic Nervous System, Saliva Cortisol Levels, and Cognitive
Function in Major Depressive Disorder Patients.
AB - Major depressive disorder (MDD) is associated with changes in autonomic nervous
system (ANS) and cognitive impairment. Heart rate variability (HRV) and Pulse
pressure (PP) parameters reflect influences of the sympathetic and
parasympathetic nervous system. Cortisol exerts its greatest effect on the
hippocampus, a brain area closely related to cognitive function. This study aims
to examine the effect of HRV, PPG, salivary cortisol levels, and cognitive
function in MDD patients by using noninvasive techniques. We have recruited MDD
patients, diagnosed based on DSM-V-TR criteria compared with healthy control
subjects. Their HRV and PP were measured by electrocardiogram (ECG) and
photoplethysmography (PPG). Salivary cortisol levels were collected and measured
on the same day. MDD patients exhibited elevated values of mean HR, standard
deviation of HR (SDHR), low frequency (LF) power, low frequency/high frequency
(LF/HF) ratio, mean PP, standard deviation of pulse pressure (SDPP), and salivary
cortisol levels. Simultaneously, they displayed lower values of mean of R-R
intervals (mean NN), standard deviation of R-R intervals (SDNN), high frequency
(HF) power, and WCST scores. Results have shown that the ANS of MDD patients were
dominated by the sympathetic activity and that they have cognitive deficits
especially in the domain of executive functioning.
PMID- 29808119
TI - Is Chemoprophylaxis for Child Contacts of Drug-Resistant TB Patients Beneficial?
A Systematic Review.
AB - Background: Preventive therapy for child contacts of multidrug-resistant
tuberculosis (MDR-TB) patients is poorly studied, and no consensus about the role
and the rationale of chemoprophylaxis has been reached. Objective: To conduct
systematic review with an aim to determine the effectiveness of TB preventive
therapy in reducing the incidence of TB disease in pediatric contacts of MDR-TB
patients. Methods: We conducted a literature search for randomized control
trials, cohort studies, and case reports of chemoprophylaxis for pediatric
contacts of MDR-TB patients in PubMed, EMBASE, Cochrane Databases of Systematic
Reviews, metaRegister of Controlled Trials, and other clinical registries through
March 2017, using appropriate search strategy. In addition we searched abstracts
from international conferences and references of published articles and reviews.
Results: Of the 153 references assessed from various databases, seven studies
were identified as relevant after adaption of eligibility criteria and assessed
for systematic review. Of these, only two studies contributed data for the pooled
meta-analysis. Conclusions: Though the available evidences suggest that the
chemoprophylaxis for child contacts of MDR-TB patients is beneficial, data to
support or reject preventive therapy is very limited. Further clinical research,
in Tb endemic settings like India, needs to be performed to prove the beneficial
effect of chemoprophylaxis for pediatric contacts of MDR-TB.
PMID- 29808121
TI - Bioactivity and Toxicity of Senna cana and Senna pendula Extracts.
AB - This work investigated the content of total polyphenolic compounds and flavonoids
as well as their toxicity and larvicidal and acetylcholinesterase inhibitory
activities. The antioxidant activities of two medicinal Senna species extracts
(Senna cana and Senna pendula) were also investigated. The ethanol extract of the
leaves of S. cana and the ethanol extract of the branches of S. pendula presented
the best performance in the DPPH/FRAP and ABTS/ORAC assays, respectively. For the
inhibition of acetylcholinesterase, the hexane extract of the flowers of S.
pendula presented the lowest IC50 value among the ethanol extracts of the leaves
of S. cana and showed the best performance in some assays. The hexane extract of
the leaves of S. pendula and the hexane extract of the branches of S. cana were
moderate to Artemia salina Leach. In the quantification of phenols and
flavonoids, the ethanol extract of the leaves of S. cana presented the best
results. The ethanol extracts of the leaves of S. cana were found to be rich in
antioxidants, phenolic compounds, and flavonoids. These results indicate the
antioxidant potential of the extracts of Senna species and can be responsible for
some of the therapeutic uses of these plants.
PMID- 29808120
TI - Effects of Number of Repetitions and Number of Hours of Shaping Practice during
Constraint-Induced Movement Therapy: A Randomized Controlled Trial.
AB - Background: Constraint-induced movement therapy (CIMT) is effective in improving
motor outcomes after stroke. However, its existing protocols are resource
intensive and difficult to implement. The aim of this study is to design an
easier CIMT protocol using number of repetitions of shaping practice. Method: The
study design was randomized controlled trial. Participants within 4 weeks after
stroke were recruited at Murtala Muhammad Specialist Hospital. They were randomly
assigned to groups A, B, C, and D. Group A received 3 hours of traditional
therapy. Groups B, C, and D received modified CIMT consisting of 3 hours of
shaping practice per session, 300 repetitions of shaping practice in 3 sessions,
and 600 repetitions of shaping practice in 3 sessions per day, respectively, and
constraint for 90% of the waking hours. All treatment protocols were administered
5 times per week for 4 weeks. The primary outcome was measured using upper limb
Fugl-Meyer assessment, while the secondary outcome was measured using motor
activity log, Wolf Motor Function Test, and upper limb self-efficacy test at
baseline, 2 weeks, and 4 weeks after intervention. Result: There were 48
participants 4 weeks after intervention. The result showed that there was no
significant difference between groups at baseline (p > 0.05). Within-group
improvements attained minimal clinically important difference (MCID) in modified
CIMT and 300 repetitions and 600 repetitions groups. Conclusion: Number of
repetitions of shaping practice significantly improved motor function, real-world
arm use, and upper limb self-efficacy after stroke. Therefore, it seems to be a
simple alternative for the use of number of hours. Trial Registration: This trial
is registered with Pan African Clinical Trial Registry (registration number:
PACTR201610001828172) (date of registration: 21/10/2016).
PMID- 29808122
TI - STEMI in a Young Male after Use of Synephrine-Containing Dietary Supplement.
AB - A twenty-two-year-old male with no significant past medical history who presented
with chest pain was found to have ST-segment elevation in leads II, III, aVF, and
V4-V6. On subsequent EKGs, patient had new ST-segment elevations in anterolateral
leads with dynamic changes. Cardiac catheterization showed acute dissection with
thrombosis of the distal left main coronary artery leading into the ostial left
anterior descending artery. The patient had no cardiac risk factors including
hypertension, hyperlipidemia, diabetes, or family history of early cardiac
disease. On further inquiry, the patient was found to be on two separate
performance-enhancing supplements which contained synephrine, a sympathomimetic
chemical which was later attributed as the cause of his acute coronary syndrome.
Synephrine acts on alpha-1 adrenergic receptors causing peripheral and coronary
vasoconstriction, hypertension, and hyperglycemia. Increased hemodynamic stress
on the coronary arteries can lead to fatal dissections. Ours is an atypical case
of synephrine-induced nonatherosclerotic spontaneous coronary artery dissection
which helps caution the physicians about the importance of dietary supplement use
in the history and possible side effects of such performance-enhancing additives.
PMID- 29808123
TI - Mesenteric Ischemia and Myocardial Infarction Associated with Atrial
Fibrillation.
AB - Atrial fibrillation is a common disease correlated with embolism incidents.
However, there is lack of report on atrial fibrillation causing myocardial
infarction and mesenteric ischemia at the same time. Our patient is a 69-year-old
woman who had undergone thoracic surgery a month before presented to our hospital
with newly discovered atrial fibrillation, abdominal pain, and ST-elevated
myocardial infarction. This is a rare case that atrial fibrillation took place
one month after surgery and caused embolism incidents in both coronary artery and
mesenteric artery.
PMID- 29808125
TI - Cor Triatriatum Sinister: An Unusual Cause of Atrial Fibrillation in Adults.
AB - Cor triatriatum is a rare congenital heart defect that is associated with an
increased risk for developing atrial fibrillation. We report a case of a healthy
38-year-old man who presented in decompensated heart failure and atrial
fibrillation with a rapid ventricular response. A transthoracic echocardiogram
(TTE) demonstrated severe biventricular dysfunction and dilatation in addition to
cor triatriatum sinister. He was diuresed with resolution of his symptoms and
spontaneously converted back to sinus rhythm. There is limited evidence in the
literature surrounding anticoagulation and associated left ventricular
dysfunction in the setting of cor triatriatum which posed difficult therapeutic
decisions.
PMID- 29808124
TI - Delayed Development of Coronary Ostial Stenosis following Surgical Aortic Valve
Replacement: A Case Report of Unusual Presentation.
AB - Coronary ostial stenosis is a rare but potentially life-threatening complication
that occurs in 1%-5% of patients who undergo surgical aortic valve replacement
(SAVR). Symptoms typically appear within the first 6 months and almost always
within a year after SAVR. We report an unusually delayed presentation of non-ST
segment elevation myocardial infarction due to coronary ostial stenosis 22 months
after SAVR. A 71-year-old woman underwent uncomplicated SAVR with a bioprosthetic
valve in August 2015 for severe aortic stenosis. A preoperative coronary
angiogram demonstrated widely patent left and right coronary arteries. In June
2017, the patient presented to the hospital with chest pain. An electrocardiogram
demonstrated 1 mm ST segment depression in the anterolateral leads, and serum
troponin I level was elevated to 2.3 ng/ml. Diagnostic coronary angiography
revealed severe ostial stenosis (99%) of the right coronary artery. A bare-metal
stent was successfully placed with an excellent angiographic result, and the
patient was asymptomatic at 4 months of follow-up after the procedure. As seen in
our case, coronary ostial stenosis should be considered in the differential
diagnosis of chest pain or arrhythmia in patients presenting with a history of
SAVR, even if the procedure was performed more than 1 year prior to presentation.
PMID- 29808126
TI - Successful Treatment of an Infant with Left Ventricular Noncompaction Presenting
with Fatal Ventricular Arrhythmia Treated with Cardiac Resynchronization Therapy
and an Implantable Cardioverter Defibrillator.
AB - We herein report the successful treatment of a 4-year-old girl with left
ventricular noncompaction (LVNC) who presented with incessant ventricular
fibrillation at 5 months of age. An implantable cardioverter defibrillator (ICD)
was implanted, and dual chamber (DDD) pacing was initiated at 7 months of age. At
her 10-month follow-up, her left ventricular ejection fraction (LVEF) had
decreased from 45% to 20% with mechanical dyssynchrony. After upgrading to
cardiac resynchronization therapy (CRT), the LVEF improved to 50%. The usefulness
of CRT in pediatric LVNC has not been fully elucidated. However, our case
suggests that CRT therapy may be an effective option for LVNC-induced cardiac
dysfunction.
PMID- 29808127
TI - Extending Coronectomy Indications to Third Molars with Taurodontism to Prevent
Paresthesia and Mandible Fracture.
AB - Taurodontism is considered a dental anomaly responsible for a morphoanatomical
change in the shape of the tooth in which the roots are reduced in size but the
body of the tooth is enlarged and bulky. The aim of this paper is to present a
case of a 25-year-old female patient with taurodontism of mandibular partially
erupted third molars, presenting a high risk of angle fracture and paresthesia in
case of their removal, treated by means of coronectomy. The postoperative period
was uneventful and the patient remained in follow-up for 12 months. In
conclusion, the identification of third molars with higher risk of complications
related to their extractions is the key to consider conservative measures to
avoid problems. Coronectomy is a relatively simple technique that should be taken
into account when considering bulky, deeply located third molars with a high risk
of paresthesia or mandibular fracture, even in presence of taurodontism.
PMID- 29808128
TI - Dental Management of a Young Child Affected by Galactosialidosis and a Gigantic
Abdominal Growth.
AB - Galactosialidosis (GS) is a rare form of lysosomal storage disease that involves
a broad spectrum of skeletal and soft tissue abnormalities. We report here on a 4
year 7-month-old boy with mild mental retardation, exhibiting multiple caries
cavities and associated infectious foci and macroglossia. A huge abdominal
enlargement due to peritoneal ascites was evident. Behavioral management and
patient positioning on the dental chair represented a true challenge. The patient
was treated under general anesthesia. However, life-threatening postoperative
complications occurred because of the impossibility of extubating the patient. A
very careful preanesthetic assessment is crucial in children affected by general
conditions associated with airway anomalies, such as GS.
PMID- 29808129
TI - Immediate Implant Placement by Interradicular Bone Drilling before Molar
Extraction: Clinical Case Report with One-Year Follow-Up.
AB - The placement of immediate implants in the posterior sector is a widespread
procedure where the success and survival rates are similar to those of
traditional protocols. It has several anatomical challenges, such as the presence
of interradicular bone septa that hinder a correct three-dimensional positioning
of the implant and may compromise primary stability and/or cause damage of
neighboring structures. The aim of this article is to present the treatment and
the one-year clinical follow-up of a patient who received immediate implant
placement using an interradicular bone-drilling technique before the molar
extraction.
PMID- 29808130
TI - Implant-Supported PMMA Monolithic Full-Arch Rehabilitation with Surgical Computer
Planned Guide and Immediate Provisional: A Case Report with One Year Follow-Up.
AB - The aim of this case report is to describe the surgical and prosthetic procedures
to achieve maxillary and mandibular implant-supported PMMA monolithic full-arch
rehabilitation (PMFR) with surgical computer-planned guide and immediate
provisional. In such cases, the correct planning of dental implants' position,
length, and diameter and the prosthetic phases via computer-aided design are very
important to achieve good aesthetic and functional long-lasting results.
PMID- 29808131
TI - Acute Seronegative Toxoplasma gondii Hepatitis Allergic to First-Line Treatment.
AB - Toxoplasma gondii infects up to one-third of the world's population, making it
the protozoan that most infects people worldwide. Among the forms of presentation
of toxoplasmosis, hepatitis is probably the least frequent with only a few case
reports in the world's medical literature. Despite the fact that the first
diagnostic test is the serology using the ELISA technique because its sensitivity
is close to 100% in our case, it was reported negative. The biopsy gave the
definitive diagnosis, and we were able to start treatment immediately. Although
the first line of treatment is sulfadiazine and pyrimethamine, our sulfate
allergic patient received an alternative regimen of doxycycline, clindamycin, and
azithromycin with a good response. This is the only case of Toxoplasma hepatitis
reported in Mexico and the only one in the world with negative serology with a
good response to second-line treatment.
PMID- 29808132
TI - Myelitis due to Coccidioidomycosis in an Immunocompetent Patient.
AB - Myelitis of the spinal cord is an uncommon presentation of disseminated
coccidioidomycosis. Most infected patients present subclinically, but patients,
especially those who are immunocompromised, may progress to disseminated disease.
We present a 50-year-old immunocompetent patient with no significant past medical
history exhibiting symptoms of altered mental status, dizziness, headache,
nausea, and quadriplegia. Upon investigation with lumbar puncture, cerebrospinal
fluid (CSF) culture, and coccidioidal antibody studies, the patient was found to
have acute coccidioidomycosis. Magnetic resonance imaging (MRI) of the brain
demonstrated meningeal enhancements suggestive of meningitis, and further MRI
study of the cervical spine revealed myelitis. Treatment with IV fluconazole for
2 weeks and IV voriconazole therapy over 3 weeks yielded limited improvement. The
presentation of myelitis due to coccidioidomycosis infection is very rare and has
infrequently reported in the literature. Awareness of this potentially fatal
complication in immunocompetent patients can aid in faster recognition and
treatment.
PMID- 29808133
TI - A Gigantic Anal Mass: Buschke-Lowenstein Tumor in a Patient with Controlled HIV
Infection with Fatal Outcome.
AB - Buschke-Lowenstein tumor of anorectal and perianal area is a rare but highly
aggressive tumor, frequently associated with human papillomavirus (HPV) types 6
and 11. It often grows over years in immunocompetent patients and can be highly
destructive to local tissue. We present a case of a 61-year-old male with HIV
infection who presented with worsening pain and swelling in the anorectal area
for one-year duration. Exam revealed a 15 * 10 cm mass in the anorectal area with
multiple sinuses and fistulas. MRI revealed extension of the mass through pelvic
structures. Biopsy showed squamous epithelium with koilocytes and histochemistry
positive for P16, suggestive of HPV infection. Biopsy was negative for malignant
transformation. He was not a candidate for surgery or radiation due to extensive
infiltration of deeper structures and multiple fistulas. He refused interferon
therapy, and diverting colostomy was placed for palliation. He presented two
months later with overwhelming sepsis and died despite maximal medical therapy.
PMID- 29808134
TI - Non-ST Elevation Myocardial Infarction and Severe Peripheral Artery Disease in a
20-Year-Old with Perinatally Acquired Human Immunodeficiency Virus Infection.
AB - Human immunodeficiency virus (HIV) infection confers an increased risk of
cardiovascular disease, including acute coronary syndrome (ACS). Patients with
perinatally acquired HIV may be at increased risk due to the viral infection
itself and exposure to HAART in utero or as part of treatment. A 20-year-old
female with transplacentally acquired HIV infection presented with symptoms of
transient aphasia, headache, palpitations, and blurry vision. She was admitted
for hypertensive emergency with blood pressure 203/100 mmHg. Within a few hours,
she complained of typical chest pain, and ECG showed marked ST depression.
Troponin I levels escalated from 0.115 to 10.8. She underwent coronary angiogram
showing 95% stenosis of the right coronary artery (RCA) and severe peripheral
arterial disease including total occlusion of both common iliacs and 95%
infrarenal aortic stenosis with collateral circulation. She underwent successful
percutaneous intervention with a drug-eluting stent to the mid-RCA. Patients with
HIV are at increased risk for cardiovascular disease. Of these, coronary artery
disease is one of the most critical complications of HIV. Perinatally acquired
HIV infection can be a high-risk factor for cardiovascular disease. A high degree
of suspicion is warranted in such patients, especially if they are noncompliant
to their ART.
PMID- 29808135
TI - Uncommon Infections in Children Suggest Underlying Immunodeficiency: A Case of
Infective Endocarditis in a 3-Year-Old Male.
AB - Infective endocarditis (IE) results from bacterial or fungal infection and is
associated with significant morbidity and mortality. Several known risk factors
exist for endocarditis, and 90% of pediatric cases have an underlying structural
or congenital heart disease or prosthetic heart valve. Literature on IE in
previously healthy children is relatively sparse, and the pathogenesis and
underlying risk factors remain mostly unknown. Our patient was a 3-year-old male
with a unique presentation of IE. His lack of structural and congenital risk
factors for endocarditis prompted further workup, and labs were consistent with
insufficient immunoglobulin, suggesting a primary immunodeficiency (PAD). PAD
presents as heightened susceptibility to infections, commonly seen as recurrent
pneumonia, meningitis, septic arthritis, and otitis media. Pediatric patients
commonly have infections, yet as many as in 1 in 2000 patients have PAD. Our case
emphasizes the potential need for further investigation into PAD in a young
patient with no known risk factors who develops an uncommon infection such as IE.
PMID- 29808136
TI - Clinical Report of Probable Catastrophic Antiphospholipid Syndrome in Pregnancy.
AB - Background: Catastrophic APS (CAPS) is a rare but life-threatening form of APS
defined as multiorgan thrombosis affecting a minimum of three organs with
confirmation by histopathology of small vessel occlusions in at least one organ
or tissue. The development of CAPS in pregnancy poses many diagnostic challenges
as a result of its broad range of clinical presentations and its overlap with
other obstetric complications and microangiopathic diseases. Because of the high
associated mortality rate, prompt recognition and treatment are paramount. Case:
A twenty-five-year-old G3P0111 with a history of multiple thromboembolisms
presented at 21 weeks and 3 days of gestation with complaints of right upper
quadrant pain, visual disturbances, headache, and syncopal episodes. Laboratory
evaluation demonstrated microangiopathic disease with hemolysis (confirmed on
peripheral smear), elevated liver enzymes, and abnormal 24-hour urine protein
with vital signs within the normal range. Presence of significantly elevated
antiphospholipid antibodies was noted, facilitating the diagnosis of probable
CAPS. Proper workup was achieved based on clinical suspicion, allowing immediate
and appropriate management. Conclusion: CAPS is a life-threatening condition
rarely seen in pregnancy making early recognition difficult. A low threshold to
initiate urgent and aggressive treatment should be maintained to minimize the
risk of adverse outcomes.
PMID- 29808137
TI - Successful Use of a Multidisciplinary Approach to Treat a Perforated Duodenal
Malignant Lymphoma in an Elderly Patient.
AB - Treatment of duodenal malignant lymphoma is difficult due to life-threatening
complications such as intestinal obstruction, perforation, and pancreatitis.
Thus, multidisciplinary procedures are required alongside surgical intervention.
Contrast abdominal CT images of a 75-year-old female suffering from vomiting
revealed thickening of the duodenal wall (from the second to third segment).
Gastrojejunostomy and biopsy identified the tumor as diffuse large B-cell
lymphoma. A diagnosis of stage II duodenal lymphoma was made. The lymphoma
continued to grow, resulting in jaundice and intestinal perforation, which was
first treated with two cycles of rituximab and antibiotics. Thereafter, less
intensive chemotherapy (two cycles each of R-mini-CHP, CHP, and R-CHOP) was
administered, which led to significant improvement upon assessment by PET-CT.
Residual lymphoma was treated with consolidation radiotherapy (50 Gy in 25
fractions) over 5 weeks after chemotherapy. The patient attained a complete
response and has been disease-free for more than 4 years. Thus, duodenal
perforated lymphoma can be treated successfully using a multidisciplinary
approach that combines surgery, immunochemotherapy, and radiation therapy.
PMID- 29808138
TI - A Rare Case of Metastasis to the Thyroid Gland from Renal Clear Cell Carcinoma 11
Years after Nephrectomy and Concurrent Primary Esophageal Carcinoma.
AB - Renal cell carcinoma is known to cause metastasis to unusual sites, which can be
both synchronous or metachronous. Thyroid gland is a rare site for metastasis,
but when it occurs, renal cell carcinoma is the most common primary neoplasm. We
report the case of a 81-year-old female patient who had a significant medical
history of right clear cell renal carcinoma with adrenal metastasis. She
underwent right radical nephrectomy and adrenalectomy followed by radiofrequency
ablation of left adrenal metastasis and systemic chemotherapy with sunitinib.
Eleven years later, she presented with dysphagia and was found to have distal
esophageal adenocarcinoma. On imaging, there was incidental detection of a left
renal mass lesion and a right thyroid nodule, which on histopathology and
immunohistochemistry were confirmed to be clear cell carcinoma of renal origin.
PMID- 29808139
TI - An Exceptional Adenocarcinoma in a Girl.
AB - Anal adenocarcinoma is very rare and usually occurs in the elderly. We present a
case of a 12-year-old girl with an anal margin painful tumor infiltrating the
lower rectum, with perineal and vulvar permeation nodules and bilateral fixed
inguinal and iliac lymph nodes. Histology showed anal adenocarcinoma with
mucosecreting component and independent cells. She had no extra pelvic metastasis
on CT scan. She underwent a colostomy and palliative care. This exceptional case
challenges us on the diversity of forms of anal cancers that require a
multidisciplinary approach. The precarious social context and the age of onset
make it difficult to manage this rare cancer.
PMID- 29808140
TI - Skeletal Muscle Lymphoma Presenting with Chronic Compartment Syndrome of Leg
after Trauma.
AB - Compartment syndrome may be acute or chronic based on the clinical course and
etiology. Here, we report the first known case to be diagnosed with skeletal
muscle-derived B-cell lymphoma presenting with chronic compartment syndrome after
trauma. A 62-year-old woman sought medical attention due to a one-month history
of painful left lower leg swelling and paresthesia of the medial side of the foot
after falling over. The patient underwent fasciotomy and debridement under the
preoperative diagnosis of fasciitis and myositis with associated compressive
neuropathy. Preoperative laboratory tests were within normal limits.
Postoperative pathologic examination and bone marrow aspiration revealed B-cell
lymphoma with bone marrow involvement postoperatively. Tumor lysis syndrome took
place, presenting with drowsiness, poor appetite, and oliguria, after the
operation along with multiple organ failure. Awareness of the differential
diagnoses of compartment syndrome in such clinical situation is crucial because
it may lead to different examination and treatment plan preoperatively.
PMID- 29808141
TI - An Extremely Rapid Case of Pneumonitis with the Use of Nivolumab for Pancreatic
Adenocarcinoma.
AB - Pancreatic cancer is the fourth most common cancer death in the United States
despite comprising a small percentage of the total number of cancer cases. The
estimated 5-year overall survival (OS) for patients with distant metastatic
disease is approximately 3%. New treatment options are an unmet need and remain
an area of active investigation. A 53-year-old male with metastatic pancreatic
cancer presented to the hospital with acute-on-chronic respiratory failure
approximately 24 hours after receiving a novel therapeutic combination. Chest
imaging showed marked changes as concerning for pneumonitis. Infectious workup
was negative. The patient had initial clinical improvement after receiving
initial intravenous steroids and oxygen support but eventually deteriorated later
opting for supportive measures only. With infection ruled out, drug-induced
pneumonitis was felt to be the likely cause of the radiologic and clinical
changes. The rapidity of onset of symptoms is the aspect being highlighted in
this case.
PMID- 29808142
TI - Threading the Needle: Intrapelvic Displacement of a Femoral Neck Fracture through
the Obturator Foramen.
AB - Despite timely and appropriate management, displaced femoral neck fractures are
often devastating injuries for the young patient. The risk of negative sequelae
is further amplified with increasing displacement and vertical fracture patterns.
Open anatomic reduction with rigid internal fixation is essential to maximize the
healing potential in displaced fractures of the femoral neck. Successful primary
osteosynthesis of significantly displaced femoral neck fractures in the young
patient has been reported in the literature. We present a unique case of open
reduction and internal fixation of a high-energy femoral neck fracture with
extrusion of the head through the obturator foramen into the pelvis without
associated acetabular or pelvic injury.
PMID- 29808143
TI - Isolated Rupture of the Teres Major Muscle When Water Skiing: A Case Report and
Literature Review.
AB - Isolated lesions to the teres major muscle are rare. They generally occur in
patients participating in sports such as baseball, tennis, or boxing. We report
the case of a sports patient who suffered an isolated injury to the teres major
while water skiing. The clinical presentation was confirmed by MRI. Conservative
treatment was chosen and consisted of brief analgesic immobilization, followed by
rehabilitative treatment. The rapid recovery of this patient with normal
isokinetic strength evaluation at 6 months was interesting for objectifying full
muscle recovery. Our results and the data from the literature suggest that
functional rather than surgical treatment is preferable in isolated lesions to
the teres major muscle.
PMID- 29808144
TI - Bosworth Dislocation without Associated Fracture.
AB - One of the rarest ankle injuries is the Bosworth fracture-dislocation, whereby
the distal fibula fractures and is lodged behind the tibia and is often unable to
be reduced in a closed fashion. Even more rarely, a Bosworth dislocation without
any accompanying fractures may occur. In this case, a 19-year-old male presented
with a Bosworth dislocation, with the ipsilateral tibia having previously
undergone intramedullary nailing. After closed reduction was attempted, open
reduction and fixation was performed, directly reducing the fibula and fixing the
unstable syndesmosis with 2 quadricortical screws. Bosworth injuries are rare,
yet severe, and should be treated in a timely manner. We were able to provide
good reduction and fixation without requiring removal of the intramedullary nail,
and we support the use of 2 quadricortical screws as a valid treatment option for
the fixation of Bosworth dislocations.
PMID- 29808145
TI - Reconstruction of Acute Patellar Tendon Rupture after Patellectomy.
AB - Acute rupture of the knee extensor mechanism after patellectomy is extremely
rare. We present the case of a patient with acute patellar tendon rupture who had
undergone patellectomy 53 years before. Twelve days after the injury, the
ruptured patellar tendon was repaired with end-to-end suture. Postoperatively, we
splinted the knee for 6 weeks but permitted the patient to walk without limiting
weight bearing at 1 week postoperatively. At one-year follow-up, the patient is
able to move his knee almost full range of motion and the Lysholm knee score is
81. The patient is satisfied with the outcome. This is the first report to treat
acute rupture of the patellar tendon in a patient who had undergone patellectomy.
Although careful rehabilitation is required, end-to-end suture might be an
adequate surgical procedure for acute rupture of the knee extensor mechanism
after patellectomy.
PMID- 29808146
TI - Spinal Accessory Nerve Duplication: A Case Report and Literature Review.
AB - Aim of the present study is to expand our knowledge of the anatomy of the 11th
cranial nerve and discuss the clinical importance and literature pertaining to
accessory nerve duplication. We present one case of duplicated spinal accessory
nerve in a patient undergoing neck dissection for oral cavity cancer. The
literature review confirms the extremely rare diagnosis of a duplicated accessory
nerve. Its clinical implication is of great importance. From this finding, a
further extension to our knowledge of the existing anatomy is proposed.
PMID- 29808147
TI - Thyroid Cartilage Window Approach to Extract a Foreign Body after Migration into
the Paraglottic Space.
AB - We report a case of fish bone impaction in the paraglottic space, which caused
palsy of the left vocal cord. The patient was a 45-year-old man. He presented
with throat pain and hoarseness of voice for approximately one week. The
diagnosis was made after careful history taking and confirmed by the use of
computed tomography scan as the fish bone was not visible endoscopically under
local and general anaesthesia. The patient underwent thyroid cartilage window
approach, and the fish bone was retrieved. His symptoms have improved
significantly, and he did not require tracheostomy. Other cases reported the
removal of foreign bodies by other techniques such as laryngofissure and
posterolateral approach. Our case is different in that we used a modification of
thyroplasty type 1 technique as it has less reported complications than other
approaches that were published in literature.
PMID- 29808148
TI - Soft Palate Pleomorphic Adenoma of a Minor Salivary Gland: An Unusual
Presentation.
AB - Approximately 10% of pleomorphic adenomas occur in the minor salivary glands with
the palate being the most common site. Pleomorphic adenomas account for the
majority of palatal tumours; however, minor salivary gland tumours have a higher
risk of malignancy compared to tumours of the major salivary glands, so
appropriate diagnostic evaluation should be prompt. We present a case of a 52
year-old man with a longstanding history of a soft palate pleomorphic adenoma
which required excision under general anaesthetic via a mandibular swing
approach. As well as the surgical approach to access this tumour; this case is
unique as it is the largest soft palate pleomorphic adenoma reported in the
literature. We discuss the appropriate preoperative investigations and airway
considerations for this patient, as well as the factors to consider when planning
operative management of palatal tumours.
PMID- 29808149
TI - Multimodality Surgical Approach in Management of Laryngotracheal Stenosis.
AB - Introduction: Postintubation laryngotracheal stenosis requires a precise
diagnosis and an experienced operator in both endoscopic and surgical treatment.
This report presents surgically treated cases of laryngotracheal stenosis
secondary to long-term intubation/tracheostomy with review of the literature.
Materials and Methods: In this retrospective study, we present 5 cases (a 23-year
old male, 13-year-old male, 22-year-old male, 19-year-old male, and 33-year-old
female) of postintubation/tracheostomy laryngotracheal (glottic/subglottic)
stenosis in the years 2016 and 2017. Each patient was managed differently.
Intubation characteristics, localization of stenosis, surgical technique and
material, postoperative complications, and survival of patients were recorded.
Results: The site of stenosis was in the subglottis in 4 patients and glottis in
1 patient. The mean length of the stenosis was greater in the postintubation
group. Postintubation stenosis had a mean duration of intubation of 6.8 days,
compared to 206.25 days of cannulation following tracheostomies. Each patient
underwent an average of 2 procedures during their treatment course. One patient
underwent open surgical anastomosis because of recurrent subglottic stenosis
after multiple treatments. Phonation improved immediately in almost all except in
the patient who underwent only endoscopic dilatation. Discussion: The reasons for
laryngeal stenosis and its delayed diagnosis have been reviewed from the
literature. Suture tension should be appropriate, and placement of the suture
knot outside the trachea minimizes formation of granulation tissue. The published
reports suggest that resection by endoscopy with laser and open technique
resection and primary anastomosis are the best treatment modality so far as the
long-term results are concerned. Conclusion: Resection of stenotic segment by
open surgical anastomosis and laser-assisted resection is a safe option for the
treatment of subglottic stenosis following intubation without the need for
repeated dilation. Endoscopic dilation can be reserved for unfit patients.
PMID- 29808151
TI - Brief Clinical Report: Hypophosphatasia-Diagnostic Considerations and Treatment
Outcomes in an Infant.
AB - Hypophosphatasia (HPP) is a rare, inherited metabolic bone disorder characterized
by low serum alkaline phosphatase activity and impaired bone mineralization.
Clinical manifestations and severity of symptoms vary widely in HPP, ranging from
in utero death to isolated dental manifestations in adults. Treatment with enzyme
replacement therapy has been reported to improve outcomes in perinatal,
infantile, and childhood forms of HPP. Here, we present a case of a boy with poor
linear growth, mild limb bowing, and radiographic rickets who was diagnosed with
HPP before 6 months of age. Treatment with enzyme replacement therapy was
initiated at 7 months of age, after which significant improvements in
radiographic findings and linear growth were demonstrated. This case highlights
several important challenges in the diagnosis, classification, and management of
HPP.
PMID- 29808150
TI - Osteomyelitis in Cat-Scratch Disease: A Never-Ending Dilemma-A Case Report and
Literature Review.
AB - Background: We performed a review of published case studies of osteomyelitis
associated with cat-scratch disease to consolidate existing information on
clinical presentation, diagnostic tools, therapy, and outcome, as well as
presenting a case of disseminated cat-scratch disease in a 12-year-old female
with skull osteomyelitis and spleen involvement. Methods: A search for articles
indexed in PubMed, Embase, and Google Scholar was performed with the search terms
"Bartonella," "bone," "osteomyelitis," "osteolytic," and "cat-scratch disease"
limited to the immunocompetent pediatric population and articles in English.
Results: 51 cases were identified. The average age was 7.8 years with equal sex
distribution. Fever (84.3%), often with a prolonged course (64.7%), and
osteoarticular pain (88.2%) were the most common clinical findings.
Lymphadenopathy was present in 64.7% of patients. Vertebral body was mainly
involved (51.9%). MRI (50%) and bone scintigraphy (48.1%) were favored to confirm
osteomyelitis, while serology was the preferred microbiological diagnostic.
Various antibiotics were prescribed in combined or sequential regimens, with
median duration of therapy of 23 days. About 12.5% of patients did not receive
any treatment. Most patients had excellent prognosis; in particular, all patients
not receiving any therapy showed complete recovery and no recurrence of symptoms.
Conclusions: Bartonella henselae should be considered in differential diagnosis
of localized lymphadentitis. Osteoarticular pain or limitation during cat-scratch
disease in children should always be investigated for bone spreading. Owing to
good prognosis, invasive procedures to obtain the bone material should be
avoided. Serology is the gold standard diagnostic tool and MRI is the best
radiographic technique to define bone and surrounding tissue involvement.
Treatment represents a never-ending dilemma: surgical intervention or use of
antibiotics is still controversial, and more studies are needed to define the
best antimicrobial regimen.
PMID- 29808152
TI - A Rare Case of Lemierre-Like Syndrome: A Case Report and Literature Review.
AB - Lemierre's syndrome (LS) is a serious rare complication of oropharyngeal
infections. It is characterized by thrombosis of internal jugular vein that
rapidly progresses into sepsis and is typically caused by anaerobes. Most of the
reported cases have been linked to Fusobacterium necrophorum; however, there are
a handful of reported cases due to aerobes. It is primarily the disease of
healthy young adults and can present in school-aged children. The early
recognition and treatment of this complication results in resolution of the
illness; nevertheless, there have been some concerns about chronic venous
insufficiency as a long-term complication. We report a case of a 6-year-old boy,
who presented with fever and headache with a history of sore throat. His blood
culture was positive for group A Streptococcus (GAS) and was subsequently found
to have internal jugular vein, sigmoid, and transverse sinus vein thrombosis.
PMID- 29808153
TI - Cardiogenic Shock: An Unusual Initial Presentation of Churg-Strauss Syndrome.
AB - Churg-Strauss syndrome (CSS) is a rare autoimmune condition, characterized by
necrotizing extravascular eosinophil rich granulomatous inflammation of the
tissues and disseminated small-medium sized vessel vasculitis in a patient with
bronchial asthma and tissue eosinophilia. Though pulmonary involvement is the
predominant feature of CSS, extra pulmonary involvement, in particular, cardiac
involvement, denotes an adverse outcome. Here we report a 50-year-old female who
presented with cardiogenic shock due to an acute coronary event as the initial
manifestation of CSS. A subsequent coronary angiogram revealed normal epicardial
coronaries. She was a patient with bronchial asthma and developed vasculitic
rash, bilateral sensory motor polyneuropathy, and migratory peripheral lung field
shadows in the background of peripheral eosinophilia during the course of the
illness. She was diagnosed as having CSS based on ACR criteria and aggressively
treated with immunosuppressants according to her Five-Factor Score and has shown
prompt response to therapy. This case report adds to the literature another rare
initial presentation of CSS to the existing array of its clinical manifestations.
PMID- 29808154
TI - Sjogren Syndrome Complicated with Cystic Lung Disease and Pulmonary Amyloidosis.
AB - A 72-year-old Japanese woman was noted to have multiple cystic lung shadows and
infiltrates on chest radiography and computed tomography (CT). She complained of
dryness of the mouth and eyes, but she did not have respiratory symptoms, such as
cough, sputum production, and dyspnea. Her laboratory findings showed high titers
of anti-SSA/Ro and anti-SSB/La antibodies. Surgical lung biopsy was performed and
demonstrated pathologic findings of amyloid light-chain deposition and
bronchiolitis with lymphocytic infiltration. Taken altogether, she was diagnosed
as Sjogren syndrome with bronchiolitis and pulmonary amyloidosis. Since then, she
has been carefully followed up without treatment. After 6 years, the cystic lung
lesions on CT gradually enlarged and increased in number, but she remained to
have no respiratory symptoms and no manifestations of lymphoma. Here, we report a
rare case of Sjogren syndrome complicated with cystic lung disease and pulmonary
amyloidosis.
PMID- 29808155
TI - A Case of Familial Mediterranean Fever with Extensive Lymphadenopathy and Complex
Heterozygous Genotype Presenting in the Fourth Decade.
AB - Familial Mediterranean fever (FMF) is an inherited disease caused by loss of
function mutations in the MEFV gene encoding pyrin, a negative regulator of
interleukin-1. The disease is characterized by recurrent fever and self-limited
attacks of joint, chest, and abdominal pain but lymphadenopathy is an infrequent
manifestation. While mesenteric lymphadenopathy has been described in several
cases in the literature; hilar, paratracheal, axillary, pelvic, and
retroperitoneal lymphadenopathy are extremely rare and have been reported
separately in very few individuals. In this report, we present a patient with
late-onset FMF with extensive lymphadenopathy in all of the aforementioned
anatomic regions. Genetic analysis identified three heterozygous pyrin mutations
in a patient with no affected family members. Genetic investigation of the
patient's mother identified a novel carrier haplotype E148Q/P369S. The proband
also inherited the previously described and rare A744S mutation previously not
thought to be a disease-defining lesion. This unique compound heterozygous
genotype resulted in a novel genotype-phenotype association producing an atypical
clinical presentation of FMF that fits within the pattern of several case reports
of late-onset disease with respect to clinical course and therapeutic response.
PMID- 29808156
TI - Cutaneous Ciliated Cyst in an Unusual Location: Between Two Scapulas.
AB - Cutaneous ciliated cyst is defined as a rare, painless lesion frequently
encountered on the lower extremities of young girls after puberty. The cyst is
surrounded by the columnar ciliary epithelium. Apart from the lower extremities
of girls, they may be localized on the scalp, scapula, thumb, abdomen, umbilicus,
thigh, heel, knee, and gluteal region. There are two theories to explain this
localization. The first is that they are mullerian heterotrophy, while the other
is that they are ciliated metaplasia of eccrine glands. In this paper, we
described a cutaneous ciliated cyst, which was observed with a previously
undescribed localization on the back of a 13-year-old female patient.
PMID- 29808157
TI - Petersen's Space Internal Hernia after Laparoscopic One Anastomosis (Mini)
Gastric Bypass.
AB - Background: One anastomosis gastric bypass (OAGB) is now considered as an
appropriate alternative for Roux-en-Y gastric bypass (RYGB) with some advantages
such as absence of risk for internal hernia (IH). But, is really the risk of IH
equal zero after OAGB? Case Summary. A 37-year-old male was admitted due to
severe abdominal crampy pain, nausea, vomiting, and obstipation. He had chronic
and intermittent abdominal pain from 2 years after OAGB. With high suspicion of
complete obstruction, the exploratory laparoscopy was performed. Intraoperative
findings showed incarcerated bowel hernia from Petersen's defect. The
incarcerated bowel was reduced, and the defect was repaired. The patient was
discharged 2 days after operation. Conclusion: The incidence of IH after OAGB is
rare but not zero. In any suspicious signs and symptoms for IH, the early
exploratory laparoscopy is mandatory to diagnose and treat.
PMID- 29808158
TI - Attitude and Vaccination Status of Healthcare Workers against Hepatitis B
Infection in a Teaching Hospital, Ethiopia.
AB - Background: World Health Organization and Centers for Disease Control and
Prevention recommend all health professionals to get vaccinated against hepatitis
B virus before they start the clinical attachments during their stay in the
medical school. However, only 18-39% of healthcare workers in low- and middle
income countries received the vaccine. Therefore, this study aims to determine
the attitude and vaccination status of health professionals working at Adama
General Hospital and Medical College. Methods: An institution-based cross
sectional study was conducted from December 2016 to February 2017 with 403 health
professionals working at Adama General Hospital and Medical College. Data were
collected using self-administered questionnaire distributed at the participant's
work unit and analyzed using SPSS version 20. Multiple logistic regression
analysis was conducted to identify factors that affect the complete vaccination
status and p value < 0.05 was considered statistically significant. Result: The
prevalence of complete vaccination against hepatitis B virus was 25.6%. The most
frequently mentioned reasons for not being vaccinated were high cost of the
vaccine (41%) and unavailability of the vaccine (36%). More than three-fourths
(77.8%) of study participants strongly agreed that hepatitis B is a major public
health threat and there was tendency among participants to believe that their
profession will put them at increased risk of acquiring the disease (strongly
agreed: 75.9%). Attending infection-prevention training [AOR = 2.3; 95% CI, 1.24
6.31], history of exposure to risky behavior [AOR = 5.5; 95% CI, 2.86-9.29], and
long years of work experience [AOR = 3.1; 95% CI, 1.98-5.24] were statistically
significant with complete vaccination status. Conclusion: Only one-quarter of
health professionals received the recommended full dose of the vaccine. Sustained
hepatitis B vaccination programs for healthcare workers need to be established by
collaboration of different stakeholders to optimize health professionals' safety
against this contagious infection.
PMID- 29808159
TI - Advancing the Practice of Pediatric Psychology with Transgender Youth: State of
the Science, Ongoing Controversies, and Future Directions.
AB - Growing numbers of transgender and gender-nonconforming (TGNC) youth are
presenting for medical and mental health care, and increasingly, pediatric
psychologists are being called upon to serve as critical members of
interdisciplinary care teams. In this commentary, we present information on TGNC
youth in three distinct developmental cohorts: prepubescent TGNC children,
peripubertal TGNC youth, and pubertal TGNC adolescents. First, we describe the
social, medical, and/or surgical treatments available to each cohort of youth.
Next, we address the state of the science related to these treatments. Then, we
highlight some of the ongoing controversies related to social, medical and/or
surgical interventions that are most relevant to pediatric psychologists and the
role they play in gender-affirming care. Finally, we conclude with a call for
papers for an upcoming special issue of Clinical Practice in Pediatric Psychology
focused on advancing the practice of pediatric psychology in transgender health
care.
PMID- 29808160
TI - Establishment of a Model of Microencapsulated SGC7901 Human Gastric Carcinoma
Cells Cocultured with Tumor-Associated Macrophages.
AB - The important factors of poor survival of gastric cancer (GC) are relapse and
metastasis. For further elucidation of the mechanism, a culture system mimicking
the microenvironment of the tumor in humans was needed. We established a model of
microencapsulated SGC7901 human GC cells and evaluated the effects of coculturing
spheres with tumor-associated macrophages (TAMs). SGC7901 cells were encapsulated
in alginate-polylysine-sodium alginate (APA) microcapsules using an electrostatic
droplet generator. MTT assays showed that the numbers of microencapsulated cells
were the highest after culturing for 14 days. Metabolic curves showed consumption
of glucose and production of lactic acid by day 20. Immunocytochemistry confirmed
that Proliferating Cell Nuclear Antigen (PCNA) and Vascular Endothelial Growth
Factor (VEGF) were expressed in microencapsulated SGC7901 cells on days 7 and 14.
The expression of PCNA was observed outside spheroids; however, VEGF was found in
the entire spheroids. PCNA and VEGF were increased after being cocultured with
TAMs. Matrix metalloproteinase-2 (MMP-2) and matrix metalloproteinase-9 (MMP-9)
expressions were detected in the supernatant of microencapsulated cells
cocultured with TAMs but not in microencapsulated cells. Our study confirms the
successful establishment of the microencapsulated GC cells. TAMs can promote
PCNA, VEGF, MMP-2, and MMP-9 expressions of the GC cells.
PMID- 29808161
TI - Good Manufacturing Practices and Microbial Contamination Sources in Orange
Fleshed Sweet Potato Puree Processing Plant in Kenya.
AB - Limited information exists on the status of hygiene and probable sources of
microbial contamination in Orange Fleshed Sweet Potato (OFSP) puree processing.
The current study is aimed at determining the level of compliance to Good
Manufacturing Practices (GMPs), hygiene, and microbial quality in OFSP puree
processing plant in Kenya. Intensive observation and interviews using a
structured GMPs checklist, environmental sampling, and microbial analysis by
standard microbiological methods were used in data collection. The results
indicated low level of compliance to GMPs with an overall compliance score of
58%. Microbial counts on food equipment surfaces, installations, and personnel
hands and in packaged OFSP puree were above the recommended microbial safety and
quality legal limits. Steaming significantly (P < 0.05) reduced microbial load in
OFSP cooked roots but the counts significantly (P < 0.05) increased in the puree
due to postprocessing contamination. Total counts, yeasts and molds,
Enterobacteriaceae, total coliforms, and E. coli and S. aureus counts in OFSP
puree were 8.0, 4.0, 6.6, 5.8, 4.8, and 5.9 log10 cfu/g, respectively. In
conclusion, equipment surfaces, personnel hands, and processing water were major
sources of contamination in OFSP puree processing and handling. Plant hygiene
inspection, environmental monitoring, and food safety trainings are recommended
to improve hygiene, microbial quality, and safety of OFSP puree.
PMID- 29808162
TI - Tetrahydroxystilbene Glucoside Effectively Prevents Apoptosis Induced Hair Loss.
AB - The effect of Polygonum multiflorum against hair loss has been widely recognized.
2,3,5,4'-Tetrahydroxystilbene-2-O-beta-D-glucoside (TSG) is the main component of
Polygonum multiflorum; however, its role in hair regeneration has not been
established. To evaluate the hair growth-promoting activity of TSG, depilated
C57BL/6J mice were topically treated with normal saline, TSG, Pifithrin-alpha,
Minoxidil for 2 weeks. In this study, we identified that p53, Caspase-3, Active
Caspase-3, and Caspase-9 were obviously upregulated in the skin of human and mice
with hair loss by western blot analysis. Depilated mice treated with TSG showed
markedly hair regrowth. TUNEL+ cells were also reduced in mice with TSG. These
changes were accompanied with inhibition of Fas, p53, Bax, Active Caspase-3, and
Procaspase-9 activities. These results demonstrated that TSG exerts great hair
regrowth effect on hair loss, which was probably mediated by inhibition of p53,
Fas, and Bax induced apoptosis.
PMID- 29808163
TI - Luteinizing Hormone/Human Chorionic Gonadotropin Receptor Immunohistochemical
Score Associated with Poor Prognosis in Endometrial Cancer Patients.
AB - The aim of this study was to develop a scoring system of the immunohistochemical
(IHC) expression of luteinizing hormone/human chorionic gonadotropin receptor
(LHCG-R) in endometrial cancer (EC) patients. Nonconsecutive hysterectomy
specimens containing EC collected from April 2013 to October 2015 were selected.
Hematoxylin-eosin stained sections from each case were reviewed and
representative sections from each tumor were selected. IHC staining was performed
for the detection of LHCG-R. The percentage of stained cells and the staining
intensity were assessed in order to develop an immunohistochemical score.
Moreover, we examined the correlation of the score with grading and
lymphovascular space invasion (LVSI). There was a statistically significant
positive correlation between grading and IHC scoring (p = 0.01) and a
statistically significant positive correlation between LVSI and IHC score (p <
0.01). In conclusion, we suggest that the immunohistochemical score presented
here could be used as a marker of bad prognosis of EC patients. Nevertheless,
further studies are needed in order to validate it. The study was registered in
the Careggi Hospital public trials registry with the following number:
2013/0011391.
PMID- 29808164
TI - lncRNA-MEG3 Suppresses the Proliferation and Invasion of Melanoma by Regulating
CYLD Expression Mediated by Sponging miR-499-5p.
AB - The abnormal expression of long noncoding RNA- (lncRNA-) MEG3 was clearly
identified in a number of malignant tumors, but the specific function of MEG3
remains unknown in malignant melanoma until now. The research attempts to explore
the effects of MEG3 on the growth and metastasis of malignant melanoma. MEG3 and
miR-499-5p expression were determined by qRT-PCR method. Western blotting assay
was applied to detect protein expression. Luciferase reporter assay was used to
assess the correlation between MEG3 and miR-499-5p and between CYLD and miR-499
5p. Cell growth, cell cycle, and cell apoptosis were examined by CCK-8 assay, EdU
assay, and flow cytometry assay, respectively. The invasion ability of melanoma
cells was investigated by wound-healing and Transwell assays. The effect of MEG3
on growth of melanoma in vivo and cell chemosensitivity was detected by xenograft
animal model and CCK-8 assay. As a result, the expression of MEG3 was decreased
in melanoma tissues and cell lines. The level of MEG3 was significantly
associated with poor prognosis. MEG3 could bind to miR-499-5p and CYLD mRNA
contained a binding site of miR-499-5p. The expression of CYLD was reduced and
the level of miR-499-5p was elevated in melanoma tissues and cell lines.
Luciferase reporter assay and western blot assay confirmed that MEG3 regulated
the expression of CYLD by sponging miR-499-5p. Functionally, upregulation of MEG3
inhibited melanoma cell proliferation, invasion, and migration, enhanced melanoma
cell apoptosis, arrested melanoma cell cycle, and regulated the expression of E
cadherin, N-cadherin, and cyclin D1 by regulating CYLD expression mediated by
sponging miR-499-5p. Importantly, overexpression of MEG3 suppressed the growth of
xenograft tumor and improved chemotherapy sensitivity of A375 cells to cisplatin
and 5-FU treatment. In conclusion, MEG3 has a crucial function in the
tumorigenesis of melanoma, and MEG3 may be a potential therapeutic target in the
treatment of melanoma.
PMID- 29808165
TI - BRAF 1799T>A Mutation Frequency in Mexican Mestizo Patients with Papillary
Thyroid Cancer.
AB - Thyroid cancer is the most frequent endocrine malignancy, and its incidence and
prevalence are increasing worldwide. Despite its generally good prognosis, the
observed mortality rates are higher in the less-developed regions. This indicates
that timely diagnosis and appropriate initial management of this disease are
important to achieve a positive outcome. We performed an observational study in
order to describe the frequency of the BRAF 1799T>A mutation in Mexican mestizo
patients with thyroid nodules, a scarcely studied ethnic group with large
populations. Competitive allele-specific Taqman PCR was performed in 147 samples
of thyroid tissue DNA obtained from patients histologically diagnosed with
papillary thyroid cancer (PTC), colloid goiters, and follicular adenomas. The
BRAF 1799T>A mutation frequency was 61.1% in PTC samples (p = 4.99 * 10-11).
Potential diagnostic values were as follows: sensitivity, 61.1%; specificity,
96%; PPV, 94.2%; NPV, 69.5%; accuracy, 77.9%. Taking into account the fact that
this mutation is not frequently found in cytologically indeterminate nodules, we
suggest that the BRAF mutational analysis should be implemented in the clinical
setting along with other diagnostic criteria such as USG, in order to contribute
to diagnosis and to surgical decision-making during the initial management of
thyroid nodules in Mexican public hospitals.
PMID- 29808167
TI - Saliva Dysfunction and Oral Microbial Changes among Systemic Lupus Erythematosus
Patients with Dental Caries.
AB - Systemic lupus erythematosus (SLE) is a chronic autoimmune and inflammatory
disease affecting multiorgans of human body. Independent studies show that SLE
patients had higher caries prevalence compared to non-SLE individuals. However,
the underlying mechanisms remain unclear. In present study, we enrolled SLE
patients to explore potential factors contributing to the susceptibility of SLE
patients to dental caries (such as oral hygiene, salivary function, and oral
microbial community). Dental examination confirmed SLE patients were more
vulnerable to caries. Although subjects in both groups announced similar oral
hygiene habits, more dental plaque was found on tooth surfaces of SLE patents as
revealed by plaque index. In addition, the salivary function was impaired in SLE
group as salivary flow rate, buffering capacity, and pH were lower among SLE
subjects compared to healthy controls. Importantly, disturbed microbial community
with lower richness and diversity was observed in SLE group, as well as
disequilibrium between acidogenic/aciduric pathogens and alkali-generating
commensal bacteria. Our data suggest that SLE increases patients' sensitivity to
dental caries through imposing stress to both host and oral microbes.
PMID- 29808166
TI - Spermatogenesis Abnormalities following Hormonal Therapy in Transwomen.
AB - Objective: To measure spermatogenesis abnormalities in transwomen at the time of
sex reassignment surgery (SRS) and to analyze the association between hormonal
therapy duration and infertility severity. Design: Retrospective study. Setting:
University hospital. Patients: One-hundred seventy-three transwomen who underwent
SRS from January 2000 to December 2015. Interventions: All orchidectomy specimens
were retrospectively reviewed and classified. History of hormonal therapy
duration was retrieved from medical records. Main Outcome Measures: Histological
examinations of orchidectomy specimens were performed to assess spermatogenesis.
Results: One-hundred seventy-three orchidectomy specimens were evaluated.
Histological examinations showed maturation arrest in 36.4%, hypospermatogenesis
in 26%, Sertoli cell-only syndrome in 20.2%, normal spermatogenesis in 11%, and
seminiferous tubule hyalinization in 6.4% of the specimens. Spermatogenesis
abnormality severity was not associated with the total therapy duration (P =
0.81) or patient age at the time of surgery (P = 0.88). Testicular volumes and
sizes were associated with spermatogenesis abnormality severity (P = 0.001 and P
= 0.026, right testicle and left testicle, resp.). Conclusions: Feminizing
hormonal treatment leads to reductions in testicular germ cell levels. All
transwomen should be warned about this consequence, and gamete preservation
should be offered before starting hormonal treatment.
PMID- 29808168
TI - Evaluating Vitamin D Status in Pre- and Postmenopausal Type 2 Diabetics and Its
Association with Glucose Homeostasis.
AB - Background: Type 2 Diabetes Mellitus (T2DM) and menopause are associated with
vitamin D status. Oestrogen decline during menopausal stages promotes
hypovitaminosis D. However, the interplay between vitamin D, menopause,
lifestyle, and T2DM cannot be overlooked. This study assessed vitamin D status
among pre- and postmenopausal T2DM women and determined its association with
glycemic control and influence of lifestyle habits on hypovitaminosis D. Methods:
This cross-sectional study was conducted at the Komfo Anokye Teaching Hospital,
Kumasi, Ghana. Structured questionnaires were administered to 192 T2DM women;
blood samples were collected for estimation of 25(OH) D and insulin using ELISA.
Fasting blood glucose (FBG), lipid profile, glycated haemoglobin (HbA1c), and
calcium were measured. Statistical analyses were performed using Graphpad Prism
6. Results: The prevalence of vitamin D inadequacy was 92.2%. Hypovitaminosis D
was more prevalent among the postmenopausal T2DM women (63.8% versus 58.2%).
Hypovitaminosis D significantly associated with insulin [R2 = 0.01760, p =
0.0008], HbA1c [R2 = 0.3709, p = <0.0001], and FBG [R2 = 0.3465, p = 0.0001] in
only the postmenopausal women. Conclusion: Vitamin D deficiency is prevalent in
pre- and postmenopausal T2DM but higher among postmenopausal women. Adequate
vitamin D levels in both groups were associated with improved glucose control
while hypovitaminosis D in the postmenopausal women was related to poorer glucose
control. Vitamin D screening should be incorporated into management plan for T2DM
to serve as an early tool for prevention of vitamin D deficiency.
PMID- 29808169
TI - A Review of the Literature of Surgical and Nonsurgical Treatments of Invasive
Squamous Cells Carcinoma.
AB - Cutaneous squamous cell carcinoma (cSCC) is an increasing public health problem.
It is a primary malignant skin tumor with Malpighian differentiation and together
with basal cell carcinoma is classified among nonmelanoma skin cancers (NMSCs).
cSCC usually occurs on photoexposed areas, such as the head, the neck, and the
extremities, and its incidence increases with age. Invasive forms of this skin
tumor tend to be more aggressive showing a higher metastatic potential, usually
regarding regional lymph nodes. Treatment options for invasive cSCCs include both
surgical and nonsurgical options. The therapeutic choice depends on several
factors, such as anatomic location, risk factors for tumor recurrence, age, and
health status of the patient. This review aims to provide an overview of the
current evidence on therapeutic surgical and nonsurgical management of invasive
cSCC.
PMID- 29808170
TI - Is a Technically Challenging Procedure More Likely to Fail? A Prospective Single
Center Study on the Short- and Long-Term Outcomes of Inguinal Hernia Repair.
AB - Background and Aims: The aim of this prospective single-center study was to
evaluate the outcome of inguinal hernia repair. Materials and Methods: A total of
485 inguinal hernias (452 patients and 33 patients with bilateral hernias) were
operated between January 2004 and December 2010. Mean age was 56 years, and 93%
were male. Patient demographics and operative data were collected, and the
operating surgeon assessed the technical difficulty of the operation. Five years
after surgery, a questionnaire evaluated recurrence and chronic discomfort
according to the Cunningham scale. 372 responded (82%), and mean follow-up was
5.5 years. Results: There were 390 repairs for a primary and 62 for a recurrent
hernia. Totally extraperitoneal (TEP) operation was most frequently performed
(56%), transabdominal preperitoneal (TAPP) operation in 31%, and Lichtenstein and
Shouldice in 12% and 2%, respectively. At 5-year follow-up, the primary outcome
of chronic discomfort was 19.5%. The independent positive predictors were young
age and operation for a recurrent hernia (OR: 3.7), with TEP operation reducing
the risk of chronic discomfort (OR: 0.5). The secondary outcome was the
recurrence rate of 2.5%. Risk factors were strenuous work (OR: 13.7), technically
difficult repairs (OR: 7.2), and chronic discomfort (OR: 6.7). Conclusions: Every
fifth patient had chronic discomfort in long-term follow-up. The recurrence rate
was 2.5%, and a technically difficult procedure was a risk factor.
PMID- 29808172
TI - Origin and Clinical Applications of Neural Crest-Derived Dental Stem Cells.
AB - Over the past few decades, scientific research into neural crest-derived stem
cells has progressed rapidly. The migration and differentiation of neural crest
derived stem cells has been an interesting area of research. Stem cells within
teeth originating from the embryonic neural crest have attracted increasing
attention in clinical and scientific research because they are easy to obtain and
have superb stemness. The stem cells within the teeth include dental pulp stem
cells (DPSCs), dental follicle stem cells (DFSCs), stem cells from apical papilla
(SCAPs), stem cells from human exfoliated deciduous teeth (SHEDs), and
periodontal ligament stem cells (PDLSCs). To date, there have been several
interesting studies focusing on dental pulp regeneration, neural regeneration and
the revascularization for therapeutic applications.
PMID- 29808173
TI - Transcriptomics and Functional Analysis of Graphene-Guided Osteogenic
Differentiation of Mesenchymal Stem Cells.
AB - OBJECTIVE: To explore graphene's effects on the gene expression profile of
mesenchymal stem cells, and to reveal the mechanisms of graphene-guided
osteogenic differentiation. METHODS: Human adipose-derived mesenchymal stem cells
(hASCs) were cultured on single-layer graphene-coated titanium disks or titanium
disks in proliferation medium (control) or osteoinduction medium for 7 days
before RNA extraction. After library construction and RNA sequencing,
identification of differentially expressed genes was performed through Limma
package of R platform, with a cut-off value of log fold change (logFC) > = |1|.
Pathway and Gene ontology (GO) analyses were conducted on DAVID Bioinformatics
Resources 6.8 (NIAID/NIH). Network analyses were performed by the Ingenuity
Pathways Analysis (IPA). RESULTS: Signalling pathway analysis revealed the top
five pathways - cytokine-cytokine receptor interaction, neuroactive-ligand
receptor interaction, calcium signalling pathway, PI3K-Akt signalling pathway and
cell adhesion molecules. GO analyses demonstrated significant changes on cell
adhesion, calcium signalling, and epigenetic regulation. IPA network analyses
demonstrated that inflammation-related pathways were influenced by graphene,
while the downstream factors of histone H3 and H4 were also altered especially
under the existence of osteoinduction medium. CONCLUSION: Graphene promotes
osteogenic differentiation of hASCs mainly by influencing cell adhesion, cytokine
cytokine receptor interactions, inflammatory responses, and potentially
influences histone H3 and H4 through epigenetic regulation.
PMID- 29808174
TI - Histone Demethylase Jmjd7 Negatively Regulates Differentiation of Osteoclast.
AB - OBJECTIVE: To identify and verify the histone modifier during osteoclastogenesis.
METHODS: Murine macrophage-like cell line, RAW 264.7 cells, or murine bone marrow
macrophages (BMMs) were treated with a receptor activator of nuclear factor B
ligand (RANKL) alone or RANKL with macrophage colony-stimulating factor (M-CSF),
respectively, to induce differentiation of osteoclast. Quantitative real-time
polymerase chain reaction (qRT-PCR) was used to screen different arrays of
histone demethylases. Chromatin immunoprecipitation (ChIP) assay was used to
examine occupancy of jumonji domain containing 7 (Jmjd7) in the promoter regions
of different osteoclast-related genes. Jmjd7 was knocked down using siRNA.
Dentine slice assay was used to evaluate bone-resorptive functions. RESULTS:
Among the screened histone demethylases, Jmjd7 was significantly downregulated
during differentiation of osteoclast. The occupancy of Jmjd7 at the promoter
regions of osteoclast-related genes was also decreased. Knockdown of Jmjd7 in RAW
264.7 cells and BMMs enhanced differentiation of osteoclast and increased the
expression of osteoclast-related genes, such as c-fos, Dc-stamp, CtsK, Acp5, and
Nfatc1. Bone resorptive functions of the cells were also increased. CONCLUSION:
Our study shows that Jmjd7, a histone demethylase, functions as a negative
regulator of osteoclastogenesis, and may be a therapeutic target of bone-related
diseases.
PMID- 29808171
TI - Diffuse cardiac fibrosis quantification in early systemic sclerosis by magnetic
resonance imaging and correlation with skin fibrosis.
AB - Purpose: To evaluate the utility of cardiac magnetic resonance (CMR) T1 mapping
in early systemic sclerosis (SSc) and its association with skin score. Methods:
Twenty-four consecutive patients with early SSc referred for cardiovascular
evaluation and 12 controls without SSc were evaluated. All patients underwent
cine, T1 mapping, and late gadolinium enhanced (LGE) CMR imaging. T1 mapping
indices were compared between SSc patients and controls (extracellular volume
fraction [ECV], gadolinium partition coefficient [lambda], pre-contrast T1, and
post-contrast T1). The association between T1 mapping parameters and the modified
Rodnan skin score (mRSS) was determined. Results: There were no significant
differences in cardiac structure/function between SSc patients and controls on
cine imaging, and 8/24 (33%) SSc patients had evidence of LGE (i.e., focal
myocardial fibrosis). Of the T1 mapping parameters (indices indicative of diffuse
myocardial fibrosis), ECV differentiated SSc patients from controls the best,
followed by lambda, even when the eight SSc patients with LGE were excluded. ECV
had a sensitivity and specificity of 75% and 75% for diffuse myocardial fibrosis
(optimal abnormal cut-off value of >27% [area under ROC curve=0.85]). In the 16
patients without evidence of LGE, each of the 4 CMR T1 mapping parameters (ECV,
lambda, Pre-T1 and Post-T1) correlated with mRSS (R=0.51-0.65, P=0.007-0.043),
indicating a correlation between SSc cardiac and skin fibrosis. Conclusions: The
four T1 mapping indices are significantly correlated with mRSS in patients with
early SSc. Quantification of diffuse myocardial fibrosis using ECV should be
considered as a marker for cardiac involvement in SSc clinical studies.
PMID- 29808175
TI - Nerve Growth Factor Increases Glutamate in Sensory Fibres Innervating the
Masseter Muscles of Female Rats.
AB - OBJECTIVE: To investigate whether nerve growth factor (NGF) alters glutamate
expression in sensory fibres and glutamate concentration in the masseter muscle
of female rats. METHODS: Ten female rats were injected with NGF (25 ug/ml, 10
MUl) and vehicle into the right and left masseter muscles, respectively.
Immunohistochemistry and microdialysis were performed after 3 days to evaluate
glutamate expression and concentration in the muscle. RESULTS: The frequency of
expression of glutamate in the nerve fibres innervating the masseter muscle was
significantly greater 3 days after NGF (56 +/- 5%) than after vehicle (39 +/- 5%)
injection. The majority of fibres co-expressed the neuropeptide substance P (SP);
a marker for sensory afferent fibres. There was no effect of NGF on the
expression of the excitatory amino acid transporter type 2 (EAAT2). In the
microdialysis experiment, mean interstitial glutamate concentration on the
vehicle side (21.6 +/- 9.8 uM) was not significantly different from that on the
NGF side (16.2 +/- 9.2 uM). CONCLUSION: These results suggest that, in part, NGF
increases the mechanical sensitivity of the masseter muscle by increasing
glutamate expression in the sensory nerve endings in the muscle. This effect was
local to the site of the NGF injection, as it was only detectable through
immunohistochemistry, but not by microdialysis.
PMID- 29808176
TI - Estimate of Health Burden on Smoking-associated Oral Cancer in Shanghai and its
Surrounding Areas.
AB - OBJECTIVE: To evaluate the temporal trend of inpatients with smoking-associated
oral cancer in Shanghai and its surrounding areas and to forecast the public
health burden in the next decade. METHODS: Data of inpatients with oral cancer
were retrieved from Shanghai Ninth People's Hospital during a 15-year period. The
annual numbers of inpatients were compared by Chi-test. The hospitalization
expenditures were compared by Student's t test. The trend analysis and inpatient
forecasting were performed by exponential smoothing, regression models, and the
forecasting function in Excel software. The financial burden of smoking
associated oral cancer was calculated by polynomial equation. RESULTS: The annual
number of inpatients with oral cancer increased during the study period. Most
male patients were reported to have a smoking habit. Among the three estimation
methods, polynomial regression model was most fitted to the existing data. By a
conservative estimation, the public health burden of smoking-associated oral
cancer patients will be 120 million RMB by the year 2026, not including the cost
by prevalent patients and the patients' family members. CONCLUSION: Smoking
associated oral cancer will cost a lot of public resource in the next decade.
Efforts should be made to lower the amount of tobacco consumption.
PMID- 29808178
TI - Evaluation of Resistin Levels in Saliva of Patients with Chronic Periodontitis
and Healthy Subjects.
AB - OBJECTIVE: To evaluate resistin levels in the saliva of patients with chronic
periodontitis, and healthy subjects. METHODS: Thirty-four subjects aged between
25 and 50 years were included and divided into healthy group (n = 19) and chronic
periodontitis group (n = 15). The saliva levels of resistin were assessed by
enzyme-linked immunosorbent assay. Comparisons of resistin levels between the two
groups were made with the Mann-Whitney Test. RESULTS: The chronic periodontitis
group showed significantly higher resistin levels than the control group (P =
0.001). CONCLUSION: The level of resistin in saliva might help to determine the
inflammatory status of periodontal diseases.
PMID- 29808177
TI - Discrepancy of Clinical Parameters between the First and Second Molars Can Help
to Differentiate Subjects with Aggressive Periodontitis from Chronic
Periodontitis: a Cross-sectional Study Based on a Large Chinese Population.
AB - OBJECTIVE: To explore associations between mean discrepancy values for the first
and second molars (MDVFSs) and generalised aggressive periodontitis (GAgP) using
hospital-based periodontal examination records from a large Chinese population.
METHODS: Data from consecutive patients diagnosed as having chronic periodontitis
(CP, n = 51,849) and GAgP (n = 2,706) were included. Patient ages, gender,
smoking status, mean full-mouth probing depth (PD), and mean full-mouth
attachment loss (AL), as well as MDVFSs for PD and AL, were extracted.
Multivariate linear regression was used to test associations between MDVFSs and
GAgP. RESULTS: After multivariate risk adjustment for potential confounding
factors (age, smoking status, and mean PD and AL), the MDVFSs for PD (OR = 2.20,
95%CI: 2.04 to 2.38, P < 0.001) and AL (OR = 1.51, 95CI%: 1.44 to 1.59, P <
0.001) were significantly associated with GAgP. The probability of GAgP was
associated with MDVFS for PD falling between 0 mm and 2.5 mm (OR = 4.55, 95%CI:
4.01 to 5.17) and MDVFS for AL falling between 0 mm and 3.5 mm (OR = 2.01, 95%CI:
1.86 to 2.16, P < 0.001). CONCLUSION: This study revealed associations between
MDVFSs and GAgP, demonstrating that MDVFSs can serve as promising auxiliary
references for the differential diagnosis between CP and GAgP.
PMID- 29808179
TI - Osteoblastoma of the Hard Palate in a Child: A Rare Case.
AB - Benign osteoblastoma is a rare bone tumour characterised histologically by the
production of woven bone spicules, which are bordered by prominent osteoblasts.
It mainly affects young adults. We report a rare case of benign osteoblastoma of
the maxilla in a 7-year-old boy who presented with a painful swelling on the left
hard palate. An incisional biopsy was interpreted as osteoblastic neoplasm most
suggestive of osteoblastoma. After excision of the tumour there has been no
recurrence for 2 years.
PMID- 29808180
TI - Synthesis and spectroscopic properties of silver-fluorescein co-doped
phosphotungstate hollow spheres.
AB - Herein, silver-fluorescein co-doped phosphotungstate hollow spheres have been
successfully synthesized using a chemical precipitation method by introducing
silver ions and fluorescein at room temperature. This hybrid nanomaterial
possesses a uniform structure, exhibiting a strong emission spectrum with a peak
centered at 517 nm. It is anticipated that silver and fluorescein as
functionalized dopants for the phosphotungstate hollow sphere structure would
provide this material with multiple properties. The control experiments indicate
that fluorescein plays a key role in the formation of the hollow sphere
structure. Hence, the co-doping strategy is proposed to be a general method to
endow polyoxometalate nanomaterials with new structures, new functions and
potential applications in bioimaging, fluorescent chemical sensors, and
antibacterial or optoelectronic devices.
PMID- 29808181
TI - Rapid and reversible hydrazone bioconjugation in cells without the use of
extraneous catalysts.
AB - The amenability of hydrazone linkages to disassemble via either hydrolysis in
mildly acidic aqueous solutions or transimination upon treatment with amine
nucleophiles renders them extremely attractive for applications in chemical
biology, drug delivery and materials science. Unfortunately, however, the use of
hydrazones is hampered by the extremely slow intrinsic rates of their formation
from their hydrazine and carbonyl precursors. Consequently, hydrazone formation
is typically performed in the presence of a large excess of cytotoxic aniline
based nucleophilic catalysts, rendering hydrazones unsuitable for biological
applications that entail their formation in cells. Herein, we report a hydrazine
scaffold-o-amino benzyl hydrazine-that rapidly forms hydrazones via
intramolecular nucleophilic catalysis, thereby obviating the use of extraneous
catalysts. We demonstrate the use of this scaffold for rapid and reversible
peptide and protein hydrazone bioconjugation and also for reversible fluorescent
labeling of sialylated glycoproteins and choline lipids in mammalian cells.
PMID- 29808182
TI - WS2 nanosheets-sensitized CdS quantum dots heterostructure for
photoelectrochemical immunoassay of alpha-fetoprotein coupled with enzyme
mediated biocatalytic precipitation.
AB - Based on enzymatic biocatalytic precipitation to quench the amplified
photocurrent response generated from WS2 nanosheets-sensitized CdS quantum dots
heterostructure, a novel photoelectrochemical immunosensor was constructed for
sensitive alpha-fetoprotein (AFP) monitoring. The immunosensor comprised an anti
AFP antibody and a horseradish peroxidase-tagged anti-AFP antibody (HRP-Ab2),
which served as a capture unit and a signal indicator, respectively. In the
presence of AFP, HRP-Ab2 was immobilized on the electrode surface for
establishing a sandwich-type immunocomplex via antigen-antibody interaction, and
subsequently catalyzed 4-chloro-1-naphthol to form the nonconductive and
insoluble precipitate. This precipitation effectively impeded the diffusion and
electron transfer of the electron donor, thus achieving decreased photocurrent.
Under optimized conditions, excellent linearity range (1 pg mL-1-20 ng mL-1),
satisfactory detection limit (0.43 pg mL-1), desirable selectivity and good
stability were obtained for the as-designed immunosensor. Moreover, the
application of AFP determination in human serum allowed the immunosensor to hold
great potential for early diagnostics of some cancer diseases.
PMID- 29808183
TI - Nanostructure to thermal property relationship of resorcinol formaldehyde
aerogels using the fractal technique.
AB - We characterized the morphological features of an organic resorcinol-formaldehyde
(RF) aerogel and correlated each feature to the thermal insulation properties.
Several RF aerogels were synthesized with different morphological features and
structural assemblies. This was done by changing the catalyst percentages and the
dilution ratios at the polymerization stage. Then, each morphological feature was
assessed and categorized using two scales: the macro scale and the micro scale.
We found that the macro-features were independent of the catalyst percentages and
depended only on the dilution ratios. By contrast, the micro-features were highly
sensitive to any changes during the polymerization process. These changes altered
the samples' three main micro-structural factors: (i) the structural assembly,
(ii) the porous structure, and (iii) the fractal parameters. Thus, we
characterized and quantified each component within these areas. Then, we assessed
the structure's heat transfer modes and classified them as follows: (i) solid
conductivity through the solid particles, (ii) gas conductivity through the gas
molecules, and (iii) thermal radiation. We identified the morphological features
in our RF samples and correlated them to each mode of the heat transfer. For
example, the samples' solid conductivity was highly dependent on the fractal
parameters of our structure; that is, the particles' roughness, the structural
complexity, and the structural homogeneity. For those samples with extremely
rough particles and a complex structure, the solid conductivity reached the
lowest possible point. We also found that the total thermal conductivity was
mainly controlled by the micro-morphological features, and that the solid
conductivity was the most dominant heat transfer mode.
PMID- 29808184
TI - High-performance stretchable photodetector based on CH3NH3PbI3 microwires and
graphene.
AB - A stretchable photodetector was fabricated by releasing a prestrained 3 M very
high bond (VHB) substrate coated with perovskite CH3NH3PbI3 microwires and
graphene. The light harvesting CH3NH3PbI3 microwires were realized through a
transformation from CH3NH3PbI3 bulk single crystals. Graphene served as an
expressway for photoinduced carriers in the perovskite. Under a very low working
voltage bias of 0.01 V and irradiance power of 13.5 mW cm-2 under 785 nm laser
illumination, the responsivity could be as high as 2.2 mA W-1. When the device
was stretched up to 30%, 50%, and 80% strain, the responsivity was maintained at
0.96, 0.60, and 0.21 mA W-1, respectively. It also showed a fast photoresponse
(<0.12 s) after stretching to 10%, 30%, 50%, 80%, and even to 100%. The device
performed well after 100 cycles of stretching to 50% strain.
PMID- 29808187
TI - Photothermally triggered disassembly of a visible dual fluorescent poly(ethylene
glycol)/alpha-cyclodextrin hydrogel.
AB - The real-time tracking and adjustment of the disassembly and status of hydrogels
in vivo are important challenges to accurate and precise assessment. In this
article, a photothermally controllable, visible, dual fluorescent thermosensitive
hydrogel was designed and developed based on a porphyrin-poly(ethylene glycol)/IR
820-alpha-cyclodextrin hydrogel. Due to the photothermal effect and fluorescence
emission of IR-820, it can exert the dual functions of photothermal control and
fluorescence imaging tracking. The IR-820 conjugated hydrogel can regulate the
hydrogel disassembly by the photothermal effect of IR-820. Furthermore, each
component of the hydrogel can be tracked by the fluorescence of IR-820 and
porphyrin. Fluorescence imaging tracking and remote photothermal control were
merged into the visible and controlled hydrogel disassembly after subcutaneous
injection using mice as models. The dual fluorescence imaging visualization of
cyclodextrin/poly(ethylene glycol) hydrogels revealed the disassembly process by
tracking each component, and the hydrogel disassembly can be efficiently
accelerated under laser irradiation with the photothermal effect of IR-820. This
affords an important basis for understanding the disassembly process of the
poly(ethylene glycol)/alpha-cyclodextrin hydrogel.
PMID- 29808188
TI - Recent advances in the field of light-driven water oxidation catalyzed by
transition-metal substituted polyoxometalates.
AB - Transition-metal (TM) substituted polyoxometalates (POMs) have been demonstrated
to be excellent water oxidation catalysts (WOCs) under visible light due to their
unique structural features, tunable band gap structures and high stability.
Herein, we present a brief review on recent developments in the field of TM
substituted POM water oxidation catalysts (TSPWOCs) including both homogeneous
and heterogeneous TSPWOCs. We systematically highlight the composition and
structure of these different materials for higher photochemical performances and
summarize recent advancements, which will provide readers valuable guidance for
further discovery and improvements in efficient and robust TSPWOCs in future.
PMID- 29808185
TI - Hypoglycemic and hypolipidemic effects of triterpenoid-enriched Jamun (Eugenia
jambolana Lam.) fruit extract in streptozotocin-induced type 1 diabetic mice.
AB - The edible berries of Eugenia jambolana Lam. (known as Jamun) are consumed in
various parts of the world. Our previous studies revealed that a triterpenoid
enriched Jamun fruit extract (TJFE) showed beneficial effects on glucose
homeostasis in non-diabetic mice. Herein, the anti-diabetic effects of TJFE (100
mg kg-1 by oral gavage for ten days) were evaluated in streptozotocin (STZ)
induced type 1 diabetic mice. TJFE significantly attenuated STZ-induced
hyperglycemia and glucose intolerance, suppressed the abnormal elevation of
hepatic gluconeogenesis, and improved dyslipidemia in the mice. Histopathology
and mechanism-based studies revealed that TJFE preserved the architecture and
function of pancreatic islets, attenuated insulin secretion deficiency, enhanced
insulin/Akt signaling transduction, reduced lipogenic gene expression, and
prevented the abnormal activation of Erk MAPK in the liver tissues of the STZ
induced diabetic mice. The current study adds to previously published data
supporting the potential beneficial effects of this edible fruit on diabetes
management.
PMID- 29808189
TI - Gold as a modifier of metal nanoparticles: effect on structure and catalysis.
AB - Bimetallic gold based catalysts have been prepared using a sol immobilisation
technique. Despite a very similar metal dispersion, different structures are
revealed depending on the second metal, with alloyed systems being preferred in
the case of Pd, Pt and Cu, and core-shell in the case of Ru. A positive
synergistic effect between the metals has been revealed only in the cases of Pd
and Cu in the oxidation of benzyl alcohol. AuPd/C has been also studied in the
hydrogenation of benzaldehyde where the bimetallic catalyst revealed a different
selectivity compared to the monometallic counterpart.
PMID- 29808190
TI - Langevin behavior of the dielectric decrement in ionic liquid water mixtures.
AB - We present large scale polarizable simulations of mixtures of the ionic liquids 1
ethyl-3-methylimidazolium trifluoromethanesulfonate and 1-ethyl-3
methylimidazolium dicyanamide with water, where the dielectric spectra, the ion
hydration and the conductivity were evaluated. The dielectric decrement, the
depression of the dielectric constant of water upon addition of ions, is found to
follow a universal functional of Langevin type. Only three physical properties
need to be known to describe the complete range of possible concentrations,
namely the dielectric constant of pure water, of pure ionic liquid and the linear
slope of the dielectric decrement at low ionic liquid concentrations. Both the
generalized dielectric constant, as well as the water contribution to the
dielectric permittivity follow the functional dependence. We furthermore find
that a scaling of van der Waals parameters upon addition of polarizable forces to
the force field is necessary to correctly describe the frequency dependent
dielectric conductivity and its contribution to the dielectric spectrum, as well
as the static electric conductivity, which is also treated in the framework of a
pseudolattice theory.
PMID- 29808191
TI - Collective dynamics of self-propelled semiflexible filaments.
AB - The collective behavior of active semiflexible filaments is studied with a model
of tangentially driven self-propelled worm-like chains. The combination of
excluded-volume interactions and self-propulsion leads to several distinct
dynamic phases as a function of bending rigidity, activity, and aspect ratio of
individual filaments. We consider first the case of intermediate filament
density. For high-aspect-ratio filaments, we identify a transition with
increasing propulsion from a state of free-swimming filaments to a state of
spiraled filaments with nearly frozen translational motion. For lower aspect
ratios, this gas-of-spirals phase is suppressed with growing density due to
filament collisions; instead, filaments form clusters similar to self-propelled
rods. As activity increases, finite bending rigidity strongly effects the
dynamics and phase behavior. Flexible filaments form small and transient
clusters, while stiffer filaments organize into giant clusters, similarly to self
propelled rods, but with a reentrant phase behavior from giant to smaller
clusters as activity becomes large enough to bend the filaments. For high
filament densities, we identify a nearly frozen jamming state at low activities,
a nematic laning state at intermediate activities, and an active-turbulence state
at high activities. The latter state is characterized by a power-law decay of the
energy spectrum as a function of wave number. The resulting phase diagrams
encapsulate tunable non-equilibrium steady states that can be used in the
organization of living matter.
PMID- 29808192
TI - Terpyridine-based metallo-organic cages and supramolecular gelation by
coordination-driven self-assembly and host-guest interaction.
AB - Host-guest complexation based on terpyridine (tpy) three-dimensional (3D) metallo
cages has received less attention due to the complicated structure and solubility
issues. Herein, a three-armed metallo-organic ligand (L) was synthesized via
three 120 degrees -bent bis-tpy groups as a rigid tetrakis-tpy core, which
possesses one benzo-21-crown-7 (B21C7) for further host-guest interaction. The
metallo-organic cages [M3L2] with molecular weights up to 13 000 Da and giant
cavities were prepared in near-quantitative yield by self-assembly of L with
metal ions, such as Zn2+ and Fe2+. The 3D metallo-supramolecules were
characterized and their formation was supported by NMR, DOSY, ESI-MS and TEM.
Hosts [M3L2] were threaded through a difunctional alkylammonium salt by host
guest interactions to polymerize, and subsequently generate novel metallo-gels
between [Zn3L2] and bis-ammonium salt (N2).
PMID- 29808193
TI - Aqueous synthesis of protectant-free copper nanocubes by a disproportionation
reaction of Cu2O on synthetic saponite.
AB - Here, we report a synthesis of Cu nanocubes by photoreduction of CuSO4. Because
synthetic saponite (one of the layered clay minerals) was used as the adsorbent,
the nanocubes contained no capping agents or protectants, and the
disproportionation reaction of Cu2O with H2SO4 was found to be the key for
morphological control.
PMID- 29808194
TI - Fabrication of hollow nanorod electrodes based on RuO2//Fe2O3 for an asymmetric
supercapacitor.
AB - In this work, hollow RuO2 nanotube arrays were successfully grown on carbon cloth
by using a facile two-step method to fabricate a binder-free electrode. The well
aligned electrode displays excellent electrochemical performance. By using RuO2
hollow nanotube arrays as the positive electrode and Fe2O3 as the negative
electrode, a flexible solid-state asymmetric supercapacitor (ASC) has been
fabricated which exhibited excellent electrochemical performance, such as a high
capacitance of 4.9 F cm-3, a high energy density of 1.5 mW h cm-3 and a high
power density of 9.1 mW cm-3. In addition, the two-electrode SC shows high
cycling stability with 97% capacitance retention after 5000 charge-discharge
cycles. These excellent electrochemical performances are ascribed to the unique
hollow structural design of electrodes, which can shorten the ion diffusion
length, provide a fast ion transport channel, and offer a large
electrode/electrolyte interface for the charge-transfer reaction. The structural
design and the synthesis approach are general and can be extended to synthesizing
a broad range of materials systems.
PMID- 29808195
TI - Origin of the moire superlattice scale lateral force modulation of graphene on a
transition metal substrate.
AB - The moire superlattice formed between graphene and a transition metal substrate
is capable of tuning the frictional properties of graphene. For instance, a moire
superlattice scale modulation on the lateral force will be experienced by the tip
of an atomic force microscope (AFM). However, the origin of this long-range force
modulation still needs to be clarified. In this study, density functional theory
(DFT) calculations have been carried out to investigate the indentation processes
of a one-Ar-atom tip and a 10-atom Ir tip, sliding on graphene/Re(0001) and
graphene/Pt(111) moire superlattices, respectively. The calculation results
indicate that the interfacial interaction between graphene and a transition metal
substrate determines the morphological corrugation of graphene and the
characteristics of the lateral force modulation. Moreover, when the tip-graphene
interaction is strong enough, it will influence the evolutions of the adsorption
energy Ead and tip sliding trajectory. Thus, the moire superlattice scale lateral
force modulation of graphene on a transition metal substrate originates from the
joint effects of the graphene-substrate interfacial interaction and tip-graphene
interaction.
PMID- 29808196
TI - Production of solar chemicals: gaining selectivity with hybrid
molecule/semiconductor assemblies.
AB - Research on the production of solar fuels and chemicals has rocketed over the
past decade, with a wide variety of systems proposed to harvest solar energy and
drive chemical reactions. In this Feature Article we have focused on hybrid
molecule/semiconductor assemblies in both powder and supported materials,
summarising recent systems and highlighting the enormous possibilities offered by
such assemblies to carry out highly demanding chemical reactions with industrial
impact. Of relevance is the higher selectivity obtained in visible light-driven
organic transformations when using molecular catalysts compared to photocatalytic
materials.
PMID- 29808197
TI - Temperature dependence of phonon properties in CVD MoS2 nanostructures - a
statistical approach.
AB - In this paper, we report the results of Raman measurements on various molybdenum
disulfide (MoS2) nanostructures grown by the chemical vapor deposition (CVD)
method on a typical Si/SiO2 substrate. The phonon properties investigated include
the positions, widths, and intensities of the E2g and A1g modes and the
derivative of the mode positions with respect to the temperature in the 300-460 K
range. Our results give new insight into changes in phonon energies in response
to different disturbances and show that changes induced by the temperature are
similar to the changes induced by stress, making these two factors hardly
resolvable in the homegaA1g-homegaE2g coordinate system. We prove that all our
samples are weakly coupled to the substrate; thus, the presented results almost
purely illustrate the effect of the temperature and thickness. The much stronger
coupling to the substrate, however, can explain the high variation in the data
reported in the literature. The statistical approach applied makes our results
highly reliable and allows proper uncertainty assessment of the obtained results,
which is helpful when comparing our results to the results reported by other
authors.
PMID- 29808198
TI - Supramolecular metallogels constructed from carboxylate gelators.
AB - Serendipity still plays a role in gel discovery as the prediction of gel
formation is difficult. This work explores the role of ligand, metal salt,
solvent, and temperature in the formation of a low molecular mass carboxylate
iron(iii) system. The influence of each component is discussed. The gels obtained
were characterised using thermal analysis, Fourier transform-infrared
spectroscopy, powder X-ray diffraction, scanning electron microscopy, elemental
analysis, microwave plasma-atomic emission spectroscopy, and inductively coupled
plasma optical emission spectrometry. The response to external stimuli, including
dye and gas sorption was examined.
PMID- 29808199
TI - Base-controlled Fe(Pc)-catalyzed aerobic oxidation of thiols for the synthesis of
S-S and S-P(O) bonds.
AB - Fe(Pc)-Catalyzed aerobic oxidation of thiols for the synthesis of disulfides has
been developed under mild reaction conditions. In addition, an aerobic oxidative
cross-dehydrogenative coupling (CDC) reaction of thiols with P(O)-H compounds (H
phosphonates and H-phosphine oxide) for the formation of S-P(O) bonds has been
demonstrated under the Fe(Pc) catalysis system with a base additive. Control
experiments revealed that the use of a base (DIPA) in this system controls the
synthetic pathways in which thiophosphates are formed.
PMID- 29808202
TI - Recognition, classification, and prediction of the tactile sense.
AB - The emulation of the tactile sense is presented with the encoding of a complex
surface texture through an electrical sensor device. To achieve a functional
capability comparable to a human mechanoreceptor, a tactile sensor is designed by
employing a naturally formed porous structure of a graphene film. The inherent
tactile patterns are achievable by means of proper analysis of the electrical
signals that the sensor provides during the event of touching the interacting
objects. It is confirmed that the pattern-recognition method using machine
learning is suitable for quantifying human tactile sensations. The classification
accuracy of the tactile sensor system is better than that of human touch for the
tested fabric samples, which have a delicate surface texture.
PMID- 29808203
TI - Organogel formation rationalized by Hansen solubility parameters: improved
methodology.
AB - An organogel is obtained when a low molar mass compound forms a network of
anisotropic fibres in a liquid that is therefore transformed into a macroscopic
solid. Various approaches have been proposed to correlate organogel formation and
Hansen solubility parameters. These approaches are well adapted to specific
experimental datasets but lack universality. A general method to determine the
gelation domain from the solubility data of low molecular weight gelators is here
reported.
PMID- 29808200
TI - Tailoring of structured hydroxypropyl methylcellulose-stabilized emulsions for
encapsulation of nobiletin: modification of the oil and aqueous phases.
AB - Hydroxypropyl methylcellulose (HPMC)-stabilized emulsions were produced and their
structure was further modified by altering the oil (glycerol monolaurate, GML)
and aqueous (whey protein concentrate, WPC) phases. Then, the encapsulation and
release of nobiletin were evaluated. HPMC (3%) could efficiently stabilize the
oil droplets with a particle size around 370 nm. When HPMC was the emulsifier,
the stabilization time of nobiletin in the emulsion was prolonged, but its
encapsulation amount was still maintained around 4.5 mg g-1. Nobiletin crystals
were found to adsorb on the interface of oil droplets. The addition of GML and
WPC changed the microstructure of HPMC-stabilized emulsions. Both of them
enhanced the encapsulation efficiency and storage stability of nobiletin.
Nobiletin crystals became softer and shorter. After modification, nobiletin was
stable for one week at a level of 7.5 mg g-1. The bioaccessibility of nobiletin
was modulated in the presence of GML and WPC. The results demonstrate that the
structure of emulsion-based delivery systems affects the encapsulation and
delivery of hydrophobic components.
PMID- 29808204
TI - Boosted electrochemical properties from the surface engineering of ultrathin
interlaced Ni(OH)2 nanosheets with Co(OH)2 quantum dot modification.
AB - Nanoscale surface engineering of electroactive architectures is of paramount
importance in high-performance supercapacitor applications based on surface
controlled charge storage mechanisms. Herein, we exploit Co(OH)2 quantum dots
(CoQDs) as a surface modifier and report a simple and effective strategy for
anchoring CoQDs on ultrathin interlaced Ni(OH)2 nanosheets. Impressively, the
2D/0D heterostructure of CoQD-interspersed Ni(OH)2 nanosheets (Ni(OH)2-CoQD)
exhibits greatly enhanced capacitive behavior compared with pristine Ni(OH)2
nanosheets, exhibiting a higher capacitance (3244 F g-1vs. 2124 F g-1 at 5 mA cm
2), superior rate capability and better cycling stability. Density functional
theory (DFT) calculations reveal the accumulation of additional electrons and
reduced adsorption energy of OH- at the Ni(OH)2-CoQD interphase, which are the
primary reasons for the enhanced electrochemical performance. An asymmetric full
cell with Ni(OH)2-CoQD as the positive electrode has been fabricated, achieving a
maximum energy density of 46 W h kg-1 at 141 W kg-1, and excellent cycling
stability, where 84.1% of the initial capacitance is retained over 5000 cycles.
This work brings a new opportunity to pseudoactive electrode material design by
employing semiconductive quantum dots for surface modification.
PMID- 29808205
TI - Looking for the active hydrogen species in a 5 wt% Pt/C catalyst: a challenge for
inelastic neutron scattering.
AB - We looked for the active hydrogen species in a highly dispersed and very
homogeneous 5 wt% Pt/C industrial catalyst (Pt particle mean diameter of 2.0 +/-
0.5 nm) for hydrogenation reactions, by coupling H2 adsorption measurements with
Inelastic Neutron Scattering (INS). Taking advantage of the enormous progress
undergone by INS instruments, we succeeded in collecting INS spectra of
unprecedented quality that allowed us to: (1) demonstrate that the Pt
nanoparticles are mainly located at the regular edges of the sp2 graphitic
domains on the activated carbon; (2) validate that most of the H2 physisorbed on
the carbon is side-on adsorbed; (3) detect for the first time H2 molecules
adsorbed on hydride-covered Pt nanoparticles; (4) observe Pt-hydrides (on the
Pt/C catalyst with the lowest Pt loading among those investigated by INS so far)
and (5) provide evidence for the occurrence of spillover of atomic hydrogen from
the Pt surface to unsaturated reactive sites located at the irregular borders of
the sp2 domains on the activated carbon.
PMID- 29808206
TI - Bi2Mn4O10: a new mullite-type anode material for lithium-ion batteries.
AB - The low specific capacity of graphite limits the further increase of the energy
density of lithium-ion batteries and their widespread applications. Exploring new
anode materials is the key issue. Herein, a new mullite-type compound Bi2Mn4O10
is designed and synthesized. The Bi2Mn4O10/C composite delivers a high reversible
specific capacity of 846 mA h g-1 (more than twice that of graphite), and
exhibits a high capacity retention of 100% after 300 cycles at 600 mA g-1, which
is reported for the first time. The high specific capacity originates from the
combination of the conversion reaction and alloying-dealloying reaction, which
has been confirmed by the ex situ XRD, IR, SEM and TEM studies. In addition, the
unique nanocomposite generated during the charge-discharge process provides
excellent cycling stability. This work proves that Bi2Mn4O10/C is a potential
anode material for advanced lithium-ion batteries.
PMID- 29808207
TI - Transient dynamical responses of a charged binary colloid in an electric field.
AB - In a model system of oppositely charged colloids we study via Brownian dynamics
simulation the dynamical response as the system approaches steady states upon
application of a constant electric field. The system is known to form patterns of
like charges in the transverse plane to the field that are elongated along the
field as lanes. We show that an increase in structural heterogeneity leads to non
Gaussian tails in the probability distribution of particle displacements [self
van Hove functions (self-vHfs)]. The self-diffusion coefficient depends upon the
time of the observations and consequently indicates aging in the system. However,
the anomalies in the self-vHfs and diffusion do not appear during the melting of
the structures.
PMID- 29808208
TI - A high-resolution colorimetric immunoassay platform realized by coupling
enzymatic multicolor generation with smartphone readout.
AB - A high-resolution colorimetric immunoassay platform has been developed based on
enzyme-catalyzed multicolor generation and smartphone-assisted signal readout.
The multi-color generation is accomplished in this system through the urease
catalyzed urea hydrolysis-induced color change of the pH indicator phenol red,
from yellow to orange to red over pH 6.6 to 8.0. The color change is easily
tailored by controlling the urease activity via the inhibitor silver ion (Ag+),
the amount of which is in turn adjusted by alkaline phosphatase (ALP)-catalyzed
ascorbic acid (AA) production. An ALP-linked colorimetric immunoassay is readily
realized based on the above urease catalyzed multicolor generation system. Under
optimal conditions, a limit of detection (LOD) of 1.73 ng mL-1 and a dynamic
range from 0 to 18 ng mL-1 are achieved with rabbit IgG as a model analyte. A
colored picture for each test is directly taken using a smartphone and then
quantitatively analyzed with the free software ImageJ, eliminating the use of
expensive and desktop equipment. The dose-dependent multicolor display is easier
to distinguish with the naked eye for qualitative or semiquantitative detection
over the traditional one-color system. The developed immunoassay scheme provides
a promising platform for on-site testing or applications in resource-poor areas.
PMID- 29808210
TI - Ir(iii) complex-based oxygen imaging of living cells and ocular fundus with a
gated ICCD camera.
AB - Phosphorescence lifetime imaging methods using oxygen-sensitive probes are very
useful for visualizing the oxygen status of living cells and tissues with high
spatial resolution. We aim to develop a useful oxygen detection technique
combining a phosphorescent oxygen probe and an optimal detection method. Herein
we present a biological oxygen imaging method using a microscope equipped with a
gated intensified charge-coupled device (ICCD) camera as a detector and an
Ir(iii) complex as a phosphorescent oxygen probe. Microscopic luminescence images
of monolayer HT-29 cells (human colorectal adenocarcinoma cells) obtained using
the cell-penetrating Ir(iii) complex BTPDM1 and an inverted microscope
demonstrated that this method allowed visualization of the oxygen gradient
produced in a monolayer of cultured cells when the monolayer is covered with a
thin coverslip. Furthermore, combining the IR-emitting Ir(iii) complex DTTPH
PEG24 with a macrozoom microscope equipped with a gated ICCD camera enabled both
the visualization of retinal vessels near the optic disc and the monitoring of
oxygen level changes in a rabbit retina upon changing the inhaled oxygen content.
PMID- 29808212
TI - Topography-induced symmetry transition of droplets on quasi-periodically
patterned surfaces.
AB - Quasi-periodic structures of quasicrystals yield novel effects in diverse
systems. However, there is little investigation on employing quasi-periodic
structures in morphology control. Here, we show the use of quasi-periodic surface
structures in controlling the transition of liquid droplets. Although surface
structures seem random-like, we find that on these surfaces, droplets spread to
well-defined 5-fold symmetric shapes and the symmetry of droplet shapes
spontaneously restores during spreading, hitherto unreported in the morphology
control of droplets. To obtain physical insights into these symmetry transitions,
we conduct energy analysis and perform systematic experiments by varying the
properties of both liquid droplets and patterned surfaces. The results show the
dominant factors in determining droplet shapes to be surface topography and the
self-similarity of the surface structure. Quantified results of the droplet
spreading process show distinct dynamics from the spreading experiments on
periodically micropatterned surfaces. Our findings significantly advance the
control capability of the droplet morphology. Such a quasi-periodic patterning
strategy can offer a new method to achieve complex patterns, and may be used to
model patterns in the study of rough surfaces.
PMID- 29808211
TI - Anti-inflammatory effect of xanthomicrol, a major colonic metabolite of 5
demethyltangeretin.
AB - : 5-Demethyltengeretin (5DT) is a citrus flavonoid with various potential health
benefits. To provide physiologically relevant information on the anti
inflammatory properties of 5DT, we identified the major metabolite of 5DT in the
mouse colon and established its anti-inflammatory effects in lipopolysaccharide
(LPS)-stimulated RAW 264.7 macrophages. CD-1 mice were fed with a 5DT-containing
diet for four weeks, and colonic mucosa samples were collected and subjected to
LC-MS analysis. Xanthomicrol (XAN) was identified as the major metabolite of 5DT
in the mouse colon. More importantly, the colonic level of XAN was about 3.1-fold
higher than that of 5DT. The anti-inflammatory effects of 5DT and XAN were
determined in LPS-stimulated macrophages. XAN produced significant inhibitory
effects on the production of nitric oxide and PGE2. Western blotting and real
time PCR analyses demonstrated that XAN greatly decreased the protein and mRNA
levels of iNOS as well as the protein level of COX-2. Furthermore, XAN also
reduced the production of pro-inflammatory cytokine IL-1beta and induced the
expression of anti-oxidative enzyme HO-1. CONCLUSION: Our results demonstrated
that XAN is a major metabolite of 5DT in the colon of mice fed with 5DT, and XAN
may play important roles in the anti-inflammatory effects elicited by orally
administered 5DT.
PMID- 29808213
TI - Model systems in heterogeneous catalysis: towards the design and understanding of
structure and electronic properties.
AB - We discuss in this paper two case studies related to nano-particle catalyst
systems. One concerns a model system for the Cr/SiO2 Phillips catalyst for
ethylene polymerization and here we present XPS data to complement the previously
published TPD, IRAS and reactivity studies to elucidate the electronic structure
of the system in some detail. The second case study provides additional
information on Au nano-particles supported on ultrathin MgO(100)/Ag(100) films
where we had observed a specific activity of the particle's rim at the metal
oxide interface with respect to CO2 activation and oxalate formation, obviously
connected to electron transfer through the MgO film from the metal substrate
underneath. Here we present XPS and Auger data, which allows detailed analysis of
the observed chemical shifts. This analysis corroborates previous findings
deduced via STM.
PMID- 29808214
TI - Mechanistic understanding of [Rh(NHC)]-catalyzed intramolecular [5 + 2]
cycloadditions of vinyloxiranes and vinylcyclopropanes with alkynes.
AB - Zhang and co-workers have recently advanced the carbon [5 + 2] cycloaddition of
vinylcyclopropane and alkyne (VCP-alkyne) to the hetero-[5 + 2] cycloaddition of
vinyloxirane with alkyne (VOR-alkyne). Herein, we present a systematic
computational study to gain insights into the detailed reaction mechanisms and
origins of mechanistic differences of the two types of cycloadditions (all-carbon
[5 + 2] cycloaddition vs. hetero-[5 + 2] cycloaddition). Instead of the general
mechanism of rhodium-catalyzed VCP-alkyne cycloaddition that involves
cyclopropane cleavage, alkyne insertion and reductive elimination, the rhodium
catalyzed VOR-alkyne cycloaddition occurs via oxidative alkyne-alkene
cyclization, oxirane cleavage and reductive elimination. The cycloaddition of VOR
alkyne represents the first example of preferring the oxidative alkyne-alkene
cyclization mechanism within rhodium-catalyzed [5 + 2] cycloadditions. The
origins of the mechanistic difference are derived from the stabilizing effects
due to the favorable ligand-substrate C-H/pi dispersion interaction and the
substrate-substrate C-HO hydrogen-bond interaction in the oxidative alkyne-alkene
cyclization step of the hetero-[5 + 2] cycloaddition. The VOR-alkyne
cycloaddition gives a bicyclo[5.3.0] product featuring a 2,5-dihydrooxepin
moiety, which can further undergo a [3,3]-sigmatropic rearrangement giving the
final bicyclo[3.1.0] product, because a carbonyl structure is more favorable than
an enolate structure.
PMID- 29808215
TI - High-resolution mapping and recognition of lipid domains using AFM with toxin
derivatized probes.
AB - Cellular membrane lateral organization, in particular the assembly of lipids in
domains, is difficult to evaluate at high resolution. Here, we used atomic force
microscopy (AFM) to investigate at high-resolution lipid membranes containing
variable amounts of sphingomyelin (SM) and cholesterol (Chol), two abundant
membrane lipids. To this end, we developed new AFM tip functionalization
strategies to specifically probe SM and Chol. Multiparametric AFM imaging allowed
us to highlight the lateral submicrometric organization of these two lipids
within lipid bilayers through the simultaneous topographic evidence of different
phase regimes together with the extraction of their nanomechanical properties and
the specific detection of lipid moieties by functionalized AFM probes. The
combination of AFM topography and nanomechanical mapping with specific probes for
molecular recognition of lipids represents a novel approach to identify lipid
enriched domains in supported bilayers and offers a unique perspective to
directly observe lipid assemblies in living cells.
PMID- 29808216
TI - Stability and mobility of supported Nin (n = 1-10) clusters on ZrO2(111) and
YSZ(111) surfaces: a density functional theory study.
AB - The performance of supported metal catalysts, such as nickel nanoparticles
decorating yttria-stabilized zirconia (YSZ), depends on their microstructure and
the metal-support interface. Here, we have used spin polarized density functional
theory (DFT) to evaluate different Ni cluster geometries and determined the
electronic structure of the most stable configurations. We have described the
interaction of Nin (n = 1-10) clusters supported on the cubic ZrO2(111) and
YSZ(111) surfaces, which show a preference for pyramidal shapes rather than flat
structures wetting the surface. The interfacial interaction is characterized by
charge transfer from the cluster to the surface. We also show how yttrium,
present in YSZ, affects the Ni-Ni interaction. Through analysing the difference
between the cohesive energy and the clustering energy, we show the preference of
Ni-Ni bond formation over Ni-surface interaction; this energy difference
decreases with the increase of the Nin cluster size. From the evaluation of the
Ni atomic hopping rates on YSZ, we have demonstrated that under different
temperature conditions, Ni atoms aggregate with other atoms and clusters, which
affects the cluster size stability.
PMID- 29808217
TI - Chemical heterogeneity in interfacial layers of polymer nanocomposites.
AB - It is well-known that particle-polymer interactions strongly control the
adsorption and conformations of adsorbed chains. Interfacial layers around
nanoparticles consisting of adsorbed and free matrix chains have been extensively
studied to reveal their rheological contribution to the behavior of
nanocomposites. This work focuses on how chemical heterogeneity of the
interfacial layers around the particles governs the microscopic mechanical
properties of polymer nanocomposites. Low glass-transition temperature composites
consisting of poly(vinyl acetate) coated silica nanoparticles in poly(ethylene
oxide) and poly(methyl acrylate) matrices, and of poly(methyl methacrylate)
silica nanoparticles in a poly(methyl acrylate) matrix are examined using
rheology and X-ray photon correlation spectroscopy. We demonstrate that
miscibility between the adsorbed and matrix chains in the interfacial layers led
to the observed unusual reinforcement. We suggest that packing of chains in the
interfacial regions may also contribute to the reinforcement in the polymer
nanocomposites. These features may be used in designing mechanically adaptive
composites operating at varying temperature.
PMID- 29808219
TI - The mechanical equilibrium of soft solids with surface elasticity.
AB - Recent experiments have shown that surface stresses in soft materials can have a
significant strain-dependence. Here we explore the implications of this surface
elasticity to show how, and when, we expect it to arise. We develop the
appropriate boundary condition, showing that it simplifies significantly in
certain cases. We show that surface elasticity's main role is to stiffen a solid
surface's response to in-plane tractions, in particular at length-scales smaller
than a characteristic elastocapillary length. We also investigate how surface
elasticity affects the Green's-function problem of a line force on a flat,
incompressible, linear-elastic substrate. There are significant changes to this
solution, especially in that the well-known displacement singularity is
regularised. This raises interesting implications for soft phenomena like wetting
contact lines, adhesion and friction. Finally, we discuss open questions, future
directions, and close ties with existing fields of research.
PMID- 29808218
TI - Ag/AgBr-loaded mesoporous silica for rapid sterilization and promotion of wound
healing.
AB - Bacterial infection is a major concern during the wound healing process. Herein,
Ag/AgBr-loaded mesoporous silica nanoparticles (Ag/AgBr/MSNs) are designed to
harvest visible light for rapid sterilization and acceleration of wound healing.
The Ag/AgBr nanostructure has remarkable photocatalysis ability due to the
critical factor that it can generate electron-hole pairs easily after light
absorption. This remarkable photocatalytic effect enhances the antibacterial
activity by producing reactive oxygen species (ROS). The bacterial killing
efficiency of Ag/AgBr/MSNs is 95.62% and 99.99% against Staphylococcus aureus and
Escherichia coli, respectively, within 15 min under simulated solar light
irradiation due to the generation of ROS. Furthermore, the composites can arrest
the bacterial growth and damage the bacterial membrane through electrostatic
interaction. The gradual release of Ag+ not only prevents bacterial infection
with good long-term effectiveness but also stimulates the immune function to
produce a large number of white blood cells and neutrophils, which favors the
promotion of the wound healing process. This platform provides an effective
strategy to prevent bacterial infection during wound healing.
PMID- 29808220
TI - Granny Smith apple procyanidin extract upregulates tight junction protein
expression and modulates oxidative stress and inflammation in lipopolysaccharide
induced Caco-2 cells.
AB - The present work is undertaken to characterize a Granny Smith apple procyanidin
extract (AE) and investigate the beneficial effect of the AE in the intestine in
vitro. Each AE was characterized via LC-ESI-MS. Caco-2 cells were used to study
the preventive actions of the AE against the downregulation of tight junction
protein expression, oxidative stress and inflammation induced by
lipopolysaccharides (LPS). Phenolic compounds present in the AE, including
chlorogenic acid, catechin, epicatechin, proanthocyanidin dimers, and
proanthocyanidin trimers, were characterized. The expression of the tight
junction protein, including occludin and zona occludens (ZO)-1, increased
significantly in LPS + AE treated Caco-2 cells, compared to LPS induced Caco-2
cells. Proanthocyanidin dimers had the most potent effect on increasing tight
junction protein expression. The addition of LPS to Caco-2 cells induced
oxidative stress and inflammation. However, incubation with proanthocyanidin
dimers prevented LPS-mediated oxidative stress, including the increase of SOD, HO
1, CAT, and GSH-Px mRNA expression, and counteracted LPS-mediated inflammation as
evidenced by the down-regulation of inflammatory markers (NF-kappabeta, IL-6, and
TNF-alpha mRNA expression). Our findings provide evidence that AE could
upregulate tight junction protein expression, probably acting via the reduction
of oxidative stress and inflammation.
PMID- 29808221
TI - Synergistic breast tumor cell killing achieved by intracellular co-delivery of
doxorubicin and disulfiram via core-shell-corona nanoparticles.
AB - Combination therapy with different functional chemotherapeutic agents based on
nano-drug delivery systems is an effective strategy for the treatment of breast
cancer. However, co-delivery of drug molecules with different physicochemical
properties still remains a challenge. In this study, an amphiphilic poly (epsilon
caprolactone)-b-poly (l-glutamic acid)-g-methoxy poly (ethylene glycol) (PCL-b
PGlu-g-mPEG) copolymer was designed and synthesized to develop a nanocarrier for
the co-delivery of hydrophilic doxorubicin (DOX) and hydrophobic disulfiram
(DSF). The amphiphilic copolymer self-assembled into core-shell-corona structured
nanoparticles with the hydrophobic PCL core for DSF loading (hydrophobic
interaction) and anionic poly (glutamic acid) shell for DOX loading
(electrostatic interaction). DSF and DOX co-loaded nanoparticles (Co-NPs)
resulted in high drug loading and precisely controlled DSF/DOX ratio via
formulation optimization. Compared with free drug solutions, DSF and DOX
delivered by the Co-NPs were found to have improved intracellular accumulation.
Results of cytotoxicity assays showed that DSF/DOX delivered at the weight ratio
of 0.5 and 1 could achieve a synergistic cytotoxic effect on breast cancer cell
lines (MCF-7 and MDA-MB-231). In vivo imaging confirmed that the core-shell
corona nanoparticles could efficiently accumulate in tumors. In vivo anti-tumor
effect results indicated that Co-NPs showed an improved drug synergistic effect
on antitumor activity compared with the free drug combination. Therefore, it can
be concluded that core-shell-corona nanoparticles prepared by PCL-b-PGlu-g-mPEG
could be a promising co-delivery system for drug combination therapy in the
treatment of breast cancer.
PMID- 29808222
TI - Defect state-induced efficient hot electron transfer in Au nanoparticles/reduced
TiO2 mesocrystal photocatalysts.
AB - We investigated Au/TiO2 mesocrystals as plasmonic photocatalyst prototypes using
single-particle photoluminescence (PL) spectroscopy combined with finite
difference time-domain (FDTD) simulations, and found that introduction of defect
states builds up a channel for hot electrons with energies lower than the
Schottky barrier height to transfer to the semiconductor.
PMID- 29808223
TI - Hybrid organic-inorganic connectivity of NdIII(pyrazine-N,N'
dioxide)[CoIII(CN)6]3- coordination chains for creating near-infrared emissive
Nd(iii) showing field-induced slow magnetic relaxation.
AB - A near-infrared emissive and magnetically anisotropic Nd(iii) complex is formed
within a hybrid organic-inorganic
{[NdIII(pzdo)(H2O)4][CoIII(CN)6]}.0.5(pzdo).4H2O (1) (pzdo = pyrazine-N,N'
dioxide) ladder chain built of coexisting Nd-pzdo-Nd and Nd-NC-Co molecular
bridges. 1 reveals two NdIII-centered properties, a field-induced slow magnetic
relaxation of a single-ion origin with a thermal energy barrier of DeltaE/kB =
51(2) K at Hdc = 1 kOe, and a near-infrared fluorescence sensitized by organic
and inorganic linkers.
PMID- 29808224
TI - Robust multi-responsive supramolecular hydrogel based on a mono-component host
guest gelator.
AB - Supramolecular hydrogels have been widely investigated, but the construction of
stimuli-responsive mono-component host-guest hydrogels remains a challenge in
that it is still hard to balance the solubility and gelation ability of the
gelator. In this work, three azobenzene-modified beta-cyclodextrin derivatives
with different alkyl lengths (beta-CD-Azo-Cn) have been synthesized. The length
of the alkyl chain dramatically influences the solubility and gelation ability of
beta-CD derivatives in water. Among these derivatives, beta-CD-Azo-C8 possesses
the lowest minimum gelation concentration (MGC). Based on the host-guest
interaction between beta-CD and azobenzene units in aqueous solution, which is
confirmed by UV-visible and ROESY NMR spectra, the gelators self-assemble and
further interwine into networks through the hydrogen bonds on the surface of beta
CD cavities. Hydrogels formed by mono-component gelators can collapse under
external stimuli such as heating, competition guests and hosts, and UV
irradiation. When the concentration of the gelator is more than 8 wt%, the
hydrogel exhibits good self-supporting ability with a storage modulus higher than
104 Pa. The gel-sol transition temperature of the hydrogel is near body
temperature, indicating its potential applications in biological materials.
PMID- 29808225
TI - Amphiphilic complexes of Ho(iii), Dy(iii), Tb(iii) and Eu(iii) for optical and
high field magnetic resonance imaging.
AB - Lanthanides, holmium(iii), dysprosium(iii), and terbium(iii), were coordinated to
an amphiphilic DOTA bis-coumarin derivative and then further assembled with an
amphiphilic europium(iii) DTPA bis-coumarin derivative into mono-disperse
micelles. The self-assembled micelles were characterized and assessed for their
potential as bimodal contrast agents for high field magnetic resonance and
optical imaging applications. All micelles showed a high transverse relaxation
(r2) of 46, 34, and 30 s-1 mM-1 at 500 MHz and 37 degrees C for Dy(iii), Ho(iii)
and Tb(iii), respectively, which is a result of the high magnetic moment of these
lanthanides and the long rotational correlation time of the micelles. The quantum
yield in aqueous solution ranged from 1.8% for Tb/Eu to 1.4% for Dy/Eu and 1.0%
for the Ho/Eu micelles. Multi-photon excited emission spectroscopy has shown that
due to the two-photon absorption of the coumarin chromophore the characteristic
Eu(iii) emission could be observed upon excitation at 800 nm, demonstrating the
usefulness of the system for in vivo fluorescence imaging applications. To the
best of our knowledge, this is the first example reporting the potential of a
holmium(iii) chelate as a negative MRI contrast agent.
PMID- 29808226
TI - TiO2/TiN core/shell nanobelts for efficient solar hydrogen generation.
AB - TiO2/TiN core/shell NBs are successfully synthesized, and used as highly
efficient photocatalytic H2 evolution catalysts (120 MUmol h-1 g-1) from methanol
solution. The TiN shell plays dual roles by extending the light absorption range
and also promoting the separation/transfer of photoexcited charge carriers as an
electron collector.
PMID- 29808227
TI - Growth of wormlike micelles of surfactant induced by embedded polymer: role of
polymer chain length.
AB - Incorporation of polymer chains into wormlike surfactant micelles, which find a
large range of applications, offers the opportunity to modify their structure and
properties. In this paper, using spectroscopic, scattering and rheological
techniques and computer simulations, we study the incorporation of poly(4
vinylpyridine) of two different molecular weights (MWs) into entangled networks
of wormlike surfactant micelles of potassium oleate. Using NMR-spectroscopy we
show that, independent of its MW, the polymer incorporates into the core-corona
interface of the surfactant micelles. According to SANS data, the polymer does
not alter the micelle structure or the micelle radius, but diminishes the packing
density of the surfactant. At the same time, rheology reveals a stark difference
between the surfactant networks with embedded polymers of different MWs. Networks
with the higher-MW polymer possess larger viscosity and a longer relaxation time,
which we attribute to the larger length of the hybrid micelles. Moreover, we
demonstrate that in an intermediate concentration range the higher-MW polymer is
able to link neighbouring surfactant micelles together, which has never been
previously observed. However, with a further increase in polymer content the
micelles become smaller due to the high breaking susceptibility of the boundaries
of polymer-containing sections, leading to the stabilization of micellar end-caps
by the embedded macromolecules. This process is more prominent in the case of the
shorter polymer. Our finding that an increased MW of macromolecules permits the
formation of longer hybrid micelles and enhances their rheological properties is
of obvious importance for the fundamental understanding of polymer-surfactant
interactions and the development of new industrial formulations based on hybrid
polymer-wormlike surfactant micelles.
PMID- 29808229
TI - Prediction of insufficient serum vitamin D status in older women: a validated
model.
AB - : We developed an externally validated simple prediction model to predict serum
25(OH)D levels < 30, < 40, < 50 and 60 nmol/L in older women with risk factors
for fractures. The benefit of the model reduces when a higher 25(OH)D threshold
is chosen. INTRODUCTION: Vitamin D deficiency is associated with increased
fracture risk in older persons. General supplementation of all older women with
vitamin D could cause medicalization and costs. We developed a clinical model to
identify insufficient serum 25-hydroxyvitamin D (25(OH)D) status in older women
at risk for fractures. METHODS: In a sample of 2689 women >= 65 years selected
from general practices, with at least one risk factor for fractures, a
questionnaire was administered and serum 25(OH)D was measured. Multivariable
logistic regression models with backward selection were developed to select
predictors for insufficient serum 25(OH)D status, using separate thresholds 30,
40, 50 and 60 nmol/L. Internal and external model validations were performed.
RESULTS: Predictors in the models were as follows: age, BMI, vitamin D
supplementation, multivitamin supplementation, calcium supplementation, daily use
of margarine, fatty fish >= 2*/week, >= 1 hours/day outdoors in summer, season of
blood sampling, the use of a walking aid and smoking. The AUC was 0.77 for the
model using a 30 nmol/L threshold and decreased in the models with higher
thresholds to 0.72 for 60 nmol/L. We demonstrate that the model can help to
distinguish patients with or without insufficient serum 25(OH)D levels at
thresholds of 30 and 40 nmol/L, but not when a threshold of 50 nmol/L is
demanded. CONCLUSIONS: This externally validated model can predict the presence
of vitamin D insufficiency in women at risk for fractures. The potential clinical
benefit of this tool is highly dependent of the chosen 25(OH)D threshold and
decreases when a higher threshold is used.
PMID- 29808228
TI - Inhibitory effects of fluoxetine, an antidepressant drug, on masseter muscle
nociception at the trigeminal subnucleus caudalis and upper cervical spinal cord
regions in a rat model of psychophysical stress.
AB - This study aimed to determine whether psychophysical stress conditionings had
facilitatory effects on masseter muscle nociception in the central nervous system
via serotonergic mechanisms in rats. Two experiments were conducted to assess:
(1) whether repeated forced swim stress for 3 days increased the number of Fos
positive neurons evoked by masseter muscle injury due to formalin injection; and
(2) whether serotonin-reuptake inhibitor, fluoxetine, administered daily after
each stress conditioning, had modulatory roles on Fos expression. The number of
Fos-positive cells was quantified in several areas within the trigeminal
subnucleus caudalis (Vc) and upper cervical spinal cord regions (Vc areas),
including the ventrolateral area of the trigeminal subnucleus interpolaris/Vc
transition, and the middle or caudal portion of the Vc regions, since nociceptive
neural activity in the Vc region could play critical roles in deep craniofacial
nociception. We found that forced swim stress conditionings increased depression
like behaviors, which was prevented by fluoxetine. Repeated forced swim stress
significantly increased Fos expression in all Vc areas compared with those of non
stressed rats, while systemic administration of fluoxetine significantly
decreased Fos expression in all areas, but mainly in the caudal Vc region, in
stressed rats. Fluoxetine had no effect on Fos expression in non-stressed rats.
These results indicate that repeated forced swim stress conditionings increase
Fos expression in the Vc areas, and the contribution of serotonergic mechanisms
to masseter muscle nociception could be greater in stressed rats than in sham
rats. These results support the hypothesis that changes in brain function,
including serotonergic mechanisms, in the Vc areas play critical roles in
enhanced masseter muscle nociceptive responses under psychophysical stress
conditions.
PMID- 29808230
TI - Relationships between markers of inflammation and bone density: findings from the
Hertfordshire Cohort Study.
AB - : Among 365 Hertfordshire Cohort Study participants (aged 59-71 years at
baseline), higher adiponectin and adiponectin to leptin ratios were associated
with lower baseline lumbar spine and femoral neck bone mineral density (BMD).
Lower IL-10 was associated with accelerated decline in lumbar spine BMD. This
suggests that bone health can be influenced by changes in immune phenotype and
alterations in adipokine homeostasis. INTRODUCTION: The aim of this study was to
examine the association between indices of inflammation and BMD in a population
based cohort of older adults in the UK. METHODS: Analyses were based on a sample
of 194 men and 171 women of the Hertfordshire Cohort Study (community-living,
older adults). Dual energy X-ray absorptiometry (DXA) was performed at the lumbar
spine and proximal femur at baseline and repeated at a median of 4.5 years (inter
quartile range 3.6 to 5.2). Inflammatory markers (CRP, TNF, IL-1beta, IL-6, IL-8,
IL-10, adiponectin and leptin) were ascertained at baseline using enzyme-linked
immunosorbent assay (ELISA) techniques and Bio-Plex Pro Assays. Gender-adjusted
linear regression was used to examine the associations between markers of
inflammation and outcomes with and without adjustment for anthropometric and
lifestyle factors. RESULTS: The mean (SD) ages at baseline were 64.4 (2.5) and
66.5 (2.7) years for men and women respectively. Higher levels of adiponectin and
adiponectin to leptin ratios were each associated with lower baseline lumbar
spine and femoral neck BMD in gender-adjusted (p < 0.01) and fully adjusted (p <
0.05) analyses. Lower levels of IL-10 and TNF were each associated with
accelerated decline in lumbar spine BMD in both gender-adjusted (p <= 0.05) and
fully adjusted (p < 0.05) analyses. CONCLUSIONS: In a cohort of older adults,
high levels of adiponectin and adiponectin to leptin ratios were both associated
with lower BMD at the lumbar spine and femoral neck at baseline, and lower IL-10
was associated with accelerated decline in BMD at the lumbar spine. This adds
weight to the theory that bone health can be influenced by changes in immune
phenotype and alterations in adipokine homeostasis.
PMID- 29808232
TI - Modulation of lower urinary tract smooth muscle contraction and relaxation by the
urothelium.
AB - The epithelial inner layer of the lower urinary tract, i.e., the urothelium, and
other parts of the mucosa are not just a passive barrier but play an active role
in the sensing of stretching, neurotransmitters, paracrine mediators, hormones,
and growth factors and of changes in the extracellular environment. We review the
molecular and cellular mechanisms enabling the urothelium to sense such inputs
and how this leads to modulation of smooth muscle contraction and relaxation. The
urothelium releases various mediators including ATP, acetylcholine,
prostaglandins, nitric oxide, and nerve growth factor. These may affect function
and growth of smooth muscle cells and afferent nerves. However, the molecular
identity of the urothelium-derived mediator directly modulating contractile and
relaxant responses of isolated bladder strips has remained elusive. The
morphology and function of the urothelium undergo changes with aging and in many
pathophysiological conditions. Therefore, the urothelium may contribute to the
therapeutic effects of established drugs to treat lower urinary tract dysfunction
and may also serve as a target for novel therapeutics. However, therapeutics may
also change urothelial function, and it is not always easy to determine whether
such changes are part of the therapeutic response or reflect secondary
alterations.
PMID- 29808231
TI - cUMP hydrolysis by PDE3B.
AB - Previous results indicate that the phosphodiesterase PDE3B hydrolyzes cUMP. Also,
almost 50 years ago, cUMP-hydrolytic activity was observed in rat adipose tissue.
We intended to characterize the enzyme kinetics of PDE3B-mediated cUMP
hydrolysis, to determine the PDE3B binding mode of cUMP, and to analyze cUMP
hydrolysis in adipocyte preparations. Educts (cNMPs) and products (NMPs) of the
PDE reactions as well as intracellular cNMPs were quantitated by HPLC-coupled
tandem mass spectrometry. PDE3B expression was determined by qPCR and Western
blot. Docking studies were performed with the PDE3B crystal structure PDB ID 1SO2
(complex with a dihydropyridazine inhibitor). PDE3B hydrolyzed cUMP (Km ~ 550
MUM, Vmax ~ 76 MUmol/min/mg) and cAMP (Km ~ 0.7 MUM, Vmax ~ 4.3 MUmol/min/mg) in
a milrinone (PDE3-selective inhibitor)-sensitive manner (Ki for inhibition of
cUMP hydrolysis: 205 nM). cUMP forms one hydrogen bond with PDE3B (uracil 3-NH
with side chain oxygen of Q988). Two hydrogen bonds stabilize cAMP binding. cCMP
does not interact with PDE3B. Possibly, the cytosine base cannot form hydrogen
bonds with PDE3B, and the 4-NH2 group clashes with L987 of the enzyme. Adipocyte
differentiation of 3T3-L1 MBX cells increased mRNA of PDE3B, but not of PDE3A.
Significant amounts of cUMP were detected in differentiated and undifferentiated
3T3-L1 MBX cells. 3T3-L1 MBX adipocyte lysates and rat epididymal adipose tissue
membranes contained milrinone-sensitive cUMP-hydrolytic activity. PDE3B is a low
affinity and high-velocity phosphodiesterase for cUMP. The cUMP-hydrolyzing
activity described almost 50 years ago for rat adipose tissue is caused by PDE3,
probably by the isoform PDE3B.
PMID- 29808233
TI - Prevalence and genotypic diversity of Entamoeba species in inhabitants in
Kathmandu, Nepal.
AB - In Nepal, gastrointestinal infections due to parasites including Entamoeba
species are common. The main aim of this study was to identify species of
Entamoeba using genotypic analysis. The prevalence of Entamoeba infections was
examined by PCR in fecal samples from 143 inhabitants living close to wild rhesus
macaques in Kathmandu, Nepal. The numbers of positive cases were one (0.7%) for
E. histolytica, eight (5.6%) for E. dispar, seven (4.9%) for E. coli, and two
(1.4%) for E. chattoni (E. polecki ST2). No infections with E. nuttalli, E.
moshkovskii, and E. polecki ST1 were found. In E. dispar, at least seven
different genotypes were detected from the eight samples by sequence analysis of
tRNA-linked short tandem repeats. Different genotypes were found even in a couple
from the same family. This is the first report demonstrating that E. dispar with
high genotypic diversity is prevalent, rather than E. histolytica, in Kathmandu,
and that zoonotic transmission of E. chattoni from rhesus macaques might occur in
the inhabitants.
PMID- 29808234
TI - Metabolic and functional distinction of the Smicronyx sp. galls on Cuscuta
campestris.
AB - MAIN CONCLUSION: The weevil gall contains two distinct regions, differing in
hydrolytic and antioxidant enzymes activity and profiles, which is also
functionally distinct from the non-infected Cuscuta stems. Weevils of the genus
Smicronyx are gall-forming insects, widely distributed on parasitic flowering
plants of the genus Cuscuta. Thus, they are considered epiparasites and potential
method for biological control of their agriculturally harmful hosts. Although
several reports on gall formation in Cuscuta spp. exist, the metabolic and
functional changes, occurring in the gall, remained largely unknown. Smicronyx
sp. galls, collected from a wild Cuscuta campestris population, were dissected
into two distinct regions, inner and outer cortex, defined by the higher
chlorophyll content of the inner cortex. Based on hydrolytic and antioxidant
enzymes activity and isoenzymatic profiles as analyzed after electrophoretic
separation, we suggested that the gall differs in its metabolic activity from the
non-infected plant tissue. While the outer cortex serves as a region of nutrient
storage and mobilization, the inner cortex is directly involved in larvae
nutrition. The increase in metabolic activity resulted in significantly increased
superoxide dismutase activity in the gall, while several other antioxidant
enzymes diminished. The present research offers new insights into the
functionally differing regions of Smicronyx galls and the metabolic changes,
induced in C. campestris in result of the gall formation.
PMID- 29808235
TI - The Effect of Shift Work on Urogenital Disease: a Systematic Review.
AB - PURPOSE OF REVIEW: Non-standard shift work schedules negatively impact the
overall health of shift workers, and several studies have shown that shift work,
specifically, is detrimental to urogenital health. The aims of this study are to
systematically review the literature and determine the effect of shift work on
the outcomes of hypogonadism, male infertility, lower urinary tract symptoms, and
urogenital cancers. RECENT FINDINGS: Recent evidence supports associations
between non-standard shift work and an increase in the frequency of prostate
cancer and the severity of erectile dysfunction, lower urinary tract symptoms,
and hypogonadal symptoms, as well as worsening of semen parameters and fertility.
These associations are strengthened by the presence of shift work sleep disorder
(SWSD) which affects up to 20% of shift workers. No studies have assessed the
impact of shift work on the frequency or severity of nephrolithiasis,
interstitial cystitis, pelvic pain, prostatitis, or urinary tract infections. Non
standard shift work has been associated with a variety of negative health
outcomes and urologic complications, especially with concurrent shift work sleep
disorder. Recognition of these elevated risks among shift workers can aid in more
effective screening for urologic conditions.
PMID- 29808236
TI - Pitfalls of Combining Novel Agents in Lymphoma.
AB - OPINION STATEMENT: As our knowledge of lymphoma and its intricate signaling
pathways has grown, so has the development of novel agents. While their
mechanisms of action vary considerably, these therapies supplement and in some
cases offer alternatives to standard chemotherapy. Initial studies have
highlighted tolerable side effects though in the majority of instances limited
efficacy when used as monotherapy. Research has focused on combining these novel
agents to improve outcomes and perhaps offer refined treatment options. Novel
combinations represent new territory, inherently dissimilar to combination
chemotherapy with new pitfalls and challenges given their unique mechanisms of
action. Though promising, it is crucial to consider the complex interplay that
can occur. While there is potential for improved outcomes, there is also the
possibility of unexpected toxicities. For this reason, it is critical that novel
combinations be carefully considered and tested in clinical trials before
widespread use. Thus far, research has shown that combination therapies are
successful when not only avoiding overlapping toxicity but also capitalizing on
synergy. We believe that more specific targets and an improved understanding of
their off-/on-target effects will further successful novel combinations.
PMID- 29808237
TI - Treatment and outcome data of very low birth weight infants treated with less
invasive surfactant administration in comparison to intubation and mechanical
ventilation in the clinical setting of a cross-sectional observational
multicenter study.
AB - : The aim of this study was to contribute further to existing randomized
controlled trials and meta-analyses showing advantages in the outcome of less
invasive surfactant administration (LISA)-treated infants and add new aspects
concerning treatment and outcome data collected in the routine clinical setting.
Four hundred seven very low birth weight infants who received surfactant via
either LISA or intubation methods were enrolled in the observational cross
sectional multicenter study. To compare infants in terms of surfactant
administration, we used an exact matching procedure (the same gestational age,
severe perinatal depression (pH < 7.10), birth weight < 10th percentile,
antenatal steroid treatment, and the same gender). To check for robustness, we
performed repeated matching. LISA-treated infants required significantly less
mechanical ventilation during hospital stay (p < 0.001) and days with
supplemental oxygen (p = 0.03). Analgesics and sedatives were used less often
during the stay (p < 0.001). Infants treated with LISA had significantly lower
rates of bronchopulmonary dysplasia (p = 0.003). LISA failure infants were
identified as more likely to be small for gestational age and more immature.
CONCLUSION: Our study complements former results with advantages for LISA-treated
infants in mechanical ventilation and bronchopulmonary dysplasia in the clinical
routine. TRIAL REGISTRATION: DRKS00004589 What is Known: * According to existing
literature, LISA-treated infants seem to have some favors in terms of treatment
and outcome data. Observational studies in routine clinical setting are missing.
What is New: * Data of 407 VLBW infants collected in routine clinical setting
showed that LISA-treated infants needed less mechanical ventilation and fewer
days with supplemental oxygen and less analgesics and sedatives. A reduced risk
of BPD could be showed. SGA infants seem to have higher risks of LISA failure.
PMID- 29808238
TI - The impact of social inequalities on children's knowledge and representation of
health and cancer.
AB - : Reducing inequalities in the field of cancer involves studying the knowledge
and mental representations of cancer among children. A qualitative study was
conducted on 191 children aged 9 to 12 using the "write and draw" technique to
get spontaneous mental representations of "healthy things", "unhealthy things"
and "cancer". We grouped the voluntary schools according to two deprivation
levels. In response to the request to "write or draw anything you think keeps you
healthy", the main responses categories were physical activity, healthy food and
basic needs. Smoking, drinking alcohol, sedentary lifestyles/lack of sport were
identified as "unhealthy". The first theme associated with "cancer" is the
"cancer site" implying children have a segmented perception of cancer. Deprived
children have radically different views about the key items representing cancer:
they are more likely to believe the illness is systematically deadly. They are
less likely to believe it is a treatable illness. They are less likely to
associate cancer with risky behaviors, particularly alcohol consumption.
CONCLUSION: Social inequalities affect representations of cancer and health
literacy from early childhood. Prevention programs taking into account these
representations need to be introduced at school. What is Known: * Social
inequalities for cancer mortality are observed in all European countries and are
particularly pronounced in France. * Reducing these inequalities in prevention
programs implies studying the knowledge and mental representations of cancer
among children. What is New: * This study identified representations of cancer in
young children according to social level. * At age 9, children living in deprived
areas are less able to produce content in discussions about cancer and have
narrower mental representations and a more fatalistic view.
PMID- 29808239
TI - Management of Resistance to First-Line Anaplastic Lymphoma Kinase Tyrosine Kinase
Inhibitor Therapy.
AB - OPINION STATEMENT: A decade after the discovery of echinoderm microtubule
associated protein-like 4 (EML4)-anaplastic lymphoma kinase (EML4-ALK)
rearrangements in non-small cell lung cancer (NSCLC), several inhibitors have
gained regulatory approval, and their sequential use has deferred platinum-based
chemotherapy to later lines of therapy. Nevertheless, although most ALK-driven
tumors dramatically respond to ALK TKIs , all patients ultimately develop drug
resistant disease. Analysis of post-progression biopsy samples has provided
invaluable insight into the mechanisms of resistance, now informing on subsequent
therapeutic strategies. In particular, the identification of secondary ALK
mutations, which are a common mechanism of resistance to both first-generation
and to an even larger extent to second-generation ALK TKIs, may shape a
personalized optimal treatment strategy beyond the current first-line choice.
Alectinib has now become a preferred treatment option in the first line of
therapy, and extrapolation of data obtained from post-progression samples after
second-line next-generation ALK TKIs suggests that acquired resistance is likely
to be mediated in more than half of patients by ALK resistance mutations.
Nevertheless, clinical and preclinical evidence suggests that multiple resistance
mechanisms may co-exist at different levels in the same TKI-resistant patient.
Newer ALK tyrosine kinase inhibitors (TKIs) overcome some resistance mutations
through higher exposure and potency, and generally present greater CNS activity,
but are unlikely to overcome resistance mediated through separate oncogenic
pathway activations, or epithelial to mesenchymal transition (EMT) and small cell
lung cancer (SCLC) transformation. Furthermore, while resistance mutations can be
detected through commonly available sequencing methods, the identification of
other mechanisms of resistance is much less straightforward in the clinic. We
hypothesize that the ALK resistance mutation status will likely be crucially
important in the choice of second-line therapy after a second-generation TKI.
Emerging clinical data also refines the optimal placing of PD-1- and PD-L1
directed immunotherapy in the treatment sequence.
PMID- 29808240
TI - [Fetal magnetic resonance imaging].
AB - CLINICAL ISSUE: The most important prenatal screening method for the detailed
assessment of the fetus is ultrasound, which is often combined with colour-coded
Doppler sonography. In case of sonographically diagnosed fetal pathologies or
technical limitations of the ultrasound, supplementary diagnostics may be
necessary. STANDARD RADIOLOGICAL METHODS: With fast MRI sequences, fetal MRI
screening provides important additional information especially with regard to the
most common congenital pathologies-central nervous system (CNS) pathologies
(agenesis of the corpus callosum, ventriculomegaly, arachnoid cyst, pathologies
of the posterior cranial fossa, dysfunction of the gyrification). Knowledge of
the developmental stages of the CNS is very important for accurate clinical
assessment and interpretation.
PMID- 29808241
TI - DAWN and DEFUSE-3 trials: is time still important?
AB - BACKGROUND: Endovascular thrombectomy has been proven effective in the first 6 h
after onset of stroke and large-vessel occlusion in the anterior cerebral
circulation. To date, it was not clear whether thrombectomy beyond 6 h is also
beneficial. METHODS: A summary of the prospective DAWN (DWI or CTP Assessment
with Clinical Mismatch in the Triage of Wake-Up and Late Presenting Strokes
Undergoing Neurointervention with Trevo) and DEFUSE-3 (Endovascular Therapy
Following Imaging Evaluation for Ischemic Stroke) trials is presented. RESULTS:
The DAWN and DEFUSE-3 trials showed the efficacy of thrombectomy in selected
patients with occlusion of proximal vessels in the anterior circulation up to 24
h after suspected onset of symptoms. CONCLUSION: Thrombectomy was shown to have
high efficacy up to 24 h after suspected symptom onset; however, patient
selection is very complex. Early detection of large-vessel occlusion is of utmost
importance. The cooperation between existing neurovascular networks should be
adapted according to these new findings.
PMID- 29808243
TI - Children with open tibial fractures show significantly lower infection rates than
adults: clinical comparative study.
AB - PURPOSE: The purpose of this study is to investigate and compare the surgical
site infection (SSI) rates of children and adults after open tibia fracture at a
single medical centre. METHODS: A retrospective study was performed on patients
who sustained open tibia fractures and who received treatment at our hospital
from 2012 to 2016. Data on age, gender, fracture site, Gustilo-Anderson grade,
treatment management, and culture results from the infection site were recorded.
RESULTS: Overall, 37 children with a mean age of 7.19 +/- 2.28 years and 89
adults with a mean age of 40.38 +/- 13.53 years were enrolled. The rate of SSIs
was 13.5% (6/37) in children, which was significantly lower than the 21.3%
(19/89) rate in adults (p < 0.001). The mean number of days to discharge was
12.86 +/- 10.25 in children, which was significantly lower than the mean of 28.67
+/- 16.92 days in adults (p < 0.001). Furthermore, the average waiting time for
soft tissue recovery before definitive surgery was 1.41 +/- 1.79 and 8.42 +/-
4.38 days in children and in adults, respectively, and the difference was
significant (p < 0.001). Early infection occurred more frequently in adults
(5/19) than in children (0/6). Staphylococcus aureus was the most commonly found
pathogen in both groups. CONCLUSION: The SSI rate of paediatric patients after
open tibia fracture fixation is significantly lower than that of adults, and the
prognosis of the former is superior. The results indicate a superior ability of
soft tissue recovery and infection resistance after open tibia fracture fixation
among children.
PMID- 29808242
TI - [CEUS-application possibilities in the musculoskeletal system].
AB - METHODICAL ISSUE: Contrast-enhanced ultrasound (CEUS) offers easily accessible
visualization and quantification of the skeletal muscle microcirculation and
other tissues in vivo and in real-time with almost no side effects. AIM: The aim
of this review is to present the increasing number of musculoskeletal CEUS
applications. METHODICAL INNOVATIONS/PERFORMANCE: CEUS applications regarding the
musculoskeletal system include applications at bone and joints extending beyond
the visualization of only the muscular microcirculation. Besides basic muscle
physiology, impaired microcirculation in patients with peripheral artery disease
or diabetes mellitus and the diagnosis of inflammatory myopathies have been the
subject of previous CEUS studies. More recent studies in orthopedics and
traumatology have focused on osseous and muscular perfusion characteristics, e.
g., in differentiating infected and aseptic non-unions or the impact of different
types of implants and prostheses on muscular microcirculation as a surrogate
marker of clinical success. PRACTICAL RECOMMENDATIONS: CEUS of the
musculoskeletal system is used in clinical trials or off-label. Therefore, it is
not well established in clinical routine. However, considering the increasing
number of musculoskeletal CEUS applications, this could change in the future.
PMID- 29808244
TI - Impact of toll-like receptor 4 stimulation on human neonatal neutrophil
spontaneous migration, transcriptomics, and cytokine production.
AB - : Neonates rely on their innate immune system, and neutrophils in particular, to
recognize and combat life-threatening bacterial infections. Pretreatment with
lipopolysaccharide (LPS), a toll-like receptor (TLR) 4 agonist, improves survival
to polymicrobial sepsis in neonatal mice by enhancing neutrophil recruitment. To
understand the response of human neonatal neutrophils to TLR4 stimulation, ex
vivo spontaneous neutrophil migration, neutrophil transcriptomics, and cytokine
production in the presence and absence of LPS were measured directly from whole
blood of adults, term neonates, and preterm neonates. Spontaneous neutrophil
migration was measured on novel microfluidic devices with time-lapse imaging for
10 h. Genome-wide neutrophil transcriptomics and plasma cytokine concentrations
were also determined. Preterm neonates had significantly fewer spontaneously
migrating neutrophils at baseline, and both term and preterm neonates had
decreased neutrophil velocity, compared to adults. In the presence of LPS
stimulation, the number of spontaneously migrating neutrophils was reduced in
preterm neonates compared to term neonates and adults. Neutrophil velocity was
not significantly different among groups with LPS stimulation. Preterm neonates
upregulated expression of genes associated with the recruitment and response of
neutrophils following LPS stimulation, but failed to upregulate the expression of
genes associated with antimicrobial and antiviral responses. Plasma levels of IL
1beta, IL-6, IL-8, MIP-1alpha, and TNF-alpha increased in response to LPS
stimulation in all groups, but IL-10 was increased only in term and preterm
neonates. In conclusion, age-specific changes in spontaneous neutrophil migration
counts are not affected by LPS despite changes in gene expression and cytokine
production. KEY MESSAGES: Preterm neonates have reduced spontaneous neutrophil
migration compared to term neonates and adults in the absence and presence of
TLR4 stimulation. Preterm and term neonates have reduced neutrophil velocities
compared to adults in the absence of TLR4 stimulation but no difference in the
presence of TLR4 stimulation. Unique transcriptomic response to TLR4 stimulation
is observed in neutrophils from preterm neonates, term neonates, and adults. TLR4
stimulation produces an age-specific cytokine response.
PMID- 29808245
TI - The Prognostic Ability of Major Hepatocellular Carcinoma Staging Systems Is
Improved by Including a Treatment Variable.
AB - BACKGROUND AND AIMS: There has been significant debate regarding which
hepatocellular carcinoma (HCC) staging system is best able to predict survival.
We hypothesized that the prognostic ability of the Barcelona Clinic Liver Cancer
(BCLC) and Hong Kong Liver Cancer (HKLC) systems would be improved with the
addition of an explicit treatment variable. METHODS: We performed an analysis of
a prospectively enrolled cohort of 292 patients undergoing 532 treatment episodes
for HCC from 2006 to 2014. BCLC, standard nine-stage HKLC (HKLC9), and modified
five-stage HKLC (HKLC5) for each treatment episode were assessed. Overall
survival and time to disease progression were calculated for the initial
treatment, re-treatment, and overall treatment cohorts. We compared the
performance of various prognostic models including staging system alone,
treatment alone, and staging system plus treatment using the corrected Akaike
information criterion and Harrell's C statistic. RESULTS: The BCLC, HKLC5, and
HKLC9 systems were significant predictors of survival and time to progression for
all treatment cohorts (log rank test, p < 0.001). The addition of a treatment
variable significantly improved (p < 0.01) the prognostic ability of the survival
and time to progression models compared with those containing only the BCLC or
HKLC stage across all treatment cohorts other than survival in re-treatment for
BCLC (p = 0.094). CONCLUSIONS: Adding a treatment variable to major HCC staging
systems improves their ability to predict survival and time to progression in
initial treatment, re-treatment, and overall.
PMID- 29808246
TI - Rome IV Diagnostic Questionnaire Complements Patient Assessment of
Gastrointestinal Symptoms for Patients with Gastroparesis Symptoms.
AB - BACKGROUND: Patient assessment of upper gastrointestinal symptoms (PAGI-SYM)
questionnaire assesses severity of gastrointestinal symptoms in gastroparesis
(Gp), dyspepsia, and gastroesophageal reflux disease. Rome IV Diagnostic
Questionnaire (R4DQ), used to diagnose various functional gastrointestinal
disorders, may also help to better understand symptoms of Gp. AIM: To assess
patients with Gp comparing PAGI-SYM to R4DQ. METHODS: Patients with symptoms of
Gp referred to our center from May 2016 to January 2018 filled out PAGI-SYM and
R4DQ. RESULTS: Out of 357 patients, 225 had delayed gastric emptying including
121 with idiopathic gastroparesis (IGp), 60 with diabetic gastroparesis (DGp), 25
with atypical Gp, and 19 with postsurgical gastroparesis (PSGp). Using PAGI-SYM,
DGp had more severe retching and vomiting compared to IGp. PSGp had more severe
upper abdominal pain compared to IGp. Using R4DQ, the average number of Rome IV
diagnoses that Gp patients met criteria was 2.1 +/- 0.1. Most Gp patients had
functional dyspepsia (n = 197, 90.8%) and chronic nausea and vomiting syndrome
(CNVS) (n = 181, 83.4%). Postprandial distress syndrome (PDS) was present in 189
patients (88.3%), and epigastric pain syndrome in 126 patients (59.8%). The
combination of PDS and CNVS was seen in 76.5% of Gp patients. CONCLUSIONS: In
this study, Gp patients were characterized using the PAGI-SYM and R4DQ. DGp had
more severe retching and vomiting, while PSGp had more severe upper abdominal
pain. PDS and CNVS were the most prevalent Rome IV diagnoses. The combination of
PDS and CNVS was typically seen in patients with Gp. R4DQ can be helpful to
characterize Gp patients.
PMID- 29808248
TI - Effective integrated frameworks for assessing mining sustainability.
AB - The objectives of this research are to review existing methods used for assessing
mining sustainability, analyze the limited prior research that has evaluated the
methods, and identify key characteristics that would constitute an enhanced
sustainability framework that would serve to improve sustainability reporting in
the mining industry. Five of the most relevant frameworks were selected for
comparison in this analysis, and the results show that there are many
commonalities among the five, as well as some disparities. In addition, relevant
components are missing from all five. An enhanced evaluation system and framework
were created to provide a more holistic, comprehensive method for sustainability
assessment and reporting. The proposed framework has five components that build
from and encompass the twelve evaluation characteristics used in the analysis.
The components include Foundation, Focus, Breadth, Quality Assurance, and
Relevance. The enhanced framework promotes a comprehensive, location-specific
reporting approach with a concise set of well-defined indicators. Built into the
framework is quality assurance, as well as a defined method to use information
from sustainability reports to inform decisions. The framework incorporates human
health and socioeconomic aspects via initiatives such as community-engaged
research, economic valuations, and community-initiated environmental monitoring.
PMID- 29808247
TI - Down-Regulated LncRNA-HOTAIR Suppressed Colorectal Cancer Cell Proliferation,
Invasion, and Migration by Mediating p21.
AB - BACKGROUND AND AIM: HOX transcript antisense intergenic RNA (HOTAIR) is a
relatively well-understood RNA, which plays a central role in the pathogenesis of
various tumors. The aim of the present study was to investigate the effect by
which HOTAIR acts to influence the biological processes of colorectal cancer
(CRC) through p21. METHODS: Reverse transcription quantitative polymerase chain
reaction and Western blot methods were employed to provide verification regarding
the changes in HOTAIR, PCNA, Ki67, p21, cyclin E, and CDK2 among the CRC tissues
and cells. The correlation between the clinicopathological characteristics of
patients and expression of HOTAIR and p21 was subsequently evaluated, followed by
an analysis into the effects of HOTAIR on the biological processes of M5 cells.
RESULTS: HOTAIR was found to be expressed at high levels, while p21 was
determined to be at a low level among both the CRC tissues and the CRC cell
lines. The expressions of HOTAIR and p21 were determined to be related to lymph
node metastasis, tumor node metastasis, Dukes staging, distant metastases,
histological types, and the degree of differentiation. Cells transfected with
HOTAIR siRNA displayed inhibited rates of proliferation, invasion, and migration,
as well as decreased cyclin E and CDK2, while apoptosis and p21 were increased.
CONCLUSION: The principal findings demonstrated that down-regulation of HOTAIR
elicits an inhibitory effect on proliferation, invasion, and migration, while
promoting the apoptosis of CRC cells through the up-regulation of p21. We believe
that HOTAIR could represent a novel target for the treatment of CRC.
PMID- 29808249
TI - Beta endorphin in serum and follicular fluid of PCOS- and non-PCOS women.
AB - PURPOSE: To compare the concentrations of beta endorphin in serum and follicular
fluid (FF) of PCOS- and non-PCOS women. Secondarily, to investigate associations
between beta endorphin and other parameters. METHODS: Fifty-nine women undergoing
in vitro fertilization (IVF) were included in the study. Sixteen were stratified
to the PCOS group using the Rotterdam criteria. The remaining 43 women served as
controls. Follicular fluid was collected during oocyte retrieval and peripheral
blood sampling was performed on the same day. Beta endorphin concentrations in
serum and follicular fluid, serum levels of insulin, glucose, LH, estradiol and
progesterone were measured. Additionally, testosterone was measured before
starting the stimulation protocol. RESULTS: There was no difference in beta
endorphin levels between PCOS- and non-PCOS women. The concentration of the
peptide was higher in serum than in FF, likely due to collection of FF after
ovulation induction and corresponding to the early luteal phase. We found a
significant correlation between the number of mature Metaphase II (MII) oocytes
retrieved and beta endorphin concentration in FF. In women with biochemical
hyperandrogenemia, beta endorphin levels in FF correlated with testosterone
levels. CONCLUSION: Beta Endorphin concentrations in serum and FF do not differ
between PCOS- and non PCOS-women undergoing IVF. However, together with sex
hormones, beta endorphin might play a key role in oocyte maturation.
PMID- 29808250
TI - Prenatal diagnosis of Wolf-Hirschhorn syndrome: from ultrasound findings,
diagnostic technology to genetic counseling.
AB - PURPOSE: Wolf-Hirschhorn syndrome (WHS) is a contiguous gene syndrome due to
terminal chromosome 4p deletions. We explored prenatal diagnosis of WHS by
ultrasound as well as karyotype and single nucleotide polymorphism array (SNP
array) to characterize the structural variants of WHS prenatally. METHODS: Ten
prenatal cases of WHS were evaluated for the indication of the invasive testing,
the ultrasound features, and cytogenetic and microarray results. RESULTS: Eight
cases were diagnosed by karyotyping and SNP array, while two cases were detected
only by SNP array. Combining our cases with 37 prenatal cases from the
literature, the most common sonographic features were IUGR (97.7%) and typical
facial appearance (82.9%). Other less common phenotypes included renal hypoplasia
(36.2%), cardiac malformation (29.8%), cleft lip and palate (25.5%), cerebral
abnormalities (25.5%), skeletal anomalies (21.3%), and increased nuchal
translucency/nuchal fold thickness (NT/NF) (19%). CONCLUSIONS: The most common
intrauterine phenotypes of WHS were severe IUGR and typical facial appearance
with other less consistent ultrasound findings. Noninvasive prenatal testing
(NIPT) is one very promising screening tool for WHS. SNP array can improve
diagnostic precision for detecting WHS, especially for the cryptic aberrations
that cannot be identified by the traditional karyotyping. Ectopic kidney may be a
previously unrecognized phenotype of WHS.
PMID- 29808251
TI - Overexpressed long noncoding RNA CRNDE with distinct alternatively spliced
isoforms in multiple cancers.
AB - Alternative splicing is a tightly regulated process that contributes to cancer
development. CRNDE is a long noncoding RNA with alternative splicing and is
implicated in the pathogenesis of several cancers. However, whether deregulated
expression of CRNDE is common and which isoforms are mainly involved in cancers
remain unclear. In this study, we report that CRNDE is aberrantly expressed in
the majority of solid and hematopoietic malignancies. The investigation of CRNDE
expression in normal samples revealed that CRNDE was expressed in a tissue- and
cell-specific manner. Further comparison of CRNDE expression in 2938 patient
samples from 15 solid and hematopoietic tumors showed that CRNDE was
significantly overexpressed in 11 malignancies, including 3 reported and 8
unreported, and also implicated that the overexpressed isoforms differed in
various cancer types. Furthermore, anti-cancer drugs could efficiently repress
CRNDE overexpression in cancer cell lines and primary samples, and even had
different impacts on the expression of CRNDE isoforms. Finally, experimental
profiles of 12 alternatively spliced isoforms demonstrated that the spliced
variant CRNDE-g was the most highly expressed isoform in multiple cancer types.
Collectively, our results emphasize the cancer-associated feature of CRNDE and
its spliced isoforms, and may provide promising targets for cancer diagnosis and
therapy.
PMID- 29808252
TI - Anatomical correction of atrioventricular discordance using three-dimensional
replica.
AB - Surgical experience with {S,L,D} segmental anatomy of atrioventricular
discordance with double-outlet right ventricle is extremely rare. In addition to
ordinary cardiac examination, we reviewed electrophysiological studies and a
three-dimensional cardiac replica (crossMedical, Inc., Kyoto, Japan).
Consequently, we preoperatively confirmed the intracardiac rerouting line and the
appropriate right ventricle incision line. A Senning procedure, intracardiac
rerouting, and subaortic stenosis resection were performed in a 2.6-year-old
patient (weight, 10.6 kg). The three-dimensional cardiac replica contributed
definitively to the anatomical correction.
PMID- 29808253
TI - A vacuum-actuated microtissue stretcher for long-term exposure to oscillatory
strain within a 3D matrix.
AB - Although our understanding of cellular behavior in response to extracellular
biological and mechanical stimuli has greatly advanced using conventional 2D cell
culture methods, these techniques lack physiological relevance. To a cell, the
extracellular environment of a 2D plastic petri dish is artificially flat,
extremely rigid, static and void of matrix protein. In contrast, we developed the
microtissue vacuum-actuated stretcher (MVAS) to probe cellular behavior within a
3D multicellular environment composed of innate matrix protein, and in response
to continuous uniaxial stretch. An array format, compatibility with live imaging
and high-throughput fabrication techniques make the MVAS highly suited for
biomedical research and pharmaceutical discovery. We validated our approach by
characterizing the bulk microtissue strain, the microtissue strain field and
single cell strain, and by assessing F-actin expression in response to chronic
cyclic strain of 10%. The MVAS was shown to be capable of delivering reproducible
dynamic bulk strain amplitudes up to 13%. The strain at the single cell level was
found to be 10.4% less than the microtissue axial strain due to cellular
rotation. Chronic cyclic strain produced a 35% increase in F-actin expression
consistent with cytoskeletal reinforcement previously observed in 2D cell
culture. The MVAS may further our understanding of the reciprocity shared between
cells and their environment, which is critical to meaningful biomedical research
and successful therapeutic approaches.
PMID- 29808254
TI - Applying GRADE Criteria to Clinical Inputs to Cost-Effectiveness Modeling
Studies.
AB - BACKGROUND: Concerns have been raised about the use of clinical data in cost
effectiveness models. The aim of this analysis was to evaluate the appropriate
use of data on clinical effectiveness in cost-effectiveness modeling studies that
were published between 2001 and 2015. METHODS: Assessors rated 72 modeling
studies obtained from three therapeutic areas by applying criteria defined by the
Grading of Recommendations Assessment, Development and Evaluation group for
assessing the quality of clinical evidence: selection of clinical data
(publication bias), imprecision, indirectness, inconsistency (i.e.,
heterogeneity), and study limitations (risk of bias). For all parameters included
in the analyses, potential changes over time were assessed. RESULTS: Although
three out of four modeling studies relied on randomized controlled trials, more
than 60% of the modeling studies were based on clinical data with a high or
unclear risk of bias, in more than 80%, a risk of publication bias was found, and
in about 30%, evidence was based on indirect clinical evidence, having
significantly increased over the years. Study limitations were inadequately
described in more than one third of the studies. However, less than 10% of
clinical studies showed inconsistency or imprecision in study results.
CONCLUSION: Despite the fact that the majority of economic evaluations are based
on precise and consistent randomized controlled trials, their results are often
affected by limitations arising from methodological shortcomings in the
underlying data on clinical efficacy. Modelers and assessors should be more aware
of aspects surrounding the quality of clinical evidence as considered by the
Grading of Recommendations Assessment, Development and Evaluation group.
PMID- 29808255
TI - Orthorexia nervosa in a sample of Portuguese fitness participants.
AB - PURPOSE: Orthorexia Nervosa (ON) is described as an obsessive behaviour motivated
by great concern for following a healthy diet. Although it was first described in
1997, ON remains inconspicuous and poorly characterized in the literature. The
aims of this study were to evaluate the prevalence of ON in a sample of
ambulatory individuals and to investigate their common characteristics,
attempting to better characterize this entity. METHODS: A non-random sample of
193 adult gym members answered a questionnaire based on a Portuguese version of
ORTO-15, supplemented with additional questions. Possible associations between
the different variables and pathological scores on ORTO-15 were analysed using
logistic regression models. RESULTS: ON behaviour was documented in 51.8% of the
sample, mean age 30.96 years (+/- 1.03 years), and this condition tended to be
correlated with younger ages. Physical appearance and frequent exercising were
also associated with ON, while no correlation between orthorexic tendencies and
both gender and educational field was found. Furthermore, consumption of special
food, dissatisfaction with physical appearance and frequent exercising could
predict the presence of ON in the sample. CONCLUSIONS: Despite the use of a
convenience sample, results place us in a different perspective, considering the
idea that orthorexic individuals are exclusively concerned about pursuing a pure
diet. The presence of ON was associated with other non-dietary behaviours allied
to a healthy lifestyle and aesthetic concerns. LEVEL OF EVIDENCE: Level V:
Descriptive study.
PMID- 29808257
TI - Erratum to: Engineering of Microbial Electrodes.
PMID- 29808256
TI - Cdx-2 polymorphism in the vitamin D receptor gene (VDR) marks VDR expression in
monocyte/macrophages through VDR promoter methylation.
AB - Caudal-type homeobox protein 2 (CDX-2) is an intestine-specific transcription
factor (TF), with a polymorphic binding site (Cdx-2, rs11568820, A/G) in the
vitamin D receptor gene (VDR). The molecular mechanism underlying Cdx-2
association with conditions like osteoporosis, which depends on intestinal VDR
expression and calcium absorption, is believed to be due to higher affinity of
CDX-2 for the ancestral A allele compared to the G allele. However, it is unclear
why the polymorphism is associated with diseases like tuberculosis, which is
dependent on VDR expression in immune cells that do not express CDX-2. This study
aimed to explain Cdx-2 variant association with immune-related conditions. We
hypothesised that the effect of Cdx-2 polymorphism on VDR expression in
monocytes/macrophages, devoid of the CDX-2 TF, is indirect and dependent on
circulating 25(OH)D3 and VDR methylation. Primary monocyte/macrophages from
healthy donors (n = 100) were activated though TLR2/1 elicitation. VDR mRNA and
25(OH)D3 were quantified by RT-qPCR and LC-MS/MS, respectively. Genotyping and
methylation analysis were done by pyrosequencing. AA vs. AG/GG showed reduced
levels of 25(OH)D3 (P < 0.010), higher VDR promoter methylation (P < 0.050) and
lower VDR mRNA induction (P < 0.050). Analysis of covariance confirmed that the
effect of Cdx-2 variants depends primarily on VDR methylation. Thus, VDR
methylation may confound association studies linking VDR polymorphisms to
disease.
PMID- 29808258
TI - Healthcare Seeking Intention if Diagnosed with HIV Among Young MSM in Taiwan: A
Theory-Based Comparison by Voluntary Counseling and Testing Experience.
AB - The number of HIV cases in Taiwan exceeded 30,000 in 2016. Per the UNAIDS 90-90
90 target, 81% of people living with HIV should receive medication. However,
numerous previous studies focused on adherence rather than the initial healthcare
seeking intention if diagnosed with HIV (HIV HSI). Based on the Theory of Planned
Behavior (TPB), anonymous online survey data were collected from December 2016
through February 2017 from 2709 young MSM (YMSM) ages 15-39. Multivariate
logistic regression found the significant factors and strengths of associations
with HIV HSI varied by their HIV voluntary counseling and testing (VCT)
experience. YMSM without VCT experience perceiving high support from salient
others (AOR = 1.28) and high control under facilitating conditions (AOR = 2.73)
had higher HIV HSI. YMSM with VCT experience perceiving high control under
facilitating (AOR = 1.79) and constraining (AOR = 1.54) conditions had higher HIV
HSI. Regardless of VCT experience, YMSM with positive attitudes toward positive
healthcare seeking outcomes (AOR = 3.72-3.95) had highest HIV HSI, highlighting
the importance of increasing positive outcome expectations in YMSM.
PMID- 29808259
TI - Evaluation of external reference levels for central venous pressure measurements
of severely obese patients in the supine position.
AB - PURPOSE: A proper reference level is important for measuring intracardiac
pressures, especially for parameters with small normal values such as central
venous pressure (CVP). Although several external zero reference levels (eZRLs)
have been proposed for non-obese patients, none has been reported for severely
obese patients. The aim of this study was to investigate an appropriate eZRL for
CVP measurements of severely obese patients. METHODS: Chest computed tomography
images of 65 patients with body mass index (BMI) >= 35 kg/m2 were retrospectively
reviewed. The anteroposterior thoracic diameter and height of the mid-right
atrium (RA) were measured. Four reported eZRLs for CVP measurements (midthoracic
level, two-thirds and four-fifths of the thoracic diameter above table level, and
5 cm below the anterior thorax) were examined for error when predicting the
midpoint of the RA. RESULTS: The median BMI was 36.9 kg/m2 [interquartile range
(IQR), 36.0-39.2]. There was a significant difference in the calculated errors
for the midpoint of the RA among the four eZRLs (Kruskal-Wallis test, P < 0.001).
Two-thirds of the thoracic diameter above table level was the most accurate
reference level for CVP measurement (Steel-Dwass post hoc analysis, P < 0.001).
The Bland-Altman plot showed acceptable agreement for clinical use (mean
difference, - 7 mm; 95% limit of agreement, - 23 to 9 mm). CONCLUSION: The most
accurate eZRL for CVP measurements of severely obese patients in the supine
position was two-thirds of the thoracic diameter above table level. This result
is consistent with that of a previous report of non-obese patients.
PMID- 29808260
TI - Spinal anesthesia for surgery longer than 60 min in infants: experience from the
first 2 years of a spinal anesthesia program.
AB - PURPOSE: Spinal anesthesia (SA) is being increasingly used in infants to avoid
the potential negative neurocognitive effects of general anesthesia (GA).
However, SA has been reported to provide a relatively short duration of surgical
anesthesia. METHODS: We retrospectively reviewed SA cases for surgical procedures
lasting more than 60 min in children up to 3 years old. All patients received
bupivacaine 0.5% (1 mg/kg up to 7 mg) with clonidine 1 ug/kg +/- epinephrine. The
primary outcome was success of SA without subsequent conversion to GA. RESULTS:
Thirty-five patients met inclusion criteria (all males, age 7 +/- 5 months,
weight 8 +/- 2 kg). Procedures included male genital, groin and multiple site
surgeries. Average surgical duration was 71 +/- 12 min (range 60-111 min). SA was
successful in 31 of 35 patients (89%; 95% confidence interval 78, 99%). The cause
of failure was rarely due to the duration of surgery (1 of 4 patients). Six
patients with successful SA required sedation with dexmedetomidine +/- fentanyl.
Differences in procedure duration and patient characteristics were not
statistically significant between successful and failed SA. CONCLUSIONS: SA is a
highly successful technique and may offer an alternative to GA in children
undergoing appropriate surgery expected to last as long as 60-100 min.
PMID- 29808261
TI - Dependent functional status is associated with unplanned postoperative intubation
after elective cervical spine surgery: a national registry analysis.
AB - PURPOSE: The impact of preoperative functional status on 30-day unplanned
postoperative intubation and clinical outcomes among patients who underwent
cervical spine surgery is not well-described. We hypothesized that functional
dependence is associated with 30-day unplanned postoperative intubation and that
among the reintubated cohort, functional dependence is associated with adverse
postoperative clinical outcomes after cervical spine surgery. METHODS: Utilizing
the 2007-2016 American College of Surgeons National Surgical Quality Improvement
Program database, we identified adult elective anterior and posterior cervical
spine surgery patients by Current Procedural Terminology codes. We performed (1)
a Cox Proportional Hazard analysis for the following outcomes: reintubation,
prolonged ventilator use, and pneumonia and (2) an adjusted logistic regression
analysis among patients that required postoperative reintubation to evaluate the
association of functional status with adverse postoperative outcomes. RESULTS:
The sample size was 26,263, of which 550 (2.1%) were functionally dependent. The
adjusted model suggested that when compared with functionally independent
patients, dependent patients were at increased risk of unplanned 30-day
intubation (HR 2.05, 95% CI 1.26-3.34; P = 0.003). The adjusted risk of 30-day
postoperative pneumonia was significantly higher in patients with functional
dependence (HR 1.61, 95% CI 1.02-2.54, P = 0.036). Among patients that required
postoperative reintubation, the odds of 30-day mortality was significantly higher
in patients with functional dependence (OR 5.82, 95% CI 1.59-23.4, P < 0.001).
CONCLUSION: Preoperative functional dependence is a good marker for estimating
postoperative unplanned intubation following cervical spine surgery.
PMID- 29808262
TI - Nalbuphine as an adjuvant to 0.25% levobupivacaine in ultrasound-guided
supraclavicular block provided prolonged sensory block and similar motor block
durations (RCT).
AB - PURPOSE: Prolonged postoperative analgesia with early motor recovery for early
rehabilitation is a challenge in regional block. The purpose of this study is to
evaluate the effect of adding 20 mg nalbuphine to 25 ml of 0.25% levobupivacaine
in supraclavicular brachial plexus block. METHODS: One hundred thirty-five (135)
patients scheduled for hand and forearm surgeries with supraclavicular block were
randomly allocated into three equal groups. Group L received 25 ml of 0.5%
levobupivacaine + 1 ml normal saline; group H received 25 ml of 0.25%
levobupivacaine + 1 ml normal saline; and group N received 25 ml of 0.25%
levobupivacaine + 1 ml (20 mg) nalbuphine. Onset time and duration of sensory and
motor block, and time to first analgesic dose were recorded. RESULTS: Sensory
block onset was comparable between the three groups. Motor block onset in group L
and group N was comparable (13.16 +/- 3.07 and 13.84 +/- 3.05 min, respectively)
and was shorter than that in group H (15.71 +/- 2 0.91 min). Sensory block
duration in group L and group N was comparable (522.22 +/- 69.57 and 533.78 +/-
66.03 min, respectively) and was longer than that in group H (342.67 +/- 92.80
min). Motor block duration in group N and group H was comparable (272.00 +/-
59.45 and 249.78 +/- 66.01 min, respectively) and was shorter than that in group
L (334.67 +/- 57.90 min). Time to first analgesic dose was significantly longer
in group N (649.78 +/- 114.76 min) than that of group L and group H (575.56 +/-
96.85 and 375.56 +/- 84.49 min, respectively) and longer in group L when compared
to group H. CONCLUSIONS: Adding 20 mg nalbuphine to 25 ml of 0.25%
levobupivacaine in supraclavicular block provided prolonged duration of sensory
block with similar duration of motor block.
PMID- 29808263
TI - Renal allograft survival rates in kidneys initially declined for paediatric
transplantation.
AB - BACKGROUND: The outcome of organs which have been declined for paediatric
recipients is not known. This study aimed to determine the outcome of kidneys
initially declined for paediatric recipients and establish renal allograft
survival in kidneys that were eventually transplanted. METHODS: Data were
obtained from the UK Transplant Registry for all donation after brain death (DBD)
kidneys offered and declined to paediatric recipients (< 18 years) in the UK from
2009 to 2014. RESULTS: Eighty-two percent (503/615) of kidneys initially declined
for paediatric transplantation were eventually transplanted, 7% (46/615) of
kidneys went to paediatric recipients and 62% (384/615) of kidneys went to adult
(kidney only) recipients. The remainder were used for multiple organ transplants.
In the 46 kidneys that went to paediatric recipients, 1 and 3-year renal
allograft survivals were 89% (95% CI 75.8-95.3%) and 82% (95% CI 67.1-90.6%),
respectively. In the 384 kidneys given to adult kidney-only recipients, 1 and 3
year renal allograft survivals were 96% (95% CI 93.5-97.6%) and 94% (95% CI 90.7
96.1%), respectively. Eighty-four percent of the 204 children who initially had
an offer declined on their behalf were eventually transplanted and have a
functioning graft at a median 3-year follow-up. CONCLUSIONS: This study reports
acceptable short-term renal allograft survival in kidneys that were initially
declined for paediatric recipients and subsequently transplanted. Evidence-based
guidelines are required to ensure that the most appropriate kidneys are selected
for paediatric recipients.
PMID- 29808265
TI - Estimation of daily milk yield of Nellore cows grazing tropical pastures.
AB - Beef cows' milk yield is typically determined by measuring milk yield once daily
and then doubling this value to estimate daily production. However, it is not
known whether this is accurate. Thus, we aimed to determine the association
between morning and afternoon milk yield in grazing Nellore cows. Eighty Nellore
cows were used, with initial weight of 516.0 +/- 1.0 kg. The experiment was a
completely randomized factorial scheme, with 20 replications and four treatments
(i.e., + or - pre-partum supplementation in combination with + or - post-partum
supplementation): PRMM-1 kg of supplement/cow/day for 90 days pre-partum; MMPS-1
kg of supplement/cow/day for 90 days post-partum; PRPS-1 kg of supplement/cow/day
for 90 days pre-partum and 90 days post-partum; and MM-only mineral mix ad
libitum during pre- and post-partum. Milk was sampled on days 45, 135, and 225
post-partum (early, middle, and late lactation, respectively). No effects were
observed of pre- and post-partum supplementation on milk yield (P > 0.05). The
afternoon/morning proportion of 0.45 in the early third of lactation was higher
than other stages, which had a proportion of 0.41 (P < 0.05). Post-partum
supplementation increased milk protein in the morning and afternoon milking (P <
0.05). There was also no effect of pre- and post-partum supplementation on
afternoon-morning proportion other milk components (P > 0.05). We conclude that
estimating daily milk production of grazing beef cattle by multiplying a once
daily milking amount times two is not accurate. Under the conditions of this
study, proportion of total daily production represented by the ratio of
afternoon/morning milking was 0.45 in early lactation (first third) and 0.41 in
mid- and late lactation.
PMID- 29808264
TI - Neonatal hypertension: cases, causes, and clinical approach.
AB - Neonatal hypertension is increasingly recognized as dramatic improvements in
neonatal intensive care, advancements in our understanding of neonatal
physiology, and implementation of new therapies have led to improved survival of
premature infants. A variety of factors appear to be important in determining
blood pressure in neonates, including gestational age, birth weight, and
postmenstrual age. Normative data on neonatal blood pressure values remain
limited. The cause of hypertension in an affected neonate is often identified
with careful diagnostic evaluation, with the most common causes being umbilical
catheter-associated thrombosis, renal parenchymal disease, and chronic lung
disease. Clinical expertise may need to be relied upon to decide the best
approach to treatment in such patients, as data on the use of antihypertensive
medications in this age group are extremely limited. Available data suggest that
long-term outcomes are usually good, with resolution of hypertension in most
infants. In this review, we will take a case-based approach to illustrate these
concepts and to point out important evidence gaps that need to be addressed so
that management of neonatal hypertension may be improved.
PMID- 29808266
TI - Low Tunneling Decay of Iodine-Terminated Alkane Single-Molecule Junctions.
AB - One key issue for the development of molecular electronic devices is to
understand the electron transport of single-molecule junctions. In this work, we
explore the electron transport of iodine-terminated alkane single molecular
junctions using the scanning tunneling microscope-based break junction approach.
The result shows that the conductance decreases exponentially with the increase
of molecular length with a decay constant betaN = 0.5 per -CH2 (or 4 nm-1).
Importantly, the tunneling decay of those molecular junctions is much lower than
that of alkane molecules with thiol, amine, and carboxylic acid as the anchoring
groups and even comparable to that of the conjugated oligophenyl molecules. The
low tunneling decay is attributed to the small barrier height between iodine
terminated alkane molecule and Au, which is well supported by DFT calculations.
The work suggests that the tunneling decay can be effectively tuned by the
anchoring group, which may guide the manufacturing of molecular wires.
PMID- 29808268
TI - [Bruton tyrosine kinase inhibition in dermatology and allergology].
PMID- 29808269
TI - [Relevance of biopsies for the diagnostics of infectious skin diseases].
AB - Pathogen-related skin infections are a common problem in the dermatological
practice. Apart from culturing and serological detection methods, a skin biopsy
is a possible diagnostic procedure, especially when the clinical picture is
unspecific and other non-infectious skin diseases are considered as possible
differential diagnoses. Some organisms can already be detected by routine
staining methods (hematoxylin & eosin, e. g., yeasts, Leishmania), for others
numerous histochemical and immunohistochemical stains are available, e. g.
periodic acid-Schiff reaction (PAS) and Grocott for hyphae and spores, Ziehl
Neelson and Fite-Faraco for Mycobacteria or specific antibodies for Treponema
pallidum or herpesviruses. In other instances, an infectious disease may not be
diagnosed with certainty in a histological section but the pattern of
inflammatory infiltrates is highly suggestive of an infectious cause. Based on
such reaction patterns, the dermatopathologist can advise the clinician to
perform cultures or serological investigations or additional molecular biological
techniques can be applied to the biopsy specimen in order to identify the
pathogens. This article presents skin infections with their histopathological
features and highlights diseases that can be diagnosed with certainty in a biopsy
and those in which a biopsy is helpful to exclude differential diagnoses or to
perform molecular diagnostics on the specimen.
PMID- 29808270
TI - [No increased risk of severe infections under biologic therapies in psoriasis].
PMID- 29808267
TI - Chronic low-grade peripheral inflammation is associated with ultra resistant
schizophrenia. Results from the FACE-SZ cohort.
AB - A high rate of patients with schizophrenia (SZ) does not sufficiently respond to
antipsychotic medication, which is associated with relapses and poor outcomes.
Chronic peripheral inflammation has been repeatedly associated with schizophrenia
risk and particularly to poor responders to treatment as usual with cognitive
impairment in SZ subjects. The objective of present study was to confirm if ultra
resistance to treatment in schizophrenia (UTRS) was associated to chronic
peripheral inflammation in a non-selected sample of community-dwelling
outpatients with schizophrenia. Participants were consecutively included in the
network of the FondaMental Expert Centers for Schizophrenia and received a
thorough clinical assessment, including recording of current treatment. Current
psychotic symptomatology was evaluated by the Positive and Negative Syndrome
scale for Schizophrenia (PANSS). UTRS was defined by current clozapine treatment
+ PANSS total score >= 70. Functioning was evaluated by the Global Assessment of
Functioning scale. High sensitivity CRP (hs-CRP) was measured for each
participant as a proxy to define peripheral low-grade inflammation. 609
stabilized community-dwelling SZ subjects (mean age = 32.5 years, 73.6% male
gender) have been included. 60 (9.9%) patients were classified in the UTRS group.
In multivariate analyses, UTRS has been associated independently with chronic
peripheral inflammation (OR = 2.6 [1.2-5.7], p = 0.01), illness duration (0R =
1.1 [1.0-1.2], p = 0.02) and impaired functioning (OR = 0.9 [0.9-0.9], p =
0.0002) after adjustment for age, sex, current daily tobacco smoking, metabolic
syndrome and antidepressant consumption. Peripheral low-grade inflammation is
associated with UTRS. Future studies should explore if anti-inflammatory
strategies are effective in UTRS with chronic low-grade peripheral inflammation.
PMID- 29808271
TI - [Needs and self-reported quality of life of people with severe mental illness in
sheltered housing facilities].
AB - OBJECTIVE: This paper investigates the subjective needs of psychiatric patients
in relation to the housing conditions with an additional focus on inclusion and
participation. Furthermore, it examines differences in clinical and socio
demographic parameters, self-measured quality of life, stage of recovery.
METHODS: In this quantitative cross-sectional study, we compared 50 patients in a
psychiatric acute ward setting, who were looking for a residence in a sheltered
housing facility with 50 patients in a sheltered housing facility using
structured interviews. RESULTS: Patients living in a sheltered housing facility
reported less unmet needs. However, no differences regarding inclusion and
participation were found. More unmet needs were associated with poorer quality of
life, and less social inclusion in both groups. CONCLUSIONS: Patients in
sheltered housing facilities report less unmet needs. Nevertheless, more efforts
are needed to regarding inclusion of these patients. A "supported inclusion"
approach should be considered.
PMID- 29808273
TI - Selenium and sulphur derivatives of hydroxytyrosol: inhibition of lipid
peroxidation in liver microsomes of vitamin E-deficient rats.
AB - PURPOSE: The objective of this study was to evaluate the capacity of modified
phenols synthesized from hydroxytyrosol, a natural olive oil phenol, specifically
those containing a selenium or sulphur group, to inhibit lipid peroxidation.
METHODS: The compounds' abilities to inhibit lipid peroxidation in liver
microsomes obtained from vitamin E-deficient rats were compared to
hydroxytyrosol. RESULTS: All synthetic compounds had a significant higher ability
to inhibit lipid peroxidation than hydroxytyrosol. Selenium derivates displayed a
higher antioxidant activity than sulphur derivatives. In addition, the
antioxidant activity increased with a higher number of heteroatoms in the
hydroxytyrosol molecular structure. CONCLUSION: The study shows, for the first
time, the ability of synthetic compounds, derived from the most active phenol
present in olives in free form (hydroxytyrosol), and containing one or two atoms
of sulphur or selenium, to inhibit the lipid peroxidation of vitamin E-deficient
microsomes. The antioxidant activity of five thioureas, a disulfide, a thiol,
three selenoureas, a diselenide, and a selenonium were evaluated and the results
showed a higher inhibition of lipid peroxidation than the natural phenol.
Selenium and sulphur derivatives of hydroxytyrosol are novel antioxidants with
the potential to supplement the lack of vitamin E in the diet as natural
alternatives for the prevention of diseases related to oxidative damage.
PMID- 29808272
TI - Carbodiimide cross-linking counteracts the detrimental effects of gamma
irradiation on the physical properties of collagen-hyaluronan sponges.
AB - Collagen-based scaffolds are extensively used in biomaterials and tissue
engineering applications. These scaffolds have shown great biocompatibility and
versatility, but their relatively low mechanical properties may limit use in
orthopaedic load-bearing applications. Moreover, terminal sterilization with
gamma irradiation, as is commonly performed with commercial devices, presents
concerns over structural integrity and enzymatic stability. Therefore, the goal
of this study was to test the hypothesis that EDC/NHS cross-linking (10 mM/5 mM)
can protect collagen-hyaluronan sponges from the damaging effects of gamma
irradiation. Specifically, we evaluated compressive and tensile mechanical
properties, enzymatic stability, porosity and pore size, and swelling ratio.
Ultimate tensile strength and elastic modulus exhibited increases (168.5 and
245.8%, respectively) following irradiation, and exhibited over tenfold increases
(1049.2 and 1270.6%, respectively) following cross-linking. Irradiation affected
pore size (38.4% decrease), but cross-linking prior to irradiation resulted in
only a 17.8% decrease. Cross-linking also showed an offsetting effect on the
equilibrium modulus, enzymatic stability, and swelling ratio of sponges. These
results suggest that carbodiimide cross-linking of collagen-hyaluronan sponges
can mitigate the structural damage typically experienced during gamma
irradiation, warranting their use in tissue engineering applications.
PMID- 29808274
TI - New ways of thinking about old things: the role of O-GlcNAc in cellular
metabolism.
PMID- 29808275
TI - Green Engineered Biomolecule-Capped Silver Nanoparticles Fabricated from
Cichorium intybus Extract: In Vitro Assessment on Apoptosis Properties Toward
Human Breast Cancer (MCF-7) Cells.
AB - The current experiment reveals the anticancer properties of silver nanoparticles
(AgNPs) synthesized using aqueous leaf extract of Cichorium intybus, a
significant medicinal plant. The characteristics of AgNPs were continuously
studied by powder X-ray diffraction (XRD), Fourier-transform infrared
spectroscopy (FTIR), zeta potential, transmission electron microscopy (TEM),
scanning electron microscopy (SEM), and energy-dispersive spectroscopy (EDS)
analysis. Current microscopic results show that produced AgNPs were spherical in
shape with an average size of 17.17 nm. A strong peak between 2 and 4 keV showed
the greatest ratio of the elemental silver signals, due to surface plasmon
resonance (SPR). The AgNPs, fabricated by green method, had a negative zeta
potential of - 9.76 mV, which indicates that the synthesized AgNPs is dispersed
in the medium with high stability. The in vitro cytotoxicity effect of AgNPs
showed promising anticancer activity against human breast cancer MCF-7 cells.
Annexin V-FITC/propidium iodide assay, Hoechst 33258 staining, and upregulation
of caspase 3 activity revealed significant apoptosis activities of AgNPs against
MCF-7 cells. Moreover, the flow cytometric analyses of cell cycle distribution of
MCF7 cells showed that AgNPs treatment has enhanced the sub-G1 peaks, which is an
indicator of apoptosis pathway. Overall results in our study suggested that AgNPs
fabricated by a biogreen approach could be useful in cancer therapy.
PMID- 29808276
TI - Co-exposure to an Aryl Hydrocarbon Receptor Endogenous Ligand, 6-Formylindolo[3,2
b]carbazole (FICZ), and Cadmium Induces Cardiovascular Developmental
Abnormalities in Mice.
AB - 6-Formylindolo[3,2-b]carbazole (FICZ) is a signal substance and an endogenous
activator of aryl hydrocarbon receptor (AHR). Cadmium (Cd) is an environmental
pollutant that can activate both AHR and Wnt/beta-catenin signaling pathways. We
aimed to determine how dysregulated signaling through AHR-Wnt/beta-catenin cross
talk can influence mice heart development. Mice fetuses were exposed to Cd alone
or in combination with FICZ in gestation day (GD) 0. In GD18, fetuses were
harvested and randomly divided into two parts for stereological and molecular
studies. Stereological and tessellation results revealed that when fetuses were
co-exposed with FICZ and Cd, abnormalities were synergistically raised. In the
presence of FICZ, mRNA expression levels of Wnt/beta-catenin target genes
significantly enhanced, especially when animals co-treated with FICZ and Cd.
Based on these findings, we propose that chemical pollutants can interfere with
the normal function of AHR that has a physiological role in regulating Wnt/beta
catenin during cardiogenesis.
PMID- 29808277
TI - Ventricular assist device therapy and heart transplantation: Benefits, drawbacks,
and outlook.
AB - End-stage heart failure is associated with significant morbidity and mortality.
Heart transplantation has the potential to offer a return to daily activities for
critically ill patients and is the gold standard therapy. However, heart
transplantations are decreasing yearly with a historic low in Germany in 2017. By
striking contrast, both waiting list numbers and waiting time have increased
owing to a lack of acceptable donor organs. Ventricular assist devices (VAD)
represent a reasonable therapeutic alternative for patients on heart
transplantation waiting lists. Patients ineligible for transplantation may
undergo VAD implantation as a destination therapy. However, the necessity for
life-long anticoagulation must be weighed against bleeding complications in
potential VAD candidates. VAD-dependent patients also face risks of driveline
infections, in addition to restricted activities of daily living owing to limited
battery capacities. Given Germany's low transplantation rate, VAD implantation
may serve as a middle ground. With the recent events in transplantation medicine,
trust among the German population has declined. Transplant centers must ensure
graft quality and ongoing care, define minimum caseload for accreditation, and
implement specialty care units in heart failure. Furthermore, the legislation
shift from extended consent to dissent solution has the potential to end donor
organ shortage.
PMID- 29808279
TI - Evaluating the utility of the "late ECMO repair": a congenital diaphragmatic
hernia study group investigation.
AB - PURPOSE: Optimal timing of congenital diaphragmatic hernia (CDH) repair in
patients requiring extracorporeal membrane oxygenation (ECMO) remains
controversial. The "late ECMO repair" is an approach where the patient, once
deemed stable for decannulation, is repaired while still on ECMO to enable
expeditious return to ECMO if surgery induces instability. The goal of this study
was to investigate the potential benefit of this approach by evaluating the rate
of return to ECMO after repair. METHODS: The CDH Study Group database was used to
analyze CDH patients requiring ECMO support. The primary outcome was return to
ECMO within 72 h of CDH repair among those repaired following ECMO decannulation
("post-ECMO" patients). Secondary outcomes were death within 72 h of repair and
cumulative death and return to ECMO rate. RESULTS: A total of 668 patients were
repaired post-ECMO decannulation. Six patients (0.9%) in the post-ECMO group
required return to ECMO within 72 h of surgery and a total of 19 (2.8%) died or
returned to ECMO within 72 h of surgery. CONCLUSION: The rate of return to ECMO
and death following CDH repair is extremely low and does not justify the risks
inherent to "on-ECMO" repair. Patients stable to come off ECMO should undergo
repair after decannulation.
PMID- 29808278
TI - Clinical and laboratory predictors of blood loss in young swine: a model for
pediatric hemorrhage.
AB - BACKGROUND: The pediatric patient's response to hemorrhage as a function of young
age is not well understood. As a result, there is no consensus on optimal
resuscitation strategies for hemorrhagic shock in pediatric patients, or on the
identification of clinical triggers to prompt implementation. The study objective
was to develop a model of pediatric hemorrhage using young pigs to simulate
school-aged children, and determine clinical and laboratory indicators for
significant hemorrhage. MATERIALS AND METHODS: 29 non-splenectomized female pigs,
aged 3 months, weighing 30-40 kg, were randomized into groups with varying
degrees of hemorrhage. Bleeding occurred intermittently over 5 h while the
animals were anesthetized but spontaneously breathing. Various physiologic and
biochemical markers were used to monitor the piglets during hemorrhage. RESULTS:
Swine experiencing up to 50% hemorrhage survived without exception throughout the
course of hemorrhage. 80% (4/5) of the animals in the 60% hemorrhage group
survived. Need for respiratory support was universal when blood loss reached 50%
of estimated blood volume. Blood pressure was not useful in classifying the
degree of shock. Heart rate was helpful in differentiating between the extremes
of blood loss examined. Arterial pCO2, pH, lactate, HCO3 and creatinine levels,
as well as urine output, changed significantly with increasing blood loss.
CONCLUSIONS: Young swine are resilient against hemorrhage, although hemorrhage of
50% or greater universally require respiratory support. In this animal model,
with the exception of heart rate, vital signs were minimally helpful in
identification of shock. However, change in select laboratory values from
baseline was significant with increasing blood loss. LEVEL OF EVIDENCE: This was
a level II prospective comparative study.
PMID- 29808280
TI - Outcomes of laparoscopic nissen fundoplications in children younger than 2-years:
single institution experience.
AB - BACKGROUND: Variation exists in the diagnostic testing for gastroesophageal
reflux (GER) in infants and in the application of surgical therapy. There has
been an increase in antireflux surgery (ARS) since the development of laparoscopy
but the outcomes in high-risk infants is unclear. This study examines the results
of laparoscopic fundoplication in infants less than 2 years. METHODS: The results
of infants less than 2 years undergoing laparoscopic Nissen fundoplication (Lap
F) from 2012 to 2015 were retrospectively reviewed and outcomes were followed
until 2017. RESULTS: There were 106 patients, median gestational age 32.50 weeks
+/- 6.35 SD and non-corrected age at operation 23.0 weeks +/- 19.0 SD, mean
weight of 4.81 kg +/- 2.10 SD. One of the most common reasons for surgical
consultation was improvement in respiratory status after insertion of
nasoduodenal feeding tube. Of the Lap-F, 100 were with gastrostomy tube (GT).
There were no conversions to open or intraoperative complications. The
complication rate was 4.71%, and the reoperation rate was 5.66%, one
fundoplication revision and the others gastrostomy revisions. The median time for
feeds and to reach goal were 1 (1-14) and 4 (2-279) days, respectively. The 30
day mortality was 0.9% and long-term it was 4.71%. The long-term mortality was
related to the underlying medical problems. The median follow-up was 113 (3-286)
weeks. One patient required revision of the fundoplication and none required
esophageal dilatation during the follow-up period. CONCLUSION: Fundoplication is
effective for relief of symptoms of GER in children younger than 2 years. The
procedure has a low morbidity and mortality in this population.
PMID- 29808281
TI - Response to pulmonary vasodilators in infants with congenital diaphragmatic
hernia.
AB - BACKGROUND: Congenital diaphragmatic hernia (CDH) is associated with lung
hypoplasia, cardiac dysfunction and pulmonary hypertension. Inhaled nitric oxide
(iNO) and milrinone are commonly used pulmonary vasodilators in CDH. We studied
the hemodynamic effects of iNO and milrinone in infants with CDH. METHODS: A
retrospective chart review was performed of all CDH infants admitted to two
regional perinatal centers and infants classified into three groups: No-iNO
group; iNO-responders and iNO-nonresponders. Oxygenation and hemodynamic effects
of iNO and milrinone were assessed by blood gases and echocardiography. RESULTS:
Fifty-four percent (39/72) of infants with CDH received iNO and 31% of these
infants (12/39) had complete oxygenation response to iNO. Oxygenation response to
iNO was not associated with a decrease in right ventricular pressures (RVP) or
ECMO use. Four infants (33%) in the iNO-responder group and eight infants (30%)
in the iNO-nonresponder group received milrinone. Milrinone lowered RVP and
improved ejection fraction (EF). Response to iNO was associated with improved
oxygenation to milrinone and increased survival following ECMO (67 vs. 20% among
nonresponders). CONCLUSIONS: Response to inhaled nitric oxide in combination with
milrinone may be associated with improved oxygenation and better survival after
ECMO in infants with CDH.
PMID- 29808282
TI - Clinic-day surgery for children: a patient and staff perspective.
AB - INTRODUCTION: For the past 3 years, our institution has implemented a same clinic
day surgery (CDS) program, where common surgical procedures are performed the
same day as the initial clinic evaluation. We sought to evaluate the patient and
faculty/staff satisfaction following the implementation of this program. METHODS:
After IRB approval, patients presenting for the CDS between 2014 and 2017 were
retrospectively reviewed. Of these, patient families who received CDS were
contacted to perform a telephone survey focusing on their overall satisfaction
and to obtain feedback. In addition, feedback from faculty/staff members directly
involved in the program was obtained to determine barriers and satisfaction with
the program. RESULTS: Twenty-nine patients received CDS, with the most commonly
performed procedures being inguinal hernia repair (34%) and umbilical hernia
repair (24%). Twenty (69%) patients agreed to perform the telephone survey.
Parents were overall satisfied with the CDS program, agreeing that the
instructions were easy to understand. Overall, 79% of parents indicated that it
decreased overall stress/anxiety, with 75% saying it allowed for less time away
from work, and 95% agreeing to pursue CDS again if offered. The most common
negative feedback was an unspecified operative start time (15%). While
faculty/staff members agreed the program was patient-centered, there were
concerns over low enrollment and surgeon continuity, because there were different
evaluating and operating surgeons. CONCLUSION: This study successfully evaluated
the satisfaction of patients and faculty/staff members after implementing a
clinic-day surgery program. Our results demonstrated improved patient family
satisfaction, with families reporting decreased anxiety and less time away from
work. Despite this, faculty and staff members reported challenges with enrollment
and surgeon continuity.
PMID- 29808283
TI - [ICHI-International Classification of Health Interventions : A balancing act
between the demands of statistics and reimbursement].
AB - Medical classifications systematize medical concepts (e. g. diagnoses,
procedures). They are essential for statistics and reimbursement systems in
health care systems. Diagnoses are classified worldwide with the International
Classification of Diseases (ICD) of the World Health Organization (WHO). The
situation for procedure classifications is quite different. Many countries
developed their own procedure classifications in different ways and for different
purposes.Since 2007, the International Classification of Health Interventions
(ICHI) is been developing as a common tool for reporting and analyzing health
interventions for statistical purposes as well as for the use in reimbursement
systems.ICHI covers not only medical and surgical procedures but also
interventions carried out by a broad range of providers across the full scope of
health systems, including rehabilitation, assistance with functioning, prevention
and public health.The multiaxial classification is built around three axes:
target (the entity on which the action is carried out), action (a deed done by an
actor to a target) and means (the processes and methods by which the action is
carried out). Extension codes are provided to allow users to describe additional
detail about the intervention in addition to the relevant ICHI stem-code. ICHI
was designed with a low level of complexity for countries seeking a
classification, while also serving as a basis for international comparisons. ICHI
can also be used in reimbursement systems, by adding cost-relevant information
through extension codes.The recent 2018 ICHI beta version is available on the
platform https://mitel.dimi.uniud.it/ichi . This version and further ICHI tools
will be tested during later reviews and field testing in 2018 and 2019. Once
finalized, probably in 2020, ICHI will be freely available for adoption by member
states of the WHO.
PMID- 29808284
TI - [Quality of care analyses using ICD 11 : Detailed capture of treatment events].
AB - The identification of treatment errors, the so-called "undesirable" or "critical
incidents", is crucial for improving and developing the quality of care. The new
International Statistical Classification of Diseases and Related Health Problems
ICD-11-supports a structured data collection in the context of the quality of
care and patient safety. Documentation conceptually relies on the multiple coding
of the three dimensions of a critical incident: harm, cause, and mode. In this
way, it is possible to capture the event in great detail, including the reasons
for it and the effects it has. An evaluation of this concept in a field trial
using 45 clinical case studies showed good concordance in coding across the
documented participants.As the ICD-11 permits the detailed capture of near misses
and their context, it could be used for structured documentation in incident
reporting systems (databanks for the anonymous reporting of treatment errors). In
this way, the error reports can be gathered in a more systematic way, so that
they can be used for better quality improvement.In quality assessment, it is
important to consider the time of diagnosis. Thus, the feature present on
admission (POA) is a diagnosis qualifier that is of substantial importance for
quality assessment and is widely used internationally. Up to now, it has not been
permanently available in Germany. ICD-11 includes the relevant code.
PMID- 29808285
TI - Galunisertib modifies the liver fibrotic composition in the Abcb4Ko mouse model.
AB - Transforming growth factor (TGF)-beta stimulates extracellular matrix (ECM)
deposition during development of liver fibrosis and cirrhosis, the most important
risk factor for the onset of hepatocellular carcinoma. In liver cancer, TGF-beta
is responsible for a more aggressive and invasive phenotype, orchestrating
remodeling of the tumor microenvironment and triggering epithelial-mesenchymal
transition of cancer cells. This is the scientific rationale for targeting the
TGF-beta pathway via a small molecule, galunisertib (intracellular inhibitor of
ALK5) in clinical trials to treat liver cancer patients at an advanced disease
stage. In this study, the hypothesis that galunisertib modifies the tissue
microenvironment via inhibition of the TGF-beta pathway is tested in an
experimental preclinical model. At the age of 6 months, Abcb4ko mice-a well
established model for chronic liver disease development and progression-are
treated twice daily with galunisertib (150 mg/kg) via oral gavage for 14
consecutive days. Two days after the last treatment, blood plasma and livers are
harvested for further assessment, including fibrosis scoring and ECM components.
The reduction of Smad2 phosphorylation in both parenchymal and non-parenchymal
liver cells following galunisertib administration confirms the treatment
effectiveness. Damage-related galunisertib does not change cell proliferation,
macrophage numbers and leucocyte recruitment. Furthermore, no clear impact on the
amount of fibrosis is evident, as documented by PicroSirius red and Gomori
trichome scoring. On the other hand, several fibrogenic genes, e.g., collagens
(Col1alpha1 and Col1alpha2), Tgf-beta1 and Timp1, mRNA levels are significantly
downregulated by galunisertib administration when compared to controls. Most
interestingly, ECM/stromal components, fibronectin and laminin-332, as well as
the carcinogenic beta-catenin pathway, are remarkably reduced by galunisertib
treated Abcb5ko mice. In conclusion, TGF-beta inhibition by galunisertib
interferes, to some extent, with chronic liver progression, not by reducing the
stage of liver fibrosis as measured by different scoring systems, but rather by
modulating the biochemical composition of the deposited ECM, likely affecting the
fate of non-parenchymal cells.
PMID- 29808286
TI - Evolving surgical treatment decisions for male breast cancer: an analysis of the
National Surgical Quality Improvement Program (NSQIP) database.
AB - BACKGROUND: Male breast cancer (MBC) is a rare malignancy, and gender-specific
treatment outcomes are currently lacking. The use of a large, multi-national
surgical-outcomes database may provide a better understanding of treatment
patterns and postoperative morbidity in men who undergo oncological breast
surgery. METHODS: A retrospective cohort analysis was conducted between 2007 and
2016 using the American College of Surgeons National Surgical Quality Improvement
Program database (NSQIP), examining MBC treatment patterns and postoperative
complication rates. All men undergoing surgery for the treatment of invasive or
in situ carcinoma of the breast were identified. Clinical characteristics,
demographics, and surgical treatment options most frequently used for this
population were described. In addition, the 30-day postoperative complication
rates in the surgical treatment of male breast cancer were evaluated. RESULTS: A
total of 1773 MBC patients with a median age of 65 years (IQR 56-74 years) were
included in this analysis. Mean body mass index (BMI) was 29.1 (IQR 25.4-33.8).
In this study population, 177 (10.0%) had a diagnosis of in situ breast cancer,
while the remaining 1596 (90.0%) had invasive disease. While most men underwent
mastectomy, 282 (15.9%) had breast-conserving surgery. There were 74 (4.2%)
patients who underwent immediate breast reconstruction. In addition, 118 (6.7%)
patients elected to have a contralateral prophylactic mastectomy. Overall, the
rate of morbidity was 4.6%, comprising mostly of wound complications (3.2%).
CONCLUSION: Analysis of this large, prospective multi-institutional cohort
revealed that complication rates are low and comparable to reported rates in the
female breast cancer population. What is also significant about this analysis is
that the cohort demonstrated the importance of cosmetic considerations in MBC
patients, as some men decide to undergo breast-conserving surgery or immediate
breast reconstruction. Contralateral prophylactic mastectomy in the treatment of
MBC is also performed.
PMID- 29808287
TI - Risk-reducing mastectomy rates in the US: a closer examination of the Angelina
Jolie effect.
AB - PURPOSE: In 2013, Angelina Jolie disclosed in the New York Times (NYT) that she
had undergone risk-reducing bilateral mastectomy (RRBM) after learning that she
was a BRCA1 mutation carrier. We examined the rates of BRCA testing and RRBM from
1997 to 2016, and quantified trends before and after the Jolie op-ed. METHODS:
This observational study of insurance claims data representative of the
commercially-insured US population (Truven MarketScan(r) database) measured BRCA
testing and RRBM rates among females >= 18 years. Censoring events were breast
cancer or ovarian cancer diagnosis, last follow-up date (September 2016), or
death. Interrupted time series analyses were used to quantify trends before and
after the op-ed. RESULTS: Angelina Jolie's NYT op-ed led to a statistically
significant increase in the uptake of genetic testing and in RRBM among women
without previous diagnosis of breast or ovarian cancer in the US population, and
in women who did not undergo testing for BRCA (P < 0.0001 for both). The rate
(slope) of RRBM among women who were previously tested for BRCA (P = 0.70) was
unchanged. After excluding women with in-situ tumors, the editorial's effect
became less pronounced, suggesting that high-risk women with in-situ breast
cancers were most influenced by Jolie's announcement. CONCLUSION: The Angelina
Effect-a term coined by Time magazine to describe the rise in internet searches
related to breast cancer genetics and counseling-represents a long-lasting impact
of celebrity on public health awareness as significant increases in genetic
testing and mastectomy rates were observed and sustained in subsequent years.
PMID- 29808288
TI - Malignant and borderline phyllodes tumors of the breast: a multicenter study of
362 patients (KROG 16-08).
AB - PURPOSE: To identify risk factors for local recurrence (LR) and investigate roles
of adjuvant local therapy for malignant and borderline phyllodes tumors of the
breast. METHODS: From 1981 to 2014, 362 patients with malignant (n = 235) and
borderline (n = 127) phyllodes tumors were treated by breast-conserving surgery
(BCS) or total mastectomy (TM) at 10 centers. Thirty-one patients received
adjuvant radiation therapy (RT), and those who received adjuvant chemotherapy
were excluded from the study. RESULTS: Median follow-up was 5 years. LR developed
in 60 (16.6%) patients. Regional recurrence occurred in 2 (0.6%) patients and
distant metastasis (DM) developed in 19 (5.2%) patients. Patients receiving BCS
(p = 0.025) and those not undergoing adjuvant RT (p = 0.041) showed higher LR
rates. For malignant subtypes, local control (LC) rates at 5 years for BCS alone,
BCS with adjuvant RT, TM alone, and TM with adjuvant RT were 80.7, 93.3, 92.4,
and 100%, respectively (p = 0.033). Multivariate analyses revealed BCS alone,
tumor size >= 5 cm, and positive margins as independent risk factors for LR.
Margin-positive BCS alone showed poorest LC regardless of tumor size (62.5%, p =
0.007). For margin-negative BCS alone, 5-year LC rates for tumors >= 5 cm versus
those < 5 cm were 71.8% versus 89.5% (p = 0.012). For borderline subtypes, only
positive margins (p = 0.044) independently increased the risk of LR. DM developed
exclusively in malignant subtypes and a prior LR event increased the risk of DM
by sixfold (HR 6.2, 95% CI 1.6-16.1, p = 0.001). CONCLUSIONS: Malignant and
borderline phyllodes tumors with positive margins after surgery have high LR
rates. After treatment by margin-negative BCS alone, patients with large
malignant phyllodes tumors >= 5 cm also have heightened risk of LR. Thus, such
patients should be considered for additional local therapy.
PMID- 29808289
TI - GlyT1 determines the glycinergic phenotype of amacrine cells in the mouse retina.
AB - The amino acid glycine acts as a neurotransmitter at both inhibitory glycinergic
and excitatory glutamatergic synapses predominantly in caudal regions of the
central nervous system but also in frontal brain regions and the retina. After
its presynaptic release and binding to postsynaptic receptors at caudal
glycinergic synapses, two high-affinity glycine transporters GlyT1 and GlyT2
remove glycine from the extracellular space. Glycinergic neurons express GlyT2,
which is essential for the presynaptic replenishment of the transmitter, while
glial-expressed GlyT1 was shown to control the extracellular glycine
concentration. Here we show that GlyT1 expressed by glycinergic amacrine cells of
the retina does not only contribute to the control of the extracellular glycine
concentration in the retina but is also essential for the maintenance of the
glycinergic transmitter phenotype of this cell population. Specifically, loss of
GlyT1 from the glycinergic AII amacrine cells impairs AII-mediated glycinergic
neurotransmission and alters regulation of the extracellular glycine
concentration, without changes in the overall distribution and/or size of
glycinergic synapses. Taken together, our results suggest that GlyT1 expressed by
amacrine cells in the retina combines functions covered by neuronal GlyT2 and
glial GlyT1 at caudal glycinergic synapses.
PMID- 29808290
TI - Sleep apnea detection: accuracy of using automated ECG analysis compared to
manually scored polysomnography (apnea hypopnea index).
AB - INTRODUCTION: Adequate sleep is fundamental to wellness and recovery from
illnesses and lack thereof is associated with disease onset and progression
resulting in adverse health outcomes. Measuring sleep quality and sleep apnea
(SA) at the point of care utilizing data that is already collected is feasible
and cost effective, using validated methods to unlock sleep information embedded
in the data. The objective of this study is to determine the utility of automated
analysis of a stored, robust signal widely collected in hospital and outpatient
settings, a single lead electrocardiogram (ECG), using clinically validated
algorithms, cardiopulmonary coupling (CPC), to objectively and accurately
identify SA. METHODS: Retrospective analysis of de-identified PSG data with
expert level scoring of Apnea Hypopnea Index (AHI) dividing the cohort into
severe OSA (AHI > 30), moderate (AHI 15-30), mild (AHI 5-15), and no disease (AHI
< 5) was compared with automated CPC analysis of a single lead ECG collected
during sleep for each subject. Statistical analysis was used to compare the two
methods. RESULTS: Sixty-eight ECG recordings were analyzed. CPC identified
patients with moderate to severe SA with sensitivity of 100%, specificity of 81%,
and agreement of 93%, LR+ (positive likelihood ratio) 5.20, LR- (negative
likelihood ratio) 0.00 and kappa 0.85 compared with manual scoring of AHI.
CONCLUSION: The automated CPC analysis of stored single lead ECG data often
collected during sleep in the clinical setting can accurately identify sleep
apnea, providing medically actionable information that can aid clinical
decisions.
PMID- 29808291
TI - Corn steep liquor as a nutritional source for biocementation and its impact on
concrete structural properties.
AB - Microbial-induced carbonate precipitation (MICP) has a potential to improve the
durability properties and remediate cracks in concrete. In the present study, the
main emphasis is placed upon replacing the expensive laboratory nutrient broth
(NB) with corn steep liquor (CSL), an industrial by-product, as an alternate
nutrient medium during biocementation. The influence of organic nutrients (carbon
and nitrogen content) of CSL and NB on the chemical and structural properties of
concrete structures is studied. It has been observed that cement-setting
properties were unaffected by CSL organic content, while NB medium influenced it.
Carbon and nitrogen content in concrete structures was significantly lower in CSL
treated specimens than in NB-treated specimens. Decreased permeability and
increased compressive strength were reported when NB is replaced with CSL in
bacteria-treated specimens. The present study results suggest that CSL can be
used as a replacement growth medium for MICP technology at commercial scale.
PMID- 29808292
TI - Metabolomic and proteomic analysis of D-lactate-producing Lactobacillus
delbrueckii under various fermentation conditions.
AB - As an important feedstock monomer for the production of biodegradable stereo
complex poly-lactic acid polymer, D-lactate has attracted much attention. To
improve D-lactate production by microorganisms such as Lactobacillus delbrueckii,
various fermentation conditions were performed, such as the employment of
anaerobic fermentation, the utilization of more suitable neutralizing agents, and
exploitation of alternative nitrogen sources. The highest D-lactate titer could
reach 133 g/L under the optimally combined fermentation condition, increased by
70.5% compared with the control. To decipher the potential mechanisms of D
lactate overproduction, the time-series response of intracellular metabolism to
different fermentation conditions was investigated by GC-MS and LC-MS/MS-based
metabolomic analysis. Then the metabolomic datasets were subjected to weighted
correlation network analysis (WGCNA), and nine distinct metabolic modules and
eight hub metabolites were identified to be specifically associated with D
lactate production. Moreover, a quantitative iTRAQ-LC-MS/MS proteomic approach
was employed to further analyze the change of intracellular metabolism under the
combined fermentation condition, identifying 97 up-regulated and 42 down
regulated proteins compared with the control. The in-depth analysis elucidated
how the key factors exerted influence on D-lactate biosynthesis. The results
revealed that glycolysis and pentose phosphate pathways, transport of glucose,
amino acids and peptides, amino acid metabolism, peptide hydrolysis, synthesis of
nucleotides and proteins, and cell division were all strengthened, while ATP
consumption for exporting proton, cell damage, metabolic burden caused by stress
response, and bypass of pyruvate were decreased under the combined condition.
These might be the main reasons for significantly improved D-lactate production.
These findings provide the first omics view of cell growth and D-lactate
overproduction in L. delbrueckii, which can be a theoretical basis for further
improving the production of D-lactate.
PMID- 29808293
TI - Deletion of the Clostridium thermocellum recA gene reveals that it is required
for thermophilic plasmid replication but not plasmid integration at homologous
DNA sequences.
AB - A limitation to the engineering of cellulolytic thermophiles is the availability
of functional, thermostable (>= 60 degrees C) replicating plasmid vectors for
rapid expression and testing of genes that provide improved or novel fuel
molecule production pathways. A series of plasmid vectors for genetic
manipulation of the cellulolytic thermophile Caldicellulosiruptor bescii has
recently been extended to Clostridium thermocellum, another cellulolytic
thermophile that very efficiently solubilizes plant biomass and produces ethanol.
While the C. bescii pBAS2 replicon on these plasmids is thermostable, the use of
homologous promoters, signal sequences and genes led to undesired integration
into the bacterial chromosome, a result also observed with less thermostable
replicating vectors. In an attempt to overcome undesired plasmid integration in
C. thermocellum, a deletion of recA was constructed. As expected, C. thermocellum
?recA showed impaired growth in chemically defined medium and an increased
susceptibility to UV damage. Interestingly, we also found that recA is required
for replication of the C. bescii thermophilic plasmid pBAS2 in C. thermocellum,
but it is not required for replication of plasmid pNW33N. In addition, the C.
thermocellum recA mutant retained the ability to integrate homologous DNA into
the C. thermocellum chromosome. These data indicate that recA can be required for
replication of certain plasmids, and that a recA-independent mechanism exists for
the integration of homologous DNA into the C. thermocellum chromosome.
Understanding thermophilic plasmid replication is not only important for
engineering of these cellulolytic thermophiles, but also for developing genetic
systems in similar new potentially useful non-model organisms.
PMID- 29808294
TI - Overview of Current and Future Adjuvant Therapy for Muscle-Invasive Urothelial
Carcinoma.
AB - OPINION STATEMENT: Muscle-invasive bladder cancer (MIBC) has high metastatic
potential at diagnosis but is still often curable with aggressive management,
which may give patients the best odds for a favorable clinical outcome. The
standard-of-care management of MIBC includes a radical cystectomy and pelvic
lymph node dissection. If the patient is cisplatin-eligible, neoadjuvant
cisplatin-based combination chemotherapy should also be given. Post-surgery
adjuvant treatments include observation, chemotherapy, radiation, or enrollment
in a clinical trial. Several adjuvant immunotherapy trials with checkpoint
inhibitors, which block the interaction between PD-1 and PD-L1, as monotherapy or
in combinations with chemotherapy, radiation, or other immunotherapy agents are
currently ongoing. Given the lack of level 1 evidence for the survival benefit of
adjuvant therapies post-cystectomy, the standard of care remains observation with
radiologic and clinical surveillance. However, in patients who did not receive
neoadjuvant cisplatin-based combination chemotherapy and are cisplatin-eligible,
adjuvant cisplatin-based chemotherapy should be considered and discussed. Genomic
alterations and gene expression profiles may eventually help to identify patient
subgroups for more effective adjuvant therapy. Genetic abnormalities in the DNA
repair genes and basal intrinsic tumor subtype appear to predict response to
neoadjuvant cisplatin-based chemotherapy in MIBC. In the coming years, validating
these genetic markers will be key to individualizing perioperative chemotherapy.
PMID- 29808296
TI - EMA and NICE Appraisal Processes for Cancer Drugs: Current Status and
Uncertainties.
PMID- 29808295
TI - Expert recommendations on the psychological needs of patients with rheumatoid
arthritis.
AB - OBJECTIVE: To establish feasible and practical recommendations for the management
of the psychological needs of patients with rheumatoid arthritis (RA) from the
moment of diagnosis through the course of the disease. METHODS: A nominal group
meeting was held with an RA expert team including rheumatologists and
psychologists, at which a guided discussion addressed the most important
psychological and emotional needs in RA. Based on the comments collected, and a
literature review, a matrix document of recommendations for telematics discussion
was prepared, as well as a Delphi survey to test agreement with these
recommendations. Agreement was defined if at least 80% of participants voted >= 7
(from 1, totally disagree to 10, totally agree). For each recommendation, the
level of evidence and grading of recommendations was established following the
Oxford criteria, and the degree of agreement through the Delphi. RESULTS:
Thirteen recommendations were established, addressing several key processes: (1)
identification of psychological problems and needs in patients with RA, and a
guideline for their management in daily practice; (2) communication with
patients; (3) referral criteria to mental health professionals. CONCLUSIONS:
These recommendations are intended to help health care professionals openly
address the psychological aspects of patients in daily practice to follow and
treat them properly.
PMID- 29808297
TI - Proteins and antibodies in serum, plasma, and whole blood-size characterization
using asymmetrical flow field-flow fractionation (AF4).
AB - The analysis of aggregates of therapeutic proteins is crucial in order to ensure
efficacy and patient safety. Typically, the analysis is performed in the finished
formulation to ensure that aggregates are not present. An important question is,
however, what happens to therapeutic proteins, with regard to oligomerization and
aggregation, after they have been administrated (i.e., in the blood). In this
paper, the separation of whole blood, plasma, and serum is shown using asymmetric
flow field-flow fractionation (AF4) with a minimum of sample pre-treatment.
Furthermore, the analysis and size characterization of a fluorescent antibody in
blood plasma using AF4 are demonstrated. The results show the suitability and
strength of AF4 for blood analysis and open new important routes for the analysis
and characterization of therapeutic proteins in the blood.
PMID- 29808300
TI - CATCH-22: a manual bladder washout protocol to improve care for clot retention.
AB - PURPOSE: To review current practices in manual bladder washouts (MBW) for
haematuria with clot retention, comparing those conducted by a urology unit to
other inpatient services. Secondly, to describe a standardised protocol for MBWs.
METHODS: Prospective data were collected for patients treated for clot retention,
from initial management by referral units through to implementation of a
standardised MBW by the urology service. Outcomes measured included re
catheterisation, MBW volumes, clot evacuated and time to discharge or subsequent
intervention. RESULTS: Initial catheters inserted by referral teams were sized 16
Fr-20 Fr, all except one requiring upsizing. Mean washout volumes of 145 ml (SD
125) and 5392 ml (SD 847) were used by referring units and the urology service,
respectively. Mean volume of clot evacuated by the standardised MBW was 617 ml
(SD 313). Continuous bladder irrigation (CBI) was commenced in 16 patients (66%)
prior to referral to urology. Median time to discharge was 48 h. CONCLUSION:
Initial catheter insertion is of inadequate size, as is the volume of washout
performed. Referring services fail to clear adequate amounts of clot with
washouts posing potential risks to patients. The standard management of clot
retention should involve the use of at least a 22 F catheter, implement best
practice infection control and adopt the last Clot + 1L rule with catheter
manipulation. The key points of our recommended MBW are summarised with the
acronym CATCH-22. This protocol can guide initial management of clot retention
and be used as an educational tool.
PMID- 29808298
TI - Chiral capillary electrophoresis with UV-excited fluorescence detection for the
enantioselective analysis of 9-fluorenylmethoxycarbonyl-derivatized amino acids.
AB - The potential of capillary electrophoresis (CE) with ultraviolet (UV)-excited
fluorescence detection for sensitive chiral analysis of amino acids (AAs) was
investigated. DL-AAs were derivatized with 9-fluorenylmethoxycarbonyl chloride
(FMOC)-Cl to allow their fluorescence detection and enhance enantioseparation.
Fluorescence detection was achieved employing optical fibers, leading UV
excitation light (< 300 nm) from a Xe-Hg lamp to the capillary window, and
fluorescence emission to a spectrograph equipped with a charge-coupled device
(CCD). Signal averaging over time and emission wavelength intervals was carried
out to improve the signal-to-noise ratio of the FMOC-AAs. A background
electrolyte (BGE) of 40 mM sodium tetraborate (pH 9.5), containing 15%
isopropanol (v/v), 30 mM sodium dodecyl sulfate (SDS), and 30 mM beta
cyclodextrin (beta-CD), was found optimal for AA chemo- and enantioseparation.
Enantioresolutions of 1.0 or higher were achieved for 16 proteinogenic DL-AAs.
Limits of detection (LODs) were in the 10-100-nM range (injected concentration)
for the D-AA enantiomers, except for FMOC-D-tryptophan (536 nM) which showed
intramolecular fluorescence quenching. Linearity (R2 > 0.997) and repeatability
for peak height (relative standard deviations (RSDs) < 7.0%; n = 5) and
electrophoretic mobility (RSDs < 0.6%; n = 5) of individual AA enantiomers were
established for chiral analysis of DL-AA mixtures. The applicability of the
method was investigated by the analysis of cerebrospinal fluid (CSF). Next to L
AAs, endogenous levels of D-glutamine and D-aspartic acid could be measured in
CSF revealing enantiomeric ratios of 0.35 and 19.6%, respectively. This indicates
the method's potential for the analysis of low concentrations of D-AAs in
presence of abundant L-AAs.
PMID- 29808301
TI - The role of mpMRI and PSA density in patients with an initial negative prostatic
biopsy.
AB - INTRODUCTION: While an elevated PSA significantly increases the risk of men
harboring prostate cancer, many men with a persistently elevated PSA have
negative prostate biopsies. More recently, multiparametric MRI (mpMRI) has had
promising implications for the diagnosis of prostate cancer. We aim to
investigate the diagnostic role of mpMRI in predicting the future diagnosis of
prostate cancer in patients with an initial negative biopsy. METHODS: This study
included all men with negative biopsies, elevated PSA and mpMRI. All patients had
their age, PSA, and PSAd recorded. mpMRI lesions were classified using the PI
RADS 2 scoring system. RESULTS: mpMRI imaging was performed in 336 men with an
initial negative biopsy, of whom 108, 111, 76 and 41 men underwent single, two,
three and four biopsies, respectively. Of the 228 men with more than one biopsy,
115 patients were diagnosed with prostate cancer on further biopsies. Older
patients and men with higher PSA, PSAd and PIRADS score had a significant risk to
progress to cancer but only higher PSAd and higher PI-RADS score were
significantly associated with clinically significant cancers. For clinically
significant cancer; sensitivity and specificity of PI-RADS scoring was 86 and
45%, respectively, and a NPV of 87.6%. When combined with PSAd, NPV was 93%.
CONCLUSION: Men with benign prostatic biopsy and both PSAd < 0.15 and low PI-RADS
score may avoid second biopsy. PI-RADS scoring system has a high sensitivity to
diagnose clinically significant prostate cancer and repeat biopsy should be
recommended in men with benign biopsy and high score.
PMID- 29808299
TI - Evidence for interaction between Hsp90 and the ER membrane complex.
AB - Numerous putative heat shock protein 90 (Hsp90)-interacting proteins, which could
represent novel folding clients or co-chaperones, have been identified in recent
years. Two separate high-throughput screens in yeast uncovered genetic effects
between Hsp90 and components of the ER membrane complex (EMC), which is required
for tolerance to unfolded protein response stress in yeast. Herein, we provide
the first experimental evidence supporting that there is a genuine interaction of
Hsp90 with the EMC. We demonstrate genetic interactions between EMC2 and the
known Hsp90 co-chaperone encoded by STI1, as well as Hsp90 point mutant allele
specific differences in inherent growth and Hsp90 inhibitor tolerance in the
absence and presence of EMC2. In co-precipitation experiments, Hsp90 interacts
with Emc2p, whether or not Emc2p contains amino acid sequences designated as a
tetratricopeptide repeat motif. Yeast with multiple EMC gene deletions exhibit
increased sensitivity to Hsp90 inhibitor as well as defective folding of the well
established Hsp90 folding client, the glucocorticoid receptor. Altogether, our
evidence of physical, genetic, and functional interaction of Hsp90 with the EMC,
as well as bioinformatic analysis of shared interactors, supports that there is a
legitimate interaction between them in vivo.
PMID- 29808302
TI - Early neurological care of patients with spinal cord injury.
AB - PURPOSE: Considering the major clinical challenges of managing patients with
spinal cord injury (SCI), we summarized the relevant aspects of the early (within
1 year after SCI) neurological care emphasizing common standards. METHODS: This
review was performed according to the methodology recommended by the Joint SIU
ICUD International Consultation. Embase and Medline databases were used to
identify literature relevant to the early neurological care of SCI patients.
Recommendations were developed by consensus and graded using a modified Oxford
system which identifies level of evidence (LOE) and grade of recommendation
(GOR). RESULTS: Emergency health care providers must address altered mental
status, evidence of intoxication, suspected extremity fracture/distracting
injury, focal neurological deficit and spinal pain/tenderness to determine the
risk of SCI in a trauma patient [LOE 1, GOR A]. Neurogenic shock must be
recognized and treated [LOE 3, GOR A]. Spine surgeons should consider early
decompression and spine fixation/stabilization, where indicated, and should
promote early active rehabilitation to improve functional recovery [LOE 2, GOR
B]. Clinicians should refer SCI patients to specialized SCI rehabilitation
centers [LOE 4, GOR B], must apply interventions to prevent venous
thromboembolism (i.e., compression devices and low-molecular weight
heparin/unfractionated heparin) after acute SCI respecting contraindications [LOE
1, GOR A]. Contemporary guidelines to manage pain must be employed [LOE 1, GOR A]
and methods to reduce the risk of pressure ulcers should be used [LOE 3, GOR B].
CONCLUSIONS: Early treatment, prevention of associated complications and
individualized patient-targeted rehabilitation programs provided by a specialized
interdisciplinary team are crucial to optimize the outcome after SCI.
PMID- 29808303
TI - Single lung transplantation for lymphangioleiomyomatosis: a single-center
experience in Japan.
AB - PURPOSE: Lung transplantation is accepted as an effective modality for patients
with end-stage pulmonary lymphangioleiomyomatosis (LAM). Generally, bilateral
lung transplantation is preferred to single lung transplantation (SLT) for LAM
because of native lung-related complications, such as pneumothorax and
chylothorax. It remains controversial whether SLT is a suitable surgical option
for LAM. The objective of this study was to evaluate the morbidity, mortality and
outcome after SLT for LAM in a lung transplant center in Japan. METHODS: We
reviewed the records of 29 patients who underwent SLT for LAM in our hospital
between March, 2000 and November, 2017. The data collected included the pre
transplant demographics of recipients, surgical characteristics, complications,
morbidity, mortality and survival after SLT for LAM. RESULTS: The most common
complication after SLT for LAM was contralateral pneumothorax (n = 7; 24.1%). Six
of these recipients were treated successfully with chest-tube placement and none
required surgery for the pneumothorax. The second-most common complication was
chylous pleural effusion (n = 6; 20.7%) and these recipients were all
successfully treated by pleurodesis. The 5-year survival rate after SLT for LAM
was 79.5%. CONCLUSION: LAM-related complications after SLT for this disease can
be managed. SLT is a treatment option and may improve access to lung
transplantation for patients with end-stage LAM.
PMID- 29808304
TI - Acute kidney injury following implementation of an enhanced recovery after
surgery (ERAS) protocol in colorectal surgery.
AB - PURPOSE: Fluid management within Enhanced Recovery After Surgery (ERAS) protocols
is designed to maintain a euvolemic state avoiding the negative sequelae of
hypervolemia or hypovolemia. We sought to determine the effect of a recent ERAS
protocol implementation on kidney function and on the incidence of postoperative
acute kidney injury (AKI). METHODS: A total of 132 elective colorectal resections
performed using our ERAS protocol were compared to a propensity-matched group
prior to ERAS implementation. Fluid balance, urine output, creatinine, and blood
urea nitrogen (BUN) were recorded for all patients, and the incidence of AKI was
determined according to the Kidney Disease Improving Global Outcomes (KDIGO)
criteria. RESULTS: Implementation of our ERAS protocol decreased average
postoperative length of hospital stay (5.5 vs 7.7 days, p < 0.0001) and time to
return of bowel function (2.5 vs 4.1 days, p < 0.0001). The rate of postoperative
AKI increased following implementation of the protocol (11.4 vs 2.3%, p <
0.0001). However, by the time of discharge, the average creatinine of ERAS
patients who had experienced AKI had returned to their preoperative baseline
values (p = 0.9037). Significant univariate predictors of AKI in ERAS patients
were longer operative times (p < 0.01) and the diagnosis of diverticulitis (p <
0.01). Within our ERAS patients, AKI was associated with a prolonged
postoperative length of hospital stay (p < 0.01). CONCLUSIONS: Despite the proven
benefits of the Enhanced Recovery After Surgery (ERAS) protocols, care should be
taken during protocol implementation to monitor for and to prevent acute kidney
injury.
PMID- 29808306
TI - Correction to: Prevalence of colorectal cancer in acute uncomplicated
diverticulitis and the role of the interval colonoscopy.
AB - The original version of this article, unfortunately, contained errors. The first
and family names of the authors were interchanged. The correct author names are
now correctly presented in this article. The original article has been
corrected.].
PMID- 29808305
TI - A comparison of surgical devices for grade II and III hemorrhoidal disease.
Results from the LigaLongo Trial comparing transanal Doppler-guided hemorrhoidal
artery ligation with mucopexy and circular stapled hemorrhoidopexy.
AB - PURPOSE: Little is presently known on the impact of device type for Doppler
guided hemorrhoidal artery ligation/mucopexy (DGHAL) or circular stapled
hemorrhoidopexy (CSH) when a surgical treatment is considered for hemorrhoidal
disease (HD). In this study, we aimed to compare the outcome in terms of adverse
events and recurrence rate, of patients included in the multicenter LigaLongo RCT
( ClinicalTrials.gov NCT01240772) according to the type of devices used. METHODS:
In the DGHAL arm (N = 193), the procedure was done with transanal hemorrhoidal
dearterialization (THD)TM (THD, Correggio, Italy) (104 patients) and with HAL
RARTM (Agency for Medical Innovations (AMI) GmbH, Feldkirch, Austria) (89
patients). In the CSH arm (N = 184), procedure for prolapse and hemorrhoids (PPH)
03TM (Ethicon Endo-Surgery, Cincinnati OH) and hemorrhoidopexy and prolapse
(HEM)TM (Covidien, Inc.) staplers were used in respectively 106 and 78 cases.
Surgery-related morbidity at 90 postoperative days (POD) based on the Clavien
Dindo procedure-related complication score and clinical outcome in terms of
recurrence and reoperation rate at 12 postoperative months (POM) was collected.
RESULTS: Three hundred and seventy-seven patients were randomized according to HD
grade. In the DGHAL arm, the number of ligations and mucopexies was higher in the
AMI group (p < 0.0001); at 90 POD, the overall morbidity was similar between the
two groups. In the CSH arm, donut sizes were similar; at 90 POD, the PPH group
had a higher risk of postoperative grade 1 morbidity (anal urgency or
incontinence) compared to the HEM group (p = 0.003). At 12 POM, no statistical
difference was found between the two groups of each arm in terms of grade III
recurrence or reoperation. CONCLUSION: Postoperative morbidity and outcome at 1
year were similar regardless of the type of devices used. These findings suggest
that device type has little impact on HD treatment results. TRIAL REGISTRATION:
clinicaltrials.gov -Identifier NCT01240772.
PMID- 29808307
TI - Immuno-therapeutic potential of Schistosoma mansoni and Trichinella spiralis
antigens in a murine model of colon cancer.
AB - Considerable evidence indicates a negative correlation between the prevalence of
some parasitic infections and cancer and their interference with tumor growth.
Therefore, parasitic antigens seem to be promising candidates for cancer
immunotherapy. In this study, the therapeutic efficacy of autoclaved Schistosoma
mansoni and Trichinella spiralis antigens against a colon cancer murine model was
investigated. Both antigens showed immunomodulatory potential, as evidenced by a
significant decrease in serum IL-17, a significant increase in serum IL-10, and
the percentage of splenic CD4+T-cells and intestinal FoxP3+ Treg cells. However,
treatment with S. mansoni antigen yielded protection against the deleterious
effect of DMH-induced colon carcinogenesis only, with a significant decrease in
the average lesion size and number of neoplasias per mouse. For the first time,
we report an inhibitory effect of S. mansoni antigen on the progression of
chemically induced colon carcinogenesis, but the exact mechanism has yet to be
clarified. This anti-tumor strategy could introduce a new era of medicine in
which a generation of anticancer vaccines of parasitic origin would boost the
therapy for incurable cancers.
PMID- 29808309
TI - Epileptic spasm and de novo KCNB1 mutation: if it is not one potassium channel,
it is another!
PMID- 29808308
TI - Phase I dose-escalation trial of afatinib, an irreversible ErbB family blocker,
in combination with gemcitabine or docetaxel in patients with relapsed or
refractory solid tumors.
AB - Background Afatinib, an irreversible ErbB family blocker, has shown synergistic
antitumor activity and manageable tolerability in combination with chemotherapy.
This phase I study assessed oral afatinib plus intravenous gemcitabine or
docetaxel in patients with relapsed/refractory solid tumors. Methods Patients
received afatinib (30, 40, or 50 mg) plus gemcitabine (1000 or 1250 mg/m2) or
docetaxel (60 or 75 mg/m2). Dose escalation proceeded via a 3 + 3 design until
the maximum tolerated dose (MTD) was reached. Adverse events (AEs),
pharmacokinetics and antitumor activity were also assessed. Results Dose-limiting
toxicities during Cycle 1 were reported in 6/39 patients receiving
afatinib/gemcitabine (most commonly diarrhea, thrombocytopenia and vomiting) and
16/54 patients receiving afatinib/docetaxel (most commonly febrile neutropenia
and stomatitis). The MTDs were established as afatinib 40 mg/gemcitabine 1000
mg/m2 and afatinib 30 mg/docetaxel 60 mg/m2. The most common drug-related AEs
were diarrhea, asthenia and rash with afatinib/gemcitabine, and diarrhea,
asthenia and stomatitis with afatinib/docetaxel. No relevant pharmacokinetic
interactions were observed for either combination. Both combinations demonstrated
clinical activity and durable disease control at the MTDs. Compared with the MTD,
higher response rates were achieved with afatinib 30 mg/docetaxel 75 mg/m2 (28%
vs 6%); however, this regimen was associated with problematic febrile
neutropenia, an expected AE with docetaxel, that is often managed with growth
factor support. Conclusions Afatinib/gemcitabine and afatinib/docetaxel
demonstrated manageable safety profiles, with evidence of clinical efficacy at
the MTDs. For afatinib/docetaxel, a dose level of afatinib 30 mg/docetaxel 75
mg/m2 produced higher response rates. Trial registration: NCT01251653 (
ClinicalTrials.gov ).
PMID- 29808310
TI - Paraplegia following lumbar puncture: a rare complication in spinal dural
arteriovenous fistula.
PMID- 29808311
TI - Horizontal gaze deviation on computed tomography: the visual criterion and lesion
characteristics in ischemic stroke.
AB - Horizontal gaze deviation (HGD) on computed tomography (CT) is considered a
useful finding for detecting ischemic stroke. To enhance its availability, we
studied the criterion suitable for visual determination and lesion
characteristics. The clinical records of 327 ischemic stroke patients and 193 non
stroke controls were reviewed with measurements of eye deviation angles on CT.
Initially, the HGD criterion defined by the minimum angle in unidirectionally
deviated eyes was determined from control data. Subsequently, patients were
classified by infarcted arterial territory and stroke subtype, and compared with
controls in HGD frequency using Fisher's exact test. In patients with middle
cerebral artery (MCA) stroke, ipsilesional HGD during a week from symptom onset
was modeled in a mixed-effects logistic regression analysis. Among the controls,
4.9% were categorized as pathological with a cutoff of 14 degrees . HGD frequency
was significantly increased in most stroke subgroups and prominent in MCA
cardioaortic embolism (odds ratio and 95% confidence interval, 24.2 [9.6, 66.3])
and posterior inferior cerebellar artery (PICA) stroke (15.6 [3.2, 76.2]). In MCA
stroke, ipsilesional HGD probability decreased daily (0.62 [0.48, 0.78]) and
increased with cardioaortic embolism (4.12 [1.05, 16.16]) and lenticular nucleus
lesions (3.36 [1.18, 9.58]). HGD is judged pathological if both eyes are
unidirectionally deviated by >= 14 degrees . This CT sign is frequent in MCA
cardioaortic embolism and PICA stroke but does not necessarily indicate specific
lesions. In MCA stroke, HGD is influenced by time, stroke subtype, and lesion
location. The criterion and basic information on lesion characteristics may
enhance the availability of HGD.
PMID- 29808312
TI - Comparative assessment of the efficacy of closed helical loop and T-loop for
space closure in lingual orthodontics-a finite element study.
AB - BACKGROUND: Retraction in lingual orthodontics has biomechanical differences when
compared to labial orthodontics, which is not yet established. Thus, we have
intended to compare the biomechanical characteristics of closed helical loop and
T-loop on 1 mm activation with 30 degrees of compensatory curvatures during
retraction in lingual orthodontics. METHODS: STb lingual brackets were indirectly
bonded to maxillary typhodont model that was scanned to obtain FEM model. Closed
helical loop (2 * 7 mm) and T-loop (6 * 2 * 7 mm) of 0.016" * 0.016" TMA wire
were modeled without preactivation bends. Preactivation bends at 30 degrees were
given in the software. Boundary conditions were set. The force (F) and moment (M)
of both the loops were determined on 1 mm activation, using ANSYS software. M/F
ratio was also calculated for both the loops. RESULTS: T-loop exerted less force,
thus increased M/F ratio as compared to closed helical loop on 1 mm activation.
CONCLUSIONS: When torque has to be preserved in the anterior segment during
retraction in lingual orthodontics, T-loop can be preferred over closed helical
loop.
PMID- 29808315
TI - [Treatment recommendations for protracted hip immaturity in infants :
Conservative approach versus open reduction].
AB - The healthy upright posture is a result of a continuous maturation process of the
locomotor system throughout skeletal growth rendering muscle strength and
stability: The hip joint in its central position plays a key role for unimpaired
and pain-free gait. Nonetheless, it is also regularly affected by delayed
maturation, thus being of special interest for the disease screening procedures
of every newborn child. Structured screening examinations in the first 3
postnatal months will ideally detect any dysplasia, therefore simple conservative
interventions will usually accelerate the maturation process. Effective hip
screening programs in Austria and Germany have reduced the necessity for open
surgical hip reductions to a worldwide all-time low. Perinatal risk factor
awareness in doctors and parents triggers an increased sensitivity to possible
immature hip structures. Nevertheless, severe hip dysplasia in toddlers is
regularly presented in pediatric orthopedic centers with or without hip
dislocation, mostly due to the delay of or inefficiency of treatment options.
This review deals with highly effective strategies for quick treatment and
provides a balanced view on conservative and surgical methods.
PMID- 29808313
TI - Function of the evolutionarily conserved plant methionine-S-sulfoxide reductase
without the catalytic residue.
AB - In plants, two types of methionine sulfoxide reductase (MSR) exist, namely
methionine-S-sulfoxide reductase (MSRA) and methionine-R-sulfoxide reductase
(MSRB). These enzymes catalyze the reduction of methionine sulfoxides (MetO) back
to methionine (Met) by a catalytic cysteine (Cys) and one or two resolving Cys
residues. Interestingly, a group of MSRA encoded by plant genomes does not have a
catalytic residue. We asked that if this group of MSRA did not have any function
(as fitness), why it was not lost during the evolutionary process. To challenge
this question, we analyzed the gene family encoding MSRA in soybean (GmMSRAs). We
found seven genes encoding GmMSRAs, which included three segmental duplicated
pairs. Among them, a pair of duplicated genes, namely GmMSRA1 and GmMSRA6, was
without a catalytic Cys residue. Pseudogenes were ruled out as their transcripts
were detected in various tissues and their Ka/Ks ratio indicated a negative
selection pressure. In vivo analysis in Delta3MSR yeast strain indicated that the
GmMSRA6 did not have activity toward MetO, contrasting to GmMSRA3 which had
catalytic Cys and had activity. When exposed to H2O2-induced oxidative stress,
GmMSRA6 did not confer any protection to the Delta3MSR yeast strain.
Overexpression of GmMSRA6 in Arabidopsis thaliana did not alter the plant's
phenotype under physiological conditions. However, the transgenic plants
exhibited slightly higher sensitivity toward salinity-induced stress. Taken
together, this data suggested that the plant MSRAs without the catalytic Cys are
not enzymatically active and their existence may be explained by a role in
regulating plant MSR activity via dominant-negative substrate competition
mechanism.
PMID- 29808316
TI - Tumor growth patterns of MGMT-non-methylated glioblastoma in the randomized
GLARIUS trial.
AB - BACKGROUND: We evaluated patterns of tumor growth in patients with newly
diagnosed MGMT-non-methylated glioblastoma who were assigned to undergo
radiotherapy in conjunction with bevacizumab/irinotecan (BEV/IRI) or standard
temozolomide (TMZ) within the randomized phase II GLARIUS trial. METHODS: In 142
patients (94 BEV/IRI, 48 TMZ), we reviewed magnetic resonance imaging scans at
baseline and first tumor recurrence. Based on contrast-enhanced T1-weighted and
fluid-attenuated inversion recovery images, we assessed tumor growth patterns and
tumor invasiveness. Tumor growth patterns were classified as either multifocal or
local at baseline and recurrence; at first recurrence, we additionally assessed
whether distant lesions appeared. Invasiveness was determined as either diffuse
or non-diffuse. Associations with treatment arms were calculated using Fisher's
exact test. RESULTS: At baseline, 115 of 142 evaluable patients (81%) had a
locally confined tumor. Between treatment arms, there was no significant
difference in the fraction of tumors that changed from an initially local tumor
growth pattern to a multifocal pattern (12 and 13%, p = 0.55). Distant lesions
appeared in 17% (BEV/IRI) and 13% (TMZ) of patients (p = 0.69). 15% of patients
in the BEV/IRI arm and 8% in the TMZ arm developed a diffuse growth pattern from
an initially non-diffuse pattern (p = 0.42). CONCLUSIONS: The tumor growth and
invasiveness patterns do not differ between BEV/IRI and TMZ-treated MGMT-non
methylated glioblastoma patients in the GLARIUS trial. BEV/IRI was not associated
with an increased rate of multifocal, distant, or highly invasive tumors at the
time of recurrence.
PMID- 29808318
TI - A Prospective, Longitudinal Examination of the Influence of Childhood Home and
School Contexts on Psychopathic Characteristics in Adolescence.
AB - Much of the existing research examining etiological contributors to psychopathic
characteristics considers only biological and physiological deficits, with little
consideration given to contextual factors that may play a role in their
development. This prospective, longitudinal study examined the influence of
childhood home and school environments on adolescent psychopathic characteristics
among 390 youth (50.5% female; 46.2% Black/African American, 44.9%
Hispanic/Latino, 6.9% Asian or Native American/Alaska Native, and 2.1% Non
Hispanic White). Specifically, this study examined (1) the effect of home chaos
and poor parental monitoring on adolescent primary and secondary psychopathy and
callous-unemotional traits through the lens of multiple reporters, and (2)
whether classroom climate quality across three years of childhood moderated these
relationships. The results indicated that delinquency and home chaos in childhood
were related to primary psychopathy in adolescence and that exposure to higher
quality classroom climates across childhood acted as a buffer by mitigating the
negative relationship between parental monitoring in childhood and secondary
psychopathy in adolescence. These findings have implications for designing
interventions to mitigate the manifestation of youth psychopathy.
PMID- 29808317
TI - TIS21/BTG2 inhibits breast cancer growth and progression by differential
regulation of mTORc1 and mTORc2-AKT1-NFAT1-PHLPP2 signaling axis.
AB - PURPOSE: It has been reported that PI3K/AKT pathway is altered in various cancers
and AKT isoforms specifically regulate cell growth and metastasis of cancer
cells; AKT1, but not AKT2, reduces invasion of cancer cells but maintains cancer
growth. We propose here a novel mechanism of the tumor suppresser, TIS21/BTG2,
that inhibits both growth and invasion of triple negative breast cancer cells via
AKT1 activation by differential regulation of mTORc1 and mTORc2 activity.
METHODS: Transduction of adenovirus carrying TIS21/BTG2 gene and transfection of
short interfering RNAs were employed to regulate TIS21/BTG2 gene expression in
various cell lines. Treatment of mTOR inhibitors and mTOR kinase assays can
evaluate the role of mTORc in the regulation of AKT phosphorylation at S473
residue by TIS21/BTG2 in breast cancer cells. Open data and immunohistochemical
analysis were performed to confirm the role of TIS21/BTG2 expression in various
human breast cancer tissues. RESULTS: We observed that TIS21/BTG2 inhibited
mTORc1 activity by reducing Raptor-mTOR interaction along with upregulation of
tsc1 expression, which lead to significant reduction of p70S6K activation as
opposed to AKT1S473, but not AKT2, phosphorylation via downregulating PHLPP2
(AKT1-specific phosphatase) in breast cancers. TIS21/BTG2-induced pAKTS473
required Rictor-bound mTOR kinase, indicating activation of mTORc2 by TIS21/BTG2
gene. Additionally, the TIS21/BTG2-induced pAKTS473 could reduce expression of
NFAT1 (nuclear factor of activated T cells) and its target genes, which regulate
cancer microenvironment. CONCLUSIONS: TIS21/BTG2 significantly lost in the
infiltrating ductal carcinoma, but it can inhibit cancer growth via the
TIS21/BTG2-tsc1/2-mTORc1-p70S6K axis and downregulate cancer progression via the
TIS21/BTG2-mTORc2-AKT1-NFAT1-PHLPP2 pathway.
PMID- 29808320
TI - Outcome of single-trajectory rigid endoscopic third ventriculostomy and biopsy in
the management algorithm of pineal region tumors: a case series and review of the
literature.
AB - BACKGROUND: Tumors within the pineal region represent 1.5 to 8.5% of the
pediatric brain tumors and 1.2% of all brain tumors. A management algorithm has
been proposed in several publications. The algorithm includes endoscopic third
ventriculostomy (ETV) and biopsy in cases presenting with hydrocephalus. In this
series, we are presenting the efficacy of a single-trajectory approach for both
ETV and biopsy. METHODS: Eleven cases were admitted to Alexandria main university
hospital from 2013 to 2016 presenting with pineal region tumors and
hydrocephalus. Mean age at diagnosis was 11 years (1-27 years). All cases had ETV
and biopsy using rigid ventriculoscope through a single trajectory from a burr
hole planned on preoperative imaging. Follow-up period was 7-48 months. RESULTS:
All 11 cases presented with hydrocephalus and increased intracranial pressure
manifestations. Histopathological diagnosis was successful in 9 out of 11 cases
(81.8%). Three cases were germ-cell tumors, two cases were pineoblastomas, two
cases were pilocytic astrocytomas, and two cases were grade 2 tectal gliomas.
Five of the ETV cases (45.5%) failed and required VPS later on. Other
complications of ETV included one case of intraventricular hemorrhage and a case
with tumor disseminated to the basal cisterns. CONCLUSION: In our series, we were
able to achieve ETV and biopsy through a single trajectory and a rigid endoscope
with results comparable to other studies in the literature.
PMID- 29808321
TI - Diagnostic accuracy of intraoperative perfusion-weighted MRI and 5-aminolevulinic
acid in relation to contrast-enhanced intraoperative MRI and 11C-methionine
positron emission tomography in resection of glioblastoma: a prospective study.
AB - The aim of our study was to compare depicted pre-, intra-, and postoperative
tumor volume of met-PET, perfusion-weighed MRI (PWI), and Gd-DTPA MRI. Further,
to assess their sensitivity and specificity in correlation with histopathological
specimen. Inclusion criteria of the prospective study were histological confirmed
glioblastoma (GB), age > 18, and eligible for gross total resection (GTR). Met
PET was performed before and after surgery. Gd-DTPA MRI and PWI were performed
before, during, and after surgery. A combined 5-aminolevulinic acid (5-ALA) and
iMRI-guided surgery was performed. Volumetric analysis was evaluated for all
imaging modalities except for 5-ALA. A total of 59 navigated biopsies were taken.
Sensitivity and specificity were calculated for Gd-DTPA MRI, PWI, met-PET, and 5
ALA according to the histology of specimen. Met-PET depicted significantly larger
tumor volume before surgery (p = 0.01) compared to PWI and Gd-DTPI MRI. We found
no significant difference in tumor volume between met-PET and PWI after surgery
(p = 0.059). Both PWI and met-PET showed significantly larger tumor volume after
surgery when compared to Gd-DTPA (p = 0.018 and p = 0.003, respectively).
Intraoperative PWI reading was impaired in 33.3% due to artifacts. Met-PET showed
the highest sensitivity for detection of GB with 95%. The lowest sensitivity was
found with Gd-DTPA MRI (50%), while 5-ALA and intraoperative PWI showed similar
results (69 and 67%). Met-Pet is the imaging modality with the highest
sensitivity to detect a residual tumor in GB. Intraoperative PWI seems to have a
synergistic effect to Gd-DTPA and 5-ALA. However, its value may be limited by
artifacts. Both pre- and intraoperative PWI cannot substitute met-PET in tumor
detection.
PMID- 29808319
TI - Reciprocal Prospective Relationships Between Loneliness and Weight Status in Late
Childhood and Early Adolescence.
AB - Adolescents who do not conform to weight ideals are vulnerable to disapproval and
victimization from peers in school. But, missing from the literature is a
prospective examination of weight status and feelings of loneliness that might
come from those experiences. Using data from the Quebec Longitudinal Study of
Child Development, we filled that gap by examining the prospective associations
between loneliness and weight status when the sample was aged 10-13 years. At
ages 10, 12, and 13 years, 1042 youth (572 females; 92% from French speaking
homes) reported on their loneliness and were weighed and measured. Family income
sufficiency was included in our analyses given its relationship with weight
status, but also its possible link with loneliness during early adolescence. The
findings showed that (1) weight status and loneliness were not associated
concurrently; (2) weight status predicted increases in loneliness from ages 12 to
13 years; and (3) loneliness predicted increases in weight from ages 12 to 13
years among female adolescents, but weight loss among male adolescents. The fact
that loneliness was involved in weight gain for females suggests that
interventions focused on reducing loneliness and increasing connection with peers
during early adolescence could help in reducing obesity.
PMID- 29808324
TI - Randomized controlled trial of hyperbaric oxygen therapy in adhesive
postoperative small bowel obstruction.
AB - BACKGROUND: Hyperbaric oxygen (HBO) therapy is a controversial treatment for
adhesive postoperative small bowel obstruction (ASBO), with only a few
retrospective studies reported. The aim of this study was to assess the clinical
impact of HBO therapy in the treatment of ASBO. METHODS: Patients with ASBO were
randomly assigned to no-HBO (standard arm) or HBO (intervention arm). Patients of
the intervention arm were treated once daily at a pressure of 2.0 atm absolute
and received 100% oxygen. The primary endpoint was the success rate of medical
treatment. This study was registered at the UMIN Clinical Trial Registry as
UMIN000010399. RESULTS: The no-HBO group included 40 patients, and the HBO group
included 33 patients. Patient characteristics, time to oral intake, and length of
hospital stay were similar between the two groups. No significant differences
were noted between the no-HBO and HBO groups in the need for long intestinal tube
decompression (20.0 versus 18.2%, respectively, p = 1.000) and the need for
operative intervention (10.0 versus 18.2%, respectively, p = 0.332). The overall
success rate of medical treatment was 72.5% in the no-HBO group and 78.8% in the
HBO group (p = 0.594). CONCLUSIONS: In this randomized controlled trial, HBO for
ASBO has no additional effect in medical treatment.
PMID- 29808323
TI - Foaming of blood in endovenous laser treatment.
AB - This work is dedicated to a challenging issue of modern phlebology-establishment
of a physical mechanism of the endovenous laser treatment (EVLT) against great
saphenous vein incompetence (protuberant varicosities). Using optical and
acoustical methods, we have studied the laser-induced formation of microbubbles
in an aqueous solution of surface-active substances, serum, and blood directly in
patients while conducting EVLT of the great saphenous vein in a clinical setting.
We have used lasers with wavelengths 0.97 and 1.47 MUm. Their radiation was
transmitted through a quartz-quartz polymer fiber 600 MUm in diameter. It has
been found that in all cases, the laser beam with moderate power (1-10 W)
supplied through an optical fiber leads to the formation of micro-bubbled foam.
It has been shown that laser exposure during EVLT induces blood boiling, which
results in heating of the venous walls (thermal destruction of the intima) and
provides effective foam occlusion of the blood vessels (hemostasis). Necessary
and sufficient conditions for a successful EVLT are associated with the thermal
destruction of intima and laser-induced foam hemostasis.
PMID- 29808322
TI - Effect of photobiomodulation therapy on oxidative stress markers of gastrocnemius
muscle of diabetic rats subjected to high-intensity exercise.
AB - This study aimed to determine whether photobiomodulation therapy (PBMT) in
diabetic rats subjected to high-intensity exercise interferes with the expression
of the oxidative stress marker in the gastrocnemius muscle. Twenty-four male
Wistar rats were included in this study comprising 16 diabetic and eight control
rats. The animals were allocated into three groups-control, diabetic fatigue, and
diabetic PBMT fatigue groups. Diabetes was induced via the intraperitoneal
administration of streptozotocin (50 mg/kg). We subsequently assessed blood
lactate levels and PBMT. The animals of the diabetic fatigue group PBMT were
irradiated before the beginning of the exercises, with dose of 4 J and 808 nm,
were submitted to treadmill running with speed and gradual slope until
exhaustion, as observed by the maximum volume of oxygen and lactate level. The
animals were euthanized and muscle tissue was removed for analysis of SOD
markers, including catalase (CAT), glutathione peroxidase (GPx), and 2
thiobarbituric acid (TBARS) reactive substances. CAT, SOD, and GPx activities
were significantly higher in the diabetic PBMT fatigue group (p < 0.05) than in
the diabetic fatigue group. Outcomes for the diabetic PBMT fatigue group were
similar to those of the control group (p > 0.05), while their antioxidant enzymes
were significantly higher than those of the diabetic fatigue group. PBMT
mitigated the TBARS concentration (p > 0.05). PBMT may reduce oxidative stress
and be an alternative method of maintaining physical fitness when subjects are
unable to perform exercise. However, this finding requires further testing in
clinical studies.
PMID- 29808325
TI - Use of Ultrasound in Male Infertility: Appropriate Selection of Men for Scrotal
Ultrasound.
AB - PURPOSE OF REVIEW: Male factor infertility is a complex and multifaceted problem
facing the modern urologist and is identified in 30-40% of infertile couples.
This review focuses on the use of ultrasound, as an adjunct screening tool, in
the initial evaluation of male infertility. RECENT FINDINGS: Access to male
reproductive urologist for assessment of male infertility is limited and about a
quarter of infertile couples do not complete the male component in their
infertility assessment. Ultrasound evaluation of the infertile male is low-cost
and non-invasive and helps uncover underlying pathologies that may be missed
during the initial assessment. The addition of ultrasound allows the physician to
accurately assess testicular anatomy and dimensions, as well as vascular
environments, which may help guide treatment decisions. Scrotal ultrasound
evaluation, in conjunction with a semen analysis and as an adjunct to physical
exam, can be offered in the initial assessment of men who present for infertility
consultation given its low cost, non-invasive nature, and ability to detect and
discriminate between various etiologies of male infertility. Further, when
directed by physical exam and semen analysis findings, it provides a valuable
tool to select men for referral to a reproductive urologist, especially for
infertile couples who are only screened by reproductive endocrinologists and
female infertility specialists.
PMID- 29808326
TI - Differential gene expression in Escherichia coli during aerosolization from
liquid suspension.
AB - Comparative transcriptome analysis was used to determine the differentially
expressed genes in Escherichia coli during aerosolization from liquid suspension.
Isogenic mutant studies were then used to examine the potential part played by
some of these genes in bacterial survival in the air. Bioaerosols were sampled
after 3 min of nebulization, which aerosolized the bacteria from the liquid
suspension to an aerosol chamber (A0), and after further 30 min of airborne
suspension in the chamber (A30). Bacteria at A0 showed 65 differentially
expressed genes (30 downregulated and 35 upregulated) as compared to the original
bacteria in the nebulizer. Droplet evaporation models predicted a drop in
temperature in the bioaerosols, which coincides with the change in the expression
of cold shock protein genes-cspB and cspG in the bacteria. The most notable group
of differentially expressed genes was sorbitol transport and metabolism genes
(srlABDEMR). Other genes associated with osmotic stress, nutrient limitation, DNA
damage, and other stresses were differentially expressed in the bacteria at A0.
After further airborne suspension, one gene (ypfM, which encodes a hypothetical
protein with unknown function) was downregulated in the bacteria at A30 as
compared to those at A0. Finally, isogenic mutants with either the dps or srlA
gene deleted (both genes were upregulated at A0) had lower survival than the
parental strain, which is a sign of their potential ability to protect the
bacteria in the air.
PMID- 29808327
TI - Advances in synthetic biology of oleaginous yeast Yarrowia lipolytica for
producing non-native chemicals.
AB - Oleaginous yeast Yarrowia lipolytica is an important industrial host for the
production of enzymes, oils, fragrances, surfactants, cosmetics, and
pharmaceuticals. More recently, improved synthetic biology tools have allowed
more extensive engineering of this yeast species, which lead to the production of
non-native metabolites. In this review, we summarize the recent advances of
genome editing tools for Y. lipolytica, including the application of CRISPR/Cas9
system and discuss case studies, where Y. lipolytica was engineered to produce
various non-native chemicals: short-chain fatty alcohols and alkanes as biofuels,
polyunsaturated fatty acids for nutritional and pharmaceutical applications,
polyhydroxyalkanoates and dicarboxylic acids as precursors for biodegradable
plastics, carotenoid-type pigments for food and feed, and campesterol as a
precursor for steroid drugs.
PMID- 29808328
TI - Production of bioproducts by endophytic fungi: chemical ecology, biotechnological
applications, bottlenecks, and solutions.
AB - Endophytes are microorganisms that colonize the interior of host plants without
causing apparent disease. They have been widely studied for their ability to
modulate relationships between plants and biotic/abiotic stresses, often
producing valuable secondary metabolites that can affect host physiology. Owing
to the advantages of microbial fermentation over plant/cell cultivation and
chemical synthesis, endophytic fungi have received significant attention as a
mean for secondary metabolite production. This article summarizes currently
reported results on plant-endophyte interaction hypotheses and highlights the
biotechnological applications of endophytic fungi and their metabolites in
agriculture, environment, biomedicine, energy, and biocatalysts. Current
bottlenecks in industrial development and commercial applications as well as
possible solutions are also discussed.
PMID- 29808329
TI - [Operative revascularization of visceral arteries in chronic mesenteric
ischemia].
AB - Chronic mesenteric ischemia (CMI) is mostly the result of atherosclerotic
occlusive processes of unpaired mesenteric arteries. Operative procedures are
preferred in cases of occlusion of a long vessel segments and/or highly calcified
stenoses near the ostium. Frequently, bypasses are constucted from the aorta to
visceral arteries and autologous veins should be preferred. Due to
atherosclerotic alterations aortic bypass anastomoses are difficult and prone to
complications. Based on case descriptions a surgical technique is presented that
simplifies the aortovisceral artery bypass procedure. In all 6 patients the
bypasses were open after 27 months and the average increase in body weight was 13
kg within the first postoperative 6 months.
PMID- 29808330
TI - Polymorphisms in the interleukin-1beta (IL-1B) and interleukin-1alpha (IL-1A)
genes on risk of febrile seizures: a meta-analysis.
AB - The aim of the current study was to clarify the role of four common genetic
polymorphisms in the interleukin-1beta (IL-1B) and interleukin-1alpha (IL-1A)
genes on risk of febrile seizures (FS) by means of meta-analyses. We searched for
studies published until February 2018 using ISI Web of Science, Pubmed, Wanfang,
and Chinese National Knowledge Infrastructure databases. The pooled odds ratios
(ORs) and 95% confidence intervals (CIs) were calculated using MetaAnalyst
version Beta 3.13. Seventeen case-control studies were included for meta
analysis. For the IL-1B rs16944 polymorphism, the summary analysis of studies
conducted among Caucasian populations showed a significant association in the
CT+TT versus CC contrast (OR 1.434, 95% CI 1.153-1.785), while the pooled
analysis for Asian populations yielded a significant estimate in the TT versus
CC+CT comparison (OR 1.393, 95% CI 1.051-1.846). No association was observed
between the IL-1B rs1143627, IL-1B rs1143634, and IL-1A rs1800587 polymorphisms
and FS risk. Sensitivity analyses excluding studies showing deviation from Hardy
Weinberg equilibrium did not alter conclusions. The findings of our meta-analysis
suggest that the IL-1B rs16944 polymorphism may be an important genetic
determinant for FS in Caucasian and Asian populations.
PMID- 29808331
TI - Evaluation of the effect of topical chamomile (Matricaria chamomilla L.) oleogel
as pain relief in migraine without aura: a randomized, double-blind, placebo
controlled, crossover study.
AB - Phytotherapy is a source of finding new remedies for migraine. Traditional
chamomile oil (chamomile extraction in sesame oil) is a formulation in Persian
medicine (PM) for pain relief in migraine. An oleogel preparation of reformulated
traditional chamomile oil was prepared and then standardized based on chamazulene
(as a marker in essential oil) and apigenin via gas chromatography (GC) and high
performance liquid chromatography (HPLC) methods, respectively. A crossover
double-blind clinical trial was performed with 100 patients. Each patient took
two tubes of drug and two tubes of placebo during the study. Visual analog scale
(VAS) questionnaires were filled in by the patients and scores were given,
ranging from 0 to 10 (based on the severity of pain) during 24 h. Other
complications like nausea, vomiting, photophobia, and phonophobia were also
monitored. There was 4.48 +/- 0.01 MUl/ml of chamazulene and 0.233 mg/g of
apigenin in the preparation (by correcting the amount with extraction ratio).
Thirty-eight patients in the drug-placebo and 34 patients in the placebo-drug
groups (a total number of 72 patients as per protocol) completed the process in
the randomized controlled trial (RCT). Adapted results from the questionnaires
showed that pain, nausea, vomiting, photophobia, and phonophobia significantly (p
< 0.001) decreased by using chamomile oleogel on the patients after 30 min.
Results supported the efficacy of chamomile oleogel as a pain relief in migraine
without aura.
PMID- 29808332
TI - The 100 most-cited articles in Parkinson's disease.
AB - BACKGROUND: Parkinson's disease (PD), the second most common neurodegenerative
disease, has serious clinical effects. Research on PD is increasing, but the
quantity and quality of this research have not been reported. METHODS: To analyze
the most-cited articles on PD and provide information about developments in this
field, we searched for articles in the Web of Science for the keyword
"Parkinson*" in the title. We selected the 100 most-cited articles and evaluated
information including citation number, publication time, journal, impact factor,
authors, original country, institution of corresponding author, and study type.
RESULTS: Citation numbers for the 100 most-cited articles ranged from 669 to
6902, with a median of 944. The 100 articles were published from 1967 to 2009,
with most appearing between 1996 and 2000 (n = 24) and 2001 to 2005 (n = 27). The
publications appeared in a total of 31 journals, led by Science with 15 and the
New England Journal of Medicine (NEJM) with 13. The majority (84%) of the 100
most-cited articles had >= 3 authors. The articles originated from 14 countries,
led by the USA (n = 44) and England (n = 17). Among the 100 most-cited articles,
24 were clinical studies, 54 were laboratory studies, 20 were reviews, and 2 were
clinical guidelines. None of these articles originated from South America,
Oceania, or Africa. CONCLUSIONS: The present study provides historical
perspectives on the progress of PD research and highlights trends and academic
achievements in this field.
PMID- 29808334
TI - [Depletion of pro-inflammatory T helper type 1 cells by antagomir-mediated
inhibition of the microRNA-148a].
PMID- 29808335
TI - In Memoriam: Lawrence A. Frohman, M.D. January 26, 1935-March 4, 2018.
PMID- 29808333
TI - [Update: polyarteritis nodosa].
AB - Polyarteritis nodosa (PAN) is a necrotizing arteritis of medium-sized vessels,
which is often fatal if untreated. It frequently affects the skin (nodules and
ulcers), the peripheral nervous system (mononeuritis multiplex) and the visceral
vessels (stenoses and microaneurysms). The complex diagnostic work-up requires
discriminating PAN from infectious, malignant, drug-induced and other
inflammatory conditions. It can be subclassified into further variants
(idiopathic, associated with hepatitis B, associated with hereditary inflammatory
diseases or isolated cutaneous disease). While idiopathic and hereditary
inflammatory variants require immunosuppressive treatment, the hepatitis B
associated variant is treated with virustatic agents and plasmapheresis. The
isolated cutaneous variant has a good prognosis and rarely requires highly potent
immunosuppressives.
PMID- 29808336
TI - Cell Wall Biogenesis During Elongation and Division in the Plant Pathogen
Agrobacterium tumefaciens.
AB - A great diversity of bacterial cell shapes can be found in nature, suggesting
that cell wall biogenesis is regulated both spatially and temporally. Although
Agrobacterium tumefaciens has a rod-shaped morphology, the mechanisms underlying
cell growth are strikingly different than other well-studied rod-shaped bacteria
including Escherichia coli. Technological advances, such as the ability to
deplete essential genes and the development of fluorescent D-amino acids, have
enabled recent advances in our understanding of cell wall biogenesis during cell
elongation and division of A. tumefaciens. In this review, we address how the
field has evolved over the years by providing a historical overview of cell
elongation and division in rod-shaped bacteria. Next, we summarize the current
understanding of cell growth and cell division processes in A. tumefaciens.
Finally, we highlight the need for further research to answer key questions
related to the regulation of cell wall biogenesis in A. tumefaciens.
PMID- 29808337
TI - Human Organotypic Respiratory Models.
AB - Biomedical research aiming to understand the molecular basis of human lung tissue
development, homeostasis and disease, or to develop new therapies for human
respiratory diseases, requires models that faithfully recapitulate the human
condition. This has stimulated biologists and engineers to develop in vitro
organotypic models mimicking human respiratory tissues. In this chapter, we
provide examples of different types of model systems ranging from simple
unicellular cultures to more complex multicellular systems. The models contain,
in varying degree, cell types present in real tissue in combination with
different extracellular matrix components that can critically affect cell
phenotype and function. We also describe how organotypic respiratory models can
be combined with human innate immune cells, to better recapitulate tissue
inflammation, a key component in, for example, infectious diseases. These models
have the potential to provide new insights into lung physiology, tissue infection
and inflammation, disease mechanisms, as well as provide a platform for
identification of novel targets and screening of candidate drugs in human lung
disorders.
PMID- 29808338
TI - The Agrobacterium VirB/VirD4 T4SS: Mechanism and Architecture Defined Through In
Vivo Mutagenesis and Chimeric Systems.
AB - The Agrobacterium tumefaciens VirB/VirD4 translocation machine is a member of a
superfamily of translocators designated as type IV secretion systems (T4SSs) that
function in many species of gram-negative and gram-positive bacteria. T4SSs
evolved from ancestral conjugation systems for specialized purposes relating to
bacterial colonization or infection. A. tumefaciens employs the VirB/VirD4 T4SS
to deliver oncogenic DNA (T-DNA) and effector proteins to plant cells, causing
the tumorous disease called crown gall. This T4SS elaborates both a cell-envelope
spanning channel and an extracellular pilus for establishing target cell
contacts. Recent mechanistic and structural studies of the VirB/VirD4 T4SS and
related conjugation systems in Escherichia coli have defined T4SS architectures,
bases for substrate recruitment, the translocation route for DNA substrates, and
steps in the pilus biogenesis pathway. In this review, we provide a brief history
of A. tumefaciens VirB/VirD4 T4SS from its discovery in the 1980s to its current
status as a paradigm for the T4SS superfamily. We discuss key advancements in
defining VirB/VirD4 T4SS function and structure, and we highlight the power of in
vivo mutational analyses and chimeric systems for identifying mechanistic themes
and specialized adaptations of this fascinating nanomachine.
PMID- 29808339
TI - TERT promoter mutation is associated with worse prognosis in WHO grade II and III
meningiomas.
AB - INTRODUCTION: Transcriptional activating mutations in the promoter region of the
telomerase reverse transcriptase (TERT) gene occur at high frequency in various
types of solid tumors and have also been reported for meningiomas. Especially for
atypical and anaplastic meningiomas, the prognostic relevance of TERT promoter
mutation is yet unclear. The present study aimed to analyze the frequency of TERT
promoter mutation and define its long-term prognostic significance beyond
clinical and histological factors in a cohort of meningiomas WHO grade II and
III. METHODS: Patients undergoing surgical resection of aggressive meningiomas
were included. Analysis for C228T and C250T mutation in the TERT promoter region
was performed using PCR method. Patients were stratified into two groups (TERT
mutated vs. TERT wild type). Univariate analysis was conducted using molecular
and histological factors. RESULTS: 87 patients with atypical (N = 72) and
anaplastic meningiomas (N = 15) were included in the study. TERT promoter region
was found to be mutated in 4 WHO grade II and 2 WHO grade III meningiomas. TERT
promoter mutation was associated with shorter progression free survival than TERT
wild type meningiomas (median PFS 12.5 vs. 26 months, p = .004). In the
univariate analysis, TERT promoter mutation had a strong prognostic value on
overall survival (p = .009) and progression free survival. CONCLUSIONS: Presence
of TERT promoter mutation is associated with shorter progression free survival
and overall survival in meningiomas WHO grade II and III. In these tumors, TERT
promoter mutation should be considered as a clinically relevant prognostic factor
to identify high risk patients.
PMID- 29808341
TI - Conditional power as an aid in making interim decisions in observational studies.
AB - Conditional power combines the findings of a partially completed study with
assumptions about the future. The goal is to estimate the probability that the
eventual study result will be incompatible with a criterion value, such as
acceptable risk or the null hypothesis. Some history and motivation for
conditional power calculations are provided, with examples illustrating the
application to drug safety studies. This is an expository article suggesting that
conditional power, which is well-established in clinical trials research, also
has application to observational studies. The utility may be highest in
regulatory settings where resources are limited and interim decisions have to be
made accurately in the shortest possible time.
PMID- 29808340
TI - Outcomes of an electronic social network intervention with neuro-oncology patient
family caregivers.
AB - INTRODUCTION: Informal family caregivers (FCG) are an integral and crucial human
component in the cancer care continuum. However, research and interventions to
help alleviate documented anxiety and burden on this group is lacking. To address
the absence of effective interventions, we developed the electronic Support
Network Assessment Program (eSNAP) which aims to automate the capture and
visualization of social support, an important target for overall FCG support.
This study seeks to describe the preliminary efficacy and outcomes of the eSNAP
intervention. METHODS: Forty FCGs were enrolled into a longitudinal, two-group
randomized design to compare the eSNAP intervention in caregivers of patients
with primary brain tumors against controls who did not receive the intervention.
Participants were followed for six weeks with questionnaires to assess
demographics, caregiver burden, anxiety, depression, and social support.
Questionnaires given at baseline (T1) and then 3-weeks (T2), and 6-weeks (T3)
post baseline questionnaire. RESULTS: FCGs reported high caregiver burden and
distress at baseline, with burden remaining stable over the course of the study.
The intervention group was significantly less depressed, but anxiety remained
stable across groups. CONCLUSIONS: With the lessons learned and feedback obtained
from FCGs, this study is the first step to developing an effective social support
intervention to support FCGs and healthcare providers in improving cancer care.
PMID- 29808342
TI - Improving Operating Room Efficiency via Reduction and Standardization of Video
Assisted Thoracoscopic Surgery Instrumentation.
AB - Being the economic powerhouses of most large medical centers, operating rooms
(ORs) require the highest levels of teamwork, communication, and efficiency in
order to optimize patient safety and reduce hospital waste. A major component of
OR waste comes from unused surgical instrumentation; instruments that are
frequently prepared for procedures but are never touched by the surgical team
still require a full reprocessing cycle at the conclusion of the case. Based on
our own previous successes in the perioperative domain, in this work we detail an
initiative that reduces surgical instrumentation waste of video-assisted
thoracoscopic surgery (VATS) procedures by placing thoracotomy conversion
instrumentation in a standby location and designing a specific instrument kit to
be used solely for VATS cases. Our estimates suggest that this initiative will
reduce at least 91,800 pounds of unnecessary surgical instrumentation from
cycling through our ORs and reprocessing department annually, resulting in
increased OR team communication without sacrificing the highest standard of
patient safety.
PMID- 29808343
TI - Oral care with chlorhexidine: beware!
PMID- 29808344
TI - Pulsatile bleeding after sternal bone marrow puncture.
PMID- 29808346
TI - Synthesis and three-dimensional quantitative structure-activity relationship
study of quinazoline derivatives containing a 1,3,4-oxadiazole moiety as
efficient inhibitors against Xanthomonas axonopodis pv. citri.
AB - A series of quinazoline derivatives containing a 1,3,4-oxadiazole moiety were
synthesized and evaluated for their antibacterial activities against Xanthomonas
axonopodis pv. citri (Xac) and Ralstonia solanacearum (Rs). Antibacterial
bioassays indicated that most of target compounds exhibited significant
antibacterial activities against Xac and Rs in vitro. Strikingly, compounds 6d
6i, 6m-6r and 6u-6x showed antibacterial activity against Xac, with [Formula: see
text] values ranging from 14.42 to 38.91 [Formula: see text]g/mL, which are
better than that of bismerthiazol (39.86 [Formula: see text]g/mL). Based on the
antibacterial activity against Xac, comparative molecular filed analysis and
comparative molecular similarity index analysis models were generated to
investigate the structure-activity relationship of title compounds against Xac.
The analytical results indicated that the above models exhibited good predictive
accuracy and could be used as practical tools for guiding the design and
synthesis of more potent quinazoline derivatives containing a 1,3,4-oxadiazole
moiety.
PMID- 29808347
TI - Cerebral aneurysm rebleed with ventricular breakthrough captured by four
dimensional CT angiography.
PMID- 29808349
TI - Multiprofessional COPD care in Austria-challenges and approaches : Results of a
qualitative study.
AB - BACKGROUND: Chronic obstructive pulmonary disease (COPD) is a frequent disease of
the lungs. Its prevalence was estimated to be 26% in the Global Initiative for
Chronic Obstructive Lung Disease (GOLD) I and 11% for GOLD II-IV in Austria.
Globally, it ranks third in mortality rate. The particular challenge is that care
for these patients falls short due to the lack of structured integrated care. The
aim was to assess the current status of multiprofessional COPD care in Austria
and identify gaps and potentials. METHODS: We conducted guided focus group
interviews between March and July 2016 addressing current COPD care and treatment
gaps with the following professional and interest groups: general practitioners,
nurses, patients, pharmacists, physiotherapists and pulmonologists. We
interviewed 23 patients and 27 healthcare professionals. The interviews were
transcribed verbatim and coded into 12 relevant categories. RESULTS: There needs
to be a shift in thinking from treatment-based care to prevention. Patients, just
like healthcare professionals, need periodic updates and comprehensive
information on this disease. Creating internet platforms with useful information
for COPD patients and solving the data privacy issues of the Austrian electronic
medical record (ELGA) are also perceived as viable steps. There is a need and
request for healthcare professionals to work as a team with clear COPD management
guidelines in the outpatient sector, the establishment of outpatient
rehabilitation centers as well as creating a new professional profile, the COPD
nurse. CONCLUSION: Current COPD care needs to be reorganized, particularly in the
outpatient sector, to address the needs of patients and healthcare professionals.
PMID- 29808345
TI - Outcome in patients perceived as receiving excessive care across different
ethical climates: a prospective study in 68 intensive care units in Europe and
the USA.
AB - PURPOSE: Whether the quality of the ethical climate in the intensive care unit
(ICU) improves the identification of patients receiving excessive care and
affects patient outcomes is unknown. METHODS: In this prospective observational
study, perceptions of excessive care (PECs) by clinicians working in 68 ICUs in
Europe and the USA were collected daily during a 28-day period. The quality of
the ethical climate in the ICUs was assessed via a validated questionnaire. We
compared the combined endpoint (death, not at home or poor quality of life at 1
year) of patients with PECs and the time from PECs until written treatment
limitation decisions (TLDs) and death across the four climates defined via
cluster analysis. RESULTS: Of the 4747 eligible clinicians, 2992 (63%) evaluated
the ethical climate in their ICU. Of the 321 and 623 patients not admitted for
monitoring only in ICUs with a good (n = 12, 18%) and poor (n = 24, 35%) climate,
36 (11%) and 74 (12%), respectively were identified with PECs by at least two
clinicians. Of the 35 and 71 identified patients with an available combined
endpoint, 100% (95% CI 90.0-1.00) and 85.9% (75.4-92.0) (P = 0.02) attained that
endpoint. The risk of death (HR 1.88, 95% CI 1.20-2.92) or receiving a written
TLD (HR 2.32, CI 1.11-4.85) in patients with PECs by at least two clinicians was
higher in ICUs with a good climate than in those with a poor one. The differences
between ICUs with an average climate, with (n = 12, 18%) or without (n = 20, 29%)
nursing involvement at the end of life, and ICUs with a poor climate were less
obvious but still in favour of the former. CONCLUSION: Enhancing the quality of
the ethical climate in the ICU may improve both the identification of patients
receiving excessive care and the decision-making process at the end of life.
PMID- 29808351
TI - Impact of glycemic variability on myocardial infarct size in patients with ST
segment elevation myocardial infarction: quantitative assessment of left
ventricular wall motion severity.
AB - Glycemic variability (GV) is relevant to impaired myocardial salvage in acute ST
elevation myocardial infarction (STEMI). Severity of hypokinesis at the infarct
site as assessed from contrast left ventriculography can reportedly predict
infarct size in STEMI. We prospectively studied 58 consecutive patients (mean
age, 63 +/- 11 years) with anterior or inferior STEMI who underwent successful
reperfusion therapy. Mean amplitude of glucose excursion (MAGE) was obtained from
continuous glucose monitoring system. Patients were divided into the upper
tertile of MAGE as Group H, and the other two-thirds as Group L. Serial regional
wall motion severity at the infarct site was computed postprocedure and at follow
up using a quantitative left ventricular analysis system. Impaired myocardial
salvage was defined as severity recovery ratio < 20%. Significantly shorter onset
to-balloon time (196.9 vs. 279.0 min, p = 0.033) and relatively lower
postprocedural wall motion severity (2.4 vs. 2.9, p = 0.096) were observed in
Group H, but absolute severity recovery was significantly smaller in Group H (0.5
vs. 1.3, p = 0.017). Multivariate analysis showed higher MAGE as predictive of
impaired myocardial salvage (OR, 406.10; 95% CI, 4.41-37,366.60; p = 0.009).
Recovery of reginal wall motion severity at the infarct site was compromised in
STEMI patients with higher MAGE. Our results suggest that final infarct size is
potentially larger than expected in STEMI patients with higher GV.
PMID- 29808350
TI - Evaluation of the Accelerate PhenoTM system for rapid identification and
antimicrobial susceptibility testing of Gram-negative bacteria in bloodstream
infections.
AB - Identification and antimicrobial susceptibility testing (AST) are critical steps
in the management of bloodstream infections. Our objective was to evaluate the
performance of the Accelerate PhenoTM System, CE v1.2 software, for
identification and AST of Gram-negative pathogens from positive blood culture
bottles. A total of 104 bottles positive for Gram-negative bacteria collected
from inpatients throughout our institution were randomly selected after Gram
staining. The time-to-identification and AST results, and the raw AST results
obtained by the Accelerate PhenoTM system and routine techniques (MALDI-TOF MS
and VITEK(r)2, EUCAST guidelines) were compared. Any discrepant AST result was
tested by microdilution. The PhenoTM significantly improved turn-around times for
identification (5.3 versus 23.7 h; p < 0.0001) and AST (10.7 versus 35.1 h; p <
0.0001). Complete agreement between the Accelerate PhenoTM system and the MALDI
TOF MS for identification was observed for 96.2% of samples; it was 99% (98/99)
for monomicrobial samples versus 40% (3/5) for polymicrobial ones. The overall
categorical agreement for AST was 93.7%; it was notably decreased for beta
lactams (cefepime 84.4%, piperacillin-tazobactam 86.5%, ceftazidime 87.6%) or
Pseudomonas aeruginosa (71.9%; with cefepime 33.3%, piperacillin-tazobactam
77.8%, ceftazidime 0%). Analysis of discrepant results found impaired performance
of the Accelerate PhenoTM system for beta-lactams (except cefepime) in
Enterobacteriales (six very major errors) and poor performance in P. aeruginosa.
The Accelerate PhenoTM system significantly improved the turn-around times for
bloodstream infection diagnosis. Nonetheless, improvements in the analysis of
polymicrobial samples and in AST algorithms, notably beta-lactam testing in both
P. aeruginosa and Enterobacteriales, are required for implementation in routine
workflow.
PMID- 29808352
TI - Lymphangiogenesis, lymphatic systemomics, and cancer: context, advances and
unanswered questions.
AB - Ever since it was discovered that endothelial cells line lymphatic vessels,
investigators have been working on unraveling the mechanisms that control the
growth of this distinctive endothelium and its role in normal physiology and
human disease. Recent technological advances have ushered in a new era of "omics"
research on the lymphatic system. Research on the genome, transcriptome,
proteome, and metabolome of lymphatics has increased our understanding of the
biology of the lymphatic vasculature. Here, we introduce the context-lymphatic
"systemomics," then briefly review some of the latest advances in research on
tumor-associated lymphatic vessels highlighting several "omic" studies that have
shed light on mechanisms controlling the growth and function of tumor-associated
lymphatic vessels. We conclude by returning, with unanswered questions, to the
larger context of cancer and the lymphatic system as a vasculature, circulation,
route of entry and transport, and control center of the immune network.
PMID- 29808354
TI - The long and winding road for overcoming resistance to hormone therapy in breast
cancer.
PMID- 29808355
TI - Material heterogeneity, microstructure, and microcracks demonstrate differential
influence on crack initiation and propagation in cortical bone.
AB - The recent studies have shown that long-term bisphosphonate use may result in a
number of mechanical alterations in the bone tissue including a reduction in
compositional heterogeneity and an increase in microcrack density. There are
limited number of experimental and computational studies in the literature that
evaluated how these modifications affect crack initiation and propagation in
cortical bone. Therefore, in this study, the entire crack growth process
including initiation and propagation was simulated at the microscale by using the
cohesive extended finite element method. Models with homogeneous and
heterogeneous material properties (represented at the microscale capturing the
variability in material property values and their distribution) as well as
different microcrack density and microstructure were compared. The results showed
that initiation fracture resistance was higher in models with homogeneous
material properties compared to heterogeneous ones, whereas an opposite trend was
observed in propagation fracture resistance. The increase in material
heterogeneity level up to 10 different material property sets increased the
propagation fracture resistance beyond which a decrease was observed while still
remaining higher than the homogeneous material distribution. The simulation
results also showed that the total osteonal area influenced crack propagation and
the local osteonal area near the initial crack affected the crack initiation
behavior. In addition, the initiation fracture resistance was higher in models
representing bisphosphonate treated bone (low material heterogeneity, high
microcrack density) compared to untreated bone models (high material
heterogeneity, low microcrack density), whereas an opposite trend was observed at
later stages of crack growth. In summary, the results demonstrated that tissue
material heterogeneity, microstructure, and microcrack density influenced crack
initiation and propagation differently. The findings also elucidate how possible
modifications in material heterogeneity and microcrack density due to
bisphosphonate treatment may influence the initiation and propagation fracture
resistance of cortical bone.
PMID- 29808353
TI - Metabolic modulation of neuronal gamma-band oscillations.
AB - Gamma oscillations (30-100 Hz) represent a physiological fast brain rhythm that
occurs in many cortex areas in awake mammals, including humans. They associate
with sensory perception, voluntary movement, and memory formation and require
precise synaptic transmission between excitatory glutamatergic neurons and
inhibitory GABAergic interneurons such as parvalbumin-positive basket cells.
Notably, gamma oscillations are exquisitely sensitive to shortage in glucose and
oxygen supply (metabolic stress), with devastating consequences for higher
cognitive functions. Herein, we explored the robustness of gamma oscillations
against changes in the availability of alternative energy substrates and amino
acids, which is partially regulated by glial cells such as astrocytes. We used
organotypic slice cultures of the rat hippocampus expressing acetylcholine
induced persistent gamma oscillations under normoxic recording conditions (20%
oxygen fraction). Our main findings are (1) partial substitution of glucose with
pyruvate and the ketone body beta-hydroxybutyrate increases the frequency of
gamma oscillations, even at different stages of neuronal tissue development. (2)
Supplementation with the astrocytic neurotransmitter precursor glutamine has no
effect on the properties of gamma oscillations. (3) Supplementation with glycine
increases power, frequency, and inner coherence of gamma oscillations in a dose
dependent manner. (4) During these treatments switches to other frequency bands
or pathological network states such as neural burst firing or synchronized
epileptic activity are absent. Our study indicates that cholinergic gamma
oscillations show general robustness against these changes in nutrient and amino
acid composition of the cerebrospinal fluid; however, modulation of their
properties may impact on cortical information processing under physiological and
pathophysiological conditions.
PMID- 29808358
TI - Trade-offs between larval survival and adult ornament development depend on
predator regime in a territorial dragonfly.
AB - Trade-offs between juvenile survival and the development of sexually selected
traits can cause ontogenetic conflict between life stages that constrains
adaptive evolution. However, the potential for ecological interactions to alter
the presence or strength of these trade-offs remains largely unexplored.
Antagonistic selection over the accumulation and storage of resources could be
one common cause of environment-specific trade-offs between life stages: higher
condition may simultaneously enhance adult ornament development and increase
juvenile vulnerability to predators. We tested this hypothesis in an ornamented
dragonfly (Pachydiplax longipennis). Higher larval body condition indeed enhanced
the initial development of its intrasexually selected wing coloration, but was
opposed by viability selection in the presence of large aeshnid predators. In
contrast, viability selection did not oppose larval body condition in pools when
aeshnids were absent, and was not affected when we manipulated cannibalism risk.
Trade-offs between larval survival and ornament development, mediated through the
conflicting effects of body condition, therefore occurred only under high
predation risk. We additionally characterized how body condition influences
several traits associated with predator avoidance. Although body condition did
not affect burst distance, it did increase larval abdomen size, potentially
making larvae easier targets for aeshnid predators. As high body condition
similarly increases vulnerability to predators in many other animals, predator
mediated costs of juvenile resource accumulation could be a common, environment
specific limitation on the elaboration of sexually selected traits.
PMID- 29808356
TI - First report of Nitzschia navis-varingica in the Mediterranean Sea and growth
stimulatory effects of Nitzschia navis-varingica, Chrysochromulina alifera and
Heterocapsa pygmaea on different mammalian cell types.
AB - A benthic diatom, Nitzschia navis-varingica was found for the first time in the
Mediterranean Sea. Effects of this diatom species together with the haptophyte
Chrysochromulina alifera and the dinoflagellate Heterocapsa pygmaea isolated from
the northeastern Mediterranean Sea coast on prostate, breast cancer and
fibroblast cell lines were investigated. Algal extracts did not exert any toxic
effect on these cell lines and it had growth stimulatory impact on the cells
without discrimination of cell type. Our results suggest potential use of these
algal extracts in tissue repair and cell growth boosting additive in the diet of
humans as well as animals. Moreover, these algal extracts have potential to be
used as natural resource in the skin vitalizing creams of cosmetics industry and
as wound healing agents in the atopic drugs.
PMID- 29808357
TI - IL-17A suppresses and curcumin up-regulates Akt expression upon bleomycin
exposure.
AB - Pro-inflammatory cytokine IL-17A modulates the expression of Akt in bleomycin
(BLM) administered alveolar basal epithelial cells, the mechanism behind which
remains unclear. This investigation was carried out to assess IL-17A mediated
down-regulation of Akt expression and the pivotal role of curcumin as a
regulatory molecule. Alveolar basal epithelial cells were treated with BLM and IL
17A and curcumin was administered as an intervention to regulate the BLM-induced
oxidative damage. Cell proliferation was evaluated by clonogenic assay. Akt
phosphorylation and total Akt expressions were studied using western blot
analysis. Cell proliferation reduced upon treatment with BLM and this phenomenon
was reversed in cells upon administration with curcumin. Administrations of BLM
and IL-17A to the alveolar basal epithelial cells showed significant down
regulation of Akt expression which was reversed by treatment with curcumin. BLM
and IL-17A mediated inflammation was intervened effectively with curcumin.
Results of this study suggest the probable use of curcumin as an anti
inflammatory therapeutic for lung injury.
PMID- 29808359
TI - Handling Insulin-Related Emotions.
AB - This article discusses a simplified, yet comprehensive approach to handle complex
varieties of emotions related to insulin injections prescribed to patients with
diabetes. Diabetes management requires balancing of biomedical and psychosocial
complexities, so that varied emotions faced by individuals with diabetes which
lead to undesirable reactions/behavior are understood and managed. This
realization has inspired useful mnemonics such as OPEN OUT, SHAKTI, ASHA/HOPE,
SHANTI/SHALOM, and LISTEN. These, if used in diabetes care practice, will make
insulin acceptable and well tolerated.
PMID- 29808360
TI - Meta-Analysis of Sulfonylurea Therapy on Long-Term Risk of Mortality and
Cardiovascular Events Compared to Other Oral Glucose-Lowering Treatments.
AB - INTRODUCTION: Among the most pressing clinical decisions in type 2 diabetes
treatments are which drugs should be used after metformin is no longer
sufficient, and whether sulfonylureas (SUs) should remain as a suitable second
line treatment. In this article we summarize current evidence on the long-term
safety risks associated with SU therapy relative to other oral glucose-lowering
therapies. METHODS: The MEDLINE database and Clinicaltrials.gov were searched for
observational and experimental studies comparing the safety of SUs to that of
other diabetes medications in people with type 2 diabetes mellitus through
December 15, 2015. Studies with at least 1 year of follow-up, which explicitly
examined major cardiovascular events or death in patients who showed no evidence
of serious conditions at baseline, were selected for inclusion in meta-analyses.
RESULTS: SU treatment was associated with an elevated risk relative to treatment
with metformin (METF), thiazolidinedione (TZD), dipeptidyl peptidase-4 inhibitor
(DPP-4), and glucagon-like peptide-1 (GLP-1) agonist classes, either when
compared alone (as a monotherapy) or when used in combination with METF.
Significant findings were almost entirely derived from nontrial data and not
confirmed by smaller, efficacy designed randomized controlled trials whose
effects were in the same direction but much more imprecise. CONCLUSION: Although
much of the evidence is derived and will continue to come from observational
studies, the methodological rigor of such studies is questionable. A key
challenge for evaluators is the extent to which they should incorporate evidence
from study designs that are quasi-experimental.
PMID- 29808361
TI - Successful Management of Poorly Controlled Type 2 Diabetes with Multidisciplinary
Neurobehavioral Rehabilitation: A Case Report and Review.
AB - Lifestyle modification with healthy diet and physical exercise is considered the
basic strategy of prevention and treatment of type 2 diabetes, a commonly seen
comorbidity in patients with acquired brain injury. Additionally, emotional
stress with anxiety and depression is suggested to play a role in type 2
diabetes. Research studies have demonstrated the efficacy of multidisciplinary
lifestyle intervention in patients with inadequate glycemic control. However,
whether lifestyle approaches alone may be adequate for the management of poorly
controlled type 2 diabetes is unknown. We report a 30-year-old male patient whose
type 2 diabetes was inadequately controlled by 50 units of insulin glargine, 15
units of insulin aspart supplement with meals plus a correctional scale as well
as multiple oral hypoglycemic drugs when admitted to a neurobehavioral
rehabilitation unit subsequent to his brain injury. Following 3 months of
multidisciplinary rehabilitation for his functional neurological symptom
disorder, all his pharmacological agents were gradually discontinued and his
diabetes was successfully managed solely by lifestyle approaches.
PMID- 29808362
TI - Agreement Between the JCDCG, Revised NCEP-ATPIII, and IDF Definitions of
Metabolic Syndrome in a Northwestern Chinese Population.
AB - INTRODUCTION: The Joint Committee for Developing Chinese Guidelines (JCDCG)
introduced the Chinese definition for metabolic syndrome (MS), which has been
verified in southern Chinese people but not in northwestern Chinese people. We
evaluated the MS definition proposed by the JCDCG in a northwestern Chinese
population, in comparison with those of the revised National Cholesterol
Education Program Adult Treatment Panel III (NCEP-ATPIII) and the International
Diabetes Federation (IDF). METHODS: This population-based cross-sectional study
was a part of the China National Diabetes and Metabolic Disorders Study conducted
in Shaanxi province. We included 3243 participants aged >= 20 years. The age
adjusted MS prevalence was assessed per the 2007 Chinese population structure.
The agreement between different definitions was assessed by the kappa statistic.
RESULTS: The standardized prevalence of JCDCG-MS, revised ATPIII-MS, and IDF-MS
was 22.4%, 29.4%, and 24.9%, respectively. Among women, the agreement of the
JCDCG definition with the revised ATPIII and the IDF definition was not good
(kappa = 0.599 and 0.601, respectively); 54.6% of the revised ATPIII-MS and 56%
of the IDF-MS were defined as MS according to the JCDCG definition. Among men,
the agreement of JCDCG definition with the revised ATPIII and IDF definitions was
very good (kappa = 0.863) and substantial (kappa = 0.741), respectively.
CONCLUSION: The agreement of the JCDCG definition with the revised ATPIII and IDF
definitions was insufficient in women. Compared with the other two definitions,
the JCDCG definition underestimates MS prevalence in northwestern women.
PMID- 29808364
TI - Adaptation of light-harvesting functions of unicellular green algae to different
light qualities.
AB - Oxygenic photosynthetic organisms perform photosynthesis efficiently by
distributing captured light energy to photosystems (PSs) at an appropriate
balance. Maintaining photosynthetic efficiency under changing light conditions
requires modification of light-harvesting and energy-transfer processes. In the
current study, we examined how green algae regulate their light-harvesting
functions in response to different light qualities. We measured low-temperature
time-resolved fluorescence spectra of unicellular green algae Chlamydomonas
reinhardtii and Chlorella variabilis cells grown under different light qualities.
By observing the delayed fluorescence spectra, we demonstrated that both types of
green algae primarily modified the associations between light-harvesting
chlorophyll protein complexes (LHCs) and PSs (PSII and PSI). Under blue light,
Chlamydomonas transferred more energy from LHC to chlorophyll (Chl) located far
from the PSII reaction center, while energy was transferred from LHC to PSI via
different energy-transfer pathways in Chlorella. Under green light, both green
algae exhibited enhanced energy transfer from LHCs to both PSs. Red light induced
fluorescence quenching within PSs in Chlamydomonas and LHCs in Chlorella. In
Chlorella, energy transfer from PSII to PSI appears to play an important role in
balancing excitation between PSII and PSI.
PMID- 29808363
TI - The feasibility of high-pitch acquisition protocol for imaging of the pediatric
abdomen by dual-source CT.
AB - PURPOSE: To investigate feasibility of high-pitch acquisition protocol for
imaging of pediatric abdomen. MATERIALS AND METHODS: The study group consisted of
90 patients scanned with high-pitch acquisition protocol (pitch = 3) by 64-slice
dual-source CT (DSCT) scanner. Fifty-four patients scanned with standard protocol
(pitch = 1.5) by 16-slice single-source CT scanner comprised the control group.
Anteroposterior and lateral diameters of abdomen, effective diameter, scan time
and length, qualitative and quantitative noise levels, volumetric CT dose index
(CTDIvol), dose length product (DLP), and size-specific dose estimations were
compared between groups. RESULTS: The mean scan time of high-pitch CT protocol
was shorter than control protocol (1.66 +/- 0.31 vs. 4.1 +/- 0.75 s; p < 0.001).
The high-pitch protocol reduced the radiation dose by 48% (CTDIvol and DLP values
0.94 mGy and 32.2 mGy-cm vs. 1.59 mGy and 61.5 mGy-cm; p < 0.001, respectively).
Although image noise was higher with high-pitch acquisition, there was no
significant effect on diagnostic confidence. Voluntary and involuntary artifacts
were less frequent in high-pitch protocol (p < 0.001). Interobserver agreement
was moderate in terms of artifact and very good in terms of diagnostic confidence
assessment. CONCLUSION: High-pitch acquisition protocol by DSCT yields
significant radiation dose reduction without compromising image quality and
diagnostic confidence for pediatric abdomen imaging.
PMID- 29808365
TI - Occurrence of type 1 and type 2 diabetes in patients treated with immunotherapy
(anti-PD-1 and/or anti-CTLA-4) for metastatic melanoma: a retrospective study.
AB - Anti-PD-1 and anti-CTLA-4 antibodies cause immune-related side effects such as
autoimmune type 1 diabetes (T1D). It has also been suggested that by increasing
TNF-alpha, IL-2 and IFN-gamma production, anti-PD-1 and/or anti-CTLA-4 treatment
could affect pancreatic beta cell function and insulin sensitivity. This study
was based on a retrospective observational analysis from 2 July 2014 to 27 June
2016, which evaluated the occurrence of T1D and changes in glycemia and C
reactive protein (CRP) plasma concentrations in patients undergoing anti-PD-1
and/or anti-CTLA-4 treatment for melanoma at the Saint Louis Hospital. All cases
of T1D that developed during immunotherapy registered in the French
Pharmacovigilance Database (FPVD) were also considered. Among the 132 patients
included, 3 cases of T1D occurred. For the remaining subjects, blood glucose was
not significantly affected by anti-PD-1 treatment, but CRP levels (mg/l)
significantly increased during anti-PD-1 treatment (p = 0.017). However, 1 case
of type 2 diabetes (T2D) occurred (associated with a longer therapy duration).
Moreover, glycemia of patients pretreated (n = 44) or concomitantly treated (n =
8) with anti-CTLA-4 tended to increase during anti-PD-1 therapy (p = 0.068). From
the FPVD, we obtained 14 cases of T1D that occurred during immunotherapy and were
primarily characterized by the rapidity and severity of onset. In conclusion, in
addition to inducing this rare immune-related diabetes condition, anti-PD-1
treatment appears to increase CRP levels, a potential inflammatory trigger of
insulin resistance, but without any short-term impact on blood glucose level.
PMID- 29808367
TI - [How do residents in urology evaluate their daily routine at work-a survey
analysis].
AB - BACKGROUND: The changing conditions in German hospitals is causing a shortage of
young people. In order to identify starting point for improvements, the Bavarian
association of urologists in collaboration with the German Society of Residents
in Urology (GeSRU) conducted an online survey among residents in urology in
summer of 2017. MATERIALS AND METHODS: A standardised survey composed of 38
questions was distributed to participants through a mailing list of the GeSRU.
Most questions were closed-ended; however, some did allow participants to respond
by means of an open-ended answer. RESULTS: A total of 218 participants provided a
total of 11,764 responses: 58% were female and 42% were male. Over 70% were aged
between 31 and 35 years. In all, 29% of participants responded negatively to the
question asking whether they feel like their medical studies at university
prepared them well for the daily routine in their workplace. Participants
particularly demanded a higher degree of practical experience during their
studies, as well as more teaching of soft skills. In relation to choosing their
specialisation, participants considered the intern year and their clinical
traineeships as crucial factors. Participants did express appreciation of their
field of specialization in relation to the broad range of available treatments,
the opportunity of further specialising, the clientele of patients, the
opportunity of working in a small team, innovations, and the high possibilities
of opening their own medical practice. On a personal level, participants
specifically wished for a more structured plan relating to their further
internship, involving regular meetings. They also expressed the wish for more
personalised career plans, more flexible work hours, and improved advanced
training, both internally and externally. CONCLUSIONS: With the aim of making
urology even more attractive, participants' wishes and suggestions should be
taken into consideration. These, in general, involve a more structured training
plan, better working conditions with part-time programmes, and improved
surrounding conditions at the workplace, in particular for families.
PMID- 29808366
TI - Merkel cell carcinoma and cellular cytotoxicity: sensitivity to cellular lysis
and screening for potential target antigens suitable for antibody-dependent
cellular cytotoxicity.
AB - The recent success of checkpoint inhibitors in the treatment of Merkel cell
carcinoma (MCC) confirms that MCC tumors can be immunogenic. However, no
treatment directly targeting the tumor is available for use in combination with
these checkpoint inhibitors to enhance their efficacity. This study was carried
out to characterize MCC line sensitivity to cellular lysis and to identify cell
surface antigens that could be used for direct targeting of this tumor. For five
representative MCC lines, the absence or low expression of MICA, MICB, HLA-I, and
ICAM-1 was associated with low level of recognition by NK cells and T
lymphocytes. However, expression of HLA-I and ICAM-1 and sensitivity to cellular
lysis could be restored or increased after exposure to INFgamma. We tested 41
antibodies specific for 41 different antigens using a novel antibody-dependent
cellular cytotoxicity (ADCC) screening system for target antigens. Anti-CD326
(EpCAM) was the only antibody capable of inducing ADCC on the five MCC lines
tested. Because MCC tumors are often directly accessible, local pharmacologic
manipulation to restore HLA class-I and ICAM-1 cell surface expression (and thus
sensitivity to cell lysis) can potentially benefit immune therapeutic
intervention. In line with this, our observation that ADCC against EpCAM can
induce lysis of MCC lines and suggests that therapeutic targeting of this antigen
deserves to be explored further.
PMID- 29808368
TI - [Advanced Prostate Cancer Consensus Conference 2017 : Discussion of the
recommendations for diagnosis and treatment of metastatic prostate cancer by a
German panel of experts].
AB - In March 2017 the 'Advanced Prostate Cancer Consensus Conference' (APCCC) took
place in St. Gallen (Switzerland). The APCCC-panelists are internationally well
known experts. With the actual data in mind they discussed treatment options for
patients with advanced prostate cancer in order to update the international APCCC
recommendations from the previous meeting in 2015. Recently these consensus
recommendations have been published in "European Urology".A group of German
experts discussed this year APCCC-votes during the meeting and the
recommendations that were concluded from the votes from the German perspective.
Reasons for an additional German discussion are country-specific variations that
may have influenced the APCCC-votes und recommendations. Due to the concept of
the APCCC-meeting the wording of the questions could not always be as
necessary.One focus of this year consensus discussion was the treatment of
metastatic castration-naive prostate cancer (mCNPC). There are new data which may
also influence the therapeutic situation of patients with metastatic castration
resistant prostate cancer (mCRPC). Further points of discussion were the impact
of new imaging procedures in the clinical setting as well as the treatment of
oligometastatic prostate cancer.
PMID- 29808369
TI - [Metronomic chemotherapy with oral cyclophosphamide : An individual option for
the metastatic castration-resistant prostate cancer patient?]
AB - BACKGROUND: Multiple experimental approaches are meanwhile available for
progressive metastatic castration resistant prostate cancer (mCRPC) patients
after failure of guideline recommended therapy (i. e., chemotherapy and/or
hormonal treatment). We evaluated the outcome of metronomic chemotherapy with
cyclophosphamide (CY) in combination with low-dose prednisolone. MATERIALS AND
METHODS: A total of 14 mCRPC-patients were treated with CY 50 mg/day (plus
prednisolone 10 mg/day) between November 2012 and September 2017 after being
resistant or unfit for chemotherapy and/or further hormonal treatment. Time to
progression and overall survival (OS) were retrospectively determined by using
Kaplan-Meier curves. RESULTS: Eight of 14 (57.1%) patients had undergone radical
prostatectomy and 2 (14.3%) external beam radiation. All patients had at least
three therapy lines and 50% had >=5 mCRPC therapies. The median time from first
diagnosis to mCRPC was 88 months; the median age was 78 years with a median
baseline serum prostate-specific antigen (PSA) level of 341 ng/ml. With a median
follow-up of 16.4 months, progression-free survival (PFS) was 71, 64, and 43%
after 2, 4, and 6 months, respectively. Median OS was 8.1 months. No relevant
adverse events occurred. CONCLUSION: Since CY is a well-tolerated medication with
partially good clinical tumor control, it seems to be a convenient, individual
treatment option in progressive mCRPC patients after failure of guideline
recommended therapy.
PMID- 29808371
TI - In vivo mimicking model for solid tumor towards hydromechanics of tissue
deformation and creation of necrosis.
AB - The present work addresses transvascular and interstitial fluid transport inside
a solid tumor surrounded by normal tissue (close to an in vivo mimicking setup).
In general, biological tissues behave like a soft porous material and show
mechanical behavior towards the fluid motion through the interstitial space. In
general, forces like viscous drag that are associated with the fluid flow may
compress the tissue material. On the macroscopic level, we try to model the
motion of fluids and macromolecules through the interstitial space of solid tumor
and the normal tissue layer. The transvascular fluid transport is assumed to be
governed by modified Starling's law. The poroelastohydrodynamics (interstitial
hydrodynamics and the deformation of tissue material) inside the tumor and normal
tissue regions is modeled using linearized biphasic mixture theory.
Correspondingly, the velocity distribution of fluid is coupled to the
displacement field of the solid phase (mainly cellular phase and extracellular
matrix) in both the normal and tumor tissue regions. The corresponding velocity
field is used within the transport reaction equation for fluids and
macromolecules through interstitial space to get the overall solute (e.g.,
nutrients, drug, and other macromolecules) distribution. This study justifies
that the presence of the normal tissue layer plays a significant role in
delaying/assisting necrosis inside the tumor tissue. It is observed that the
exchange process of fluids and macromolecules across the interface of the tumor
and normal tissue affects the effectiveness factor corresponding to the tumor
tissue.
PMID- 29808370
TI - The Gender-Biased Effects of Intranasal MPTP Administration on Anhedonic- and
Depressive-Like Behaviors in C57BL/6 Mice: the Role of Neurotrophic Factors.
AB - Depression is a highly prevalent and debilitating non-motor symptom observed
during the early stages of Parkinson's disease (PD). Although PD prevalence is
higher in men, the depressive symptoms in PD are more common in women. Therefore,
the aim of this study was to investigate the development of anhedonic- and
depressive-like behaviors in male and female mice and the potential mechanisms
related to depressive symptoms in an experimental model of PD. Young adult male
and female C57BL/6 mice (3 months old) received a single intranasal (i.n.)
administration of 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine (MPTP) and were
submitted to a battery of behavioral tasks (sucrose consumption, splash test,
tail suspension, forced swimming and open field tests) to assess their emotional
and motor profiles. Considering the role of sexual hormones in emotional
behaviors, the same protocol of i.n. MPTP administration and the splash, tail
suspension, and open field tests were conducted in ovariectomized (OVX) and aged
C57BL/6 female (20 months old) mice. We also investigated the immunocontent of
neurotrophins (BDNF, GDNF, and VEGF) in the hippocampus and prefrontal cortex by
western blot. I.n. MPTP administration induced more pronounced anhedonic- and
selective depressive-like behaviors in female adult mice, also observed in OVX
and aged female mice, with the absence of motor impairments. Furthermore, MPTP
induced a more pronounced depletion of neurotrophins in the prefrontal cortex and
hippocampus in female than male mice. This study provides new evidence of
increased susceptibility of female mice to anhedonic- and depressive-like
behaviors following i.n. MPTP administration. The observed gender-related effects
of MPTP on emotional parameters seem to be linked to increased depletion of
neurotrophins (particularly BDNF and GDNF) in the hippocampus and prefrontal
cortex of female mice.
PMID- 29808374
TI - Ficus deltoidea Prevented Bone Loss in Preclinical Osteoporosis/Osteoarthritis
Model by Suppressing Inflammation.
AB - Osteoporosis (OP) and osteoarthritis (OA) are debilitating musculoskeletal
diseases of the elderly. Ficus deltoidea (FD) or mistletoe fig, a medicinal
plant, was pre-clinically evaluated against OP- and OA-related bone alterations,
in postmenopausal OA rat model. Thirty twelfth-week-old female rats were divided
into groups (n = 6). Four groups were bilateral ovariectomized (OVX) and OA
induced by intra-articular monosodium iodoacetate (MIA) injection into the right
knee joints. The Sham control and OVX-OA non-treated groups were given deionized
water. The three other OVX-OA groups were orally administered daily with FD
extract (200, 400 mg/kg) or diclofenac (5 mg/kg) for 4 weeks. The rats' bones and
blood were evaluated for protein and mRNA expressions of osteoporosis and
inflammatory indicators, and micro-CT computed tomography for bone
microstructure. The non-treated OVX-OA rats developed severe OP bone loss and
bone microstructural damage in the subchondral and metaphyseal regions, supported
by reduced serum bone formation markers (osteocalcin, osteoprotegerin) and
increased bone resorption markers (RANKL and CTX-I). The FD extract significantly
(p < 0.05) mitigated these bone microstructural and biomarker changes by dose
dependently down-regulating pro-inflammatory NF-kappabeta, TNF-alpha, and IL-6
mRNA expressions. The FD extract demonstrated good anti-osteoporotic properties
in this OP/OA preclinical model by stimulating bone formation and suppressing
bone resorption via anti-inflammatory pathways. This is among the few reports
relating the subchondral bone plate and trabecular thickening with the
metaphyseal trabecular osteopenic bone loss under osteoporotic-osteoarthritis
conditions, providing some insights on the debated inverse relationship between
osteoporosis and osteoarthritis.
PMID- 29808373
TI - Cytotoxic, tubulin-interfering and proapoptotic activities of 4'-methylthio-trans
stilbene derivatives, analogues of trans-resveratrol.
AB - The aim of this study was to evaluate the cytotoxicity of a series of seven 4'
methylthio-trans-stilbene derivatives against cancer cells: MCF7 and A431 in
comparison with non-tumorigenic MCF12A and HaCaT cells. The mechanism of anti
proliferative activity of the most cytotoxic trans-resveratrol analogs: 3,4,5
trimethoxy-4'-methylthio-trans-stilbene (3,4,5-MTS) and 2,4,5-trimethoxy-4'
methylthio-trans-stilbene (2,4,5-MTS) was analyzed and compared with the effect
of trans-resveratrol. All the compounds that were studied exerted a stronger
cytotoxic effect than trans-resveratrol did. MCF7 cells were the most sensitive
to the cytotoxic effect of trans-resveratrol analogs with IC50 in the range of
2.1-6.0 uM. Comparing the cytotoxicity of 3,4,5-MTS and 2,4,5-MTS, a
significantly higher cytotoxic activity of these compounds against MCF7 versus
MCF12A was observed, whereas no significant difference was observed in
cytotoxicity against A431 and HaCaT. In the series of 4'-methylthio-trans
stilbenes, 3,4,5-MTS and 2,4,5-MTS were the most promising compounds for further
mechanistic studies. The proapoptotic activity of 3,4,5-MTS and 2,4,5-MTS,
estimated with the use of annexin-V/propidium iodide assay, was comparable to
that of trans-resveratrol. An analysis of cell cycle distribution showed a
significant increase in the percentage of apoptotic cells and G2/M phase arrest
in MCF7 and A431 as a result of treatment with 3,4,5-MTS, whereas trans
resveratrol tended to increase the percentage of cells in S phase, particularly
in epithelial breast cells MCF12A and MCF7. Both trans-stilbene derivatives
enhanced potently tubulin polymerization in a dose-dependent manner with sulfur
atom participating in the interactions with critical residues of the paclitaxel
binding site of beta-tubulin.
PMID- 29808372
TI - Surgical Treatment of Adult Moyamoya Disease.
AB - PURPOSE OF REVIEW: Moyamoya disease (MMD) is being increasingly diagnosed with
the development of radiological surveillance technology and increased
accessibility to medical care. Accordingly, there have been several recent
reports on treatment outcomes in MMD. In this review, we summarize recent
advances in surgical treatment and outcomes of adult MMD, while addressing
related controversies. RECENT FINDINGS: Recent studies suggest that
revascularization surgery leads to significantly more favorable outcomes for
stroke prevention, angiographic and hemodynamic changes, and clinical outcomes
than does conservative treatment for adult patients with ischemic MMD. Moreover,
direct revascularization methods should be considered as the first-line treatment
over indirect methods, although the latter may be considered if a direct method
is not possible. In cases of hemorrhagic MMD, several studies have demonstrated
that surgical treatment is more effective than conservative treatment in
preventing further hemorrhage. In addition to revascularization surgery,
endovascular treatment is emerging as a breakthrough therapy for hemorrhagic MMD.
Accumulating evidence regarding the surgical treatment of adult MMD suggests the
benefit of revascularization over conservative management for both ischemic and
hemorrhagic patients. However, the benefit of revascularization in asymptomatic
adult MMD remains unclear.
PMID- 29808375
TI - Siewert III esophagogastric junction adenocarcinoma: does TNM 8th save us?
AB - Siewert III cancers were classified as esophageal cancers by the TNM 7th edition
(TNM7), while being defined as gastric cancers by the new TNM 8th edition (TNM8).
Aim of this study was to compare previous and present TNM classifications of
Siewert III. From 2000 to 2015, 309 patients with Siewert III adenocarcinoma were
treated at ten high-volume centers, belonging to the GIRCG (Italian Research
Group for Gastric Cancer). We retrospectively analyzed overall survival according
to TNM classifications: gastric TNM8 was compared with either gastric TNM7 or
esophageal TNM7. Median number of lymph nodes harvested was 31 (interquartile
range 22-44). Agreement between gastric TNM7 and TNM8 was very good (weighted
kappa 92.3%, IC 95% 90.3-94.1%). Accordingly, stage migration was observed in 54
of 309 patients (17.5%), with 12 patients upstaged (3.9%) and 42 downstaged
(13.6%). Cox models including either gastric TNM7 or TNM8 achieved similar
goodness-of-fit and c-index. Differences were much larger, when shifting from
esophageal TNM7 to gastric TNM8: the agreement was much lower (weighted kappa
69.1%, 65.2-73.2%), with 196 of 309 patients (63.4%) downstaging. The
corresponding Cox model presented the lowest goodness-of-fit and discrimination
ability. Gastric TNM7 and TNM8 were largely superimposable, so that stage
migration was minor and prognostic significance was similar. At variance, stage
migration was substantial when shifting from esophageal TNM7 to TNM8. Moreover,
survival models with esophageal TNM7 presented the worst goodness-of-fit and the
lowest discrimination ability. This further supports placing Siewert III among
gastric cancers, as done in TNM8.
PMID- 29808376
TI - Mesopic visual acuity is less crowded.
AB - PURPOSE: The decrease in visual acuity under low luminance conditions is well
known. Recent laboratory evidence showed that crowding under low luminance
(mesopic) light levels is less robust than under photopic conditions. The present
study examines whether such differences in crowding influence clinical
measurements of mesopic visual acuity, including test-retest repeatability.
METHODS: Twenty adult subjects with normal or corrected to normal visual acuity
were recruited for the study. Monocular visual acuity was measured under photopic
(228 cd/m2) and mesopic (0.164 cd/m2) luminance conditions using a letter chart,
similar in principle to the ETDRS logMAR chart, presented on a computer monitor.
Three rows of five letters, each row differing in size by 0.05 logMAR from
largest to smallest were displayed at the center of the monitor. The level of
crowding was varied by varying the separation between horizontally adjacent
letters from 100% optotype size to 50, 20, and 10% optotype size. Inter-row
spacing was proportional to optotype size. Observers read the letters on the
middle row only. Measurements continued by reducing the size of the letters,
until three or more errors on the middle row were made. Each correctly identified
letter contributed 0.01 to the recorded logMAR score. All measurements were
repeated for each subject on two separate days. RESULTS: Visual acuity (logMAR)
was significantly better under photopic than mesopic luminance conditions with a
mean difference of 0.48 logMAR. Visual acuity also decreased with decreasing
letter separation (i.e. increase in crowding). However, the decrease in visual
acuity for the smallest letter separation was less under the mesopic luminance
condition, even after accounting for the increased size of threshold acuity
letters. Test-retest repeatability for mesopic and photopic conditions was not
significantly different. CONCLUSIONS: Crowding under mesopic luminance conditions
has less impact on visual acuity than under photopic luminance.
PMID- 29808378
TI - Impact of radiation-induced nausea and vomiting on quality of life.
AB - PURPOSE: Radiotherapy-induced nausea and vomiting is a common side effect of
radiotherapy. It is well-established that nausea and vomiting have a negative
impact on quality of life, but the relative influence of each of symptom is
infrequently reported. This study aimed to compare the effects of nausea and
vomiting on quality of life in cancer patients receiving palliative radiotherapy.
METHODS: The Functional Living Index-Emesis (FLIE) is a quality of life
questionnaire developed in the chemotherapy-induced nausea and vomiting setting.
The FLIE consists of 18 questions, half of which address nausea and half of which
address vomiting. Three prospective studies on the efficacy of various anti
emetic medications conducted at our center used the FLIE to assess radiotherapy
induced nausea and vomiting at various time points during and after palliative
radiotherapy. FLIE data from these three studies were combined for the present
analysis. Univariate and multivariate analyses were conducted to assess the
relationships between nausea and vomiting, time of FLIE completion, and patient
reported quality of life. RESULTS: Nausea and vomiting scores both decreased
patients' quality of life. Multivariate modeling showed that both symptoms
significantly influenced patients' ability to enjoy meals. Nausea was also
associated with increased hardship for the patient, while vomiting imposed more
difficulty on the patients' loved ones. CONCLUSIONS: Nausea and vomiting both
significantly influence quality of life. Nausea seems to impact the patient more
directly, whereas vomiting affects those closest to the patient.
PMID- 29808377
TI - Evaluation of factors contributing to the response to fosaprepitant in a
heterogeneous, moderately emetogenic chemotherapy population: an exploratory
analysis of a randomized phase III trial.
AB - PURPOSE: Fosaprepitant improved prevention of chemotherapy-induced nausea and
vomiting (CINV) in a randomized, double-blind phase III trial (PN031). This post
hoc analysis explored factors that may have influenced response. METHODS: Adult
subjects (N = 1000) scheduled to receive non-anthracycline and cyclophosphamide
(AC) moderately emetogenic chemotherapy (MEC) on day 1 were randomly assigned 1:1
to a single-dose, 150-mg intravenous fosaprepitant regimen or a control regimen.
Both regimens included dexamethasone and ondansetron on day 1, with ondansetron
continuing through day 3 in the control arm only. Complete response (CR; no
vomiting and no rescue medication) rates in the acute, delayed, and overall
phases (0-25, 25-120, and 0-120 h, respectively) were analyzed by chemotherapy
type (carboplatin-based vs non-carboplatin-based), chemotherapy duration (single
day vs multiple-day), and baseline characteristics. RESULTS: Most subjects
received single-day chemotherapeutic regimens (70.6%), which were mainly
carboplatin-based (67.6%). CR with fosaprepitant was consistent (76-80%) during
the delayed and overall phases in carboplatin-based and non-carboplatin-based
subgroups and in subgroups receiving single-day or multiple-day MEC regimens.
Treatment effects favored fosaprepitant for the carboplatin-based versus the non
carboplatin-based group during the delayed phase (14.1 vs 6.5%; p = 0.06), and
for the single-day versus the multiple-day subgroup during the delayed (13.2 vs
3.2%; p = 0.02) and overall phases (12.8 vs 4.0%; p = 0.06). CONCLUSIONS: This
exploratory analysis confirms that single-dose fosaprepitant is effective for the
prevention of CINV in subjects receiving carboplatin or non-carboplatin in both
single- and multiple-day non-AC MEC chemotherapy regimens. This trial is
registered at ClinicalTrials.gov , number NCT01594749.
PMID- 29808380
TI - Multimodal Molecular Imaging Demonstrates Myeloperoxidase Regulation of Matrix
Metalloproteinase Activity in Neuroinflammation.
AB - Myeloperoxidase (MPO) has paradoxically been found to be able to both activate
matrix metalloproteinases (MMPs) as well as inhibit MMPs. However, these
regulatory effects have not yet been observed in vivo, and it is unclear which
pathway is relevant in vivo. We aim to track MPO regulation of MMP activity in
living animals in neuroinflammation. Mice induced with experimental autoimmune
encephalomyelitis (EAE), a mouse model of neuroinflammation and multiple
sclerosis, were treated with either the MPO-specific inhibitor 4-aminobenzoic
acid hydrazide or saline as control. Mice underwent concurrent magnetic resonance
imaging (MRI) with the MPO-specific molecular imaging agent MPO-Gd and
fluorescence molecular tomography (FMT) with the MMP-targeting agent MMPsense on
day 12 after induction. Biochemical and histopathological correlations were
performed. Utilizing concurrent MRI and FMT imaging, we found reduced MMP
activity in the brain with MPO inhibition, demonstrating MPO activity positively
regulates MMP activity in vivo. In vivo MMPSense activation and MMP-9 activity
correlated with MPO-Gd+ lesion volume and disease severity. This was corroborated
by in vitro assays and histopathological analyses that showed MMP activity and
MMP-9+ cells correlated with MPO activity and MPO+ cells. In conclusion,
multimodal molecular imaging demonstrates for the first time MPO regulation of
MMP activity in living animals. This approach could serve as a model to study the
interactions of other biologically interesting molecules in living organisms.
PMID- 29808379
TI - Patterns, perceptions, and perceived barriers to physical activity in adult
cancer survivors.
AB - PURPOSE: Physical activity (PA) during and after cancer treatment is associated
with improved cancer- and non-cancer-related outcomes. We assessed for predictors
of change in PA levels among cancer survivors. METHODS: Adult cancer survivors
from a comprehensive cancer center completed a one-time questionnaire
retrospectively assessing PA levels before, during, and after cancer treatment
along with their perceptions of PA. Multivariable logistic regression models
evaluated the association of clinico-demographics variables and perceptions of PA
with changes in whether patients were meeting PA guidelines after cancer
diagnosis. RESULTS: Among the 1003 patients, 319 (32%) met moderate to vigorous
PA (MVPA) guidelines before diagnosis. Among those meeting guidelines before
diagnosis, 50% still met guidelines after treatment; 12% not meeting MVPA
guidelines initially met them after treatment/at follow-up. Among patients
meeting guidelines before diagnosis, better ECOG performance status at follow-up,
receiving curative therapy, and spending a longer time on PA initially were each
associated with meeting guidelines at follow-up. After controlling for other
variables, perceiving that PA improves quality of life (adjusted odds ratio, aOR
= 11.09, 95%CI [1.42-86.64], P = 0.02) and overall survival (aOR = 8.52, 95%CI
[1.12-64.71], P = 0.04) was each associated with meeting MVPA guidelines
during/after treatment, in patients who did not meet guidelines initially. Only
13% reported receiving counseling, which was not associated with PA levels.
Common reported barriers to PA included fatigue, lacking motivation, and being
too busy. CONCLUSIONS: Patient perceptions of PA benefits are strongly associated
with improving PA levels after a cancer diagnosis. Clinician counseling should
focus on patient education and changing patient perceptions.
PMID- 29808381
TI - The influence of body mass index on pregnancy outcome following single-embryo
transfer.
AB - PURPOSE: The association between obesity and reproductive outcome is
controversial. The aim of this study is to evaluate the effects of obesity on
clinical pregnancy rates following transfer of a single fresh embryo. METHODS: A
retrospective cohort study was conducted at a single tertiary medical center,
including all first, fresh, single-embryo transfers using non-donor oocytes,
during 2008-2013. We compared clinical pregnancy rate and pregnancy outcomes of
singleton live births resulting from the transfer of a single fresh embryo in
normal weight, overweight, and obese women, defined as body mass index (BMI) < 25
kg/m2, >= 25 BMI <30 kg/m2, and BMI >= 30 kg/m2, respectively. RESULTS: Overall,
1345 cases met the inclusion criteria with 864 single-embryo transfers (SETs) in
normal weight women, 292 in overweight women, and 189 SETs in obese women,
resulting in 538 clinical pregnancies and 354 singleton births. The clinical
pregnancy rate per transfer was similar among the three groups (41.3, 37.6,
37.5%, respectively, p = 0.416). Similarly, there were no significant differences
in live births or ongoing pregnancies. On multivariate logistic regression
analysis, BMI did not impact the likelihood for clinical pregnancy (OR 0.98, 95%
CI 0.96-1.008, p = 0.216). CONCLUSIONS: Our study demonstrated that obesity has
no detrimental effect on the clinical pregnancy rate resulting from the transfer
of a single fresh embryo.
PMID- 29808383
TI - Routes to, from and within the subiculum.
AB - The subiculum is one of the major output areas of the hippocampus and has
extensive projections to extrahippocampal targets. It is likely to play a pivotal
role in the distribution of outgoing information from the hippocampus. The
hippocampus, including the subiculum, is important for the formation,
consolidation and retrieval of memory. These functions require a network that is
flexible enough to encode incoming information and also allows for reliable
distribution, storage and integration into previously encoded memories. Finally,
relevant information has to be retrieved in a context-specific manner to allow
for an appropriate behavioral response. The subiculum as a gateway between the
hippocampus and cortex might serve to integrate and process information from the
hippocampus proper and its other inputs before conveying it to more permanent
storage locations. This review summarizes how the subiculum is embedded into
upstream and downstream circuits, describes what is known about the local network
topology and discusses cellular and functional properties of subicular cells
subtypes. Lastly, it describes how these properties might help to separate
information into parallel output streams and distribute it to its multiple target
areas.
PMID- 29808384
TI - An Innovative Approach to Improve Communication and Reduce Physician Stress and
Burnout in a University Affiliated Residency Program.
AB - Ineffective communication between nursing staff and residents leads to numerous
educational and patient-care interruptions, increasing resident stress and
overall workload. We developed an innovative and simple, secure electronic health
record (EHR) base text paging system to communicate with internal medicine
residents. The goal is to avoid unnecessary interruption during patient care or
educational activities and reduce stress. Traditional paging system can send a
phone number to call back. We developed and implemented a HIPPA-compliant, EHR
integrated text paging at a busy 591-bed urban hospital. Access was granted to
unit clerks, nursing staff, case managers, and physicians. Senders could either
send a traditional telephone number page or a text page through our EHR. The
recipient could then either acknowledge receipt of the page or take appropriate
actions. Afterward, Internal medicine residents were polled on overall
satisfaction difference between basic phone based numeric paging and the enhanced
EHR text paging system. Educational interruptions (averaging over 7 pages)
decreased from 64% to 16%. Patient care interruptions fell from 68% to 12%. 88%
of residents felt that 50% or less of the pages were non-emergent and did not
require an immediate action. 92% of 25 surveyed internal medicine residents
preferred text paging over numeric paging and responded through the EHR 60% of
the time by placing direct orders. Time savings using the new system over a 3
month span amounted to 72.5 h in transmission time alone. Text paging among
medical caregivers and internal medicine residents through EHR-associated
communication reduced patient care and educational interruptions. It saved time
spent sending pages, answering unnecessary pages and it improved resident's
subjective stress and satisfaction levels.
PMID- 29808382
TI - Cross border reproductive care (CBRC): a growing global phenomenon with
multidimensional implications (a systematic and critical review).
AB - PURPOSE: Many people travel abroad to access fertility treatments. This growing
phenomenon is known as cross border reproductive care (CBRC) or fertility
tourism. Due to its complex nature and implications worldwide, CBRC has become an
emerging dilemma deserving more attention on the global healthcare agenda.
METHODS: According to the Preferred Reporting Items for Systematic Reviews and
Meta-Analyses (PRISMA) guidelines, a systematic review of the literature was
performed for all relevant full-text articles published in PubMed in English
during the past 18 years to explore CBRC phenomenon in the new millennium.
RESULTS: Little is known about the accurate magnitude and scope of CBRC around
the globe. In this systematic and critical review, we identify three major
dimensions of CBRC: legal, economic, and ethical. We analyze each of these
dimensions from clinical and practical perspectives. CONCLUSION: CBRC is a
growing reality worldwide with potential benefits and risks. Therefore, it is
very crucial to regulate the global market of CBRC on legal, economic, and
ethical bases in order to increase harmonization and reduce any forms of
exploitation. Establishment of accurate international statistics and a global
registry will help diminish the current information gap surrounding the CBRC
phenomenon.
PMID- 29808385
TI - Current Treatment Options for Peripheral Nerve Hyperexcitability Syndromes.
AB - PURPOSE OF REVIEW: Peripheral nerve hyperexcitability (PNH) syndromes are divided
into primary and secondary groups based on the presence or absence of
demonstrable peripheral nerve disease. In this review, we systematically evaluate
the evidence for current therapies and supportive managements based on
autoimmune, paraneoplastic, and genetic components in pathophysiology reported in
the literature. RECENT FINDINGS: Current therapy options are based on symptomatic
management as well as focusing the underlying immune/genetic/paraneoplastic
pathology by immunosuppressants, chemotherapy, and surgery. Further research is
desired to provide treatment options geared specifically towards addressing PNH.
Supportive care can also be an area for future research.
PMID- 29808386
TI - Prognostic value of revascularising viable myocardium in elderly patients with
stable coronary artery disease and left ventricular dysfunction: a PET/CT study.
AB - Sparse information is available on the role of cardiac viability imaging in
elderly patients. We aimed at evaluating the prognostic value of FDG-PET/CT in
elderly patients with stable coronary artery disease (CAD) and reduced left
ventricular ejection fraction (rLVEF) before revascularisation. Elderly patients
(> 65 years old, mean 74 +/- 7 years old) with CAD and rLVEF were followed after
cardiac FDG-PET/CT and stratified according to presence/absence of viable
myocardium and subsequent revascularisation. Fatal events of any cause as well as
hospitalisations related to acute cardiac conditions were reported as clinical
end-points. Predictors of fatal events in patients with viable myocardium (> 1
myocardium segment/20) were analysed. A total of 89 patients were followed (64
viable myocardia; 37 and 27 patients with and without subsequent
revascularisation, respectively). The change in LVEF during follow-up (2.1 +/-
1.6 years) was 3.8 +/- 6.6% (P = 0.013) and - 0.75 +/- 2.6% (P = 0.170) in
patients with and without revascularisation, respectively. Log-rank (P = 0.037)
and multivariate analysis (Wald: 6.305, P = 0.012) showed viable myocardium to be
significantly associated with fatal events if not revascularised. Elderly
patients with viable myocardium might potentially benefit from revascularisation
procedures as improved left ventricular ejection fraction and survival were
observed in our retrospective study as compared to patients in whom a
revascularisation procedure was denied. Viable myocardium as detected by cardiac
FDG PET/CT was associated with better clinical outcomes in elderly patients when
revascularised.
PMID- 29808387
TI - Findings on 3D speckle tracking echocardiography in asymptomatic methamphetamine
abusers.
AB - To detect potential cardiac abnormalities in asymptomatic methamphetamine abusers
using three-dimensional speckle tracking echocardiography (3D STE). Fifty-three
male methamphetamine abusers, free of cardiac symptoms/signs, were enrolled in
this study. A control group of 53 age-matched male normal subjects was studied
for comparison. Standard 3D, flow and tissue Doppler echo with measurements of
left ventricular end-diastolic volume (LVEDV), end-systolic volume (LVESV),
ejection fraction (LVEF), the ratio of the early to late diastolic transmitral
filling velocity (E/A), the ratio of the early diastolic transmitral filling
velocity to the early diastolic septal tissue velocity (E/E') and 3D STE with
measurements of global area strain (GAS), global longitudinal strain (GLS),
global circumferential strain (GCS) and global radial strain (GRS) were
performed, respectively. These echocardiographic parameters were compared between
methamphetamine abusers and normal subjects, and receiver operating
characteristic curve (ROC) analysis was done to differentiating methamphetamine
abusers from normal subjects. LVESV, LVEDV, LVEF, E/A, E/E' ratios and GRS were
not significantly different between methamphetamine abusers and normal subjects
(p > 0.05). However, GAS, GLS and GCS were significantly less in methamphetamine
abusers than in normal subjects (p < 0.05). The areas under ROC (AUC) for GAS
were greatest among all the 3D STE derived LV global strains (GAS vs. GLS, GCS
and GRS, 0.95 vs. 0.76, 0.69 and 0.61, respectively). The cutoff value with -
30.3% of GAS had sensitivity of 91.8%, specificity of 91.6% and accuracy of 91.3%
for differentiating methamphetamine abusers from normal subjects. The potential
myocardial function abnormalities can be detected by 3D STE in asymptomatic
methamphetamine abusers, and GAS is a good indicator for indentifying
methamphetamine abusers from normal population, which can be used to screening
and monitor methamphetamine abuse, detect subclinical LV dysfunction, predict
potential methamphetamine-related cardiotoxicity, and to initiate early
cardioprotective therapy before the onset of overt heart failure in time.
PMID- 29808389
TI - Correction to: Feasibility, Safety, and Efficacy of an Alternative Schedule of
Sunitinib for the Treatment of Patients with Metastatic Renal Cell Carcinoma: A
Retrospective Study.
AB - In the Original Publication of the article, In Introduction part, 7th line, the
value "5-100nM" has been published incorrectly. The correct value should read as
"Plasma concentration of 50-100ng/ml". In the Original Publication of the
article, page 591, Table 2 has been published incorrectly. The corrected table is
shown in the following page.
PMID- 29808390
TI - Accelerometer-derived physical activity in those with cardio-metabolic disease
compared to healthy adults: a UK Biobank study of 52,556 participants.
AB - AIM: Cardio-metabolic disease and physical activity are closely related but large
scale objective studies which measure physical activity are lacking. Using the
largest accelerometer cohort to date, we aimed to investigate whether there is an
association between disease status and accelerometer variables after a 5-year
follow-up. METHODS: 106,053 UK Biobank participants wore a wrist-worn GENEactiv
monitor. Those with acceptable wear time (> 3 days) were split into 4 cardio
metabolic disease groups based on self-report disease status which was collected
5 +/- 1 years prior. Multiple linear regression models were used to investigate
associations, controlling for confounders and stratified for gender. RESULTS:
Average daily acceleration was lower in men ('healthy'-42 +/- 15 mg v 'Type 2
diabetes + cardiovascular disease (CVD)'-31 +/- 12 mg) and women ('healthy'-44 +/
13 mg v 'Type 2 diabetes + CVD'-31 +/- 11 mg) with cardio-metabolic disease and
this was consistent across both week and weekend days. Men and women with the
worst cardio-metabolic disease perform around half of moderate to vigorous
physical activity on a daily basis compared to healthy individuals, and spend
almost 7 h per day in 30 min inactivity bouts. Significant associations were seen
between cardio-metabolic disease and accelerometer variables 5 years on when
controlling for confounders. CONCLUSION: In the largest accelerometer cohort to
date, there are significant associations between cardio-metabolic disease and
physical activity variables after 5 years of follow-up. Triaxial accelerometers
provide enhanced measurement opportunities for measuring lifestyle behaviours in
chronic disease.
PMID- 29808388
TI - Memory responses of innate lymphocytes and parallels with T cells.
AB - Natural killer (NK) cells are classified as innate immune cells, given their
ability to rapidly respond and kill transformed or virally infected cells without
prior sensitization. Recently, accumulating evidence suggests that NK cells also
exhibit many characteristics similar to cells of the adaptive immune system.
Analogous to T cells, NK cells acquire self-tolerance during development, express
antigen-specific receptors, undergo clonal-like expansion, and can become long
lived, self-renewing memory cells with potent effector function providing potent
protection against reappearing pathogens. In this review, we discuss the
requirements for memory NK cell generation and highlight the similarities with
the formation of memory T cells.
PMID- 29808391
TI - Design and Synthesis of Nanosensor Based on CdSe Quantum Dots Functionalized with
8-Hydroxyquinoline: a Fluorescent Sensor for Detection of Al3+ in Aqueous
Solution.
AB - A novel nanosensor based on CdSe quantum dots (QDs) capped with 8-hydroxyqunoline
(HQ) was developed for Al3+ ions determination in aqueous solutions. The method
is based on the fluorescence enhancement of the HQ functionalized QDs in the
presence of Al3+ ions, due to the strong interaction between Al3+ and HQ.
Prepared nanosensor exhibited an acceptable selectivity and sensitivity for Al3+
ions in the presence of other metal ions. Plot of Log(I/I0) against Log[Al3+]
shows a good linearity in the range of 0.02-3.0 mM, and the method could be used
for detection of Al3+ ions concentration in aqueous solutions.
PMID- 29808392
TI - Fluorescence and Nonlinear Optical Properties of Alizarin Red S in Solvents and
Droplet.
AB - The enhancement of the nonlinear properties of materials is an interesting topic
since it has many applications in optical devices and medicines. The Z-scan
technique was used to study the values of the two-photon absorption (beta),
second-order molecular hyperpolarizability (gammaR), third-order susceptibility
(chiR), and nonlinear refractive index (n2) of Alizarin Red S in different media
using a continuous-wave diode-pump laser radiation at 532 nm. For Alizarin Red S
in a droplet, the beta, n2, chiR, and gammaR were estimated at the order of 10-7
cm2/W and 10-12 cm/W, 10-3 m3 W-1 s-1 and 10-24 m6 W-1 s-1, respectively. The
results indicated that the values of beta and n2 reduced, whereas the values of
chiR and gammaR were enhanced when the solvent was changed from droplet to water,
DMF, and dimethyl sulfoxide due to the change in the solvent's dielectric
constant (epsilon). Moreover, the values of beta were enhanced by an increase in
the concentration of the surfactant in the aqueous solution. The absorption
spectra of Alizarin Red S in the aqueous solution was observed at 428 nm, and a
few red shifts in the absorption spectra were observed with a reduction in the
dielectric constant of the medium. The same effect was observed in the absorption
spectra of Alizarin Red S in the droplet when the bulk dielectric constant
reduced. The dielectric constant can affect the fluorescence spectra of Alizarin
Red S when the solution is changed from water to dimethyl sulfoxide. The dipole
moments of Alizarin Red S in the different media were studied using the quantum
perturbation theory.
PMID- 29808393
TI - A Rhodamine Derivative Based Chemosensor with High Selectivity and Quick Respond
to Cr3+ in Aqueous Solution.
AB - In this paper, a new kind of colorimetric chemsensor aiming at detecting Cr3+ has
been synthesized, and it is based on the "Off-On" effect of a rhodamine
derivative. Comparing with other metal irons (Na+, K+, Ni2+, Hg2+, Fe3+, Mn2+,
Co2+, Cd2+, Cu2+, Pb2+, Zn2+, Mg2+, Ba2+, Ag+, Fe2+, Ce3+), the chemsensor has a
quick and accurate response to Cr3+ in H2O-EtOH solution (4/1, v/v). There is an
obvious change in color, from colorless to bright pink when Cr3+ is detected.
According to the fitting curve based on Benesi-Hildebrand equation and working
curve of absorption strength in UV-vis spectrum, the binding pattern of Cr3+ and
the rhodamine derivative follows a 1:1 stoichiometry. The chemsensor shows great
potential in monitoring Cr3+ in the aqueous medium with high efficiency, which is
supposed to complete the recognition in the minimum as 5.2 * 10-7 mol/L within 5
min.
PMID- 29808396
TI - Predicting Temperamentally Inhibited Young Children's Clinical-Level Anxiety and
Internalizing Problems from Parenting and Parent Wellbeing: a Population Study.
AB - The aim of this study was to explore how some temperamentally inhibited young
children and not others in the general population develop anxiety disorders and
broader clinical-level internalizing (anxious/depressive) problems, with a focus
on the family. A brief screening tool for inhibition was universally distributed
to parents of children in their year before starting school across eight
socioeconomically diverse government areas in Melbourne, Australia (307 preschool
services). Screening identified 11% of all children as inhibited. We invited all
parents of inhibited children to participate in a longitudinal prevention study.
Participants were 545 parents of inhibited pre-schoolers (78% uptake) of whom 498
(91%) completed assessment one year later and 469 (86%) two years later. Parents
completed questionnaires to assess parenting practices, parent wellbeing, and
child internalizing problems. Parents also engaged in structured diagnostic
interviews to assess child anxiety disorders. During the follow up period close
to half of the inhibited young children had anxiety disorders and one in seven
had clinical-level internalizing problems, with girls perhaps at higher risk. The
family variables significantly predicted inhibited children's anxiety disorders
and broader internalizing problems. For child anxiety disorders,
overinvolved/protective parenting was particularly important for girls and boys,
and poorer parent wellbeing contributed. For child anxious/depressive problems,
harsh discipline was a consistent predictor for girls and boys, and poorer parent
wellbeing again contributed. These etiological findings support early
intervention for temperamentally inhibited young children that focuses on the
family environment to prevent the development of mental health problems.
PMID- 29808394
TI - A Quninolylthiazole Derivatives as an ICT-Based Fluorescent Probe of Hg(II) and
its Application in Ratiometric Imaging in Live HeLa Cells.
AB - As a structural analogue of pyridylthiazole, 2-(2-benzothiazoyl)
phenylethynylquinoline (QBT) was designed as a fluorescent probe for Hg(II) based
on an intramolecular charge transfer (ICT) mechanism. The compound was
synthesized in three steps starting from 6-bromo-2-methylquinoline, with moderate
yield. Corresponding studies on the optical properties of QBT indicate that
changes in the fluorescence ratio of QBT in response to Hg(II) could be
quantified based on dual-emission changes. More specifically, the emission
spectrum of QBT before and after interactions with Hg(II) exhibited a remarkable
red shift of about 120 nm, which is rarely reported in ICT-based fluorescent
sensors. Finally, QBT was applied in the two-channel imaging of Hg(II) in live
HeLa cells.
PMID- 29808395
TI - Parental Depressive Symptoms as a Predictor of Outcome in the Treatment of Child
Internalizing and Externalizing Problems.
AB - Child internalizing and externalizing problems have been identified as high
priority intervention targets by the World Health Organization. Parental
depression is a risk factor for development of these childhood problems and may
negatively influence intervention outcomes; however, studies have rarely assessed
its influence on these outcomes. The present study assessed whether baseline
parental depressive symptoms predicted psychotherapy outcomes among children
treated for clinically significant internalizing and externalizing problems. The
sample included 142 children (79 with primary internalizing problems, 63 with
primary externalizing problems). Children were aged 7-13, 67.6% boys, and race
included Caucasian (46.5%), African-American (9.9%), Latino (5.6%), Asian (1.4%),
and multi-racial (32.4%). Analyses focused on child- and parent-reported weekly
trajectories of change and post-treatment symptoms among children treated for
internalizing and externalizing problems whose parents did (N = 28 and 25) and
did not (N = 51 and 38) have elevated depressive symptoms. For children with
internalizing problems, growth curve analyses showed markedly different
trajectories, by child- and parent-report: children with less depressed parents
showed significantly steeper symptom declines than did children with more
depressed parents, who showed an increase in symptoms. ANCOVAs showed marginally
lower post-treatment symptoms for children of less depressed versus more
depressed parents (p = 0.064 by child-report). For children with externalizing
problems, growth curve analyses showed trajectories in the opposite direction, by
child- and parent-report; however, ANCOVAs showed no group differences at post
treatment. These findings suggest that it may be important to consider the impact
of parental depressive symptoms when treating child internalizing and
externalizing problems.
PMID- 29808397
TI - Brooding, Inattention, and Impulsivity as Predictors of Adolescent Suicidal
Ideation.
AB - Although suicide remains a leading cause of death for adolescents, risk factors
beyond diagnoses and suicide attempt history remain unclear. We examined whether
cognitive style and temperament impact risk for an early, yet still clinically
relevant and distressing, form of suicidality: active suicidal ideation. We used
binary logistic regression to test whether brooding, inattention, and impulsivity
predicted significantly increased risk for suicidal ideation in a sample of 134
twins, 46 of whom endorsed active suicidal ideation (i.e., probands), as well as
probands' cotwins and matched controls. When comparing probands with controls and
controlling for depression diagnoses, brooding (B = 0.73, Odds Ratio [OR] = 2.07,
p = 0.021), inattention (B = 1.09, OR = 2.98, p < 0.001), and impulsivity (B =
0.91, OR = 2.47, p = 0.001) differentiated probands from controls, individually.
We compared probands with their cotwins using the same approach, which allowed us
to account for variance in suicidal ideation risk related to twins' shared,
familial characteristics (e.g., prenatal environment, neighborhood); inattention
was the only significant predictor of suicidal ideation risk (B = 0.66, OR =
1.93, p = 0.020). We then fit a logistic regression model that included all three
predictors. Only inattention predicted significantly increased likelihood of
suicidal ideation in proband versus controls and proband versus cotwin
comparisons (B = 0.88, OR = 2.40, p = 0.024 and B = 0.67, OR = 1.96, p = 0.045,
respectively). These results highlight the potential utility of examining novel,
more proximal risk factors for suicidal ideation in addition to more established
distal factors, like suicide attempt history and psychiatric diagnoses.
PMID- 29808398
TI - Chemical characterization of atmospheric particulate matter in Friuli Venezia
Giulia (NE Italy) by exploratory data analysis with multisite and multivariate
approach.
AB - The chemical composition of atmospheric particulate (PM10) in the Friuli Venezia
Giulia (FVG) region (NE Italy) has been characterized for the first time with the
help of exploratory data analysis (EDA) techniques (uni-, bi-, and multivariated,
i.e., principal components analysis), molecular and elemental diagnostic ratios,
and seasonal trends. Despite that the available analytical data was limited to
the parameters routinely analyzed on PM10 by ARPA FVG (11 elements and 16 PAH
congeners), the large number of samples and of measured chemical parameters,
together with the applied techniques of data analysis, allowed us to extract
useful latent information from the dataset, resulting in a greater knowledge of
both regional and local features. Specifically, we succeeded in matching data
patterns to the known pollution sources of some sampling stations, both
industrial (two secondary fusion steelworks and one coke oven) and urban (traffic
and domestic heating), and in defining the mainly urban or mainly industrial
feature of some questionable sampling stations. This is of paramount importance
to check for possible industrial inputs in urban stations, allowing policymakers
to implement the most appropriate response.
PMID- 29808401
TI - Characterization of drilling waste from shale gas exploration in Central and
Eastern Poland.
AB - The purpose of this research was to determine and evaluate the chemical
properties of drilling waste from five well sites in Central and Eastern Poland.
It was found that spent drilling fluids can contain high values of nickel and
mercury (270 and 8.77 mg kg-1, respectively) and can exceed the maximum
permissible limits recommended by the EC regulations for safety of soils (75 mg
kg-1 for nickel and 1.5 mg kg-1 for mercury). The heavy metal concentrations in
the studied drill cuttings did not exceed the maximum permissible limits
recommended by the EC regulation. Drilling wastes contain macroelements (e.g.,
calcium, magnesium, and potassium) as well as trace elements (e.g., copper, iron,
zinc, and manganese) that are essential for the plant growth. It was stated that
water extracts of drilling fluids and drill cuttings, according to anions
presence, had not any specific constituents of concern based on FAO irrigation
guidelines, the USEPA WQC, and toxicity values. X-ray diffraction analysis was
used to understand the structure and texture of waste drilling fluid solids and
drill cuttings. Analysis of the mineralogical character of drilling fluid solids
revealed that they contained calcite, quartz, muscovite, sylvite, barite,
dolomite, and orthoclase. Drill cuttings contained calcite quartz, muscovite,
barite, dolomite, and barium chloride.
PMID- 29808400
TI - Bacteria enhanced lignocellulosic activated carbon for biofiltration of
bisphenols in water.
AB - There are eight bisphenol analogues being identified and characterized; among
them, bisphenol A (BPA) is on the priority list on the basis of its higher level
of uses, occurrence, and toxicity. The endocrine system interfered by BPA has
been inventoried as it has the same function as the natural hormone 17beta
estradiol and binds mainly to the estrogen receptor (ER) to exhibit estrogenic
activities. The BPA concentration in surface waters (14-1390 ng/L) in many parts
of the world, such as Japan, Korea, China, and India, was also a significant
concern. Research efforts are focusing on restricting BPA consumption as well as
removing BPA in our environment especially in drinking water. Current opinion is
that lignocellulosic activated carbon stimulated with BPA-degrading bacteria
could have the potential to provide solution for recent challenges faced by water
utilities arising from BPA contamination in water. This technology has some new
trends in the low-cost biofiltration process for removing BPA. This review is to
provide in-depth discussion on the fate of BPA in our ecosystem and underlines
methods to enhance the efficacy of activated carbon in the presence of BPA
degrading bacteria in the biofiltration process.
PMID- 29808399
TI - Short-term effects of ambient air pollutants and myocardial infarction in
Changzhou, China.
AB - Ambient air pollution had been shown strongly associated with cardiovascular
diseases. However, the association between air pollution and myocardial
infarction (MI) is inconsistent. In the present study, we conducted a time-series
study to investigate the association between air pollution and MI. Daily air
pollutants, weather data, and MI data were collected from January 2015 to
December 2016 in Changzhou, China. Generalized linear model (GLM) was used to
assess the immediate effects of air pollutants (PM2.5, PM10, NO2, SO2, and O3) on
MI. We identified a total of 5545 cases for MI, and a 10-MUg/m3 increment in
concentrations of PM2.5 and PM10 was associated with respective increases of
1.636% (95% confidence interval [CI] 0.537-2.740%) and 0.805% (95% CI 0.037
1.574%) for daily MI with 2-day cumulative effects. The associations were more
robust among males and in the warm season versus the cold one. No significant
effect was found in SO2, NO2, or O3. This study suggested that short-term
exposure to PM2.5 and PM10 was associated with the increased MI risks. Our
results might be useful for the primary prevention of MI exacerbated by air
pollutants.
PMID- 29808402
TI - Numerical-ecotoxicological approach to assess potential risk associated with
oilfield production chemicals discharged into the sea.
AB - Several different chemical products are used on oil platforms to aid oil-water
separation during the production process. These chemicals may enter into the sea
by means of production water (PW), the main discharge derived from oil and gas
offshore platforms. Consequently, toxic effects may occur in the marine
environment, causing reductions in wildlife numbers, degrading ecosystem
functions and threatening human health. For most of these chemicals,
environmental toxicity and safety thresholds in marine ecosystems have not been
fully investigated as yet. In this work, a numerical-ecotoxicological approach is
proposed to assess the potential environmental risk associated with the discharge
of five oilfield production chemicals (deoiler, scale inhibitor, corrosion
inhibitor, catalyst, dehydrating agent) from a platform in the southern Adriatic
Sea (Mediterranean Sea). Their concentrations in the seawater are numerically
predicted, under different seasonal conditions, starting from the real
concentrations used during the production process. The predicted concentrations
are then evaluated in terms of possible toxic effects in order to assess the
potential risk of oilfield production chemicals discharged into the sea.
PMID- 29808403
TI - Biocompatible metal decontamination from soil using Ageratum conyzoides.
AB - Metal pollution in soil is a serious problem among waste landfill sites and
associated environment all over the globe. Amelioration of contaminated soil by
plant bioaccumulation is an important strategy to protect the soil environment.
Ageratum conyzoides is a common weed species that can grow easily in any
contaminating site and bioaccumulate heavy metals present in the e-waste
dumping/recycling sites as a natural scavenger. Soil selected for the study was
contaminated with waste cathode ray tube (CRT) and printed circuit board (PCB)
powder in the concentration range of 1-10 g/kg. Soil decontamination was achieved
by using weed plants with ethylene diamine tetraacetic acid (EDTA, 0.1 g/kg) and
kinetin (100 MUM) combination in pot experiments. Fe, Mn, Zn, and Cu accumulation
was found to be highest in leaves (6.51-38.58; 0.14-73.12; 5.24-269.07; 9.38
116.59%); Pb and Cr in stem (22.83-113.41; 21.05-500%), respectively, as compared
with blank. Ion chromatography was used as a tool for the measurement of
essential ions present in plant under different conditions. Plants showed better
growth in terms of shoot, root length, biomass weight, and chlorophyll content
with the proposed combination. EDTA allows the metals available for the
accumulation through possible complexation. Also, the compatibility of kinetin to
manage stress in plant is found to be enhanced in the presence of EDTA due to
possible pi-pi interaction. Metal stress condition causes the deficiency of
essential ions in the plants thereby disturbing its biochemistry and results in
its eventual death. EDTA-kinetin hybrid treatment was found to be compatible for
metal decontamination from soil, its detoxification in plants by changing its
environment and restoring the essential ions for the survival of plant.
PMID- 29808404
TI - Chromium (VI) biosorption by Saccharomyces cerevisiae subjected to chemical and
thermal treatments.
AB - The potential of chemically and thermally treated Saccharomyces cerevisiae as
biosorbents for chromium (VI) was investigated in this work. The presence of this
toxic metal in industrial effluents is harmful to the environment, so, it is
important to develop environmental friendly methods for Cr(VI) removal from these
effluents. Biosorption using microorganisms such as S. cerevisiae is a viable
treatment option because this biomass is easily available as a residue of
fermentation industries. In this study, the affecting variables on Cr(VI)
biosorption were studied by constructing biosorption isotherms, using lyophilized
yeast subjected to chemical and thermal treatments. S. cerevisiae was able to
remove 99.66% of Cr(VI) from effluents by biosorption. The significant variables
affecting biosorption were pH, initial Cr(VI) concentration, and contact time.
The biosorption isotherms were represented by the Freundlich model for the
untreated biomass, BET model for the chemically treated biomass, and Langmuir
model for the heat-treated biomass. Thermal treatment increased the biosorption
affinity of the biomass for chromium, while the chemical treatment facilitated
the formation of a multilayer.
PMID- 29808405
TI - pH-dependent microbial reduction of uranium(VI) in carbonate-free solutions: UV
vis, XPS, TEM, and thermodynamic studies.
AB - U(VI)aq bioreduction has an important effect on the fate and transport of uranium
isotopes in groundwater at nuclear test sites. In this study, we focus on the pH
dependent bioreduction of U(VI)aq in carbonate-free solutions and give
mechanistic insight into the removal kinetics of U(VI)aq. An enhancement in the
removal of U(VI)aq with increasing pH was observed within 5 h, e.g., from 19.4%
at pH 4.52 to 99.7% at pH 8.30. The removal of U(VI)aq at pH 4.52 was due to the
biosorption of U(VI)aq onto the living cells of Shewanella putrefaciens, as
evidenced by the almost constant UV-vis absorption intensity of U(VI)aq
immediately after contact with S. putrefaciens. Instead, the removal observed at
pH 5.97 to 8.30 resulted from the bioreduction of U(VI)aq. The end product of
U(VI)aq bioreduction was analyzed using XPS and HRTEM and identified as nanosized
UO2. An increasing trend in the biosorption of U(VI)aq onto heat-killed cells was
also observed, e.g., ~ 80% at pH 8.38. Evidently, the U(VI)aq that sorbed onto
the living cells at pH > 4.52 was further reduced to UO2, although biosorption
made a large contribution to the initial removal of U(VI)aq. These results may
reveal the removal mechanism, in which the U(VI)aq that was sorbed onto cells
rather than the U(VI)aq complexed in solution was reduced. The decreases in the
redox potentials of the main complex species of U(VI)aq (e.g., [Formula: see
text] and [Formula: see text]) with increasing pH support the proposed removal
mechanism.
PMID- 29808406
TI - Use of a combination of in vitro models to investigate the impact of chlorpyrifos
and inulin on the intestinal microbiota and the permeability of the intestinal
mucosa.
AB - Dietary exposure to the organophosphorothionate pesticide chlorpyrifos (CPF) has
been linked to dysbiosis of the gut microbiota. We therefore sought to
investigate whether (i) CPF's impact extends to the intestinal barrier and (ii)
the prebiotic inulin could prevent such an effect. In vitro models mimicking the
intestinal environment (the SHIME(r)) and the intestinal mucosa (Caco-2/TC7
cells) were exposed to CPF. After the SHIME(r) had been exposed to CPF and/or
inulin, we assessed the system's bacterial and metabolic profiles. Extracts from
the SHIME(r)'s colon reactors were then transferred to Caco-2/TC7 cultures, and
epithelial barrier integrity and function were assessed. We found that inulin co
treatment partially reversed CPF-induced dysbiosis and increased short-chain
fatty acid production in the SHIME(r). Furthermore, co-treatment impacted tight
junction gene expression and inhibited pro-inflammatory signaling in the Caco
2/TC7 intestinal cell line. Whereas, an isolated in vitro assessment of CPF and
inulin effects provides useful information on the mechanism of dysbiosis,
combining two in vitro models increases the in vivo relevance.
PMID- 29808408
TI - Effect of CO2 concentration on strength development and carbonation of a MgO
based binder for treating fine sediment.
AB - We previously described a MgO-based binder for treating fine sediment and
simultaneously store CO2. Here, we describe a study of the physical/mechanical
characteristics and carbonation reactions of the MgO-based binder used to
solidify/stabilize fine sediment in atmospheres containing different CO2
concentrations. Carbonation of the sediment treated with the MgO-based binder at
the atmospheric CO2 concentration markedly improved the compressive strength of
the product. The compressive strength was 4.78 MPa after 365 days of curing, 1.3
times higher than the compressive strength of sediment treated with portland
cement. This improvement was caused by the formation of carbonation products,
such as hydromagnesite, nesquehonite, and lansfordite, and the constant high pH
(~ 12) of the specimen, which favored the growth of hydration products such as
calcium silicate hydrates and portlandite. Very low compressive strengths were
found when 50 and 100% CO2 atmospheres were used because of excessive formation
of carbonation products, which occupied 78% of the specimen depth. Abundant
carbonation products increased the specimen volume and decreased the pH to 10.2,
slowing the growth of hydration products. The absence of brucite in specimens
produced in a 100% CO2 atmosphere indicated that MgO carbonation is favored over
hydration at high CO2 concentrations.
PMID- 29808407
TI - Evaluation of larvicidal, adulticidal, and anticholinesterase activities of
essential oils of Illicium verum Hook. f., Pimenta dioica (L.) Merr., and
Myristica fragrans Houtt. against Zika virus vectors.
AB - Aedes aegypti is the vector responsible for transmitting pathogens that cause
various infectious diseases, such as dengue, Zika, yellow fever, and chikungunya,
worrying health authorities in the tropics. Due to resistance of mosquitoes to
synthetic insecticides, the search for more effective insecticidal agents becomes
crucial. The aim of this study was to verify the larvicidal, adulticidal, and
anticholinesterase activities of the essential oils of the Illicium verum (EOIV),
Pimenta dioica (EOPD), and Myristica fragrans (EOMF) against Ae. aegypti. The
essential oils (EOs) were obtained by hydrodistillation and analyzed by gas
chromatography-mass spectrometry (GC-MS). The larvicidal and adulticidal
activities of EOs were evaluated against third instar larvae and Ae. aegypti
adult females, respectively, using the procedures of the World Health
Organization (WHO) and the anticholinesterase activity of the EOs by the modified
Ellman method. The following major components were identified: (E)-anethole
(90.1%) for EOIV, methyl eugenol (55.0%) for EOPD, and sabinene (52.1%) for EOMF.
All EOs exhibited larvicidal and adulticidal activity against Ae. aegypti. The
highest larval mortality was observed in EOMF with LC50 = 28.2 MUg mL-1. Adult
mortality was observed after 1 (knockdown) and 24 h exposure, with the highest
potential established by the EOIV, KC50 = 7.3 MUg mg female-1 and LC50 = 10.3 MUg
mg female-1. EOIV (IC50 = 4800 MUg mL-1), EOMF (IC50 = 4510 MUg mL-1), and EOPD
(IC50 = 1320 MUg mL-1) inhibited AChE. EOMF (4130 MUg mL-1) and EOPD (IC50 = 3340
MUg mL-1) inhibited BChE whereas EOIV showed no inhibition. The EOs were toxic to
larvae and adults of Ae. aegypti, as well as being less toxic to humans than the
currently used insecticides, opening the possibility of elaboration of a natural,
safe, and ecological bioinsecticide for vector control.
PMID- 29808409
TI - Occurrence of PCDD/PCDFs, dioxin-like PCBs, and PBDEs in surface sediments from
the Neva River and the Eastern Gulf of Finland (Russia).
AB - A total of 26 samples of surface sediments collected in the Neva River (including
the St. Petersburg city area) and in the Russian part of the Gulf of Finland were
analyzed for 17 polychlorinated dibenzo-p-dioxins, polychlorinated dibenzofurans
(PCDD/Fs), 12 dioxin-like polychlorinated biphenyls (dl-PCBs), and 10
polybrominated diphenyl ethers (PBDEs). The concentrations of total PCDD/Fs and
dl-PCBs in sediments ranged from < 0.05 to 219 pg g-1 d.w. and from 44 to 246,600
pg g-1 d.w. respectively. The total World Health Organization toxic equivalent
(WHO-TEQPCDD/F + PCB) values varied between 0.01 and 59.0 pg g-1. In the majority
of cases, the PCDD/F and dl-PCB concentrations do not exceed the threshold effect
level (TEL) recommended by Helsinki Commission (HELCOM) as quantitative target
for the sum of PCDD/Fs and dl-PCBs. The congener profile indicates that
combustion is the primary source of PCDD/Fs in most of the studied samples. For
the PCBs, the historical use of technical PCB products was identified as the
major source. SigmaPBDE10 concentrations ranged from 0.004 to 1.8 ng g-1 d.w. The
congener profile results show that BDE-47 (tetra-BDE) is the dominant congener in
sediment samples. According to the sediment and water quality guidelines
established in the EU (PNEC) and in Canada (FEQG), all the samples studied can be
classified as lowly contaminated by PBDEs. The sediment concentrations of
PCDD/Fs, dl-PCBs, and PBDEs measured in this study were comparable to or lower
than those reported for other areas of the Baltic Sea.
PMID- 29808411
TI - Microbial Community Composition and Putative Biogeochemical Functions in the
Sediment and Water of Tropical Granite Quarry Lakes.
AB - Re-naturalized quarry lakes are important ecosystems, which support complex
communities of flora and fauna. Microorganisms associated with sediment and water
form the lowest trophic level in these ecosystems and drive biogeochemical
cycles. A direct comparison of microbial taxa in water and sediment microbial
communities is lacking, which limits our understanding of the dominant functions
that are carried out by the water and sediment microbial communities in quarry
lakes. In this study, using the 16S rDNA amplicon sequencing approach, we
compared microbial communities in the water and sediment in two re-naturalized
quarry lakes in Singapore and elucidated putative functions of the sediment and
water microbial communities in driving major biogeochemical processes. The
richness and diversity of microbial communities in sediments of the quarry lakes
were higher than those in the water. The composition of the microbial communities
in the sediments from the two quarries was highly similar to one another, while
those in the water differed greatly. Although the microbial communities of the
sediment and water samples shared some common members, a large number of
microbial taxa (at the phylum and genus levels) were prevalent either in sediment
or water alone. Our results provide valuable insights into the prevalent
biogeochemical processes carried out by water and sediment microbial communities
in tropical granite quarry lakes, highlighting distinct microbial processes in
water and sediment that contribute to the natural purification of the resident
water.
PMID- 29808410
TI - Effects of wind-wave disturbances on adsorption and desorption of tetracycline
and sulfadimidine in water-sediment systems.
AB - Wind-wave disturbances frequently disperse sediment particles into overlying
water, which facilitates the adsorption and desorption of contaminants in aquatic
ecosystems. Tetracycline (TC) and sulfadimidine (SM2) are common antibiotics that
are frequently found in aquatic environments. This study utilized microcosms,
comprising sediment and water from Lake Taihu, China, to examine the adsorption
and desorption of TC and SM2 under different wind-wave disturbances in a shallow
lake environment. The adsorption experiments were conducted with three different
concentrations (1, 5, 10 mg/L) of TC and SM2 in the overlying water, and two
different (background and strong) wind-wave conditions for 72 h. Subsequently,
four microcosms were employed in a 12-h desorption study. Analysis of adsorption
progress showed that TC concentration in the overlying water decreased quickly,
while SM2 remained almost constant. In the desorption experiments, SM2 released
to the overlying water was an order of magnitude greater than TC. These results
indicate that sediment particles strongly adsorb TC but weakly adsorb SM2.
Compared to background conditions, the strong wind-wave conditions resulted in
higher concentrations of TC and SM2 in sediment and facilitated their migration
to deeper sediment during adsorption, correspondingly promoting greater release
of TC and SM2 from sediment particles into the overlying water during desorption.
PMID- 29808412
TI - Point-of-care ultrasonography in Brazilian intensive care units: a national
survey.
AB - BACKGROUND: Point-of-care ultrasonography (POCUS) has recently become a useful
tool that intensivists are incorporating into clinical practice. However, the
incorporation of ultrasonography in critical care in developing countries is not
straightforward. METHODS: Our objective was to investigate current practice and
education regarding POCUS among Brazilian intensivists. A national survey was
administered to Brazilian intensivists using an electronic questionnaire.
Questions were selected by the Delphi method and assessed topics included
organizational issues, POCUS technique and training patterns, machine
availability, and main applications of POCUS in daily practice. RESULTS: Of 1533
intensivists who received the questionnaire, 322 responded from all of Brazil's
regions. Two hundred and five (63.8%) reported having access to an ultrasound
machine dedicated to the intensive care unit (ICU); however, this was more likely
in university hospitals than in non-university hospitals (80.6 vs. 59.6%; risk
ratio [RR] = 1.35 [1.16-1.58], p = 0.002). The main applications of POCUS were
ultrasound-guided central vein catheterization (49.4%) and bedside
echocardiographic assessment (33.9%). Two hundred and fifty-eight (80.0%)
reported having at least one POCUS-trained intensivist in their staff (trained
units). Trained units were more likely to perform routine ultrasound-guided
jugular vein catheterization than non-trained units (38.6 vs. 16.4%; RR = 2.35
[1.31-4.23], p = 0.001). The proportion of POCUS-trained intensivists and
availability of a dedicated ultrasound machine were both independently associated
with performing ultrasound-guided jugular vein catheterization (RR = 1.91 [1.32
2.77], p = 0.001) and (RR = 2.20 [1.26-3.29], p = 0.005), respectively.
CONCLUSIONS: A significant proportion of Brazilian ICUs had at least one
intensivist with POCUS capability in their staff. Although ultrasound-guided
central vein catheterization constitutes the main application of POCUS, adherence
to guideline recommendations is still suboptimal.
PMID- 29808413
TI - Letter to the Editor.
PMID- 29808414
TI - 2018 consensus statement by the Spanish Society of Pathology and the Spanish
Society of Medical Oncology on the diagnosis and treatment of cancer of unknown
primary.
AB - Cancer of unknown primary (CUP) is defined as a heterogeneous group of tumours
that present with metastasis, and in which attempts to identify the original site
have failed. They differ from other primary tumours in their biological features
and how they spread, which means that they can be considered a separate entity.
There are several hypotheses regarding their origin, but the most plausible
explanation for their aggressiveness and chemoresistance seems to involve
chromosomal instability. Depending on the type of study done, CUP can account for
2-9% of all cancer patients, mostly 60-75 years old. This article reviews the
main clinical, pathological, and molecular studies conducted to analyse and
determine the origin of CUP. The main strategies for patient management and
treatment, by both clinicians and pathologists, are also addressed.
PMID- 29808416
TI - Relationship of peak serum methotrexate concentration to prognosis and drug
tolerance in non-metastatic extremity osteosarcomas.
AB - PURPOSE: This study aimed to explore whether peak serum methotrexate
concentration (Cmax) correlated with adverse events, overall survival (OS) and
event-free survival (EFS) in patients with primary extremity osteosarcoma.
METHODS: Patients with extremity osteosarcoma who were treated at our center
between 2005 and 2015 were retrospectively studied. All the patients were
Enneking stage II and had received standard perioperative chemotherapy composed
of high-dose methotrexate, doxorubicin, cisplatin and ifosfamide. Cmax and
treatment-associated toxicities of each cycle were recorded. OS and EFS were
estimated and compared by Kaplan-Meier survival analysis, and Cox regression
models were performed for univariate comparisons. RESULTS: In total, 567 patients
were followed for an average of 53 months (24-104 months). The estimated 3- and 5
year EFS were 71.7 and 63.1%, and the 3- and 5-year OS were 78.2 and 72.9%,
respectively. Cmax ranged from 527 to 2495 umol/L with a mean value of 931 +/-
106 umol/L. No significant differences in EFS and OS (p = 0.18 and p = 0.28) were
observed among patients with a mean Cmax > 1500, > 1000, > 700 and < 700 umol/L.
However, patients with a mean Cmax > 1500 umol/L had significantly increased
rates of grade 3-5 toxicity. In the univariate analysis, Cmax was not a
prognostic factor for EFS (p = 0.08) or OS (p = 0.16). CONCLUSIONS: Cmax did not
correlate significantly with the oncologic prognosis of non-metastatic extremity
osteosarcoma patients treated by multi-agent chemotherapy; however, Cmax
correlated closely with toxicities and complications. The persistent inclusion of
methotrexate in classical multidisciplinary chemotherapy was questioned and
should be examined in future trials.
PMID- 29808417
TI - [Chameleon spondylodiscitis : Challenge for geriatricians].
AB - The incidence of spondylodiscitis is increasing and attributable to an aging
population with multimorbidities. Spondylodiscitis represents a life-threatening
disease. Typical clinical manifestations often involve nonspecific symptoms with
back pain; however, due to the frequent absence of fever the disease is often
overlooked. Pathogen detection and spinal imaging with magnetic resonance imaging
(MRI) are essential for the diagnosis. Identification of the causative pathogen
is particularly important for initiating targeted antibiotic treatment.
Debridement and stabilization are the mainstays of surgical management, even
though foreign material must be implanted into the focus of inflammation.
PMID- 29808415
TI - Immune stimuli shape the small non-coding transcriptome of extracellular vesicles
released by dendritic cells.
AB - The release and uptake of nano-sized extracellular vesicles (EV) is a highly
conserved means of intercellular communication. The molecular composition of EV,
and thereby their signaling function to target cells, is regulated by cellular
activation and differentiation stimuli. EV are regarded as snapshots of cells and
are, therefore, in the limelight as biomarkers for disease. Although research on
EV-associated RNA has predominantly focused on microRNAs, the transcriptome of EV
consists of multiple classes of small non-coding RNAs with potential gene
regulatory functions. It is not known whether environmental cues imposed on cells
induce specific changes in a broad range of EV-associated RNA classes. Here, we
investigated whether immune-activating or -suppressing stimuli imposed on primary
dendritic cells affected the release of various small non-coding RNAs via EV. The
small RNA transcriptomes of highly pure EV populations free from
ribonucleoprotein particles were analyzed by RNA sequencing and RT-qPCR. Immune
stimulus-specific changes were found in the miRNA, snoRNA, and Y-RNA content of
EV from dendritic cells, whereas tRNA and snRNA levels were much less affected.
Only part of the changes in EV-RNA content reflected changes in cellular RNA,
which urges caution in interpreting EV as snapshots of cells. By comprehensive
analysis of RNA obtained from highly purified EV, we demonstrate that multiple
RNA classes contribute to genetic messages conveyed via EV. The identification of
multiple RNA classes that display cell stimulation-dependent association with EV
is the prelude to unraveling the function and biomarker potential of these EV
RNAs.
PMID- 29808419
TI - Importance of the cultivation history for the response of Escherichia coli to
oscillations in scale-down experiments.
AB - Large-scale bioreactors are inhomogeneous systems, in which the fluid phase
expresses concentration gradients. They depend on the mass transfer and fluid
dynamics in the reactor, the feeding strategy, the cell-specific substrate uptake
parameters, and the cell density. As high cell densities are only obtained at low
specific growth rates, it is necessary to investigate the cellular responses to
oscillations in particular under such conditions, an issue which is mostly
neglected. Instead, the feed oscillations are often started directly after the
batch phase, when the specific growth rate is close to the maximum. We show here
that the cultivation mode before oscillations are started has a tremendous effect
on the metabolic responses. In difference to cells, which were pre-grown under
batch conditions at a high growth rate, Escherichia coli cells that were pre
grown under glucose limitation at a low growth rate accumulate short-chain fatty
acids (acetate, lactate, succinate) and glycolysis-related amino acids to a
higher extent in a two-compartment scale-down bioreactor. Thus, cells which enter
oscillations from a lower specific growth rate seem to react more sensitive to
oscillations than cells that are subjected to oscillations directly after a batch
phase. These results are interesting in designing reliable scale-down systems,
which better reflect large-scale bioprocesses.
PMID- 29808418
TI - Determining the Value of Two Biologic Drugs for Chronic Inflammatory Skin
Diseases: Results of a Multi-Criteria Decision Analysis.
AB - BACKGROUND AND OBJECTIVE: Multi-criteria decision analysis (MCDA) is a tool that
systematically considers multiple factors relevant to health decision-making. The
aim of this study was to use an MCDA to assess the value of dupilumab for severe
atopic dermatitis compared with secukinumab for moderate to severe plaque
psoriasis in Spain. METHOD: Following the EVIDEM (Evidence and Value: Impact on
DEcision Making) methodology, the estimated value of both interventions was
obtained by means of an additive linear model that combined the individual
weighting (between 1 and 5) of each criterion with the individual scoring of each
intervention in each criterion. Dupilumab was evaluated against placebo, while
secukinumab was evaluated against placebo, etanercept and ustekinumab. A retest
was performed to assess the reproducibility of weights, scores and value
estimates. RESULTS: The overall MCDA value estimate for dupilumab versus placebo
was 0.51 +/- 0.14. This value was higher than those obtained for secukinumab:
0.48 +/- 0.15 versus placebo, 0.45 +/- 0.15 versus etanercept and 0.39 +/- 0.18
versus ustekinumab. The highest-value contribution was reported by the patients'
group, followed by the clinical professionals and the decision makers. A
fundamental element that explained the difference in the scoring between
pathologies was the availability of therapeutic alternatives. The retest
confirmed the consistency and replicability of the analysis. CONCLUSIONS: Under
this methodology, and assuming similar economic costs per patient for both
treatments, the results indicated that the overall value estimated of dupilumab
for severe atopic dermatitis was similar to, or slightly higher than, that of
secukinumab for moderate to severe plaque psoriasis.
PMID- 29808420
TI - A systematic correlation analysis of carotenoids, chlorophyll, non-pigmented cell
mass, and cell number for the blueprint of Dunaliella salina culture in a
photobioreactor.
AB - Microalgal carotenoids are attractive health ingredients, but their production
should be optimized to improve cost-effectiveness. Understanding cellular
physiology centered on carotenoid synthesis is the prerequisite for this work.
Therefore, systematic correlation analyses were conducted among chlorophyll,
carotenoids, non-pigmented cell mass, and cell number of Dunaliella salina in a
specified condition over a relatively long culture time. First, an integrated
correlation was performed: a temporal profile of the carotenoids was correlated
with those of other factors, including chlorophyll, non-pigmented cell mass, and
cell number. Pearson and Spearman correlation analyses were performed to identify
linearity and monotonicity of the correlation, respectively, and then cross
correlation was executed to determine if the correlation had a time lag. Second,
to understand the cellular potential of metabolism, the procedure was repeated to
provide a data set composed of the specific synthesis rates of the factors or
growth rate, which additionally provided kinetic correlations among the
constituting components of the cell, excluding the effect of cell number. This
systematic approach could generate a blueprint model that is composed of only
what it needs, which could make it possible to efficiently control and optimize
the process.
PMID- 29808421
TI - Perception of Urban Environmental Risks and the Effects of Urban Green
Infrastructures (UGIs) on Human Well-being in Four Public Green Spaces of
Guangzhou, China.
AB - Cities face many challenging environmental problems that affect human well-being.
Environmental risks can be reduced by Urban Green Infrastructures (UGIs). The
effects of UGIs on the urban environment have been widely studied, but less
attention has been given to the public perception of these effects. This paper
presents the results of a study in Guangzhou, China, on UGI users' perceptions of
these effects and their relationship with sociodemographic variables. A
questionnaire survey was conducted in four public green spaces. Descriptive
statistics, a binary logistic regression model and cross-tabulation analysis were
applied on the data from 396 valid questionnaires. The results show that UGI
users were more concerned about poor air quality and high temperature than about
flooding events. Their awareness of environmental risks was partly in accordance
with official records. Regarding the perception of the impacts of environmental
risks on human well-being, elderly and female respondents with higher education
levels were the most sensitive to these impacts. The respondents' perceptions of
these impacts differed among the different green spaces. The effects of UGIs were
well perceived and directly observed by the UGI users, but were not significantly
influenced by most sociodemographic variables. Moreover, tourists had a lower
perception of the impacts of environmental risks and the effects of UGI than
residents did. This study provides strong support for UGIs as an effective tool
to mitigate environmental risks. Local governments should consider the role of
UGIs in environmental risk mitigation and human well-being with regard to urban
planning and policy making.
PMID- 29808422
TI - Patient-reported outcome: results of the multicenter German post-market study.
AB - PURPOSE: Upper airway stimulation (UAS) is an alternative second-line treatment
option for patients with obstructive sleep apnea (OSA). In our substudy of a
previous multicentre study of patients implanted with UAS, we focused on patient
related outcomes like Epworth Sleepiness Scale (ESS), the Functional Outcomes of
Sleep Questionnaire (FOSQ), snoring and personal satisfaction 6 and 12 months
after the implantation. METHODS: 60 patients, who were initially non-adherent to
CPAP and implanted with UAS, were included in a prospective multicentre study.
Data were collected preoperative, 6 and 12 months after implantation regarding
FOSQ, ESS, snoring, and their experience with the UAS device. RESULTS: Besides
relevant Apnoea-Hypopnea Index (AHI) reduction, we saw significant improvements
in ESS (p < 0.001), FOSQ (p < 0.001) and snoring under UAS therapy. A strong
correlation between AHI results postoperative and the personal satisfaction of
the patients after implantation was found as well as between usage results and
AHI compared to the preoperative results. CONCLUSION: The more the patients
benefit from UAS according to their self-reported outcome, the higher is the
therapy use.
PMID- 29808424
TI - [Treatment with platelet aggregation inhibitors and additive proton pump
inhibitors?]
PMID- 29808423
TI - Update on Idiopathic Intracranial Hypertension.
AB - PURPOSE OF REVIEW: This review presents a critical appraisal of current
therapeutic strategies for patients with idiopathic intracranial hypertension
(IIH). We present the reader with the most recent evidence to support medical and
surgical interventions in patients with IIH and provide recommendations about
treatment initiation and escalation. We also indicate areas where knowledge gaps
exist regarding therapeutic efficacy and superiority of one intervention over
another. RECENT FINDINGS: A double-masked, randomized prospective study of
medical management of patients with mild IIH (Idiopathic Intracranial
Hypertension Treatment Trial-IIHTT) has established that acetazolamide therapy
has additional efficacy when compared to weight loss alone. Furthermore,
management of IIH-related headache, even in patients with papilledema, may
require treatment other than ICP lowering for patients to experience symptomatic
relief. Finally, a number of uncontrolled interventional studies have shown
transverse sinus stenting to be a potentially effective treatment for medically
refractory IIH. Medical therapy with acetazolamide should be considered in
addition to structured weight loss in patients with mild IIH. Surgical treatment
for patients with vision-threatening disease IIH can be performed by either optic
nerve sheath fenestration or cerebrospinal fluid diversion, with venous sinus
stenting emerging as an alternate therapy. Headache relief from ICP lowering
therapy is variable and often not sustained.
PMID- 29808425
TI - Relationship between sagittal balance and adjacent segment disease in surgical
treatment of degenerative lumbar spine disease: meta-analysis and implications
for choice of fusion technique.
AB - STUDY DESIGN: Meta-analysis. OBJECTIVE: To conduct a meta-analysis investigating
the relationship between spinopelvic alignment parameters and development of
adjacent level disease (ALD) following lumbar fusion for degenerative disease.
ALD is a degenerative pathology that develops at mobile segments above or below
fused spinal segments. Patient outcomes are worse, and the likelihood of
requiring revision surgery is higher in ALD compared to patients without ALD.
Spinopelvic sagittal alignment has been found to have a significant effect on
outcomes post-fusion; however, studies investigating the relationship between
spinopelvic sagittal alignment parameters and ALD in degenerative lumbar disease
are limited. METHODS: Six e-databases were searched. Predefined endpoints were
extracted and meta-analyzed from the identified studies. RESULTS: There was a
significantly larger pre-operative PT in the ALD cohort versus control (WMD 3.99,
CI 1.97-6.00, p = 0.0001), a smaller pre-operative SS (WMD - 2.74; CI - 5.14 to
0.34, p = 0.03), and a smaller pre-operative LL (WMD - 4.76; CI - 7.66 to 1.86, p
= 0.001). There was a significantly larger pre-operative PI-LL in the ALD cohort
(WMD 8.74; CI 3.12-14.37, p = 0.002). There was a significantly larger
postoperative PI in the ALD cohort (WMD 2.08; CI 0.26-3.90, p = 0.03) and a
larger postoperative PT (WMD 5.23; CI 3.18-7.27, p < 0.00001). CONCLUSION: The
sagittal parameters: PT, SS, PI-LL, and LL may predict development of ALD in
patients' post-lumbar fusion for degenerative disease. Decision-making aimed at
correcting these parameters may decrease risk of developing ALD in this cohort.
These slides can be retrieved under Electronic Supplementary Material.
PMID- 29808426
TI - Parenchymal pattern in women with dense breasts. Variation with age and impact on
screening outcomes: observations from a UK screening programme.
AB - OBJECTIVES: To assess patterns of parenchymal tissue on mammography in women with
dense breasts and to determine how this varies with age and affects recall to
assessment and cancer diagnosis. METHOD: Breast density data was obtained in
women attending routine mammographic screening from April 2013 to March 2015
using automated breast density assessment software. Women with the densest
breasts were selected for visual interpretation of parenchymal pattern (PP). One
hundred non-assessed women, aged 50, 55, 60, 65 and 69-71 years (total = 500),
provided controls. Cases included women recalled for assessment (mastectomy or
implants excluded) (total = 280). Mammograms reviewed by ten readers and PP
classified as: (1) very smooth; (2) mainly smooth; (3) mixed; (4) mainly nodular;
(5) very nodular. The ratio of women in each category at each age and screening
outcomes were compared by Pearson's chi-squared test. RESULTS: Reader agreement
for scoring PP was good (intraclass correlation = 0.6302). Proportions of women
in each PP category were similar at all ages for controls (p = 0.147) and cases
(p = 0.657). The ratio of PP categories did not vary significantly with age in
those who underwent biopsy (p = 0.484). Thirty-four cancers were diagnosed. There
was a significant correlation between a diagnosis of cancer and nodular PP
compared to not nodular PP (p = 0.043). CONCLUSIONS: The ratio of smooth to
nodular pattern in women with the densest breasts did not vary with age. The PP
of the breast tissue did not affect likelihood of recall to assessment or biopsy.
There was a significant relationship between a nodular parenchymal pattern and
diagnosis of cancer. KEY POINTS: * This paper shows that there is good agreement
between mammogram readers when classifying mammographic PP on a five-point scale
from very smooth to very nodular. * In non-assessed women with the densest
breasts, there is no significant change in the proportions of smooth to nodular
patterns with increasing age. * The likelihood of recall for further assessment
or biopsy at assessment is not related to PP in women with highest breast
density. * When recalled for further assessment, significantly more women are
diagnosed with cancer in the group with nodular PP on mammography when compared
with smooth and mixed patterns.
PMID- 29808427
TI - National survey on dose data analysis in computed tomography.
AB - OBJECTIVES: A nationwide survey was performed assessing current practice of dose
data analysis in computed tomography (CT). MATERIAL AND METHODS: All radiological
departments in Switzerland were asked to participate in the on-line survey
composed of 19 questions (16 multiple choice, 3 free text). It consisted of four
sections: (1) general information on the department, (2) dose data analysis, (3)
use of a dose management software (DMS) and (4) radiation protection activities.
RESULTS: In total, 152 out of 241 Swiss radiological departments filled in the
whole questionnaire (return rate, 63%). Seventy-nine per cent of the departments
(n = 120/152) analyse dose data on a regular basis with considerable
heterogeneity in the frequency (1-2 times per year, 45%, n = 54/120; every month,
35%, n = 42/120) and method of analysis. Manual analysis is carried out by 58% (n
= 70/120) compared with 42% (n = 50/120) of departments using a DMS. Purchase of
a DMS is planned by 43% (n = 30/70) of the departments with manual analysis. Real
time analysis of dose data is performed by 42% (n = 21/50) of the departments
with a DMS; however, residents can access the DMS in clinical routine only in 20%
(n = 10/50) of the departments. An interdisciplinary dose team, which among other
things communicates dose data internally (63%, n = 76/120) and externally, is
already implemented in 57% (n = 68/120) departments. CONCLUSION: Swiss
radiological departments are committed to radiation safety. However, there is
high heterogeneity among them regarding the frequency and method of dose data
analysis as well as the use of DMS and radiation protection activities. KEY
POINTS: * Swiss radiological departments are committed to and interest in
radiation safety as proven by a 63% return rate of the survey. * Seventy-nine per
cent of departments analyse dose data on a regular basis with differences in the
frequency and method of analysis: 42% use a dose management software, while 58%
currently perform manual dose data analysis. Of the latter, 43% plan to buy a
dose management software. * Currently, only 25% of the departments add radiation
exposure data to the final CT report.
PMID- 29808428
TI - Improved detection rates and treatment planning of head and neck cancer using
dual-layer spectral CT.
AB - PURPOSE: The aim of this study was to evaluate the advantages of dual-layer
spectral CT (DLSCT) in detection and staging of head and neck cancer (HNC) as
well as the imaging of tumour margins and infiltration depth compared to
conventional contrast enhanced CT (CECT). MATERIALS AND METHODS: Thirty-nine
patients with a proven diagnosis of HNC were examined with a DLSCT scanner and
retrospectively analysed. An age-matched healthy control group of the same size
was used. Images were acquired in the venous phase. Virtual monoenergetic 40keV
equivalent (MonoE40) images were compared to CECT-images. Diagnostic confidence
for tumour identification and margin detection was rated independently by four
experienced observers. The steepness of the Hounsfield unit (HU)-increase at the
tumour margin was analysed. External carotid artery branch image reconstructions
were performed and their contrast compared to conventional arterial phase
imaging. Means were compared using a Student's t-test. ANOVA was used for
multiple comparisons. RESULTS: MonoE40 images were superior to CECT-images in
tumour detection and margin delineation. MonoE40 showed significantly higher
attenuation differences between tumour and healthy tissue compared to CECT-images
(p < 0.001). The HU-increase at the boundary of the tumour was significantly
steeper in MonoE40 images compared to CECT-images (p < 0.001). Iodine uptake in
the tumour was significantly higher compared to healthy tissue (p < 0.001).
MonoE40 compared to conventional images allowed visualisation of external carotid
artery branches from the venous phase in a higher number of cases (87% vs. 67%).
CONCLUSION: DLSCT enables improved detection of primary and recurrent head and
neck cancer and quantification of tumour iodine uptake. Improved contrast of
MonoE40 compared to conventional reconstructions enables higher diagnostic
confidence concerning tumour margin detection and vessel identification. KEY
POINTS: * Sensitivity concerning tumour detection are higher using dual-layer
spectral-CT than conventional CT. * Lesion to background contrast in DLSCT is
significantly higher than in CECT. * DLSCT provides sufficient contrast for
evaluation of external carotid artery branches.
PMID- 29808429
TI - Postpartum haemorrhage due to genital tract injury after vaginal delivery: safety
and efficacy of transcatheter arterial embolisation.
AB - OBJECTIVES: To evaluate the safety and efficacy of transcatheter arterial
embolisation (TAE) managing postpartum haemorrhage associated with genital tract
injury (PPH-GTI) and to determine the factors associated with clinical outcomes.
METHODS: From 2002 to 2017, a retrospective analysis was performed in 60 patients
(mean 31.5 years) undergoing TAE for PPH-GTI. Information regarding clinical
data, angiography and embolisation details, and clinical outcomes was obtained.
Univariate analyses were performed to determine the factors related to clinical
outcomes. RESULTS: Technical and clinical success was achieved in 98% and 88%,
respectively. Bleeding foci were observed on angiography in 56 patients (93%).
The major bleeding artery was the vaginal artery (32%, 24/74), followed by the
uterine artery (cervicovaginal branch) (n = 18), internal pudendal artery (n =
13), cervical artery (n = 9), inferior mesenteric artery (n = 4) and external
pudendal artery (n = 3). Embolic agents were gelatin sponge particles (n = 23),
gelatin sponge with permanent embolic agents (microcoils, n-butyl cyanoacrylate)
(n = 34) and permanent embolic agents only (n = 3). In seven patients, bleeding
control failed and was managed by repeat TAE (n = 5) or surgery (n = 2) and with
eventual bleeding control in all of these patients. Univariate analysis showed
that paravaginal haematoma, massive transfusion and long hospital stay were
related to clinical failure. During the mean follow-up period of 33.1 months,
regular menstruation resumed in 95.2% (40/42) and 14 of them became pregnant.
CONCLUSIONS: TAE is safe and effective for treating PPH-GTI. Massive transfusion,
paravaginal haematoma and long hospital stay were related to the failure of
bleeding control. KEY POINTS: * PPH-GTI had a high detection rate of active
bleeding foci on angiography. * Besides vaginal artery, inferior mesenteric and
external pudendal arteries were notable bleeding foci. * Permanent embolic agents
were used more than only gelatin sponge particles. * Paravaginal haematoma and
massive transfusion were related to clinical failure. * TAE for PPH-GTI was safe
and effective with preservation of menstrual cycles.
PMID- 29808430
TI - Comparing dual energy CT and subtraction CT on a phantom: which one provides the
best contrast in iodine maps for sub-centimetre details?
AB - OBJECTIVES: To compare contrast-to-noise ratios (CNRs) and iodine discrimination
thresholds on iodine maps derived from dual energy CT (DECT) and subtraction CT
(SCT). METHODS: A contrast-detail phantom experiment was performed with 2 to 15
mm diameter tubes containing water or iodinated contrast concentrations ranging
from 0.5 mg/mL to 20 mg/mL. DECT scans were acquired at 100 kVp and at 140 kVp+Sn
filtration. SCT scans were acquired at 100 kVp. Iodine maps were created by
material decomposition (DECT) or by subtraction of water scans from iodine scans
(SCT). Matched exposure levels varied from 8 to 15 mGy. Iodine discrimination
thresholds (Cr) and response times were determined by eight observers. RESULTS:
The adjusted mean CNR was 1.9 times higher for SCT than for DECT. Exposure level
had no effect on CNR. All observers discriminated all details >=10 mm at 12 and
15 mGy. For sub-centimetre details, the lowest calculated Cr was <= 0.50 mg/mL
for SCT and 0.64 mg/mL for DECT. The smallest detail was discriminated at >=4.4
mg/mL with SCT and at >=7.4 mg/mL with DECT. Response times were lower for SCT
than DECT. CONCLUSIONS: SCT results in higher CNR and reduced iodine
discrimination thresholds compared to DECT for sub-centimetre details. KEY
POINTS: * Subtraction CT iodine maps exhibit higher CNR than dual-energy iodine
maps * Lower iodine concentrations can be discriminated for sub-cm details with
SCT * Response times are lower using SCT compared to dual-energy CT.
PMID- 29808431
TI - The distribution and enrichment characteristics of copper in soil and Phragmites
australis of Liao River estuary wetland.
AB - The aims of the present investigation were to reveal the distribution and
enrichment characteristics of copper in soil and Phragmites australis of Liao
River estuary wetland. The concentrations of copper in root, stem, leaf, and ear
of Phragmites australis as well as in soil were determined to study the
absorption capacity of copper by wild Phragmites australis of Liao River estuary
wetland. The study was carried out at test pool of the Shenyang Agricultural
University, and the experimental materials (soil, irrigating water and Phragmites
australis) were derived from Liao River estuary wetland. The concentrations of
copper in soil and Phragmites australis were 16.4441 to 49.0209 mg/kg and 0.8621
to 89.5524 mg/kg, respectively. The results indicated that the enrichment
coefficients of copper in different tissues of Phragmites australis changed with
the growth of Phragmites australis. The results revealed that the enrichment
coefficients of copper in the whole Phragmites australis were greater than 1 at
each growing stage of the Phragmites australis. The results also showed that the
transfer coefficients of Phragmites australis to copper changed with the growth
of Phragmites australis. The results revealed that the Phragmites australis had a
good removal effect on copper from soil and had some characteristics of copper
hyperaccumulator.
PMID- 29808432
TI - Effects of night-time on-call work on heart rate variability before bed and sleep
quality in visiting nurses.
AB - PURPOSE: In Japan, many visiting nurses work carrying cell phones to respond to
calls from users even at night (on-call work). The purpose of this study was to
investigate whether on-call work affected heart rate variability (HRV) before bed
and decreased sleep quality in visiting nurses even if their sleep was not
interrupted due to actual calls. METHODS: Thirty-one visiting nurses (mean age,
49.8 years; standard deviation, 6.3 years) were asked to record their 2.5-min
resting HRV before bed, and to undergo one-channel sleep electroencephalography
(EEG) and subjective sleep evaluations upon waking (Oguri, Shirakawa, and Azumi
Sleep Inventory) at home for 4-5 consecutive days, including both on-call and non
on-call days. Paired data sets of outcome measures, including HRV parameters,
sleep macrostructure variables, and subjective sleep quality scores between on
call and non-on-call days were compared; the most recent measurements for each
category were used for each subject. RESULTS: There were no differences in HRV
measures and objective sleep EEG variables. A significant increase in "sleepiness
on rising" and a decrease in "feeling refreshed" were observed on on-call days (P
= 0.019 and 0.021, respectively), and younger subjects (<= 51 years old)
demonstrated a significant reduction in "sleepiness on rising" (significant
interaction effect, P = 0.029). CONCLUSIONS: Adverse effects of on-call work on
sleep quality in most visiting nurses are thought to be subjective, and
relatively young nurses tend to notice a decrease in sleep quality. On-call work
itself does not appear to be a substantial stressor that could affect HRV and
sleep structure.
PMID- 29808433
TI - Recovery from work-related stress: a randomized controlled trial of a stress
management intervention in a clinical sample.
AB - PURPOSE: Randomized controlled trials (RCTs) of interventions aimed at reducing
work-related stress indicate that cognitive behavioural therapy (CBT) is more
effective than other interventions. However, definitions of study populations are
often unclear and there is a lack of interventions targeting both the individual
and the workplace. The aim of this study was to determine whether a stress
management intervention combining individual CBT and a workplace focus is
superior to no treatment in the reduction of perceived stress and stress symptoms
and time to lasting return to work (RTW) in a clinical sample. METHODS: Patients
with work-related stress reactions or adjustment disorders were randomly assigned
to an intervention group (n = 57, 84.2% female) or a control group (n = 80, 83.8%
female). Subjects were followed via questionnaires and register data. The
intervention contained individual CBT and the offer of a workplace meeting. We
examined intervention effects by analysing group differences in score changes on
the Perceived Stress Scale (PSS-10) and the General Health Questionnaire (GHQ
30). We also tested if intervention led to faster lasting RTW. RESULTS: Mean
baseline values of PSS were 24.79 in the intervention group and 23.26 in the
control group while the corresponding values for GHQ were 21.3 and 20.27,
respectively. There was a significant effect of time. 10 months after baseline,
both groups reported less perceived stress and improved mental health. 4 months
after baseline, we found significant treatment effects for both perceived stress
and mental health. The difference in mean change in PSS after 4 months was - 3.09
(- 5.47, - 0.72), while for GHQ it was - 3.91 (- 7.15, - 0.68). There were no
group differences in RTW. CONCLUSIONS: The intervention led to faster reductions
in perceived stress and stress symptoms amongst patients with work-related stress
reactions and adjustment disorders. 6 months after the intervention ended there
were no longer differences between the groups.
PMID- 29808434
TI - Cold sensitivity and associated factors: a nested case-control study performed in
Northern Sweden.
AB - PURPOSE: To identify factors associated with the reporting of cold sensitivity,
by comparing cases to controls with regard to anthropometry, previous illnesses
and injuries, as well as external exposures such as hand-arm vibration (HAV) and
ambient cold. METHODS: Through a questionnaire responded to by the general
population, ages 18-70, living in Northern Sweden (N = 12,627), cold sensitivity
cases (N = 502) and matched controls (N = 1004) were identified, and asked to
respond to a second questionnaire focusing on different aspects of cold
sensitivity as well as individual and external exposure factors suggested to be
related to the condition. Conditional logistic regression analyses were performed
to determine statistical significance. RESULTS: In total, 997 out of 1506 study
subjects answered the second questionnaire, yielding a response rate of 81.7%. In
the multiple conditional logistic regression model, identified associated factors
among cold sensitive cases were: frostbite affecting the hands (OR 10.3, 95% CI
5.5-19.3); rheumatic disease (OR 3.1, 95% CI 1.7-5.7); upper extremity nerve
injury (OR 2.0, 95% CI 1.3-3.0); migraines (OR 2.4, 95% CI 1.3-4.3); and vascular
disease (OR 1.9, 95% CI 1.2-2.9). A body mass index >= 25 was inversely related
to reporting of cold sensitivity (0.4, 95% CI 0.3-0.6). CONCLUSIONS: Cold
sensitivity was associated with both individual and external exposure factors.
Being overweight was associated with a lower occurrence of cold sensitivity; and
among the acquired conditions, both cold injuries, rheumatic diseases, nerve
injuries, migraines and vascular diseases were associated with the reporting of
cold sensitivity.
PMID- 29808435
TI - Mortality in a cohort of Danish firefighters; 1970-2014.
AB - PURPOSE: Occupational exposure of firefighters involves a complex range of
potential health threats from toxic chemicals, shift work, extreme heat, physical
and emotional strain. The aim of this study is to examine overall and disease
specific mortality among Danish firefighters. METHODS: Through systematic
collection of personnel and membership records from employers and trade unions,
past and present male Danish firefighters were identified (n = 11,775). Using the
unique Danish personal identification number, information on additional
employment, vital status and cause of death was linked to each member of the
cohort from the Supplementary Pension Fund Register, the Danish Civil
Registration System and the Danish Register of Causes of Death. Standardized
mortality ratios (SMRs) were calculated for specific causes of death using rates
for two reference groups, a random sample of the male working population (n =
262,168) and the military (n = 396,739), respectively. RESULTS: Overall mortality
was significantly reduced among the firefighters compared to both the sample of
the working population and the military (SMR 0.74, 95% CI 0.69-0.78 and SMR 0.88,
95% CI 0.83-0.93). Further, the SMRs for endocrine diseases, mental disorders,
non-traffic related accidents and other external causes were significantly lower
against both reference groups. Death from stomach cancer was significantly
increased among the full time firefighters, while part time/volunteer workers
shared a significant increase in prostate cancer death compared to both
references. CONCLUSIONS: Despite potential exposure to several occupational
hazards, male Danish firefighters have a lower mortality than both the Danish
working population in general and Danish military employees.
PMID- 29808437
TI - Increased medial and lateral tibial posterior slopes are independent risk factors
for graft failure following ACL reconstruction.
AB - PURPOSE: To analyze the contribution of increased lateral (LTPS) and medial
tibial slopes (MTPS) as independent risk factors of graft failure following
anterior cruciate ligament (ACL) reconstruction. MATERIALS AND METHODS: Fifty
seven patients with graft failure after ACL reconstruction who underwent revision
surgery between 2009 and 2014 were enrolled and matched to a control group of 69
patients with primary anatomic successful ACL reconstruction. Patients were
matched based on age, sex, date of primary surgery and graft type. LTPS and MTPS
were measured on MRI in a blinded fashion. Tibial and femoral tunnel positions
were determined on CT scans. Independent t test was used to compare the MTPS and
LTPS between subgroups. Risks of graft failure associated with an increasing MTPS
and LTPS were analyzed using binary logistic analysis. RESULTS: The means of LTPS
(7.3 degrees ) and MTPS (6.7 degrees ) in the graft failure group were found to
be significantly greater than in the control group (4.6 degrees and 4.1 degrees
, respectively; p = < 0.001). Non-anatomic and anatomic tunnel positions were
found in 42 cases (73.7%) and 15 cases (26.3%), respectively. There were no
significant differences in MTPS or LTPS between patients with anatomic and non
anatomic tunnel positions within the graft failure group. An increase of the MTPS
of 1 degrees was associated with an 1.24 times increased likelihood of
exhibiting graft failure [95% CI 1.07-1.43] (p = 0.003) and an increase of the
LTPS of 1 degrees was associated with an 1.17 times increased likelihood of
exhibiting graft failure [95% CI 1.04-1.31] (p = 0.009). The increased risk was
most evident in patients with a lateral tibial posterior slope of >= 10 degrees .
CONCLUSIONS: Increased LTPS and MTPS are independent risk factors for graft
failure following ACL reconstruction regardless whether tunnel position is
anatomic or non-anatomic. This information may be helpful to clinicians when
considering slope correction in selected revision ACL reconstruction procedures.
PMID- 29808436
TI - Late metabolic precursors for selective aromatic residue labeling.
AB - In recent years, we developed a toolbox of heavy isotope containing compounds,
which serve as metabolic amino acid precursors in the E. coli-based
overexpression of aromatic residue labeled proteins. Our labeling techniques show
excellent results both in terms of selectivity and isotope incorporation levels.
They are additionally distinguished by low sample production costs and meet the
economic demands to further implement protein NMR spectroscopy as a routinely
used method in drug development processes. Different isotopologues allow for the
assembly of optimized protein samples, which fulfill the requirements of various
NMR experiments to elucidate protein structures, analyze conformational dynamics,
or probe interaction surfaces. In the present article, we want to summarize the
precursors we developed so far and give examples of their special value in the
probing of protein-ligand interaction.
PMID- 29808438
TI - Antifungal activity of lactobacilli isolated from Armenian dairy products: an
effective strain and its probable nature.
AB - Different strains of lactobacilli isolated from Armenian dairy products (matsoun,
sour cream and different types of cheeses), were studied for antifungal activity.
Lactobacillus rhamnosus MDC 9661 strain was shown to have inhibitory activity
against Penicillium aurantioviolaceum and Mucor plumbeus growth. Bacterial cell
free supernatant didn't show antifungal activity. The L. rhamnosus antifungal
activity was stable to the wide range of pH from 3 to 10. This activity was high
after treatment with both low temperature (- 30 degrees C) and lysozyme and with
ultrasound. However, it was sensitive to high temperature from 45 to 80 degrees
C and proteolytic enzymes. The results suggest the proteinaceous nature of L.
rhamnosus antifungal activity associated with bacterial cell wall. L. rhamnosus
MDC 9661 could be recommended as a starter for production of dairy products,
functional food and preserving strain in food production.
PMID- 29808439
TI - Correction to: Molecular detection of viruses in Kenyan bats and discovery of
novel astroviruses, caliciviruses and rotaviruses.
AB - The affiliation listed for Cecilia Waruhiu is incorrect. The byline and
affiliation line should appear as shown above.
PMID- 29808440
TI - Petroleum degradation by Pseudomonas sp. ZS1 is impeded in the presence of
antagonist Alcaligenes sp. CT10.
AB - Enhanced bioremediation is a favorable approach for petroleum pollutant cleanup,
which depends on the growth of oil-eating microorganisms. In this study, we show
that, by using the modified T-RFLP (mT-RFLP) methodology, one of the four major
microbial populations derived from oil sludge has failed to propagate in MS
medium supplemented with 2% yeast extract (YE). rDNA sequence-based analysis
indicated that the four populations were Donghicola sp. CT5, Bacillus sp. CT6,
Alcaligenes sp. CT10, and Pseudomonas sp. ZS1. Four purified strains grow well
individually in MS medium supplemented with 2% YE, suggesting that ZS1 growth is
antagonized by other strains. Co-growth analysis using mT-RFLP methodology and
plate inhibitory assay indicated that ZS1 exhibited antagonistic effect against
CT5 and CT6. On the other hand, co-growth analysis and plate inhibition assay
showed that CT10 antagonized against ZS1. To investigate the potential compounds
responsible for the antagonism, supernatant of CT10 culture was subjected to GC
MS analysis. Analysis indicated that CT10 produced a number of antimicrobial
compounds including cyclodipeptide c-(L-Pro-L-Phe), which was known to inhibit
the growth of Pseudomonas sp. Growth test using the purified c-(L-Pro-L-Phe) from
CT10 confirmed its inhibitory activity. We further showed that, using both
gravimetric and GC analysis, CT10 antagonism against the oil-eating ZS1 led to
the diminishing of crude oil degradation. Together, our results indicate that
bioremediation can be affected by environmental antagonists.
PMID- 29808441
TI - Reliability of the Flash Visual Evoked Potential P2: Double-Stimulation Study.
AB - The flash visual evoked potential P2 (FVEP-P2) has been identified as a
potentially useful clinical, diagnostic tool for Alzheimer's dementia (AD) and
mild cognitive impairment (MCIa) due to its association with cholinergic
functioning in the brain. The FVEP-P2 is the second positive component of the VEP
waveform elicited by a single strobe flash. Despite finding a selective delay in
the latency of the FVEP-P2 in AD and MCIa groups, adequate levels of sensitivity
and specificity have not been achieved due to natural group differences and inter
individual variability. In response, Fix and colleagues introduced a novel,
double-stimulation paradigm that contained two strobe flashes (i.e.,
stimulations). The first stimulation served as a visual challenge while the
second stimulation produced the recorded FVEP-P2 component. The results of that
investigation indicated that the latency of the FVEP-P2 could be used to reliably
discriminate between aMCI and healthy controls when the ISI of the double
stimulation condition was 100 ms or higher. Unfortunately, very little is known
regarding the psychometric properties of the FVEP-P2 when produced by a double
stimulation condition. Consequently, we assessed the test-retest reliability of
the FVEP-P2 latency produced by a single- and twelve double-stimulation
conditions in a sample of young, healthy individuals (N = 20). Results indicated
that while the FVEP-P2 latencies produced by the single- and double-stimulation
paradigm were reliable, the intra-individual variability continued to be too high
for the FVEP-P2 latency to be used clinically. Methods of reducing the intra
individual variability are discussed, including the use of monochromatic light.
PMID- 29808443
TI - Improving Evidence Dissemination and Accessibility through a Mobile-based
Resource Platform.
AB - Current mobile information technologies fundamentally influence evidence
dissemination from the perspective of both evidence seekers and evidence
providers. However, there is no related study which tried using a mobile-based
platform to disseminate evidence in China. The main object of this study is to
develop a mobile-based evidence resource platform and to evaluate its effects of
improving nurses' access to evidence-based practice resources and meeting users'
demands. The mobile-based evidence resource platform was developed in 2014. A
cross-sectional study was conducted over a period of 2 months between December
2015 and January 2016 to evaluate user experiences of and preferences regarding
the platform. Descriptive analysis was adopted to analyze information and its
communication effects from December 2014 to March 2017. A total of 472
participants met the inclusion criteria and responded to the survey. High scores
were received for the overall rating (4.34 +/- 0.67), evidence section (4.30 +/-
0.63), learning materials section (4.26 +/- 0.65), news section (4.27 +/- 0.66),
readability (4.38 +/- 0.63), design and structure (4.38 +/- 0.63), and
interactivity (3.58 +/- 0.84). As of March 31, 2017, the total number of
followers was 28,954. The total number of readings was 584,834. The most current
WCI value was 388.72. Our study demonstrated that the mobile-based platform for
evidence transfer can promote the accessibility of evidence and meet users'
demands. This mobile-based platform is currently available in the WeChat
application environment. It will be a wise option for healthcare professionals
for the purposes of learning about EBP and disseminating evidence in China.
PMID- 29808442
TI - High frequency of Polio-like Enterovirus C strains with differential clustering
of CVA-13 and EV-C99 subgenotypes in a cohort of Malawian children.
AB - Enteroviruses (EVs) are among the most commonly detected viruses infecting humans
worldwide. Although the prevalence of EVs is widely studied, the status of EV
prevalence in sub-Saharan Africa remains largely unknown. The objective of our
present study was therefore to increase our knowledge on EV circulation in sub
Saharan Africa. We obtained 749 fecal samples from a cross-sectional study
conducted on Malawian children aged 6 to 60 months. We tested the samples for the
presence of EVs using real time PCR, and typed the positive samples based on
partial viral protein 1 (VP1) sequences. A large proportion of the samples was EV
positive (89.9%). 12.9% of the typed samples belonged to EV species A (EV-A),
48.6% to species B (EV-B) and 38.5% to species C (EV-C). More than half of the EV
C strains (53%) belonged to subgroup C containing, among others, Poliovirus (PV)
1-3. The serotype most frequently isolated in our study was CVA-13, followed by
EV-C99. The strains of CVA-13 showed a vast genetic diversity, possibly
representing a new cluster, 'F'. The majority of the EV-C99 strains grouped
together as cluster B. In conclusion, this study showed a vast circulation of EVs
among Malawian children, with an EV prevalence of 89.9%. Identification of
prevalences for species EV-C comparable to our study (38.5%) have only previously
been reported in sub-Saharan Africa, and EV-C is rarely found outside of this
region. The data found in this study are an important contribution to our current
knowledge of EV epidemiology within sub-Saharan Africa.
PMID- 29808444
TI - Insight into hydrogen bonds and characterization of interlayer spacing of
hydrated graphene oxide.
AB - The number of hydrogen bonds and detailed information on the interlayer spacing
of graphene oxide (GO) confined water molecules were calculated through
experiments and molecular dynamics simulations. Experiments play a crucial role
in the modeling strategy and verification of the simulation results. The binding
of GO and water molecules is essentially controlled by hydrogen bond networks
involving functional groups and water molecules confined in the GO layers. With
the increase in the water content, the clusters of water molecules are more
evident. The water molecules bounding to GO layers are transformed to a free
state, making the removal of water molecules from the system difficult at low
water contents. The diffuse behaviors of the water molecules are more evident at
high water contents. With an increase in the water content, the functional groups
are surrounded by fewer water molecules, and the distance between the functional
groups and water molecules increases. As a result, the water molecules adsorbed
into the GO interlamination will enlarge the interlayer spacing. The interlayer
spacing is also affected by the number of GO layers. These results were confirmed
by the calculations of number of hydrogen bonds, water state, mean square
displacement, radial distribution function, and interlayer spacing of hydrated
GO. Graphical Abstract This work research the interaction between GO functional
groups and confined water molecules. The state of water molecules and interlayer
spacing of graphene oxide were proved to be related to the number of hydrogen
bonds.
PMID- 29808445
TI - Assessing Disparities in the Rates of HCV Diagnoses Within American Indian or
Alaska Native Populations Served by the U.S. Indian Health Service, 2005-2015.
AB - Hepatitis C virus (HCV) disproportionately affects American Indians/Alaska
Natives (AI/AN). The Indian Health Service (IHS), via federal and tribal health
facilities provides medical services to an estimated 2.2 million AI/AN people in
the United States. HCV diagnoses, defined by International Classification of
Diseases 9th Revision, Clinical Modification (ICD-9-CM) codes, were analyzed from
2005 to 2015. Results showed 29,803 patients with an HCV diagnosis; 53.4% were
among persons born 1945-1965 and overall HCV burden was higher among males than
females. These data will help inform local, regional, and national efforts to
address, plan for and carry out a national strategy to provide treatment for HCV
infected patients and programs to prevent new HCV infections.
PMID- 29808446
TI - Correction to: Religiosity and Health-Related Quality of Life: A Cross-Sectional
Study on Filipino Christian Hemodialysis Patients.
AB - The authors regret that the following error occurred in the original publication
of the article. The corrected text has been presented with this erratum. In the
Ethical Consideration section, the first statement, "The hospital's research
ethics committee granted permission to conduct the study" should read "The
hospital's administration granted permission to conduct the study."
PMID- 29808447
TI - Which should be the correct treatment for monoclonal gammopathy of renal
significance with complement alternative pathway dysregulation (C3 glomerulopathy
and atypical hemolytic uremic syndrome): clone-directed or anticomplement
therapy?
PMID- 29808449
TI - Permanence and Extinction of a Diffusive Predator-Prey Model with Robin Boundary
Conditions.
AB - The main concern of this paper is to study the dynamic of a predator-prey system
with diffusion. It incorporates the Holling-type-II and a modified Leslie-Gower
functional responses under Robin boundary conditions. More concretely, we study
the dissipativeness of the system by using the comparison principle, and we
derive a criteria for permanence and for predator extinction.
PMID- 29808448
TI - Transcriptome analysis supports viral infection and fluoride toxicity as
contributors to chronic kidney disease of unknown etiology (CKDu) in Sri Lanka.
AB - PURPOSE: Chronic kidney disease of unknown etiology (CKDu), having epidemic
characteristics, is being diagnosed increasingly in certain tropical regions of
the world, mainly Latin America and Sri Lanka. They have been observed primarily
in farming communities and current hypotheses point toward many environmental and
occupational triggers. CKDu does not have common etiologies of chronic kidney
disease (CKD) such as hypertension, diabetes, or autoimmune disease. We aimed to
understand the molecular processes underlying CKDu in Sri Lanka using
transcriptome analysis. METHODS: RNA extracted from whole blood was reverse
transcribed and used for microarray analysis using the Human HT-12 v.4 array
(Illumina). Pathway analysis was carried out using ingenuity pathway analysis
(IPA-Qiagen). Microarray results were validated using real-time PCR of five
selected genes. RESULTS: Pathways related to innate immune response, including
interferon signaling, inflammasome signaling and TREM1 signaling had the most
significant positive activation z scores, where as EIF2 signaling and mTOR
signaling had the most significant negative activation z scores. Pathways
previously linked to fluoride toxicity; G-protein activation, Cdc42 signaling,
Rac signaling and RhoA signaling were activated in CKDu patients. The most
significantly activated biological functions were cell death, cell movement and
antimicrobial response. Significant toxicological functions were mitochondrial
dysfunction, oxidative stress and apoptosis. CONCLUSIONS: Based on the molecular
pathway analysis in CKDu patients and review of literature, viral infections and
fluoride toxicity appear to be contributing to the molecular mechanisms
underlying CKDu.
PMID- 29808450
TI - Relation to enterocins of variable Aeromonas species isolated from trouts of
Slovakian aquatic sources and detected by MALDI-TOF mass spectrometry.
AB - Aeromonads represent bacteria thought to be primarily mostly autochthonous to
aquatic environments. This study was focused on the relation with antibiotics and
enterocins of identified Aeromonas species isolated from the intestine of trouts
living in Slovakian aquatic sources. Intestinal samples from 50 trouts (3 Salmo
trutta and 47 Salmo gairdnerii) were collected in April of years 2007, 2010, and
2015 from trouts of different water sources in Slovakia (pond Bukovec near
Kosice, river Cierny Vah). Due to the MALDI-TOF mass spectrometry evaluation, 25
strains were proposed to the genus Aeromonas involving nine different species
(Aeromonas bestiarum-nine strains, Aer. salmonicida-four strains, Aer. encheleia,
Aer. eucrenophila, Aer. molluscorum, Aer. media, Aer. sobria, Aer. popoffii, Aer.
veronii). Phenotypic evaluation of individual strains confirmed their species
identification. Twenty-five strains of different Aeromonas species were sensitive
to azithromycin, amikacin, mecillinam, mezlocillin, piperacillin, gentamicin,
chloramphenicol, and tetracycline. On the other side, they were resistant to
carbenicillin and ticarcillin. The growth of Aer. bestiarum R41/1 was inhibited
by treatment with Ent M and Ent 2019 (inhibition activity 100 AU/mL). Aer.
bestiarum R47/3 was inhibited by eight enterocins (100 AU/mL). It is the first
study testing enterocins to inhibit the growth of Aeromonas species from trouts.
PMID- 29808451
TI - An Update on Calciphylaxis.
AB - Calciphylaxis, also known as calcific uremic arteriolopathy and uremic small
artery disease with medial wall calcification and intimal hyperplasia, is a
multifactorial cutaneous vascular disease characterized by chronic, painful, non
healing wounds that occur frequently in patients with chronic kidney disease,
predominantly in those with end-stage renal disease. The pathogenesis remains
unclear, and the development of calciphylaxis lesions depends on medial
calcification, intimal fibrosis of arterioles and thrombotic occlusion. Despite
an increase in reports of calciphylaxis in the literature and clinical
recognition of demographic characteristics and risk factors associated with
calciphylaxis, it remains a poorly understood disease with high morbidity and
mortality. In this review, we analyze and summarize the clinical manifestations,
pathogenesis and pathophysiology, histopathology, differential diagnosis,
diagnostic workup and treatment modalities for calciphylaxis. Because of the lack
of consensus regarding the optimal approach to and treatment of this disorder, a
high degree of clinical suspicion, early diagnosis, and multimodal and
multidisciplinary treatment in collaboration with dermatology, nephrology, wound
care, nutrition and pain management specialties may improve survival in patients
with calciphylaxis.
PMID- 29808452
TI - Redescription of Caligus pagelli Delamare Deboutteville & Nunes-Ruivo, 1958
(Copepoda: Caligidae) on the common pandora, Pagellus erythrinus (Linnaeus), in
western Mediterranean waters off Corsica, France.
AB - Caligus pagelli Delamare Deboutteville & Nunes-Ruivo, 1958, a rare and poorly
known sea louse, is redescribed based on newly collected specimens of both sexes.
The new material was collected from the common pandora Pagellus erythrinus
(Linnaeus) caught in western Mediterranean waters off Corsica. The original and
only available description of C. pagelli was incomplete and based only on
females. Here we redescribe the female in detail, and describe the male for the
first time.
PMID- 29808453
TI - New species of Cloacina von Linstow, 1898 (Nematoda: Strongyloidea) parasitic in
the stomachs of wallaroos, Osphranter spp. (Marsupialia: Macropodidae) from
northern Australia.
AB - Three new species of the parasitic nematode genus Cloacina von Linstow, 1898
(Strongyloidea: Cloacininae) are described from the stomachs of wallaroos,
Osphranter spp. (Marsupialia: Macropodidae), from northern Australia. Cloacina
spearei n. sp. is described from O. robustus woodwardi (Thomas) and O.
antilopinus (Gould) and is distinguished from congeners by the shape of the
cephalic papillae, the shallow buccal capsule, the presence of an oesophageal
denticle and the convoluted but non-recurrent vagina in the female. Cloacina
longibursata n. sp. also from O. robustus woodwardi and O. antilopinus is
distinguished from congeners by the elongate dorsal lobe of the bursa, with the
origin of the lateral branchlets posterior to the principal bifurcation, in the
features of the spicule tip, the lack of bosses lining the oesophagus and the
absence of an oesophageal denticle. Cloacina crassicaudata n. sp., from the same
two host species was formerly identified as C. cornuta (Davey & Wood, 1938).
Differences in the cephalic cuticle (inflation lacking in the new species), the
shape of the cephalic papillae, the dorsal oesophageal tooth and the spicule
tips, as well as differences in the sequences of the internal transcribed spacers
of the nuclear ribosomal DNA, indicate that this is an independent species. The
geographical distribution of this species is disjunct with populations in both
the Northern Territory and Queensland. Possible reasons for the disjunct
distribution are discussed.
PMID- 29808454
TI - Decolorization of Reactive Black 5 and Reactive Blue 4 Dyes in Microbial Fuel
Cells.
AB - Microbial fuel cells (MFCs) have potential to treat industrial wastewater
containing organic compounds and simultaneously generate power. Organic compounds
include textile dyes with various chromophore groups, which can be decolorized
reductively by microorganisms under anaerobic conditions. In the present study,
we examined the decolorization of Reactive Black 5 (RB5) azo dye and Reactive
Blue 4 (RBL4) anthraquinone dye under open circuit potential in MFCs with
graphite plate and graphite felt electrodes and a microbial consortium originally
derived from bovine rumen fluid. RB5 dye was more than 90% decolorized in 120,
165, and 225 min at 50, 100, and 200 mg L-1 concentrations, respectively. RBL4
dye at 50 and 100 mg L-1 took 225 and 300 min to decolorize, while 200 mg L-1
RBL4 dye was not decolorized at all. Under closed circuit conditions,
decolorization increased with decrease in external load, whereas current
generation increased with external resistance. The results demonstrate that the
reductive cleavage of the chromophore was more rapid with RB5 than with RBL4.
PMID- 29808455
TI - An Enzyme Immunoassay for Determining Immunoreactive Trypsinogen (IRT) in Dried
Blood Spots on Filter Paper Using an Ultra-Microanalytical System.
AB - Cystic fibrosis (CF) is a severe autosomal recessive disorder. It is caused by
mutations in the CF transmembrane conductance regulator gene. Early diagnosis of
CF can be carried out by determining high immunoreactive trypsinogen (IRT) blood
values in newborns. A simple sandwich-type ultramicroELISA assay (UMELISA(r)) has
been developed for the measurement of IRT in dried blood spots on filter paper.
Strips coated with a high affinity monoclonal antibody directed against IRT are
used as solid phase, to ensure the specificity of the assay. The assay is carried
out within 20 h. The useful rank of the curve is 0-500 ng/mL, and the lowest
detectable concentration is 4.8 ng/mL. Intra- and inter-assay coefficients of
variation were lower than 10%. The recovery mean value was 100.3 +/- 11.2%. Cross
reactivity with proteins structurally related to IRT (alpha2-macroglobulin,
alpha1-antitrypsin, and human chymotrypsin) was lower than the detection limit of
the assay. Four thousand four hundred six newborn samples from the Cuban Newborn
Screening Program were analyzed, and the mean IRT concentration was 12.8 ng/mL.
Higher IRT values were obtained when samples were eluted overnight. Regression
analysis showed a good correlation with the commercially available AutoDELFIA(r)
Neonatal IRT kit (n = 3948, r = 0.885, k = 0.976, p < 0.01). The analytical
performance characteristics of our UMELISA(r) TIR Neonatal suggest that it can be
used for the neonatal screening of CF.
PMID- 29808457
TI - Total en-bloc spondylectomy through a posterior approach: technique and surgical
outcome in thoracic metastases.
AB - BACKGROUND: In 1981, Roy-Camille et al. have firstly reported the total en-bloc
spondylectomy (TES) through a posterior approach for cases of malignant spine
tumors in order to reduce the local recurrence and to increase the patient's
survival. By then, this surgery has been increasingly gaining recognition.
However, it requires a high level of technical ability and knowledge of spinal
anatomy, physiology, and biomechanics. METHOD: Herein, we report the patient's
selection and technique to execute the TES for cases of thoracic metastasis.
CONCLUSION: This surgery is technically demanding so the patient's selection
requires a careful pre-operative evaluation. However, it can be suggested for
patients affected by intracompartmental lesions with a good prognosis since the
tumor's progression is "limited" by local barriers as demonstrated by
histological studies.
PMID- 29808456
TI - Late-onset Pneumocystis jirovecii pneumonia (PJP) in patients with ANCA
associated vasculitis.
AB - Immunosuppression in anti-neutrophil cytoplasmic antibody (ANCA)-associated
vasculitis (AAV) is complicated by increasing risk of infections including
opportunistic infections like Pneumocystis jirovecii pneumonia (PJP). Available
evidence on risk factors and indications for prophylaxis in AAV is derived from
PJP occurring early in the course of AAV. In this retrospective study, we
characterized the profile of PJP in patients with AAV. PJP cases were identified
retrospectively based on positive polymerase chain reaction test from electronic
record followed by confirmation from medical records over a 10-year period. AAV
patients without PJP over the same period were used as control group. Sixteen
PJP+AAV+ were identified; in 14 of them, we were able to confirm they received
PJP prophylaxis during induction therapy, while in two cases, data were missing.
The onset of the infection was after 6 months from AAV diagnosis in 80% of cases.
Escalations in immunosuppression prior to PJP were observed in six cases within 3
months prior to PJP onset. Overall mortality was 12.5%. By univariate analysis,
renal involvement at AAV diagnosis was associated with PJP. These results
indicate that PJP is not limited to the first 6 months following AAV diagnosis.
Late-onset infection can occur in context of augmented immunotherapy,
particularly with concurrent lymphopenia. Other risk factors that can
independently predict late-onset PJP remain to be identified.
PMID- 29808458
TI - Transfer of obturator nerve for femoral nerve injury: an experiment study in
rats.
AB - BACKGROUND: Quadriceps palsy is mainly caused by proximal lesions in the femoral
nerve. The obturator nerve has been previously used to repair the femoral nerve,
although only a few reports have described the procedure, and the outcomes have
varied. In the present study, we aimed to confirm the feasibility and
effectiveness of this treatment in a rodent model using the randomized control
method. METHODS: Sixty Sprague-Dawley rats were randomized into two groups: the
experimental group, wherein rats underwent femoral neurectomy and obturator nerve
transfer to the femoral nerve motor branch; and the control group, wherein rats
underwent femoral neurectomy without nerve transfer. Functional outcomes were
measured using the BBB score, muscle mass, and histological assessment. RESULTS:
At 12 and 16 weeks postoperatively, the rats in the experimental group exhibited
recovery to a stronger stretch force of the knee and higher BBB score, as
compared to the control group (p < 0.05). The muscle mass and myofiber cross
sectional area of the quadriceps were heavier and larger than those in the
control group (p < 0.05). A regenerated nerve with myelinated and unmyelinated
fibers was observed in the experimental group. No significant differences were
observed between groups at 8 weeks postoperatively (p > 0.05). CONCLUSIONS:
Obturator nerve transfer for repairing femoral nerve injury was feasible and
effective in a rat model, and can hence be considered as an option for the
treatment of femoral nerve injury.
PMID- 29808459
TI - mPGES-1 and ALOX5/-15 in tumor-associated macrophages.
AB - The tumor immune landscape gained considerable interest based on the knowledge
that genetic aberrations in cancer cells alone are insufficient for tumor
development. Macrophages are basically supporting all hallmarks of cancer and
owing to their tremendous plasticity they may exert a whole spectrum of anti
tumor and pro-tumor activities. As part of the innate immune response,
macrophages are armed to attack tumor cells, alone or in concert with distinct T
cell subsets. However, in the tumor microenvironment, they sense nutrient and
oxygen gradients, receive multiple signals, and respond to this incoming
information with a phenotype shift. Often, their functional output repertoire is
shifted to become tumor-supportive. Incoming and outgoing signals are chemically
heterogeneous but also comprise lipid mediators. Here, we review the current
understanding whereby arachidonate metabolites derived from the cyclooxygenase
and lipoxygenase pathways shape the macrophage phenotype in a tumor setting. We
discuss these findings in the context of cyclooxygenase-2 (COX-2) and microsomal
prostaglandin E synthase-1 (mPGES-1) expression and concomitant prostaglandin E2
(PGE2) formation. We elaborate the multiple actions of this lipid in affecting
macrophage biology, which are sensors for and generators of this lipid. Moreover,
we summarize properties of 5-lipoxygenases (ALOX5) and 15-lipoxygenases (ALOX15,
ALOX15B) in macrophages and clarify how these enzymes add to the role of
macrophages in a dynamically changing tumor environment. This review will
illustrate the potential routes how COX-2/mPGES-1 and ALOX5/-15 in macrophages
contribute to the development and progression of a tumor.
PMID- 29808460
TI - The role of lipid signaling in the progression of malignant melanoma.
AB - In the past decades, a vast amount of data accumulated on the role of lipid
signaling pathways in the progression of malignant melanoma, the most
metastatic/aggressive human cancer type. Genomic studies identified that PTEN
loss is the leading factor behind the activation of the PI3K-signaling pathway in
melanoma, mutations of which are one of the main resistance mechanisms behind
target therapy failures. On the other hand, illegitimate expressions of
megakaryocytic genes p12-lipoxyganse, cyclooxygenase-2, and phosphodiestherase
2/autotaxin (ATX) are mostly involved in the regulation of motility signaling in
melanoma through various G-protein-coupled bioactive lipid receptors.
Furthermore, endocannabinoid signaling can also be a novel paracrine survival
factor in melanoma. Last but not least, prenylation inhibitors acting even on
mutated small GTP-ases, such as NRAS of melanoma may offer novel therapeutic
opportunities. As regards melanoma, the most effective therapy nowadays is
immunotherapy, with the resistance mechanisms also possibly involving the lipid
signaling activities of melanoma cells, which further supports the idea of their
being therapeutic targets.
PMID- 29808461
TI - Angiogenesis and vascular stability in eicosanoids and cancer.
AB - Angiogenesis and inflammation are hallmarks of cancer. Arachidonic acid and other
polyunsaturated fatty acids (PUFAs) are primarily metabolized by three distinct
enzymatic systems initiated by cyclooxygenases, lipoxygenases, and cytochrome
P450 enzymes (CYP) to generate bioactive eicosanoids, including prostanoids,
leukotrienes, hydroxyeicosatetraenoic acids, and epoxyeicosatrienoic acids. As
some of the PUFA metabolites playing essential roles in inflammatory processes,
these pathways have been widely studied as therapeutic targets of inflammation.
Because of their anti-inflammatory effects, these pathways were also proposed as
anti-cancer targets. However, although the eicosanoids were linked to endothelial
cell proliferation and angiogenesis almost two decades ago, it is only recently
PUFA metabolites, especially those generated by CYP enzymes and the soluble
epoxide hydrolase (sEH), have been recognized as important signaling mediators in
physiological and pathological angiogenesis. Despite the fact that tumor growth
and invasion are heavily dependent on inner-tumor angiogenesis and influenced by
vascular stability, the role played by PUFA metabolites in tumor angiogenesis and
vessel integrity has been largely overlooked. This review highlights current
knowledge on the function of PUFA metabolites generated by the CYP/sEH pathway in
angiogenesis and vascular stability as well as their potential involvement in
cancer development.
PMID- 29808462
TI - Improvisation versus guideline concordance in surgical antibiotic prophylaxis: a
qualitative study.
AB - PURPOSE: Surgical antibiotic prophylaxis (SAP) is a common area of antimicrobial
misuse. The aim of this study was to explore the social dynamics that influence
the use of SAP. METHODS: 20 surgeons and anaesthetists from a tertiary referral
hospital in Australia participated in semi-structured interviews focusing on
experiences and perspectives on SAP prescribing. Interview data were analysed
using the framework approach. RESULTS: Systematic analysis of the participants'
account of the social factors influencing SAP revealed four themes. First,
antibiotic prophylaxis is treated as a low priority with the competing demands of
the operating theatre environment. Second, whilst guidelines have increased in
prominence in recent years, there exists a lack of confidence in their ability to
protect the surgeon from responsibility for infectious complications (thus
driving SAP over-prescribing). Third, non-concordance prolonged duration of SAP
is perceived to be driven by benevolence for the individual patient. Finally,
improvisation with novel SAP strategies is reported as ubiquitous, and
acknowledged to confer a sense of reassurance to the surgeon despite potential
non-concordance with guidelines or clinical efficacy. CONCLUSIONS: Surgical
specific concerns have thus far not been meaningfully integrated into
antimicrobial stewardship (AMS) programmes, including important dynamics of
confidence, trust and mitigating fear of adverse infective events. Surgeons
require specific forms of AMS support to enact optimisation, including support
for strong collaborative ownership of the surgical risk of infection, and intra
specialty (within surgical specialties) and inter-specialty (between surgery,
anaesthetics and infectious diseases) intervention strategies to establish
endorsement of and address barriers to guideline implementation.
PMID- 29808464
TI - Ascorbic acid induces cardiac differentiation of white adipose tissue-derived
stem cells.
AB - White adipose tissue (WAT) is the bulk of fatty tissues in humans. Enhancing the
potential of WAT-derived stem cells (WATDCs) to generate cardiomyocytes may help
supply sufficient number of therapeutically potent cells for heart repair in
vivo. Therefore, we investigated whether ascorbic acid (AA) could facilitate the
cardiac differentiation of WATDCs and the underlying mechanisms. Our results
indicated that AA dose-dependently stimulates the cardiac differentiation of
WATDCs, which is supported by the up-regulated expression of cardiac markers and
the appearance of myotube-like cell morphologies. Time-course study showed that
the front phase (0-4 days) is crucial for the action of AA on cardiac
differentiation, which hints that AA may take effect through enhancing the
proliferation of cardiac progenitor cells. EdU assay ascertained AA indeed
promotes cell growth dose-dependently in the front phase. Further investigation
indicated that AA induces the phosphorylation of MEK and ERK, and the synthesis
of collagen I (Col I). Interference of MEK/ERK activity or Col I synthesis blocks
the cardiomyogenic activity of AA in WATDCs. These findings demonstrated that AA
facilitates WATDC cardiogenesis via promoting the proliferation of cardiac
progenitor cells through MEK/ERK signaling and collagen synthesis.
PMID- 29808465
TI - A novel missense variant in the SDR domain of the WWOX gene leads to complete
loss of WWOX protein with early-onset epileptic encephalopathy and severe
developmental delay.
AB - The human WWOX (WW domain-containing oxidoreductase) gene, originally known as a
tumor suppressor gene, has been shown to be important for brain function and
development. In recent years, mutations in WWOX have been associated with a wide
phenotypic spectrum of autosomal recessively inherited neurodevelopmental
disorders. Whole exome sequencing was completed followed by Sanger sequencing to
verify segregation of the identified variants. Functional WWOX analysis was
performed in fibroblasts of one patient. Transcription and translation were
assessed by quantitative real-time PCR and Western blotting. We report two
related patients who presented with early epilepsy refractory to treatment,
progressive microcephaly, profound developmental delay, and brain MRI
abnormalities. Additionally, one of the patients showed bilateral optic atrophy.
Whole exome sequencing revealed homozygosity for a novel missense variant
affecting the evolutionary conserved amino acid Gln230 in the catalytic short
chain dehydrogenase/reductase (SDR) domain of WWOX in both girls. Functional
studies showed normal levels of WWOX transcripts but absence of WWOX protein. To
our knowledge, our patients are the first individuals presenting the more severe
end of the phenotypic spectrum of WWOX deficiency, although they were only
affected by a single missense variant of WWOX. This could be explained by the
functional data indicating an impaired translation or premature degradation of
the WWOX protein.
PMID- 29808466
TI - A deep learning framework for segmentation and pose estimation of pedicle screw
implants based on C-arm fluoroscopy.
AB - PURPOSE: Pedicle screw fixation is a challenging procedure with a concerning
rates of reoperation. After insertion of the screws is completed, the most common
intraoperative verification approach is to acquire anterior-posterior and lateral
radiographic images, based on which the surgeons try to visually assess the
correctness of insertion. Given the limited accuracy of the existing verification
techniques, we identified the need for an accurate and automated pedicle screw
assessment system that can verify the screw insertion intraoperatively. For doing
so, this paper offers a framework for automatic segmentation and pose estimation
of pedicle screws based on deep learning principles. METHODS: Segmentation of
pedicle screw X-ray projections was performed by a convolutional neural network.
The network could isolate the input X-rays into three classes: screw head, screw
shaft and background. Once all the screw shafts were segmented, knowledge about
the spatial configuration of the acquired biplanar X-rays was used to identify
the correspondence between the projections. Pose estimation was then performed to
estimate the 6 degree-of-freedom pose of each screw. The performance of the
proposed pose estimation method was tested on a porcine specimen. RESULTS: The
developed machine learning framework was capable of segmenting the screw shafts
with 93% and 83% accuracy when tested on synthetic X-rays and on clinically
realistic X-rays, respectively. The pose estimation accuracy of this method was
shown to be [Formula: see text] and [Formula: see text] on clinically realistic X
rays. CONCLUSIONS: The proposed system offers an accurate and fully automatic
pedicle screw segmentation and pose assessment framework. Such a system can help
to provide an intraoperative pedicle screw insertion assessment protocol with
minimal interference with the existing surgical routines.
PMID- 29808467
TI - Performance of the Autism Spectrum Rating Scale and Social Responsiveness Scale
in Identifying Autism Spectrum Disorder Among Cases of Intellectual Disability.
AB - The Autism Spectrum Rating Scale (ASRS) and the Social Responsiveness Scale (SRS)
have been widely used for screening autism spectrum disorder (ASD) in the general
population during epidemiological studies, but studies of individuals with
intellectual disability (ID) are quite limited. Therefore, we recruited the
parents/caregivers of 204 ASD cases, 71 ID cases aged 6-18 years from special
education schools, and 402 typically developing (TD) children in the same age
span from a community-based population to complete the ASRS and SRS. The results
showed that the ID group scored significantly lower on total and subscale scores
than the ASD group on both scales (P < 0.05) but higher than TD children (P <
0.05). Receiver operating characteristic analyses demonstrated a similar fair
performance in discriminating ASD from ID with the ASRS (area under the curve
(AUC) = 0.709, sensitivity = 77.0%, specificity = 52.1%, positive predictive
value (PPV) = 82.2%) and the SRS (AUC = 0.742, sensitivity = 59.8%, specificity =
77.5%, PPV = 88.4%). The results showed that individuals with ID had clear
autistic traits and discriminating ASD from ID cases was quite challenging, while
assessment tools such as ASRS and SRS, help to some degree.
PMID- 29808463
TI - Safety and efficacy of ombitasvir/paritaprevir/ritonavir/dasabuvir plus ribavirin
in patients over 65 years with HCV genotype 1 cirrhosis.
AB - PURPOSE: To analyse safety and efficacy of treatment based on
ombitasvir/paritaprevir/ritonavir/dasabuvir plus ribavirin in the sub-group of
GT1 patients older than 65 years. METHODS: We collected data extracted from the
ABACUS compassionate-use nationwide Italian programme, in patients with cirrhosis
due to hepatitis C virus (HCV) Genotype-1 (GT1) or 4 and at high risk of
decompensation. GT1-HCV-infected patients received once-daily
ombitasvir/paritaprevir, with the pharmacokinetic enhancer ritonavir (25/150/100
mg) and twice-daily dasabuvir (250 mg) plus Ribavirin (RBV) (OBV/PTV/r + DSV +
RBV) for 12 (GT1b) or 24 (GT1a) weeks. Endpoints were to evaluate safety and
efficacy, the latter defined as HCV RNA negative 12 weeks after the end of
treatment (SVR12). RESULTS: Patients who suffered any adverse event (AE) were
74/240 (30.8%); 13/240 (5.4%) discontinued the treatment. A multivariate analysis
found albumin < 3.5 g/dL (OR 2.04: 95% CI 1.0-4.2, p < 0.05) and hypertension (OR
4.6: 95% CI 2.3-9.2, p < 0.001) as variables independently associated with AE
occurrence. The SVR12 was 95% (228/240). Multivariate analysis identified
baseline bilirubin < 2 mg/dL (OR 4.9: 95% CI 1.17-20.71, p = 0.029) as the only
variable independently associated with SVR12. CONCLUSION: Our findings suggest
that OBV/PTV/r + DSV + RBV is safe and effective in real-life use in patients
with compensated cirrhosis, HCV-GT1 infection, and age over 65.
PMID- 29808468
TI - Keeping Our Eyes on the Prize: Focusing on Parenting Supports Depressed Parents'
Involvement in Home Visiting Services.
AB - Objectives Improving family retention and engagement is crucial to the success of
home visiting programs. Little is known about retaining and engaging depressed
parents in services. The purpose of the study is to examine how home visit
content moderates the association between depression and retention and
engagement. Methods The sample (N = 1322) was served by Healthy Families America
(n = 618) and Parents as Teachers (n = 704) between April 1, 2012 and June 30,
2016. Parents averaged 23 years (SD = 6). Nearly half of the parents were White
(48%) and the majority was single (71%). Depression was screened with the Patient
Health Questionnaire-2. Home visitors reported the percent of time focused on
particular content and parent engagement at every home visit. Results Multilevel
regression analyses showed the amount of time that home visitors spent supporting
parent-child interaction moderated the association between depression and
retention at 6 (B = .08, SE = .03, p = .003) and 12 (B = .1, SE = .03, p < .001)
months, such that there was a stronger positive association for depressed
parents. The main effects of child development focused content and retention at 6
(B = .07, SE = .01, p < .001) and 12 (B = .08, SE = .01, p < .001) months were
positive, while effects of case management focused content at 6 (B = - .06, SE =
.01, p < .001) and 12 (B = - .07, SE = .01, p < .001) months were negative.
Conclusions Families were more likely to be retained when home visitors focused
on child development and parent-child interaction, but less likely with more case
management focus. Parents with positive depression screens were more likely to
remain in services with more time spent focused on supporting parent-child
interactions.
PMID- 29808469
TI - MD1003 (High-Dose Pharmaceutical-Grade Biotin) for the Treatment of Chronic
Visual Loss Related to Optic Neuritis in Multiple Sclerosis: A Randomized, Double
Blind, Placebo-Controlled Study.
AB - BACKGROUND: Chronic visual loss is a disabling feature in patients with multiple
sclerosis (MS). It was recently shown that MD1003 (high-dose pharmaceutical-grade
biotin or hdPB) may improve disability in patients with progressive MS.
OBJECTIVE: The aim of this study was to evaluate whether MD1003 improves vision
compared with placebo in MS patients with chronic visual loss. METHODS: The MS-ON
was a 6-month, randomized, double-blind, placebo-controlled study with a 6-month
open-label extension phase. Adult patients with MS-related chronic visual loss of
at least one eye [visual acuity (VA) below 0.5 decimal chart] were randomized 2:1
to oral MD1003 300 mg/day or placebo. The selected eye had to show worsening of
VA within the past 3 years following either acute optic neuritis (AON) or slowly
progressive optic neuropathy (PON). The primary endpoint was the mean change from
baseline to month 6 in VA measured in logarithm of the minimum angle of
resolution (logMAR) at 100% contrast of the selected eye. Visually evoked
potentials, visual field, retinal nerve fiber layer (RNFL) thickness, and health
outcomes were also assessed. RESULTS: Ninety-three patients received MD1003 (n =
65) or placebo (n = 28). The study did not meet its primary endpoint, as the mean
change in the primary endpoint was nonsignificantly larger (p = 0.66) with MD1003
(- 0.061 logMAR, + 3.1 letters) than with placebo (- 0.036 logMAR, + 1.8
letters). Pre-planned subgroup analyses showed that 100% contrast VA improved by
a mean of + 2.8 letters (- 0.058 logMAR) with MD1003 and worsened by - 1.5
letters (+ 0.029 logMAR) with placebo (p = 0.45) in the subgroup of patients with
PON. MD1003-treated patients also had nonsignificant improvement in logMAR at 5%
contrast and in RNFL thickness and health outcome scores when compared with
placebo-treated patients. There was no superiority of MD1003 vs placebo in
patients with AON. The safety profile of MD1003 was similar to that of placebo.
CONCLUSIONS: MD1003 did not significantly improve VA compared with placebo in
patients with MS experiencing chronic visual loss. An interesting trend favoring
MD1003 was observed in the subgroup of patients with PON. Treatment was overall
well tolerated. TRIAL REGISTRATION: EudraCT identifier 2013-002112-27.
ClinicalTrials.gov Identifier: NCT02220244 FUNDING: MedDay Pharmaceuticals.
PMID- 29808470
TI - Foliar application of selenium for protection against the first stages of
mycotoxin infection of crop plant leaves.
AB - BACKGROUND: The aim of this study was to investigate whether the application of
selenium (Se) ions directly to the leaf surface can protect plants against
infection by the fungal toxin zearalenone (ZEA). The experiments were performed
for the most common and agronomically important crops such as wheat, oat, and
barley (both tolerant and sensitive varieties) because mycotoxin accumulation in
plants is the cause of many diseases in animals and people. RESULTS: ZEA at a
concentration of 10 umol L-1 either alone or in combination with Se (5 umol L-1
Na2 SeO4 ) was applied to the second leaf of seedlings. Visualization of leaf
temperature profiles by infrared thermography demonstrated a decrease in
temperature at the location of ZEA infection that was more noticeable in
sensitive genotypes. The presence of Se significantly suppressed changes at the
site of ZEA application in all tested plants, especially the tolerant genotypes.
Microscopic observations confirmed that foliar administration of ZEA resulted in
its penetration to deeper localized cells and that damage induced by ZEA (mainly
to chloroplasts) decreased after Se application. Analyses of antioxidant enzymes
demonstrated the involvement of Se in antioxidation mechanisms, in particular by
activating SOD and CAT under ZEA-induced stress conditions. CONCLUSION: The
foliar application of Se to seedling leaves may be a non-invasive method of
protecting crops against the first steps of ZEA infection. (c) 2018 Society of
Chemical Industry.
PMID- 29808471
TI - Microencapsulated betacyanin from colored organic quinoa (Chenopodium quinoa
Willd.): optimization, physicochemical characterization and accelerated storage
stability.
AB - BACKGROUND: Betalains are presently gaining popularity as pigments for use as
natural colorants and/or bioactive compounds in functional foods. Quinoa
(Chenopodium quinoa Willd.) has been recognized as an extremely nutritious grain
and has recently been found to be a novel and good betalain source.
Microencapsulation has been studied as a protected-delivery procedure to
stabilize betalains. There are no studies about microencapsulation of betacyanins
extracted from quinoa using spray-drying technology. RESULTS: Optimal
microencapsulation was obtained at a drying temperature of 165 degrees C, a
rotameter air flow rate of 47 mm (940 L h-1 ) and 10% w/w maltodextrin, which
produced good encapsulation yield (58.1%) and efficiency (100%). Optimized
maltodextrin-betacyanin microcapsules (diameter 4.4 MUm) have low moisture (1.64
+/- 0.08%) and water activity (0.127 +/- 0.006), a betacyanin content of 0.1995
+/- 0.0017 g kg-1 and saponin content <0.080 mg kg-1 . The oxygen consumption
rate by betacyanin was -4.373 * 10-5 bar min-1 at 80 degrees C and -6.67 * 10-5
bar min-1 at 90 degrees C, which was accompanied by fading of the color.
CONCLUSION: Microencapsulated betacyanin was optimized by response surface
methodology, and its stability was measured under accelerated conditions by
oxygen consumption. Microencapsulations contain betacyanin and low saponin
concentration, which might confer unique health-promoting properties. (c) 2018
Society of Chemical Industry.
PMID- 29808472
TI - Pigmented mammary Paget disease mimicking cutaneous melanoma.
PMID- 29808473
TI - The independent effects of hypovolaemia and pulmonary vasoconstriction on
ventricular function and exercise capacity during acclimatisation to 3800 m.
AB - KEY POINTS: We sought to determine the isolated and combined influence of
hypovolaemia and hypoxic pulmonary vasoconstriction on the decrease in left
ventricular (LV) function and maximal exercise capacity observed under hypobaric
hypoxia. We performed echocardiography and maximal exercise tests at sea level
(344 m), and following 5-10 days at the Barcroft Laboratory (3800 m; White
Mountain, California) with and without (i) plasma volume expansion to sea level
values and (ii) administration of the pulmonary vasodilatator sildenafil in a
double-blinded and placebo-controlled trial. The high altitude-induced reduction
in LV filling and ejection was abolished by plasma volume expansion but to a
lesser extent by sildenafil administration; however, neither intervention had a
positive effect on maximal exercise capacity. Both hypovolaemia and hypoxic
pulmonary vasoconstriction play a role in the reduction of LV filling at 3800 m,
but the increase in LV filling does not influence exercise capacity at this
moderate altitude. ABSTRACT: We aimed to determine the isolated and combined
contribution of hypovolaemia and hypoxic pulmonary vasoconstriction in limiting
left ventricular (LV) function and exercise capacity under chronic hypoxaemia at
high altitude. In a double-blinded, randomised and placebo-controlled design, 12
healthy participants underwent echocardiography at rest and during submaximal
exercise before completing a maximal test to exhaustion at sea level (SL; 344 m)
and after 5-10 days at 3800 m. Plasma volume was normalised to SL values, and
hypoxic pulmonary vasoconstriction was reversed by administration of sildenafil
(50 mg) to create four unique experimental conditions that were compared with SL
values: high altitude (HA), Plasma Volume Expansion (HA-PVX), Sildenafil (HA-SIL)
and Plasma Volume Expansion with Sildenafil (HA-PVX-SIL). High altitude exposure
reduced plasma volume by 11% (P < 0.01) and increased pulmonary artery systolic
pressure (19.6 +/- 4.3 vs. 26.0 +/- 5.4, P < 0.001); these differences were
abolished by PVX and SIL respectively. LV end-diastolic volume (EDV) and stroke
volume (SV) were decreased upon ascent to high altitude, but were comparable to
sea level in the HA-PVX trial. LV EDV and SV were also elevated in the HA-SIL and
HA-PVX-SIL trials compared to HA, but to a lesser extent. Neither PVX nor SIL had
a significant effect on the LV EDV and SV response to exercise, or the maximal
oxygen consumption or peak power output. In summary, at 3800 m both hypovolaemia
and hypoxic pulmonary vasoconstriction contribute to the decrease in LV filling,
but restoring LV filling does not confer an improvement in maximal exercise
performance.
PMID- 29808475
TI - Teaching children with autism spectrum disorder to tact olfactory stimuli.
AB - Research on tact acquisition by children with autism spectrum disorder (ASD) has
often focused on teaching participants to tact visual stimuli. It is important to
evaluate procedures for teaching tacts of nonvisual stimuli (e.g., olfactory,
tactile). The purpose of the current study was to extend the literature on
secondary target instruction and tact training by evaluating the effects of a
discrete-trial instruction procedure involving (a) echoic prompts, a constant
prompt delay, and error correction for primary targets; (b) inclusion of
secondary target stimuli in the consequent portion of learning trials; and (c)
multiple exemplar training on the acquisition of item tacts of olfactory stimuli,
emergence of category tacts of olfactory stimuli, generalization of category
tacts, and emergence of category matching, with three children diagnosed with
ASD. Results showed that all participants learned the item and category tacts
following teaching, participants demonstrated generalization across category
tacts, and category matching emerged for all participants.
PMID- 29808474
TI - Prohibitin is a positive modulator of mitochondrial function in PC12 cells under
oxidative stress.
AB - Prohibitin (PHB) is a ubiquitously expressed and evolutionarily conserved
mitochondrial protein with multiple functions. We have recently shown that PHB up
regulation offers robust protection against neuronal injury in models of cerebral
ischemia in vitro and in vivo, but the mechanism by which PHB affords
neuroprotection remains to be elucidated. Here, we manipulated PHB expression in
PC12 neural cells to investigate its impact on mitochondrial function and the
mechanisms whereby it protects cells exposed to oxidative stress. PHB over
expression promoted cell survival, whereas PHB down-regulation diminished cell
viability. Functionally, manipulation of PHB levels did not affect basal
mitochondrial respiration, but it increased spare respiratory capacity. Moreover,
PHB over-expression preserved mitochondrial respiratory function of cells exposed
to oxidative stress. Preserved respiratory capacity in differentiated PHB over
expressing cells exposed to oxidative stress was associated with an elongated
mitochondrial morphology, whereas PHB down-regulation enhanced fragmentation.
Mitochondrial complex I oxidative degradation was attenuated by PHB over
expression and increased in PHB knockdown cells. Changes in complex I degradation
were associated with alterations of respiratory chain supercomplexes.
Furthermore, we showed that PHB directly interacts with cardiolipin and that down
regulation of PHB results in loss of cardiolipin in mitochondria, which may
contribute to destabilizing respiratory chain supercomplexes. Taken together,
these data demonstrate that PHB modulates mitochondrial integrity and
bioenergetics under oxidative stress, and suggest that the protective effect of
PHB is mediated by stabilization of the mitochondrial respiratory machinery and
its functional capacity, by the regulation of cardiolipin content. Open Data:
Materials are available on https://cos.io/our-services/open-science-badges/
https://osf.io/93n6m/.
PMID- 29808476
TI - The zinc fingers of the small optic lobes calpain bind polyubiquitin.
AB - The small optic lobes (SOL) calpain is a highly conserved member of the calpain
family expressed in the nervous system. A dominant negative form of the SOL
calpain inhibited consolidation of one form of synaptic plasticity, non
associative facilitation, in sensory-motor neuronal cultures in Aplysia,
presumably by inhibiting cleavage of protein kinase Cs (PKCs) into constitutively
active protein kinase Ms (PKMs) (Hu et al. 2017a). SOL calpains have a conserved
set of 5-6 N-terminal zinc fingers. Bioinformatic analysis suggests that these
zinc fingers could bind to ubiquitin. In this study, we show that both the
Aplysia and mouse SOL calpain (also known as Calpain 15) zinc fingers bind
ubiquitinated proteins, and we confirm that Aplysia SOL binds poly- but not mono-
or diubiquitin. No specific zinc finger is required for polyubiquitin binding.
Neither polyubiquitin nor calcium was sufficient to induce purified Aplysia SOL
calpain to autolyse or to cleave the atypical PKC to PKM in vitro. In Aplysia,
over-expression of the atypical PKC in sensory neurons leads to an activity
dependent cleavage event and an increase in nuclear ubiquitin staining. Activity
dependent cleavage is partially blocked by a dominant negative SOL calpain, but
not by a dominant negative classical calpain. The cleaved PKM was stabilized by
the dominant negative classical calpain and destabilized by a dominant negative
form of the PKM stabilizing protein KIdney/BRAin protein. These studies provide
new insight into SOL calpain's function and regulation. Open Data: Materials are
available on https://cos.io/our-services/open-science-badges/
https://osf.io/93n6m/.
PMID- 29808477
TI - Application of Phellodendron amurense facial mask for slight to moderate acne
vulgaris.
PMID- 29808478
TI - Overestimation of hours dedicated to family caregiving of persons with heart
failure.
AB - AIMS: The aim of this study is to profile the family caregivers of people living
with heart failure, to determine the perceived and real time devoted to daily
care and to identify the factors associated with caregivers' overestimation of
time dedicated to care. BACKGROUND: The time spent by family caregivers on daily
care is related to overload, but there are differences between real and perceived
time spent. The reason for this difference is unknown, as is its impact on the
caregiver. DESIGN: Multicentre, cross-sectional study. METHODS: This study forms
part of a longitudinal, multicentre, ambispective cohort investigation. The study
population was composed of 478 patient-family caregiver dyads and the data were
collected over 2 years from 2014 - 2016. RESULTS: The mean time perceived to be
spent on daily care was 8.79 hr versus a real value of 4.41 hr. These values were
positively correlated. A significant correlation was also found between the
overestimation of hours spent and the age of the caregiver, the duration of the
caregiving relationship and the number of people providing support and with the
patient's level of dependence and self-care. CONCLUSION: The overestimation of
time dedicated to care seems to be related to patients' and caregivers'
characteristics, such as functional status, caregiver burden, age and
cohabitation. These patterns should be considered by nurses when carrying out
assessment and care planning with these patients and their caregivers.
PMID- 29808480
TI - Congenital melanocytic naevus and congenital strabismus.
PMID- 29808479
TI - A nonparametric Bayesian basket trial design.
AB - Targeted therapies on the basis of genomic aberrations analysis of the tumor have
shown promising results in cancer prognosis and treatment. Regardless of tumor
type, trials that match patients to targeted therapies for their particular
genomic aberrations have become a mainstream direction of therapeutic management
of patients with cancer. Therefore, finding the subpopulation of patients who can
most benefit from an aberration-specific targeted therapy across multiple cancer
types is important. We propose an adaptive Bayesian clinical trial design for
patient allocation and subpopulation identification. We start with a decision
theoretic approach, including a utility function and a probability model across
all possible subpopulation models. The main features of the proposed design and
population finding methods are the use of a flexible nonparametric Bayesian
survival regression based on a random covariate-dependent partition of patients,
and decisions based on a flexible utility function that reflects the requirement
of the clinicians appropriately and realistically, and the adaptive allocation of
patients to their superior treatments. Through extensive simulation studies, the
new method is demonstrated to achieve desirable operating characteristics and
compares favorably against the alternatives.
PMID- 29808481
TI - Association between male Infertility and seminal plasma levels of growth hormone
and insulin-like growth factor-1.
AB - Growth hormone (GH) and insulin-like growth factor 1 (IGF-1) have been proposed
to play a pivotal role in male infertility due to their anabolic effects. The aim
of this study was to investigate possible associations between seminal plasma
levels of GH and IGF-1 and sperm parameters. Fifty men participated in this
study. Semen analysis was performed, while cell-free seminal plasma was collected
following sperm centrifugation. Seminal plasma concentrations of IGF-1 and GH
were determined by enzyme-linked immunosorbent assay (ELISA). Due to the presence
of asthenozoospermia in all participants who presented with abnormal sperm
parameters, the participants were further subdivided into normal (group A),
asthenozoospermic (group B) and asthenozoospermic plus at least one additional
abnormal parameter (group C). A marginally nonsignificant statistical difference
(p = 0.063) was revealed between the GH levels corresponding to the
asthenozoospermic and the normal group with the latter presenting with higher GH
levels. A statistically significant positive correlation (p < 0.05) was noted
between levels of GH and IGF-1 in group C. The above relationship has also been
observed in men with low sperm concentration, vitality, volume and abnormal
morphology. These novel findings require further investigation in order for the
biological significance of those associations to be clarified.
PMID- 29808483
TI - Is chloroquine neuromyotoxicity in a patient with refractory discoid lupus
erythematosus potentiated by concomitant use of mepacrine?
PMID- 29808484
TI - A novel mutation in KHDRBS1 in a patient affected by primary ovarian
insufficiency.
PMID- 29808482
TI - Compatibility effects of herb pair Phellodendri chinensis cortex and Anemarrhenae
rhizoma on benign prostatic hyperplasia using targeted metabolomics.
AB - Phellodendri chinensis cortex (P. C. cortex) and Anemarrhenae rhizoma (A.
rhizoma) herb pair is a core component of traditional Chinese medicines used to
treat inflammation and benign prostatic hyperplasia (BPH). The present study was
designed to profile the arachidonic acid (AA) metabolomic characteristics in rat
plasma and prostate after being treated with P. C. cortex and A. rhizoma as well
as their combination. Plasma and prostate samples from sham group, BPH model
group, herb pair group and two single herb groups were collected on days 7, 14,
21 and 28. Then, a systemic metabolomic analysis based on UFLC-MS/MS was employed
to quantify AA and its cyclooxygenase and lipoxygenase pathway metabolites (15
HETE, 12-HETE, 5-HETE, AA, PGI2 , PGF2alpha , 8-HETE, PGD2 , PGE2 and LTB4 ). The
results demonstrated that BPH led a significant increase of 10 biomarkers in
plasma and tissue (p < 0.05). The clusters of herb pair group and single herb
groups showed a tendency to return to the initial space, and the AA and its
metabolites from those groups were differently downregulated to a healthier
level, with the combination of single herbs most obvious. The present study
demonstrated that P. C. cortex-A. rhizoma herb pair might produce synergistic or
complementary compatibility effects on suppressing inflammatory processes
occurring in BPH.
PMID- 29808485
TI - Effects of vedolizumab, adalimumab and infliximab on biliary inflammation in
individuals with primary sclerosing cholangitis and inflammatory bowel disease.
AB - BACKGROUND: Primary sclerosing cholangitis (PSC) is a chronic, progressive
cholestatic biliary disease associated with inflammatory bowel disease (IBD) with
no known cure. AIM: To evaluate the effect of biological therapies on PSC
progression in IBD patients. METHODS: We performed a retrospective cohort study
of 88 cases (75 unique patients with 12 patients treated >1 biologics) of IBD (48
ulcerative colitis, 24 Crohn's disease and 3 indeterminate colitis) with
concomitant PSC who received biological therapy (42 infliximab, 19 adalimumab, 27
vedolizumab) between June 2002 and October 2017. Hepatic biochemistries were
compared using the paired t-test (patients served as their own controls) <=3
months before and 6-8 and 12-14 months after biological initiation. Radiographic
information of biliary stenosis and liver fibrosis were obtained via abdominal
ultrasound, abdominal magnetic resonance imaging and magnetic resonance
elastography. RESULTS: Use of adalimumab was associated with a significant
decrease in alkaline phosphatase (ALP) after 6-8 months (P = 0.03; mean change
70 U/L, standard deviation [SD] 88 U/L) compared to vedolizumab (mean change +50
U/L, SD 142 U/L) or infliximab (mean change +37 U/L, SD 183 U/L) but the change
was not significant after 12-14 months (P = 0.24). No significant decreases were
observed with AST, ALT, total or direct bilirubin, elastography score or
radiographic imaging of biliary tree dilation/strictures with any biological
therapy after 6-8 or 12-14 months. CONCLUSIONS: Current evidence suggests that
biological therapies used for the treatment of IBD are not effective treatments
for PSC. Further study is needed to elucidate any potential beneficial effect of
adalimumab on PSC.
PMID- 29808486
TI - Echocardiographic identification of atrial-related structures and vessels in
horses validated by computed tomography of casted hearts.
AB - BACKGROUND: Echocardiography is the imaging technique of choice for the equine
heart. Nevertheless, knowledge about ultrasonographic identification of dorsally
located structures and vessels, related to the atria, in horses is scarce.
OBJECTIVES: To describe the echocardiographic approach and the identification of
structures and vessels in relation to the atria in healthy horses. METHODS: CT
images from two equine hearts, casted with self-expanding foam, were segmented
and used to identify atrial-related structures and vessels. These images were
compared with standard and nonstandard ultrasound images from ten healthy horses
obtained from a left and right parasternal view optimised to visualise the dorsal
cardiac area. RESULTS: On new standard ultrasound views, specific atrial
anatomical landmarks such as vena cava, pulmonary arteries, intervenous tubercle
and oval fossa were identified in all horses. In addition, ultrasound views were
defined to visualise the brachiocephalic trunk, pulmonary veins and their ostia.
The 3D segmented CT images from casted hearts were used to reconstruct slices
that corresponded with the echocardiographic images and allowed correct
identification of specific structures. MAIN LIMITATIONS: Ultrasound examinations
and casts were from different animals. A small number of casts and horses were
used; therefore, anatomical variation or individual differences in identifying
structures on ultrasound could not be assessed. CONCLUSIONS: Important cardiac
structures and vessels, even the different pulmonary veins, could be identified
on standard and nonstandard ultrasound images in adult horses. This knowledge is
important to guide and develop interventional cardiology and might be useful for
diagnostic and therapeutic purposes.
PMID- 29808487
TI - Synaptic protein changes after a chronic period of sensorimotor perturbation in
adult rats: a potential role of phosphorylation/O-GlcNAcylation interplay.
AB - In human, a chronic sensorimotor perturbation (SMP) through prolonged body
immobilization alters motor task performance through a combination of peripheral
and central factors. Studies performed on a rat model of SMP have shown
biomolecular changes and a reorganization of sensorimotor cortex through events
such as morphological modifications of dendritic spines (number, length,
functionality). However, underlying mechanisms are still unclear. It is well
known that phosphorylation regulates a wide field of synaptic activity leading to
neuroplasticity. Another post-translational modification that interplays with
phosphorylation is O-GlcNAcylation. This atypical glycosylation, reversible, and
dynamic, is involved in essential cellular and physiological processes such as
synaptic activity, neuronal morphogenesis, learning, and memory. We examined
potential roles of phosphorylation/O-GlcNAcylation interplay in synaptic
plasticity within rat sensorimotor cortex after a SMP period. For this purpose,
sensorimotor cortex synaptosomes were separated by sucrose gradient, in order to
isolate a subcellular compartment enriched in proteins involved in synaptic
functions. A period of SMP induced plastic changes at the pre- and post-synaptic
levels, characterized by a reduction in phosphorylation (synapsin1, alpha-amino-3
hydroxy-5-methyl-4-isoxazoleproprionic acid receptors (AMPAR) GluA2) and
expression (synaptophysin, PSD-95, AMPAR GluA2) of synaptic proteins, as well as
a decrease in MAPK/ERK42 activation. Expression levels of O-GlcNAc transferase/O
GlcNAcase enzymes was unchanged but we observed a specific reduction of synapsin1
O-GlcNAcylation in sensorimotor cortex synaptosomes. The synergistic regulation
of synapsin1 phosphorylation/O-GlcNAcylation could affect pre-synaptic
neurotransmitter release. Associated with other pre- and post-synaptic changes,
synaptic efficacy could be impaired in somatosensory cortex of SMP rat. Thus,
phosphorylation/O-GlcNAcylation interplay appears to be involved in synaptic
plasticity by finely regulating neural activity.
PMID- 29808488
TI - Precise Patterning of Laterally Stacked Organic Microbelt Heterojunction Arrays
by Surface-Energy-Controlled Stepwise Crystallization for Ambipolar Organic Field
Effect Transistors.
AB - Ambipolar organic field-effect transistors (OFETs) combining single-crystalline p
and n-type organic micro/nanocrystals have demonstrated superior performance to
their amorphous or polycrystalline thin-film counterparts. However, large-area
alignment and precise patterning of organic micro/nanocrystals for ambipolar
OFETs remain challenges. Here, a surface-energy-controlled stepwise
crystallization (SECSC) method is reported for large-scale, aligned, and precise
patterning of single-crystalline laterally stacked p-n heterojunction microbelt
(MB) arrays. In this method, the p- and n-type organic crystals are precipitated
via a stepwise process: first, the lateral sides of prepatterned photoresist
stripes provide high-surface-energy sites to guide the aligned growth of p-type
organic crystals. Next, the formed p-type crystals serve as new high-surface
energy positions to induce the crystallization of n-type organic molecules at
their sides, thus leading to the formation of laterally stacked p-n microbelts.
Ambipolar OFETs based on the p-n heterojunction MB arrays exhibit balanced hole
and electron mobilities of 0.32 and 0.43 cm2 V-1 s-1 , respectively, enabling the
fabrication of complementary-like inverters with large voltage gains. This work
paves the way toward rational design and construction of single-crystalline
organic p-n heterojunction arrays for high-performance organic, integrated
circuits.
PMID- 29808489
TI - Long-Lived Flexible Displays Employing Efficient and Stable Inverted Organic
Light-Emitting Diodes.
AB - Although organic light-emitting diodes (OLEDs) are promising for use in
applications such as in flexible displays, reports of long-lived flexible OLED
based devices are limited due to the poor environmental stability of OLEDs.
Flexible substrates such as plastic allow ambient oxygen and moisture to permeate
into devices, which degrades the alkali metals used for the electron-injection
layer in conventional OLEDs (cOLEDs). Here, the fabrication of a long-lived
flexible display is reported using efficient and stable inverted OLEDs (iOLEDs),
in which electrons can be effectively injected without the use of alkali metals.
The flexible display employing iOLEDs can emit light for over 1 year with
simplified encapsulation, whereas a flexible display employing cOLEDs exhibits
almost no luminescence after only 21 d with the same encapsulation. These results
demonstrate the great potential of iOLEDs to replace cOLEDs employing alkali
metals for use in a wide variety of flexible organic optoelectronic devices.
PMID- 29808490
TI - Commentary: Childhood conduct problems are a public health crisis and require
resources: a commentary on Rivenbark et al. ().
AB - Conduct problems (CP) are actions that violate societal norms and/or the
personal/property rights of others, and include behaviors such as vandalism,
theft, bullying, and assault. Roughly 8%-10% of children engage in the more
severe childhood-onset form of CP, while another 25% initiate clinically
significant levels of CP during adolescence. As deftly observed in Rivenbark et
al. (), however, the high prevalence of CP belies its severity: Youth with CP are
at increased risk for a number of deleterious individual outcomes, including
academic delay/dropout, low professional achievement, psychopathology, addiction,
and family instability.
PMID- 29808491
TI - Editorial: Can omega-3 fatty acids improve executive functioning? Will this
reduce ADHD and depression?
AB - Families with children who have neurodevelopmental disorders and mental health
problems often opt to use nonmainstream and complementary medicines including
dietary supplements. One dietary supplement popular with parents seeking
treatment for both depression and ADHD is omega-3 fatty acids. This has led to
much research and scientific debate dedicated to examining the efficacy of omega
3 supplementation as a treatment for both depression and ADHD.
PMID- 29808492
TI - Editorial Perspective: Exposures in cognitive behavior therapy for pediatric
obsessive-compulsive disorder: addressing common clinician concerns.
AB - Professional organizations and expert consensus recommend the use of exposure
based cognitive-behavioral therapy (CBT) to treat pediatric obsessive-compulsive
disorder (OCD), but a sizable proportion of clinicians possess hesitancy
regarding the use of exposures in treatment. Most notably, this hesitancy relates
to concerns about negative patient and parent reactions to exposures.
Accordingly, we examine three commonly reported clinician concerns regarding
negative patient/parent reactions (e.g. treatment attrition, therapeutic
relationship, and treatment satisfaction) among youths receiving exposure-based
CBT compared to a nonexposure-based treatment. Based on our findings, there is no
empirical support that exposure-based CBT precipitates adverse consequences in
treatment (e.g. treatment attrition, poor therapeutic relationship, low treatment
satisfaction) relative to nonexposure-based interventions. These results
corroborate existing OCD expert recommendations for the use of exposure-based CBT
and provide information to mitigate clinicians' concerns about the potentially
iatrogenic impact of exposures when treating pediatric OCD. We briefly present
best practice recommendations for implementing exposure-based CBT in pediatric
OCD patients.
PMID- 29808493
TI - The importance of functional validation after next-generation sequencing:
evaluation of a novel CARD11 variant.
PMID- 29808494
TI - Machine Detection of Enhanced Electromechanical Energy Conversion in PbZr0.2
Ti0.8 O3 Thin Films.
AB - Many energy conversion, sensing, and microelectronic applications based on
ferroic materials are determined by the domain structure evolution under applied
stimuli. New hyperspectral, multidimensional spectroscopic techniques now probe
dynamic responses at relevant length and time scales to provide an understanding
of how these nanoscale domain structures impact macroscopic properties. Such
approaches, however, remain limited in use because of the difficulties that exist
in extracting and visualizing scientific insights from these complex datasets.
Using multidimensional band-excitation scanning probe spectroscopy and adapting
tools from both computer vision and machine learning, an automated workflow is
developed to featurize, detect, and classify signatures of
ferroelectric/ferroelastic switching processes in complex ferroelectric domain
structures. This approach enables the identification and nanoscale visualization
of varied modes of response and a pathway to statistically meaningful
quantification of the differences between those modes. Among other things, the
importance of domain geometry is spatially visualized for enhancing nanoscale
electromechanical energy conversion.
PMID- 29808495
TI - Contact dermatitis to training toilet seat (potty seat dermatitis).
AB - Allergic contact dermatitis from various components of toilet seats has been well
described. We report a case of a young boy presenting with an atypical pattern of
dermatitis who was found to be allergic to his training toilet seat. This case
highlights the importance of recognizing this diagnosis and the role of potty
seats as the causative factor.
PMID- 29808497
TI - Hepatobiliary and Pancreatic: Burkitt lymphoma mimicking IgG4-related disease.
PMID- 29808496
TI - Immunobiology of parasitic worm extracellular vesicles.
AB - Helminth parasites (worms) have evolved a vast array of strategies to manipulate
their vertebrate hosts. Extracellular vesicles (EVs) are secreted by all helminth
species investigated thus far, and their salient roles in parasite-host
interactions are being revealed. Parasite EVs directly interact with various cell
types from their hosts, including immune cells, and roles for their molecular
cargo in both regulation and promotion of inflammation in the host have been
reported. Despite the growing body of literature on helminth EVs, limited
availability of genetic manipulation tools for helminth research has precluded
detailed investigation of specific molecular interactions between parasite EVs
and host target cells. Here, we review the current state of the field and discuss
innovative strategies targeting helminth EVs for the discovery and development of
new therapeutic strategies, placing particular emphasis on both anti-helminth
vaccines and EV small RNAs for treating noninfectious inflammatory diseases.
PMID- 29808498
TI - PPP1R21 homozygous null variants associated with developmental delay, muscle
weakness, distinctive facial features, and brain abnormalities.
AB - We present 3 children with homozygous null variants in the PPP1R21 gene. A 3-year
old girl had profound developmental delay, hypotonia and weakness, poor feeding,
recurrent chest infections and respiratory failure, rotatory nystagmus, absent
reflexes, and a homozygous nonsense variant c.2089C>T (p.Arg697*). A 2-year-old
boy had profound developmental delay, weakness and hypotonia, recurrent chest
infections and respiratory distress, undescended testes, rotatory nystagmus,
hyporeflexia, and a homozygous nonsense variant c.427C>T (p.Arg143*). An 11-year
old girl with profound developmental delay, weakness and hypotonia, stereotypic
movements, growth failure, hyporeflexia, and a homozygous frameshift variant
c.87_88delAG (p.Gly30Cysfs*4). In addition, these children shared common facial
features (thick eyebrows, hypertelorism, broad nasal bridge, short nose with
upturned nasal tip and broad low-hanging columella, thick lips, low-set ears, and
coarse facies with excessive facial hair), and brain abnormalities (cerebellar
vermis hypoplasia, ventricular dilatation, and reduced white matter volume).
Although PPP1R21 has not yet been linked to human disease, the consistency in the
phenotype of individuals from unrelated families, the nature of the variants
which result in truncated proteins, and the expected vital role for PPP1R21 in
cellular function, all support that PPP1R21 is a novel disease-associated gene
responsible for the phenotype observed in these individuals.
PMID- 29808499
TI - Seasonality of sputum eosinophilia in adolescents with asthma remission: effects
of montelukast.
PMID- 29808500
TI - Rural-Urban Differences in Human Papillomavirus-associated Cancer Trends and
Rates.
AB - PURPOSE: Human papillomavirus (HPV) is the most prevalent sexually transmitted
disease and a known cause of many cancers. Previous research explored HPV
associated (HPVa) cancer differences by gender or race, but rural-urban
differences in rates and trends have received little attention. This study
examined rural-urban differences in rates and temporal trends for individual HPVa
cancers. METHODS: The North American Association of Central Cancer Registries
public use data set, representing approximately 93% of the US population, was
used to calculate age-adjusted incidence rates and rate ratios (RR; 2009-2013)
and overall and annual percentage changes (APC; 1995-2013) for HPVa cancers,
including cervical carcinomas and squamous cell carcinomas of the vagina, vulva,
penis, anus, rectum, and oropharynx. Rural-Urban Continuum Codes were
dichotomized into urban (levels 1-3) and rural (4-9). RRs and APC analyses were
stratified by cancer site, rurality, gender, and race/ethnicity. FINDINGS:
Combined HPVa cancers were elevated in rural populations compared to urban (RR =
1.07; 95% CI = 1.06-1.09). Rural females had significantly higher rates of
cervical, vaginal, vulvar, oropharyngeal, and anal cancer compared to their urban
peers, while rural males had higher rates of penile cancer. Many disparities
remained after racial/ethnic stratification (eg, white and black rural females
were at increased risk of cervical carcinoma). Regarding trends, rural females
and males experienced greater rate increases, or smaller rate decreases, overall
and by APC, for nearly every cancer site. CONCLUSIONS: Rural populations
experience consistent disparities in HPVa cancers. Further research should
examine contributing behavioral and epidemiological risk factors and
interventions to improve HPV vaccination.
PMID- 29808501
TI - Activated Electron-Transport Layers for Infrared Quantum Dot Optoelectronics.
AB - Photovoltaic (PV) materials such as perovskites and silicon are generally
unabsorptive at wavelengths longer than 1100 nm, leaving a significant portion of
the IR solar spectrum unharvested. Small-bandgap colloidal quantum dots (CQDs)
are a promising platform to offer tandem complementary IR PV solutions. Today,
the best performing CQD PVs use zinc oxide (ZnO) as an electron-transport layer.
However, these electrodes require ultraviolet (UV)-light activation to overcome
the low carrier density of ZnO, precluding the realization of CQD tandem
photovoltaics. Here, a new sol-gel UV-free electrode based on Al/Cl hybrid doping
of ZnO (CAZO) is developed. Al heterovalent doping provides a strong n-type
character while Cl surface passivation leads to a more favorable band alignment
for electron extraction. CAZO CQD IR solar cell devices exhibit, at wavelengths
beyond the Si bandgap, an external quantum efficiency of 73%, leading to an
additional 0.92% IR power conversion efficiency without UV activation.
Conventional ZnO devices, on the other hand, add fewer than 0.01 power points at
these operating conditions.
PMID- 29808502
TI - Are pregnancy, parity, menstruation and breastfeeding risk factors for thyroid
cancer? Results from the Korea National Health and Nutrition Examination Survey,
2010-2015.
AB - CONTEXT: It is unclear whether reproductive factors such as pregnancy, parity,
menstruation, and breastfeeding are risk factors for thyroid cancer. The aim of
this study was to evaluate the association of reproductive factors with thyroid
cancer risk. DESIGN/SETTING: Large-scale nation-wide cross-sectional data were
analysed from representative samples from the Korea National Health and Nutrition
Examination Survey V-VI (2010-2015). RESULTS: In this study, 38 086 people over
the age of 18 years were enrolled, of which 241 had a diagnosis of thyroid
cancer. Of 21 543 female subjects, 210 had thyroid cancer. In logistic regression
analysis, pregnancy, parity and number of reproductive years (period between
menarche and menopause, excluding breastfeeding period) were significantly
associated with thyroid cancer after adjusting for age, body mass index and
smoking. However, the number of pregnancies did not show a linear relationship
with thyroid cancer. Among breastfeeding women, total duration of breastfeeding
and number of babies breastfed significantly decreased the risk for thyroid
cancer. CONCLUSION: Our findings suggest that reproductive factors can be
associated with the development of thyroid cancer. A large prospective cohort
study is needed to clarify the causality.
PMID- 29808503
TI - Effect of statins in preventing hospitalizations for infections: A population
study.
AB - PURPOSE: To investigate whether the hospitalization rate for bacterial infections
was modified by statin therapy in a population retrospectively followed up, over
years 2011 to 2015. METHODS: By using administrative databases, the 5-year
hospitalization rate due to bacterial infections in population living in Tuscany,
Italy exposed to statin therapy (n = 52,049) was stratified by 5 prescribed daily
doses classes (0%-20%, 20%-50%, 50%-80%, 80%-100%, >=100% of DDD) and
subsequently compared with that of a population of untreated individuals (n = 3
300 ,675), matched through a propensity score accounting for all available
covariates potentially able to modulate risk of infections such as age, gender,
previous hospitalizations for infections, cardiovascular events, previous co
morbidities, diabetes, as well as general practitioners' proactive behaviour of
care delivery according to current guidelines. RESULTS: Unmatched individuals of
each treatment-class had significantly more hospitalizations than controls, while
matched treated people, apart from those in class 0% to 20%, had a decrease of
hospitalizations, as large as the increase in prescribed drug. Statin effect in
reducing hospitalizations translated into a number needed to treat (NNT) ranging
across treatment strata from 102 to 54. CONCLUSIONS: Compliance to statin
prescribed daily doses above the threshold 20% of DDD, along a 5-year follow-up,
prevented hospitalizations due to infectious diseases in a large unselected
population, after adjusting for covariates able to modulate baseline risk of
infections. The NNTs to avoid 1 hospitalization for infections resulted on
average not too dissimilar from a value lying between the 95% CI of NNTs
previously found for primary prevention of 1 incident coronary ischemic event (72
to 119).
PMID- 29808504
TI - Preferences for models of peer support in the digital era: A cross-sectional
survey of people with cancer.
AB - OBJECTIVE: Many people with cancer report an unmet need for peer support, yet
participation rates are low. This study examined cancer patients' preferences for
participating in peer support, and the characteristics associated with having or
wanting to participate in peer support. METHODS: Adult cancer patients were
recruited from outpatient oncology clinics at 5 hospitals in Australia.
Participants were invited to self-complete 2 questionnaires: one at recruitment
assessing their demographic and cancer characteristics, and another 4 weeks later
assessing their preferences for participating in cancer-related peer support.
RESULTS: The peer support questionnaire was completed by 177/273 (65%) of
participants. Most (59%, n = 104) had or wanted to participate in peer support.
More than half reported that it was important the people they connected with had
the same cancer treatment (56%, n = 99) or type of cancer (53%, n = 94) as
themselves. Half had or wanted to participate in 1-to-1 peer support (52%, n =
92). Half had or wanted to connect with peers face-to-face (53%, n = 93). Only
13% (n = 23) were receptive to connecting with peers over the internet. Being
aged <=65 years was significantly associated (OR = 2.3; 95% CI: 1.1-5.1) with
reporting a preference for participating in peer support. CONCLUSIONS: Cancer
patients are interested in participating in peer support, particularly those who
are younger. Despite the digital era, traditional forms of peer support continue
to be important in supporting people with cancer. Greater understanding of the
barriers to cancer patients' involvement in potentially low cost and high reach
web-based models of peer support is required.
PMID- 29808505
TI - Niche conservatism and phylogenetic clustering in a tribe of arid-adapted
marsupial mice, the Sminthopsini.
AB - The progressive expansion of the Australian arid zone during the last 20 Ma
appears to have spurred the diversification of several families of plants,
vertebrates and invertebrates, yet such taxonomic groups appear to show limited
niche radiation. Here, we test whether speciation is associated with niche
conservatism (constraints on ecological divergence) or niche divergence in a
tribe of marsupial mice (Sminthopsini; 23 taxa) that includes the most speciose
genus of living dasyurids, the sminthopsins. To that end, we integrated
phylogenetic data with ecological niche modelling, to enable us to reconstruct
the evolution of climatic suitability within Sminthopsini. Niche overlap among
species was low-moderate (but generally higher than expected given environmental
background similarity), and the degree of phylogenetic clustering increased with
aridity. Climatic niche reconstruction illustrates that there has been little
apparent evolution of climatic tolerance within clades. Accordingly, climatic
disparity tends to be accumulated among clades, suggesting considerable niche
conservatism. Our results also indicate that evolution of climatic tolerances has
been heterogeneous across different dimensions of climate (temperature vs.
precipitation) and across phylogenetic clusters (Sminthopsis murina group vs.
other groups). Although some results point to the existence of shifts in climatic
niches during the speciation of sminthopsins, our study provides evidence for
substantial phylogenetic niche conservatism in the group. We conclude that niche
diversification had a low impact on the speciation of this tribe of small, but
highly mobile marsupials.
PMID- 29808506
TI - Multicore Artificial Metalloenzymes Derived from Acylated Proteins as Catalysts
for the Enantioselective Dihydroxylation and Epoxidation of Styrene Derivatives.
AB - Artificial metalloenzymes (AME's) are an interesting class of selective
catalysts, where the chiral environment of proteins is used as chiral ligand for
a catalytic metal. Commonly, the active site of an enzyme is modified with a
catalytically active metal. Here we present an approach, where the commercial
proteins lysozyme (LYS) and bovine serum albumin (BSA) can be converted into
highly active and enantioselective AME's. This is achieved by acylation of the
proteins primary amino groups, which affords the metal salts in the core of the
protein. A series of differently acylated LYS and BSA were reacted with K2 OsO2
(OH)4 , RuCl3 , and Ti(OMe)4 , respectively, and the conjugates were tested for
their catalytic activity in dihydroxylation and epoxidation of styrene and its
derivatives. The best suited system for dihydroxylation is fully acetylated LYS
conjugated with K2 OsO2 (OH)4 , which converts styrene to 1,2-phenylethanediol
with an enantioselectivity of 95 % ee (S). BSA fully acylated with hexanoic acid
and conjugated with three moles RuCl3 per mole protein shows the highest ee
values for the conversion of styrene to the respective epoxide with
enenatioselectivities of over 80 % ee (R), a TON of more than 2500 and a yield of
up to 78 % within 24 h at 40 degrees C. LYS has two favored selective binding
sites for the metal catalyst and BSA has even three. The AME's with titanate in
the active center invert the enantioselectivity of styrene epoxidation.
PMID- 29808507
TI - Cancer phase I trial design using drug combinations when a fraction of dose
limiting toxicities is attributable to one or more agents.
AB - Drug combination trials are increasingly common nowadays in clinical research.
However, very few methods have been developed to consider toxicity attributions
in the dose escalation process. We are motivated by a trial in which the
clinician is able to identify certain toxicities that can be attributed to one of
the agents. We present a Bayesian adaptive design in which toxicity attributions
are modeled via copula regression and the maximum tolerated dose (MTD) curve is
estimated as a function of model parameters. The dose escalation algorithm uses
cohorts of two patients, following the continual reassessment method (CRM)
scheme, where at each stage of the trial, we search for the dose of one agent
given the current dose of the other agent. The performance of the design is
studied by evaluating its operating characteristics when the underlying model is
either correctly specified or misspecified. We show that this method can be
extended to accommodate discrete dose combinations.
PMID- 29808508
TI - One way or another: The opportunities and pitfalls of self-referral and
consecutive sampling as recruitment strategies for psycho-oncology intervention
trials.
PMID- 29808509
TI - Growth patterns and cerebro-placental hemodynamics in fetuses with congenital
heart disease.
AB - OBJECTIVES: Congenital heart disease (CHD) has been associated with a reduced
fetal head circumference (HC). The underlying pathophysiological background
remains undetermined. We aimed to define trends in fetal growth and cerebro
placental Doppler flow, and to investigate the association between head growth
and cerebro-placental flow in fetuses with CHD. METHODS: Fetuses with CHD and
serial measurements of HC, abdominal circumference (AC), middle cerebral artery
pulsatility index (MCA-PI), umbilical artery pulsatility index (UA-PI), and
cerebro-placental ratio (CPR) were included. CHD was categorized into 3 groups
based on expected cerebral arterial oxygen saturation: normal, mild to moderately
reduced, and severely reduced. Trends over time in Z-scores were analyzed using a
linear mixed-effects model. RESULTS: 181 fetuses fulfilled the inclusion
criteria. Expected cerebral arterial oxygen saturation in CHD was classified as
normal in 44, mild to moderately reduced in 84 and severely reduced in 53 cases.
HC z-scores showed a tendency to decrease until 23 weeks, then to increase until
33 weeks, followed by a decrease again in the late third trimester. AC increased
progressively with advancing gestation. MCA-PI and UA-PI showed significant
trends throughout pregnancy, but CPR did not. There were no associations between
expected cerebral arterial oxygen saturation and fetal growth. Average trends in
MCA-PI were significantly different in the three subgroups (P=0.010), whereas
average trends in UA-PI and CPR were similar (P=0.530 and P=0.285). Furthermore,
there was no significant association between MCA-PI and HC (P=0.284).
CONCLUSIONS: Fetal biometry and Doppler flow patterns are within normal ranges in
fetuses with CHD, but show trends over time. Fetal head growth is not associated
with the cerebral blood flow pattern or placental function and HC is not
influenced by the cerebral arterial oxygen saturation. This article is protected
by copyright. All rights reserved.
PMID- 29808510
TI - Caffeine dosing strategies to optimize alertness during sleep loss.
AB - Sleep loss, which affects about one-third of the US population, can severely
impair physical and neurobehavioural performance. Although caffeine, the most
widely used stimulant in the world, can mitigate these effects, currently there
are no tools to guide the timing and amount of caffeine consumption to optimize
its benefits. In this work, we provide an optimization algorithm, suited for
mobile computing platforms, to determine when and how much caffeine to consume,
so as to safely maximize neurobehavioural performance at the desired time of the
day, under any sleep-loss condition. The algorithm is based on our previously
validated Unified Model of Performance, which predicts the effect of caffeine
consumption on a psychomotor vigilance task. We assessed the algorithm by
comparing the caffeine-dosing strategies (timing and amount) it identified with
the dosing strategies used in four experimental studies, involving total and
partial sleep loss. Through computer simulations, we showed that the algorithm
yielded caffeine-dosing strategies that enhanced performance of the predicted
psychomotor vigilance task by up to 64% while using the same total amount of
caffeine as in the original studies. In addition, the algorithm identified
strategies that resulted in equivalent performance to that in the experimental
studies while reducing caffeine consumption by up to 65%. Our work provides the
first quantitative caffeine optimization tool for designing effective strategies
to maximize neurobehavioural performance and to avoid excessive caffeine
consumption during any arbitrary sleep-loss condition.
PMID- 29808511
TI - Outcomes after use of covered stents to treat coronary artery perforations.
Comparison of old and new-generation covered stents.
AB - OBJECTIVES: To compare outcomes in patients receiving polytetrafluoroethylene
(PTFE) and polyurethane (PL) covered stents (CS) after coronary artery
perforation (CAP). BACKGROUND: The prognosis of CAP has improved with the advent
of CSs. Information is scarce about the outcomes of new-generation CSs. METHODS:
Sixty-one patients were treated with CSs in a 5-years period (age = 77 +/- 8.75%
males). Procedural and clinical data were retrospectively collected. The primary
endpoint was procedural success. Secondary endpoints included death and major
adverse cardiac events (MACE) defined as a composite of death, myocardial
infarction, target vessel, and lesion revascularization and need for surgical
repair). RESULTS: Twenty-two (36%) received PL-CSs and 39 (65%) PTFE-CSs. There
were no differences in procedural success (86% vs 69%, P = 0.216). Time to
deliver was shorter with PL-CS despite larger length of stents (8[11] vs 15[16]
min, P = 0.001; 20[5] vs 16[3] mm, P < 0.001). This group had lower rate of
pericardial effusion and cardiac arrest (41% vs 72%, P = 0.028; 5% vs 26%, P =
0.045). At 1-year follow-up, MACE rates were similar (58% vs 56%, P = 1.000) with
atrend toward TVR in the PL-CS arm (21% vs 5%, P = 0.083). No differences were
found in mortality (26% vs 41%, P = 0.385). Each group had 1 stent thrombosis and
in-stent restenosis trended higher in the PL-CS group (12% vs 3%, P = 0.223).
CONCLUSIONS: Time to deliver was shorter with the PL-CS and resulted in lower
rate of pericardial effusion and cardiac arrest. However, there were no
significant differences in procedural success and 1-year follow-up MACE in
patients treated with PL-CS or PTFE-CS.
PMID- 29808512
TI - DNA sequence and shape are predictive for meiotic crossovers throughout the plant
kingdom.
AB - A better understanding of genomic features influencing the location of meiotic
crossovers (COs) in plant species is both of fundamental importance and of
practical relevance for plant breeding. Using CO positions with sufficiently high
resolution from four plant species [Arabidopsis thaliana, Solanum lycopersicum
(tomato), Zea mays (maize) and Oryza sativa (rice)] we have trained machine
learning models to predict the susceptibility to CO formation. Our results show
that CO occurrence within various plant genomes can be predicted by DNA sequence
and shape features. Several features related to genome content and to genomic
accessibility were consistently either positively or negatively related to COs in
all four species. Other features were found as predictive only in specific
species. Gene annotation-related features were especially predictive for maize,
whereas in tomato and Arabidopsis propeller twist and helical twist (DNA shape
features) and AT/TA dinucleotides were found to be the most important. In rice,
high roll (another DNA shape feature) and low CA dinucleotide frequency in
particular were found to be associated with CO occurrence. The accuracy of our
models was sufficient for Arabidopsis and rice (area under receiver operating
characteristic curve, AUROC > 0.5), and was high for tomato and maize (AUROC ?
0.5), demonstrating that DNA sequence and shape are predictive for meiotic COs
throughout the plant kingdom.
PMID- 29808513
TI - A Multifunctional Chemical Probe for the Measurement of Local Micropolarity and
Microviscosity in Mitochondria.
AB - The measurement of physicochemical parameters in living cells can provide
information on individual cellular organelles, helping us to understand
subcellular function in health and disease. While organelle-specific chemical
probes have allowed qualitative evaluation of microenvironmental variations, the
simultaneous quantification of mitochondrial local microviscosity (etam ) and
micropolarity (epsilonm ), along with concurrent structural variations, has
remained an unmet need. Herein, we describe a new multifunctional mitochondrial
probe (MMP) for simultaneous monitoring of etam and epsilonm by fluorescence
lifetime and emission intensity recordings, respectively. The MMP enables highly
precise measurements of etam and epsilonm in the presence of a variety of agents
perturbing cellular function, and the observed changes can also be correlated
with alterations in mitochondrial network morphology and motility. This strategy
represents a promising tool for the analysis of subtle changes in organellar
structure.
PMID- 29808514
TI - Van der Waals Emulsions: Emulsions Stabilized by Surface-Inactive, Hydrophilic
Particles via van der Waals Attraction.
AB - Surface-inactive, highly hydrophilic particles are utilized to effectively and
reversibly stabilize oil-in-water emulsions. This is a result of attractive van
der Waals forces between particles and oil droplets in water, which are
sufficient to trap the particles in close proximity to oil-water interfaces when
repulsive forces between particles and oil droplets are suppressed. The
emulsifying efficiency of the highly hydrophilic particles is determined by van
der Waals attraction between particle monolayer shells and oil droplets enclosed
therein and is inversely proportional to the particle size, while their
stabilizing efficiency is determined by van der Waals attraction between single
particles and oil droplets, which is proportional to the particle size. This
differentiation in mechanism between emulsification and stabilization will
significantly advance our knowledge of emulsions, thus enabling better control
and design of emulsion-based technologies in practice.
PMID- 29808516
TI - Acral speckled hypomelanosis: a novel dermatosis.
PMID- 29808517
TI - Electrochemical Ammonia Synthesis via Nitrogen Reduction Reaction on a MoS2
Catalyst: Theoretical and Experimental Studies.
AB - The discovery of stable and noble-metal-free catalysts toward efficient
electrochemical reduction of nitrogen (N2 ) to ammonia (NH3 ) is highly desired
and significantly critical for the earth nitrogen cycle. Here, based on the
theoretical predictions, MoS2 is first utilized to catalyze the N2 reduction
reaction (NRR) under room temperature and atmospheric pressure. Electrochemical
tests reveal that such catalyst achieves a high Faradaic efficiency (1.17%) and
NH3 yield (8.08 * 10-11 mol s-1 cm-1 ) at -0.5 V versus reversible hydrogen
electrode in 0.1 m Na2 SO4 . Even in acidic conditions, where strong hydrogen
evolution reaction occurs, MoS2 is still active for the NRR. This work represents
an important addition to the growing family of transition-metal-based catalysts
with advanced performance in NRR.
PMID- 29808515
TI - Dynamic lateral organization of opioid receptors (kappa, muwt and muN40D ) in the
plasma membrane at the nanoscale level.
AB - Opioid receptors are important pharmacological targets for the management of
numerous medical conditions (eg, severe pain), but they are also the gateway to
the development of deleterious side effects (eg, opiate addiction). Opioid
receptor signaling cascades are well characterized. However, quantitative
information regarding their lateral dynamics and nanoscale organization in the
plasma membrane remains limited. Since these dynamic properties are important
determinants of receptor function, it is crucial to define them. Herein, the
nanoscale lateral dynamics and spatial organization of kappa opioid receptor
(KOP), wild type mu opioid receptor (MOPwt ), and its naturally occurring isoform
(MOPN40D ) were quantitatively characterized using fluorescence correlation
spectroscopy and photoactivated localization microscopy. Obtained results,
supported by ensemble-averaged Monte Carlo simulations, indicate that these
opioid receptors dynamically partition into different domains. In particular,
significant exclusion from GM1 ganglioside-enriched domains and partial
association with cholesterol-enriched domains was observed. Nanodomain size,
receptor population density and the fraction of receptors residing outside of
nanodomains were receptor-specific. KOP-containing domains were the largest and
most densely populated, with the smallest fraction of molecules residing outside
of nanodomains. The opposite was true for MOPN40D . Moreover, cholesterol
depletion dynamically regulated the partitioning of KOP and MOPwt , whereas this
effect was not observed for MOPN40D .
PMID- 29808518
TI - Spatiotemporal remote sensing of ecosystem change and causation across Alaska.
AB - Contemporary climate change in Alaska has resulted in amplified rates of press
and pulse disturbances that drive ecosystem change with significant consequences
for socio-environmental systems. Despite the vulnerability of Arctic and boreal
landscapes to change, little has been done to characterize landscape change and
associated drivers across northern high-latitude ecosystems. Here we characterize
the historical sensitivity of Alaska's ecosystems to environmental change and
anthropogenic disturbances using expert knowledge, remote sensing data, and
spatiotemporal analyses and modeling. Time-series analysis of moderate-and high
resolution imagery was used to characterize land- and water-surface dynamics
across Alaska. Some 430,000 interpretations of ecological and geomorphological
change were made using historical air photos and satellite imagery, and
corroborate land-surface greening, browning, and wetness/moisture trend
parameters derived from peak-growing season Landsat imagery acquired from 1984 to
2015. The time series of change metrics, together with climatic data and maps of
landscape characteristics, were incorporated into a modeling framework for
mapping and understanding of drivers of change throughout Alaska. According to
our analysis, approximately 13% (~174,000 +/- 8700 km2 ) of Alaska has
experienced directional change in the last 32 years (+/-95% confidence
intervals). At the ecoregions level, substantial increases in remotely sensed
vegetation productivity were most pronounced in western and northern foothills of
Alaska, which is explained by vegetation growth associated with increasing air
temperatures. Significant browning trends were largely the result of recent
wildfires in interior Alaska, but browning trends are also driven by increases in
evaporative demand and surface-water gains that have predominately occurred over
warming permafrost landscapes. Increased rates of photosynthetic activity are
associated with stabilization and recovery processes following wildfire, timber
harvesting, insect damage, thermokarst, glacial retreat, and lake infilling and
drainage events. Our results fill a critical gap in the understanding of
historical and potential future trajectories of change in northern high-latitude
regions.
PMID- 29808520
TI - Masticatory efficiency contributing to the improved dynamic postural balance: A
cross-sectional study.
AB - OBJECTIVE: To evaluate whether masticatory efficiency is associated with dynamic
postural balance. BACKGROUND: Masticatory dysfunction can cause deterioration of
general health due to nutritional imbalances, thereby negatively affecting
postural balance. However, few studies have investigated the association between
masticatory efficiency and postural balance. MATERIALS AND METHODS: The
masticatory efficiency of 74 participants was evaluated by calculating mixing
ability index (MAI) using a wax cube. The timed up and go test (TUGT) was used to
measure dynamic balance. Participants with an MAI above or below the median value
of 1.05 were defined as having high or low masticatory efficiency, respectively.
An independent samples t-test was used to identify significant differences in
TUGT, according to masticatory efficiency. Analysis of covariance was performed
to adjust for confounding factors. Logistic regression analysis was used to
assess the correlation between masticatory efficiency and postural balance.
RESULTS: The high masticatory efficiency group could complete the TUGT exercise
approximately 1.67 seconds faster while maintaining the postural balance,
compared to the low masticatory efficiency group (P = .005). Furthermore, the
postural imbalance odds of the group with high mastication efficiency decreased
by 0.14-fold, relative to the group with low mastication efficiency (95%
confidence interval: 0.04-0.46). CONCLUSION: With some reservations about
statistical power, the association found between masticatory efficiency and
postural balance justifies further investigations to confirm the strength of the
associations, and possibly to identify causal relationships between mastication
and posture in old age.
PMID- 29808519
TI - Optimization of the in vitro fertilization protocol for frozen epididymal sperm
with low fertilization ability in Ban-A native Vietnamese pigs.
AB - The aim of the present study was to improve the penetration during in vitro
fertilization (IVF) of a frozen lot of epididymal sperm with a notoriously low
fertilization ability of a Ban boar which is a native Vietnamese breed by
optimizing different parameters of the IVF system. In Experiment 1, we determined
that Pig-fertilization medium was superior medium to Tyrode's albumin lactate
pyruvate-polyvinyl alcohol medium for IVF and defined the optimum the sperm
concentration (1 * 106 sperm/ml). In Experiment 2, we clarified that partial
removal of cumulus cells from cumulus-oocyte complexes by hyaluronidase treatment
before IVF enhances sperm penetration, whereas complete cumulus removal reduces
penetration. Finally, in Experiment 3 the elevation of concentration of caffeine
in Pig-fertilization medium from 2 to 5 mmol/L and the prolongation of the co
culture of gametes from 3 to 5 hr significantly increased the total penetration
rate from 15.2% to over 50%. In conclusion, the combination of partial oocyte
denudation, an elevated caffeine concentration in Pig-fertilization medium and an
extended interval of IVF with using an optimized sperm concentration was a potent
way to improve the fertilization results for a frozen epididymal Ban sperm lot
with low fertility.
PMID- 29808521
TI - Quantitative assessment of the effects of outside temperature on farrowing rate
in gilts and sows by using a multivariate logistic regression model.
AB - It is well known that pigs are sensitive to heat stress, but few studies have
assessed the critical temperature that affects farrowing rate. Therefore, the
objective of the present study was to assess the effects of outside temperature
on farrowing rate by using a multivariate logistic regression model. Data were
obtained from 25 commercial farms, including 26,128 service records for gilts and
120,655 service records for sows. Two variables, maximum temperature (MAX) and
temperature humidity index (THI), were used as an indicator for climate
conditions. In gilts, an interaction between climate conditions and service
number was associated with farrowing rate (p < .05). In the first service,
farrowing rate decreased as climate conditions increased, whereas no relationship
was found in the second service or later. In sows, farrowing rate at first
service decreased as MAX increased from 22 degrees C or THI increased from 66 (p
< .05), but no apparent reduction under heat conditions was found in the second
service or later. Additionally, effect of heat stress on farrowing rate in
parities 1-2 was higher than those in parities 3-5 and >=6 (p < .05). These
results can be applied to field conditions as a standard for the critical
temperature for farrowing rate.
PMID- 29808522
TI - Chemical composition and nutritive values of cassava pulp for cattle.
AB - We evaluated the chemical compositions of cassava pulp samples produced by four
cassava starch factories at different locations in northeast Thailand and the
metabolizable energy (ME) of the cassava pulp for cattle. There were significant
differences in the P (0.03% +/- 0.02%) and K (0.36% +/- 0.2%) contents of the
samples from different factories (p < .05). Moreover, we found interactions
between factory and season in the neutral detergent fiber (36.02% +/- 8.8%) and
nonfibrous carbohydrate contents (59.33% +/- 9.1%) (p < .05). For the evaluation
of ME, a crossover-design experiment with four cattle maintained in each of two
groups was conducted. There were two dietary treatments at a maintenance level of
1.27% body weight: (1) a control diet, and (2) a cassava pulp diet, containing
the control diet at 70.2% and cassava pulp at 29.8% (dry matter [DM] basis).
Feeding cassava pulp did not affect energy intake, energy loss, heat production,
energy utilization efficiency (except for the urine-to-gross energy ratio),
methane production, fecal N, or nutrient digestibility (except for crude protein
digestibility). The total digestible nutrients, digestible energy, and ME
contents of cassava pulp were 74.4%, 12.9 MJ/kg DM, and 11.3 MJ/kg DM,
respectively.
PMID- 29808523
TI - Effects of robot-assisted gait training in chronic stroke patients treated by
botulinum toxin-a: A pivotal study.
AB - OBJECTIVE: To investigate combined effects of robot-assisted training (RAT) and
physical therapy versus physical therapy only on balance and gait function of
chronic stroke patients after botulinum toxin-A (BoNT-A) treatment. METHODS:
Forty-eight chronic stroke patients, received BoNT-A treatment for lower
extremity spastic muscles, were randomly assigned to RAT (n = 32) and control (n
= 16) groups in a 2:1 ratio. RAT group received 30 min of RAT (RoboGait(r)) plus
60 min of physical therapy, whereas controls received 90 min of physical therapy
for 3 weeks during weekdays. Outcome assessments were measured at baseline and
post-treatment Weeks 6 and 12. Spasticity was assessed by Modified Ashworth Scale
and Tardieu Scale. Balance and gait functions were assessed by Berg Balance
Scale, Timed Up and Go test, and Rivermead Visual Gait Assessment. RESULTS:
Demographic and baseline characteristics were similar in both groups (p > .05)
for all parameters. Significant improvements were determined in both RAT and
control groups regarding spasticity, balance, and gait functions after treatment.
However, at post-treatment Weeks 6 and 12, change from baseline Timed Up and Go
test (p = .003 and p = .002, respectively), Berg Balance Scale (p = .001 and p <
.001, respectively), and Rivermead Visual Gait Assessment (p < .001 and p < .001,
respectively) were significantly higher in RAT group than those of the control
group. CONCLUSION: Integrated treatment with RAT and physical therapy might
provide additional benefits in chronic stroke patients whom spasticity was
treated by BoNT-A.
PMID- 29808524
TI - Neuroautonomic activity evidences parturition as a complex and integrated neuro
immune-endocrine process.
AB - Parturition in mammals demands a precise coordination of several neuro-immune
endocrine interactions including: a sterile inflammatory response that involves
secretion of inflammation mediators like cytokines/chemokines; changes in the
secretion of hormones such as progestogen, estrogens, cortisol, and oxytocin; as
well as adjustments of the neuroautonomic function. Specifically, the so-called
cholinergic anti-inflammatory pathway seems to play a key role in the homeostasis
of the neuro-immune-endocrine axis by adjusting the vagus nerve activity during
parturition. Here, we provide insights into the importance of the vagus during
parturition from an autonomic, endocrine, and immune interplay perspective, and
describe the potential role of heart rate variability analysis to explore these
interactions noninvasively, economically, and accessibly.
PMID- 29808525
TI - Treatment delays for patients with new-onset rheumatoid arthritis presenting to
an Australian early arthritis clinic.
AB - BACKGROUND: Early treatment ensures optimal outcomes in rheumatoid arthritis (RA)
yet there are limited data in Australia quantifying treatment delays in clinical
practice. AIMS: To quantify treatment delays in new RA patients and to explore
factors influencing delay and resultant patient outcomes. METHODS: Data were
obtained for 88 patients presenting with a new diagnosis of RA to an early
arthritis clinic (EAC) in Australia between 2008 and 2015. Date and details of
symptom onset, initial general practitioner (GP) presentation, GP referral and
review at EAC were collected. Patient demographics and clinical features were
analysed for outcomes and features predictive of delay. RESULTS: Median overall
delay from symptom onset to rheumatology review was 26.4 weeks. Patient delay
(8.7 weeks) was the longest delay and predicted overall delay. Delays in GP
referral and time to EAC review were 4 and 8.4 weeks respectively. Increased
overall delay was predicted by lower fatigue and disease activity scores (DAS28)
and increased tender joint counts (TJC). Patient delay was increased by
socioeconomic disadvantage. Increased GP delay was associated with lower DAS28
and higher TJC and ESR. Patients seen within 16 weeks had greater improvement in
DAS28 and probability of remission at 6 months. CONCLUSIONS: In this Australian
EAC setting, patient delay was the greatest contributor to RA treatment delay.
Delays in treatment were associated with lower disease severity and socioeconomic
disadvantage. Remission was more likely after prompt initiation of treatment.
PMID- 29808526
TI - Evaluation of three different patterns of feed intake during early lactation in
lactating sows.
AB - Forty-five multiparous sows (Landrace * Yorkshire; parity: 3.58 +/- 1.30) were
used to determine the effects of three patterns of feed intake during early
lactation on the performance of lactating sows. Experimental treatments were as
follows: IFI-1.4, the amount of feed increased by 1.4 kg per day for the first 5
days post-farrowing, followed by ad libitum feeding until weaning; IFI-1.0, the
amount of feed increased by 1.0 kg per day for the first 7 days post-farrowing,
followed by ad libitum feeding until weaning; IFI-0.7, the amount of feed
increased by 0.7 kg per day for the first 10 days post-farrowing, followed by ad
libitum feeding until weaning. The number of live piglets at birth/litter in
three dietary treatments was 11.50, 10.07, and 10.85, respectively. Sows in the
IFI-1.4 treatment had lower backfat loss during lactation, greater daily feed
intake during days 1-6, 7-12, and 1-24 compared with those in the IFI-0.7
treatment (p < .05). The litter weaning weight, litter weight gain, and average
litter daily gain in the IFI-1.4 treatment were greater compared with those in
the IFI-0.7 treatment (p < .05). In conclusion, the results indicated that the
IFI-1.4 feed intake pattern allowed lactating sows and their litters to obtain
optimal performance.
PMID- 29808527
TI - A Hydrogel-Film Casting to Fabricate Platelet-Reinforced Polymer Composite Films
Exhibiting Superior Mechanical Properties.
AB - The fabrication of mechanically superior polymer composite films with
controllable shapes on various scales is difficult. Despite recent research on
polymer composites consisting of organic matrices and inorganic materials with
layered structures, these films suffer from complex preparations and limited
mechanical properties that do not have even integration of high strength,
stiffness, and toughness. Herein, a hydrogel-film casting approach to achieve
fabrication of simultaneously strong, stiff, and tough polymer composite films
with well-defined microstructure, inspired from a layer-by-layer structure of
nacre is reported. Ca2+ -crosslinked alginate hydrogels incorporated with
platelet-like alumina particles are dried to form composite films composed of
horizontally aligned alumina platelets and alginate matrix with uniformly layered
microstructure. Alumina platelets are evenly distributed parallel without
precipitations and contribute to synergistic enhancements of strength, stiffness
and toughness in the resultant film. Consequentially, Ca2+ -crosslinked
alginate/alumina (Ca2+ -Alg/Alu) films show exceptional tensile strength (267
MPa), modulus (17.9 GPa), and toughness (3.60 MJ m-3 ). Furthermore, the hydrogel
film casting allows facile preparation of polymer composite films with
controllable shapes and various scales. The results suggest an alternative
approach to design and prepare polymer composites with the layer-by-layer
structure for superior mechanical properties.
PMID- 29808528
TI - LncRNA MALAT1/miR-129 axis promotes glioma tumorigenesis by targeting SOX2.
AB - We aimed to explore the interaction among lncRNA MALAT1, miR-129 and SOX2.
Besides, we would investigate the effect of MALAT1 on the proliferation of glioma
stem cells and glioma tumorigenesis. Differentially expressed lncRNAs in glioma
cells and glioma stem cells were screened out with microarray analysis. The
targeting relationship between miR-129 and MALAT1 or SOX2 was validated by dual
luciferase reporter assay. The expressions of MALAT1, miR-129 and SOX2mRNA in
both glioma non-stem cells and glioma stem cells were examined by qRT-PCR assay.
The impact of MALAT1 and miR-129 on glioma stem cell proliferation was observed
by CCK-8 assay, EdU assay and sphere formation assay. The protein expression of
SOX2 was determined by western blot. The effects of MALAT1 and miR-129 on glioma
tumour growth were further confirmed using xenograft mouse model. The mRNA
expression of MALAT1 was significantly up-regulated in glioma stem cells compared
with non-stem cells, while miR-129 was significantly down-regulated in glioma
stem cells. MALAT1 knockdown inhibited glioma stem cell proliferation via miR-129
enhancement. Meanwhile, miR-129 directly targeted at SOX2 and suppressed cell
viability and proliferation of glioma stem cells by suppressing SOX2 expression.
The down-regulation of MALAT1 and miR-129 overexpression both suppressed glioma
tumour growth via SOX2 expression promotion in vivo. MALAT1 enhanced glioma stem
cell viability and proliferation abilities and promoted glioma tumorigenesis
through suppressing miR-129 and facilitating SOX2 expressions.
PMID- 29808530
TI - Supramolecular Metal-Phenolic Gels for the Crystallization of Active
Pharmaceutical Ingredients.
AB - The use of supramolecular gel media for the crystallization of active
pharmaceutical ingredients (APIs) is of interest for controlling crystal size,
morphology, and polymorphism, as these features determine the performance of
pharmaceutical formulations. In contrast to supramolecular systems prepared from
synthetic gelators, herein, supramolecular metallogels based on a natural
polyphenol (tannic acid) are used for the crystallization of APIs. The gel-grown
API crystals exhibit considerable differences in size, morphology, and
polymorphism when compared with those formed in solutions. These physical
features can also be tailored by varying the gel composition and additives,
suggesting an influence of the gel medium on the crystallization outcomes.
Furthermore, these gel-API crystal composites can be used for sustained drug
release, indicating their potential as drug delivery systems. The facile
preparation of these supramolecular gels and the use of naturally abundant
components in their synthesis provide a generic platform for studying gel
mediated crystallization of diverse APIs.
PMID- 29808531
TI - Cu(I)-Catalyzed Cross-Coupling of Diazo Compounds with Terminal Alkynes: An
Efficient Access to Allenes.
AB - Cu(I)-catalyzed reaction of diazo compounds generates a Cu(I)-carbene
intermediate that undergoes diverse transformations. In the past few years, the
diazo compounds (or their precursor N-tosylhydrazones) have been established as
cross-coupling partners under transition-metal catalysis, affording various
organic compounds. Particularly the breakthrough has been made in allene
synthesis by Cu(I)-catalyzed carbene coupling with terminal alkynes. Moreover,
the Cu(I)-catalyzed coupling reaction of diazo compounds with terminal alkynes
generates allene intermediate that undergoes tandem cyclization/coupling to
afford cyclic compounds. This review article summarizes the most recent
developments in allene synthesis based on the Cu(I)-carbene coupling reactions
and the utilization of allene intermediates in tandem reactions.
PMID- 29808529
TI - Endoscopic ultrasound-through-the-needle biopsy in pancreatic cystic lesions: A
multicenter study.
AB - BACKGROUND AND AIM: Tissue acquisition in pancreatic cystic lesions (PCL) is the
ideal method for diagnosis and risk stratification for malignancy of these
lesions. Direct sampling from the walls of PCL with different devices has shown
better results than cytology from cystic fluid. We carried out a retrospective,
multicenter study to evaluate the feasibility, safety, and diagnostic yield of a
micro-forceps, specifically designed to be used through a 19-gauge needle after
endoscopic ultrasonography (EUS)-guided puncture of PCL. METHODS: We
retrospectively collected data from patients who underwent EUS-through-the-needle
biopsy (EUS-TTNB) in PCL at six referral centers. RESULTS: The sampling procedure
was carried out in 56 patients (mean age 57.5 +/- 13.1 years, M:F 17:39), and was
technically successful in all of them (100%; 95% confidence interval [CI], 94
100%). Adverse events occurred in 9/56 (16.1%; 95% CI, 8-28%) patients, with self
limited intracystic hemorrhage the most common (7/56, 12.5%; 95% CI, 5-24%). All
adverse events were mild, and resolved without any specific intervention.
Specimens were considered adequate for histological diagnosis in 47/56 (83.9%;
95% CI, 72-92%). In two of these patients, despite the histological adequacy, a
diagnosis could not be reached. In two other cases, a specimen sufficient for a
cytological diagnosis was obtained. Overall diagnostic yield by combining
cytological and histological samples was 47/56 (83.9%; 95% CI, 72-92%).
CONCLUSION: EUS-TTNB with micro-forceps in PCL is feasible, safe, and has a high
diagnostic yield. Future prospective studies are needed to better assess the
clinical impact of EUS-TTNB on the management of PCL.
PMID- 29808532
TI - Climate change impacts on the potential distribution of Eogystia hippophaecolus
in China.
AB - BACKGROUND: Seabuckthorn carpenter moth, Eogystia hippophaecolus (Hua, Chou,
Fang, & Chen, 1990), is the most important boring pest of sea buckthorn
(Hippophae rhamnoides L.) in the northwest of China. It is responsible for the
death of large areas of H. rhamnoides forest, seriously affecting the ecological
environment and economic development in north-western China. To clarify the
potential distribution of E. hippophaecolus in China, the present study used the
CLIMEX 4.0.0 model to project the potential distribution of the pest using
historical climate data (1981-2010) and simulated future climate data (2011-2100)
for China. RESULTS: Under historical climate condition, E. hippophaecolus would
be found to be distributed mainly between 27 degrees N-51 degrees N and 74
degrees E-134 degrees E, with favorable and highly favorable habitats
accounting for 35.2% of the total potential distribution. Under future climate
conditions, E. hippophaecolus would be distributed mainly between 27 degrees N
53 degrees N and 74 degrees E-134 degrees E, with the possibility of moving in
a northwest direction. Under these conditions, the proportion of the total area
providing a favorable and highly favorable habitat may decrease to about 33%.
CONCLUSION: These results will help to identify the impact of climate change on
the potential distribution of E. hippophaecolus, thereby providing a theoretical
basis for monitoring and early forecasting of pest outbreaks. (c) 2018 Society of
Chemical Industry.
PMID- 29808533
TI - Suppressing Surface Lattice Oxygen Release of Li-Rich Cathode Materials via
Heterostructured Spinel Li4 Mn5 O12 Coating.
AB - Lithium-rich layered oxides with the capability to realize extraordinary capacity
through anodic redox as well as classical cationic redox have spurred extensive
attention. However, the oxygen-involving process inevitably leads to instability
of the oxygen framework and ultimately lattice oxygen release from the surface,
which incurs capacity decline, voltage fading, and poor kinetics. Herein, it is
identified that this predicament can be diminished by constructing a spinel Li4
Mn5 O12 coating, which is inherently stable in the lattice framework to prevent
oxygen release of the lithium-rich layered oxides at the deep delithiated state.
The controlled KMnO4 oxidation strategy ensures uniform and integrated
encapsulation of Li4 Mn5 O12 with structural compatibility to the layered core.
With this layer suppressing oxygen release, the related phase transformation and
catalytic side reaction that preferentially start from the surface are
consequently hindered, as evidenced by detailed structural evolution during Li+
extraction/insertion. The heterostructure cathode exhibits highly competitive
energy-storage properties including capacity retention of 83.1% after 300 cycles
at 0.2 C, good voltage stability, and favorable kinetics. These results highlight
the essentiality of oxygen framework stability and effectiveness of this spinel
Li4 Mn5 O12 coating strategy in stabilizing the surface of lithium-rich layered
oxides against lattice oxygen escaping for designing high-performance cathode
materials for high-energy-density lithium-ion batteries.
PMID- 29808534
TI - miR-21 promotes cardiac fibroblast-to-myofibroblast transformation and myocardial
fibrosis by targeting Jagged1.
AB - Myocardial fibrosis after myocardial infarction (MI) is a leading cause of heart
diseases. MI activates cardiac fibroblasts (CFs) and promotes CF to myofibroblast
transformation (CMT). This study aimed to investigate the role of miR-21 in the
regulation of CMT and myocardial fibrosis. Primary rat CFs were isolated from
young SD rats and treated with TGF-beta1, miR-21 sponge or Jagged1 siRNA. Cell
proliferation, invasion and adhesion were detected. MI model was established in
male SD rats using LAD ligation method and infected with recombinant adenovirus.
The heart function and morphology was evaluated by ultrasonic and histological
analysis. We found that TGF-beta1 induced the up-regulation of miR-21 and down
regulation of Jagged1 in rat CFs. Luciferase assay showed that miR-21 targeted 3'
UTR of Jagged1 in rat CFs. miR-21 sponge inhibited the transformation of rat CFs
into myofibroblasts, and abolished the inhibition of Jagged1 mRNA and protein
expression by TGF-beta1. Furthermore, these effects of miR-21 sponge on rat CFS
were reversed by siRNA mediated knockdown of Jagged1. In vivo, heart dysfunction
and myocardial fibrosis in MI model rats were partly improved by miR-21 sponge
but were aggravated by Jagged1 knockdown. Taken together, these results suggest
that miR-21 promotes cardiac fibroblast-to-myofibroblast transformation and
myocardial fibrosis by targeting Jagged1. miR-21 and Jagged1 are potential
therapeutic targets for myocardial fibrosis.
PMID- 29808535
TI - Efficiency of an air curtain as an anti-insect barrier: the honey bee as a model
insect.
AB - BACKGROUND: Vector-borne diseases are of high concern for human, animal and plant
health. In humans, such diseases are often transmitted by flying insects. Flying
insects stop their flight when their kinetic energy cannot compensate for the
wind speed. Here, the efficiency of an air curtain in preventing insects from
entering a building was studied using the honey bee as a model. RESULTS: Bees
were trained to visit a food source placed in a building. The air curtain was
tested with strongly motivated bees, when the visiting activity was very high.
Airflow velocity was modulated by setting an air curtain device at different
voltages. At the nominal voltage, the anti-insect efficiency was 99.9 +/- 0.2%
compared with both the number of bees at a given time in the absence of the air
curtain and the number of bees before the activation of the air curtain. The
efficiency decreased as the airflow velocity decreased. CONCLUSION: The results
show that an air curtain operating at an airflow velocity of 7.5 m s-1 may
prevent a strong flyer with high kinetic energy, such as the honey bee, from
entering a building. Thus, air curtains offer an alternative approach for
combating vector-borne diseases. (c) 2018 Society of Chemical Industry.
PMID- 29808536
TI - Psoriasis triggered by Zika virus infection.
PMID- 29808537
TI - Effects of postural taping on pain, function and quality of life following
osteoporotic vertebral fractures-A feasibility trial.
AB - OBJECTIVE: Osteoporotic vertebral fractures (OVFs) are common and present a
significant burden to patients and healthcare services. Poor posture can increase
vertebral pressure, pain and the risk of further fractures. The aim of the
present study was to investigate the effects of postural taping on pain, function
and quality of life when used in addition to usual care. METHODS: A feasibility
randomized, controlled trial was carried out in men and women with at least one
clinically diagnosed painful OVF. Participants were randomly allocated to use an
adhesive postural taping device at home for 4 weeks or to continue with usual
care. Outcomes assessed at baseline and 4 weeks included pain at rest and on
movement (visual analogue scales [VASs]), and function and quality of life
(Quality of Life Questionnaire of the European Foundation for Osteoporosis
[QUALEFFO]). Health resource use and acceptability were explored using a
specifically designed questionnaire. RESULTS: Twenty-four participants completed
the trial (taping, n = 13; control, n = 11). Groups were comparable in age,
although the control group contained more men (n = 3 versus n = 0) and scored
slightly lower on most outcome measures at baseline. Descriptive analysis
favoured the taping group for most outcome measures. Effect sizes were small to
medium (0.37, 0.45 and 0.66 for VAS rest, VAS movement and QUALEFFO,
respectively). CONCLUSIONS: The taping device demonstrated potential to improve
pain and function. However, the findings need to be replicated in an
appropriately powered study. The study procedures were largely acceptable. A more
extensive pilot trial is recommended prior to a definitive trial.
PMID- 29808538
TI - In silico assessment of the metabolism and its safety significance of multitarget
propargylamine ASS234.
PMID- 29808539
TI - Laparoscopic excision of a retroperitoneal schwannoma: A case report.
AB - Schwannomas are tumors originating from the Schwann cells of the peripheral nerve
sheath. Only 1%-3% of schwannomas reportedly occur in the pelvis. In a 67-year
old man, a pelvic mass that was 52 mm in diameter was incidentally diagnosed
during a preoperative CT evaluation for prostate cancer. Preoperative 3-D
reconstruction CT showed that the feeding artery to the tumor originated from the
internal iliac artery and the drainage vein from the internal iliac vein. Each
vessel could be isolated, clipped, and cut with minimal bleeding. The tumor was
easily dissected from adjacent structures and was completely resected via
laparoscopic surgery. Histopathology and immunohistochemistry of the excised
specimen revealed a benign schwannoma. Using 3-D reconstruction to recognize a
tumor's positional relation with the supplying vessels is important for avoiding
complications during laparoscopic resection in the narrow pelvis. Laparoscopic
resection is safe, feasible, and effective for retroperitoneal schwannoma.
PMID- 29808541
TI - Comorbidities in vitiligo: comprehensive review.
AB - Vitiligo is a common skin disorder characterized by idiopathic, progressive
cutaneous hypomelanosis. Vitiligo is associated with several comorbid autoimmune,
systemic, and dermatological diseases, primarily thyroid disease, alopecia
areata, diabetes mellitus, pernicious anemia, systemic lupus erythematosus,
rheumatoid arthritis, Addison's disease, inflammatory bowel disease, Sjogren's
syndrome, dermatomyositis, scleroderma, ocular and audiological abnormalities,
psoriasis, and atopic dermatitis. It is essential to increase awareness of these
comorbidities in order to improve the disease burden and quality of life of
patients with vitiligo. Herein, we review the association with the most frequent
comorbidities associated with vitiligo.
PMID- 29808540
TI - Skeletal muscle and liver gene expression profiles in finishing steers
supplemented with Amaize.
AB - Our main objective was to evaluate the effects of feeding alpha-amylase (Amaize,
Alltech Inc., Nicholasville, KY, USA) for 140 days on skeletal muscle and liver
gene transcription in beef steers. Steers fed Amaize had lower average daily gain
(p = .03) and gain:feed ratio (p = .05). No differences (p > .10) in serum
metabolites or carcass traits were detected between the two groups but Amaize
steers tended (p < .15) to have increased 12th rib fat depth. Microarray analysis
of skeletal muscle revealed 21 differentially expressed genes (DEG), where 14
were up-regulated and seven were down-regulated in Amaize-fed steers. The
bioinformatics analysis indicated that metabolic pathways involved in fat
formation and deposition, stress response, and muscle function were activated,
while myogenesis was inhibited in Amaize-fed steers. The quantitative PCR results
for liver revealed a decrease (p < .01) in expression of fatty acid binding
protein 1 (FABP1) and 3-hydroxybutyrate dehydrogenase 1 (BDH1) with Amaize.
Because these genes are key for intracellular fatty acid transport, oxidation and
ketone body production, data suggest a reduction in hepatic lipid catabolism.
Future work to investigate potential positive effects of Amaize on cellular
stress response, muscle function, and liver function in beef cattle appears
warranted.
PMID- 29808542
TI - Self-focused processing after severe traumatic brain injury: Relationship to
neurocognitive functioning and mood symptoms.
AB - OBJECTIVE: To investigate the impact of neurocognitive functioning on the self
focused processing styles of rumination and reflection, and the relationship to
mood symptoms after severe traumatic brain injury (TBI). DESIGN: A cross
sectional design with a between-group component comparing self-focused processing
styles and mood symptoms of adults with TBI and age- and gender-matched controls.
METHOD: Fifty-two participants with severe TBI (75% male, M age = 36.56, SD =
12.39) completed cognitive tests of attention, memory, executive functioning and
the Awareness Questionnaire, Reflection and Rumination Questionnaire (RRQ), and
Depression, Anxiety, and Stress Scales (DASS - 21). Fifty age- and gender-matched
controls completed the RRQ and DASS-21. RESULTS: TBI participants reported
significantly greater mood symptoms than controls (p < .05); however, levels of
rumination and reflection did not significantly differ. TBI participants high on
both reflection and rumination had significantly greater mood symptoms than those
with high reflection and low rumination (p < .001). Higher levels of rumination
and reflection were associated with better working memory and immediate and
delayed verbal memory (r = .36-.43, p < .01). Higher levels of rumination were
also associated with greater verbal fluency, self-awareness, and mood symptoms (r
= .36-.70, p < .01). CONCLUSIONS: Individuals with better memory functioning may
be more likely to engage in self-focused processing after severe TBI. Reflection
without ruminative tendencies is more adaptive for mental health than reflection
with rumination. PRACTITIONER POINTS: Individuals with severe TBI report more
mood symptoms than non-injured controls but do not differ on self-focused
processing. Poorer memory function is related to lower levels of rumination and
reflection. Reflection without ruminative tendencies is adaptive for mental
health after severe TBI. Individuals with greater self-awareness and ruminative
tendencies are at increased risk of mental health problems following severe TBI.
LIMITATIONS: Rumination and reflection were assessed using a self-report measure
which assumes that people with severe TBI are able to reliably report on self
focused processing styles. The direction of associations between self-focused
processing, self-awareness, and mood symptoms could not be determined due to the
cross-sectional design.
PMID- 29808543
TI - The role of environmental driving factors in historical and projected carbon
dynamics of wetland ecosystems in Alaska.
AB - Wetlands are critical terrestrial ecosystems in Alaska, covering ~177,000 km2 ,
an area greater than all the wetlands in the remainder of the United States. To
assess the relative influence of changing climate, atmospheric carbon dioxide
(CO2 ) concentration, and fire regime on carbon balance in wetland ecosystems of
Alaska, a modeling framework that incorporates a fire disturbance model and two
biogeochemical models was used. Spatially explicit simulations were conducted at
1-km resolution for the historical period (1950-2009) and future projection
period (2010-2099). Simulations estimated that wetland ecosystems of Alaska lost
175 Tg carbon (C) in the historical period. Ecosystem C storage in 2009 was 5,556
Tg, with 89% of the C stored in soils. The estimated loss of C as CO2 and
biogenic methane (CH4 ) emissions resulted in wetlands of Alaska increasing the
greenhouse gas forcing of climate warming. Simulations for the projection period
were conducted for six climate change scenarios constructed from two climate
models forced under three CO2 emission scenarios. Ecosystem C storage averaged
among climate scenarios increased 3.94 Tg C/yr by 2099, with variability among
the simulations ranging from 2.02 to 4.42 Tg C/yr. These increases were driven
primarily by increases in net primary production (NPP) that were greater than
losses from increased decomposition and fire. The NPP increase was driven by CO2
fertilization (~5% per 100 parts per million by volume increase) and by increases
in air temperature (~1% per degrees C increase). Increases in air temperature
were estimated to be the primary cause for a projected 47.7% mean increase in
biogenic CH4 emissions among the simulations (~15% per degrees C increase).
Ecosystem CO2 sequestration offset the increase in CH4 emissions during the 21st
century to decrease the greenhouse gas forcing of climate warming. However,
beyond 2100, we expect that this forcing will ultimately increase as wetland
ecosystems transition from being a sink to a source of atmospheric CO2 because of
(1) decreasing sensitivity of NPP to increasing atmospheric CO2 , (2) increasing
availability of soil C for decomposition as permafrost thaws, and (3) continued
positive sensitivity of biogenic CH4 emissions to increases in soil temperature.
PMID- 29808544
TI - The role of production process and information on quality expectations and
perceptions of sparkling wines.
AB - BACKGROUND: This study, by combining sensory and experimental economics
techniques, aims to analyse to what extent the production process, and the
information about it, may affect consumer preferences. Sparkling wines produced
by Champenoise and Charmat methods were the object of the study. A quantitative
descriptive sensory analysis with a trained panel and non-hypothetical auctions
combined with hedonic ratings involving young wine consumers (N = 100), under
different information scenarios (Blind, Info and Info Taste), were performed.
RESULTS: The findings show that the production process impacts both the sensory
profile of sparkling wines and consumer expectations. In particular, the hedonic
ratings revealed that when tasting the products, both with no information on the
production process (Blind) and with such information (Info Taste), the consumers
preferred the Charmat wines. On the contrary, when detailed information on the
production methods was given without tasting (Info), consumers liked the two
Champenoise wines more. CONCLUSION: It can be concluded that sensory and non
sensory attributes of sparkling wines affect consumers' preferences.
Specifically, the study suggests that production process information strongly
impacts liking expectations, while not affecting informed liking. (c) 2018
Society of Chemical Industry.
PMID- 29808545
TI - Insight into structural requirements of antiamoebic flavonoids: 3D-QSAR and G
QSAR studies.
AB - Plant-based flavonoids have been found to exhibit strong inhibitory capability
against Entamoeba histolytica. So, various QSAR models have been developed to
identify the critical features that are responsible for the potency of these
molecules. 3D-QSAR analysis using k-nearest neighbour molecular field analysis
via stepwise forward-backward variable selection method showed best results for
both internal and external predictive ability of the model (i.e., q2 = 0.64 and
pred_r2 = 0.56). Also, a group-based QSAR (G-QSAR) model was developed based on
partial least squares regression combined with stepwise forward-backward variable
selection method. It gave best parametric results (r2 = 0.74, q2 = 0.56 and
pred_r2 = 0.54) which implied that the model is highly predictive. 3D-QSAR
established that presence/absence of bulk near rings B and C is important in
deciding the inhibitory potential of these molecules. Additionally, G-QSAR
provided site-specific clue wherein modifications related to molecular weight,
electronegativity and separation of an oxygen atom in rings A and C can result in
enhanced biological activity. To the best of the author's knowledge, this is the
first QSAR study of antiamoebic flavonoids, and therefore, we expect the results
to be useful in the design of more potent antiamoebic inhibitors.
PMID- 29808546
TI - Chronic liver injury induced by drugs and toxins.
AB - Drug-induced liver injury (DILI) occurs in a small fraction of individuals
exposed to drugs, herbs or dietary supplements and is a relatively rare diagnosis
compared with other liver disorders. DILI can be serious, resulting in
hospitalization and even life-threatening liver failure, death or need for liver
transplantation. Toxic liver damage usually presents as an acute hepatitis viral
like syndrome or as an acute cholestasis that resolves upon drug discontinuation.
However, un-resolving chronic outcome after acute DILI can ensue in some
subjects, the mechanisms and risk factors for this particular evolution being yet
scarcely known. Furthermore, the definition of chronicity after acute DILI is
controversial, regarding both the time frame of liver injury persistence and the
magnitude of the abnormalities required. Besides this, in some instances the
phenotypes and pathological manifestations are those of chronic liver disease at
the time of DILI diagnosis. These include non-alcoholic fatty liver disease,
vascular lesions, drug-induced autoimmune hepatitis, chronic cholestasis leading
to vanishing bile duct syndrome and even cirrhosis, and some drugs such as
amiodarone or methotrexate have been frequently implicated in some of these forms
of chronic DILI. In addition, all of these DILI phenotypes can be
indistinguishable from those related to other etiologies, making the diagnosis
particularly challenging. In this manuscript we have critically reviewed the more
recent data on chronicity in DILI with a particular focus on the epidemiology,
mechanisms and risk factors of atypical chronic DILI phenotypes.
PMID- 29808547
TI - MiR-181b inhibits P38/JNK signaling pathway to attenuate autophagy and apoptosis
in juvenile rats with kainic acid-induced epilepsy via targeting TLR4.
AB - OBJECTIVE: To explore the role of miR-181b in alterations of apoptosis and
autophagy in the kainic acid (KA)-induced epileptic juvenile rats via modulating
TLR4 and P38/JNK signaling pathway. METHODS: Dual-luciferase reporter assay was
performed to testify the targeting relationship between miR-181b and TLR4. After
intracerebroventricular injection (i.c.v.) of KA, rats were injected with miR
181b agomir and TLR4 inhibitor (TAK-242). The TLR-4 activator lipopolysaccharide
(LPS) was also administered into rats immediately after injection with miR-181b
agomir. Quantitative real-time-polymerase chain reaction (qRT-PCR) was used for
detections of miR-181b and TLR4 expressions, hematoxylin-eosin (HE) and Nissl
staining for observation of the hippocampus morphological changes, and TUNEL
staining for apoptosis analysis. Moreover, western blot was determined to detect
TLR4 and P38/JNK pathway proteins, as well as autophagy- and apoptosis-related
proteins. RESULTS: TLR4 was identified as a direct target of miR-181b using Dual
luciferase reporter assay. KA rats injected with miR-181b agomir or TAK-242 had
improved learning and memory abilities, reduced seizure severity of Racine's
scale, and lessened neuron injury. Additionally, miR-181b agomir or TAK-242 could
significantly inhibit P38/JNK signaling, decrease LC3II/I, Beclin-1, ATG5, ATG7,
ATG12, Bax, and cleaved caspases-3, but increase p62 and Bcl-2 expression. No
significances were found between KA group and KA + miR-181b + LPS group.
CONCLUSION: MiR-181b could inhibit P38/JNK signaling pathway via targeting TLR4,
thereby exerting protective roles in attenuating autophagy and apoptosis of KA
induced epileptic juvenile rats.
PMID- 29808548
TI - Intrinsically disordered protein-specific force field CHARMM36IDPSFF.
AB - Intrinsically disordered proteins (IDPs) are closely related to various human
diseases. Because IDPs lack certain tertiary structure, it is difficult to use X
ray and NMR methods to measure their structures. Therefore, molecular dynamics
simulation is a useful tool to study the conformer distribution of IDPs. However,
most generic protein force fields were found to be insufficient in simulations of
IDPs. Here, we report our development for the CHARMM community. Our residue
specific IDP force field (CHARMM36IDPSFF) was developed based on the base generic
force field with CMAP corrections for all 20 naturally occurring amino acids.
Multiple tests show that the simulated chemical shifts with the newly developed
force field are in quantitative agreement with NMR experiment and are more
accurate than the base generic force field. Comparison of J-couplings with
previous work shows that CHARMM36IDPSFF and its corresponding base generic force
field have their own advantages. In addition, CHARMM36IDPSFF simulations also
agree with experiment for SAXS profiles and radii of gyration of IDPs. Detailed
analysis shows that CHARMM36IDPSFF can sample more diverse and disordered
conformers. These findings confirm that the newly developed force field can
improve the balance of accuracy and efficiency for the conformer sampling of
IDPs.
PMID- 29808549
TI - Synthesis of Carbon-Nitrogen-Phosphorous Materials with an Unprecedented High
Amount of Phosphorous toward an Efficient Fire-Retardant Material.
AB - Phosphorus incorporation into carbon can greatly modify its chemical, electronic,
and thermal stability properties. To date this has been limited to low levels of
phosphorus. Now a simple, large-scale synthesis of carbon-nitrogen-phosphorus
(CNP) materials is reported with tunable elemental composition, leading to
excellent thermal stability to oxidation and fire-retardant properties. The
synthesis consists of using monomers that are liquid at high temperatures as the
reaction precursors. The molten-state stage leads to good monomer miscibility and
enhanced reactivity at high temperatures and formation of CNP materials with up
to 32 wt % phosphorus incorporation. The CNP composition and fire-retardant
properties can be tuned by modifying the starting monomers ratio and the final
calcination temperature. The CNP materials demonstrate great resistance to
oxidation and excellent fire-retardant properties, with up to 90 % of the
materials preserved upon heating to 800 degrees C in air.
PMID- 29808550
TI - PiViewer: An open-source tool for automated detection and display of pi-pi
interactions.
AB - pi-pi interactions are common and important noncovalent interactions that
contribute to biochemical molecular interactions, but the tools for the
convenient 3D visualization of pi-pi interactions are lacking. We have developed
an open-source and easy-to-use tool for the automated identification and display
of pi-pi stacking in 3D. It can percept the aromaticity of rings from any
selected ligand and the surrounding residues, calculate the distances and
dihedral angles between each pair of aromatic ring planes, as well as can
highlight the various configurations of pi-pi interactions in 3D: the sandwich
configuration, the T-shaped configuration, and the parallel-displaced
configuration. In addition, the users can easily adjust or set their own criteria
for pi-pi stacking.
PMID- 29808551
TI - Dosimetric study of GZP6 60 Co high dose rate brachytherapy source.
AB - The purpose of this study was to obtain dosimetric parameters of GZP6 60 Co
brachytherapy source number 3. The Geant4 MC code has been used to obtain the
dose rate distribution following the American Association of Physicists in
Medicine (AAPM) TG-43U1 dosimetric formalism. In the simulation, the source was
centered in a 50 cm radius water phantom. The cylindrical ring voxels were 0.1 mm
thick for r <= 1 cm, 0.5 mm for 1 cm < r <= 5 cm, and 1 mm for r > 5 cm. The
kerma-dose approximation was performed for r > 0.75 cm to increase the simulation
efficiency. Based on the numerical results, the dosimetric datasets were
obtained. These results were compared with the available data of the similar 60
Co high dose rate sources and the detailed dosimetric characterization was
discussed.
PMID- 29808552
TI - The impact of using old germplasm on genetic merit and diversity-A cattle breed
case study.
AB - Artificial selection and high genetic gains in livestock breeds led to a loss of
genetic diversity. Current genetic diversity conservation actions focus on long
term maintenance of breeds under selection. Gene banks play a role in such
actions by storing genetic materials for future use and the recent development of
genomic information is facilitating characterization of gene bank material for
better use. Using the Meuse-Rhine-Issel Dutch cattle breed as a case study, we
inferred the potential role of germplasm of old individuals for genetic diversity
conservation of the current population. First, we described the evolution of
genetic merit and diversity over time and then we applied the optimal
contribution (OC) strategy to select individuals for maximizing genetic
diversity, or maximizing genetic merit while constraining loss of genetic
diversity. In the past decades, genetic merit increased while genetic diversity
decreased. Genetic merit and diversity were both higher in an OC scenario
restricting the rate of inbreeding when old individuals were considered for
selection, compared to considering only animals from the current population.
Thus, our study shows that gene bank material, in the form of old individuals,
has the potential to support long-term maintenance and selection of breeds.
PMID- 29808553
TI - Haemorrhage from varicose veins and varicose ulceration: A systematic review.
AB - Varicose veins (VVs) and varicose ulceration (VU) are usually considered non-life
threatening conditions, but in some cases they can lead to major complications
such as fatal bleeding. The aim of this systematic review is to evidence the most
updated information on bleeding from VV and VU. As evidence acquisition, we
planned to include all the studies dealing with "Haemorrhage/Bleeding" and
"VVs/VU". We excluded all the studies, which did not properly fit our research
question, and with insufficient data. As evidence synthesis, of the 172 records
found, after removing of duplicates, and after records excluded in title and
abstract, 85 matched our inclusion criteria. After reading the full-text
articles, we decided to exclude 68 articles because of the following reasons: (1)
not responding properly to our research questions; (2) insufficient data; the
final set included 17 articles. From literature searching, we identify the
following main issues to be discussed in the review: epidemiology and
predisposing factors, pathophysiology and forensic aspects, first aid. It has
been estimated that deaths for bleeding due to peripheral venous problems account
up to 0.01% of autopsy cases. From a pathological point of view, venous bleeding
may arise from either acute or chronic perforation of an enlarged vein segment
through the weakened skin. From a forensic point of view, in cases of fatal
haemorrhage the death scene can even simulate non-natural events, due to crime or
suicide. In most cases, incorrect first aid led to fatal complications. Further
investigation on epidemiology and prevention measures are needed.
PMID- 29808555
TI - Synthesis of obeticholic acid, a farnesoid X receptor agonist, and its major
metabolites labeled with deuterium.
AB - Simple and facile methods for the synthesis of deuterium-labeled obeticholic acid
and its 2 metabolites, glycine and taurine conjugates of obeticholic acid, are
described herein. The 3 deuterated compounds were applicable for use as internal
standards in drug development.
PMID- 29808554
TI - Highly Active Catalytic Ruthenium/TiO2 Nanomaterials for Continuous Production of
gamma-Valerolactone.
AB - Green energy production from renewable sources is an attractive, but challenging
topic to face the likely energy crisis scenario in the future. In the current
work, a series of versatile Ru/TiO2 catalysts were simply synthesized and
employed in continuous-flow catalytic transfer hydrogenation of industrially
derived methyl levulinate biowaste (from Avantium Chemicals B.V.) to form gamma
valerolactone. Different analytical techniques were applied in the
characterization of the as-synthesized catalysts, including XRD, SEM, energy
dispersion X-ray spectroscopy, TEM, and X-ray photoelectron spectroscopy. The
effects of various reaction conditions (e.g., temperature, concentration, and
flow rate) were investigated. Results suggested that optimum dispersion and
distribution of Ru on the TiO2 surface could efficiently promote the production
of gamma-valerolactone; the 5 % Ru/TiO2 catalyst provided excellent catalytic
performance and stability compared with commercial Ru catalysts.
PMID- 29808556
TI - Crucial role of oxidative stress in bactericidal effect of parthenolide against
Xanthomonas oryzae pv. oryzae.
AB - BACKGROUND: Xanthomonas oryzae pv. oryzae (Xoo) causes rice bacterial blight,
which is one of the most devastating diseases on rice. Parthenolide (PTL) is a
sesquiterpene lactone possessing multiple bioactivities. In the preliminary
study, we found PTL can totally inhibit the growth of Xoo at 10 mg L-1 in vitro.
In this study, we aim to further evaluate the anti-bacterial activity of PTL
against Xoo and discern the role of oxidative stress in its bactericidal effect.
RESULTS: PTL was effective against Xoo both in vitro and in vivo. PTL induced
reactive oxygen species (ROS) accumulation in Xoo, leading to cell death, while
exogenous catalase can fully abolish its bactericidal effect. PTL sensitivity of
catalase deletion mutants of Xoo increased significantly compared with that of
wild-type Xoo strain. In addition, PTL treatment increased glutathione peroxidase
activity and decreased glutathione (GSH) reductase activity in Xoo, but had no
effect on its catalase and superoxide dismutase activities. Interestingly, PTL
dramatically reduced the GSH level in Xoo, resulting in disturbed GSH/GSSG
balance. Moreover, PTL rapidly reacted with GSH by a nucleophilic addition
reaction. CONCLUSION: PTL is a promising lead compound for developing bactericide
against Xoo. PTL rapidly reacts with GSH, resulting in disturbed GSH/GSSG balance
in Xoo, which causes ROS accumulation, leading to cell death. Oxidative stress
plays a critical role in the bactericidal effect of PTL against Xoo. (c) 2018
Society of Chemical Industry.
PMID- 29808557
TI - FeS2 /CoS2 Interface Nanosheets as Efficient Bifunctional Electrocatalyst for
Overall Water Splitting.
AB - Electrochemical water splitting to produce hydrogen and oxygen, as an important
reaction for renewable energy storage, needs highly efficient and stable
catalysts. Herein, FeS2 /CoS2 interface nanosheets (NSs) as efficient
bifunctional electrocatalysts for overall water splitting are reported. The
thickness and interface disordered structure with rich defects of FeS2 /CoS2 NSs
are confirmed by atomic force microscopy and high-resolution transmission
electron microscopy. Furthermore, extended X-ray absorption fine structure
spectroscopy clarifies that FeS2 /CoS2 NSs with sulfur vacancies, which can
further increase electrocatalytic performance. Benefiting from the interface
nanosheets' structure with abundant defects, the FeS2 /CoS2 NSs show remarkable
hydrogen evolution reaction (HER) performance with a low overpotential of 78.2 mV
at 10 mA cm-2 and a superior stability for 80 h in 1.0 m KOH, and an
overpotential of 302 mV at 100 mA cm-2 for the oxygen evolution reaction (OER).
More importantly, the FeS2 /CoS2 NSs display excellent performance for overall
water splitting with a voltage of 1.47 V to achieve current density of 10 mA cm-2
and maintain the activity for at least 21 h. The present work highlights the
importance of engineering interface nanosheets with rich defects based on
transition metal dichalcogenides for boosting the HER and OER performance.
PMID- 29808558
TI - Species composition, functional and phylogenetic distances correlate with success
of invasive Chromolaena odorata in an experimental test.
AB - Biotic resistance may influence invasion success; however, the relative roles of
species richness, functional or phylogenetic distance in predicting invasion
success are not fully understood. We used biomass fraction of Chromolaena
odorata, an invasive species in tropical and subtropical areas, as a measure of
'invasion success' in a series of artificial communities varying in species
richness. Communities were constructed using species from Mexico (native range)
or China (non-native range). We found strong evidence of biotic resistance:
species richness and community biomass were negatively related with invasion
success; invader biomass was greater in plant communities from China than from
Mexico. Harvesting time had a greater effect on invasion success in plant
communities from China than on those from Mexico. Functional and phylogenetic
distances both correlated with invasion success and more functionally distant
communities were more easily invaded. The effects of plant-soil fungi and plant
allelochemical interactions on invasion success were species-specific.
PMID- 29808559
TI - 'It's hard to swallow'.
PMID- 29808560
TI - Stretchable Optomechanical Fiber Sensors for Pressure Determination in
Compressive Medical Textiles.
AB - Medical textiles are widely used to exert pressure on human tissues during
treatment of post-surgical hematoma, burn-related wounds, chronic venous
ulceration, and other maladies. However, the inability to dynamically sense and
adjust the applied pressure often leads to suboptimal pressure application,
prolonging treatment or resulting in poor patient outcomes. Here, a simple
strategy for measuring sub-bandage pressure by integrating stretchable
optomechanical fibers into elastic bandages is demonstrated. Specifically, these
fibers possess an elastomeric photonic multilayer cladding that surrounds an
extruded stretchable core filament. They can sustain repetitive strains of over
100%, and respond to deformation with a predictable and reversible color
variation. Integrated into elastic textiles, which apply pressure as a function
of their strain, these fibers can provide instantaneous and localized pressure
feedback. These colorimetric fiber sensors are well suited for medical textiles,
athletic apparel, and other smart wearable technologies, especially when
repetitive, large deformations are required.
PMID- 29808561
TI - Influence of residential characteristics on the association between the oral
health status and BMI of older adults in Indonesia.
AB - OBJECTIVE: To assess the possible effects of residential characteristics on the
association between oral hygiene and body mass index (BMI) of elderly people in
Indonesia. METHODS: This cross-sectional study involved 186 participants (87
males, 99 females) aged >=60 years who were randomly recruited from 8 community
health stations for the elderly people. Dentition status, oral hygiene index
(OHI), probing pocket depth (PPD) and clinical attachment level (CAL) were
assessed in accordance with WHO guidelines. Salivary condition was assessed
through the unstimulated whole saliva collection method. Education status and
oral health behaviours were evaluated using a standardised questionnaire. BMI was
calculated as weight in kilograms divided by height in metres squared.
Participants were grouped in accordance with their OHI status (poor, moderate or
good) combined with their residential characteristic (rural or urban) to assess
the independent effect of OHI and residential characteristic on BMI through
linear regression analysis with confounder adjustment. RESULTS: In the crude
model of linear regression analysis, the poor OHI group is associated with low
BMI regardless of their residential characteristic (rural/urban) when compared to
the "good OHI, urban" group with P-values of .045 and <.01 and regression
coefficients (beta) of -2.1 and -4.5, respectively. However, in the adjusted
model, only the "poor OHI, rural" group showed a significant association with low
BMI when compared to the "good OHI, urban" group (beta = -3.4; P < .01).
CONCLUSION: Low BMI is significantly associated with poor OHI and rural
residential characteristic among elderly people in Indonesia.
PMID- 29808562
TI - Druggability of the guanosine/adenosine/cytidine nucleoside hydrolase from
Trichomonas vaginalis.
AB - Trichomonas vaginalis infects approximately 300 million people worldwide
annually. Infected individuals have a higher susceptibility to more serious
conditions such as cervical and prostate cancer. The parasite has developed
increasing resistance to current drug therapies, with an estimated 5% of clinical
cases resulting from resistant strains, creating the need for new therapeutic
strategies with novel mechanisms of action. Nucleoside salvage pathway enzymes
represent novel drug targets as these pathways are essential for the parasite's
survival. The guanosine/adenosine/cytidine nucleoside hydrolase (GACNH) may be
particularly important as its expression is upregulated under glucose-limiting
conditions mimicking those that occur during infection establishment. GACNH was
screened against the NIH Clinical Collection to explore its druggability. Seven
compounds were identified with IC50 values <20 MUM. Extensive overlap was found
between inhibitors of GACNH and the adenosine/guanosine nucleoside hydrolase
(AGNH), but no overlap was found with inhibitors of the uridine nucleoside
hydrolase. The guanosine analog ribavirin was the only compound found to be
specific for GACNH. Compounds that inhibit both AGNH and GACNH purine salvage
pathway enzymes may prove critical given the role that GACNH appears to play in
the early stages of infection.
PMID- 29808564
TI - Legume nodulation: The host controls the party.
AB - Global demand to increase food production and simultaneously reduce synthetic
nitrogen fertilizer inputs in agriculture are underpinning the need to intensify
the use of legume crops. The symbiotic relationship that legume plants establish
with nitrogen-fixing rhizobia bacteria is central to their advantage. This plant
microbe interaction results in newly developed root organs, called nodules, where
the rhizobia convert atmospheric nitrogen gas into forms of nitrogen the plant
can use. However, the process of developing and maintaining nodules is resource
intensive; hence, the plant tightly controls the number of nodules forming. A
variety of molecular mechanisms are used to regulate nodule numbers under both
favourable and stressful growing conditions, enabling the plant to conserve
resources and optimize development in response to a range of circumstances. Using
genetic and genomic approaches, many components acting in the regulation of
nodulation have now been identified. Discovering and functionally characterizing
these components can provide genetic targets and polymorphic markers that aid in
the selection of superior legume cultivars and rhizobia strains that benefit
agricultural sustainability and food security. This review addresses recent
findings in nodulation control, presents detailed models of the molecular
mechanisms driving these processes, and identifies gaps in these processes that
are not yet fully explained.
PMID- 29808563
TI - High-Performance, Solution-Processed, and Insulating-Layer-Free Light-Emitting
Diodes Based on Colloidal Quantum Dots.
AB - Quantum-dot light-emitting diodes (QLEDs) may combine superior properties of
colloidal quantum dots (QDs) and advantages of solution-based fabrication
techniques to realize high-performance, large-area, and low-cost
electroluminescence devices. In the state-of-the-art red QLED, an ultrathin
insulating layer inserted between the QD layer and the oxide electron
transporting layer (ETL) is crucial for both optimizing charge balance and
preserving the QDs' emissive properties. However, this key insulating layer
demands very accurate and precise control over thicknesses at sub-10 nm level,
causing substantial difficulties for industrial production. Here, it is reported
that interfacial exciton quenching and charge balance can be independently
controlled and optimized, leading to devices with efficiency and lifetime
comparable to those of state-of-the-art devices. Suppressing exciton quenching at
the ETL-QD interface, which is identified as being obligatory for high
performance devices, is achieved by adopting Zn0.9 Mg0.1 O nanocrystals, instead
of ZnO nanocrystals, as ETLs. Optimizing charge balance is readily addressed by
other device engineering approaches, such as controlling the oxide ETL/cathode
interface and adjusting the thickness of the oxide ETL. These findings are
extended to fabrication of high-efficiency green QLEDs without ultrathin
insulating layers. The work may rationalize the design and fabrication of high
performance QLEDs without ultrathin insulating layers, representing a step
forward to large-scale production and commercialization.
PMID- 29808565
TI - Hosts do not simply outsource pathogen resistance to protective symbionts.
AB - Microbial symbionts commonly protect their hosts from natural enemies, but it is
unclear how protective symbionts influence the evolution of host immunity to
pathogens. One possibility is that 'extrinsic' protection provided by symbionts
allows hosts to reduce investment in 'intrinsic' immunological resistance
mechanisms. We tested this idea using pea aphids (Acyrthosiphon pisum) and their
facultative bacterial symbionts that increase host resistance to the fungal
pathogen Pandora neoaphidis. The pea aphid taxon is composed of multiple host
plant associated populations called biotypes, which harbor characteristic
communities of symbionts. We found that biotypes that more frequently carry
protective symbionts have higher, rather than lower, levels of intrinsic
resistance. Within a biotype there was no difference in intrinsic resistance
between clones that did and did not carry a protective symbiont. The host plant
on which an aphid feeds did not strongly influence intrinsic resistance. We
describe a simple conceptual model of the interaction between intrinsic and
extrinsic resistance and suggest that our results may be explained by selection
favoring both the acquisition of protective symbionts and enhanced intrinsic
resistance in habitats with high pathogen pressure. Such combined protection is
potentially more robust than intrinsic resistance alone.
PMID- 29808566
TI - Rational Design and General Synthesis of S-Doped Hard Carbon with Tunable Doping
Sites toward Excellent Na-Ion Storage Performance.
AB - Heteroatom-doping is a promising strategy to tuning the microstructure of carbon
material toward improved electrochemical storage performance. However, it is a
big challenge to control the doping sites for heteroatom-doping and the rational
design of doping is urgently needed. Herein, S doping sites and the influence of
interlayer spacing for two kinds of hard carbon, perfect structure and vacancy
defect structure, are explored by the first-principles method. S prefers doping
in the interlayer for the former with interlayer distance of 3.997 A, while S is
doped on the carbon layer for the latter with interlayer distance of 3.695 A.
More importantly, one step molten salts method is developed as a universal
synthetic strategy to fabricate hard carbon with tunable microstructure. It is
demonstrated by the experimental results that S-doping hard carbon with fewer
pores exhibits a larger interlayer spacing than that of porous carbon, agreeing
well with the theoretical prediction. Furthermore, the S-doping carbon with
larger interlayer distance and fewer pores exhibits remarkably large reversible
capacity, excellent rate performance, and long-term cycling stability for Na-ion
storage. A stable and reversible capacity of ~200 mAh g-1 is steadily kept even
after 4000 cycles at 1 A g-1 .
PMID- 29808567
TI - A potential antiapoptotic regulation: The interaction of heat shock protein 70
and apoptosis-inducing factor mitochondrial 1 during heat stress and aestivation
in sea cucumber.
AB - The sea cucumber (Apostichopus japonicus) has become a good model organism for
studying environmentally induced aestivation in marine invertebrates. A
characteristic feature of aestivation in this species is the degeneration of the
intestine. In the current study, we hypothesized that energy conservation and
cytoprotective strategies need to be coordinated in the intestine to ensure long
term survival during aestivation, and there was potential relationship between
heat shock protein 70 (HSP70) and apoptosis-inducing factor mitochondrial 1
(AIFM1) during extreme environmental stress. AIFM1 is a bifunctional flavoprotein
that is involved in the caspase-independent activation of apoptosis. The gene and
protein expression profiles of AjAIFM1 and AjHSP70 in intestinal tissue during
aestivation were analyzed and results showed an inverse correlation between them,
AjAIFM1 being suppressed during aestivation whereas AjHSP70 was strongly
upregulated. Comparable responses were also seen when intestinal cells were
isolated and analyzed in vitro for responses to heat stress at 25 degrees C (a
water temperature typical during aestivation), compared with 15 degrees C control
cells. Combined with co-immunoprecipitation studies in vivo and in vitro, our
results suggested that AjHSP70 protein may have potential interaction with
AjAIFM1. To determine the influence of heat stress on apoptotic rate of
intestinal cells, we also assessed the DNA fragmentation by terminal
deoxynucleotide transferase-mediated dUTP nick-end labeling assay, and results
also supported a potential antiapoptotic response in sea cucumber during heat
stress. This type of cytoprotective mechanism could be used to preserve the
existing cellular components during long-term aestivation in sea cucumber.
PMID- 29808568
TI - Eyes Wide Shut: the impact of dim-light vision on neural investment in marine
teleosts.
AB - Understanding how organismal design evolves in response to environmental
challenges is a central goal of evolutionary biology. In particular, assessing
the extent to which environmental requirements drive general design features
among distantly related groups is a major research question. The visual system is
a critical sensory apparatus that evolves in response to changing light regimes.
In vertebrates, the optic tectum is the primary visual processing centre of the
brain and yet it is unclear how or whether this structure evolves while lineages
adapt to changes in photic environment. On one hand, dim-light adaptation is
associated with larger eyes and enhanced light-gathering power that could require
larger information processing capacity. On the other hand, dim-light vision may
evolve to maximize light sensitivity at the cost of acuity and colour
sensitivity, which could require less processing power. Here, we use X-ray
microtomography and phylogenetic comparative methods to examine the relationships
between diel activity pattern, optic morphology, trophic guild and investment in
the optic tectum across the largest radiation of vertebrates-teleost fishes. We
find that despite driving the evolution of larger eyes, enhancement of the
capacity for dim-light vision generally is accompanied by a decrease in
investment in the optic tectum. These findings underscore the importance of
considering diel activity patterns in comparative studies and demonstrate how
vision plays a role in brain evolution, illuminating common design principles of
the vertebrate visual system.
PMID- 29808569
TI - Tuning the Gas Selectivity of Troger's Base Polyimide Membranes by Using
Carboxylic Acid and Tertiary Base Interactions.
AB - Polyimide-based materials provide attractive chemistries for the development of
gas-separation membranes. Modification of inter- and intra-chain interactions is
a route to improve the separation performance. In this work, copolyimides with
Troger's base (TB) monomers are designed and synthesized. In particular, a series
of copolyimides is synthesized with different contents of carboxylic acid groups
(0-50 wt %) to alter the inter- and intra-chain interactions and enhance the
basicity of the TB-polyimides. A detailed thermal and structural analysis is
provided for the new copolyimides. Gas permeation data reveal a tunable trend in
separation performance with increasing carboxylic acid group content.
Importantly, this is one of the few examples of copolyimide membranes materials
that show enhanced plasticization resistance to high-pressure gas feeds through
physical cross-linking.
PMID- 29808570
TI - The interruptive effect of electric shock on odor response requires mushroom
bodies in Drosophila melanogaster.
AB - Nociceptive stimulus involuntarily interrupts concurrent activities. This
interruptive effect is related to the protective function of nociception that is
believed to be under stringent evolutionary pressure. To determine whether such
interruptive effect is conserved in invertebrate and potentially uncover
underlying neural circuits, we examined Drosophila melanogaster. Electric shock
(ES) is a commonly used nociceptive stimulus for nociception related research in
Drosophila. Here, we showed that background noxious ES dramatically interrupted
odor response behaviors in a T-maze, which is termed blocking odor response by
electric shock (BOBE). The interruptive effect is not odor specific. ES could
interrupt both odor avoidance and odor approach. To identify involved brain
areas, we focused on the odor avoidance to 3-OCT. By spatially abolishing
neurotransmission with temperature sensitive ShibireTS1 , we found that mushroom
bodies (MBs) are necessary for BOBE. Among the 3 major MB Kenyon cell (KCs)
subtypes, alpha/beta neurons and gamma neurons but not alpha'/beta' neurons are
required for normal BOBE. Specifically, abolishing the neurotransmission of
either alpha/beta surface (alpha/betas ), alpha/beta core (alpha/betac ) or gamma
dorsal (gammad ) neurons alone is sufficient to abrogate BOBE. This pattern of MB
subset requirement is distinct from that of aversive olfactory learning,
indicating a specialized BOBE pathway. Consistent with this idea, BOBE was not
diminished in several associative memory mutants and noxious ES interrupted both
innate and learned odor avoidance. Overall, our results suggest that MB
alpha/beta and gamma neurons are parts of a previously unappreciated central
neural circuit that processes the interruptive effect of nociception.
PMID- 29808571
TI - Use of stereotactic body radiation therapy for oligometastatic recurrent prostate
cancer: A systematic review.
AB - The purpose of this study is to evaluate the effectiveness and safety of
stereotactic body radiation therapy (SBRT) in the management of oligometastatic
recurrent prostate cancer (PCa) by means of a systematic review. Six databases
were searched (CENTRAL, Embase, LILACS, PubMed, Scopus and Web of Science).
Additionally, hand-searching and grey literature search were performed. The main
outcomes were progression-free survival (PFS) and toxicity rates. Androgen
deprivation therapy-free survival (ADT-FS), local control, pattern of recurrence,
cancer-specific survival and overall survival were also assessed. Risk of bias
and quality of evidence were judged with the aid of specific tools. Fourteen
studies were included, involving 661 patients and 899 lesions (561 nodal, 336
bone, 2 liver). Median PFS and ADT-FS were around 1 to 3 years. Local control
rates varied from 82 to 100% among researches with low risk of bias. Acute and
late grade 2 toxicity was observed in 2.4% and 1.1% of the patients,
respectively. One case of acute and two cases of late grade 3 toxicity were
registered. Only one randomized study addresses this topic. Although it does not
meet all the eligibility criteria, it is useful for the discussion. A
quantitative analysis was not possible, nor were subgroup analyses, due to the
significant heterogeneity of the interventions and outcomes reported. Longer
follow-up period is required. SBRT seems to be a safe approach to metastatic
lesions that might provide disease control and defer androgen deprivation therapy
(ADT). Local control is better when higher radiation doses are employed.
PMID- 29808572
TI - Characteristics of oxygenated hemoglobin concentration change during pleasant and
unpleasant image-recall tasks in patients with depression: Comparison with
healthy subjects.
AB - AIM: Patients with major depressive disorder (MDD) have been reported to show
cognitive impairment in attention, cognition control, and motivation. The
prefrontal cortex plays an important role in the pathophysiology of depression.
Neurophysiological abnormalities have been examined in MDD patients by several
neuroimaging studies. However, the underlying neural mechanism is still unclear.
We evaluated brain function during pleasant and unpleasant image-recall tasks
using multichannel near-infrared spectroscopy (NIRS) in MDD patients. METHODS:
The subjects were 25 MDD patients and 25 age- and sex-matched healthy controls.
Patients were classified according to DSM-IV-TR criteria. We measured the
oxygenated hemoglobin concentration change (deltaoxyHb) in the forehead and
temporal lobe during image-recall task with pleasant (e.g., puppy) and unpleasant
(e.g., snake) images using NIRS. To check whether all subjects understood the
task, they were asked to draw pictures of both image tasks after NIRS
measurement. RESULTS: The deltaoxyHb in the healthy group was significantly
higher than that in the MDD group in the bilateral frontal region during the
unpleasant condition. A significant negative correlation between the Hamilton
Rating Scale for Depression score and deltaoxyHb was observed in the left frontal
region during the unpleasant condition. CONCLUSION: We suggest that image-recall
tasks related to emotion measured by NIRS might be a visually useful
psychophysiological marker to understand the decrease in the frontal lobe
function in MDD patients. In particular, we suggest that the decrease in
deltaoxyHb in the left frontal lobe is related to the severity of depression.
PMID- 29808573
TI - Safety and effectiveness of primary transscleral diode laser cyclophotoablation
for glaucoma in Nigeria.
AB - IMPORTANCE: To investigate the safety, effectiveness and follow-up rates after
transscleral diode laser cyclophotocoagulation as primary treatment for seeing
eyes with primary open angle glaucoma in Bauchi, Nigeria. BACKGROUND: There is a
high prevalence of primary open angle glaucoma in Africa where adherence to
medical treatment and acceptance of surgery are poor. DESIGN: Prospective case
series. PARTICIPANTS: New glaucoma patients where surgical intervention was
recommended. METHODS: A diode 810 nm laser G-probe was used under retrobulbar
anaesthesia to deliver approximately 20 shots for 2000 ms, titrating the power.
If both eyes were treated the first was the study eye. Repeat treatment offered
if the intraocular pressure (IOP) was >21 mmHg on two consecutive visits. MAIN
OUTCOME MEASURES: IOP < 22 mmHg, change in >=2 lines of Snellen visual acuity
(VA), and complications. RESULTS: 201 out of 204 eyes with complete data
analysed. Mean age 52 years, 17 (8.3%) eyes were re-treated. Mean pre-treatment
IOP was 39 (SD 11) mmHg. 106 (53%) attended at 12 months when the mean IOP was 19
(7-45) mmHg; 77 (73%) had IOP < 22 mmHg. VAs were better in 13 (12.3%) and worse
in 23 (21.7%) eyes. Postoperative complications included mild uveitis (5.5%),
corneal oedema (2.5%), severe uveitis (0.5%) and transient hypotony (2.0%). No
hypotony at 12 months. CONCLUSIONS AND RELEVANCE: Transscleral diode laser
cyclophotocoagulation controlled IOP in almost three quarters of eyes at 12
months with short-term preservation of vision and minimal complications. Poor
follow-up in this setting highlights the need for an effective, safe and
acceptable treatment where regular follow-up is less critical.
PMID- 29808574
TI - Racial disparity in HbA1c persists when fructosamine is used as a surrogate for
mean blood glucose in youth with type 1 diabetes.
AB - BACKGROUND: Blacks have been reported to have higher hemoglobin A1c (HbA1c) than
Whites even after adjustment for differences in blood glucose levels. Potentially
glucose-independent racial disparity in HbA1c is an artifact of glucose
ascertainment methods. In order to test this possibility, we examined the
relationship of HbA1c with race after adjustment for concurrent fructosamine
level as a surrogate for mean blood glucose (MBG). METHODS: Youth with type 1
diabetes self-identified as either Black or White had blood drawn for HbA1c,
fructosamine complete blood count, ferritin, and soluble transferrin receptor
(sTfR) at a clinic visit. MBG was calculated as the average of self-monitored
capillary glucoses over the preceding 30 days. The effect of race on HbA1c was
evaluated in a general linear model adjusting for either MBG or fructosamine,
along with other covariates. RESULTS: Fructosamine was correlated with both HbA1c
(r = 0.73, P < .0001), MBG (r = 0.46, P < .0001), red cell distribution width
coefficient of variation (RDW-CV) (r = 0.31, P = .0045), Fe (r = 0.27, P = .017),
and sTfR (r = 0.32, P = .0042). HbA1c was approximately 0.7% higher in Blacks
than Whites after adjustment for fructosamine along with age, gender, RDW-CV, Fe,
sTfR. CONCLUSIONS: Blacks tend to have higher HbA1c than Whites even after
statistical adjustment for fructosamine levels as a surrogate for MBG. Thus,
HbA1c tends to overestimate corresponding MBG or fructosamine levels in Black
patients. Racial differences should be taken into consideration when using HbA1c
as a guide to diagnosis and therapy of diabetes in mixed-race populations.
PMID- 29808575
TI - Imaging in pancreas transplantation complications: Temporal classification.
AB - Pancreatic transplantation is a therapeutic option for both poorly controlled
cases of diabetes mellitus and patients with advanced diabetic nephropathy. It is
important for radiologists to know the different surgical techniques as well as
the typical radiological appearance after pancreatic transplantation, in order to
accurately identify the complications. These complications can be classified
according to the period of time after the transplantation in which they typically
occur: immediate (first 24 hours), early (24-72 hours), intermediate (72 hours to
weeks) and late (months). An accurate and early diagnosis of pancreas
transplantation complications is essential to start the adequate treatment and
increase the chances of graft survival.
PMID- 29808576
TI - Bioinspired Diselenide-Bridged Mesoporous Silica Nanoparticles for Dual
Responsive Protein Delivery.
AB - Controlled delivery of protein therapeutics remains a challenge. Here, the
inclusion of diselenide-bond-containing organosilica moieties into the framework
of silica to fabricate biodegradable mesoporous silica nanoparticles (MSNs) with
oxidative and redox dual-responsiveness is reported. These diselenide-bridged
MSNs can encapsulate cytotoxic RNase A into the 8-10 nm internal pores via
electrostatic interaction and release the payload via a matrix-degradation
controlled mechanism upon exposure to oxidative or redox conditions. After
surface cloaking with cancer-cell-derived membrane fragments, these bioinspired
RNase A-loaded MSNs exhibit homologous targeting and immune-invasion
characteristics inherited from the source cancer cells. The efficient in vitro
and in vivo anti-cancer performance, which includes increased blood circulation
time and enhanced tumor accumulation along with low toxicity, suggests that these
cell-membrane-coated, dual-responsive degradable MSNs represent a promising
platform for the delivery of bio-macromolecules such as protein and nucleic acid
therapeutics.
PMID- 29808577
TI - Determination of d-myo-inositol phosphates in 'activated' raw almonds using anion
exchange chromatography coupled with tandem mass spectrometry.
AB - BACKGROUND: Activated almonds are raw almonds that have been soaked in water for
12-24 h at room temperature, sometimes followed by a 24 h drying period at low
temperature (50 +/- 5 degrees C). This treatment is thought to enhance the
nutrient bioavailability of almonds by degrading nutrient inhibitors, such as
phytic acid or d-myo-inositol hexaphosphate (InsP6 ), through the release of
phytase or passive diffusion of InsP6 into the soaking water. Over a wide pH
range, InsP6 is a negatively charged compound that limits the absorption of
essential nutrients by forming insoluble complexes with minerals such as iron and
zinc. It is hypothesized that hydrating the seed during soaking triggers InsP6
degradation into lower myo-inositol phosphates with less binding capacity.
RESULTS: Anion-exchange chromatography coupled with tandem mass spectrometry was
used to quantify myo-inositol mono-, di-, tris-, tetra-, penta-, and
hexaphosphates (InsP1-6 ) in raw pasteurized activated almonds. At least 24 h of
soaking at ambient temperature was required to reduce InsP6 content from 14.71 to
14.01 umol g-1 . CONCLUSIONS: The reduction in InsP6 is statistically significant
(P < 0.05) after 24 h of activation, but only represents a 4.75% decrease from
the unsoaked almonds. (c) 2018 Society of Chemical Industry.
PMID- 29808578
TI - Evidence for convergent evolution of host parasitic manipulation in response to
environmental conditions.
AB - Environmental conditions exert strong selection on animal behavior. We tested the
hypothesis that the altered behavior of hosts due to parasitic manipulation is
also subject to selection imposed by changes in environmental conditions over
time. Our model system is ants manipulated by parasitic fungi to bite onto
vegetation. We analyzed the correlation between forest type (tropical vs.
temperate) and the substrate where the host bites (biting substrate: leaf vs.
twigs), the time required for the fungi to reach reproductive maturity, and the
phylogenetic relationship among specimens from tropical and temperate forests
from different parts of the globe. We show that fungal development in temperate
forests is longer than the period of time leaves are present and the ants are
manipulated to bite twigs. When biting twigs, 90% of the dead ants we examined
had their legs wrapped around twigs, which appears to provide better attachment
to the plant. Ancestral state character reconstruction suggests that leaf biting
is the ancestral trait and that twig biting is a convergent trait in temperate
regions of the globe. These three lines of evidence suggest that changes in
environmental conditions have shaped the manipulative behavior of the host by its
parasite.
PMID- 29808579
TI - Polymorphic adaptations in metazoans to establish and maintain photosymbioses.
AB - Mutualistic symbioses are common throughout the animal kingdom. Rather unusual is
a form of symbiosis, photosymbiosis, where animals are symbiotic with
photoautotrophic organisms. Photosymbiosis is found among sponges, cnidarians,
flatworms, molluscs, ascidians and even some amphibians. Generally the animal
host harbours a phototrophic partner, usually a cyanobacteria or a unicellular
alga. An exception to this rule is found in some sea slugs, which only retain the
chloroplasts of the algal food source and maintain them photosynthetically active
in their own cytosol - a phenomenon called 'functional kleptoplasty'. Research
has focused largely on the biodiversity of photosymbiotic species across a range
of taxa. However, many questions with regard to the evolution of the ability to
establish and maintain a photosymbiosis are still unanswered. To date, attempts
to understand genome adaptations which could potentially lead to the evolution of
photosymbioses have only been performed in cnidarians. This knowledge gap for
other systems is mainly due to a lack of genetic information, both for non
symbiotic and symbiotic species. Considering non-photosymbiotic species is,
however, important to understand the factors that make symbiotic species so
unique. Herein we provide an overview of the diversity of photosymbioses across
the animal kingdom and discuss potential scenarios for the evolution of this
association in different lineages. We stress that the evolution of photosymbiosis
is probably based on genome adaptations, which (i) lead to recognition of the
symbiont to establish the symbiosis, and (ii) are needed to maintain the
symbiosis. We hope to stimulate research involving sequencing the genomes of
various key taxa to increase the genomic resources needed to understand the most
fundamental question: how have animals evolved the ability to establish and
maintain a photosymbiosis?
PMID- 29808580
TI - Modulating the Surface State of SiC to Control Carrier Transport in Graphene/SiC.
AB - Silicon carbide (SiC) with epitaxial graphene (EG/SiC) shows a great potential in
the applications of electronic and photoelectric devices. The performance of
devices is primarily dependent on the interfacial heterojunction between graphene
and SiC. Here, the band structure of the EG/SiC heterojunction is experimentally
investigated by Kelvin probe force microscopy. The dependence of the barrier
height at the EG/SiC heterojunction to the initial surface state of SiC is
revealed. Both the barrier height and band bending tendency of the heterojunction
can be modulated by controlling the surface state of SiC, leading to the tuned
carrier transport behavior at the EG/SiC interface. The barrier height at the
EG/SiC(000-1) interface is almost ten times that of the EG/SiC(0001) interface.
As a result, the amount of carrier transport at the EG/SiC(000-1) interface is
about ten times that of the EG/SiC(0001) interface. These results offer insights
into the carrier transport behavior at the EG/SiC heterojunction by controlling
the initial surface state of SiC, and this strategy can be extended in all
devices with graphene as the top layer.
PMID- 29808581
TI - Spondias mombin supplementation attenuated cardiac remodelling process induced by
tobacco smoke.
AB - The objective of this study was to investigate the influence of Spondias mombin
(SM) supplementation on the cardiac remodelling process induced by exposure to
tobacco smoke (ETS) in rats. Male Wistar rats were divided into 4 groups: group C
(control, n = 20) comprised animals not exposed to cigarette smoke and received
standard chow; group ETS (n = 20) comprised animals exposed to cigarette smoke
and received standard chow; group ETS100 (n = 20) received standard chow
supplemented with 100 mg/kg body weight/d of SM; and group ETS250 (n = 20)
received standard chow supplemented with 250 mg/kg body weight/d of SM. The
observation period was 2 months. The ETS animals had higher values of left
cardiac chamber diameters and of left ventricular mass index. SM supplementation
attenuated these changes. In addition, the myocyte cross-sectional area (CSA) was
lower in group C compared with the ETS groups; however, the ETS250 group had
lower values of CSA compared with the ETS group. The ETS group also showed higher
cardiac levels of lipid hydroperoxide (LH) compared with group C; and, groups
ETS100 and ETS250 had lower concentrations of LH compared with the ETS group.
Regarding energy metabolism, SM supplementation decreased glycolysis and
increased the beta-oxidation and the oxidative phosphorylation. There were no
differences in the expression of Nrf-2, SIRT-1, NF-kappaB, interferon-gamma and
interleukin 10. In conclusion, our results suggest that ETS induced the cardiac
remodelling process. In addition, SM supplementation attenuated this process,
along with oxidative stress reduction and energy metabolism modulation.
PMID- 29808582
TI - Dereplication of plant phenolics using a mass-spectrometry database independent
method.
AB - INTRODUCTION: Dereplication, an approach to sidestep the efforts involved in the
isolation of known compounds, is generally accepted as being the first stage of
novel discoveries in natural product research. It is based on metabolite
profiling analysis of complex natural extracts. OBJECTIVE: To present the
application of LipidXplorer for automatic targeted dereplication of phenolics in
plant crude extracts based on direct infusion high-resolution tandem mass
spectrometry data. MATERIAL AND METHODS: LipidXplorer uses a user-defined
molecular fragmentation query language (MFQL) to search for specific
characteristic fragmentation patterns in large data sets and highlight the
corresponding metabolites. To this end, MFQL files were written to dereplicate
common phenolics occurring in plant extracts. Complementary MFQL files were used
for validation purposes. RESULTS: New MFQL files with molecular formula
restrictions for common classes of phenolic natural products were generated for
the metabolite profiling of different representative crude plant extracts. This
method was evaluated against an open-source software for mass-spectrometry data
processing (MZMine(r)) and against manual annotation based on published data.
CONCLUSION: The targeted LipidXplorer method implemented using common phenolic
fragmentation patterns, was found to be able to annotate more phenolics than
MZMine(r) that is based on automated queries on the available databases.
Additionally, screening for ascarosides, natural products with unrelated
structures to plant phenolics collected from the nematode Caenorhabditis elegans,
demonstrated the specificity of this method by cross-testing both groups of
chemicals in both plants and nematodes.
PMID- 29808583
TI - Picture-Based Memory Impairment Screen: Effective Cognitive Screen in Ethnically
Diverse Populations.
AB - OBJECTIVES: To describe the psychometric properties of the Picture-based Memory
Impairment Screen (PMIS) in a multidisciplinary memory disorder center serving an
ethnically and educationally diverse community. DESIGN: Cross-sectional cohort
study. SETTING: Montefiore Center for Aging Brain (CAB) PARTICIPANTS: Individuals
with cognitive complaints (N=405; average age 76+/-10, 66% female). MEASUREMENTS:
A geriatrician or neurologist administered the PMIS, and a neuropsychologist
administered the Blessed Information, Memory, and Concentration (BIMC) test and
determined whether participants had dementia, mild cognitive impairment (MCI), or
subjective cognitive complaints (SCC). RESULTS: Mean PMIS scores were 4.0+/-2.6
in participants with dementia (n=194), 6.8+/-1.5 in those with MCI (n= 155), and
7.0+/-1.8 in those with SCC (n= 56) (p<.001). PMIS scores showed similar
significant linear trends when analyzed according to ethnicity, education, sex,
and language. The PMIS was negatively correlated with BIMC score (p<.001). The
PMIS had positive predictive value of 77%, negative predictive value of 73%,
sensitivity of 68%, and specificity of 81% to detect all-cause dementia in this
population of individuals with cognitive complaints. CONCLUSION: The PMIS is a
quick, valid screening tool to identify cognitive impairment in individuals with
cognitive complaints that accounts for cultural and educational differences.
PMID- 29808584
TI - Identification and functional characterization of doublesex gene in the testis of
Spodoptera litura.
AB - Fusion of the testis occurs in most Lepidoptera insects, including Spodoptera
litura, an important polyphagous pest. Testicular fusion in S. litura is
advantageous for male reproduction, and the molecular mechanism of fusion remains
unknown. Doublesex influences the formation of genitalia, the behavior of
courtship, and sexually dimorphic traits in fruit-fly and silkworm, and is
essential for sexual differentiation. However, its purpose in the testis of S.
litura remains unknown. The doublesex gene of S. litura (Sldsx) has male-specific
SldsxM and female-specific SldsxF isoforms, and exhibits a higher expression
level in the male testis. At the testicular fusion stage (L6D6), Sldsx attained
the highest expression compared to the pre-fusion and post-fusion periods.
Moreover, Sldsx had a higher expression in the peritoneal sheaths of testis than
that of germ cells in the follicle. CRISPR/Cas9 (Clustered Regularly Interspaced
Short Palindromic Repeats/Cas9) was applied to S. litura to determine the role of
Sldsx. A mixture of single guide RNA messenger RNA and Cas9 protein (300 ng/MUL
each) was injected into eggs within 2 h following oviposition. CRISPR/Cas9
successfully induced genomic mutagenesis of Sldsx at G0 generation. The mutant
males had smaller testis surrounded by less tracheae. Moreover, the mutant males
had abnormal external genitalia and could not finish mating with wild-type
females. Additionally, testes were fused for almost all mutant males. The results
showed that Sldsx was not related to testicular fusion, and is required for both
testis development and the formation and function of external genitalia in S.
litura. The main roles of doublesex on the male are similar to other insects.
PMID- 29808585
TI - Proteomic Characterization of a New asymmetric Cellulose Triacetate Membrane for
Hemodialysis.
AB - PURPOSE: The artificial membrane inside the haemodialyzer is the main determinant
of the quality and success of haemodialysis therapy. The performances of
haemodialysis membranes are highly influenced by the interactions with plasma
proteins, which in turn are related to the physical and chemical characteristics
of the membrane material. The present cross-over study is aimed to analyse the
haemodialysis performance of a newly developed asymmetric cellulose triacetate
membrane (ATA) in comparison to the conventional parent symmetric polymer (CTA).
EXPERIMENTAL DESIGN: In four chronic non diabetic haemodialysis patients, the
protein constituents of the adsorbed material from the filters after the
haemodialysis session, and the proteins recovered in the ultrafiltrate during the
session, are identified using a bottom-up shotgun proteomics approach. RESULTS:
The ATA membrane shows a lower protein adsorption rate and a lower mass
distribution pattern of the proteinaceous material. CONCLUSIONS AND CLINICAL
RELEVANCE: By highlighting the differences between the two haemodialysis filters
in terms of adsorbed proteins and flow through, it is demonstrated the higher
biocompatibility of the novel ATA membrane, that fulfils the indications for the
development of more performant membranes and may represent a step forward for the
treatment of patients on chronic haemodialysis.
PMID- 29808586
TI - Adherence and diabetes.
PMID- 29808587
TI - Efficiency of a pedometer device for detecting estrus in standing heat and silent
heat in Japanese Black cattle.
AB - The usefulness of a radiotelemetric pedometer for estrus detection in standing
(ST) heat, or in silent heat without ST events, but in which ovulation is
observed, in Japanese Black cattle was investigated. The duration of an increase
in steps in ST heat was 11.8 +/- 1.3 hr, and it was similar to that of ST events
(duration: 10.1 +/- 0.8 hr). Even in silent heat, the change pattern and the
duration (11.6 +/- 0.2 hr) of the period with an increase in steps during estrus
were not different compared with ST heat. When artificial insemination (AI) was
performed at 15.5 +/- 0.6 hr from the onset of estrus detected by the pedometer
in ST heat cases, the conception rate was 57.1% (8/14). Furthermore, fertility in
cattle that underwent silent heat was evaluated. When AI was performed at 14.4 +/
2.0 hr from the onset of estrus detected by the pedometer, the conception rate
was 60% (3/5) in silent heat cases. The overall results suggest that the
radiotelemetric pedometer is a valid device for detecting estrus and it can even
detect silent heat in Japanese Black cattle. Moreover, even silent heat cattle
are fertile when AI is performed at the appropriate time.
PMID- 29808588
TI - Reply to Mariam Zaidi.
PMID- 29808589
TI - Screening of drugs and homeopathic products from Atropa belladonna seed extracts:
Tropane alkaloids determination and untargeted analysis.
AB - Homeopathic products are still a controversial issue in modern medicine,
understood as complementary or alternative medicine (CAM). In this particular
case, homeopathic products prepared from Atropa belladonna extracts may present
specific problems due to the effects derived from its components. This article
applies a simple, rapid, reliable method to the analysis of different homeopathic
products obtained from Atropa belladonna; drugs containing high concentration of
plant extracts; and Atropa belladonna seeds. The method was based on a simple
solid-phase preconcentration method followed by ultra-high pressure liquid
chromatography (UHPLC) coupled to high resolution mass spectrometry using
Exactive-Orbitrap as an analyser. An in-house database was set and atropine and
scopolamine were the compounds detected at highest concentrations in homeopathic
products from Atropa belladonna extracts (4.57 and 2.56 MUg/kg, respectively), in
Belladonna ointment (4007 and 1139 MUg/kg, respectively) and Belladonna seeds
(338 and 32.1 mg/kg, respectively). Other tropane alkaloids such as tropine,
apoatropine, aposcopolamine, tropinone, homatropine, and anisodamine were
detected at lower concentrations (0.04-1.36 MUg/kg). When untargeted analysis was
performed, other tropane alkaloids were identified in the tested samples, such as
ecgonine (0.003 MUg/kg), benzoylecgonine (0.56 MUg/kg), calystegines A (19.6
MUg/kg), B (33.1 MUg/kg), and C (1.01 MUg/kg). Finally other compounds present in
the homeopathic products, such as sugars (fructose, glucose, and lactose) or
amino acids (valine, ornithine, leucine, and phenylalanine), were identified.
PMID- 29808590
TI - Bazex-Dupre-Christol syndrome: review of clinical and molecular aspects.
AB - Bazex-Dupre-Christol syndrome is a rare genodermatosis that manifests with the
classical triad of basal cell carcinoma, follicular atrophoderma, and
hypotrichosis; yet it may be accompanied by milia, ichthyosis, neurological
symptoms, and visceral malignancies. Symptom onset is nonsimultaneous, and hence
the diagnosis is often made late and the opportunity of counseling and following
up is missed. This article aims toward providing a comprehensive review of the
clinical perspective of Bazex-Dupre-Christol syndrome, highlighting the major
clinical variants to facilitate reaching a prompt diagnosis. In addition, the
molecular aspects are discussed. Though the gene responsible for this syndrome is
yet nonspecified, it is confirmed to be localized to the long arm of chromosome
X.
PMID- 29808591
TI - H syndrome: Clinical, histological and genetic investigation in Tunisian
patients.
AB - H syndrome is a rare autosomal recessive disorder with characteristic
dermatological findings consisting of hyperpigmentation and hypertrichosis
patches mainly located on the inner thighs and multisystemic involvement
including hepatosplenomegaly, hearing loss, heart abnormalities and hypogonadism.
The aim of this study was to conduct a clinical and genetic investigation in five
unrelated Tunisian patients with suspected H syndrome. Hence, genetic analysis of
the SLC29A3 gene was performed for four patients with a clinical diagnosis of H
syndrome. We identified a novel frame-shift mutation in the SLC29A3 gene in a
female patient with a severe clinical presentation. Furthermore, we report two
mutations previously described, the p.R363Q mutation in a male patient and the
p.P324L mutation in two patients of different age and sex. This paper extends the
mutation spectrum of H syndrome by reporting a novel frame-shift mutation, the
p.S15Pfs*86 in exon 2 of SLC29A3 gene and emphasizes the relevance of genetic
testing for its considerable implications in early diagnosis and clinical
management.
PMID- 29808592
TI - Patients' experiences of frequent encounters with a rheumatology nurse-A tight
control study including patients with rheumatoid arthritis.
AB - BACKGROUND: Rheumatoid arthritis (RA) is a chronic inflammatory disease that is
treated with both pharmacological and nonpharmacological methods. The treatment
works well for patients who are knowledgeable about their disease and situation.
However, this may be different for others as, among other things, it depends on
how well informed the patients are in relation to their condition. Available
research primarily focuses on patients in remission. One way of supporting and
strengthening the group who experience a lack of well-being due to their disease
and providing them with increased knowledge about their situation can be to give
them access to a nurse-led clinic based on person-centred care. AIM: The aim of
the study was to describe the experience of patients with RA attending person
centred, nurse-led clinics over a 12-month period. METHODS: A qualitative method
was employed to deepen the understanding of the phenomenon. Fifteen participants
were interviewed, and the text of the interviews was analysed using the
phenomenographic method. RESULTS: The analysis resulted in three categories that
described participants' experiences of their encounters with a nurse. The three
categories describe a process with interrelated concepts: first, Encountering
competence, followed by Experiencing a sustainable relationship and, finally,
Making a personal journey. CONCLUSION: Patients with RA who had frequent meetings
with a nurse experienced being strengthened on several levels and having gained
increased knowledge about their disease. The person-centred approach made them
feel that they had been met on their own level, in accordance with their needs
and level of knowledge.
PMID- 29808593
TI - Inkjet Printing in Liquid Environments.
AB - Inkjet printing (IJP) is an old but still vivifying technique for flexible and
cost-effective printing of various kinds of functional inks. Normally, IJP can
only work in gaseous environments. Here, it is shown that traditional
piezoelectric IJP can be performed in liquid environments with a totally
different droplet dispensing and manipulating mechanism. With the same
piezoelectric nozzle, the volume of the droplets printed in a carrier liquid can
be thousands of times smaller than those printed in air. Therefore, this work
demonstrates a working mode of traditional IJP with a highly improved resolution
opening possibilities for novel applications of the IJP technique.
PMID- 29808594
TI - UPLC-ESI-QTOF-MS2 characterisation of Cola nitida resin fractions with inhibitory
effects on NO and TNF-alpha released by LPS-activated J774 macrophage and on
Trypanosoma cruzi and Leishmania amazonensis.
AB - INTRODUCTION: The resin of Cola nitida is used in western Cameroon as incense for
spiritual protection and during ritual ceremonies. This plant secretion has never
been investigated although previous chemical and biological studies on other
resins have drawn many attentions. OBJECTIVE: The resin fractions which revealed
inhibitory effect on nitric oxide (NO) and tumour necrosis factor alpha (TNF
alpha) released by lipopolysaccharide (LPS)-activated J774 macrophage as well as
on intracellular forms of Leishmania amazonensis and Trypanosoma cruzi amastigote
were chemically characterised. Moreover, their antiparasitic activities were
compared to those of semi-synthetic triterpenes. METHODOLOGY: The anti
inflammatory activity was evaluated by measuring the nitrite production and the
TNF-alpha concentration in the supernatants of LPS-activated macrophages by
antigen capture enzyme-linked immunosorbent assay. Moreover, the antiparasitic
assay was performed by infecting the host cells (THP-1) in a ratio parasite/cell
10:1 (L. amazonensis) and 2:1 (T. cruzi) and then exposed to the samples. The
resin was separated in vacuo by liquid chromatography because of its sticky
behaviour and the chemical profiles of the obtained fractions (F1-F4) were
established by dereplication based on UPLC-ESI-MS2 data while semi-synthetic
triterpenes were prepared from alpha-amyrin by oxidation reactions. RESULTS:
Fractions F1-F4 inhibited NO and TNF-alpha almost similarly. However, only F1, F3
and F4 showed promising antiparasitic activities while F2 was moderately active
against both parasites. Hence, F1-F4 were exclusively composed of pentacyclic
triterpenes bearing oleanane and ursane skeletons. Semi-synthetic compounds
revealed no to moderate antiparasitic activity compared to the fractions.
CONCLUSION: Although it will be difficult to prove the interaction resin-spirit,
interesting bioactivities were found in the resin fractions.
PMID- 29808595
TI - Cigarette brand diversity and price changes during the implementation of plain
packaging in the United Kingdom.
AB - BACKGROUND AND AIM: Plain packaging of cigarettes appeared in the United Kingdom
in July 2016 and was ubiquitous by May 2017. The change coincided with another
legislative change, raising the minimum pack size from 10 to 20 cigarettes. Laws
imposing plain packaging on cigarette packs remove another promotional route from
tobacco companies, but the effect of such laws on brand diversity, pricing and
sales volume is unknown. This study aimed to (1) describe and quantify changes in
brand diversity, price segmentation and sales volumes and (2) estimate the
association between the introduction of plain cigarette packaging and cigarette
pricing in the United Kingdom. DESIGN: We used a natural experiment design to
assess the impact of plain packaging legislation on brand diversity and cigarette
prices. The data comprised a sample of 76% of sales of cigarettes in the UK
between March 2013 and June 2017. SETTING: United Kingdom. MEASUREMENTS:
Cigarette prices, number of brands and products and volumes of sales. FINDINGS:
During the period analysed, there was a slight decrease in the number of
cigarette brands. There was also an initial increase observed in the number of
cigarette products, due mainly to an increase in the number of products in packs
of fewer than 20 cigarettes sold before July 2016, which was then followed by a
rapid decrease in the number of products that coincided with the implementation
of the new legislation. Cigarette sales volumes during this period did not
deviate from the preceding secular trend, but prices rose substantially.
Regression results showed that price per cigarette, regardless of pack size, was
5.0 [95% confidence interval (CI) = 4.8-5.3] pence higher in plain than in fully
branded packs. For packs of 20 cigarettes, price increases were greater in the
lower price quintiles, ranging from 2.6 (95% CI = 2.4-2.7) GBP in the lowest to
0.3 (95% CI = 0.3-0.4) GBP per pack in the highest quintile. CONCLUSIONS: The
implementation of standardized packaging legislation in the United Kingdom, which
included minimum pack sizes of 20, was associated with significant increases
overall in the price of manufactured cigarettes, but no clear deviation in the
ongoing downward trend in total volume of cigarette sales.
PMID- 29808597
TI - Cobalt Covalent Doping in MoS2 to Induce Bifunctionality of Overall Water
Splitting.
AB - The layer-structured MoS2 is a typical hydrogen evolution reaction (HER)
electrocatalyst but it possesses poor activity for the oxygen evolution reaction
(OER). In this work, a cobalt covalent doping approach capable of inducing HER
and OER bifunctionality into MoS2 for efficient overall water splitting is
reported. The results demonstrate that covalently doping cobalt into MoS2 can
lead to dramatically enhanced HER activity while simultaneously inducing
remarkable OER activity. The catalyst with optimal cobalt doping density can
readily achieve HER and OER onset potentials of -0.02 and 1.45 V (vs reversible
hydrogen electrode (RHE)) in 1.0 m KOH. Importantly, it can deliver high current
densities of 10, 100, and 200 mA cm-2 at low HER and OER overpotentials of 48,
132, 165 mV and 260, 350, 390 mV, respectively. The reported catalyst activation
approach can be adapted for bifunctionalization of other transition metal
dichalcogenides.
PMID- 29808596
TI - Rapid and sensitive double-label based immunochromatographic assay for
zearalenone detection in cereals.
AB - A double-label immunochromatographic based assay (DL-ICA) was developed to
monitor zearalenone (ZEN) levels in cereals, based on Eu3+ nanoparticles (EuNP).
The DL-ICA exhibited excellent sensitivity, reliability and selectivity in real
samples. It showed low limits of detection (0.21-0.25 MUg/kg) and broad
analytical ranges (up to 120 MUg/kg). The total analytical time, including sample
preparation and DL-ICA execution, was reduced by 15 min compared with HPLC. The
recovery rates ranged from 95.0-118.4%, with relative standard deviations (RSD)
<11.6%. Inter- and intra-day validations were assessed, recovery rates of 89.3
106.9% and RSD of 2.3-9.7% were obtained, suggesting considerable stability and
reliability for the assay. An excellent correlation was observed between DL-ICA
and a reference HPLC method (R2 = 0.9899). Compared to current immunoassays, the
current DL-ICA is inexpensive, highly sensitive, and rapid. Therefore, DL-ICA
constitutes a novel tool for monitoring mycotoxins in food and feed to ensure
safety.
PMID- 29808598
TI - Diabetic foot infection: A critical complication.
AB - The number of people in the world with diabetes has nearly quadrupled in the past
40 years. Current data show that 25% of these diabetics will develop a foot ulcer
in their lifetime and that the cost of care for a diabetic foot ulcer (DFU) is
over twice that of any other chronic ulcer aetiology. Microbial biofilm has been
linked to both wound chronicity and infection. Close to 1 in 2 diabetics with a
DFU are predicted to go on to develop a diabetic foot infection (DFI). The
majority of these DFIs have been found to evolve even before the diabetic
individual has received an initial referral for expert DFU management. Of these
infected DFUs, less than half have been shown to heal over the next year; many of
these individuals will require costly hospitalisation, and current data show that
far too many DFIs will require extremity amputation to achieve infection
resolution. The development of an infection in a DFU is critical at least in part
because paradigms of infection prevention and management are evolving. The
effectiveness of our current practice standards is being challenged by a growing
body of research related to the prevalence and recalcitrance of the microbes in
biofilm to topical and systemic antimicrobials. This article will review the
magnitude of current challenges related to DFI prevention and management along
with what is currently considered to be standard of care. These ideas will be
compared and contrasted with what is known about the biofilm phenotype; then,
considerations to support progress towards the development of more cost-effective
protocols of care are highlighted.
PMID- 29808599
TI - The plant hormone auxin directs timing of xylem development by inhibition of
secondary cell wall deposition through repression of secondary wall NAC-domain
transcription factors.
AB - Wood formation in higher plants is a complex and costly developmental process
regulated by a complex network of transcription factors, short peptide signals
and hormones. Correct spatiotemporal initiation of differentiation and downstream
developmental stages is vital for proper wood formation. Members of the NAC (NAM,
ATAF1/2 and CUC) family of transcription factors are described as top level
regulators of xylem cell fate and secondary cell wall (SCW) deposition, but the
signals initiating their transcription have yet to be elucidated. We found that
treatment of Populus stems with auxin repressed transcription of NAC
transcription factors associated with fiber and SCW formation and induced vessel
specific NACs, whereas gibberellic acid (GA) induced the expression of both
classes of NAC domain transcription factors involved in wood formation. These
transcriptional changes were reflected in alterations of stem anatomy, i.e. auxin
treatment reduced cell wall thickness, whereas GA had a promotive effect on SCW
deposition and on the rate of wood formation. Similar changes were observed on
treatment of Arabidopsis thaliana stems with GA or the synthetic auxin NAA. We
also observed corresponding changes in PIN5 overexpressing lines, where
interference with auxin transport leads to premature SCW deposition and formation
of additional fiber bundles. Together, this suggests wood formation is regulated
by an integrated readout of both auxin and GA, which, in turn, controls
expression of fiber and vessel specific NACs.
PMID- 29808600
TI - Mesoporous Co3 O4 Nanobundle Electrocatalysts.
AB - Tailoring metal oxide nanostructures with mesoporous architectures is vital to
improve their electrocatalytic performance. Herein, we demonstrate the synthesis
of 2D mesoporous Co3 O4 (meso-Co3 O4 ) nanobundles with uniform shape and size by
employing a hard-template method. In this study, the incipient wetness
impregnation technique has been chosen for loading metal precursor into the
silica hard template (SBA-15). The results reveal that the concentration of a
saturated precursor solution plays a vital role in mesostructured ordering, as
well as the size and shape of the final meso-Co3 O4 product. The optimized
precursor concentration allows us to synthesize ordered meso-Co3 O4 with four to
seven nanowires in each particle. The meso-Co3 O4 structure exhibits excellent
electrocatalytic activity for both glucose and water oxidation reactions.
PMID- 29808602
TI - A comparison of hydroxyl ion diffusion through root dentine from various calcium
hydroxide preparations.
AB - Different calcium hydroxide [Ca(OH)2 ] formulations are available. The aim was to
assess differences in hydroxyl ion release with different formulations. Sixty-six
teeth were divided one control (n = 6) and four experimental (n = 15) groups: (i)
Pulpdent Paste; (ii): DT Temporary Dressing; (iii): Ca(OH)2 powder/saline; (iv):
Ca(OH)2 points; (v): no medicament. pH was measured in inner dentine and outer
dentine cavities over 12 weeks. Inner dentine pH rose rapidly for all groups
except the points and controls. Peak pH was reached by day 2 before dropping and
stabilising (8.0-9.2). Outer dentine pH rose steadily until day 21 for aqueous
solutions and then stabilised (8.0-8.5). The points had minimal pH increase for
the entire period. There were no significant differences in hydroxyl ion release
between the aqueous solutions. Type of paste base did not affect release and
diffusion of hydroxyl ions which continued for 84 days.
PMID- 29808601
TI - Undergraduate students' evaluation and reflections on a gerodontology programme.
AB - INTRODUCTION: Many societies have observed a steady increase of many older adults
living longer due to advancements in health and standard of living. The dental
team requires specialised training in gerodontology to better prepare for the
future needs of the elderly patients. It is the aim of this study to report the
undergraduate students' activities and perspectives on their work experience in a
geriatric residence. MATERIALS AND METHODS: An anonymous online questionnaire on
the theoretical and practical aspects of training was sent to students in
dentistry and dental hygiene (DH) undergraduate courses. Students were also
invited to discuss their experiences. Data of clinical treatment performed by the
students were collected. The number of clinical hours of student exposure to
patients was measured. RESULTS: Completion of the questionnaire was high (90%).
Both DH and dentistry students have in excess of 100 hours/y of clinical
practice. Overall students rate their experience as a positive one that enriches
patients' well-being. However they identify barriers to oral health and
experience challenges related to their clinical work and level of competence
(Kruskal-Wallis Test P < .05). CONCLUSIONS: study participants were strongly
motivated towards the management of frail older adults and cognisant of the
barriers and constraints of achieving a reasonable level of oral health.
Gerodontology programmes should be adaptable in order to create the appropriate
environment and address socio-emotional challenges experienced by students.
PMID- 29808603
TI - Transcriptome-wide association studies accounting for colocalization using Egger
regression.
AB - Integrating genome-wide association (GWAS) and expression quantitative trait
locus (eQTL) data into transcriptome-wide association studies (TWAS) based on
predicted expression can boost power to detect novel disease loci or pinpoint the
susceptibility gene at a known disease locus. However, it is often the case that
multiple eQTL genes colocalize at disease loci, making the identification of the
true susceptibility gene challenging, due to confounding through linkage
disequilibrium (LD). To distinguish between true susceptibility genes (where the
genetic effect on phenotype is mediated through expression) and colocalization
due to LD, we examine an extension of the Mendelian randomization (MR) egger
regression method that allows for LD while only requiring summary association
data for both GWAS and eQTL. We derive the standard TWAS approach in the context
of MR and show in simulations that the standard TWAS does not control type I
error for causal gene identification when eQTLs have pleiotropic or LD-confounded
effects on disease. In contrast, LD-aware MR-Egger (LDA MR-Egger) regression can
control type I error in this case while attaining similar power as other methods
in situations where these provide valid tests. However, when the direct effects
of genetic variants on traits are correlated with the eQTL associations, all of
the methods we examined including LDA MR-Egger regression can have inflated type
I error. We illustrate these methods by integrating gene expression within a
recent large-scale breast cancer GWAS to provide guidance on susceptibility gene
identification.
PMID- 29808605
TI - Stunned hearts after autonomic storm in brain-dead donors and the golden timing
for retrieval.
PMID- 29808604
TI - Induced expression of cathelicidins in trout (Oncorhynchus mykiss) challenged
with four different bacterial pathogens.
AB - Cathelicidins are an important family of antimicrobial peptide effectors of
innate immunity in vertebrates. Two members of this group, CATH-1 and CATH-2,
have been identified and characterized in teleosts (ray-finned fish). In this
study, we investigated the expression of these genes in different tissues of
rainbow trout challenged with 4 different inactivated pathogens. By using qPCR,
we detected a strong induction of both cath-1 and cath-2 genes within 24 hours
after intraperitoneal inoculation with Lactococcus garvieae, Yersinia ruckeri,
Aeromonas salmonicida, or Flavobacterium psychrophilum cells. Up to 700-fold
induction of cath-2 was observed in the spleen of animals challenged with Y.
ruckeri. Moreover, we found differences in the intensity and timing of gene up
regulation in the analyzed tissues. The overall results highlight the importance
of cathelicidins in the immune response mechanisms of salmonids.
PMID- 29808606
TI - The HLA-B*15:400N allele identified in a volunteer donor for hematopoietic stem
cell transplant.
AB - HLA-B*15:400N differs from HLA-B*15:01:01:01 by nucleotide deletions from
position 328 to 331 in exon 3.
PMID- 29808607
TI - Mycetoma: reviewing a neglected disease.
AB - Mycetoma caused by either filamentous fungi (eumycotic) or bacteria
(actinomycotic) has recently been recognized by the World Health Organization as
a neglected tropical disease. Although mycetoma is preventable and treatable,
especially in the early stages, it carries high morbidity and a huge
socioeconomic burden. Skin and subcutaneous tissue is affected, with a classic
presentation of hard woody swellings, discharging sinuses and presence of grains
(containing the causative organism). Variants with swelling without sinuses have
also been described. Left untreated it may involve underlying bone and muscle,
leading to permanent disability. Common actinomycotic species include
Streptomyces somaliensis, Actinomadura madurae, Actinomadura pelletieri, Nocardia
brasiliensis and Nocardia asteroides, while Madurella mycetomatis, Madurella
grisea, Pseudoallescheria boydii and Leptosphaeria senegalensis are common
eumycotic agents. Men are more commonly affected than women, and the leg is the
most frequently affected site. Diagnosis in suspected lesions is made with the
help of grain examination, microscopy, imaging (radiography, ultrasonography,
magnetic resonance imaging) and culture, and more recently by molecular methods
such as PCR and molecular sequencing. Molecular sequencing for both fungi and
bacteria is important for rapid and correct diagnosis, especially in culture
negative cases. Treatment is long, more successful in actinomycetoma than
eumycetoma, and may require a holistic approach comprising antimicrobials,
surgery and rehabilitation. Mycetoma can be prevented by simple measures such as
wearing protective garments and shoes, especially in rural areas and during
outdoor activities.
PMID- 29808609
TI - Pigmented transverse nasal band: A distinct presentation.
AB - Pigmented transverse nasal band (PTNB) is an interesting morphological entity
mainly of cosmetic concern. It is believed to be related to a defect in the
development of the nasal cartilages and bones from childhood to adolescence. Some
patients may have genetic predisposition. It is asymptomatic in nature and may be
associated with certain dermatological conditions such as seborrheic diathesis,
dermatosis papulosa nigra, ichthyosis, atopic dermatitis, acne vulgaris,
psoriasis, and seborrheic melanosis.
PMID- 29808608
TI - Methylation-reprogrammed Wnt/beta-catenin signalling mediated prenatal hypoxia
induced brain injury in foetal and offspring rats.
AB - Prenatal hypoxia (PH) is a common pregnancy complication, harmful to brain
development. This study investigated whether and how PH affected Wnt pathway in
the brain. Pregnant rats were exposed to hypoxia (10.5% O2 ) or normoxia (21% O2
; Control). Foetal brain weight and body weight were decreased in the PH group,
the ratio of brain weight to body weight was increased significantly. Prenatal
hypoxia increased mRNA expression of Wnt3a, Wnt7a, Wnt7b and Fzd4, but not Lrp6.
Activated beta-catenin protein and Fosl1 expression were also significantly up
regulated. Increased Hif1a expression was found in the PH group associated with
the higher Wnt signalling. Among 5 members of the Sfrp family, Sfrp4 was down
regulated. In the methylation-regulating genes, higher mRNA expressions of Dnmt1
and Dnmt3b were found in the PH group. Sodium bisulphite and sequencing revealed
hyper-methylation in the promoter region of Sfrp4 gene in the foetal brain,
accounting for its decreased expression and contributing to the activation of the
Wnt-Catenin signalling. The study of PC12 cells treated with 5-aza further
approved that decreased methylation could result in the higher Sfrp4 expression.
In the offspring hippocampus, protein levels of Hif1a and mRNA expression of
Sfrp4 were unchanged, whereas Wnt signal pathway was inhibited. The data
demonstrated that PH activated the Wnt pathway in the foetal brain, related to
the hyper-methylation of Sfrp4 as well as Hif1a signalling. Activated Wnt
signalling might play acute protective roles to the foetal brain in response to
hypoxia, also would result in disadvantageous influence on the offspring in long
term.
PMID- 29808610
TI - End-stage renal disease patients using angiotensin-converting enzyme inhibitors
and angiotensin receptor blockers may reduce the risk of mortality: a Taiwanese
Nationwide cohort study.
AB - BACKGROUND: The association between the use of angiotensin-converting enzyme
inhibitors (ACEI) and angiotensin receptor blockers (ARB) and mortality in end
stage renal disease (ESRD) patients lacks sufficient evidence. AIM: To
investigate the efficacy of ACEI and ARB in ESRD patients. METHODS: This
nationwide retrospective cohort study using data from the Taiwan National Health
Insurance Research Database enrolled ESRD patients from January 1997 to December
2011. Propensity score matching provided two study groups (ACEI/ARB users vs non
users), balanced in sample size, with similar comorbidities and prescriptions.
These patients were followed up from the first date of receiving dialysis until
mortality, 5 years or 31 December 2013 (whichever came first). We analysed the
association of the use of ACEI or ARB with cardiovascular (CV) death and all
cause mortality in patients with ESRD using the Kaplan-Meier method and time
dependent Cox models, with a robust sandwich variance method. RESULTS: After
propensity score matching, all characteristics of the user of ACEI or ARB (n = 17
280) and non-user (n = 17 280) groups were appropriately balanced (P > 0.05). In
the Cox proportional hazards model, the user group exhibited lower CV death and
all-cause mortality with adjusted hazard ratios and 95% CI of 0.58 (0.55-0.62)
and 0.47 (0.46-0.49) than the non-user group did. Furthermore, the association of
ACEI/ARB use with low mortality risk was observed in all examined subgroups.
CONCLUSION: In this large-scale, population-based cohort study, ESRD patients
using ACEI/ARB had a lower risk of CV death and all-cause mortality than non
users did.
PMID- 29808612
TI - Differentiated-type predominant mixed-histology-type early gastric cancer is a
significant risk factor for endoscopic non-curative resection regardless of tumor
size.
PMID- 29808613
TI - Effectiveness of the strategies of an orientation programme for the lifestyle and
wound-healing process in patients with venous ulcer: A randomised controlled
trial.
AB - This study aimed to evaluate the effect of strategies of a lifestyle orientation
programme on patients with venous ulcer in elastic compression therapy. This was
a single-blind, 2-arm, randomised clinical controlled trial. The primary outcome
included the reduction of the wound surface area. The secondary outcomes included
the perception of pain, questionnaire of ulcer status, and quality of life.
Seventy-one patients with ulcers of venous aetiology were randomised into 2 arms:
control group (CG) and intervention group (IG), with a 12-week follow up. The CG
was provided with the routine guidelines of the health services. Meanwhile, the
IG was provided with lifestyle guidelines regarding the physiopathology of a
venous ulcer, importance of compression therapy, physical exercises and rest in 4
face-to-face and 2 telephone interviews. The IG had significant improvement on
the wound healing on 30, 60, and 90 days of follow up when compared with the CG
(P = .0197; P = .0472; P = .0116). There were no statistical differences between
groups; both had improvement in the quality of life and pain perception. Our
results demonstrated that elastic compression therapy along with guidelines on
lifestyle is effective adjunctive treatment to promote wound healing in patients
with leg ulcers.
PMID- 29808611
TI - Plasma metabolite profiles in children with current asthma.
AB - BACKGROUND: Identifying metabolomic profiles of children with asthma has the
potential to increase understanding of asthma pathophysiology. OBJECTIVE: To
identify differences in plasma metabolites between children with and without
current asthma at mid-childhood. METHODS: We used untargeted mass spectrometry to
measure plasma metabolites in 237 children (46 current asthma cases and 191
controls) in Project Viva, a birth cohort from eastern Massachusetts, USA.
Current asthma was assessed at mid-childhood (mean age 8.0 years). The ability of
a broad spectrum metabolic profile to distinguish between cases and controls was
assessed using partial least squares discriminant analysis. We used logistic
regression models to identify individual metabolites that were differentially
abundant by case-control status. We tested significant metabolites for
replication in 411 children from the VDAART clinical trial. RESULTS: There was no
evidence of a systematic difference in the metabolome of children reporting
current asthma vs. healthy controls according to partial least squares
discriminant analysis. However, several metabolites were associated with odds of
current asthma at a nominally significant threshold (P < .05), including a
metabolite of nicotinamide (N1-Methyl-2-pyridone-5-carboxamide (Odds Ratio (OR) =
2.8 (95% CI 1.1-8.0)), a pyrimidine metabolite (5,6-dihydrothymine (OR = 0.4 (95%
CI 0.2-0.9)), bile constituents (biliverdin (OR = 0.4 (95%CI 0.1-0.9),
taurocholate (OR = 2.0 (95% CI 1.2-3.4)), two peptides likely derived from
fibrinopeptide A (ORs from 1.6 to 1.7), and a gut microbiome metabolite (p-cresol
sulphate OR = 0.5 (95% CI 0.2-0.9)). The associations for N1-Methyl-2-pyridone-5
carboxamide and p-cresol sulphate replicated in the independent VDAART population
(one-sided P values = .03-.04). CONCLUSIONS AND CLINICAL RELEVANCE: Current
asthma is nominally associated with altered levels of several metabolites,
including metabolites in the nicotinamide pathway, and a bacterial metabolite
derived from the gut microbiome.
PMID- 29808614
TI - Bloodstream infection caused by Mycobacterium chelonae.
PMID- 29808615
TI - Image scoring system for umbilical and uterine artery pulsed wave Doppler
ultrasound measurement.
AB - OBJECTIVE: To develop an objective, image scoring system for pulsed wave Doppler
measurement of maternal uterine and fetal umbilical arteries, and evaluate how
the system compares with subjective assessment of the images. METHODS: As part of
the quality control strategy for the INTERGROWTH-21st Project, we developed a
scoring system based on six predefined criteria for uterine and umbilical artery
pulsed wave Doppler measurement. The scoring system was compared to subjective
assessment, which consisted simply of classifying an image as acceptable or
unacceptable. Based on a sample size estimate, a total of 120 ultrasound images
of umbilical and uterine artery Doppler were randomly selected from the
INTERGROWTH-21st database. Two independent reviewers evaluated these images in a
blinded fashion both subjectively and using the six-point scoring system. The
percentage agreement and kappa statistic between the two methods were compared.
RESULTS: The overall agreement between reviewers was higher for objective
assessment using the scoring system (agreement: 85%, adjusted kappa: 0.70), than
for subjective assessment (agreement: 70%, adjusted kappa: 0.47). The levels of
agreement for the six components of the scoring system were: anatomical site
(adjusted kappa: 0.97), sweep speed (0.88), magnification (0.77), velocity scale
(0.68), image clarity (0.68), and angle of insonation (0.65). CONCLUSION: In
quality assessment of umbilical and uterine artery pulsed wave Doppler
measurements, an objective six-point image scoring system is associated with
greater reproducibility than subjective assessment. We recommend this as the
preferred method for quality control, audit and teaching. This article is
protected by copyright. All rights reserved.
PMID- 29808616
TI - Change in male coloration associated with artificial selection on foraging colour
preference.
AB - Sensory drive proposes that natural selection on nonmating behaviours (e.g.
foraging preferences) alters sensory system properties and results in a
correlated effect on mating preferences and subsequently sexual traits. In colour
based systems, we can test this by selecting on nonmating colour preferences and
testing for responses in colour-based female preferences and male sexual
coloration. In guppies (Poecilia reticulata), individual functional links of
sensory drive have been demonstrated providing an opportunity to test the process
over more than one link. We measured male coloration and female preferences in
populations previously artificially selected for colour-based foraging behaviour
towards two colours, red and blue. We found associated changes in male coloration
in the expected direction as well as weak changes in female preferences. Our
results can be explained by a correlated response in female preferences due to
artificial selection on foraging preferences that are mediated by a shared
sensory system or by other mechanisms such as colour avoidance, pleiotropy or
social experiences. This is the first experimental evidence that selection on a
nonmating behaviour can affect male coloration and, more weakly, female
preferences.
PMID- 29808617
TI - Costunolide promotes the proliferation of human hair follicle dermal papilla
cells and induces hair growth in C57BL/6 mice.
AB - BACKGROUND: Costunolide (COS), a naturally occurring sesquiterpene lactone, is
known to exert anti-inflammatory, antioxidant, and anticancer effects. This study
was undertaken to investigate the effects of costunolide on the promotion of hair
growth. METHODS: Real-time cell analyzer (RTCA), measurement of 5alpha-reductase
activity, mRNA expression, and Western blotting were adopted to address whether
COS can stimulate the proliferation of human hair follicle dermal papilla cells
(hHFDPCs). The effect of COS on in vivo hair growth was examined by
reconstitution assay and shaven dorsal skin in C57BL/6 mice. RESULTS: Costunolide
significantly promoted the proliferation of hHFDPCs, which is comparable to that
of tofacitinib. COS also inhibited the 5alpha-reductase activity in hHFDPCs.
While COS increased the level of beta-catenin and Gli1 mRNA and proteins, it
suppressed transforming growth factor (TGF)-beta1-induced phosphorylation of Smad
1/5 in hHFDPCs. COS increased the number of cultured hHFDPCs to induce hair
follicles from mouse epidermal cells in Spheres formation of reconstitution
assay. Topical application of COS on the shaven back of C57BL/6 mice
significantly improved the hair growth. CONCLUSIONS: Our results illustrate that
COS promotes hair growth in vitro and in vivo by regulating the amount of growth
factors and/or the activity of cellular responses through coordination of the WNT
beta-catenin, hedgehog-Gli, and TGF-beta1-Smad pathways.
PMID- 29808618
TI - Short-term ingestion of deoxynivalenol in naturally contaminated feed alters
piglet performance and gut hormone secretion.
AB - The mycotoxin deoxynivalenol (DON) generally exists in cereals and affects human
and animal health. The aim of this study is to analyze the impacts of DON in
naturally contaminated feed on piglet growth performance and intestinal hormone
secretion in the short term. We randomly divided 5-week-old piglets into four
groups: Control, DON 1,000, DON 2,000 and DON 3,000 groups. Piglets received a
feed naturally contaminated with DON (approximately 400, 1,000, 2,000 or 3,000
MUg/kg) for 21 days. Body weight showed no significant difference following
exposure to DON. The balance of anti-oxidation and oxidation was disrupted by DON
after 21 days. The concentration of tumor necrosis factor-alpha (TNF-alpha) and
cyclooxgenase-2 (COX-2) significantly increased (p < .001) in all DON-treated
groups. Gut anorexigenic hormone secretion of peptide YY (PYY) and
cholecystokinin (CCK) had a time- and dose-dependent relationship with DON
exposure; however, there was no effect on orexigenic hormone ghrelin secretion.
Changes of histomorphology in the jejunum were observed in DON-treated groups,
including villi flattening and fusion, and apical necrosis of villi. These
results indicated that DON could suppress piglet growth performance and alter gut
hormone secretion in the short term.
PMID- 29808620
TI - Evidence for the presence of Ctenocephalides orientis in livestock dwellings in
northwest Iran.
AB - Fleas are important vectors of diseases such as murine typhus, tularaemia,
hymenolepiasis and plague. The presence of active foci and history of human- and
flea-transmitted plague in northwest Iran prompted the present group to collect
and identify fleas from human and livestock dwellings across West Azerbaijan
Province. Adult fleas were collected and identified using routine taxonomic keys.
Species designation was confirmed by sequencing the cytochrome oxidase subunit I
(COI). Of the collected specimens (n = 989), 104 were collected off-host (30 from
human dwellings and 74 in light traps) and the rest were found on hosts (107 on
animals and 778 by human bait). Of these fleas, 394 (40%) were male and 595 (60%)
were female. The collected specimens belonged to the species Ctenocephalides
canis, Ctenocephalides felis, Ctenocephalides orientis and Pulex irritans (all:
Siphonaptera: Pulicidae). The amplified COI fragment, in addition to confirming
the morphological identification of species, showed good efficacy in separating
the different species in the phylogenetic analysis. In addition to the
identification of fleas from human and livestock dwellings using morphological
and molecular characteristics, the current paper represents the first report of
the presence of C. orientis in northwest Iran. This finding suggests that
changing climate conditions may have expanded the distribution of this species.
PMID- 29808619
TI - Loss of androgen receptor signaling in prostate cancer-associated fibroblasts
(CAFs) promotes CCL2- and CXCL8-mediated cancer cell migration.
AB - Fibroblasts are abundantly present in the prostate tumor microenvironment (TME),
including cancer-associated fibroblasts (CAFs) which play a key role in cancer
development. Androgen receptor (AR) signaling is the main driver of prostate
cancer (PCa) progression, and stromal cells in the TME also express AR. High
grade tumor and poor clinical outcome are associated with low AR expression in
the TME, which suggests a protective role of AR signaling in the stroma against
PCa development. However, the mechanism of this relation is not clear. In this
study, we isolated AR-expressing CAF-like cells. Testosterone (R1881) exposure
did not affect CAF-like cell morphology, proliferation, or motility. PCa cell
growth was not affected by culturing in medium from R1881-exposed CAF-like cells;
however, migration of PCa cells was inhibited. AR chromatin immune precipitation
sequencing (ChIP-seq) was performed and motif search suggested that AR in CAF
like cells bound the chromatin through AP-1-elements upon R1881 exposure,
inducing enhancer-mediated AR chromatin interactions. The vast majority of
chromatin binding sites in CAF-like cells were unique and not shared with AR
sites observed in PCa cell lines or tumors. AR signaling in CAF-like cells
decreased expression of multiple cytokines; most notably CCL2 and CXCL8 and both
cytokines increased migration of PCa cells. These results suggest direct
paracrine regulation of PCa cell migration by CAFs through AR signaling.
PMID- 29808621
TI - Target site mutations and cytochrome P450s confer resistance to fenoxaprop-P
ethyl and mesosulfuron-methyl in Alopecurus aequalis.
AB - BACKGROUND: Shortawn foxtail (Alopecurus aequalis Sobol.) is a competitive grass
weed infesting winter wheat- and canola-growing fields in China. In May 2016, a
suspected A. aequalis resistant population AHTC-06 that survived fenoxaprop-P
ethyl and mesosulfuron-methyl applied at their field-recommended rates was
collected from a wheat field in Jinji County, Anhui Province, China. This study
aimed to determine the resistance profile of this AHTC-06 population to ACCase-
and ALS-inhibitors, and to investigate its mechanisms of resistance to fenoxaprop
P-ethyl and mesosulfuron-methyl. RESULTS: Two mutations, a common Ile-2041-Asn
(ACCase gene) and a very rare Pro-197-Tyr (ALS1 gene), were both identified in
resistant individual plants. The homozygous subpopulation AHTC-06F1 for the two
mutations was generated, and it showed broad-spectrum resistance to APPs, DENs,
and ALS-inhibiting herbicides of all five chemical families tested, with
resistance index (RI) values that ranged from 2.2 to 36.5. In vitro ALS activity
assays showed the ALS from the resistant population was insensitive to all the
tested ALS inhibitors, with RI values ranging from 3.10 to 22.51. Pre-treatment
with piperonyl butoxide (PBO) and malathion significantly (P < 0.05) reversed the
weed's resistance to fenoxaprop-P-ethyl and mesosulfuron-methyl, respectively.
Two P450 genes, c21190_g1 and c43350_g3, were constitutively overexpressed and
mesosulfuron-methyl-induced upregulated in resistant plants, for which c43350_g3
was also fenoxaprop-P-ethyl-induced upregulated. CONCLUSION: This study confirms
the first case of a grass weed featuring broad-spectrum resistance to ALS
inhibiting herbicides due to a Pro-197-Tyr mutation in the ALS gene. Fenoxaprop-P
ethyl and mesosulfuron-methyl resistances in AHTC-06 plants were conferred by
target site mutations and P450s-based metabolism. (c) 2018 Society of Chemical
Industry.
PMID- 29808622
TI - Engineering sucrose metabolism in Pseudomonas putida highlights the importance of
porins.
AB - Using agricultural wastes as a substrate for biotechnological processes is of
great interest in industrial biotechnology. A prerequisite for using these wastes
is the ability of the industrially relevant microorganisms to metabolize the
sugars present therein. Therefore, many metabolic engineering approaches are
directed towards widening the substrate spectrum of the workhorses of industrial
biotechnology like Escherichia coli, yeast or Pseudomonas putida. For instance,
neither xylose or arabinose from cellulosic residues, nor sucrose, the main sugar
in waste molasses, can be metabolized by most E. coli and P. putida wild types.
We evaluated a new, so far uncharacterized gene cluster for sucrose metabolism
from Pseudomonas protegens Pf-5 and showed that it enables P. putida to grow on
sucrose as the sole carbon and energy source. Even when integrated into the
genome of P. putida, the resulting strain grew on sucrose at rates similar to the
rate of the wild type on glucose - making it the fastest growing, plasmid-free P.
putida strain known so far using sucrose as substrate. Next, we elucidated the
role of the porin, an orthologue of the sucrose porin ScrY, in the gene cluster
and found that in P. putida, a porin is needed for sucrose transport across the
outer membrane. Consequently, native porins were not sufficient to allow
unlimited growth on sucrose. Therefore, we concluded that the outer membrane can
be a considerable barrier for substrate transport, depending on strain, genotype
and culture conditions, all of which should be taken into account in metabolic
engineering approaches. We additionally showed the potential of the engineered P.
putida strains by growing them on molasses with efficiencies twice as high as
obtained with the wild-type P. putida. This can be seen as a further step towards
the production of low-value chemicals and biofuels with P. putida from
alternative and more affordable substrates in the future.
PMID- 29808623
TI - Characterizing gold nanoparticles by NMR spectroscopy.
AB - Gold nanoparticles have attracted considerable attention in recent research
because of their wide applications in various fields such as material science,
electrical engineering, physical science, and biomedical engineering. Researchers
have developed many methods for synthesizing different kinds of gold
nanoparticles, where the sizes and surface chemistry of the nanoparticles are
considered to be the two key factors. Traditionally, the sizes of nanoparticles
are determined by electron microscopy whereas the surface chemistry is
characterized by optical spectroscopies such as infrared spectroscopy and Raman
spectroscopy. Compared with that, nuclear magnetic resonance (NMR) spectroscopy
provides a more advanced and convenient way for size determination and surface
chemistry investigations by combining one- and multiple-dimensional NMR
spectroscopy and diffusion-order NMR spectroscopy. Here, we show a thorough study
that NMR spectroscopy can be applied to characterize small thiol-protected gold
nanoparticles, including size determination, surface chemistry investigation, and
structural study. The results show that the nanoparticles' sizes determined by
NMR agree well with transmission electron microscopy results. Furthermore, the
ligand densities of nanoparticles were determined by quantitative NMR
spectroscopy, and the structures of ligands capped on the surfaces were studied
thoroughly by one- and multiple-dimensional NMR spectroscopy. In this work, we
establish a general method for researchers to characterize nanostructures by
using NMR spectroscopy.
PMID- 29808624
TI - Endoscopic Superficialization of Arteriovenous Fistula: A Novel Technique With
Common Devices.
PMID- 29808625
TI - Pathologic study of tumour extension for clinically localized unilateral
nasopharyngeal carcinoma: Should the contralateral side be included in the
clinical target volume?
AB - INTRODUCTION: The clinical target volume (CTV) delineation is crucial for tumour
control and normal tissue protection. This study investigated the contralateral
extension of nasopharyngeal carcinoma (NPC) in patients with a clinically
diagnosed unilateral tumour to pursue the possibility of CTV reduction. METHODS:
Twenty NPC patients with localized tumours confined to only one side of the
nasopharynx as shown by magnetic resonance imaging and fibreoptic endoscopy were
selected for biopsy. The tissues of the contralateral pharyngeal recess (CPR) and
the contralateral posterosuperior wall (CPSW) of the nasopharynx were obtained in
each case and prepared for pathological examination. The factors associated with
contralateral tumour infiltration were analysed. RESULTS: Five of 20 (25.0%)
patients were pathologically confirmed to have carcinoma cell infiltration in the
CPSW, including 2 (10.0%) that had carcinoma cell infiltration in the CPR. The T
classification (P = 0.014) and primary tumour volume (P = 0.033) were positively
associated with the infiltration of the CPSW, but none of the primary tumour
factors affected the involvement of the CPR. The contralateral retropharyngeal
lymph node (LN) metastasis (P = 0.016), but not the contralateral cervical LN,
was significantly associated with the infiltration of the CPR. Positive Epstein
Barr virus DNA (EBV-DNA) was another factor that increased the probability of CPR
invasion (P = 0.044). CONCLUSIONS: Contralateral pharyngeal recess infiltration
is rare in patients with clinically diagnosed unilateral primary NPC. Reduced CTV
coverage, including the CPSW but not CRP, is feasible for patients with
unilateral cancer of the nasopharynx without contralateral LN metastasis or
positive EBV-DNA. Further large-sample studies are needed.
PMID- 29808626
TI - Four-dimensional spatiotemporal image correlation (4D-STIC) sonographic diagnosis
of vasa previa.
AB - Vasa previa is a rare condition; it occurs in approximately 1:2500 deliveries.
Fetal blood vessels, unsupported by placental tissues or umbilical cord, run
through the fetal membrane over the internal cervical os below the presenting
segment.1 This article is protected by copyright. All rights reserved.
PMID- 29808627
TI - Antibacterial Activity of Silver Nanoparticles: Structural Effects.
AB - The increase of antibiotic resistance in bacteria has become a major concern for
successful diagnosis and treatment of infectious diseases. Over the past few
decades, significant progress has been achieved on the development of
nanotechnology-based medicines for combating multidrug resistance in
microorganisms. Among this, silver nanoparticles (AgNPs) hold great promise in
addressing this challenge due to their broad-spectrum and robust antimicrobial
properties. This review illustrates the antibacterial mechanisms of silver
nanoparticles and further elucidates how different structural factors including
surface chemistry, size, and shape, impact their antibacterial activities, which
are expected to promote the future development of more potent silver nanoparticle
based antibacterial agents.
PMID- 29808628
TI - Effects of supplemental beta-carotene on colostral immunoglobulin and plasma beta
carotene and immunoglobulin in Japanese Black cows.
AB - Data from 26 Japanese Black cows were collected to clarify the effects of
supplemental beta-carotene on colostral immunoglobulin (Ig) and plasma beta
carotene and Ig in the cows. Cows were assigned to control or beta-carotene
groups from 21 days before the expected calving date to 60 days after
parturition. Supplemental beta-carotene was provided at 500 mg/day in the beta
carotene group. Supplemental beta-carotene drastically increased plasma beta
carotene concentrations in the cows from parturition to 60 days after
parturition, and plasma beta-carotene concentrations in the control and beta
carotene groups at parturition were 202 and 452 MUg/dl, respectively.
Supplemental beta-carotene had no effects on plasma IgG1 , IgA or IgM
concentrations at parturition. Supplemental beta-carotene increased colostral
IgG1 concentrations in the cows, but colostral beta-carotene, IgA and IgM
concentrations were not affected by supplemental beta-carotene. These results
indicate that supplemental beta-carotene is effective to enhance colostral IgG1
concentrations and plasma beta-carotene concentrations in Japanese Black cows.
PMID- 29808629
TI - Anti-Vascular Endothelial Growth Factor and the Evolving Management Paradigm for
Retinopathy of Prematurity.
AB - Diagnosis and management of pediatric retinal conditions such as retinopathy of
prematurity (ROP) have been evolving significantly with the availability of new
technology and treatments. New imaging systems, telemedicine, tele-education, and
anti-vascular endothelial growth factor (VEGF) intravitreal pharmacotherapy are
all changing the way we diagnose and deliver care to children with pediatric
retinal disease. Fluorescein angiography and optical coherence tomography have
the potential to improve our diagnosis and management of disease, and with
improvements in retinal imaging, telemedicine is becoming more feasible.
Telemedicine, tele-education, and computer-based image analysis may overcome many
of the challenges we face in providing adequate care and access for children with
pediatric retinal disease. Treatment options have also expanded with the use of
anti-VEGF therapy. Although the use of intravitreal anti-VEGF for ROP has been
documented in the literature for more than a decade, many questions still remain
about its safety in the pediatric patient population. Several ongoing prospective
studies are exploring the utility of anti-VEGF agents for ROP, with attention to
the optimal dose of drug, systemic safety, and our understanding of recurrence of
disease. This review aims to provide an update on current diagnostic and
therapeutic modalities, focusing predominantly on the role of anti-VEGF therapy,
for the management of ROP and other pediatric retinal vascular diseases.
PMID- 29808630
TI - A reflection on the challenges in interviewing Arab participants.
AB - BACKGROUND: Cultural beliefs and ways of thinking need to be considered when
interviewing Arab participants with chronic diseases. AIM: To provide insights
into the challenges of interviewing Arab participants. DISCUSSION: This paper
taps into the first author's experiences of interviewing ten Arab participants
with type 2 diabetes and coexisting depression. Issues relating to gatekeeping,
gender, participants' privacy and superstitious thinking need to be taken into
consideration, particularly when discussing sensitive topics that may challenge
social norms. These issues can influence the building of rapport, which may
affect the depth of information collected. CONCLUSION: This paper offers insight
and recommendations for other researchers conducting qualitative research with
Arab participants. IMPLICATIONS FOR PRACTICE: Paramount in conducting qualitative
studies with Arab participants are: an early, open discussion about personal
space with participants and their families; matching the genders of participants
and interviewers; and involving participants in the selection of pseudonyms.
PMID- 29808631
TI - Outcome of elderly patients undergoing intracranial meningioma resection: a
single center experience.
AB - BACKGROUND: Higher life expectancy and higher mean age in general population
created growing interest in medical and surgical management of meningiomas in
elderly. It is well known that, due to possible complications, pre-operative
status and comorbidities, expecially in aged people, should be carefully
considerated in the decision-making process. We described our experience with
this kind of patients and analized the influence of complications on the outcome.
METHODS: We conducted a monocentric retrospective study to evaluate outcome and
complications in elderly patients that underwent intracranial meningioma surgery
in our center in a ten year period. Between January 2005 and December 2014, 107
patients - older than 70 years old - were operated for an intracranial
meningioma. We excluded patients operated for a recurrent meningioma. We use the
Dindo classification modified by Poon to describe complications and the Karnofsky
Performance Status Scale and Glasgow Outcome Scale to evaluate the outcome at
discharge and after a 6 months period. RESULTS: 84 patients did not have
postoperative complications, 10 patients had mild postoperative complications,
while 13 patients suffered severe postoperative complications. As a group,
patients with mild complications presented, six months after surgery, an average
Karnofsky Performance Status better than preoperative one. CONCLUSIONS: Even
though the fragility is considered an important risk factor, surgery for
symptomatic intracranial meningiomas should be considered also in elderly
patients. The presence of early postoperative mild complications do not seem to
worsen the average 6 months- KSP score.
PMID- 29808633
TI - Spontaneous regression of a discal cyst: a physiopathological hypothesis.
PMID- 29808632
TI - Lumbar canal stenosis: can we treat it endoscopically? Our experience.
AB - BACKGROUND: The common treatment for lumbar canal stenosis involves an open
surgical decompression with laminectomy and foraminotomy, even if spinal surgery
is moving towards minimal invasiveness procedures. Minimal Invasive Surgery
initially and recently spinal endoscopic techniques are becoming the standard
procedures for lumbar disk prolapsed in consideration of the less surgical
invasiveness with a considerable reducing in the amount of normal anatomy
violation, in less risk of iatrogenic post-operative instability, minimal scar
tissue formation and negligible blood loss when compared to the standard open
approach. These techniques also reduces the post-operative pain with consequent
less need of using pain medications as well as reduced in hospital stay. METHODS:
From August 2016 to July 2017, we prospectively collected data on 20 patients
operated on for a lumbar canal stenosis using a pure interlaminar endoscopic
route. This series includes 2 unilateral and 3 bilateral L5-S1 stenosis; ten L4
L5 stenosis (8 bilateral and 2 unilateral); four L3-L4 bilateral stenosis and one
bilateral L2-L3 stenosis. Among these, six were two adjacent multiple levels
stenosis: L4-L5-S1 two cases ; L3-L4-L5 three cases and L2-L3-L4 one case. We
reviewed the demographic data as well as the pre and postoperative Visual
Analogue Score and Oswestry Disability Index at 3, 6 and 12 months. We also
collected the surgical complications and the result of a six months questionnaire
on patients' satisfaction. RESULTS: The median operative time was 125 minutes
(range between 45 and 300 minutes). Twenty- two (90%) of the patients were
satisfied with the treatment received in terms of clinical results at one year
follow up. Two Patients (10%) had been converted to an open procedure.
CONCLUSIONS: The use of the endoscopic technique for the treatment of lumbar
canal stenosis seems to be correlated with good results and can be a valid
alternative to the classic, more invasive, open technique.
PMID- 29808634
TI - In vitro fertilization (IVF) and hormone-dependent brain tumors: could the new
era of IVF and social freezing change our incidentally discovered brain tumor
management?
PMID- 29808635
TI - Endoscopic endonasal approaches for the management of skull base meningiomas.
Selection criteria and clinical outcomes.
AB - BACKGROUND: Meningiomas are the most common primary intracranial tumor, arising
from different locations, including the skull base. Despite advances in adjuvant
treatments, surgical resection remains the main and best treatment for
meningiomas. New surgical strategies, such as the endoscopic endonasal approach,
have greatly contributed in achieving maximum and total safe resection,
preserving the patient's neurological function. METHODS: Based on the senior
authors large experience and a review of the current literature, we have compiled
this chapter. RESULTS: We review the surgical technique used at our institution
and the most relevant aspects of patient selection when considering resecting a
skull base meningioma using the the EEA. Further consideration is given to some
skull base meningiomas arising from specific locations with some case examples.
CONCLUSIONS: The EEA is not an ideal approach for every skull base meningioma.
Careful evaluation of the surrounding neurovascular structures surrounding the
tumor is imperative to select the appropriate surgical corridor for a safe
resection. Nevertheless, for appropriately selected cases, the endoscopic
technique is a very valuable tool with some evidences of being superior to the
microscopic transcranial approach. A dual-trained surgeon, in both endoscopic and
transcranial approaches, is the best alternative to achieve the best patient
outcome.
PMID- 29808636
TI - Application of PHASES and ELAPSS scores to ruptured cerebral aneurysms: how many
would have been conservatively managed?
AB - BACKGROUND AND PURPOSE: We calculated the PHASES and ELAPSS scores for a large
cohort of ruptured intracranial aneurysms (RIA) in order to determine whether
these RIA would have been pre-emptively treated or closely followed-up should
they have been detected prior to rupture. MATERIALS AND METHODS: We
retrospectively reviewed a consecutive series of RIA over a 20 year period. The
primary outcome of this study was the PHASES score of each ruptured aneurysm
included. Secondary outcomes were ELAPSS score and other risk factors for
aneurysmal subarachnoid haemorrhage including; aneurysm location, aneurysm size,
aneurysm morphology, smoking and hypertension history, personal and family
history of subarachnoid haemorrhage. Multiplicity of cerebral aneurysms was
recorded. Descriptive statistics are reported. RESULTS: 700 consecutive ruptured
aneurysms were included. Mean age at rupture was 56 (+/-13.5) years. Mean
aneurysm size was 5.9 (+/-2.5) mm. Most common locations of ruptured aneurysms
was the anterior cerebral/communicating artery (39%), posterior communicating
artery (21%), middle cerebral artery (16%) and basilar terminus (7%). Mean PHASES
score was 5.3 (+/-2.5) and 17% of the RIA had a PHASES score of 3 or less. Mean
ELAPSS score was 13.89 (+/-7.05) and over half of the RIA included had a low risk
of future growth. CONCLUSIONS: A reasonable percentage of ruptured aneurysms have
a low calculated PHASES score and these aneurysms may have been managed
conservatively should they have presented incidentally prior to rupture. The
majority of ruptured aneurysms also had a low ELAPSS score and were at low risk
of future growth. The use PHASES score and ELAPSS score alone when making
treatment decisions could result in many aneurysms being treated conservatively
or undergoing remote surveillance despite rupture potential.
PMID- 29808637
TI - Endoscopic endonasal approaches for the management of cranial base malignancies:
histologically guided treatment and clinical outcomes.
AB - Malignancies of the skull base represent a highly diverse and challenging set of
pathologies which exhibit a wide array of oncologic behavior. In recent decades,
a number of important advances in treatment technique have evolved to improve
oncologic outcomes and reduce morbidity in the treatment of these aggressive
cancers. Intensity modulated radiation therapy (IMRT) has become the gold
standard in radiotherapy owing to its precision planning and reduced morbidity.
However heavy ion particle radiation (proton therapy, carbon ion, etc.) are
recently emerging with promising results at the skull base related to the reduced
exit dose to adjacent structures. Novel systemic therapeutics such as targeted
and immunotherapies may dramatically alter the treatment paradigm for many of
these pathologies. Nevertheless, most skull base malignancies remain surgical
diseases. The evolution of the Expanded Endonasal Approach (EEA) for a minimally
invasive surgical resection has proven validity in treating many of these
pathologies when properly selected, and have largely supplanted open approaches
owing to the reduced morbidity profile. In spite of these important advances, the
most critical aspect in comprehensive treatment is a detailed understanding of
the oncologic behavior and outcomes data for each of the specific
histopathologies encountered at the skull base. The nuances in management
strategy, histologic profile, and surveillance planning can be stratified through
the development of a comprehensive, multidisciplinary skull base team to maximize
therapeutic effect and minimize morbidity for each patient. This review aims to
summarize the key body of data and approaches for each of the histologies
frequently encountered in the skull base, while highlighting the value and
technique of endonasal approaches.
PMID- 29808638
TI - Long-term outcome following severe traumatic brain injury: ethical
considerations.
AB - There is now no little doubt that decompressive craniectomy can reduce mortality
following severe traumatic brain injury. However, the concern has always been
that the reduction in mortality comes at the cost of an increase in the number of
survivors with severe neurological disability. It was these concerns that
prompted investigators to conduct a number of large multicenter randomized trials
investigating surgical efficacy of the procedure. Whilst the results of these
trials have confirmed the survival benefit that can be achieved this has only
been achieved by increasing the number of survivors with severe disability and
dependency. Whilst these findings may be difficult to accept they do not
necessarily mean that use of the procedure should be abandoned but rather a more
nuanced and patient-centered debate regarding the acceptability or otherwise of
survival with severe disability is required. In addition, the use of long term
observation outcome studies in combination accurate outcome prediction models in
combination with may be used to highlight those patients likely to benefit from
surgical decompression and facilitate discussions regarding realistic outcome
expectations.
PMID- 29808639
TI - Minimally invasive approaches for the management of intraventricular hemorrhage.
AB - Adult-onset intraventricular hemorrhage is a potentially life-threatening
condition associated with a high morbidity and mortality rates. Intraventricular
hemorrhage remains one of the most challenging entities for neurosurgeons to
treat. Various medical and surgical modalities have been employed for the
management of this entity with variable success and complications rates. In this
paper, we review the neurosurgical interventions for the management of
intraventricular hemorrhage and describe new approaches and potential therapeutic
modalities for the management of this devastating condition.
PMID- 29808640
TI - The beta-cell death in long-term type 2 diabetes mellitus: never say never again.
PMID- 29808641
TI - New targeted therapies for adrenocortical carcinomas.
AB - Adrenocortical carcinoma (ACC) is a rare malignancy with poor prognosis. It has
been undergone to in-depth clinical and laboratory investigations, with the help
of the most important research groups of all over the world. Nonetheless the cure
for this kind of neoplasia is not right around the corner, given its complexity
and multi-faceted feature, that lead researchers to think at "one person one
ACC". Currently total resection is the most concrete option for ACC patients,
whenever possible. Although years are still necessary for an effective treatment,
indubitably the use of mitotane, among the available pharmacological treatments,
in the early 60's revolutionized the approach to this rare disease. Mitotane
remains the main drug for primary or adjuvant therapy, or for recurrent or
relapsing disease, alone or combined to other chemotherapeutics. Yet, mitotane
gives partial and unsatisfactory therapeutic results, especially in metastatic
ACC. This prompted the researchers to find other ways to fight against this
malignancy: targeted therapy seems the most promising answer, as it is based on
biomolecular and genetic cancer signature. Many efforts are needed to continue
the therapeutic exploration of ACC, but certainly we are on the right way.
PMID- 29808642
TI - Unilateral non-aldosterone producing adrenocortical tumors.
AB - Adrenal incidentaloma is a frequent clinical finding. Once an adrenal mass is
detected, is mandatory to determine whether the lesion is malignant or benign and
whether it is hormonally active or non- functioning, to estabilish an adequate
treatement or follow-up. The European Society and ENSAT Guidelines recently
provided the best recommendation based on the available literature. However, due
to the retrospective design of the majority of the studies, the small number of
patients included and the inadequate follow-up, some issues are still unresolved.
In particular, there is a general consensus about the need of adrenalectomy in
the presence of unilateral adrenal mass and clinically relevant hormone excess or
radiological findings suspected for malignancy. On the other side how to manage
adrenal masses with indeterminate characteristics or subtle cortisol secretion,
and how long should the radiological and functional follow-up of benign adrenal
mass last in non -operated patients, are nowadays open questions. Therefore, high
quality research for establish the adequate maangement of these patients and
randomised clinical trials are needed to avoid unnecessary investigations and
invasive procedures and ensure a clinically effective work-up.
PMID- 29808643
TI - Breastfeeding as a global public health measure.
PMID- 29808644
TI - ?
PMID- 29808645
TI - Priority-setting in the patients' last years of life.
PMID- 29808646
TI - Who should be in a position to provide pregnancy terminations?
PMID- 29808647
TI - ?
PMID- 29808648
TI - ?
PMID- 29808649
TI - ?
PMID- 29808650
TI - ?
PMID- 29808651
TI - ?
PMID- 29808652
TI - ?
PMID- 29808653
TI - ?
PMID- 29808654
TI - ?
PMID- 29808656
TI - ?
PMID- 29808657
TI - ?
PMID- 29808655
TI - Knowing the numbers or knowing why?
PMID- 29808658
TI - Outcomes following neonatal cardiopulmonary resuscitation.
AB - BAKGRUNN: Hjerte-lunge-redning av et kritisk sykt barn ved fodsel kan fore til
overlevelse eller dod. De som overlever kan utvikle komplikasjoner direkte etter
fodsel eller senere i smabarns- og skolealder. Hypoksisk iskemisk encefalopati er
en tilstand med nevrologiske symptomer hos den nyfodte etter hypoksi ved fodsel.
Tilstanden klassifiseres som mild, moderat eller alvorlig. Vi onsket a gi en
oversikt over kort- og langtidsutfall etter hjerte-lunge-redning ved fodsel.
KUNNSKAPSGRUNNLAG: Vi sokte i databasen Medline for utfall etter hjerte-lunge
redning ved fodsel. RESULTATER: Vi identifiserte 15 indekserte, fagfellevurderte
originalartikler og to metaanalyser om utfall etter hjerte-lunge-redning ved
fodsel eller fodselsasfyksi. Hypoksisk iskemisk encefalopati rammer generelt 38 %
av pasientene i mild til moderat grad og 23 % i alvorlig grad. Dodeligheten
varierte fra 10 % i hoy- til 28 % i lavinntektsland. Overlevende utvikler ofte
motoriske, kognitive og sensoriske utviklingshemninger. I noen tilfeller blir det
forst avdekket ved skolestart nar mer komplekse ferdigheter kreves. FORTOLKNING:
Funksjonshemning ved skolealder er sterkt korrelert til tilstanden i
smabarnsalder. Endringer i algoritmene ved hjerte-lunge-redning og
rutinebehandling med hypotermi har redusert risikoen for alvorlige
folgetilstander etter hypoksisk iskemisk encefalopati.
PMID- 29808659
TI - Mondor's disease.
PMID- 29808660
TI - Medical abortions performed by specialists in private practice.
AB - BAKGRUNN: I Norge utfores abort kun i offentlige sykehus. I 2010 besluttet Helse-
og omsorgsdepartementet a iverksette et toarig proveprosjekt som ga
avtalespesialister i fodselshjelp og kvinnesykdommer adgang til a tilby
medikamentell abort for utgangen av 9. svangerskapsuke. Proveprosjektet ble
igangsatt 1.3.2015 og varte til 31.3.2017. I denne artikkelen presenterer vi de
forste erfaringene, herunder hvordan behandlingstilbudet ble mottatt av kvinnene.
MATERIALE OG METODE: Gravide med en svangerskapsvarighet < 63 dager
ultrasonografisk vurdert, som oppsokte avtalespesialist for medikamentell abort,
ble fortlopende inkludert i prosjektet (n = 476). Kvinnene inntok 200 mg
mifepriston peroralt pa legekontoret, 36-48 timer senere satte de selv 800 ug
misoprostol vaginalt hjemme. Informasjon ble innhentet ved sporreskjema pa den
forste konsultasjonen, under aborten og ved etterkontrollen 2-4 uker etter
aborten. RESULTATER: Under aborten rapporterte 66 % (296/450) moderat eller sterk
smerte og 79 % (358/451) moderat eller sterk blodning. De fleste opplevde det som
trygt a vaere hjemme. 96 % (390/406) ville valgt medikamentell abort hos
avtalespesialist ved en eventuell senere abort, og 97 % (392/405) ville anbefalt
behandlingstilbudet til andre i samme situasjon. FORTOLKNING: Kvinnene i studien
opplevde abortbehandling hos avtalespesialist som trygt. Tilbudet gir storre
valgfrihet til gravide som onsker abort, og pasientene er tilfredse.
PMID- 29808661
TI - Hospitalisations during the final three years of life.
AB - BAKGRUNN: Kunnskap om sykehusbruk i livets sluttfase er nyttig for a forsta
behovet for sykehustjenester. MATERIALE OG METODE: Registeropplysninger fra
Statistisk sentralbyra og Norsk pasientregister er brukt for a analysere tallet
pa innleggelser ved somatiske sykehus de siste tre levearene blant individer som
dode i alderen 56-95 ar. RESULTATER: Analyseutvalget besto av 35 954 individer
som hadde 136 484 innleggelser i observasjonsperioden. De som dode da de var 56
65 ar hadde 5,2 innleggelser i gjennomsnitt de siste tre levearene, mot 2,8 for
dodsalder 86-95 ar. 14,1 % hadde ingen innleggelser, mens 13,3 % hadde atte eller
flere. De som dode pa grunn av ondartede svulster hadde 5,6 innleggelser i
gjennomsnitt, mot 4,2 hvis dodsfallet skyldtes andedrettslidelser, og 3,1 om
arsaken var sirkulasjonssykdom. FORTOLKNING: Krevende behandlinger er antatt a ha
mindre sjanse for a lykkes blant eldre pasienter. Dette kan vaere en grunn til
faerre sykehusinnleggelser i livets sluttfase blant 80- og 90-aringer enn blant
de som dode i 60- og 70-arsalderen. Gjennomsnittsalder ved dod vil oke etter
hvert som levealderen stiger, og derfor vil aldersvariasjonen i
sykehusinnleggelser de siste levearene ha betydning for behovet for
sykehusinnleggelser.
PMID- 29808662
TI - Safer introduction of new health technologies.
PMID- 29808663
TI - A woman in her thirties with severe headache.
PMID- 29808664
TI - Enhanced Performance of a Sulfonated Poly(arylene ether ketone) Block Copolymer
Bearing Pendant Sulfonic Acid Groups for Polymer Electrolyte Membrane Fuel Cells
Operating at 80% Relative Humidity.
AB - The series of sulfonated poly(arylene ether ketone) (SPAEK) block copolymers with
controlled F-oligomer length bearing pendant diphenyl unit were synthesized via a
polycondensation reaction. Sulfonation was verified by 1H NMR analysis to
introduce sulfonic acid group selectively and intensively on the pendant diphenyl
unit of polymer backbones. The SPAEK membranes fabricated by the solution casting
approach were very transparent and flexible with the thickness of ~50 MUm. These
membranes with different F-oligomer lengths were investigated to the
physiochemical properties such as water absorption, dimensional stability, ion
exchange capacity, and proton conductivity. As a result, the SPAEK membranes
(X4.8Y8.8, X7.5Y8.8, and X9.1Y8.8) in accordance to increasing the length of
hydrophilic oligomer showed excellent proton conductivity in range of 131-154 mS
cm-1 compared to Nafion-115 (131 mS cm-1) at 90 degrees C under 100% relative
humidity (RH). Among the SPAEK membranes, proton conductivity of SPAEK X9.1Y8.8
(140.7 mS cm-1) is higher than that of Nafion-115 (102 mS cm-1) at 90 degrees C
under 80% RH. The atomic force microscopy image demonstrated that number of ion
transport channels is increased with increase in the length of hydrophilic
oligomer in the main chains, and the morphology is proved to be related to the
proton conductivity. The synthesized SPAEK membrane exhibited a maximum power
density of 324 mW cm-2, which is higher than that of Nafion-115 (291 mW cm-2) at
60 degrees C under 100% RH.
PMID- 29808665
TI - Solution Processing of Hydrogen-Terminated Silicon Nanocrystal for Flexible
Electronic Device.
AB - We demonstrate solution processing of hydrogen-terminated silicon nanocrystals (H
Si NCs) for flexible electronic devices. To obtain high and uniform conductivity
of a solution-processed Si NC film, we adopt a perfectly dispersed colloidal H-Si
NC solution. We show a high conductivity (2 * 10-5 S/cm) of a solution-processed
H-Si NC film which is spin-coated in air. The NC film (area: 100 mm2) has uniform
conductivity and responds to laser irradiation with 6.8 and 24.1 MUs of rise and
fall time. By using time-of-flight measurements, we propose a charge transport
model in the H-Si NC film. For the proof-of-concept of this study, a flexible
photodetector on a polyethylene terephthalate substrate is demonstrated by spin
coating colloidal H-Si NC solution in air. The photodetector can be bent in 5.9
mm bending radius at smallest, and the device properly works after being bent in
2500 cycles.
PMID- 29808666
TI - Anomalous Wavelength Scaling of Tightly Coupled Terahertz Metasurfaces.
AB - We theoretically and experimentally demonstrate the drastic changes in the
wavelength scaling of tightly coupled metasurfaces caused by deep subwavelength
variations in the distance between the unit resonators but no change in the
length scale of the units themselves. This coupling-dependent wavelength scaling
is elucidated by our model metasurfaces of ring resonators arranged with deep
subwavelength lattice spacing g, and we show that narrower g results in rapider
changes in wavelength scaling. Also, by using terahertz time-domain spectroscopy,
we experimentally observed a significant shift of the spectral response arising
from very small variations in lattice spacing, confirming our theoretical
predictions.
PMID- 29808667
TI - Enhanced Chemical Separation by Freestanding CNT-Polyamide/Imide Nanofilm
Synthesized at the Vapor-Liquid Interface.
AB - In chemical separation, thin membranes exhibit high selectivity, but often
require a support at the expense of permeance. Here, we report a pinhole-free
polymeric layer synthesized within freestanding carbon nanotube buckypaper
through vapor-liquid interfacial polymerization (VLIP). The VLIP process results
in thin, smooth and uniform polyamide and imide films. The scaffold reinforces
the nanofilm, defines the membrane thickness, and introduces an additional
transport mechanism. Our membranes exhibit superior gas selectivity and osmotic
semipermeability. Plasticization resistance and high permeance in hydrocarbon
separation together with a considerable improvement in water-salt permselectivity
highlight their potential as new membrane architecture for chemical separation.
PMID- 29808668
TI - Highly Stretchable Multifunctional Wearable Devices Based on Conductive Cotton
and Wool Fabrics.
AB - The demand for stretchable, flexible, and wearable multifunctional devices based
on conductive nanomaterials is rapidly increasing considering their interesting
applications including human motion detection, robotics, and human-machine
interface. There still exists a great challenge to manufacture stretchable,
flexible, and wearable devices through a scalable and cost-effective fabrication
method. Herein, we report a simple method for the mass production of electrically
conductive textiles, made of cotton and wool, by hybridization of graphene
nanoplatelets and carbon black particles. Conductive textiles incorporated into a
highly elastic elastomer are utilized as highly stretchable and wearable strain
sensors and heaters. The electromechanical characterizations of our
multifunctional devices establish their excellent performance as wearable strain
sensors to monitor various human motions, such as finger, wrist, and knee joint
movements, and to recognize sound with high durability. Furthermore, the
electrothermal behavior of our devices shows their potential application as
stretchable and wearable heaters working at a maximum temperature of 103 degrees
C powered with 20 V.
PMID- 29808669
TI - Carbon Nanotube Networks as Nanoscaffolds for Fabricating Ultrathin Carbon
Molecular Sieve Membranes.
AB - Carbon molecular sieve (CMS) membranes have shown great potential for gas
separation owing to their low cost, good chemical stability, and high
selectivity. However, most of the conventional CMS membranes exhibit low gas
permeance due to their thick active layer, which limits their practical
applications. Herein, we report a new strategy for fabricating CMS membranes with
a 100 nm-thick ultrathin active layer using poly(furfuryl alcohol) (PFA) as a
carbon precursor and carbon nanotubes (CNTs) as nanoscaffolds. CNT networks are
deposited on a porous substrate as nanoscaffolds, which guide PFA solution to
effectively spread over the substrate and form a continuous layer, minimizing the
penetration of PFA into the pores of the substrate. After pyrolysis process, the
CMS membranes with 100-1000 nm-thick active layer can be obtained by adjusting
the CNT loading. The 322 nm-thick CMS membrane exhibits the best trade-off
between the gas permeance and selectivity, a H2 permeance of 4.55 * 10-8 mol m-2
s-1 Pa-1, an O2 permeance of 2.1 * 10-9 mol m-2 s-1 Pa-1, and an O2/N2 ideal
selectivity of 10.5, which indicates the high quality of the membrane produced by
this method. This work provides a simple, efficient strategy for fabricating
ultrathin CMS membranes with high selectivity and improved gas flux.
PMID- 29808670
TI - Facile and Low-Cost Route for Sensitive Stretchable Sensors by Controlling
Kinetic and Thermodynamic Conductive Network Regulating Strategies.
AB - Highly sensitive conductive polymer composites (CPCs) are designed employing a
facile and low-cost extrusion manufacturing process for both low- and high-strain
sensing in the field of, for example, structural health/damage monitoring and
human body movement tracking. Focus is on the morphology control for extrusion
processed carbon black (CB)-filled CPCs, utilizing binary and ternary composites
based on thermoplastic polyurethane (TPU) and olefin block copolymer (OBC). The
relevance of the correct CB amount, kinetic control through a variation of the
compounding sequence, and thermodynamic control induced by annealing is
highlighted, considering a wide range of experimental (e.g., static and dynamic
resistance/scanning electron microscopy/rheological measurements) and theoretical
analyses. High CB mass fractions (20 m %) are needed for OBC (or TPU)-CB binary
composites but only lead to an intermediate sensitivity as their conductive
network is fully packed and therefore difficult to be truly destructed. Annealing
is needed to enable a monotonic increase of the relative resistance with respect
to strain. With ternary composites, a much higher sensitivity with a clearer
monotonic increase results, provided that a low CB mass fraction (10-16 m %) is
used and annealing is applied. In particular, with CB first dispersed in OBC and
annealing, a less compact, hence, brittle conductive network (10-12 m % CB) is
obtained, allowing high-performance sensing.
PMID- 29808671
TI - Vacuum Ultraviolet Photodetection in Two-Dimensional Oxides.
AB - To lower the launch cost and prolong the lifetime of a deep space explorer, solar
and astrophysicists and photonics scientists have devoted much time and energy
in exploring and developing a compact and low-power-consumption semiconductor
based vacuum ultraviolet (VUV) photodetector. However, the target has not yet
been achieved due to the lack of high external quantum efficiency (EQE) VUV
photoconductive materials. Here, we found that two-dimensional MgO, obtained via
conformal anneal synthesis method, had ultrasensitive photoresponse to VUV light.
It can identify an extremely weak VUV signal (0.85 pW), with a high EQE of 1539%.
Such ultrasensitive photoresponse is attributed to the high charge-collection
efficiency of excited carriers. Our results provide an idea for developing
integrated VUV devices with high responsivity and low power consumption, which
will prolong the service time and lower the launch cost of a space explorer.
PMID- 29808672
TI - Modeling of Interface and Internal Disorder Applied to XRD Analysis of Ag-Based
Nano-Multilayers.
AB - Multilayered structures are a promising route to tailor electronic, magnetic,
optical, and/or mechanical properties and durability of functional materials.
Sputter deposition at room temperature, being an out-of-equilibrium process,
introduces structural defects and confers to these nanosystems an intrinsic
thermodynamical instability. As-deposited materials exhibit a large amount of
internal atomic displacements within each constituent block as well as severe
interface roughness between different layers. To access and characterize the
internal multilayer disorder and its thermal evolution, X-ray diffraction
investigation and analysis are performed systematically at differently grown Ag
Ge/aluminum nitride (AlN) multilayers (co-deposited, sequentially deposited with
and without radio frequency (RF) bias) samples and after high-temperature
annealing treatment. We report here on model calculations based on a kinematic
formalism describing the displacement disorder both within the multilayer blocks
and at the interfaces to reproduce the experimental X-ray diffraction
intensities. Mixing and displacements at the interface are found to be
considerably reduced after thermal treatment for co- and sequentially deposited
Ag-Ge/AlN samples. The application of a RF bias during the deposition causes the
highest interface mixing and introduces random intercalates in the AlN layers. X
ray analysis is contrasted to transmission electron microscopy pictures to
validate the approach.
PMID- 29808673
TI - The Chemical Structure of Carbon Nanothreads Analyzed by Advanced Solid-State
NMR.
AB - Carbon nanothreads are a new type of one-dimensional sp3-carbon nanomaterial
formed by slow compression and decompression of benzene. We report
characterization of the chemical structure of 13C-enriched nanothreads by
advanced quantitative, selective, and two-dimensional solid-state nuclear
magnetic resonance (NMR) experiments complemented by infrared (IR) spectroscopy.
The width of the NMR spectral peaks suggests that the nanothread reaction
products are much more organized than amorphous carbon. In addition, there is no
evidence from NMR of a second phase such as amorphous mixed sp2/sp3-carbon.
Spectral editing reveals that almost all carbon atoms are bonded to one hydrogen
atom, unlike in amorphous carbon but as is expected for enumerated nanothread
structures. Characterization of the local bonding structure confirms the presence
of pure fully saturated "degree-6" carbon nanothreads previously deduced on the
basis of crystal packing considerations from diffraction and transmission
electron microscopy. These fully saturated threads comprise between 20% and 45%
of the sample. Furthermore, 13C-13C spin exchange experiments indicate that the
length of the fully saturated regions of the threads exceeds 2.5 nm. Two
dimensional 13C-13C NMR spectra showing bonding between chemically nonequivalent
sites rule out enumerated single-site thread structures such as polytwistane or
tube (3,0) but are consistent with multisite degree-6 nanothreads. Approximately
a third of the carbon is in "degree-4" nanothreads with isolated double bonds.
The presence of doubly unsaturated degree-2 benzene polymers can be ruled out on
the basis of 13C-13C NMR with spin exchange rate constants tuned by rotational
resonance and 1H decoupling. A small fraction of the sample consists of aromatic
rings within the threads that link sections with mostly saturated bonding. NMR
provides the detailed bonding information necessary to refine solid-state organic
synthesis techniques to produce pure degree-6 or degree-4 carbon nanothreads.
PMID- 29808674
TI - Fingerprinting Green Curry: An Electrochemical Approach to Food Quality Control.
AB - The detection and identification of multiple components in a complex sample such
as food in a cost-effective way is an ongoing challenge. The development of on
site and rapid detection methods to ensure food quality and composition is of
significant interest to the food industry. Here we report that an electrochemical
method can be used with an unmodified glassy carbon electrode for the
identification of the key ingredients found within Thai green curries. It was
found that green curry presents a fingerprint electrochemical response that
contains four distinct peaks when differential pulse voltammetry is performed.
The reproducibility of the sensor is excellent as no surface modification is
required and therefore storage is not an issue. By employing particle swarm
optimization algorithms the identification of ingredients within a green curry
could be obtained. In addition, the quality and freshness of the sample could be
monitored by detecting a change in the intensity of the peaks in the fingerprint
response.
PMID- 29808675
TI - Strong Infrared Nonlinear Optical Efficiency and High Laser Damage Threshold
Realized in Quaternary Alkali Metal Sulfides Na2Ga2MS6 (M = Ge, Sn) Containing
Mixed Nonlinear Optically Active Motifs.
AB - Two new infrared (IR) nonlinear optical (NLO) sulfides, Na2Ga2GeS6 and
Na2Ga2SnS6, were obtained by mixing different typical NLO-active motifs GaS4 and
GeS4/SnS4 in the alkali metal-containing system. The IR NLO sulfides present
laser-induced damage thresholds that are 18.1 and 17.9 times that of the
reference AgGaS2 (AGS) and second-harmonic generation efficiencies that are 0.8
and 1.1 times that of AGS. These properties originate from the GaS4, GeS4, and
SnS4 tetrahedral blocks in the structures of the sulfides. Both compounds also
exhibit a broad transparency range and type-I phase-matching behavior, which
support their high potential in high-power laser applications. This work sheds
new light on the development of promising mid-IR NLO materials by combining
different NLO-active motifs.
PMID- 29808676
TI - Th-Based Endohedral Metallofullerenes: Anomalous Metal Position and Significant
Metal-Cage Covalent Interactions with the Involvement of Th 5f Orbitals.
AB - Endohedral metallofullerenes (EMFs) containing actinides are rather intriguing
due to potential 5f-orbital participation in the metal-metal or metal-cage
bonding. In this work, density functional theory calculations first characterized
the structure of recently synthesized ThC74 as Th@ D3 h(14246)-C74. We found that
the thorium atom adopts an unusual off-axis position inside cage due to small
metal ion size and the requirement of large coordination number, which phenomenon
was further extended to other Th-based EMFs. Significantly, besides the strong
metal-cage electrostatic attractions, topological and orbital analysis revealed
that all the investigated Th-based EMFs exhibit obvious covalent interactions
between metal and cage with substantial contribution from the Th 5f orbitals. The
encapsulation by fullerenes is thus proposed as a practical pathway toward the f
orbital covalency for thorium. Interestingly, the anomalous internal position of
Th led to a novel three-dimensional metal trajectory at elevated temperatures in
the D3 h-C74 cavity, as elucidated by the static computations and molecular
dynamic simulations.
PMID- 29808677
TI - Jizanpeptins, Cyanobacterial Protease Inhibitors from a Symploca sp.
Cyanobacterium Collected in the Red Sea.
AB - Jizanpeptins A-E (1-5) are micropeptin depsipeptides isolated from a Red Sea
specimen of a Symploca sp. cyanobacterium. The planar structures of the
jizanpeptins were established using NMR spectroscopy and mass spectrometry and
contain 3-amino-6-hydroxy-2-piperidone (Ahp) as one of eight residues in a
typical micropeptin motif, as well as a side chain terminal glyceric acid sulfate
moiety. The absolute configurations of the jizanpeptins were assigned using a
combination of Marfey's methodology and chiral-phase HPLC analysis of hydrolysis
products compared to commercial and synthesized standards. Jizanpeptins A-E
showed specific inhibition of the serine protease trypsin (IC50 = 72 nM to 1 MUM)
compared to chymotrypsin (IC50 = 1.4 to >10 MUM) in vitro and were not overtly
cytotoxic to HeLa cervical or NCI-H460 lung cancer cell lines at micromolar
concentrations.
PMID- 29808678
TI - Development of Synthetic Methodologies via Catalytic Enantioselective Synthesis
of 3,3-Disubstituted Oxindoles.
AB - 3,3-Disubstituted oxindoles are widely distributed in natural products, drugs,
and pharmaceutically active compounds. The absolute configuration and the
substituents on the fully substituted C3 stereocenter of the oxindole often
significantly influence the biological activity. Therefore, tremendous efforts
have made to develop catalytic enantioselective syntheses of this prominent
structural motif. Research in this area is further fueled by the ever-increasing
demand for modern probe- and drug-discovery programs for synthetic libraries of
chiral compounds that are derived from privileged scaffolds with high structural
diversity. Notably, the efficient construction of fully substituted C3
stereocenters of oxindole, tetrasubstituted or all-carbon quaternary, spirocyclic
or not, also becomes a test ground for new synthetic methodologies. We have been
engaged in developing efficient methods for diversity-oriented synthesis of
chiral 3,3-disubstituted oxindoles from readily available starting materials. We
have systematically developed catalytic enantioselective methods to prepare 3
substituted 3-hydroxyoxindoles, 3-aminooxindoles, and 3-thiooxindoles, quaternary
oxindoles, and spirocyclic oxindoles. These protocols can be classified into six
approaches: (1) enantioselective addition of nucleophiles to isatins or isatin
ketimines; (2) unprotected 3-substituted oxindoles as nucleophiles; (3)
functionalization of oxindole-derived tetrasubstituted alkenes; (4)
desymmetrization of oxindole-based diynes; (5) spirocyclopropyl oxindoles as
donor-acceptor (D-A) cyclopropanes; and (6) elaboration of diazooxindoles. By the
use of these methods, chiral oxindoles with rich structural diversity are readily
accessed with high to excellent enantioselectivity. Some methods have been used
for the enantioselective formal or total synthesis of natural products, bioactive
compounds, or their analogues. On the basis of these studies, we developed
synthetic methodologies that have potential application. We designed
phosphoramide-based bifunctional catalysts for the efficient construction of
quaternary oxindoles: a cinchona-alkaloid-derived phosphoramide for the Michael
addition of unprotected 3-substituted oxindoles to nitroolefins with broad
substrate scope and a chiral 1,2-cyclohexanediamine-derived bifunctional
phosphoramide for the activation of fluorinated enol silyl ethers for the
addition to isatylidene malononitrile. The phosphoramide-based catalysts achieved
better enantiofacial control than the analogous H-bond-donor-derived catalysts in
these reactions, suggesting the potential of the former in new chiral catalyst
development. We identified chiral Au(I) and Hg(II) catalysts for olefin
cyclopropanation of diazooxindoles. We further disclosed the effective activation
of spirocyclopropyl oxindoles by using electron-withdrawing N-protecting groups
for enantioselective [3 + 3] cycloaddition, offering the promise of constructing
a diverse range of spirocyclic oxindoles by the use of such monoactivated D-A
cyclopropanes. We developed tandem sequences that allow the facile synthesis of
3,3-disubstituted oxindoles from simple starting materials in a one-pot
operation, including a tandem Morita-Baylis-Hillman/bromination/[3 + 2]
annulation sequence, a hydrogenation/ketimine formation/asymmetric 6pi
electrocyclization sequence, a C-H functionalization/Michael addition or
amination sequence, and an aza-Wittig/Strecker sequence. We designed oxindole
based diynes to realize a highly enantioselective Cu-catalyzed alkyne-azide
cycloaddition (CuAAC), outlining the desymmetrization of prochiral diynes as an
effective strategy to exploit asymmetric CuAAC. This Account focuses on the
synthetic methodologies developed in our group for the catalytic enantioselective
synthesis of 3,3-disubstituted oxindoles and provides an overview of our research
on the design, development, and applications of these methods that will provide
useful insights for the exploration of new reactions.
PMID- 29808679
TI - Air-Sensitive Photoredox Catalysis Performed under Aerobic Conditions in Gel
Networks.
AB - In this work, we demonstrate that useful C-C bond-forming photoredox catalysis
can be performed in air using easily prepared gel networks as reaction media to
give similar results as are obtained under inert atmosphere conditions. These
reactions are completely inhibited in homogeneous solution in air. However, the
supramolecular fibrillar gel networks confine the reactants and block oxygen
diffusion, allowing air-sensitive catalytic activity under ambient conditions. We
investigate the mechanism of this remarkable protection, focusing on the boundary
effect in the self-assembled supramolecular gels that enhances the rates of
productive reactions over diffusion-controlled quenching of excited states. Our
observations suggest the occurrence of triplet-sensitized chemical reactions in
the gel networks within the compartmentalized solvent pools held between the
nanofibers. The combination of enhanced viscosity and added interfaces in
supramolecular gel media seems to be a key factor in facilitating the reactions
under aerobic conditions.
PMID- 29808680
TI - Metabolic Engineering of Escherichia coli for Production of 2-Phenylethanol and 2
Phenylethyl Acetate from Glucose.
AB - Rose-like odor 2-phenylethanol (2-PE) and its more fruit-like ester 2-phenylethyl
acetate (2-PEAc) are two important aromatic compounds and have wide applications.
In the past, 2-PE and 2-PEAc were mainly produced from l-phenylalanine. In this
study, Escherichia coli was engineered to de novo biosynthesis of 2-PE and 2-PEAc
from glucose: first, overexpression of deregulated 3-deoxy-d-arabinoheptulosonate
7-phosphate synthase aroG fbr and chorismate mutase/prephenate dehydratase pheA
fbr for increasing phenylpyruvate production in E. coli, subsequently,
heterologous expression of decarboxylase kdc and overexpression of reductase yjgB
for the conversion of phenylpyruvate to 2-PE, with the engineered strain DG01
producing 578 mg/L 2-PE, and, finally, heterologous expression of an
aminotransferase aro8 to redirect the metabolic flux to phenylpyruvate. 2-PE
(1016 mg/L) was accumulated in the engineered strain DG02. Alcohol
acetyltransferase ATF1 from Saccharomyces cerevisiae can esterify a wide variety
of alcohols, including 2-PE. We have further demonstrated the biosynthesis of 2
PEAc from glucose by overexpressing atf1 for the subsequent conversion of 2-PE to
2-PEAc. The engineered strain DG03 produced 687 mg/L 2-PEAc.
PMID- 29808681
TI - Application of Anthocyanins from Blackcurrant ( Ribes nigrum L.) Fruit Waste as
Renewable Hair Dyes.
AB - There is much concern about the toxicological effects of synthetic hair dyes. As
an alternative approach, renewable waste blackcurrant ( Ribes nigrum L.) fruit
skins from the fruit pressing industry were extracted using acidified water with
a solid-phase purification stage. Anthocyanin colorants were isolated in good
yields (2-3% w/ w) and characterized by HPLC. Sorption of anthocyanins onto hair
followed a Freundlich isotherm; anthocyanin-anthocyanin aggregation interactions
enabled high buildup on the substrate. Sorption energy of cyanidin-3- O-glucoside
(monosaccharide) > cyanidin-3- O-rutinoside (disaccharide), but sorption
properties of different anthocyanin glucosides were very similar. Intense blue
colored dyeing on hair could be achieved with lambdamax-vis at 580 nm, typical of
the anionic quinonoid base; it is suggested that hair provides an environment
that enables the stabilization of the anionic quinonoid base on adsorption
through association with cations in the hair and copigmentation effects. Dyeings
were stable to multiple washes.
PMID- 29808682
TI - Synthesis, Characterization, and Electrochemistry of Layered Chalcogenides LiCu
Ch ( Ch = Se, Te).
AB - Two novel compounds, LiCu Ch ( Ch = Se or Te), were synthesized by direct
reaction between elements in closed ampules inside corundum crucibles. Both
compounds are highly air-sensitive and possess an anti-PbClF crystal structure,
which contains Cu Ch layer analogues to the Fe[As/Se] layers in Fe-based
superconductors. In electrochemical battery cells, Li can be almost completely
extracted from LiCuSe, but the reverse reaction is only partly successful and
Li2Se and Cu2- xSe are formed instead. LiCuSe exhibits a temperature independent
and slightly positive magnetic susceptibility. From 7Li NMR measurements, the
activation energy of the Li ion diffusion process is about 0.5 eV but is slightly
lower for LiCuTe as compared to LiCuSe. Also, the small and almost temperature
independent NMR shifts of the 7Li nucleus indicate the absence of Pauli
paramagnetism in these compounds, consistent with a 3 d10 full valence state of
the Cu ions.
PMID- 29808683
TI - Reversible Self-Assembly of Supramolecular Vesicles and Nanofibers Driven by
Chalcogen-Bonding Interactions.
AB - Chalcogen-bonding interactions have been viewed as new non-covalent forces in
supramolecular chemistry. However, harnessing chalcogen bonds to drive molecular
self-assembly processes is still unexplored. Here we report for the first time a
novel class of supra-amphiphiles formed by Te...O or Se...O chalcogen-bonding
interactions, and their self-assembly into supramolecular vesicles and
nanofibers. A quasi-calix[4]chalcogenadiazole (C4Ch) as macrocyclic donor and a
tailed pyridine N-oxide surfactant as molecular acceptor are designed to
construct the donor-acceptor complex via chalcogen-chalcogen connection between
the chalcogenadiazole moieties and oxide anion. The affinity of such chalcogen
bonding can dictate the geometry of supra-amphiphiles, driving diverse self
assembled nanostructures. Furthermore, the reversible disassembly of these
structures can be promoted by introducing competing halide ions or by decreasing
systemic pH.
PMID- 29808684
TI - Tuning of the Morphology and Optoelectronic Properties of ZnO/P3HT/P3HT- b-PEO
Hybrid Films via Spray Deposition Method.
AB - The self-assembly of amphiphilic diblock copolymers yields the possibility of
using them as a template for tailoring the film morphologies of sol-gel chemistry
derived inorganic electron transport materials, such as mesoporous ZnO and TiO2.
However, additional steps including etching and backfilling are required for the
common bulk heterojunction fabrication process when using insulating diblock
copolymers. Here, we use the conducting diblock copolymer poly(3-hexylthiophene)-
block-poly(ethylene oxide) (P3HT- b-PEO) in which P3HT acts as charge carrier
transport material and light absorber, whereas PEO serves as a template for ZnO
synthesis. The initial solution is subsequently spray-coated to obtain the hybrid
film. Scanning electron microscopy and grazing-incidence small-angle X-ray
scattering measurements reveal a significant change in the morphology of the
hybrid films during deposition. Optoelectronic properties illustrate the improved
charge separation and charge transfer process. Both the amount of the diblock
copolymer and the annealing temperature play an important role in tuning the
morphology and the optoelectronic properties. Hybrid films being sprayed from a
solution with the ratio of omegaZnO, omegaP3HT, and omegaP3HT- b-PEO of 2:1:1 and
subsequent annealing at 80 degrees C show the most promising morphology combined
with an optimal photoluminescence quenching. Thus, the presented simple, reagent-
and energy-saving fabrication method provides a promising approach for a large
scale preparation of bulk heterojunction P3HT/ZnO films on flexible substrates.
PMID- 29808685
TI - Stability and Placement of Ag/AgCl Quasi-Reference Counter Electrodes in Confined
Electrochemical Cells.
AB - Nanoelectrochemistry is an important and growing branch of electrochemistry that
encompasses a number of key research areas, including (electro)catalysis, energy
storage, biomedical/environmental sensing, and electrochemical imaging. Nanoscale
electrochemical measurements are often performed in confined environments over
prolonged experimental time scales with nonisolated quasi-reference counter
electrodes (QRCEs) in a simplified two-electrode format. Herein, we consider the
stability of commonly used Ag/AgCl QRCEs, comprising an AgCl-coated wire, in a
nanopipet configuration, which simulates the confined electrochemical cell
arrangement commonly encountered in nanoelectrochemical systems. Ag/AgCl QRCEs
possess a very stable reference potential even when used immediately after
preparation and, when deployed in Cl- free electrolyte media (e.g., 0.1 M HClO4)
in the scanning ion conductance microscopy (SICM) format, drift by only ca. 1 mV
h-1 on the several hours time scale. Furthermore, contrary to some previous
reports, when employed in a scanning electrochemical cell microscopy (SECCM)
format (meniscus contact with a working electrode surface), Ag/AgCl QRCEs do not
cause fouling of the surface (i.e., with soluble redox byproducts, such as Ag+)
on at least the 6 h time scale, as long as suitable precautions with respect to
electrode handling and placement within the nanopipet are observed. These
experimental observations are validated through finite element method (FEM)
simulations, which consider Ag+ transport within a nanopipet probe in the SECCM
and SICM configurations. These results confirm that Ag/AgCl is a stable and
robust QRCE in confined electrochemical environments, such as in nanopipets used
in SICM, for nanopore measurements, for printing and patterning, and in SECCM,
justifying the widespread use of this electrode in the field of
nanoelectrochemistry and beyond.
PMID- 29808686
TI - Synthesis of Large Mesoporous-Macroporous and High Pore Volume, Mixed
Crystallographic Phase Manganese Oxide, Mn2O3/Mn3O4 Sponge.
AB - The controlled synthesis of mixed crystallographic phase Mn2O3/Mn3O4 sponge
material by varying heating rates and isothermal segments provides valuable
information about the morphological and physical properties of the obtained
sample. The well-characterized Mn2O3/Mn3O4 sponge and applicability of difference
in reactivity of H2 and CO2 desorbed during the synthesis provide new
developments in the synthesis of metal oxide materials with unique morphological
and surface properties. We report the preparation of a Mn2O3/Mn3O4 sponge using a
metal nitrate salt, water, and Dextran, a biopolymer consisting of glucose
monomers. The Mn2O3/Mn3O4 sponge prepared at 1 degrees C.min-1 heating rate to
500 degrees C and held isothermally for 1 h consisted of large mesopores
macropores (25.5 nm, pore diameter) and a pore volume of 0.413 mL/g. Furthermore,
the prepared Mn2O3/Mn3O4 and 5 mol %-Fe-Mn2O3/Mn3O4 sponges provide potential
avenues in the development of solid-state catalyst materials for alcohol and
amine oxidation reactions.
PMID- 29808687
TI - [MicroRNAs in Prediction of Response to Radiotherapy in Head and Neck Cancer
Patients - Pilot Study].
AB - BACKGROUND: Radiotherapy plays a key role in the treatment of squamous cell head
and neck cancers (HNSCC). The effectivity of radiation therapy is often limited
by radioresistance of these tumours. microRNAs (miRNAs) are endogenous,
evolutionary conserved, small non-coding RNAs involved in regulation of cellular
processes associated with radioresistance. The objective of this study was to
identify miRNA profile enabling to predict the radiation treatment outcomes in
HNSCC patients. MATERIAL AND METHODS: The retrospective study included HNSCC
patients who underwent a definitive radiotherapy. Patients were divided into two
groups according to loco-regional control (LRC) as follows - short LRC (n = 22;
median 5.1 months (min. 1.3, max, 18.6)) vs. long LRC (n = 21; 60.4 (min. 46.8,
max. 98.8)) group. Global miRNA expression profiles were obtained by use of
Affymetrix microarray technology (GeneChip miRNA 4.0 Array). RESULTS: We
identified 24 miRNAs to be significantly associated with LRC (p < 0.05), all of
these miRNAs were upregulated in patients with short LRC. Out of these miRNAs, 12
miRNAs with p < 0.025 and 4 miRNAs with p < 0.01 have been identified.
CONCLUSION: miRNAs seems to be promising as potential biomarkers predicting
radiotherapy treatment outcomes in patients with HNSCC.Key words: microRNAs -
radiotherapy - head and neck cancer The authors declare they have no potential
conflicts of interest concerning drugs, products, or services used in the study.
The Editorial Board declares that the manuscript met the ICMJE recommendation for
biomedical papers. Supported by Ministry of Health of the Czech Republic, grant
No. 15-31627A. All rights reserved.Submitted: 19. 3. 2018Accepted: 20. 3. 2018.
PMID- 29808688
TI - Flow Cytometric Analysis of Nucleoside Transporters Activity in Chemoresistant
Prostate Cancer Model.
AB - BACKGROUND: Nucleoside analogues represent a relevant class of antimetabolites
used for therapy of various types of cancer. However, their effectivity is
limited by drug resistance. The nucleoside transport capability of tumour cells
is considered to be a determinant of the clinical outcome of treatment regimens
using antimetabolites. Due to hydrophilic properties of antimetabolites, their
transport across the plasma membrane is mediated by two families of transmembrane
proteins, the SLC28 family of cation-linked concentrative nucleoside transporters
(hCNTs) and SLC29 family of energy-independent equilibrative nucleoside
transporters (hENTs). Loss of functional nucleoside transporters has been
associated with reduced efficacy of antimetabolites and their derivatives and
treatment failure in diverse malignancies including solid tumours, such as
pancreatic adenocarcinoma. MATERIAL AND METHODS: The effectivity and kinetics of
antimetabolite uptake were analysed using control and docetaxel-resistant PC3
cells. For this purpose, fluorescent nucleoside analogue probe uridine-furane and
inhibitor of nucleoside transporters, S-(4-nitrobenzyl) -6-thioinosine were
exploited. Combination of flow cytometry, confocal microscopy and real-time
quantitative polymerase chain reaction methodology were used for the analysis.
RESULTS: Here we utilized flow cytometric assay for analysis of nucleoside
transporters activity employing fluorescent nucleoside analogue, uridine-furane.
We have determined the long-time kinetics of uridine-furane incorporation and
quantified its levels in the parental prostate cancer cell line PC3 and its
chemoresistant derivative. Finally, we have shown an association between the
activity and mRNA expression of nucleoside transporters and sensitivity to
various nucleoside analogues. CONCLUSION: Fluorescent techniques can serve as an
effective tool for the detection of nucleoside transporter activity which has the
potential for application in clinical oncology.Key words: nucleoside transporter
proteins - drug resistance - prostatic neoplasm - chemotherapy.
PMID- 29808689
TI - [Identifying the Importance of MT-3 Expression for Neuroblastoma Cells].
AB - BACKGROUND: Resistance of cancer cells to cytostatics is caused by a number of
mechanisms that are often combined. These include reduced cell entry or increased
efflux, increased DNA repair, defects of, apoptotic pathways, increased
cytostatic degradation as well as elevated levels of intracellular thiols of
glutathione and metallothioneins (MT). It has been reported that high
concentrations of thiol groups in the cytoplasm bind platinum alkylation
derivatives and chemorezistence is due to the transfer of platinum from the
cytostatic to MT, which inactivates them. Because we have shown an increase in MT
levels in resistant neuroblastoma (NB) lines, but not in sensitive lines after
incubation with platinum cytostatics, we have considered MT-3 for NB cells in our
previous studies. METHOD: SiMa NB cell lines transfected with vector containing
human MT-3 and GFP or GFP only (control). Expression Microarray Human Cancer 3711
ElectraSense medium density 4 * 2k array slides with 1,609 DNA probes (Custom
Array, Bothell, WA, USA), MT-3 expression and most expressed genes validated by
real-time polymerase chain reaction. Sensitivity to CDDP (cisplatin) - MTT assay,
clonogenicity test, Western blott caspase cleavage and free oxygen radicals
fluorescence microscopy after CellROX Deep Red Reagent staining. Levels of MT-3
mRNA in 23 samples of high-risk NB, normal human cortex and bovine adrenal glands
were investigated by reverse transcription polymerase chain reaction. RESULTS:
Expression microarray showed downregulation 3 and overexpression of 19 genes in
MT-3 transfected NB cells. Using gene ontology, over-expressed genes have been
shown to drive senescence-induced oncogenes (CDKN2B and ANAPC5), and the genes of
glutathione S-transferase M3, caspase 4 and DNAJB6 (chaperone neuronal proteins)
were also expressed. We have demonstrated a reduced sensitivity of MT-3
transfected cells to CDDP (24h IC50 of 7.48 +/- 0.97 and 19.81 +/- 1.2 MUg/ml), a
higher number of colonies after incubation with CDDP, reduced caspase 3 after
incubation with CDDP and lower free oxygen radicals after induction of CDDP. High
grade NB cells expressed MT-3 significantly more than non-tumoral adrenal cells
but failed to show a clear relationship to disease course. CONCLUSION: We have
demonstrated the relationship between MT-3 and senescence-induced oncogene genes
and some other genes relevant to cell fate (glutathione S-transferase M3, caspase
4 and DNAJB6) and a significant proportion of MT-3 on CDDP resistance. High
levels of MT-3 in high-risk NB could be one of the causes of frequent relapses in
this tumor.Key words: neuroblastoma - metallothionein 3 - chemoresistance The
authors declare they have no potential conflicts of interest concerning drugs,
products, or services used in the study. The Editorial Board declares that the
manuscript met the ICMJE recommendation for biomedical papers.This work was
supported by AZV CR grant 15- 28334A. Submitted: 17. 2. 2018Accepted: 16. 4.
2018.
PMID- 29808690
TI - [MicroRNA Analysis for Extramedullary Multiple Myeloma Relapse].
AB - INTRODUCTION AND AIMS: Multiple myeloma (MM) is the second most common
hematooncological disease. Patient survival has been greatly improved by the
introduction of new drugs into clinical practice, but survival is negatively
affected by the so-called extramedullary relapse (EM), caused by the loss of
plasma cell dependence on the bone marrow microenvironment and their migration
out of the bone marrow. The nature and causes of this process are currently
unclear. MicroRNAs (miRNAs) are short, non-coding RNA molecules involved in many
physiological and pathological processes. Their significance in the pathogenesis
of MM has been demonstrated by several studies. We assume that they are also
involved in the development of the EM. The aim of this study was to analyze
different miRNA expression between MM and EM patients. MATERIAL AND METHODS:
Using next generation sequencing, we analyzed 39 samples of bone marrow cells
from MM patients at diagnosis and 9 bone marrow plasma samples of EM patients.
RESULTS: In total, 2,278 miRNA were sequenced, but only 658 miRNAs were analyzed
as they were expressed in all samples and had at least 20 reads. Expression data
were generated using the Chimira tool from fastq data. All sequences were mapped
using miRBase v20. Further analyses were performed using the R/Bioconductor
package. The Bayesian procedure was used for normalization of expression. P
values were adjusted using the Benjamini-Hochberg method. Analysis found 10 miRNA
(p < 0.0005) that are statistically significantly expressed in EM vs. MM patients
- these are miR-26a-5p, miR-26b-5p, miR-30e-5p, miR-424-3p, miR-503-5p, miR-767
5p, miR-105-5p, miR-5695-5p, miR-450b-5p and miR-92b-3p. These miRNAs will be
further verified by qPCR method on a larger set of MM and EM patients.
CONCLUSION: Our pilot study has shown that there are differentially expressed
miRNAs between MM and EM patients.Key words: multiple myeloma - microRNA -
carcinogenesis - next generation sequencing The authors declare they have no
potential conflicts of interest concerning drugs, products, or services used in
the study. The Editorial Board declares that the manuscript met the ICMJE
recommendation for biomedical papersThis work was supported by grant MZ CR AZV 17
29343A. Submitted: 17. 3. 2018Accepted: 20. 3. 2018.
PMID- 29808691
TI - [Can Analysis of Cellular Lipidome Contribute to Discrimination of Tumour and Non
tumour Colon Cells?]
AB - BACKGROUNDS: Colon cancer development is often characterized by abnormalities in
lipid synthesis and metabolism, which may influence energetic balance, structure
and function of biological membranes, or production of specific mediators and
cell signalling. The changes in lipid profile and metabolism (lipidome) may
significantly affect cell behaviour and response to therapy. Permanent epithelial
cell lines at various stages of cancer development are used for better
understanding of this topic on cellular and molecular levels. In our study, we
hypothesized that detailed analyses of colon cancer cell line lipidomes may help
to identify major alterations in the amount and profile of specific lipid
classes/species, which can contribute to their different response to various
stimuli. MATERIAL AND METHODS: Cellular lipids were isolated from six human
epithelial cell lines derived from tissues at various stages of tumour
development. Liquid chromatography coupled with tandem mass spectometry analyses
were performed in order to determine amount and mass profiles of all phospholipid
(PL), lysophospholipid (lysoPL) and sphingolipid classes. The data was
statistically evaluated (cluster and discrimination analyses) with respect to
mutual comparison of cell lines and to significantly discriminating lipid types.
RESULTS: The results of cluster analysis arranged cell lines in order
corresponding to their level of transformation (normal cells, adenoma, carcinoma
and lymph node metastasis). The results of discrimination analyses revealed the
most discriminating lipid types and distinction in PL: lysoPL ratios.
Particularly, significant correlation of the amount and profiles of both specific
lysoPL and sphingolipid classes with cell transformation level were observed.
Similar approaches are now applied to compare lipidomes of colon epithelial cells
isolated from tumour vs. non-tumour samples of colon cancer patients. CONCLUSION:
Our results indicate that a) selected cancer cell lines are suitable model for
lipidomic studies that can serve as a basis for subsequent clinical research, b)
cellular lipidome analyses may help to discriminate tumour and non-tumour cells
in clinical samples, where specific types of lipids could serve as biomarkers.Key
words: colon cancer - cell lines - liquid chromatography - mass spektrometry -
phospholipids - sphingolipids - bioinformatics The authors declare they have no
potential conflicts of interest concerning drugs, products, or services used in
the study. The Editorial Board declares that the manuscript met the ICMJE
recommendation for biomedical papers. This work was supported by Czech Health
Research Council, grant No. AZV 15-30585A.Submitted: 19. 3. 2018Accepted: 18. 4.
2018.
PMID- 29808692
TI - [Urinary MicroRNAs as Potential Biomarkers of Bladder Cancer].
AB - BACKGROUND: Currently, there are no urinary-based tumour markers with sufficient
sensitivity and specificity to replace cystoscopy in the detection of bladder
cancer (BCA). Urinary microRNAs are emerging as clinically useful class of
biomarkers for early and non-invasive detection of urologic malignancies.
PATIENTS AND METHODS: In this study, 155 patients with BCA and 83 healthy
controls were enrolled. Expression profiles of urinary miRNAs were obtained using
Affymetrix miRNA microarrays and candidate miRNAs further validated in
independent cohort using specific TaqMan assays and quantitative real-time
polymerase chain reaction method. RESULTS: Whole-genome profiling identified
miRNA signature with significantly different concentrations in urine of BCA
compared to controls (p < 0.01). In the independent validation phase of the
study, three miRNAs were confirmed to have significantly higher levels in urine
of patients with BCA in comparison with control groups (p < 0.0001). In addition,
we observed significant decrease in two miRNAs (p < 0.01) concentrations in the
urinary samples collected 3 months after surgery compared to pre-operative
samples. CONCLUSION: We identified and validated miRNAs to have significantly
higher concentrations in urine of patients with BCA in comparison with controls.
Our data have shown that urinary miRNAs could serve as sensitive and specific
biomarkers enabling non-invasive detection of BCA.Key words: urinary microRNAs -
biomarkers - bladder cancer The authors declare they have no potential conflicts
of interest concerning drugs, products, or services used in the study. The
Editorial Board declares that the manuscript met the ICMJE recommendation for
biomedical papers. This study was supported by Ministry of Health of the Czech
Republic, grant No. 15-31071A. All rights reserved.Submitted: 19. 3.
2018Accepted: 20. 3. 2018.
PMID- 29808693
TI - [Usage of Cerebrospinal Fluid for microRNA Analysis].
AB - BACKGROUNDS: Deregulated levels of miRNAs, short noncoding RNAs associated with
pathogenesis of many diseases, have been observed in cerebrospinal fluid (CSF).
Therefore, the analysis of CSF miRNAs in patients affected by tumors of central
nervous system (CNS) might help to develop new diagnostic platform enabling more
precise diagnosis. Thus, in our study we tried to optimize methodical approaches
to be used for miRNA detection as RNA isolation and selection of suitable
technology for global high-throughput miRNA profiling. MATERIAL AND METHODS: In
the optimization phase of RNA isolation from CSF, various commercially available
kits with different protocol modifications were compared. Two quantitative
polymerase chain reaction panels and Next Generation Sequencing method were
tested for selection of the most suitable method for miRNA comprehensive
profiling. RESULTS: The Urine miRNA Purification kit (Norgen) and Next Generation
Sequencing was selected as the most suitable kit for RNA extraction from CSF and
method for miRNA comprehensive profiling, resp. CONCLUSION: We established a
protocol for RNA isolation and miRNA comprehensive profiling in CSF clinical
specimens.Key words: brain neoplasm - cerebrospinal fluid - microRNA The authors
declare they have no potential conflicts of interest concerning drugs, products,
or services used in the study. The Editorial Board declares that the manuscript
met the ICMJE recommendation for biomedical papers. This study was supported by
Ministry of Health of the Czech Republic, grant No. 15-34553A. All rights
reserved.Submitted: 19. 3. 2018Accepted: 10. 4. 2018.
PMID- 29808694
TI - [Pilot Study on MicroRNAs as Biomarkers of Response to Sunitinib Treatment in
Patients with Metastatic Renall Cell Carcinoma].
AB - BACKGROUND: Renal cell carcinoma (RCC) accounts for 2-3% of all malignant
tumours. Metastatic RCC (mRCC) is commonly treated with tyrosine kinase
inhibitors (TKI). Effective TKIs administration can be achieved only by accurate
prediction of therapeutical response. Therefore, the aim of this study was to
analyse papers concerning predictive potential of microRNA (miRNA). MATERIAL AND
METHODS: We chose seven candidate miRNAs and analysed their expression on 44
patients divided into cohort with poor and good response to sunitinib treatment.
Patients were divided into two groups according to progression-free survival. RNA
from tissue samples was isolated and expression of selected miRNAs was measured
using quantitative PCR with miRNA-specific TaqMan probes. RESULTS: We
successfully validated two miRNAs to be differentially expressed in responding
and non-responding patients to sunitinib treatment. Other analysed miRNAs have
not shown predictive potential. CONCLUSION: From miRNAs studied so far, two
miRNAs had predictive value according to present study.Key words: microRNA -
renal cell carcinoma - sunitib The authors declare they have no potential
conflicts of interest concerning drugs, products, or services used in the study.
The Editorial Board declares that the manuscript met the ICMJE recommendation for
biomedical papers. Supported by Ministry of Health of the Czech Republic, grant
No. 15-34678A. All rights reserved.Submitted: 19. 3. 2018Accepted: 20. 3. 2018.
PMID- 29808695
TI - [Biomonitoring of Work with Genotoxic Substances and Factors in a Cancer
Treatment Facility].
AB - BACKGROUND: A long-term occupational exposure of healthcare staff to cytostatics
and ionizing radiation is associated with a possible manifestation of their
genotoxic, carcinogenic and teratogenic effects. MATERIAL AND METHODS: A total
number of 101 employees working with cytostatics or ionizing radiation were
examined (some of them repeatedly) in a cancer treatment facility. The control
group consisted of 119 persons excluded from the risk exposure. Fluorescence in
situ hybridization with three pairs of whole-chromosomal probes and a
pancrossomeric probe was used and the translocation frequency was determined.
RESULTS: The total number of chromosomal rearrangements of healthcare
professionals and control group correlates with age. Taking into account the age
dependence, an increased level of chromosomal reconstruction was found in the
case of 11 individuals, 10 of which were female, working on the positions of
pharmacist, general nurse, physician. A total of 9 of those case involved the
work with cytostatics. Five of these cases were re-examined two years later and
the observed levels dropped to the control level. CONCLUSION: The results of
biomonitoring should be evaluated on a group basis and individually, taking into
account the personal history and possible non-professional effects on individuals
- in particular those related to specific environmental measurement results.Key
words: preventive medicine - occupational exposure - cytostatic agents -
chromosome aberrations - in situ hybridization - fluorescence The authors declare
they have no potential conflicts of interest concern ing drugs, products, or
services used in the study. The Editorial Board declares that the manuscript met
the ICMJE recommendation for biomedical papers. This work was supported by
project of Ministry of Health Czech Republic. reg. No. 15-33968A.Submitted: 12.
4. 2018Accepted: 16. 4. 2018.
PMID- 29808696
TI - [Dysregulation of Long Non-coding RNAs in Glioblastoma Multiforme and Their Study
Through Use of Modern Molecular-Genetic Approaches].
AB - BACKGROUND: Glioblastoma (GBM) is the most frequent primary brain tumor
characterized by an unfavourable prognosis despite multimodal therapy. Therefore,
a lot of efforts and financial resources are dedicated to the research of new
therapeutic targets and prognostic or predictive biomarkers. Long non-coding RNAs
(lncRNAs) are regulators of gene expression which play a significant role in GBM
pathology and, thus, present promising candidates. MATERIAL AND METHODS: Our
study included 14 patients with GBM and 8 patients with intractable epilepsy from
whom we acquired brain tissues during surgical intervention. Ribosomal RNA
depleted RNA was used for sequencing by NextSeq 500 instrument (Illumina).
Statistical analysis evaluated 24,087 protein-coding and 8,414 non-coding RNAs
and their sequential variants with non-zero reads per kilobase per million mapped
reads (RPKM) at least in one sample. CLC Genomic Workbench was used for the
alignment and target counts. Targeted downregulation of up-regulated ZFAS1, one
of the identified lncRNA, level has been carried out by the transient
transfection of specific small interfering RNA (siRNA) in GBM stable cell lines
(A172, U87MG, T98G). The success of transfection and viability were analyzed in
vitro using quantitative real time polymerase chain reaction and MTT assay, resp.
RESULTS: Statistical analysis has revealed 274 (p < 0.01) dysregulated lncRNAs in
GBMs in comparison with non-tumor brain tissues. Moreover, the results have
showed 489 dysregulated mRNAs (p < 0.0001) and 26 mRNAs (p < 0.000001).
Transfection of ZFAS1 inhibitor led to successful downregulation of ZFAS1
expression level, although it did not have a significant effect on proliferation
of GBM cells. CONCLUSION: We described a significant dysregulation of lncRNAs and
mRNAs in GBM tissue in comparison with non-tumor tissue. We also succesfully
decreased expression level of ZFAS1, which in turn, however, had no impact on the
viability of GBM cell lines.Key words: glioblastoma - long non-coding RNA - next
generation sequencing The authors declare they have no potential conflicts of
interest concerning drugs, products, or services used in the study. The Editorial
Board declares that the manuscript met the ICMJE recommendation for biomedical
papers. This tudy was supported by Ministry of Health of the Czech Republic,
grant No. 15-33158A. All rights reserved.Submitted: 19. 3. 2018Accepted: 10. 4.
2018.
PMID- 29808698
TI - ?
PMID- 29808697
TI - [A Development and Overview of the Use of Chemotherapy and the Role of
Radiotherapy and Surgery in Patients with Newly Diagnosed Pancreatic Tumor and
Cancer in the Current 5-year Center Practice].
AB - BACKGROUND: Chemotherapy (CHT), surgery and radiotherapy (RT) are essential
modalities in the treatment of pancreatic malignancies. Their use in practice may
be influenced by a number of factors. PATIENTS AND METHODS: Retrospective
analysis of CHT, surgery and RT indications and CHT results in patients reported
with pancreatic tumor in Pilsen in 2012-2016. RESULTS: A total of 348 patients
with median age 68 (19-89) years with newly diagnosed pancreatic tumor, resp.,
with histology/cytology verified carcinoma in 74.5% cases, with v. s. carcinoma
without verification in 21% and with other malignancy not further analyzed here
in 4.5% (mostly neuroendocrine tumor). In patients with generalized malignancy (n
= 195), exploratory laparotomy was performed in 23% to get tissue samples or
verify staging - palliative anastomoses were done in 25% of operated patients,
CHT was performed in 29% of the generalized tumors, palliative RT of tumor was
performed in 1 patient, and RT of metastases in 3 patients. In patients with
local or regional nodal affection (n = 137) laparotomy was done in 59%, R0
resection in 34 (42%) of 81 operated, R1 in 6%, palliative anastomoses were done
in 17% and irreversible electroporation in one patients, CHT or radiochemotherapy
after R0 and R1 resections was provided in 61% operated patients. The most
commonly used CHT was monotherapy with gemcitabine or FOLFIRINOX. The indication
of CHT in cytology/histology verified generalized cancers and with excluding
patients refusing CHT was proposed in 2012 to 16%, in 2014 to 49% and in 2016 to
84% of patients. In the case of a local or regional nodal involvement the CHT was
proposed to 40, 55 and 86% of patients. Median overall survival in generalized
tumor patients receiving CHT (n = 137) vs. not-receiving CHT (n = 56) was 2 vs. 8
months (p = 0.0001), and in the local or regional nodal involvement patients
receiving CHT (n = 74) vs. not-receiving CHT (n = 62) was 5 vs. 16 months (p =
0.0001). CONCLUSION: CHT and surgery are the dominant treatment modalities. There
has been a marked increase in the CHT and histology/cytology verifications
indications, with a major factor being a clinician evaluation of a patient to be
fit for CHT and its benefit or to complete pancreatic tumor verification. With
still very limited results in pancreatic cancer treatment, a careful assessment
of each patients indication, respecting patients desire, is always required,
knowing that even in the case of advanced disease, CHT can bring benefit, albeit
limited.Key words: pancreas - carcinoma - chemotherapy The authors declare they
have no potential conflicts of interest concerning drugs, products, or services
used in the study. The Editorial Board declares that the manuscript met the ICMJE
recommendation for biomedical papers. This study was supported by the grant of
Ministry of Health of the Czech Republic - Conceptual Development of Research
Organization (Faculty Hospital in Pilsen - FNPl, 00669806).Submitted: 13. 3.
2018Accepted: 18. 4. 2018.
PMID- 29808699
TI - Corrigendum.
PMID- 29808700
TI - The Teachers of Quality Academy: Evaluation of the Effectiveness and Impact of a
Health Systems Science Training Program.
AB - This project aimed to evaluate the effectiveness of a faculty development program
in health systems science (HSS)-the Teachers of Quality Academy (TQA).
Participants in TQA and a comparison group were evaluated before, during, and 1
year after the program using self-perception questionnaires, tests of HSS
knowledge, and tracking of academic productivity and career advancement. Among
program completers (n = 27), the mean self-assessed ratings of knowledge and
skills of HSS topics immediately after the program, as compared to baseline,
increased significantly compared to controls (n = 30). Participants demonstrated
progressive improvement of self-perceived skills and attitudes, and retention of
HSS knowledge, from baseline to completion of the program. Participants also
demonstrated substantially higher HSS scholarly productivity, leadership, and
career advancement compared to the comparison group. The TQA effectively created
a faculty cadre able to role model, teach, and create a curriculum in HSS
competencies for medical students, resident physicians, and other health
professionals.
PMID- 29808701
TI - Oral Microbiome in HIV-Infected Women: Shifts in the Abundance of Pathogenic and
Beneficial Bacteria Are Associated with Aging, HIV Load, CD4 Count, and
Antiretroviral Therapy.
AB - Human immunodeficiency virus (HIV)-associated nonacquired immunodeficiency
syndrome (AIDS) conditions, such as cardiovascular disease, diabetes,
osteoporosis, and dementia are more prevalent in older than in young adult HIV
infected subjects. Although the oral microbiome has been studied as a window into
pathogenesis in aging populations, its relationship to HIV disease progression,
opportunistic infections, and HIV-associated non-AIDS conditions is not well
understood. We utilized 16S rDNA-based pyrosequencing to compare the salivary
microbiome in three groups: (1) Chronically HIV-infected women >50 years of age
(aging); (2) HIV-infected women <35 years of age (young adult); and (3) HIV
uninfected age-matched women. We also examined correlations between salivary
dysbiosis, plasma HIV RNA, CD4+ T cell depletion, and opportunistic oral
infections. In both aging and young adult women, HIV infection was associated
with salivary dysbiosis characterized by increased abundance of Prevotella
melaninogenica and Rothia mucilaginosa. Aging was associated with increased
bacterial diversity in both uninfected and HIV-infected women. In HIV-infected
women with oral coinfections, aging was also associated with reduced abundance of
the common commensal Veillonella parvula. Patients taking antiretroviral therapy
showed increased numbers of Neisseria and Haemophilus. High plasma HIV RNA levels
correlated positively with the presence of Prevotella and Veillonella, and
negatively with the abundance of potentially beneficial Streptococcus and
Lactobacillus. Circulating CD4+ T cell numbers correlated positively with the
abundance of Streptococcus and Lactobacillus. Our findings extend previous
studies of the role of the microbiome in HIV pathogenesis, providing new evidence
that HIV infection is associated with a shift toward an increased pathogenic
footprint of the salivary microbiome. Taken together, the data suggest a complex
relationship, worthy of additional study, between chronic dysbiosis in the oral
cavity, aging, viral burden, CD4+ T cell depletion, and long-term antiretroviral
therapy.
PMID- 29808703
TI - Ideal Hospitalist Workload in a 12-Hour Shift.
PMID- 29808702
TI - A review of validated biomarkers obtained through metabolomics.
AB - INTRODUCTION: Studying changes in the whole set of small molecules, final
products of biochemical reactions in living systems or metabolites, is extremely
appealing because they represent the best approach to identifying what occurs in
an organism when samples are collected. However, their usefulness as potential
biomarkers is limited by discoveries obtained in small groups without proper
validation or even confirmation of the chemical structure. Areas covered: During
the past 5 years, more than 900 papers have been published on metabolomics for
biomarker discovery, but the numbers are much lower when some criteria of
validation are applied. In total, 102 papers have been included in this review.
The most frequent disease areas in which these markers have been discovered
include the following: cancer, diabetes, and related diseases and
neurodegenerative, cardiovascular, autoimmune, liver, and kidney diseases. Expert
commentary: Metabolomics has been demonstrated as rapidly growing due to the
improvements in instrumentation, mainly mass spectrometry, and data mining
software. For application in the clinic, the results should be validated in
different stages, from analytical validation to validation in independent sets of
samples, using thousands of samples from different sources.
PMID- 29808704
TI - Nondestructive Spectroscopic Tracing of Simulated Formation Processes of Humic
Like Substances Based on the Maillard Reaction.
AB - The formation processes of humic-like substances have been simulated by heating a
glycine and ribose mixed solution (0.1 mol L-1) at 80 C using the Maillard
reaction. Ultraviolet-visible (UV-Vis), three-dimensional excitation emission
spectroscopy and size exclusion liquid chromatography succeeded in quantitatively
tracing increases of the products during the heating of glycine and ribose mixed
solution (0.1 mol L-1). Two-dimensional correlation spectroscopic analyses
suggested that a band area around 280 nm ( UV280) and 254 nm absorbance ( UV254)
can be used as measures of the formation of furfural-like intermediates and humic
like products, respectively. They were monitored using in situ UV-Vis
spectroscopy with the original heatable liquid cell at 60-80 C. Kinetic analyses
of the obtained data gave activation energies of 91.4-96.6 kJ mol-1. These
nondestructive measurements by an in situ spectroscopic method did not require
any additional procedures including drying or extracting the solution and they
can be effectively used for direct tracing of the reaction progress and/or
decomposition.
PMID- 29808705
TI - In Vitro Effects of Cetylated Fatty Acids Mixture from Celadrin on Chondrogenesis
and Inflammation with Impact on Osteoarthritis.
AB - Objective Cetylated fatty acids are a group of naturally occurring fats of plant
and/or animal origin. Cetyl myristoleate, in particular, was initially involved
in osteoarthritis related research as its therapeutic administration prevented
experimentally induced arthritis in Swiss Albino mice. In this context, the aim
of our study was to investigate the possible mechanisms of Celadrin cetylated
fatty acids action at the cellular level inflammation related pain relief and
chondrogenesis. Design For this, we tested the effects of the cetylated fatty
acids mixture from Celadrin on an in vitro scaffold-free 3-dimensional
mesenchymal stem cells culture model of chondrogenesis. Furthermore, we treated
stimulated mouse macrophage cells with the cetylated fatty acids mixture to
investigate the expression profile of secreted inflammatory cytokines. Results
The cetylated fatty acids mixture from Celadrin significantly decreased the
production of IL-6, MCP-1, and TNF, key regulators of the inflammatory process,
in stimulated RAW264.7 mouse macrophage cells. The treatment with cetylated fatty
acids mixture initiated and propagated the process of chondrogenesis as
demonstrated by the increased expression and deposition of chondrogenic markers
by the differentiating mesenchymal cells. Conclusion The cetylated fatty acids
mixture from Celadrin reduces inflammation in vitro by significantly decreasing
the expression of IL-6, MCP-1, and TNF in stimulated RAW264.7 mouse macrophage
cells. These compounds facilitate the chondrogenic differentiation process of
human adipose-derived stem cells by stimulating the expression of chondrogenic
markers under chondrogenic induction conditions.
PMID- 29808706
TI - Consideration of the diagnosis of hypertension accompanied with hypokalaemia:
monism or dualism?
AB - This case report describes a 53-year-old male patient with persistent
hypertension and hypokalaemia. Laboratory tests showed that the patient had
hypokalaemia, hypocalcaemia and reduced urine calcium/creatinine. Levels of
aldosterone and renin activity were increased significantly. Serum levels of
adrenocorticotropic hormone, plasma total cortisol level, 24-h urinary-free
cortisol, catecholamines, thyroid stimulating hormone and free tetraiodothyronine
were normal. A novel single heterozygous mutation (c.836T> G [E6]) was found
after full sequencing of the solute carrier family 12 member 3 ( SLC12A3) gene
exons. The patient was diagnosed as having primary hypertension with Gitelman
syndrome (GS). These findings triggered the careful consideration of whether a
monistic or dualist approach to the diagnosis of this patient was the most
appropriate. Monism may not always be the most appropriate approach for the
diagnosis of coexistent hypertension and hypokalaemia. Consideration should be
given to the possibility of the independent existence of distinct diseases (i.e.
dualism) when secondary hypertension cannot be confirmed by conventional
examinations and when a genetic diagnosis is crucial. As a common cause of
hypokalaemia with a high level of clinical phenotypic variation, GS does not
conform to the usual diagnostic criteria. It should also be noted that single
heterozygous SLC12A3 gene mutations can cause disease symptoms and other genetic
mutations might be involved in the pathogenesis of GS.
PMID- 29808707
TI - A Review of ACE Inhibitors and ARBs in Black Patients With Hypertension.
AB - OBJECTIVE: To review current guidelines and recent data evaluating the efficacy
and safety of angiotensin-converting enzyme (ACE) inhibitors and angiotensin
receptor blockers (ARBs) in black hypertensive patients. DATA SOURCES: Articles
evaluating race-specific outcomes in hypertension were gathered using a MEDLINE
search with keywords black, African American, ACE inhibitor, angiotensin receptor
blocker, angiotensin system, and hypertension. Studies published from 2000
through April 2018 were reviewed. STUDY SELECTION AND DATA EXTRACTION: Six
guidelines, 8 monotherapy publications, and 5 combination therapy publications
included race-specific results and were included in the review. The authors
individually compared and contrasted the results from each publication. DATA
SYNTHESIS: Numerous monotherapy trials indicate that black patients may have a
reduced blood pressure (BP) response with ACE inhibitors or ARBs compared with
white patients. Conversely, additional studies propose that race may not be the
primary predictor of BP response. Reduced efficacy is not observed in trials
involving combination therapy. Some studies suggest increased cardiovascular and
cerebrovascular morbidity and mortality with ACE inhibitor or ARB monotherapy in
black patients; however, data are conflicting. Relevance to Patient Care and
Clinical Practice: This article clarifies vague guideline statements and informs
clinicians on the appropriate use of ACE inhibitors or ARBs for hypertension
treatment in black patients through an in-depth look into the evidence.
CONCLUSIONS: Potentially reduced efficacy and limited outcomes data indicate that
ACE inhibitors or ARBs should not routinely be initiated as monotherapy in black
hypertensive patients. Use in combination with a calcium channel blocker or
thiazide diuretic is efficacious in black patients, and there are no data showing
that this increases or decreases cardiovascular or cerebrovascular outcomes.
PMID- 29808708
TI - Alopecia areata and the gut-the link opens up for novel therapeutic
interventions.
AB - INTRODUCTION: This review aims to raise the potential of the modern society's
impact on gut integrity often leading to increased intestinal permeability, as a
cause or driver of Alopecia Areata (AA) in genetically susceptible people. With
the increasing rate of T cell-driven autoimmunity, we hypothesize that there is a
common root cause of these diseases that originates from chronic inflammation,
and that the gut is the most commonly exposed area with our modern lifestyle.
Areas covered: We will discuss the complexity in the induction of AA and its
potential link to increased intestinal permeability. Our main focus will be on
the gut microbiome and mechanisms involved in the interplay with the immune
system that may lead to local and/or peripheral inflammation and finally, tissue
destruction. Expert opinion: We have seen a link between AA and a dysfunctional
gastrointestinal system which raised the hypothesis that an underlying intestinal
inflammation drives the priming and dysregulation of immune cells that lead to
hair follicle destruction. While it is still important to resolve local
inflammation and restore the IP around the hair follicles, we believe that the
root cause needs to be eradicated by long-term interventions to extinguish the
fire driving the disease.
PMID- 29808709
TI - Differential Response of Bovine Mature Nucleus Pulposus and Notochordal Cells to
Hydrostatic Pressure and Glucose Restriction.
AB - Objective The nucleus pulposus of the human intervertebral disc contains 2 cell
types: notochordal (NC) and mature nucleus pulposus (MNP) cells. NC cell loss is
associated with disc degeneration and this process may be initiated by mechanical
stress and/or nutrient deprivation. This study aimed to investigate the
functional responses of NC and MNP cells to hydrostatic pressures and glucose
restriction. Design Bovine MNP and NC cells were cultured in 3-dimensional
alginate beads under low (0.4-0.8 MPa) and high (1.6-2.4 MPa) dynamic pressure
for 24 hours. Cells were cultured in either physiological (5.5 mM) glucose media
or glucose-restriction (0.55 mM) media. Finally, the combined effect of glucose
restriction and high pressure was examined. Results Cell viability and
notochordal phenotypic markers were not significantly altered in response to
pressure or glucose restriction. MNP cells responded to low pressure with an
increase in glycosaminoglycan (GAG) production while high pressure significantly
decreased ACAN gene expression compared with atmospheric controls. NC cells
showed no response in matrix gene expression or GAG production with either
loading regime. Glucose restriction decreased NC cell TIMP-1 expression but had
no effect on MNP cells. The combination of glucose restriction and high pressure
only affected MNP cell gene expression, with decreased ACAN, Col2alpha1, and
ADAMTS-5 expression. Conclusion This study shows that NC cells are more resistant
to acute mechanical stresses than MNP cells and provides a strong rationale for
future studies to further our understanding the role of NC cells within the disc,
and the effects of long-term exposure to physical stresses.
PMID- 29808710
TI - Respiratory muscle involvement in sarcoidosis.
AB - INTRODUCTION: In sarcoidosis, muscle involvement is common, but mostly
asymptomatic. Currently, little is known about respiratory muscle and diaphragm
involvement and function in patients with sarcoidosis. Reduced inspiratory muscle
strength and/or a reduced diaphragm function may contribute to exertional
dyspnea, fatigue and reduced health-related quality of life. Previous studies
using volitional and non-volitional tests demonstrated a reduced inspiratory
muscle strength in sarcoidosis compared to control subjects, and also showed that
respiratory muscle function may even be significantly impaired in a subset of
patients. Areas covered: This review examines the evidence on respiratory muscle
involvement and its implications in sarcoidosis with emphasis on pathogenesis,
diagnosis and treatment of respiratory muscle dysfunction. The presented evidence
was identified by a literature search performed in PubMed and Medline for
articles about respiratory and skeletal muscle function in sarcoidosis through to
January 2018. Expert commentary: Respiratory muscle involvement in sarcoidosis is
an underdiagnosed condition, which may have an important impact on dyspnea and
health-related quality of life. Further studies are needed to understand the
etiology, pathogenesis and extent of respiratory muscle involvement in
sarcoidosis.
PMID- 29808711
TI - Effect of a Structured Pharmaceutical Care Intervention Versus Usual Care on
Cardiovascular Risk in HIV Patients on Antiretroviral Therapy: INFAMERICA Study.
AB - BACKGROUND: HIV+ patients have increased their life expectancy with a parallel
increase in age-associated comorbidities. OBJECTIVE: To determine the
effectiveness of an intensive pharmaceutical care follow-up program in comparison
to a traditional model among HIV-infected patients with moderate/high
cardiovascular risk. METHOD: This was a multicenter, prospective, randomized
study of a structured health intervention conducted between January-2014 and June
2015 with 12 months of follow-up at outpatient pharmacy services. The selected
patients were randomized to a control group (usual care) or intervention group
(intensive pharmaceutical care). The interventional program included follow-up of
all medication taken by the patient to detect and work toward the achievement of
pharmacotherapeutic objectives related to cardiovascular risk and making
recommendations for improving diet, exercising, and smoking cessation. Individual
motivational interview and periodic contact by text messages about health
promotion were used. The primary end point was the percentage of patients who had
reduced the cardiovascular risk index, according to the Framingham-score.
RESULTS: A total of 53 patients were included. As regards the main variable,
20.7% of patients reduced their Framingham-score from high/very high to
moderate/low cardiovascular risk versus 12.5% in the control group ( P=0.016). In
the intervention group, the number of patients with controlled blood pressure
increased by 32.1% ( P=0.012); 37.9% of patients overall stopped smoking (
P=0.001), and concomitant medication adherence increased by 39.4% at the 48-week
follow-up ( P=0.002). Conclusion and Relevance: Tailored pharmaceutical care
based on risk stratification, motivational interviewing, and new technologies
might lead to improved health outcomes in HIV+ patients at greater cardiovascular
risk.
PMID- 29808712
TI - Uses and Perspectives of Aging Well Terminology in Taiwanese and International
Literature: A Systematic Review.
AB - The aim of this study is to examine aging well (AW) terminology in Taiwan in its
local and global contexts, and to suggest ways of communication by Taiwanese
professionals that is sensitive to the lay public's preferences. Researchers
conducted a systematic review using Khan et al.'s strategy, and Harden and
Thomas' method, to sift through seven databases and synthesize diverse studies on
AW. Primary aging well terms used in English and Chinese, their usage frequency
in Taiwanese academia, and one term uniquely used by lay people in Taiwan were
identified. The synthesized literature illustrated commonality as well as
diversity in use and interpretation of aging well terms within Taiwanese society
and compared with the Western-based research. More qualitative research is needed
to explore how AW is experienced, interpreted, and expected from lay perspectives
in Taiwan and other countries have primarily relied on translation and adaptation
of Western terms in their scientific research.
PMID- 29808714
TI - Effect of frailty on resource use and cost for Medicare patients.
AB - AIM: The effects of frailty and multiple chronic conditions (MCCs) on cost of
care are rarely disentangled in archival data studies. We identify the marginal
contribution of frailty to medical care cost estimates using Medicare data.
MATERIALS & METHODS: Use of the Faurot frailty score to identify differences in
acute medical events and cost of care for patients, controlling for MCCs and
medication use. RESULTS: Estimated marginal cost of frailty was US$10,690 after
controlling for demographics, comorbid conditions, polypharmacy and use of
potentially inappropriate medications. CONCLUSION: Frailty contributes greatly to
cost of care, but while often correlated, is not synonymous with MCCs. Thus, it
is important to control separately for frailty in studies that compare medical
care use and cost.
PMID- 29808713
TI - Age-related Beta-synuclein Alters the p53/Mdm2 Pathway and Induces the Apoptosis
of Brain Microvascular Endothelial Cells In Vitro.
AB - Increased beta-synuclein (Sncb) expression has been described in the aging visual
system. Sncb functions as the physiological antagonist of alpha-synuclein (Snca),
which is involved in the development of neurodegenerative diseases, such as
Parkinson's and Alzheimer's diseases. However, the exact function of Sncb remains
unknown. The aim of this study was to elucidate the age-dependent role of Sncb in
brain microvascular endothelial cells (BMECs). BMECs were isolated from the
cortices of 5- to 9-d-old Sprague-Dawley rats and were cultured with different
concentrations of recombinant Sncb (rSncb) up to 72 h resembling to some degree
age-related as well as pathophysiological conditions. Viability, apoptosis,
expression levels of Snca, and the members of phospholipase D2 (Pld2)/ p53/ Mouse
double minute 2 homolog (Mdm2)/p19(Arf) pathway, response in RAC-alpha
serine/threonine-protein kinase (Akt), and stress-mediating factors such as heme
oxygenase (decycling) 1 (Hmox) and Nicotinamide adenine dinucleotide phosphate
oxygenase 4 (Nox4) were examined. rSncb-induced effects were confirmed through
Sncb small interfering RNA (siRNA) knockdown in BMECs. We demonstrated that the
viability decreases, while the rate of apoptosis underly dose-dependent
alterations. For example, apoptosis increases in BMECs following the treatment
with higher dosed rSncb. Furthermore, we observed a decrease in Snca
immunostaining and messenger RNA (mRNA) levels following the exposure to higher
rScnb concentrations. Akt was shown to be downregulated and pAkt upregulated by
this treatment, which was accompanied by a dose-independent increase in p19(Arf)
levels and enhanced intracellular Mdm2 translocation in contrast to a dose
dependent p53 activation. Moreover, Pld2 activity was shown to be induced in
rSncb-treated BMECs. The expression of Hmox and Nox4 after Sncb treatment was
altered on BEMCs. The obtained results demonstrate dose-dependent effects of Sncb
on BMECs in vitro. For example, the p53-mediated and Akt-independent apoptosis
together with the stress-mediated response of BMECs related to exposure of higher
SNCB concentrations may reflect the increase in Sncb with duration of culture as
well as its impact on cell decay. Further studies, expanding on the role of Sncb,
may help understand its role in the neurodegenerative diseases.
PMID- 29808715
TI - Multi-stakeholder engagement in health services research.
PMID- 29808716
TI - Insulin Pump Therapy: Patient Practices and Glycemic Outcomes.
PMID- 29808717
TI - Factors influencing dabigatran or warfarin medication persistence in patients
with nonvalvular atrial fibrillation.
AB - : Factors influencing differences in persistence between dabigatran and warfarin
in patients with nonvalvular atrial fibrillation (NVAF) remain unclear. AIM:
Compare differences in persistence between new dabigatran and warfarin users in
patients newly diagnosed with NVAF, adjusting for sociodemographics, clinical
characteristics, patient out-of-pocket cost and other covariates. METHODS: A
retrospective matched-cohort study was conducted using a US claims database of
Medicare and commercially insured patients with NVAF aged>= 18 years. Persistence
and monthly out-of-pocket costs for dabigatran or warfarin were calculated and
adjusted for covariates using Cox proportional hazard models. RESULTS &
CONCLUSION: Unadjusted persistence was significantly lower among dabigatran users
(n = 1025) compared with matched warfarin users (38 vs 46%). Adjusting for
covariates rendered this difference insignificant (hazard ratio = 0.930).
PMID- 29808718
TI - Targeting of PP2Cdelta By a Small Molecule C23 Inhibits High Glucose-Induced
Breast Cancer Progression In Vivo.
AB - AIMS: Epidemiologic evidence indicates that diabetes may increase risk of breast
cancer (BC) and mortality in patients with cancer. The pathophysiological
relationships between diabetes and cancer are not fully understood, and
personalized treatments for diabetes-associated BC are urgently needed. RESULTS:
We observed that high glucose (HG), via activation of nuclear phosphatase
PP2Cdelta, suppresses p53 function, and consequently promotes BC cell
proliferation, migration, and invasion. PP2Cdelta expression is higher in tumor
tissues from BC patients with hyperglycemia than those with normoglycemia. The
mechanisms underlying HG stimulation of PP2Cdelta involve classical/novel protein
kinase-C (PKC) activation and GSK3beta phosphorylation. Reactive oxygen species
(ROS)/NF-kappaB pathway also mediates HG induction of PP2Cdelta. Furthermore, we
identified a 1,5-diheteroarylpenta-1,4-dien-3-one (Compound 23, or C23) as a
novel potent PP2Cdelta inhibitor with a striking cytotoxicity on MCF-7 cells
through cell-based screening assay for growth inhibition and activity of a group
of curcumin mimics. Beside directly inhibiting PP2Cdelta activity, C23 blocks HG
induction of PP2Cdelta expression via heat shock protein 27 (HSP27) induction and
subsequent ablation of ROS/NF-kappaB activation. C23 can thus significantly block
HG-triggered inhibition of p53 activity, leading to the inhibition of cancer cell
proliferation, migration, and invasion. In addition, hyperglycemia promotes BC
development in diabetic nude mice, and C23 inhibits the xenografted BC tumor
growth. Conclusions and Innovation: Our findings elucidate mechanisms that may
have contributed to diabetes-associated BC progression, and provide the first
evidence to support the possible alternative therapeutic approach to BC patients
with diabetes. Antioxid. Redox Signal. 00, 000-000.
PMID- 29808720
TI - The perils of PROMs: question 5 of the Oxford Hip Score is ambiguous to 10% of
English-speaking patients: a survey of 135 patients.
AB - INTRODUCTION: The Oxford Hip Score (OHS) is a commonly used patient-reported
outcome measure (PROM), comprising 12 questions. We present the incidental
finding that one of the 12 questions is ambiguous. MATERIALS AND METHODS: As part
of a 10-year follow-up of patients treated with hip resurfacing the OHS was
posted to 148 patients; 135 (91%) replied. Scores were read by 2 orthopaedic
surgery trainees and entered into a database. It was noted that Question 5 was
frequently mis-interpreted. RESULTS: Thirteen patients' questionnaires (10%)
showed the same inconsistency: question 5 was scored as 0 points but the other 11
questions were scored as either 3 or 4 in 97% of cases. The ethnic group of all
13 patients was recorded in hospital data as being White-British. CONCLUSION:
Question 5 of the OHS is ambiguous to 10% of native English-speakers. These
patients rated their hip function highly, as reflected by the fact that 97% of
the questions other than question 5 scored 3 or 4, indeed 87% of them scored 4.
We hypothesise that the wording of the zero score option "Not at all" is being
mis-interpreted as a response indicating that the patient does not suffer any
pain at all. The effect is an error of 4 points out of 48 (8%); this may under
estimate the patient's hip score. Surgeons are under great scrutiny to prove
efficacy of surgical interventions; this is often provided by PROMs. We should
strive to formulate the most accurate, reproducible and least ambiguous PROMs
questionnaires.
PMID- 29808719
TI - Pediatric Endocrinologists' Experiences With Continuous Glucose Monitors in
Children With Type 1 Diabetes.
PMID- 29808721
TI - Hip morphology predicts posterior hip impingement in a cadaveric model.
AB - INTRODUCTION: Posterior hip impingement is a recently-identified cause of hip
pain. The purpose of this study is to characterise posterior femoroacetabular and
ischiofemoral impingement and identify its predisposing morphologic traits.
METHODS: Two hundred and six cadaveric hips were randomly selected and taken
through controlled motion in two pure axes associated with posterior hip
impingement: external rotation (through the mechanical axis) and adduction
(coronal plane). The range of motion and location of impingement was noted for
each specimen. Morphologic traits including femoral/acetabular version, and true
neck-shaft angle (TNSA) were also measured. RESULTS: External rotation
impingement occurred between the femoral neck and acetabulum in 83.0% of hips,
and between the lesser trochanter and ischial tuberosity in 17.0%. Adduction
impingement occurred between the lesser trochanter and ischial tuberosity in
78.6% of hips, and between the femoral neck and acetabulum in 21.4%. Multiple
regression revealed that increased femoral/acetabular version predicted earlier
external rotation and adduction impingement. Unstandardised betas ranging from
0.39 to -0.64 reflect that each degree of increased femoral/acetabular version
individually accounts for a loss of external rotation or adduction of
approximately half a degree before impingement ( p < 0.001 for each). Increased
TNSA was associated with earlier adduction impingement only (unstandardised beta
0.35, p = 0.005). DISCUSSION: Relative femoral/acetabular anteversion was
associated with earlier posterior hip impingement. Coxa valga was associated with
earlier adduction impingement, but protective against external rotation
impingement. These findings highlight the importance of monitoring correction
during femoral/acetabular osteotomies, as overcorrection of retroversion may
predispose to earlier posterior impingement.
PMID- 29808722
TI - RNA sequencing to predict response to TNF-alpha inhibitors reveals possible
mechanism for nonresponse in smokers.
AB - BACKGROUND: Several studies have employed microarray-based profiling to predict
response to tumor necrosis factor-alpha inhibitors (TNFi) in rheumatoid arthritis
(RA); yet efforts to validate these targets have failed to show predictive
abilities acceptable for clinical practice. METHODS: The eighty most extreme
responders and nonresponders to TNFi therapy were selected from the observational
BiOCURA cohort. RNA sequencing was performed on mRNA from peripheral blood
mononuclear cells (PBMCs) collected before initiation of treatment. The
expression of pathways as well as individual gene transcripts between responders
and nonresponders was investigated. Promising targets were technically replicated
and validated in n = 40 new patients using qPCR assays. RESULTS: Before therapy
initiation, nonresponders had lower expression of pathways related to interferon
and cytokine signaling, while also showing higher levels of two genes, GPR15 and
SEMA6B (p = 0.02). The two targets could be validated, however, additional
analyses revealed that GPR15 and SEMA6B did not independently predict response,
but were rather dose-dependent markers of smoking (p < 0.0001). CONCLUSIONS: The
study did not identify new transcripts ready to use in clinical practice, yet
GPR15 and SEMA6B were recognized as candidate explanatory markers for the reduced
treatment success in RA smokers.
PMID- 29808723
TI - The effect of altering head length on corrosion using a material loss method.
AB - INTRODUCTION: Corrosion at head neck taper junctions in total hip arthroplasty
has increasingly been reported in the literature. Debate persists as to the exact
causes and clinical significance of corrosion. Increased offset and head length
has been correlated with an increased risk of tribocorrosion due to an adverse
mechanical environment. The purpose of this study is to assess the effect of head
length on corrosion of a metal-on-polyethylene articulation. METHODS: Retrievals
from a single institution of 28-mm cobalt chromium alloy heads with a 12/14 taper
from a single manufacturer were studied. Corrosion of femoral head bores were
studied utilising a material loss method. Testing was performed using co-ordinate
measuring for maximum linear wear depth. RESULTS: Fifty Six heads were examined
with lengths of either -3, 0, +4 or +8 mm and all had been in situ for a minimum
of 2 years. There were no significant differences in mean maximum linear wear
depth (MLWD) ( p = 0.6545). There was no correlation found between MLWD and the
time implants were in situ (Spearman coefficient -0.1157) and no significant
difference seen between high or standard offset stems ( p = 0.1336). CONCLUSION:
In contrast to studies using qualitative methodologies, there was no correlation
between head length and material loss when confined to a 28-mm head. Broad
application of this outcome should be cautioned against as this study examined 1
taper construct and a metal-on-polyethylene articulation.
PMID- 29808724
TI - Hip resurfacing in patients with severe osteoarthritis and blocked medullary
canal.
AB - INTRODUCTION: This study evaluated the effectiveness of hip resurfacing in
patients with femoral deformities or retained femoral implants. Implant removal
and conversion total hip replacement (CTHR) have been associated with increased
operative time, blood loss, and cost. Removing intramedullary nails in particular
can be difficult and can result in a more difficult recovery and/or
complications. Hip resurfacing can be performed with a blocked femoral canal and
has the possible additional benefits of a less-complex procedure, improved
functional outcomes, better patient survivorship, and a possibly less-complicated
revision should a failure occur. MATERIALS AND METHODS: The author performed hip
resurfacing in 61 patients (65 hips) with a blocked femur who had been advised
elsewhere that they could not undergo THR without also undergoing additional
surgical procedures. The perioperative complexity of resurfacing was evaluated by
recording operative time, blood loss and functional outcomes using the Harris Hip
Score (HHS) and Short-Form 12 (SF-12) questionnaire. Implant survivorship was
evaluated by the need for revision surgery. RESULTS: At 9 years' mean follow-up
(range 5-19 years), 59 of the 61 patients presented for postoperative evaluation.
The mean operative time was 104 minutes and the mean blood loss was 300 cc. The
mean HHS improved from 41 to 92 ( p < 0.001) and the mean SF-12 physical and
mental scores improved from 26 to 49 and from 44 to 54, respectively ( p <
0.001). None of the procedures failed or required revision. CONCLUSION: Canal
sparing hip resurfacing is a successful and less complicated option than CTHR
when there is deformity or retained implant blocking the femoral canal.
PMID- 29808725
TI - Hip surgeons and leg length inequality after primary hip replacement.
AB - BACKGROUND: This study reports the results of 2 separate surveys of British Hip
Society (BHS) members relating to leg length inequality (LLI) after primary total
hip replacement (THR). Survey 1: Investigates the members' opinions on the effect
of LLI on the outcome of THR and explores the acceptable limits of LLI. Survey 2:
Reports on the intraoperative techniques currently used by BHS members to
minimise LLI after THR. Results - Survey 1: 97% of all surgeons completing the
survey believed that LLI can affect the outcome of THR. Results - Survey 2: All
surgeons reported using at least 1 intraoperative technique for assessing leg
length with a median of 5 techniques. Over 50% of surgeons use 2 or more tests.
Conclusion - Survey 1: 89% of surgeons agreed that 15 mm of LLI after primary
uncomplicated THR was always acceptable. 90% of surgeons felt that LLI more than
22.74 mm was never acceptable. Conclusion - Survey 2: Despite the multiple
published papers on various methods of assessing leg length intraoperatively, the
problem of LLI post THR persists. This study highlights the need for further
research to develop a simple intraoperative technique with high accuracy and
reproducibility.
PMID- 29808726
TI - Liposomal bupivacaine reduces opioid consumption and length of stay in patients
undergoing primary total hip arthroplasty.
AB - BACKGROUND: Optimising postoperative pain management after total hip arthroplasty
(THA) has been associated with improved patient outcomes. However, conclusions
regarding the role of liposomal bupivacaine (LB) during THA remain mixed. The
purpose of this study was to determine whether substituting a standard
intraoperative wound infiltrate with LB as part of a multimodal pain management
protocol would decrease subsequent opioid consumption and overall length of
hospital stay in patients undergoing primary THA. METHODS: Data was
retrospectively collected on 170 consecutive patients who underwent primary THA
at a single institution from January 2014 to October 2014. Outcomes from the
first 85 patients who received intraoperative LB were compared to the prior 85
patients who received a standard intraoperative "cocktail" without LB. The
remainder of the multimodal pain management protocol was identical between
groups. RESULTS: Total continuous and categorical postoperative hospital opioid
consumption rates in the LB subgroup were significantly lower than the non-LB
subgroup ( p < 0.001). The use of LB was associated with a relative reduction in
opioid consumption on the day of surgery ( p = 0.001), postoperative day 1 ( p <
0.001), postoperative day 2 ( p < 0.001) and postoperative day 3 ( p < 0.001).
Patients who received LB had decreased length of stay ( p = 0.001) and were
discharged on lower doses of opioids. CONCLUSION: Substituting to LB from a
standard wound infiltrate during primary THA, in addition to our standard
multimodal pain management protocol, resulted in significantly lower
postoperative opioid consumption and decreased length of stay.
PMID- 29808727
TI - Calcium Oxalate Urolithiasis: A Case of Missing Microbes?
AB - INTRODUCTION: Urinary stone disease (USD) has known associations with the gut
microbiota. Approximately 80% of kidney stones contain oxalate as a primary
constituent and diverse oxalate-degrading bacteria exist within the human gut,
which may protect against USD. Although bacteriotherapy represents a promising
strategy to eliminate oxalate and reduce the risk of USD, oxalate-degrading
probiotics have had limited success. To identify limitations of oxalate-degrading
probiotics and refine development of bacteriotherapies to prevent USD, we review
the literature associated with the gut microbiota and USD. MATERIALS AND METHODS:
A literature search was performed to identify publications that examine the role
of oxalate-degrading bacteria or the whole gut microbiota in oxalate metabolism
and the pathophysiology of USD. We conducted a meta-analysis of studies that
examined the association of the whole gut microbiota with USD. In addition, we
evaluated the gut microbiota of healthy individuals and those with comorbidities
related to USD using publically available data from the American Gut Project
(AGP). RESULTS: Studies on Oxalobacter formigenes reveal that colonization by
this species is not a good predictor of USD risk or urinary oxalate excretion.
The species of oxalate-degrading bacteria used in probiotics and duration of
administration do not impact efficacy or persistence. Studies focused on the
whole gut microbiota reveal broad shifts in the gut microbiota associated with
USD and a diverse microbial network is associated with oxalate metabolism. AGP
data analysis demonstrated a strong overlap in microbial genera depleted in
diseased individuals among USD and comorbidities. CONCLUSIONS: The associations
between the gut microbiota and USD extend beyond individual functional microbial
species. Common shifts in the gut microbiota may facilitate the onset of USD
and/or comorbidities. The successful development of bacteriotherapies to inhibit
USD will need to incorporate strategies that target a broad diversity of bacteria
rather than focus on a few specialist species.
PMID- 29808729
TI - Gluten-free biscuits based on composite rice-chickpea flour and xanthan gum.
AB - A gluten-free biscuit for celiac children based on composite rice-chickpea flour
was developed. Xanthan gum was used to overcome the handling difficulties
associated with the absence of gluten in the rice-chickpea flour. The effect of
different levels of xanthan gum incorporation (0.5, 1, and 1.5% of flour) on the
texture and rheological properties of the dough and on the texture, dimensions,
moisture, water activity (aw), and sensory acceptability of the biscuit was
studied. The incorporation of xanthan gum into rice-chickpea flour significantly
affected the textural and linear viscoelastic properties of the dough, as well as
the texture, weight, moisture, aw, and dimensions of the biscuits. Increasing the
xanthan gum level increases the hardness and elasticity of the dough, and
decreases its springiness, cohesiveness, and adhesiveness. The addition of
xanthan gum resulted in a significant improvement in the thickness and specific
volume of biscuits. Xanthan gum water holding capacity increases the moisture
content and the aw of the baked biscuits and reduces biscuit hardness. In terms
of sensory acceptability, xanthan gum slightly reduces rice-chickpea flour
biscuit acceptability, but the differences were not significant. Consequently,
using xanthan gum to achieve the proper technological properties of dough
required for the industrial production of gluten-free rice-chickpea flour
biscuits is a viable alternative.
PMID- 29808728
TI - Bone transport for reconstruction of large bone defects after tibial tumor
resection: a report of five cases.
AB - This study was performed to explore the clinical efficacy of bone transport using
external fixation for treatment of large bone defects after tibial tumor
resection in five patients. Bone transport started 14 days postoperatively at 1
mm/day and was adjusted according to the callus-to-diameter ratio. The bone
transport time, bone graft fusion, relapse, and metastasis were recorded.
Clinical efficacy was evaluated using the Musculoskeletal Tumor Society (MSTS)
scoring system. The tumors included osteosarcoma (n=2), Ewing sarcoma (n=1),
malignant schwannoma (n=1), and hemangioma (n=1). The average bone defect length
after resection was 11.6 cm. The five patients were followed up for an average of
50.8 months, and the average bone transport time was 15.5 months. Three patients
who underwent postoperative chemotherapy were followed for 22.7 months, and two
who did not undergo chemotherapy were followed for 4.75 months. Four patients
underwent iliac bone grafting, and one underwent vascular pedicle fibular
transplantation. The average MSTS score was 21.2 (19.3 for patients who underwent
chemotherapy and 24.0 for patients who did not). No relapse or metastasis was
observed. Bone transport is effective for reconstruction of large bone defects
after tibial tumor resection as well as tibial malignancies with high doses of
chemotherapy.
PMID- 29808730
TI - Ring versus non-ring plate for the treatment of displaced scapular body
fractures: a retrospective study with a mean follow-up of 5 years.
AB - Objective To compare surgical complications and functional outcomes of the ring
plate versus the non-ring plate approach to the surgical repair of scapular body
fractures (SBFs; AO/OTA classification: 14-A2.2). Methods This retrospective
study reviewed data from adults with SBFs who underwent a modified Judet approach
combined with non-ring or ring plates between November 2006 and June 2013. The
primary outcomes were the Constant and Murley score and the Disabilities of the
Arm, Shoulder and Hand (DASH) score. The secondary outcomes were radiographic
findings. Results A total of 318 patients had a non-ring or ring plate internal
fixation, of which 147 patients (ring-treated, n = 72; non-ring-treated, n = 75)
were evaluated with a mean follow-up period of 60 months. At the 3-month follow
up, the complication rate was 2.8% and 13.3% for the ring-treated and non-ring
treated groups, respectively. The difference persisted over time, with
significantly different rates of 8.3% and 20.0% at the final follow-up for the
ring and non-ring groups, respectively. The ring-treated group had significantly
higher postoperative Constant and Murley scores and lower DASH scores compared
with the non-ring-treated group. Conclusion Application of a modified Judet
approach combined with ring plate internal fixation for the treatment of SBFs may
be the preferred treatment option.
PMID- 29808731
TI - Discrepancy and contradiction regarding fixation of hip stems with or without
cement: survey among 765 hip arthroplasty specialists.
AB - INTRODUCTION: The growing use of cementless stems is associated with an increase
in implant-related complications. This global survey study investigates which
parameters orthopaedic surgeons currently consider for either cemented or
cementless stem fixation in primary total hip arthroplasty (THA). METHODS: A
survey regarding current practice patterns was distributed among hip arthroplasty
specialists. Key questions concerned: (i) frequency of using cemented/cementless
stems; (ii) frequency of using parameters which influence a choice between both;
(iii) usage of specific cut-off values for parameters. RESULTS: 507 (out of 765)
respondents, having at least 5 years' experience and performing at least 50 cases
a year, were selected for analysis. Respondents using both fixation techniques (
n = 413; 81%) use a cementless stem in 69% and a cemented stem in 31%. The choice
is most frequently based on: (i) patient age; (ii) cortical thickness; (iii)
femoral canal shape; (iv) bone mineral density; and (v) stem fit on preoperative
templating. 57% using both fixation techniques do not use a specific cut-off
value for age. 92% of the respondents that do use a cut-off value for age use
exclusively cemented stems in patients being ?72.7 years (median 75; range 50-90
years). Considerable discrepancy and even contradictory responses were present
regarding the other parameters and the use of specific cut-off values.
CONCLUSIONS: Current practice patterns regarding stem fixation in primary THA
vary substantially among orthopaedic surgeons. Evidence-based guidelines are
needed to define which parameters and threshold values support the best
individual choice for hip stem fixation.
PMID- 29808732
TI - The Heterogeneity of Disability Trajectories in Later Life: Dynamics of
Activities of Daily Living Performance Among Nursing Home Residents.
AB - OBJECTIVE: This study investigated the variability in activities of daily living
(ADL) trajectories among 6,155 nursing home residents using unique and rich
observational data. METHOD: The impairment in ADL performance was considered as a
dynamic process in a multi-state framework. Using an innovative mixture model,
such states were not defined a priori but inferred from the data. RESULTS: The
process of change in functional health differed among residents. We identified
four latent regimes: stability or slight deterioration, relevant change,
variability, and recovery. Impaired body functions and poor physical performance
were main risk factors associated with degradation in functional health.
DISCUSSION: The evolution of disability in later life is not completely gradual
or homogeneous. Steep deterioration in functional health can be followed by
periods of stability or even recovery. The current condition can be used to
successfully predict the evolution of ADL allowing to set and target different
care priorities and practices.
PMID- 29808733
TI - Prevalence of Obesity, Prediabetes, and Diabetes in Sexual Minority Women of
Diverse Races/Ethnicities: Findings From the 2014-2015 BRFSS Surveys.
AB - Purpose The purpose of this study is to assess the weighted prevalence and odds
ratios of obesity, prediabetes, and diabetes by (1) female sexual orientation
(lesbian, bisexual, and straight) with racial/ethnic (Hispanic, non-Hispanic
black, and non-Hispanic white) groups combined and (2) across and within
racial/ethnic groups by sexual orientation. Methods A secondary analysis of
pooled 2014-2015 Behavioral Risk Factor Surveillance System data from 28 states
(N = 136 878) was conducted. Rao-Scott chi-square test statistics were computed
and logistic regression models were developed to assess weighted prevalence and
odds ratios of obesity, prediabetes, and diabetes with adjustments for
demographics (age, income, and education), depression, and health care access
factors. Results With racial/ethnic groups combined, lesbian and bisexual women,
relative to straight women, had a significantly increased likelihood for obesity
when controlling for demographics. Bisexual women were found to have
significantly reduced odds for diabetes, compared with straight women, with
adjustments for demographics, depression, and health care access factors.
Compared with their non-Hispanic white counterparts, Hispanic lesbian women had
significantly increased odds for obesity and diabetes, while non-Hispanic black
bisexual women had a significantly greater likelihood for obesity, holding
demographics, depression, and health care access factors constant. Non-Hispanic
white lesbian women had an increased likelihood for obesity relative to their
straight, ethnic/racial counterparts. Prediabetes subsample analysis revealed the
prevalence was low across all female sexual orientation groups. Conclusion Sexual
minority women, particularly those of color, may be at increased risk for obesity
and diabetes. Research is needed to confirm the findings.
PMID- 29808734
TI - Suitable albumin concentrations for enhanced drug oxidation activities mediated
by human liver microsomal cytochrome P450 2C9 and other forms predicted with
unbound fractions and partition/distribution coefficients of model substrates.
AB - Albumin has reportedly enhanced cytochrome P450 (P450)-mediated drug oxidation
rates in human liver microsomes. Consequently, measurements of clearances and
fractions metabolized could vary depending on the experimental albumin
concentrations used. In this study, the oxidation rates of diclofenac and
warfarin by human liver microsomes were significantly enhanced in the presence of
0.10% (w/v) bovine serum albumin, whereas those of tolbutamide and phenytoin
required 1.0% and 2.0% of albumin for significant enhancement. Values of the
fractions metabolized by P450 2C9 for four substrates did not markedly change in
the presence of albumin at the above-mentioned concentrations. The oxidation
rates of bupropion, omeprazole, chlorzoxazone and phenacetin in human liver
microsomes were reportedly enhanced by 0.5%, 1%, 2% and 2% of albumin,
respectively. Analysis of reported intrinsic clearance values and suitable
albumin concentrations for the currently analyzed substrates and the reported
substrates revealed an inverse correlation, with warfarin as an outlier. Suitable
albumin concentrations were multivariately correlated with physicochemical
properties, that is, the plasma unbound fractions, octanol-water partition
coefficient and acid dissociation constant (r = 0.98, p<.0001, n = 10).
Therefore, multiple physicochemical properties may be determinants of suitable
albumin concentrations for substrate oxidations in human liver microsomes.
PMID- 29808736
TI - Chondroinductive Peptides: Drawing Inspirations from Cell-Matrix Interactions.
AB - In the field of regenerative medicine, creating a biomaterial device with the
potential alone to affect cellular fate is a desirable translational strategy.
Native tissues and growth factors are attractive candidates to provide desired
signals in a biomaterial environment. However, these molecules can have
translational challenges such as high cost, complicated regulatory pathways,
and/or limitations with reproducibility. In regenerative medicine, there is a
burgeoning community of investigators who seek to overcome these challenges by
introducing synthetic peptides to mimic the desirable signals provided by growth
factors and tissue matrices. Since in cartilage tissue, cell-adhesion signaling
mediates cell migration, growth, and differentiation, synthetic peptides that
mimic a desired cell-adhesion sequence may help to control cellular fate. This
review emphasizes the value of the signaling ability of peptides, specifically in
the cartilage regeneration field. The primary challenge in cartilage regeneration
is to regenerate true hyaline cartilage instead of a fibrous tissue. The vision
is to create materials that take advantage of the signaling abilities of peptides
and that themselves induce chondrogenesis without the need for tissue-derived
matrix or growth factors, which could potentially revolutionize arthritis
prevention and treatment.
PMID- 29808735
TI - Lumbar spondylolisthesis is a risk factor for osteoporotic vertebral fractures: a
case-control study.
AB - Objective This study aimed to identify the risk factors for vertebral compression
fractures in patients with osteoporosis. Methods A total of 864 patients with
osteoporosis were enrolled in a retrospective study from February 2010 to June
2016. Patients with diseases, such as pathological fractures, high-energy direct
injury to the thoracic or lumbar vertebrae, and severe spinal deformity, were
excluded. The patients were divided into two groups: those with vertebral
compression fractures (288) and those with no vertebral compression fractures
(576). Information on the patients' age, sex, lumbar bone mineral density (BMD),
trauma, body mass index, previous history of vertebral compression fractures, and
spondylolisthesis was recorded. Logistic regression analysis and the chi-square
test were applied for comparisons. Results Univariate logistic regression
analysis and chi-square test results showed no significant differences in age,
sex, body mass index, type 2 diabetes, previous history of vertebral fracture,
and trivial trauma between the groups. Multivariate analysis showed significant
associations between spondylolisthesis and BMD. Logistic regression analysis
showed that spondylolisthesis and BMD were risk factors for vertebral compression
fractures. Conclusions Lumbar spondylolisthesis is an independent risk factor for
vertebral compression fractures in patients with osteoporosis. Therefore,
patients with osteoporosis and lumbar spondylolisthesis require more attention.
PMID- 29808737
TI - Easier operation and similar power of 10 g monofilament test for screening
diabetic peripheral neuropathy.
AB - Objective The 10 g Semmes-Weinstein monofilament evaluation (SWME) of 4 sites on
each foot is recommended for distal symmetric polyneuropathy screening and
diagnosis. A similar method has been proposed to diagnose 'high-risk' (for
ulceration) feet, using 3 sites per foot. This study compared the effectiveness
of SWME for testing 3, 4 and 10 sites per foot to identify patients with diabetic
neuropathy. Methods We included 3497 subjects in a SWME of 10 sites; records from
the 10-site SWME were used for a SWME of 3 and 4 sites. Neuropathy symptom scores
and neuropathy deficit scores were evaluated to identify patients with diabetic
peripheral neuropathy. Results The sensitivities of the 10 g SWME for 3, 4 and 10
sites were 17.8%, 19.0% and 22.4%, respectively. The Kappa coefficients for the
SWME tests of 3, 4 and 10 sites were high (range: 0.78-0.93). Conclusions There
were no significant differences in the effectiveness of 3-, 4- and 10-site SWME
testing for diabetic peripheral neuropathy screening. SWME testing of 3 sites on
each foot may be sufficient to screen for diabetic neuropathy.
PMID- 29808738
TI - Impacts of a Documentary about Masculinity and Men's Health.
AB - As part of a larger study, we developed a three-part documentary called Man Up
that explored the relationship between masculinity, mental health, and suicide.
In this study, we examine in detail the qualitative feedback provided by those
who viewed Man Up, in order to gain a more in-depth understanding of its impact
on them. A total of 169 participants provided qualitative feedback via an online
survey 4 weeks after viewing Man Up. We examined their opinions about the show
and whether they reported any changes in their attitudes and/or behaviors as a
result of watching it. All the men who provided feedback on Man Up were
overwhelmingly positive about it. The majority reported significant and profound
impacts of viewing the documentary. They reported being more aware of others,
more willing to help others, and more open about their emotions and problems, as
well as demonstrating associated behavioral changes related to helping others and
being more emotionally expressive. The data presented here demonstrate the
potential for men's health outcomes to be positively impacted by novel, media
based public health interventions.
PMID- 29808739
TI - Apparent Hyperthyroidism Caused by Biotin-Like Interference from IgM Anti
Streptavidin Antibodies.
AB - BACKGROUND: Exclusion of analytical interference is important when there is
discrepancy between clinical and laboratory findings. However, interferences on
immunoassays are often mistaken as isolated laboratory artefacts. The mechanism
of a rare cause of interference in two patients that caused erroneous thyroid
function tests, and also affects many other biotin dependent immunoassays, was
characterized and reported. PATIENT FINDINGS: Patient 1 was a 77-year-old female
with worsening fatigue while taking carbimazole over several years. Her thyroid
function tests, however, were not suggestive of hypothyroidism. Patient 2 was a
25-year-old female also prescribed carbimazole for apparent primary
hyperthyroidism. Despite an elevated free thyroxine, the lowest thyrotropin on
record was 0.17 mIU/L. In both cases, thyroid function tests performed by an
alternative method were markedly different. Further characterization of both
patients' serum demonstrated analytical interference on many immunoassays using
the biotin-streptavidin interaction. Sandwich assays (e.g., thyrotropin, follicle
stimulating hormone, troponin T, beta-human chorionic gonadotropin) were falsely
low, while competitive assays (e.g., free thyroxine, free triiodothyronine, TSH
binding inhibitory immunoglobulin) were falsely high. Pre-incubation of serum
with streptavidin microparticles removed the analytical interference, initially
suggesting the cause of interference was biotin. However, neither patient had
been taking biotin. Instead, a ~100 kDa immunoglobulin M (IgM) immunoglobulin
with high affinity to streptavidin was isolated from each patient's serum. The
findings confirm IgM anti-streptavidin antibodies as the cause of analytical
interference. SUMMARY: Two patients with apparent hyperthyroidism as a result of
analytical interference caused by IgM anti-streptavidin antibodies are described.
CONCLUSION: Analytical interference identified on one immunoassay should raise
the possibility of other affected results. Characterization of interference may
help to identify other potentially affected immunoassays. In the case of anti
streptavidin antibodies, the pattern of interference mimics that due to biotin
ingestion. However, the degree of interference varies between individual assays
and between patients.
PMID- 29808740
TI - Establishing the Need for an Evidence-Based Treatment Algorithm for Peritonsillar
Abscess in Children.
AB - In this retrospective case series, we report clinical factors associated with
pediatric peritonsillar abscess (PTA), with hopes of contributing to the design
of an evidenced-based, economic treatment approach. Charts were examined for
presenting symptoms and signs. Each of these were analyzed for association with
the presence of PTA and for association with treatment. We found that, with the
exception of leukocytosis, the signs/symptoms that prompted treatment correlate
with those that indicate the presence of PTA. However, there are several
signs/symptoms, namely, referred otalgia, cervical lymphadenopathy, and decreased
oral intake, that were associated with PTA but unassociated with treatment.
Treatment can be aided by establishing an algorithm that accounts for the
symptoms/signs most correlated with true, drainable abscess.
PMID- 29808741
TI - Adequate or Inadequate? The Volume of Blood Submitted for Blood Culture at a
Tertiary Children's Hospital.
AB - The volume of blood sampled for culture critically influences the results. This
study aimed to determine (1) the volume of blood submitted for culture, (2) the
proportion of blood cultures with adequate volume, (3) whether measured
improvement from a previous educational intervention had been sustained, and (4)
the impact of blood volume on culture result. The volume of blood submitted for
cultures was determined over a 13-month period by weighing bottles before and
after collection and before and after an educational intervention. The volume of
blood submitted in 5127 culture bottles were measured. Fewer than 50% of all
cultures were deemed adequate. A significant pathogen was isolated in 4.7% of
blood cultures, and low-volume cultures were more likely to yield contaminant
isolates (47/2422 [1.9%] vs 22/2705 [0.8%], P = .0005). Subsequently, the higher
rate of contaminant isolates from low-volume cultures may affect selection and
rationalization of antibiotic therapy.
PMID- 29808742
TI - Internal iliac artery balloon occlusion as a hemostatic method for spontaneous
rupture of vulvar hematoma during delivery: A case report.
AB - Spontaneous rupture of a vulvar hematoma during delivery is a relatively uncommon
event and may cause excessive hemorrhage. Exact identification of anatomic
structures and bleeding points is challenging. We herein present a case involving
a pregnant woman at 39 weeks' gestation with a large vulvar hematoma that
spontaneously ruptured during the second stage of labor, likely due to rupture of
varices in the vulva or vagina. It was difficult to accurately expose and suture
the deep bleeding points. The estimated blood loss volume was 1591 mL, and the
hemoglobin concentration dropped from 132 g/L before delivery to 84 g/L after
delivery. To prevent hemorrhagic shock, bilateral internal iliac artery balloon
occlusion was performed and proved to be an effective way to achieve hemostasis.
Once hemostasis was established, ligation of the bleeding sites and suturing of
all dead space were rapidly completed. Bilateral internal iliac artery balloon
occlusion can be used as an effective treatment for excessive vaginal bleeding.
The presence of varices or hemangiomas in the vulva or vagina should be carefully
checked during antenatal care.
PMID- 29808743
TI - Emotional well-being and social support in social housing neighbourhoods in
China.
AB - Objective This study investigated the effect of the number of close friends
(within and outside of social housing neighbourhoods) on the emotional well-being
of men and women in social housing neighbourhoods in China. Methods Data (n =
535) were collected in 13 social housing neighbourhoods in Guangzhou from
September to December 2013 using the random sample method. The t-test, chi-square
test, and linear regression analysis were used to analyse the factors influencing
residents' emotional well-being. Results Having a greater number of close friends
living within the social housing neighbourhood had a significantly positive
association with the respondents' emotional well-being (regression coefficient =
0.473). The number of close friends living in nearby neighbourhoods was only
positively related to women's emotional well-being (coefficient = 0.433).
Conclusions These results highlight the importance of friendship for residents'
emotional well-being. Especially for women, friendship outside the social housing
neighbourhood is essential for good mental health. This conclusion is based on
analysis of cross-sectional data, and reflects the directionality of social
associations. The mental health of the poor warrants greater attention in China.
PMID- 29808745
TI - Validation of Intracranial Pressure-Derived Cerebrovascular Reactivity Indices
against the Lower Limit of Autoregulation, Part II: Experimental Model of
Arterial Hypotension.
AB - The aim of this work was to explore the relationship between intracranial
pressure (ICP)-derived indices of cerebrovascular reactivity and the lower limit
of autoregulation (LLA) during arterial hypotension. We retrospectively reviewed
recorded physiological data from piglets that underwent controlled hypotension.
Hypotension was induced by inflation of a balloon catheter in the inferior vena
cava. ICP, cortical laser Doppler flowmetry (LDF), and arterial blood pressure
(ABP) monitoring was conducted. ICP-derived indices were calculated: pressure
reactivity index (PRx; correlation between ICP and mean arterial pressure [MAP]);
pulse amplitude index (PAx; correlation between pulse amplitude of ICP [AMP] and
MAP); and RAC (correlation between AMP and cerebral perfusion pressure [CPP]).
LLA was estimated by piece-wise linear regression of CPP versus LDF. We produced
error bar plots for PRx, PAx, and RAC against 5-mm Hg bins of CPP, displaying the
relationship with the LLA. We compared CPP values at clinically relevant
thresholds of PRx, PAx, and RAC to CPP measured at the LLA. Receiver operating
curve (ROC) analysis was performed for each index across the LLA using 5-mm Hg
bins for CPP. Mean LLA was 36.2 +/- 10.5 mm Hg. Error bar plots demonstrated that
PRx, PAx, and RAC increased, with CPP decreasing below the LLA. CPP at clinically
relevant thresholds for PRx, PAx, and RAC displayed weak associations with the
LLA, indicating that thresholds defined in TBI may not apply to a model of
arterial hypotension. ROC analysis indicated that PRx, PAx, and RAC predicted the
LLA, with AUCs of: 0.806 (95% confidence interval [CI], 0.750-0.863; p < 0.0001),
0.726 (95% CI, 0.664-0.789; p < 0.0001), and 0.710 (95% CI, 0.646-0.775; p <
0.0001), respectively. Three ICP-derived continuous indices of cerebrovascular
reactivity, PRx, PAx, and RAC, were validated against the LLA within this
experimental model of arterial hypotension, with PRx being superior.
PMID- 29808746
TI - Early radiological and functional outcomes for a cementless press-fit design
modular femoral stem revision system.
AB - AIM: To assess early radiological and functional outcomes of revision hip surgery
with a cementless press-fit design femoral stem. METHODS: A retrospective review
of 48 consecutive revision total hip replacements using the RECLAIM revision hip
system, between October 2012 and August 2015. Radiographic assessment was
undertaken with serial anteroposterior (AP) X-rays of the pelvis. Risk factors
for subsidence were evaluated. Prospective clinical follow up was performed on 21
patients to assess functional outcomes. RESULTS: Mean stem subsidence was 1.1 mm
(95% confidence interval[CI]: 0.63-1.57). Median follow up of 12 months. An
inverse relationship was observed between level of subsidence and femoral stem
diameter r = -0.45, p = 0.001. Subsidence at the time of follow-up assessment was
correlated with initial subsidence (correlation coefficient rho 0.69, p = 0.001).
The mean Merle d'Aubigne score at the latest follow up was 14.2 (range 8-17). The
mean OHS was 34.1 (range 15-48). CONCLUSION: Early radiological and functional
outcomes for the RECLAIM revision system showed very low levels of subsidence and
good functional outcomes. There was an association with smaller diameter femoral
stems and greater levels of subsidence.
PMID- 29808744
TI - Increased risk of atrial fibrillation among patients undergoing coronary artery
bypass graft surgery while receiving nitrates and antiplatelet agents.
AB - Background Postoperative atrial fibrillation (POAF) is a frequent complication of
coronary artery bypass graft (CABG) surgery. This arrhythmia occurs more
frequently among patients who receive perioperative inotropic therapy (PINOT).
Administration of nitrates with antiplatelet agents reduces the conversion rate
of cyclic guanosine monophosphate to guanosine monophosphate. This process is
associated with increased concentrations of free radicals, catecholamines, and
blood plasma volume. We hypothesized that patients undergoing CABG surgery who
receive PINOT may be more susceptible to POAF when nitrates are administered with
antiplatelet agents. Methods Clinical records were examined from a prospectively
maintained cohort of 4,124 patients undergoing primary isolated CABG surgery to
identify POAF-associated factors. Results POAF risk was increased among patients
receiving PINOT, and the greatest effect was observed when nitrates were
administered with antiplatelet therapy. Adjustment for comorbidities did not
substantively change the study results. Conclusions Administration of nitrates
with certain antiplatelet agents was associated with an increased POAF risk among
patients undergoing CABG surgery. Additional studies are needed to determine
whether preventive strategies such as administration of antioxidants will reduce
this risk.
PMID- 29808747
TI - Patient claims in prosthetic hip infections: a comparison of nationwide incidence
in Sweden and patient insurance data.
AB - Background and purpose - Patients in Sweden are insured against avoidable patient
injuries. Prosthetic joint infections (PJIs) resulting from intraoperative
contamination are regarded as compensable by the Swedish public insurance system.
According to the Patient Injury Act, healthcare personnel must inform patients
about any injury resulting from treatment and the possibility of filing a claim.
To analyze any under-reporting of claims and their outcome, we investigated
patients' claims of PJI in a nationwide setting Patients and methods - The
national cohort of PJI after primary total hip replacement, initially operated
between 2005 and 2008, was established through cross-matching of registers and
review of individual medical records. We analyzed 441 PJIs and the number of
filed patients' claims, with regards to incidence, outcome, and any national, sex
linked or socioeconomic differences. Results - We identified 329/441 (75%)
patients with PJIs as non-claimants. 96% of the filed claims were accepted. 64
(57%) of claimants sustained permanent disability. 2 factors were found to
statistically significantly reduce the odds of filing claims: patient's age above
73 years and fracture as indication for surgery. There were no significant
national, sex-linked, or socioeconomic differences. Interpretation - The
incidence of patients' claims of PJI is low but claims are usually accepted when
filed. Healthcare personnel should increase their knowledge of the Patient Injury
Act to inform patients about possibilities of eligible compensation.
PMID- 29808749
TI - How effective are interventions in improving dietary behaviour in low- and middle
income countries? A systematic review and meta-analysis.
AB - Several interventions encouraging people to change their diet have been tested in
low- and middle-income countries (LMICs) but these have not been meta-synthesised
and it is not known which elements of these interventions contribute to their
effectiveness. The current review addressed these issues. Randomised controlled
trials of dietary interventions in LMICs were eligible and identified via eight
publication databases. Elements of both the intervention and comparison groups
(e.g., behaviour change techniques (BCTs), delivery mode), participant
characteristics and risk of bias were coded. Random effects meta-analysis of 76
randomised controlled trials found, on average, small- to medium-sized but highly
heterogeneous improvement in dietary behaviour following an intervention. Small
and homogeneous improvements were found for BMI/weight, waist- and hip
circumference, with medium-sized, but heterogeneous, improvements in blood
pressure and cholesterol. Although many BCTs have yet to be tested in this
context, meta-regressions suggested some BCTs (action planning, self-monitoring
of outcome(s) of behaviour; demonstration of behaviour) as well as individually
randomised trials, adult- or hypertensive-samples and lack of blinding were
associated with larger dietary behaviour effect sizes. Interventions to encourage
people from LMICs to change their diet produce, on average, small-to-medium-sized
effects. These effects may possibly be increased through the inclusion of
specific BCTs and other study elements.
PMID- 29808751
TI - Response to concerns about manuscript - B Yankey et al.
PMID- 29808750
TI - Perioperative and Anesthetic Considerations in Truncus Arteriosus.
AB - Truncus arteriosus is a congenital cardiac lesion in which failure of embryonic
truncal septation results in a single semilunar valve and single arterial trunk
providing both pulmonary and systemic circulations. Most patients with this
lesion are symptomatic in the neonatal period with cyanosis and/or congestive
heart failure and undergo complete repair in the first weeks of life. This review
will focus on the anatomy, physiology, and perioperative anesthetic management of
patients with truncus arteriosus.
PMID- 29808748
TI - Population Characteristics and Needs of Informal Caregivers Associated With the
Risk of Perceiving a High Burden: A Cross-Sectional Study.
AB - This study explored the population characteristics and needs of informal
caregivers reporting a low or high burden. A cross-sectional study was conducted
in the Netherlands to explore the associations between the characteristics and
needs of informal caregivers and the burden they perceive and to assess the
variance in perceived burdens that is explained by these variables. Three
thousand sixty-seven adult informal caregivers and 1936 senior informal
caregivers participated, almost 15% of whom perceived a high burden. Particularly
caregivers in the 40 to 54 age group perceived a high burden, while caregivers
with an intermediate educational level reported a low burden. Higher burden was
also reported by caregivers who spent more time on the care provision tasks, had
a high level of depressive symptoms, or reported loneliness. The explored
variables seem to be important to explain caregiver burden. Longitudinal research
is warranted to establish the causal directions of these associations.
PMID- 29808752
TI - Coffee, caffeine and atrial fibrillation.
PMID- 29808753
TI - Efficacy of renin-angiotensin system inhibitors for patients with heart failure
with preserved ejection fraction and mild to moderate chronic kidney disease.
AB - Background Renin-angiotensin system (RAS) inhibitors are first-line treatments
for chronic kidney disease, but it is not known if these agents can improve
outcome in patients with heart failure with preserved ejection fraction (HFpEF)
and chronic kidney disease. Design This was a post-hoc analysis of the Treatment
of Preserved Cardiac Function Heart Failure with an Aldosterone Antagonist trial.
Methods The primary outcome was a composite endpoint of all-cause death, non
fatal myocardial infarction, non-fatal stroke or hospitalization for heart
failure. We analyzed hazard ratios in patients taking RAS inhibitors compared
with those not taking RAS inhibitors using Cox proportional hazard models.
Results A total of 1465 HFpEF patients with mild to moderate chronic kidney
disease was included. The mean follow-up period was 2.8 years; 502 patients
experienced at least one confirmed primary outcome event. The primary outcome
event rates in patients not taking and taking RAS inhibitors were 175.4 and 112.8
per 1000 person-years, respectively. The risks of primary outcome events and all
cause death were significantly lower in patients taking RAS inhibitors than in
those not taking RAS inhibitors (adjusted hazard ratio (95% confidence interval)
for primary outcome events: 0.75 (0.60-0.95), p = 0.01; adjusted hazard ratio for
all-cause death: 0.69 (0.52-0.93), p = 0.01). Among propensity score-matched
patients, these risks were also significantly lower in those taking RAS
inhibitors than in those not taking RAS inhibitors (hazard ratio: 0.67 (0.50
0.90), p = 0.008; hazard ratio: 0.60 (0.41-0.88), p = 0.01). Conclusion Use of
RAS inhibitors was associated with reduced risks of adverse cardiovascular
outcomes in HFpEF patients with mild to moderate chronic kidney disease.
PMID- 29808754
TI - Isolated systolic hypertension of the young and its association with central
blood pressure in a large multi-ethnic population. The HELIUS study.
AB - Background Isolated systolic hypertension (ISH) of the young has been associated
with both normal and increased cardiovascular risk, which has been attributed to
differences in central systolic blood pressure and arterial stiffness. Methods We
assessed the prevalence of ISH of the young and compared differences in central
systolic blood pressure and arterial stiffness between ISH and other hypertensive
phenotypes in a multi-ethnic population of 3744 subjects (44% men), aged <40
years, participating in the HELIUS study. Results The overall prevalence of ISH
was 2.7% (5.2% in men and 1.0% in women) with the highest prevalence in
individuals of African descent. Subjects with ISH had lower central systolic
blood pressure and pulse wave velocity compared with those with isolated
diastolic or systolic-diastolic hypertension, resembling central systolic blood
pressure and pulse wave velocity values observed in subjects with high-normal
blood pressure. In addition, they had a lower augmentation index and larger
stroke volume compared with all other hypertensive phenotypes. In subjects with
ISH, increased systolic blood pressure amplification was associated with male
gender, Dutch origin, lower age, taller stature, lower augmentation index and
larger stroke volume. Conclusion ISH of the young is a heterogeneous condition
with average central systolic blood pressure values comparable to individuals
with high-normal blood pressure. On an individual level ISH was associated with
both normal and raised central systolic blood pressure. In subjects with ISH of
the young, measurement of central systolic blood pressure may aid in
discriminating high from low cardiovascular risk.
PMID- 29808755
TI - Modest leucine supplement for prevention of rheumatic heart disease.
PMID- 29808756
TI - Assessing the risk factors associated with cardiovascular disease.
PMID- 29808757
TI - Trends in incident acute myocardial infarction in Norway: An updated analysis to
2014 using national data from the CVDNOR project.
AB - Background We updated the information on trends of incident acute myocardial
infarction in Norway, focusing on whether the observed trends during 2001-2009
continued throughout 2014. Methods All incident (first) acute myocardial
infarctions in Norwegian residents age 25 years and older were identified in the
Cardiovascular Disease in Norway 1994-2014 project. We analysed overall and age
group-specific (25-64 years, 65-84 years and 85 + years) trends by gender using
Poisson regression analyses and report the average annual changes in rates with
their 95% confidence intervals. Results During 2001-2014, 221,684 incident acute
myocardial infarctions (59.4% men) were identified. Hospitalised cases accounted
for 79.9% of all incident acute myocardial infarctions. Overall, incident acute
myocardial infarction rates declined on average 2.6% per year (incidence rate
ratio 0.974, 95% confidence interval 0.972-0.977) in men and 2.8% per year
(incidence rate ratio 0.972, 95% confidence interval 0.971-0.974) in women,
contributed by declining rates of hospitalisations (1.8% and 1.9% per year in men
and women, respectively) and deaths (6.0% and 5.8% per year in men and women,
respectively). Declining rates were observed in all three age groups. The overall
acute myocardial infarction incidence rates continued to decline from 2009
onwards, with a steeper decline compared to 2001-2009. During 2009-2014, gender
adjusted acute myocardial infarction incidence among adults age 25-44 years
declined 5.3% per year, contributed mostly by declines in hospitalisation rates
(5.1% per year). Conclusion Acute myocardial infarction incidence rates continued
to decline after 2009 in Norway in both men and women. The decline started to
involve individuals aged 25-44 years, marking a turning point in the previously
reported stagnation of rates during 2001-2009.
PMID- 29808758
TI - Low testosterone levels are predictive for incident atrial fibrillation and
ischaemic stroke in men, but protective in women - results from the FINRISK
study.
AB - Background Atrial fibrillation is the most common serious abnormal heart rhythm,
and a frequent cause of ischaemic stroke. Recent experimental studies, mainly in
orchiectomised rats, report a relationship between sex hormones and atrial
electrophysiology and electroanatomy. We aimed to evaluate whether low
testosterone levels are predictive for atrial fibrillation and/or ischaemic
stroke in men and women. Design and methods The serum total testosterone levels
were measured at baseline in a population cohort of 7892 subjects (3876 male,
4016 female), aged 25-74 years, using a commercially available immunoassay. The
main outcome measure was atrial fibrillation or ischaemic stroke, whichever came
first. Results During a median follow-up of 13.8 years, a total of 629 subjects
(8.0%) suffered from incident atrial fibrillation ( n = 426) and/or ischemic
stroke ( n = 276). Cox regression analyses, adjusted for age (used as time
scale), geographical region, total cholesterol (log), high-density lipoprotein
cholesterol (log), hypertension medication, known diabetes, smoking status, waist
hip-ratio, and time of blood drawn, documented differential predictive value of
low sex-specific testosterone levels for atrial fibrillation and/or ischaemic
stroke, in men and in women: Increasing levels were associated with lower risk in
men (hazard ratio per one nmol/l increase 0.98 (95% confidence interval 0.93
1.00); p = 0.049). On the other hand, increasing testosterone levels were
associated with higher risk in women (hazard ratio per one nmol/l increase 1.17
(95% confidence interval 1.02-1.36); p = 0.031). Conclusion Our study indicates
that low testosterone levels are associated with increased risk of future atrial
fibrillation and/or ischaemic stroke in men, while they are protective in women.
PMID- 29808760
TI - How to prevent secondary infections by bacteria in heart disease patients.
PMID- 29808759
TI - How to prevent obesity-triggered heart disease.
PMID- 29808761
TI - Does erectile dysfunction independently predict cardiovascular events? It's time
to act on the evidence.
PMID- 29808762
TI - Cardiopulmonary exercise testing reveals subclinical abnormalities in chronic
kidney disease.
AB - Background Reductions in exercise capacity associated with exercise intolerance
augment cardiovascular disease risk and predict mortality in chronic kidney
disease. This study utilized cardiopulmonary exercise testing to (a) investigate
mechanisms of exercise intolerance; (b) unmask subclinical abnormalities that may
precede cardiovascular disease in chronic kidney disease. Design The design of
this study was cross-sectional. Methods Cardiopulmonary exercise testing was
carried out in 31 Stage 3-4 chronic kidney disease patients (60 +/- 11 years;
estimated glomerular filtration rate 43 +/- 13 ml/min/1.73 m2) and 21 matched
healthy individuals (healthy controls; 56 +/- 5 years; estimated glomerular
filtration rate>90 ml/min/1.73 m2) on a cycle ergometer with workload increased
by 15 W every minute until volitional fatigue. Breath-by-breath respiratory gas
analysis was performed with an automated gas analyzer and averaged over 10 s
intervals. Results Peak oxygen uptake was reduced in chronic kidney disease
compared to healthy controls (17.43 +/- 1.03 vs 28 +/- 2.05 ml/kg/min; p < 0.01),
as was oxygen uptake at the ventilatory threshold (9.44 +/- 0.53 vs15.55 +/- 1.34
ml/kg/min; p < 0.01). A steeper minute ventilation rate/carbon dioxide production
slope (32 +/- 0.8 vs 28 +/- 1; p < 0.01) and a lower expired carbon dioxide
pressure in chronic kidney disease (27 +/- 0.6 vs 31 +/- 0.9 vs 0.9; p < 0.01)
indicated ventilation perfusion mismatching in these patients. The ventilatory
cost of oxygen uptake was higher in chronic kidney disease (37 +/- 0.8 vs 33 +/-
1; p < 0.01). Maximum heart rate (134 +/- 5 vs 159 +/- 3 bpm) and one-minute
heart rate recovery (15 +/- 1 vs 20 +/- 2 bpm) were reduced in chronic kidney
disease ( p < 0.01). Conclusion This study suggests that both central and
peripheral limitations likely contribute to reduced exercise capacity in non
dialysis chronic kidney disease. Additionally, cardiopulmonary exercise testing
revealed subclinical cardiopulmonary abnormalities in these patients in the
absence of overt cardiovascular disease. Cardiopulmonary exercise testing could
potentially be a tool for unmasking cardiopulmonary abnormalities preceding
cardiovascular disease in chronic kidney disease.
PMID- 29808763
TI - Trends in cardiovascular disease in Australia and in the world.
PMID- 29808764
TI - HeartBeat.
PMID- 29808766
TI - Morgagni Hernia Repair: A Review.
AB - Anteromedial subcostosternal defects, also known as a diaphragmatic hernia of
Morgagni (MH), allow potentially life-threatening herniation of the abdominal
organs into the thorax. Constituting only a small fraction of all types of
congenital diaphragmatic hernias, correct diagnosis of MH is often delayed, owing
in large part to nonspecific associated respiratory and gastrointestinal
complaints. Once identified, the primary management for both symptomatic and
incidentally discovered asymptomatic cases of MH are surgical correction because
the herniated contents present increasing risk for strangulation. Various
thoracic and abdominal surgical approaches have been described without a clear
consensus on preference for operative repair technique. In this article, the
literature regarding management of MH within the past decade is reviewed, and an
illustrative case of laparoscopic repair of a MH with novel reinforcement using a
Falciform ligament onlay flap is presented.
PMID- 29808765
TI - Healthy Weight and Cardiovascular Health Promotion Interventions for Adolescent
and Young Adult Males of Color: A Systematic Review.
AB - Cardiovascular disease is the leading cause of mortality in the United States,
accounting for one fourth of deaths. Higher rates of obesity put Hispanic and
Black men at increased risk. The American Heart Association cites diet quality,
physical activity, and body weight as alterations responsive to health promotion
intervention. Prevention strategies need to begin in adolescence and the emerging
adulthood years to impact cumulative risk factors. A scoping review identified
search terms and this was followed by a systematic review of Cumulative Index to
Nursing and Allied Health Literature (CINAHL) and PubMed databases for articles
published in English from January 1, 2002, through May 11, 2017. This review
explores community-based content, delivery, recruitment, or retention strategies
used with young men of color aged 15 to 24 years. Of 17 articles describing 16
individual interventions and 1 describing multiple interventions (with samples
ranging from 37 to 4,800), 13 reported significant results in one or more
domains. No studies specifically targeted the needs of young men and only three
had more than 50% male participants. There was a gap in studies that addressed
young men in the ages of interest with most interventions reaching participants
aged 11 to 19 years. Cultural tailoring was addressed through recruitment
setting, interventionist characteristics, community involvement, and theoretical
frameworks such as motivational interviewing that allow individual goal setting.
Because young men seek access to preventive health services less than young
women, it is suggested that interventions that are community based or use push
technology (send information directly to the user) be increased.
PMID- 29808767
TI - Memory for melodies in unfamiliar tuning systems: Investigating effects of
recency and number of intervening items.
AB - In a continuous recognition paradigm, most stimuli elicit superior recognition
performance when the item to be recognized is the most recent stimulus (a recency
in-memory effect). Furthermore, increasing the number of intervening items
cumulatively disrupts memory in most domains. Memory for melodies composed in
familiar tuning systems also shows superior recognition for the most recent
melody, but no disruptive effects from the number of intervening melodies. A
possible explanation has been offered in a novel regenerative multiple
representations (RMR) conjecture. The RMR assumes that prior knowledge informs
perception and perception influences memory representations. It postulates that
melodies are perceived, thus also represented, simultaneously as integrated
entities and also as their components (such as pitches, pitch intervals, short
phrases and rhythm). Multiple representations of the melody components and melody
as a whole can restore one another, thus providing resilience against disruptive
effects from intervening items. The conjecture predicts that melodies in an
unfamiliar tuning system are not perceived as integrated melodies and should (a)
disrupt recency-in-memory advantages and (b) facilitate disruptive effects from
the number of intervening items. We test these two predictions in three
experiments. Experiments 1 and 2 show that no recency-in-memory effects emerge
for melodies in an unfamiliar tuning system. In Experiment 3, disruptive effects
occurred as the number of intervening items and unfamiliarity of the stimuli
increased. Overall, results are coherent with the predictions of the RMR
conjecture. Further investigation of the conjecture's predictions may lead to
greater understanding of the fundamental relationships between memory, perception
and behavior.
PMID- 29808768
TI - The influence of age on valve disease in patients with varicose veins analysed by
transmission electron microscopy and stereology.
AB - BACKGROUND: The aim of this study was to investigate the influence of age on the
ultrastructure of venous valve morphology in patients with C2 classified chronic
venous disorders according to the CEAP classification. PATIENTS AND METHODS: The
study population consisted of 16 consecutive patients with varicose veins (C2).
The mean age was 49.8 years (30-66). The (pre-) terminal valve including the
vessel wall was harvested within the proximal 2 centimetres of the great
saphenous vein. The mean thickness (volume-to-surface ratio = V/S ratio) of
elastin, collagen, endothelium and of the entire valve was determined. A blinded
morphologist performed the examination by transmission electron microscopy and
stereology. Analyses by Pearson's product moment correlation, Kendall's tau and
Spearman's rank correlation were performed to investigate whether there is a
correlation between age and the ultrastructural morphology. RESULTS:
Stereological analysis of the valves demonstrated a mean V/S ratio (signifying a
thickness estimation) for elastin of 0.87 MUm3/MUm2, for collagen of 18.0
MUm3/MUm2, for endothelium of 0.65 MUm3/MUm2, and for the entire valve of 25.2
MUm3/MUm2. Statistical analyses showed no statistically significant correlation
between age and the ultrastructural morphology in this patient group.
CONCLUSIONS: The ultrastructural morphology of the venous valves in chronic
venous disorders may not depend on age in patients presenting with C2 disease.
This conclusion may or may not apply to all C classes as we investigated a
homogenous group of patients with C2 limbs.
PMID- 29808770
TI - Risk of Depression after Traumatic Brain Injury in a Large National Sample.
AB - Depression is associated with poorer recover after traumatic brain injury (TBI),
yet awareness of depression risk post-TBI among providers and patients is low.
The aim of this study was to estimate risk of depression post-TBI among adults 18
years of age and older and to identify risk factors associated with developing
depression post-TBI. We conducted a retrospective, matched cohort study using
claims data for privately insured and Medicare Advantage enrollees in a large
U.S. health plan. Adults >=18 years of age diagnosed with TBI (n = 207,354) with
12 months continuous insurance coverage pre-TBI and 24 months post-TBI were
matched to controls without TBI (n = 414,708). We identified the presence of
depression on any in- or outpatient claim occurring during the study period (both
before and after TBI). Of the initial 622,062 individuals, 62,963 (10%) had
depression pre-TBI and were excluded from incidence calculations. Incidence of
depression post-TBI was 79.5 (95% confidence interval [CI], 78.5,80.5) per 1,000
person-years compared to 33.5 (95% CI, 33.1,34.0) per 1,000 person-years for
those without TBI. The adjusted hazard ratio for depression post-TBI was 1.83
(95% CI, 1.79,1.86). We observed effect modification by sex and age, with males
and older adults at increased risk. History of neuropsychiatric disturbances pre
TBI was the strongest predictor of depression post-TBI. Risk of depression
increases substantially post-TBI. Groups at increased risk include those with a
history of neuropsychiatric disturbances, older adults, and men. This study
highlights the importance of long-term monitoring for depression post-TBI.
PMID- 29808769
TI - Health-Related Quality of Life of Community Thyroid Cancer Survivors in Hangzhou,
China.
AB - BACKGROUND: The purpose of this study was to evaluate health-related quality of
life (HRQoL) of community thyroid cancer survivors in Hangzhou, China, and to
explore the important correlates defining HRQoL. METHODS: All thyroid cancer
patients who met study criteria in 183 communities were invited to participate (N
= 1551). A self-administered questionnaire including collection of personal
information and HRQoL instruments was completed by each participant (n = 970),
with a response rate of 62.5%. HRQoL was assessed using the Short Form 36 Health
Survey and the European Organization for Research and Treatment of Cancer Quality
of Life Questionnaire. Data for comparison were derived from a representative
Hangzhou community sample (N = 1790). After bivariate analyses, multiple linear
regression analyses were conducted to investigate independent associations
between socio-demographic variables, clinical characteristics, life-style
behaviors, and HRQoL. RESULTS: Information from 965 participants was available
for analysis. A total of 92.1% were diagnosed with papillary thyroid cancer,
known as the most common type of thyroid cancer. In general, thyroid cancer
survivors have impaired quality of life compared to the age- and sex-matched
reference population using the Short Form 36 Health Survey. Thyroid cancer
survivors reported the highest level of fatigue and insomnia. Multivariable
regression analyses showed that being employed, higher education, and per capita
disposable income (24,000-56,000 Y per year), and undertaking 30 minutes of
moderate physical activity at least five days a week were independent correlates
of high physical component summary scores, while being overweight or obese and
having a higher dose of levothyroxine intake per day had a negative influence.
Being employed, higher per capita disposable income (>56,000 Y per year),
undertaking 30 minutes of moderate physical activity at least five days a week,
and eating more fruit were positively associated with mental component summary
scores, while females and patients having more surgeries reported lower mental
component summary scores. The global quality of life scale scores were associated
with education, employment status, marital status, per capita disposable income,
physical activity per week, fruit intake per day, and type of surgery.
CONCLUSION: Although patients with thyroid cancer have a very good prognosis
overall, in this study, they often experienced more problems than the community
reference population, independent of their age and sex. Sex, education, marital
status, employment status, weight status, per capita disposable income, number of
surgeries, type of surgery, physical activity per week, fruit intake, and
levothyroxine intake per day are important correlates of HRQoL of thyroid cancer
survivors. Awareness of these relevant factors could help healthcare
professionals provide better supportive care.
PMID- 29808771
TI - Job-related burnout is associated with brain neurotransmitter levels in Chinese
medical workers: a cross-sectional study.
AB - Objective The aim of the present study was to investigate the relationship
between job burnout and neurotransmitter levels in medical staff. Methods A total
of 80 medical staff were enrolled in the study and assessed for occupational
burnout using the Maslach Burnout Inventory - General Survey (MBI-GS). The levels
of neurotransmitters in the cerebral cortex were analysed using an SP03
encephalofluctuograph. Results The levels of the neurotransmitters gamma
aminobutyric acid, 5-hydroxytryptamine (5-HT), norepinephrine (NE), glutamate,
acetylcholine (Achl) and dopamine (DA) were significantly lower in men than in
women. Medical staff with lower levels of exhaustion had significantly higher
neurotransmitter levels than staff with moderate levels of exhaustion. However,
there was no significant interaction between sex and exhaustion on
neurotransmitter levels. Canonical correlation showed that exhaustion was
positively associated with 5-HT and DA, but negatively associated with NE and
Achl, regardless of age and sex. Conclusion Neurotransmitter levels in the
cerebral cortex were associated with job-related burnout in medical staff. The
findings suggest that long-term job-related burnout may lead to behavioural and
psychiatric disorders.
PMID- 29808772
TI - Psychometric Assessment of the Job Embeddedness Instrument: A Rasch Perspective.
AB - The aim of this study was to examine the psychometric properties of the job
embeddedness instrument (JEI) using a Rasch perspective in a sample of Registered
Nurses (RNs). A secondary analysis of data was conducted from a previous study
examining the job embeddedness of rural and urban RNs. A Rasch analysis supported
the six underlying dimensions: organizational fit, community fit, organizational
links, community links, organizational sacrifice, and community sacrifice. The
results of this study also demonstrate additional evidence of the validity,
reliability, and generalizability of the JEI inferences with a sample of RNs. In
total, 38 of 39 items of the original JEI were retained in the model. The
psychometric evaluation attained through this multidimensional Rasch analysis
provided support for using the JEI to assess the level of job embeddedness for
RNs.
PMID- 29808773
TI - Tools and approaches to operationalize the commitment to equity, gender and human
rights: towards leaving no one behind in the Sustainable Development Goals.
AB - The objective of this article is to present specific resources developed by the
World Health Organization on equity, gender and human rights in order to support
Member States in operationalizing their commitment to leave no one behind in the
health Sustainable Development Goals (SDGs), and other health-related goals and
targets. The resources cover: (i) health inequality monitoring; (ii) barrier
analysis using mixed methods; (iii) human rights monitoring; (iv) leaving no one
behind in national and subnational health sector planning; and (v) equity, gender
and human rights in national health programme reviews. Examples of the
application of the tools in a range of country contexts are provided for each
resource.
PMID- 29808774
TI - Devastating cerebral Lipiodol(r) embolization related to therapeutic
lymphangiography for refractory chylothorax in a patient with Behcet's disease.
AB - Onset of neurological symptoms early after intranodal lymphangiography can occur
due to Lipiodol droplet migration through intrapulmonary lymphovenous
communication. Patients with Behcet's disease may be at higher risk of developing
this devastating complication.
PMID- 29808776
TI - Dyskinetic vs Spastic Cerebral Palsy: A Cross-sectional Study Comparing
Functional Profiles, Comorbidities, and Brain Imaging Patterns.
AB - The authors aimed to describe the distribution of predominant and secondary motor
types and compare functional profiles, comorbidities, and brain imaging patterns
between dyskinetic and spastic cerebral palsy. Children recruited from a cerebral
palsy register were assessed at age 5, 10, or 15. Motor types, topography,
functional classifications, and comorbidities were recorded. Univariable logistic
regression was used to compare dyskinesia with spasticity, with and without
adjustment for topography. Neuroimaging classifications were extracted from the
register. Of 243 children with spasticity or dyskinesia, the predominant motor
type was spastic in 183 and dyskinetic in 56. Dyskinesia was associated with
comparatively poorer function, total body involvement, and gray matter injury.
After adjustment for topography, dyskinesia was associated with similar or better
function. The study suggests that practical tools routinely incorporated into
clinical practice would facilitate accurate and reliable classification of
predominant and secondary motor types, topography, and functional abilities.
PMID- 29808775
TI - Incidence and risk factors of recurrent pain in acute aortic dissection and in
hospital mortality.
AB - BACKGROUND: We investigated the prevalence of recurrent pain and its relationship
with in-hospital mortality in acute aortic dissection (AAD). PATIENTS AND
METHODS: Between 2011 and 2016, 234 AAD patients were selected. Recurrent pain
was defined as a mean of VAS > 3, within 48 hours following hospital admission or
before emergency operation. Patients with and without recurrent pain were divided
into group I and group II, respectively into type A AAD and type B AAD patients.
Our primary outcome was in-hospital mortality. RESULTS: The incidence of
recurrent pain was 24.4 % in AAD patients. Incidence of recurrent pain was higher
in type A AAD patients than type B AAD patients (48.9 vs. 9.6 %). Overall in
hospital mortality was 25.6 %. Type A AAD had a higher in-hospital mortality than
type B AAD patients (47.7 vs. 12.3 %). Group I had significantly higher in
hospital mortality than group II (type A: 79.1 vs. 17.8 %; type B: 57.1 vs. 7.6
%, all P < 0.001), as was the case with medical managed patients (type A: 72.1
vs. 13.3 %; type B: 35.7 vs. 2.3 %, all P < 0.001). Logistic regression analysis
showed that use of one drug alone and waist pain were predictive factors for
recurrent pain in type A AAD and type A AAD patients, respectively (OR 3.686, 95
% CI: 1.103~12.316, P = 0.034 and OR 14.010, 95 % CI: 2.481~79.103, P = 0.003).
Recurrent pains were the risk factors (type A: OR 11.096, 95 % CI: 3.057~40.280,
P < 0.001; type B: OR 14.412, 95 % CI: 3.662~56.723, P < 0.001), while invasive
interventions were protective (type A: OR 0.133, 95 % CI: 0.035~0.507, P < 0.001;
type B: OR 0.334, 95 % CI: 0.120~0.929, P = 0.036) for in-hospital mortality in
AAD patients. CONCLUSIONS: Approximately one-fourth of AAD patients presented
with recurrent pains, which might increase in-hospital mortality. Thus,
interventional strategies at early stages are important.
PMID- 29808777
TI - Protective Effect of Metformin Against Thyroid Cancer Development: A Population
Based Study in Korea.
AB - BACKGROUND: Metformin, the most widely used drug for type 2 diabetes, has
recently attracted attention with regard to its antitumor activity. However,
clinical studies have yielded conflicting results regarding the association
between metformin and thyroid cancer development, despite its antitumor effect in
preclinical studies. METHODS: This is a retrospective cohort study using the
Korean National Health Insurance claim database. Matched populations of 128,453
metformin users and 128,453 non-users were analyzed for thyroid cancer incidence.
Metformin users were categorized into lowest, middle, and highest tertiles
according to cumulative dose or duration of metformin therapy. RESULTS: Thyroid
cancer developed in 340 (0.26%) metformin users and 487 (0.38%) non-users during
a mean follow-up of 7.2 years (hazard ratio = 0.69 [confidence interval 0.60
0.79]; p < 0.001). The incidence of thyroid cancer per 105 person-years was 51.6
in metformin non-users. For metformin users, the incidence was 84.5 for <529,000
mg, 20.6 for 529,000-1,007,799 mg, and 6.3 for >1,007,799 mg; 86.3 for <1085
days, 20.3 for 1085-2094 days, and 4.7 for >2094 days for duration of therapy.
The hazard ratio for thyroid cancer decreased significantly in metformin users as
a function of dose and duration of metformin therapy. CONCLUSIONS: Metformin
appears to be associated with a preventive effect on thyroid cancer development
in a nationwide population-based study, but is not effective in the early phase
of treatment. Considering the increasing prevalence of obesity and the role of
insulin resistance in the development of cancer, metformin might be the preferred
treatment for its dual anti-diabetic and antitumor effects.
PMID- 29808779
TI - Perceptions of Why Women Stay in Physically Abusive Relationships: A Comparative
Study of Chinese and U.S. College Students.
AB - In both China and the United States, public attitudes toward intimate partner
violence (IPV) have shifted from viewing IPV as a tolerable, private matter to
viewing it as a matter of public concern that should be dealt with as a crime.
Empirical and comparative examinations of the perceptions of why women stay in
physically abusive relationships are lacking. Answering this question calls for
comprehensive, methodologically rigorous research. Using survey data collected
from approximately 1,000 college students from two Chinese and two U.S.
universities, this study empirically compared and contrasted factors that impact
U.S. and Chinese students' perceptions as to why women remain in physically
abusive relationships. Utilizing a theoretical framework of social
constructionism, two common reasons were assessed: Women stay in physically
abusive relationships because of learned helplessness and positive beliefs in the
relationship/hope for the future. The results show that viewing IPV as a crime,
gender, and beliefs of the causes of IPV were robust predictors of college
students' perceptions toward why women stay in physically abusive relationships.
U.S. college students were more likely to express sympathy and understanding
toward why women remain in abusive relationships than Chinese students.
Directions for future research and policy implications were discussed.
PMID- 29808778
TI - A Mild Traumatic Brain Injury in Mice Produces Lasting Deficits in Brain
Metabolism.
AB - Metabolic uncoupling has been well-characterized during the first minutes-to-days
after a traumatic brain injury (TBI), yet mitochondrial bioenergetics during the
weeks-to-months after a brain injury is poorly defined, particularly after a mild
TBI. We hypothesized that a closed head injury (CHI) would be associated with
deficits in mitochondrial bioenergetics at one month after the injury. A
significant decrease in state-III (adenosine triphosphate production) and state-V
(complex-I) driven mitochondrial respiration was found at one month post-injury
in adult C57Bl/6J mice. Isolation of synaptic mitochondria demonstrated that the
deficit in state-III and state-V was primarily neuronal. Injured mice had a
temporally consistent deficit in memory recall at one month post-injury. Using
proton magnetic resonance spectroscopy (1H MRS) at 7-Tesla, we found significant
decreases in phosphocreatine, N-Acetylaspartic acid, and total choline. We also
found regional variations in cerebral blood flow, including both hypo- and
hyperperfusion, as measured by a pseudocontinuous arterial spin labeling MR
sequence. Our results highlight a chronic deficit in mitochondrial bioenergetics
associated with a CHI that may lead toward a novel approach for neurorestoration
after a mild TBI. MRS provides a potential biomarker for assessing the efficacy
of candidate treatments targeted at improving mitochondrial bioenergetics.
PMID- 29808780
TI - Valuation of Quality of Life in Pediatric Disability in a Developing Country.
AB - This article assessed how Indian providers and mothers value quality of life in
pediatric disabilities, hypothesizing lower values with increasing disability,
lower values among providers than mothers, and lower values among mothers with
versus mothers without a disabled child. We asked 175 participants: "If born
tomorrow, how many years of a disabled life ( y) would you trade to avoid life
long disability" for 4 hypothetical disabilities, calculating "utility" scores
as: (life span - y) / life span, where death = 0 and full life without disability
= 1. Providers' utilities were 0.67 (mild), 0.18 (moderate), -0.70 (severe), and
0.60 (profound); 0.67, 0, -0.77, and -0.88 for mothers without and 0.38, -0.49,
0.86, and -0.87 for mothers with a disabled child. Mothers without reported lower
utilities than providers (severe and profound disability [ P <= .03]), and higher
utilities than mothers (for mild and moderate disability [ P < .001]). Major
disability is valued as a fate worse than death in India.
PMID- 29808782
TI - Face and Construct Validity of a Novel Virtual Reality-Based Bimanual
Laparoscopic Force-Skills Trainer With Haptics Feedback.
AB - BACKGROUND: The purpose of this study was to examine the face and construct
validity of a custom-developed bimanual laparoscopic force-skills trainer with
haptics feedback. The study also examined the effect of handedness on fundamental
and complex tasks. METHODS: Residents (n = 25) and surgeons (n = 25) performed
virtual reality-based bimanual fundamental and complex tasks. Tool-tissue
reaction forces were summed, recorded, and analysed. Seven different force-based
measures and a 1-time measure were used as metrics. Subsequently, participants
filled out face validity and demographic questionnaires. RESULTS: Residents and
surgeons were positive on the design, workspace, and usefulness of the simulator.
Construct validity results showed significant differences between residents and
experts during the execution of fundamental and complex tasks. In both tasks,
residents applied large forces with higher coefficient of variation and force
jerks (P < .001). Experts, with their dominant hand, applied lower forces in
complex tasks and higher forces in fundamental tasks (P < .001). The coefficients
of force variation (CoV) of residents and experts were higher in complex tasks (P
< .001). Strong correlations were observed between CoV and task time for
fundamental (r = 0.70) and complex tasks (r = 0.85). Range of smoothness of force
was higher for the non-dominant hand in both fundamental and complex tasks.
CONCLUSIONS: The simulator was able to differentiate the force-skills of
residents and surgeons, and objectively evaluate the effects of handedness on
laparoscopic force-skills. Competency-based laparoscopic skills assessment
curriculum should be updated to meet the requirements of bimanual force-based
training.
PMID- 29808781
TI - "He Told Me to Check My Health": A Qualitative Exploration of Social Network
Influence on Men's HIV Testing Behavior and HIV Self-Testing Willingness in
Tanzania.
AB - Men continue to test for HIV at a low rate in sub-Saharan Africa. Recent
quantitative evidence from sub-Saharan Africa indicates that encouragement to
test for HIV from men's network members is associated with higher previous HIV
testing and HIV self-testing (HIVST) willingness. Leveraging this positive
network influence to promote HIVST among men is a promising strategy that could
increase HIV testing. This study investigated the reasons and strategies men used
to encourage their peers to test for HIV and the outcomes in order to inform the
development of a social network-based HIVST intervention for men called STEP
(Self-Testing Education and Promotion). Twenty-three men from networks locally
referred to as "camps" were interviewed to explore reasons for encouraging HIV
testing, strategies to encourage HIV testing, and outcomes of HIV testing
encouragement. Reasons men reported for encouraging their peers to test for HIV
included awareness of their peers' risky sexual behavior, knowing an HIV-positive
peer, and having HIV testing experience. Strategies for encouraging testing
included engaging in formal and informal conversations and accompanying friends
to the clinic. Encouragement outcomes included HIV testing for some men while
others remained untested due to lack of privacy in the clinic and fear of HIV
stigma. Willingness to self-test for HIV and an interest to educate peers about
HIVST were other outcomes of HIV testing encouragement. These findings underscore
the potential of leveraging men's existing HIV testing encouragement strategies
to promote HIVST among their peers.
PMID- 29808783
TI - Examining the Factor Structure of the MLQ Transactional and Transformational
Leadership Dimensions in Nursing Context.
AB - The Multifactor Leadership Questionnaire (MLQ) is the most widely used instrument
for assessing dimensions of leadership style; yet, most studies have failed to
reproduce the original MLQ factor structure. The current study evaluates the
dimensionality and nomological validity of Bass's transactional and
transformational leadership model using the MLQ in a sample of registered nurses
working in acute care hospitals in Canada. A combination of exploratory and
confirmatory factor analyses were used to evaluate the hypothetical factor
structure of the MLQ consisting of five transformational factors, and three
transactional factors. Results suggest that the eight-factor solution displayed
best fit indices; however, two transactional factors should be extracted due to
high interscale correlations and lack of differential relationships with the two
leadership variables. The findings support a scale refinement and the need for
new theory concerning the five transformational leadership and contingent reward
dimensions of the MLQ.
PMID- 29808784
TI - Refining and implementing the Food Assortment Scoring Tool (FAST) in food
pantries.
AB - OBJECTIVE: Hunger relief agencies have a limited capacity to monitor the
nutritional quality of their food. Validated measures of food environments, such
as the Healthy Eating Index-2010 (HEI-2010), are challenging to use due to their
time intensity and requirement for precise nutrient information. A previous study
used out-of-sample predictions to demonstrate that an alternative measure
correlated well with the HEI-2010. The present study revised the Food Assortment
Scoring Tool (FAST) to facilitate implementation and tested the tool's
performance in a real-world food pantry setting. DESIGN: We developed a FAST
measure with thirteen scored categories and thirty-one sub-categories. FAST
scores were generated by sorting and weighing foods in categories, multiplying
each category's weight share by a healthfulness parameter and summing the
categories (range 0-100). FAST was implemented by recording all food products
moved over five days. Researchers collected FAST and HEI-2010 scores for food
availability and foods selected by clients, to calculate correlations. SETTING:
Five food pantries in greater Minneapolis/St. Paul, Minnesota, USA. SUBJECTS:
Food carts of sixty food pantry clients. RESULTS: The thirteen-category FAST
correlated well with the HEI-2010 in prediction models (r = 0.68). FAST scores
averaged 61.5 for food products moved, 63.8 for availability and 62.5 for client
carts. As implemented in the real world, FAST demonstrated good correlation with
the HEI-2010 (r = 0.66). CONCLUSIONS: The FAST is a flexible, valid tool to
monitor the nutritional quality of food in pantries. Future studies are needed to
test its use in monitoring improvements in food pantry nutritional quality over
time.
PMID- 29808786
TI - Prevention of overweight and obesity in early life.
AB - Childhood obesity is a serious challenge for public health. The problem begins
early with most excess childhood weight gained before starting school. In 2016,
the WHO estimated that 41 million children under 5 were overweight or obese. Once
established, obesity is difficult to reverse, likely to persist into adult life
and is associated with increased risk of CVD, type 2 diabetes and certain
cancers. Preventing obesity is therefore of high importance. However, its
development is multi-factorial and prevention is a complex challenge. Modifiable
lifestyle behaviours such as diet and physical activity are the most well-known
determinants of obesity. More recently, early-life factors have emerged as key
influencers of obesity in childhood. Understanding risk factors and how they
interact is important to inform interventions that aim to prevent obesity in
early childhood. Available evidence supports multi-component interventions as
effective in obesity prevention. However, relatively few interventions are
available in the UK and only one, TrimTots, has been evaluated in randomised
controlled trials and shown to be effective at reducing obesity risk in preschool
children (age 1-5 years). BMI was lower in children immediately after completing
TrimTots compared with waiting list controls and this effect was sustained at
long-term follow-up, 2 years after completion. Developing and evaluating complex
interventions for obesity prevention is a challenge for clinicians and
researchers. In addition, parents encounter barriers engaging with interventions.
This review considers early-life risk factors for obesity, highlights evidence
for preventative interventions and discusses barriers and facilitators to their
success.
PMID- 29808785
TI - Habit strength and between-meal snacking in daily life: the moderating role of
level of education.
AB - OBJECTIVE: Recent research emphasizes the importance of habit in explaining
patterns of energy intake and choices of consumption. However, the nature of the
association between habit strength and snacking has not been explored for all
types of between-meal snacks. DESIGN: Multilevel linear techniques were used to:
(i) examine the association between habit strength and moment-to-moment energy
intake (kilocalories) from snacks in daily life; and (ii) determine whether
gender, age, level of education and BMI moderate the association between habit
strength and moment-to-moment energy intake from snacks. A smartphone application
based on the experience sampling method was used to map momentary between-meal
snack intake in the context of daily life. Demographics and habit strength were
assessed with an online composite questionnaire. SETTING: This research was
performed in the Netherlands in the natural environment of participants' daily
life. SUBJECTS: Adults (n 269) aged 20-50 years. RESULTS: Habit strength was
significantly associated with moment-to-moment energy intake from between-meal
snacks in daily life: the higher the strength of habit to snack between meals,
the higher the amount of momentary energy intake from snacks. The association
between habit strength and moment-to-moment energy intake from snacks was
moderated by education level. Additional analyses showed that habit strength was
significantly associated with moment-to-moment energy intake from between-meal
snacks in the low to middle level of education group. CONCLUSIONS: It is
recommended to address habitual between-meal snacking in future interventions
targeting low- to middle-educated individuals.
PMID- 29808787
TI - Links between psychotic and neurotic symptoms in the general population: an
analysis of longitudinal British National Survey data using Directed Acyclic
Graphs.
AB - BACKGROUND: Non-psychotic affective symptoms are important components of
psychotic syndromes. They are frequent and are now thought to influence the
emergence of paranoia and hallucinations. Evidence supporting this model of
psychosis comes from recent cross-fertilising epidemiological and intervention
studies. Epidemiological studies identify plausible targets for intervention but
must be interpreted cautiously. Nevertheless, causal inference can be
strengthened substantially using modern statistical methods. METHODS: Directed
Acyclic Graphs were used in a dynamic Bayesian network approach to learn the
overall dependence structure of chosen variables. DAG-based inference identifies
the most likely directional links between multiple variables, thereby locating
them in a putative causal cascade. We used initial and 18-month follow-up data
from the 2000 British National Psychiatric Morbidity survey (N = 8580 and N =
2406). RESULTS: We analysed persecutory ideation, hallucinations, a range of
affective symptoms and the effects of cannabis and problematic alcohol use. Worry
was central to the links between symptoms, with plausible direct effects on
insomnia, depressed mood and generalised anxiety, and recent cannabis use. Worry
linked the other affective phenomena with paranoia. Hallucinations were connected
only to worry and persecutory ideation. General anxiety, worry, sleep problems,
and persecutory ideation were strongly self-predicting. Worry and persecutory
ideation were connected over the 18-month interval in an apparent feedback loop.
CONCLUSIONS: These results have implications for understanding dynamic processes
in psychosis and for targeting psychological interventions. The reciprocal
influence of worry and paranoia implies that treating either symptom is likely to
ameliorate the other.
PMID- 29808788
TI - Researching children's perspectives in pediatric palliative care: A systematic
review and meta-summary of qualitative research.
AB - OBJECTIVE: Qualitative research is pivotal in gaining understanding of
individuals' experiences in pediatric palliative care. In the past few decades,
the number of qualitative studies on pediatric palliative care has increased
slightly, as has interest in qualitative research in this area. Nonetheless, a
limited number of such studies have included the first-person perspective of
children. The aim of this article is to understand the contribution of previous
qualitative research on pediatric palliative care that included the voices of
children. METHOD: A systematic review of qualitative studies and a meta-summary
were conducted. MEDLINE, CINAHL, PsycINFO, PsycARTICLES, and ERIC were searched
without limitations on publication date or language. Eligible articles were
qualitative research articles in which the participants were children ranging in
age from 3 to 18 years.ResultWe retrieved 16 qualitative research articles
reporting on 12 unique studies, and we selected two mixed-method articles. The
meta-summary shows eight themes: the relationship with professional caregivers,
pain and its management, "living beyond pain," the relationship between pediatric
patients and their families, children's view on their treatment and service
provision, meanings children give to their end-of-life situation, consequences of
clinical decisions, and the relationships among children in pediatric palliative
care and their peers.Significance of resultsThis meta-summary presents the "state
of the art" of pediatric palliative care qualitative research on children and
highlights additional research areas that warrant qualitative study.
PMID- 29808789
TI - Food in rural northern Norway in relation to Sami ethnicity: the SAMINOR 2
Clinical Survey.
AB - OBJECTIVE: To estimate current food intake in the population of northern Norway
and to investigate the impact of self-perceived Sami ethnicity and region of
residence on food intake. DESIGN: The data are part of the second cross-sectional
survey of the Population-based Study on Health and Living Conditions in Regions
with Sami and Norwegian Populations (the SAMINOR 2 Clinical Survey, 2012-2014).
Food intake was assessed by an FFQ. Ethnic and regional differences in food
intake were studied by sex-specific, multivariable-adjusted quantile regression
models. SETTING: Ten municipalities (rural northern Norway). SUBJECTS: Males (n
2054) and females (n 2450) aged 40-69 years (2743 non-Sami, 622 multi-ethnic
Sami, 1139 Sami). RESULTS: The diet of Sami participants contained more reindeer
meat, moose meat, food made with animal blood and freshwater fish; and contained
less lean fish and vegetables. In the inland region, the consumption of reindeer
meat was greatest in Sami participants, followed by multi-ethnic Sami
participants and non-Sami participants, who had the lowest consumption (median
25, 12 and 8 g/d, respectively). Compared with the inland region, fish roe/liver
intake was higher in the coastal region and lean fish intake was twice as high
(41 and 32 g/d in males and females, respectively). CONCLUSIONS: When compared
with non-Sami participants, those with solely self-perceived Sami ethnicity
reported a significantly different intake of several foods, especially reindeer
meat in the inland region. Multi-ethnic Sami tended to have similar diets to non
Sami. Residence in the coastal region predicted higher fish and roe/liver intake.
PMID- 29808790
TI - Determination of Aniline, 4-Aminoazobenzene, and 2-Naphthol in the Color Additive
D&C Red No. 17 Using Ultra-High-Performance Liquid Chromatography.
AB - Specifications in the U.S. Code of Federal Regulations for the color additive D&C
Red No. 17 (R17, Colour Index No. 26100) limit the levels of the dye's
intermediates, aniline (AN), 2-naphthol (beta-naphthol, BN), and 4
aminoazobenzene (4AAB), to 0.2, 0.2, and 0.1%, respectively. The present work
reports the development and application of an ultra-HPLC method for the
quantitative determination of these impurities in R17. A 1.7 MUm particle size C
18 column was used with 0.2 M ammonium acetate and acetonitrile as the eluents.
AN, BN, and 4AAB were quantified by using six-point calibration curves with data
points (w/w) ranging from 0.01 to 0.25% for AN, 0.01 to 0.24% for BN, and 0.01 to
0.19% for 4AAB. The correlation coefficients ranged from 0.9992 to 0.9999. Limits
of detection for the analytes ranged from 0.002 to 0.01%. Recoveries of the
analytes ranged from 99.5 to 102%. Relative standard deviations ranged from 0.482
to 1.262%. The new method was applied to analyze portions from 22 batches of R17
submitted to the U.S. Food and Drug Administration for certification. It was
found to be simpler to implement, faster, and more sensitive than the older
gravity-elution column chromatography method, which it has replaced.
PMID- 29808792
TI - Advances in mechanism and treatment strategy of cancer.
AB - Cancer is one of the most serious diseases with high incidence and high mortality
rate threaten human health and quality of life. The researches on mechanisms of
cancer development and metastasis, and effective and reasonable treatment
strategies are of great significance. The traditional treatments for tumors such
as surgery, radiotherapy, and chemotherapy have some benefits, but many drawbacks
such as drug-resistance and side-effects. With the emergence of new therapeutic
methods such as tumor-targeted drugs and immune drugs, the survival of cancer
patients is improved, but reports on cardiac damage caused by therapeutic drugs
are increasing.
PMID- 29808793
TI - Icariin inhibits autophagy and promotes apoptosis in SKVCR cells through mTOR
signal pathway.
AB - Autophagy is a conserved biological process, which is regulated by mTOR pathway
and is reported to be a self-protective process of cancer cells to counteract
apoptosis. Icariin is an active flavonoid that is reported to inhibit autophagy.
In this study, we investigated whether Icariin could induce a reduction of cell
proliferation by inhibiting autophagy. SKVCR cells, which are resistant to
vincristine, were used for the investigation. We used CCK8 test and flow
cytometry assay to study the effects of Icariin on cell proliferation, cell
apoptosis and cell circle. We performed transmission electron microscope (TEM),
immunohistochemical assay and western blotting assay to study the level of
autophagy after Icariin treatment. Finally, we investigated whether the mTOR
pathway is a target of Icariin by using mTOR inhibitor rapamycin and detected
autophagy and apoptosis via flow cytometry assay, TEM, immunohistochemical assay
and western blotting assay. Decreased proliferation and increased apoptosis was
observed after Icariin treatment in SKVCR cells, together with decreased level of
autophagy. Application of rapamycin could reverse the anti-autophagic and pro-
apoptotic effect of Icariin. Icariin can inhibit autophagy and promote apoptosis
in SKVCR cells by activating mTOR signal pathway. Icariin attenuates
tumorigenesis by inhibiting autophagy and inducing apoptosis.
PMID- 29808794
TI - Bacillus Calmette Guerin (BCG) activates lymphocyte to promote autophagy and
apoptosis of gastric cancer MGC-803 cell.
AB - Bacillus Calmette Guerin (BCG) has a potential anti-tumor effect on gastric
cancer. However, the mechanism is still unclear. In this study, we investigated
the effect of BCG on gastric cancer cell line MGC-803 and studied the potential
cooperation of BCG and lymphocyte in determining the final fate of cancer cells.
After treatment with BCG, the cell viability was significantly inhibited in a
dosage-dependent manner. Flow cytometry assay showed the apoptosis rates were
significantly increased by BCG. Using western blot assay, results showed that BCG
increased cleaved-caspase-3, LC-3BII and Atg-3. After cocultured with BCG and
lymphocyte, the apoptosis rates, the levels of cleaved-caspase-3, and the protein
levels of LC-3BII and Atg-3 were significantly increased compared with BCG or
lymphocytes alone groups. ELISA detection found that BCG induced secretion of
interferon gamma (IFNg) from lymphocytes. BCG with IFNg also increased levels of
cleaved-caspase-3, LC-3BII and Atg-3. Taken together, BCG promotes lymphocyte
immunocompetence to induce cell apoptosis and autophagy in MGC-803 cells, might
through inducing release of IFNg from peripheral blood lymphocytes.
PMID- 29808795
TI - Luteolin promotes the sensitivity of cisplatin in ovarian cancer by decreasing
PRPA1-medicated autophagy.
AB - Luteolin (LUT) is a flavone universally presented in plants. It shows an anti
carcinogenic effect in different cancers and could increase the sensitivity of
cisplatin in colorectal cancer cell lines through Nrf2 pathway. However, the
effect of luteolin on the sensitivity to ovarian cancer cells has not been
studied. In this study, luteolin was found to suppress autophagy with reduced
expression of LC3-II, but enhanced the inhibition of cell vitality and promoted
apoptosis induced by cisplatin, leading to restoration of the sensitivity to
cisplatin in ovarian cancer cells through CCK-8, flow cytometry and
immunofluorescent assays. Although cisplatin elevated the PARP1 for cell
survival, the cisplatin-induced expression of PARP1 was inhibited by luteolin a
dose- and time- dependent manner through Q-PCR and WB assays. Further, PARP1
siRNA could further improve the LUT-induced inhibition of cell vitality and
restore the sensitivity to cisplatin with reduced LC3-II levels. Our present work
demonstrate that LUT can suppresses autophagy but enhance apoptosis induced by
cisplatin and promote the sensitivity to cisplatin through suppressing the
expression of RARP1 in ovarian cancer.
PMID- 29808797
TI - Adenovirus-mediated overexpression FADD induces a significant antitumor effect on
human colorectal cancer cells both in vitro and in vivo.
AB - The Wnt/beta-catenin signaling pathway plays important roles in cancers such as
colorectal cancer. Colon cancer cells secrete and express high levels of beta
catenin, which may stimulate autocrine signaling and further enhance activities
of the canonical Wnt signaling pathway. Free beta-catenin in the cytoplasm and
nucleus leads to its association with T cell factor (TCF)/lymphocyte enhancing
factor (Lef) transcription factors, and subsequent transcriptional activation of
downstream target genes. FADD plays a key role in cellular apoptosis in many
different types of cancer. Therefore, a recombinant adenovirus is constructed, in
which an apoptosis gene FADD is placed under control of a promoter containing Tcf
responsive elements. It is observed that FADD overexpression can suppress cell
growth and enhance apoptosis of SW480 cells in vitro. In addition, Ad-FADD can
also suppress the growth of subcutaneous xenografts in the nude mice. Together,
these results suggest that Ad-FADD has anti-proliferative and pro-apoptotic
effects in colon cancer cells, which provides a novel strategy for treatment of
colorectal cancer.
PMID- 29808791
TI - Genetic variability in scaffolding proteins and risk for schizophrenia and autism
spectrum disorders: a systematic review.
AB - Scaffolding proteins represent an evolutionary solution to controlling the
specificity of information transfer in intracellular networks. They are highly
concentrated in complexes located in specific subcellular locations. One of these
complexes is the postsynaptic density of the excitatory synapses. There,
scaffolding proteins regulate various processes related to synaptic plasticity,
such as glutamate receptor trafficking and signalling, and dendritic structure
and function. Most scaffolding proteins can be grouped into 4 main families:
discs large (DLG), discs-large-associated protein (DLGAP), Shank and Homer. Owing
to the importance of scaffolding proteins in postsynaptic density architecture,
it is not surprising that variants in the genes that code for these proteins have
been associated with neuropsychiatric diagnoses, including schizophrenia and
autism-spectrum disorders. Such evidence, together with the clinical,
neurobiological and genetic overlap described between schizophrenia and autism
spectrum disorders, suggest that alteration of scaffolding protein dynamics could
be part of the pathophysiology of both. However, despite the potential importance
of scaffolding proteins in these psychiatric conditions, no systematic review has
integrated the genetic and molecular data from studies conducted in the last
decade. This review has the following goals: i) to systematically analyze the
literature in which common and/or rare genetic variants (single nucleotide
polymorphisms, single nucleotide variants and copy number variants) in the
scaffolding family genes are associated with the risk for either schizophrenia or
autism-spectrum disorders; ii) to explore the implications of the reported
genetic variants for gene expression and/or protein function; and iii) to discuss
the relationship of these genetic variants to the shared genetic, clinical and
cognitive traits of schizophrenia and autism-spectrum disorders.
PMID- 29808798
TI - Knockdown of SIRT1 inhibits proliferation and promotes apoptosis of paclitaxel
resistant human cervical cancer cells.
AB - Cervical cancer (CC), a common gynecological cancer, is a primary cause of cancer
related death in women, worldwide. This study investigates the role of Sirtuin 1
(SIRT1) in paclitaxel (PTX)-resistant CC lines. We used qPCR and Western blots to
measure SIRT1 mRNA and protein expressions in 10 matched clinical cancer tissues.
We compared the expression levels of SIRT1 between sensitive CC cell lines and
PTX-resistant cell lines. Subsequently, we used SIRT1 siRNA to knockdown the
expression of SIRT1, and then measured cell proliferation, cell apoptosis rate,
cell cycle distribution, and expression levels of Bcl-2 and Bax in PTX-sensitive
Hela cell line, PTX-resistant Hela and Sila-resistant cell lines. Finally, we
detected the location and expression of MRP (multidrug resistance-associated
proteins) using immunofluorescence. We found that SIRT1 expression was higher in
PTX-sensitive CC tissues than in normal tissues, and significantly higher in PTX
resistant CC tissues than in PTX-sensitive CC tissues. We further demonstrated
that knockdown of SIRT1 in PTX-resistant CC cell lines and PTX-sensitive CC cell
line inhibited cell proliferation and promoted cell apoptosis. In addition, we
observed that blocking SIRT1 expression in PTX-resistant CC cell lines
significantly decreased MRP expression. SIRT1 exhibited high expression levels in
both PTX-resistant cell lines and patients. Our results suggest that SIRT1 serves
as a potential therapeutic target in PTX-resistant CC.
PMID- 29808796
TI - Characterization of imatinib-resistant K562 cell line displaying resistance
mechanisms.
AB - Chronic myeloid leukemia (CML) is a hematopoietic malignancy characterized by the
t(9; 22) and the related oncogene, BCR-ABL. Tyrosine kinase activity of fusion
protein BCR-ABL is the main cause of CML. Even if imatinib is used as a tyrosine
kinase inhibitor (TKI) for CML therapy, drug resistance may occur in patients and
the clinical failure of imatinib treatment in resistant patients had resulted
with the use of another alternative TKIs. BCR-ABL dependent and independent
molecular mechanisms have crucial roles in drug resistance. To reveal the
underlying molecular mechanisms which play significant roles in imatinib
resistance in CML, we established K562 imatinib-resistant cell line (K562r5)
which was continuously exposed to (5uM) imatinib to investigate molecular
mechanisms which play significant roles in drug resistance. First of all, we
analyzed T315I, M351T, F315L and F359C/L/V mutations with DNA sequencing as a BCR
ABL dependent mechanism in our cell lines. Moreover, we investigated BCR-ABL
independent mechanisms such as apoptosis, autophagy, drug transport and DNA
repair which affect drug resistance in these cell lines. In vitro cell viability
was determined by MTT assay. DNA sequencing analysis was performed to detect BCR
ABL mutations. The apoptotic effect of imatinib on CML cell lines was tested by
flow cytometric Annexin V-PE staining and caspase activation assays. Apoptotic,
autophagic, drug transporter and DNA repair genes expression levels were
determined by RT-PCR. The conventional cytogenetic analysis was performed on
K562s and K562r cells. Our results indicate that inhibition of apoptosis,
induction of autophagy, overexpression of efflux gene MDR1 and down-regulation of
influx gene OCT1 play crucial roles in the progression of imatinib resistance.
PMID- 29808799
TI - miR-140-5p suppresses retinoblastoma cell proliferation, migration, and invasion
by targeting CEMIP and CADM3.
AB - Retinoblastoma (RB) is a childhood intraocular tumor, affecting millions of
patients worldwide. MicroRNA-140-5p (miR-140-5p) was demonstrated to be involved
in the tumorigenesis of various human cancers; however, its role in RB remains
undetermined. In this study, quantitative real-time PCR (qRT-PCR) and Western
blot assays were used to determine the expression levels of miR-140-5p, cell
migration-inducing protein (CEMIP), and cell adhesion molecule 3 (CADM3) in RB
tissues and cell-lines. The proliferation ability was detected by cell-counting
kit 8 (CCK-8), Edu staining, and colony formation assay. The cell cycle and
migration and invasion abilities were measured by flow cytometry, wound-healing
assay and Transwell assays, respectively. The correlation between miR-140-5p and
CEMIP/CADM3 were then confirmed by immunofluorescence (IF) and dual-luciferase
reporter assays. The results showed that miR-140-5p expression was significantly
decreased; however, CEMIP and CADM3 expression was increased in RB tissues and
cells. Overexpression of miR-140-5p inhibited proliferation, migration, and
invasion of RB cells. We also found that miR-140-5p inhibited CEMIP and CADM3
expressions in RB cells. In addition, we demonstrated that miR-140-5p might
negatively regulate the transcriptional activities of CEMIP and CADM3 by
targeting their 3'-UTR. Therefore, we suggested that miR-140-5p could be a
potential therapeutic target for the treatment of RB through CEMIP and CADM3.
PMID- 29808800
TI - Visfatin increases miR-21 to promote migration in HCC.
AB - Hepatocellular carcinoma (HCC) is a common human malignancy. In this study, we
aimed to investigate the serum levels of visfatin and miR-21 in HCC patients, to
analysis the relationship between the pathological features and the plasma level
of visfatin or miR-21, and to explore the roles of visfatin and miR-21 in
migration of HCC cells. Our results showed that the serum levels of visfatin and
miR-21 were significant higher in HCC patients than healthy subjects. The
diagnostic sensitivity of serum visfatin was 82.5% and the specificity was 65.0%.
The serum visfatin was significantly associated with the histology and
metastasis. Visfatin induced miR-21 expression and cell migration in HepG2 cells.
Transfection of miR-21 inhibitor suppressed the visfatin-induced migration in HCC
cells. These results suggested that visfatin induced HCC cell migration via
upregulation of miR-21, which provides a novel basis for the diagnosis of HCC.
PMID- 29808801
TI - Influence of the vaccinating density of A549 cells on tumorigenesis and distant
organ metastasis in a lung cancer mice model.
AB - Lung metastasis of malignant tumors, such as lung carcinoma, is a major cause of
cancer-related deaths worldwide. The commonly used lung tumor models were
established by subcutaneous or intravenous injection of the non-small cell lung
cancer cell line A549 in mice. However, the influence of cell densities on
tumorigenesis and distant organ metastasis remains poorly investigated. In this
study, A549 cells were subcutaneously injected into mice at 1 * 107 cells/mL, 5 *
106 cells/mL, and 1 * 106 cells/mL or intravenously at 1 * 106 cells/mL, 5 * 106
cells/mL, and 1 * 106 cells/mL. Then, histology analysis, immunohistochemistry
staining, and in-situ TUNEL assay were performed to evaluate tumor growth and
metastasis. Results showed that subcutaneously injecting the A549 cells could
develop tumors and that fewer apoptotic cells were found in the 5 * 106 cells/mL
group than in the other two groups. In groups intravenously injected with A549
cells, there were tumor nodules in all groups, and the 1 * 105 cells/mL group
showed longer survival time than the other two groups without any distant organ
metastasis. There were tumor nodules formed in the liver in the 1 * 106 cells/mL
group at 14 d. Together, our results demonstrated that 5 * 106 cells/mL and 1 *
105 cells/mL are the optimal cell concentrations for the subcutaneous and
experimental metastatic models, respectively.
PMID- 29808802
TI - Flavored Guilu Erxian decoction inhibits the injury of human bone marrow
mesenchymal stem cells induced by cisplatin.
AB - To examine the exact role of flavored Guilu Erxian decoction, a Traditional
Chinese Medicine (TCM) in the treatment of cisplatin-induced side-effects in bone
marrow mesenchymal stem cells (BM-MSCs). BM-MSCs were isolated from bone marrow
collected from SD rats and identified by flow cytometry. Cells were cultivated in
MEM alpha medium containing 5% (TCM-L), 10% (TCM-M) and 20% (TCM-H) dosages of
flavored Guilu Erxian decoction with or without cisplatin. Cell viability was
determined through CCK-8 and thymidine analog 5-ethynyl-2'-deoxyuridine (EdU)
staining assay. Flow cytometry was used to determine cell cycle and apoptosis.
The expression of p21 and cleaved-caspase-3 were examined using Western blot
assay. The PI3K-AKT-mTOR pathway associated proteins, including p-PI3K, p-AKT and
p-mTOR, were also examined by Western blot assay. CCK-8 and EdU staining assay
demonstrated that cisplatin could inhibit cell proliferation in BM-MSCs in a dose
and time dependent manner. Further, cisplatin could induce apoptosis through
increasing G0/G1 cell cycle arrest, p21 and cleaved-caspase-3 expression.
However, these phenomena would be significantly alleviated when adding the serum
containing flavored Guilu Erxian decoction. Furthermore, the PI3K-AKT-mTOR
pathway activation could be inhibited by cisplatin in BM-MSCs, while flavored
Guilu Erxian decoction treatment successfully abrogated this effect. Combination
of flavored Guilu Erxian decoction and cisplatin could reduce the damage to BM
MSCs. This indicates that the flavored Guilu Erxian decoction can enhance the
possibility of BM-MSCs repairing and rehabilitating the normal function of
injured tissues induced by cisplatin, which could provide a new direction for
therapeutic applications.
PMID- 29808803
TI - The PI3K and AIB1 interaction is involved in estrogen treated breast cancer
cells.
AB - AIB1 was involved in the development and progression of breast cancer. Although
it was found that AIB1 could be phosphorylated by some kinases including PI3K,
the function of AIB1 and AKT interaction in breast cancer is not well defined.
MCF-7 cells were transfected with pERE-Luc AKT and/or AIB1 plasmids, and then ERE
luciferase activity in presence or absence of estrogen (E2) were measured.
Plasmids containing PTEN and an PI3K inhibitor LY294002 were transfected into or
treated cells to identify the interaction of PI3K/AKT and activation of AIB1, and
examine their roles in cell cycle regulation. The AKT phosphorylation activity
was evaluated by kinase assay using H2B as a substrate. The association between
A1B1 and pS2 promoter was detected by the Chromatin Immunoprecipitation (ChIP)
assay. AIB1 and AKT in the same complex were detected by Pull-down assay. IGF-1
can increase AIB1 recruitment to PS2 and enhance the ER-dependent transcription
activity through the PI3K/AKT pathway. AIB1 associate with AKT to regulate cell
cycle. The special relations concerning the AIB1 and AKT may arouse some new
viewpoints for potential therapeutic targets in breast cancer.
PMID- 29808804
TI - Levels of serum bilirubin in small cell lung cancer and non-small cell lung
cancer patients.
AB - Elevated bilirubin has been associated with protection of cardiovascular and
kidney systems, whereas decreased bilirubin may predispose respiratory diseases.
However, whether serum bilirubin levels are associated with lung cancer remains
unclear. Here, clinical and pathologic data of a cohort of 363 lung cancer
patients along with 363 age-and gender-matched healthy subjects were collected.
The association of serum bilirubin levels with lung cancer was analyzed. The
levels of serum bilirubin were significantly lower in lung cancer patients. The
aspartate transaminase and alkaline phosphatase levels were significantly higher
in lung cancer. Multi-classification logistics regression analysis revealed low
total bilirubin level [OR (95%CI), 1.12 (1.02-1.23)], aspartate transaminase [OR
(95%CI), 1.12 (1.02-1.23)], and alanine transaminase [OR (95%CI), 1.12 (1.02
1.23)] were risk factors in lung cancer. Serum bilirubin levels were
significantly changed among small cell lung cancer (SCLC), lung adenocarcinoma
(LAC) and lung squamous cell carcinoma (LSC). Total bilirubin level, smoke
history and heart disease were risk factors for subtypes. Compared with LSC,
patients with smoke history had significant higher risk in LAC [OR (95%
Confidence Interval, CI), 4.49 (1.70, 11.96)]. Compared with LSC, patients with
smoke history [OR (95%CI), 4.49 (1.70, 11.96)] and heart disease [OR (95%CI),
4.49 (1.70, 11.96)] had significant higher risk in SCLC. Compared with SCLC,
patients with low total bilirubin [OR (95%CI), 1.12 (1.02-1.23)] and heart
disease [OR (95%CI), 3.52 (1.01-12.23)] had significant higher risk in LAC. Taken
together, these results suggested low serum bilirubin levels are tightly
associated with lung cancer, especially with LAC. Serum bilirubin levels might
serve as a predictor for lung cancer patients clinically.
PMID- 29808805
TI - MicroRNA-200 as potential diagnostic markers for colorectal cancer: meta-analysis
and experimental validation.
AB - Members of microRNA(miR)-200 family is proposed as promising biomarkers for
colorectal cancer (CRC). However, their expression in CRC patients, and whether
them could identify as new biomarkers of cancers are inconsistent and
controversy. Therefore, a meta-analysis was performed to assess the diagnostic
value of miR-200 family members in CRC patients. This meta-analysis screened 6
studies, including 191 patients with colorectal cancer at stage IV, 446 patients
with colorectal cancer at stage I~III and 98 normal controls, and performed using
bivariate and hierarchical summary receiver operating characteristic (HSROC)
models. The quality of the eligible studies was assessed according to Quality
Assessment of Diagnosis Accuracy Studies-2. The pooled sensitivity and
specificity of miR-141 alone for CRC diagnosis were 82% and 75%, respectively.
The diagnostic odds ratio (DOR) value was 13.21 [95% confidence interval (CI),
7.00-24.95], and the area under the curve (AUC) was 0.85 (95% CI, 0.82-0.88). The
pooled sensitivity and specificity of total miR-200 family members were 79% and
71%, respectively. In the HSROC model, the estimate for the "Lambda" was 2.48
(95% CI,1.50-3.46). Finally, we detected the miR-141 in 20 CRC patients and 20
healthy. Results showed that serum miR-141 was overexpressed in CRC patients.
Overall, miR-141 in miR-200 family has a good sensitivity and moderate
specificity for CRC diagnosis.
PMID- 29808806
TI - A meta-analysis of microRNA-17 as a potential biomarker in diagnosis of
colorectal cancer.
AB - This meta-analysis was aimed to determine the diagnostic accuracy of circulating
microRNA-17 for colorectal Cancer (CRC). Databases including PubMed, Embase, Web
of Science, Cochrane Library and China National Knowledge Infrastructure (CNKI)
were searched up to February 23, 2018 for eligible studies. Quality Assessment of
Diagnostic Accuracy Studies (QUADAS) was employed to assess the quality of the
included studies. Meta-analysis was performed in STATA 13.0. Ten studies with
total 938 CRC patients and 638 control individuals were included in this meta
analysis. All of the included studies are of high quality. The summary estimates
revealed that the pooled sensitivity is 0.75 (95% confidence interval (CI): 0.60
0.85) and the specificity is 68% (95% CI: 0.56-0.77), for the diagnosis of CRC.
In addition, the area under the summary ROC curve (AUC) is 0.76. The current
evidence suggests that circulating miR-17 has the potential diagnostic value for
CRC. More prospective studies on the diagnostic value of circulating miR-17 for
CRC are needed in the future. Together, microRNA-17 might be a novel potential
biomarker in the diagnosis of colorectal cancer, and more studies are needed to
highlight the theoretical strengths.
PMID- 29808807
TI - Levels of peripheral Th17 cells and serum Th17-related cytokines in patients with
colorectal cancer: a meta-analysis.
AB - Studies suggest that inflammation is involved in the colorectal cancer (CRC)
pathology and symptoms. This study sought to quantitatively summarize the
clinical cytokine data. Multiple reports have described the proportion of Th17
cells in peripheral blood and serum levels of Th17-related cytokines in patients
with colorectal cancer (CRC). To clarify the status of Th17 cells and Th17
related cytokines in CRC patients, we did a meta-analysis of the results
published previously to quantitatively assess the levels of peripheral Th17 cells
and serum Th17-related cytokines in patients with CRC. We searched PubMed,
Embase, web of Science, Cochrane Library and China National Knowledge
Infrastructure (CNKI) systematically for studies reporting the proportion of Th17
cells and the serum levels of Th17-related cytokines (IL-17, IL-17A, IL-6, IL-22,
IL-23) in CRC patients. Studies measuring the proportion of Th17 cells and the
serum levels of Th17-related cytokines (IL-17, IL-17A, IL-6, IL-22, IL-23) in CRC
and healthy control subjects were included. Mean (standard deviation) proportion
of Th17 cells and cytokine concentrations for CRC and control subjects were
extracted. We assessed pooled data by using a random-effects model. We identified
1276 studies, of which 24 studies were included in the final meta-analytical
processes. The quality was reliable according to the Newcastle-Ottawa Quality
Assessment Scale (Case Control Studies). Compared with control subjects, CRC
patients had a higher proportion of Th17 cells [2.37%, (0.53, 2.21)]; an elevated
levels of serum IL-17A 1.11 pg./ml, 95%CI (0.16-2.07); an elevated levels of
serum IL-6 3.42 pg/ml, 95%CI (3.14-3.70); an elevated levels of serum IL-22 1.32
pg/ml, 95%CI (0.94-1.70); an elevated levels of serum IL-23 0.16pg/ml, 95%CI(1.94
5.39). After sensitivity analysis, an elevated level of serum IL-17 was showed.
The data showed that the proportion of Th17 cells in PB and levels of serum IL
17, IL-17A, IL-6, IL-22, IL-23 increased among CRC patients compared to control
subjects. This result demonstrated that Th17 cells and Th17-related cytokines may
be involved in the pathogenic mechanisms of CRC.
PMID- 29808808
TI - The regulatory effect of Xiaoyao San on glucocorticoid receptors under the
condition of chronic stress.
AB - In modern society, fierce competitions cause yearly increase of depression and
anxiety. Xiaoyao San is a traditional Chinese medicine which relieves depression
and nourishes liver. The active ingredients contain saikoside A, saikoside C,
saikoside D, ferulic acid, ligustilide, Atractylenolide I, Atractylenolide II,
Atractylenolide III, paeoniflorin, Albiflorin, liquiritin, glycyrrhizic acid and
pachymic acid. In stress condition, glucocorticoid receptors participate in the
hypothalamus-pituitarium-adrenal gland (HPA) axis to regulate the balance of
organism. In response to stress, the HPA axis (hypothalamus-pituitarium-adrenal
gland) is activated and the levels of glucocorticoid (GC) and catecholamine (CA)
are increased to enhance neuroendocrine reactions. Chronic stress activates HPA
axis and sustaining increase of GC, reduces the expression amount of GR and
inhibits the mechanism of negative feedback on HPA. The lower negative feedback
on HPA could lead to ketonemia. Several active ingredients of Xiaoyao San can
raise the expression of GR and recover the negative feedback of HPA axis to
relieve depression and illness state. In spite of the poor understanding of the
current effective components in Xiaoyao San, this will be the focus of our
further research. The study of Xiaoyao San could help us better understand its
anti-depression mechanism and cure the patients.
PMID- 29808810
TI - ?
PMID- 29808809
TI - Huge abdominal and perineal aggressive angiomyxoma: A misdiagnosed case report
and literature review.
AB - Aggressive angiomyxoma (AA) is a distinctive soft tissue tumor with a high risk
of local recurrence. Clinicians must be aware of this rare tumor pre-operatively.
Excision is the preferred method of AA treatment. The case report presents a case
of a 36-year-old woman who was difficulty in walking due to a non-painful tumor
in the abdomen and perineum. She was misdiagnosed as abdomen neurofibroma for
more than 10 years, and an operation was performed in 1997. However, the tumor
was incompletely resected because its huge volume accompanies with extensive
infiltration and bleeding. The tumors in her abdomen and perineum were growing
gradually, and the latter became a large lump which impeded her daily life. In
2008, the perineal tumor was incompletely resected, which weighed 10725 g. The
severe hemorrhage had been ceased by Gonadotropin-Releasing Hormone treatment.
She is alive till now. Details of the history and operative procedures are
presented. An AA diagnosis was made by microscopy immunohistochemically. Long
time misdiagnosis and improper treatment are the important reasons for making it
impossible to be radically resected. Pathological and immunohistochemical
examination are important for avoiding misdiagnosis. For this case, there is a
remaining tumor in her abdomen. A special project including further follow-up and
treatment will be taken out.
PMID- 29808811
TI - ?
PMID- 29808812
TI - [Personalised nutrition for weight loss in overweight patients].
AB - Over the past several decades numerous trials have compared various diets for the
management of overweight and obesity, assuming that one diet fits all. However,
it is far more likely, that different people will have different levels of
success on different diets. We have investigated fasting plasma glucose (and
insulin) levels as well as the microbiota Prevotella/Bacteroides-ratio as
prognostic markers of weight loss during periods of characterised dietary
composition. Overall, these biomarkers hold great promise for moving forward with
personalised nutrition to improve weight control in obese patients.
PMID- 29808813
TI - [Intralymphatic allergen-specific immunotherapy].
AB - An emerging method for allergen-specific immunotherapy is intralymphatic
placement, which only requires three injections with intervals of four weeks. In
this review, we summarise available evidence on clinical safety, biological
efficacy and therapeutic outcomes. The treatment appears to be safe with only few
and mild adverse reactions. The immunological activation profile is comparable to
that known for subcutaneous therapy. Clinically, patients experienced fewer
symptoms with less medication use with intralymphatic allergen-specific
immunotherapy than with other types of immunotherapy. The number of studies is
limited, and the studies have important limitations. More phase 3 studies are
needed in order to make a conclusion.
PMID- 29808814
TI - [Affected liver biochemistry in children with rheumatic diseases].
AB - Children with rheumatic diseases often have elevated liver biochemistry. This can
be triggered by medical treatment, e.g. methotrexate can induce liver injury
ranging from mild to severe. Autoimmune hepatitis and sclerosing cholangitis are
also seen in conjunction with rheumatic diseases, and early diagnosis and
treatment is crucial to prevent development of cirrhosis and liver
transplantation. Macrophage activation syndrome is a rare but important
differential diagnosis as it is a potentially fatal complication to systemic
rheumatic diseases, causing liver dysfunction and multi-organ failure.
PMID- 29808815
TI - [Work-up of asbestosis and estimation of asbestos exposure in an occupational
context].
AB - Asbestosis is interstitial lung fibrosis due to inhalation of asbestos fibres. Up
to the ban of import in 1986, 0.7 mil tons had been used in Denmark. The
diagnosis of asbestosis is a challenge because of long latency time and very few
national occupational asbestos measurements. The cornerstone of exposure
assessment is a thorough occupational history, investigation of asbestos content
in products used, search for possible and relevant measurements of asbestos
fibres in the air, and results of lung biopsies, if present. Although no definite
lower limit of exposure can be defined, a cumulated exposure of > 10 fibre-years
is a relevant measure for exposure defining the disease, as one fibre-year equals
one asbestos fibre/cm3 air/occupational year.
PMID- 29808816
TI - [A watchful waiting strategy in ventral and inguinal hernias].
AB - In male patients with asymptomatic or minimally symptomatic ventral and inguinal
hernias, a watchful waiting strategy should be considered. Even though one third
to two thirds of these patients will eventually undergo hernia repair, they may
still benefit from a watchful waiting strategy, as hernia repair is associated
with a range of complications, e.g. wound infection, haematoma, seroma, fistulas
and chronic pain. Delay of hernia repair in these patients is not associated with
any significant increase in morbidity or mortality, and the risk of incarceration
is very low.
PMID- 29808817
TI - [Periodic fever syndromes].
AB - Periodic fever syndromes (PFS) are characterised by recurrent fever and excessive
systemic inflammation. These rare and hereditary syndromes include familial
Mediterranean fever, tumour necrosis factor receptor-1 associated periodic
syndrome, mevalonate kinase deficiency and cryopyrin-associated periodic
syndrome. Each PFS has distinct clinical and genetic features. Availability of
improved genetic methods has improved the understanding of the syndromes and
diagnostic testing. The main complication is systemic amyloidosis. Targeted
biological therapy such as interleukin-1 inhibitors is emerging as an effective
treatment.
PMID- 29808818
TI - Illustration of the variation in vessel healing response after two different poly
L-lactic acid bioresorbable scaffolds implantation: Insights from optical
coherence tomography.
PMID- 29808819
TI - Angiographic and clinical performance of a paclitaxel-coated balloon compared to
a second-generation sirolimus-eluting stent in patients with in-stent restenosis:
the BIOLUX randomised controlled trial.
AB - AIMS: Although several studies have shown positive outcomes after the use of drug
coated balloons (DCB) for in-stent restenosis (ISR), data on randomised
controlled trials versus latest-generation drug-eluting stents (DES) are limited.
Therefore, in this randomised trial, we sought to evaluate whether a butyryl-tri
hexyl citrate (BTHC)-based paclitaxel DCB is non-inferior to a biodegradable
polymer sirolimus-eluting stent (BP-SES) therapy in patients with ISR in either a
bare metal stent (BMS) or DES. METHODS AND RESULTS: A total of 229 patients with
ISR in BMS or DES from 13 German centres and one Latvian centre were 2:1 randomly
allocated to DCB (n=157) or DES (n=72). The primary efficacy endpoint was defined
as in-stent late lumen loss (LLL) at six months, and the primary safety endpoint
was target lesion failure (TLF) at 12 months. LLL in the DCB arm was 0.03+/-0.40
mm compared to 0.20+/-0.70 mm in the DES arm (p=0.40). DCB proved to be non
inferior to DES (Delta = -0.17+/-0.52 mm, 97.5% CI -infinity; -0.01]; p<0.0001).
At 12 months, Kaplan-Meier TLF estimates were 16.7% in the DCB arm and 14.2% in
the DES arm (p=0.65) and remained similar at 18 months (DCB versus DES: 17.4%
versus 19.5%, p=0.88). CONCLUSIONS: In patients with DES or BMS ISR, treatment
with a paclitaxel DCB showed similar LLL at six months and TLF rates up to 18
months compared to a second-generation sirolimus DES.
PMID- 29808820
TI - Transcatheter aortic valve implantation with the 34 mm self-expanding CoreValve
Evolut R: initial experience in 101 patients from a multicentre registry.
AB - AIMS: The recently released Medtronic CoreValve Evolut R 34 mm is the largest
self-expanding transcatheter heart valve ever developed. Clinical data for this
device size are scarce. We therefore aimed to evaluate the clinical performance
and safety of the new device. METHODS AND RESULTS: We report on the first 101
consecutive patients treated with transfemoral transcatheter aortic valve
implantation (TAVI) using the 34 mm Evolut R device in a multicentre registry.
Clinical parameters were determined before the procedure and echocardiography was
performed at baseline and discharge. VARC-2 criteria were assessed at 30 days.
Mean age was 80.7 years; mean logistic EuroSCORE was 19.8%. Procedural duration
was 71.6 min. Echocardiography at discharge revealed a mean AVA of 2.0 cm2,
moderate aortic regurgitation (AR) in 4.0% and severe AR in 1.0%. VARC-2 device
success was achieved in 92.1%, while the early safety endpoint occurred in 11
patients (10.9%). New permanent pacemakers were implanted in 17 patients (18.7%).
Thirty-day mortality was 2.0%, a stroke occurred in 3.0%, with a disabling stroke
in one patient. The incidence of major vascular complications and bleeding was
1.0% and 5.0%, respectively. CONCLUSIONS: Initial experience with the new self
expanding CoreValve Evolut R 34 mm valve is characterised by high procedural
success, good haemodynamic performance and a low early complication rate.
PMID- 29808821
TI - Prevalence, predictors, and health status implications of periprocedural
complications during coronary chronic total occlusion angioplasty.
AB - AIMS: Contemporary coronary chronic total occlusion (CTO) PCI has been associated
with increased success rates. However, the rate of periprocedural complications
for hybrid CTO PCI remains incompletely defined. We leveraged the OPEN CTO study
in order to describe the prevalence, predictors, and health status outcomes of
complications during contemporary CTO PCI. METHODS AND RESULTS: Baseline
demographics, procedural characteristics and rates of in-hospital complications
were prospectively collected for 1,000 consecutive procedures at 12 expert US
centres from 02/2014 to 07/2015. Multivariable logistic regression was used to
evaluate the association of pre-specified anatomic and physiologic variables with
complications. Patient-reported health status measures over the year following
CTO PCI were also compared between those with and those without periprocedural
complications. The overall complication rate was 9.7% (n=97/1,000). The most
common adverse events were perforation (8.8%), periprocedural myocardial
infarction (2.6%), arrhythmia requiring treatment (1.2%), cardiogenic shock
(1.1%), and in-hospital death (0.9%). Independent predictors of complications
during CTO PCI were: use of the retrograde approach (OR 1.98, 95% CI: 1.32-2.99),
age (OR 1.30, 95% CI: 1.07-1.58 per 10-year increment), and J-CTO score (OR 1.20,
95% CI: 1.03-1.41 per one point increment). Mean health status scores over 12
months were worse for patients who experienced complications compared to those
who did not, even after adjusting for baseline health status. CONCLUSIONS:
Complication rates for CTO PCI are more frequent than those reported for non-CTO
PCI and were independently associated with retrograde approach, increasing age,
and increasing lesion complexity. In addition, these periprocedural complications
were also associated with worse long-term health status outcomes.
PMID- 29808822
TI - The effects of global warming on allergic diseases.
AB - Global warming is a public health emergency. Substantial scientific evidence
indicates an unequivocal rising trend in global surface temperature that has
caused higher atmospheric levels of moisture retention leading to more frequent
extreme weather conditions, shrinking ice volume, and gradually rising sea
levels. The concomitant rise in the prevalence of allergic diseases is closely
related to these environmental changes because warm and moist environments favour
the proliferation of common allergens such as pollens, dust mites, molds, and
fungi. Global warming also stresses ecosystems, further accelerating critical
biodiversity loss. Excessive carbon dioxide, together with the warming of
seawater, promotes ocean acidification and oxygen depletion. This results in a
progressive decline of phytoplankton and fish growth that in turn promotes the
formation of larger oceanic dead zones, disrupting the food chain and
biodiversity. Poor environmental biodiversity and a reduction in the microbiome
spectrum are risk factors for allergic diseases in human populations. While
climate change and the existence of an allergy epidemic are closely linked
according to robust international research, efforts to mitigate these have
encountered strong resistance because of vested economic and political concerns
in different countries. International collaboration to establish legally binding
regulations should be mandatory for forest protection and energy saving.
Lifestyle and behavioural changes should also be advocated at the individual
level by focusing on low carbon living; avoiding food wastage; and implementing
the 4Rs: reduce, reuse, recycle, and replace principles. These lifestyle measures
are entirely consistent with the current recommendations for allergy prevention.
Efforts to mitigate climate change, preserve biodiversity, and prevent chronic
diseases are interdependent disciplines.
PMID- 29808823
TI - Highly stable and water-soluble monodisperse CsPbX3/SiO2 nanocomposites for white
LED and cells imaging.
AB - In spite of the excellent optical properties of all-inorganic halide perovskite
quantum dots (PQDs), they still suffer from inherent poor stability even when
exposed to moisture from the atmosphere, restricting their applications,
especially in white-light-emitting diodes (LEDs) and cells imaging. Here, we
proposed a strategy by encapsulating the CsPbX3 (X = Cl, Br, I) PQDs into silica
nanoplates to prepare highly stable and water-soluble CsPbX3/SiO2 nanocomposites.
First, the 120 nm monodisperse CsPbX3/SiO2 nanocomposites inlayed with several
CsPbX3 PQDs were fabricated via the modified Stober method. After coating, their
stability exposed in the air was largely improved for all the CsPbX3 (X = Cl, Br,
I) PQDs without changing their emission peaks and full-width at half-maximum,
attributed to the suppression of the anion-exchange and decomposition. Moreover,
further experiments demonstrated that the CsPbX3/SiO2 nanocomposites were highly
water-soluble and stable in the water. Their applications in LEDs and cell
imaging demonstrated their ultrastability and high biocompatibility. Therefore,
this study shows the possibility of their use in photoelectric devices and
biological applications.
PMID- 29808824
TI - Bayesian fusion of physiological measurements using a signal quality extension.
AB - OBJECTIVE: The fusion of multiple noisy labels for biomedical data (such as ECG
annotations, which may be obtained from human experts or from automated systems)
into a single robust annotation has many applications in physiologic monitoring.
Directly modelling the difficulty of the task has the potential to improve the
fusion of such labels. This paper proposes a means for the incorporation of task
difficulty, as quantified by 'signal quality', into the fusion process. APPROACH:
We propose a Bayesian fusion model to infer a consensus through aggregating
labels, where the labels are provided by multiple imperfect automated algorithms
(or 'annotators'). Our model incorporates the signal quality of the underlying
recording when fusing labels. We compare our proposed model with previously
published approaches. Two publicly available datasets were used to demonstrate
the feasibility of our proposed model: one focused on QT interval estimation in
the ECG and the other focused on respiratory rate (RR) estimation from the
photoplethysmogram (PPG). We inferred the hyperparameters of our model using
maximum- a posteriori inference and Gibbs sampling. MAIN RESULTS: For the QT
dataset, our model significantly outperformed the previously published models
(root-mean-square error of [Formula: see text] ms for our model versus [Formula:
see text] ms from the best existing model) when fusing labels from only three
annotators. For the RR dataset, no improvement was observed compared to the same
model without signal quality modelling, where our model outperformed existing
models (mean-absolute error of [Formula: see text] bpm for our model versus
[Formula: see text] bpm from the best existing model). We conclude that our
approach demonstrates the feasibility of using a signal quality metric as a
confidence measure to improve label fusion. SIGNIFICANCE: Our Bayesian learning
model provides an extension over existing work to incorporate signal quality as a
confidence measure to improve the reliability of fusing labels from biomedical
datasets.
PMID- 29808825
TI - Effects of HfO2 encapsulation on electrical performances of few-layered MoS2
transistor with ALD HfO2 as back-gate dielectric.
AB - The carrier mobility of MoS2 transistors can be greatly improved by the screening
role of high-k gate dielectric. In this work, atomic-layer deposited (ALD) HfO2
annealed in NH3 is used to replace SiO2 as the gate dielectric to fabricate back
gated few-layered MoS2 transistors, and good electrical properties are achieved
with field-effect mobility (MU) of 19.1 cm2 V-1 s-1, subthreshold swing (SS) of
123.6 mV dec-1 and on/off ratio of 3.76 * 105. Furthermore, enhanced device
performance is obtained when the surface of the MoS2 channel is coated by an ALD
HfO2 layer with different thicknesses (10, 15 and 20 nm), where the transistor
with a 15 nm HfO2 encapsulation layer exhibits the best overall electrical
properties: MU = 42.1 cm2 V-1 s-1, SS = 87.9 mV dec-1 and on/off ratio of 2.72 *
106. These improvements should be associated with the enhanced screening effect
on charged-impurity scattering and protection from absorption of environmental
gas molecules by the high-k encapsulation. The capacitance equivalent thickness
of the back-gate dielectric (HfO2) is only 6.58 nm, which is conducive to scaling
of the MoS2 transistors.
PMID- 29808826
TI - Light metal decorated graphdiyne nanosheets for reversible hydrogen storage.
AB - The sensitive nature of molecular hydrogen (H2) interaction with the surfaces of
pristine and functionalized nanostructures, especially two-dimensional materials,
has been a subject of debate for a while now. An accurate approximation of the H2
adsorption mechanism has vital significance for fields such as H2 storage
applications. Owing to the importance of this issue, we have performed a
comprehensive density functional theory (DFT) study by means of several different
approximations to investigate the structural, electronic, charge transfer and
energy storage properties of pristine and functionalized graphdiyne (GDY)
nanosheets. The dopants considered here include the light metals Li, Na, K, Ca,
Sc and Ti, which have a uniform distribution over GDY even at high doping
concentration due to their strong binding and charge transfer mechanism. Upon 11%
of metal functionalization, GDY changes into a metallic state from being a small
band-gap semiconductor. Such situations turn the dopants to a partial positive
state, which is favorable for adsorption of H2 molecules. The adsorption
mechanism of H2 on GDY has been studied and compared by different methods like
generalized gradient approximation, van der Waals density functional and DFT-D3
functionals. It has been established that each functionalized system anchors
multiple H2 molecules with adsorption energies that fall into a suitable range
regardless of the functional used for approximations. A significantly high H2
storage capacity would guarantee that light metal-doped GDY nanosheets could
serve as efficient and reversible H2 storage materials.
PMID- 29808827
TI - Hyperbranched TiO2-CdS nano-heterostructures for highly efficient
photoelectrochemical photoanodes.
AB - Quasi-1D-hyperbranched TiO2 nanostructures are grown via pulsed laser deposition
and sensitized with thin layers of CdS to act as a highly efficient
photoelectrochemical photoanode. The device properties are systematically
investigated by optimizing the height of TiO2 scaffold structure and thickness of
the CdS sensitizing layer, achieving photocurrent values up to 6.6 mA cm-2 and
reaching saturation with applied biases as low as 0.35 VRHE. The high internal
conversion efficiency of these devices is to be found in the efficient charge
generation and injection of the thin CdS photoactive film and in the enhanced
charge transport properties of the hyperbranched TiO2 scaffold. Hence, the
proposed device represents a promising architecture for heterostructures capable
of achieving high solar-to-hydrogen efficiency.
PMID- 29808828
TI - Nanoink bridge-induced capillary pen printing for chemical sensors.
AB - Single-walled carbon nanotubes (SWCNTs) are used as a key component for chemical
sensors. For miniature scale design, a continuous printing method is preferred
for electrical conductance without damaging the substrate. In this paper, a non
contact capillary pen printing method is presented by the formation of a nanoink
bridge between the nib of a capillary pen and a polyethylene terephthalate film.
A critical parameter for stable printing is the advancing contact angle at the
bridge meniscus, which is a function of substrate temperature and printing speed.
The printed pattern including dots, lines, and films of SWCNTs are characterized
by morphology, optical transparency, and electrical properties. Gas and pH
sensors fabricated using the non-contact printing method are demonstrated as
applications.
PMID- 29808829
TI - Buckling behaviors of single-walled carbon nanotubes inserted with a linear
carbon-atom chain.
AB - Buckling behaviors of single-walled carbon nanotubes (SWCNTs) inserted with a
linear carbon-atom chain (CAC) (the composite structures are also called carbon
nanowires (CNWs)) under torsion and bending as well as compression are studied
using molecular dynamics (MD) simulations, respectively. Our MD results show that
the critical buckling angles (or strains) of CNWs under the three presented kinds
of loading patterns can be two times those of corresponding independent SWCNTs
for long CNWs, while the buckling improvement is not obvious for short ones. The
main reason is that the radial van der Waals force between the CAC and the SWCNT
is very small for a short CNW, while it increases with increasing length and then
tends to a constant for a long CNW. The obtained MD results agree well with those
from available theoretical models. These findings will be a great help towards
understanding the stability and reliability of the special CNT structures, and
designing flexible CNT-based devices.
PMID- 29808830
TI - On-demand electron source with tunable energy distribution.
AB - We propose a scheme to manipulate the electron-hole excitation in the voltage
pulse electron source, which can be realized by a voltage-driven Ohmic contact
connecting to a quantum hall edge channel. It has been known that the electron
hole excitation can be suppressed via Lorentzian pulses, leading to noiseless
electron current. We show that, instead of the Lorentzian pulses, driven via the
voltage pulse [Formula: see text] with duration t 0, the electron-hole excitation
can be tuned so that the corresponding energy distribution of the emitted
electrons follows the Fermi distribution with temperature [Formula: see text],
with T S being the electron temperature in the Ohmic contact. Such Fermi
distribution can be established without introducing additional energy relaxation
mechanism and can be detected via shot noise thermometry technique, making it
helpful in the study of thermal transport and decoherence in mesoscopic system.
PMID- 29808831
TI - Functionalization of carbon fiber tows with ZnO nanorods for stress sensor
integration in smart composite materials.
AB - The physical and operating principle of a stress sensor, based on two crossing
carbon fibers functionalized with ZnO nanorod-shaped nanostructures, was recently
demonstrated. The functionalization process has been here extended to tows made
of one thousand fibers, like those commonly used in industrial processing, to
prove the idea that the same working principle can be exploited in the creation
of smart sensing carbon fiber composites. A stress-sensing device made of two
functionalized tows, fixed with epoxy resin and crossing like in a typical carbon
fiber texture, was successfully tested. Piezoelectric properties of single
nanorods, as well as those of the test device, were measured and discussed.
PMID- 29808832
TI - Silk protein nanowires patterned using electron beam lithography.
AB - Nanofabrication approaches to pattern proteins at the nanoscale are useful in
applications ranging from organic bioelectronics to cellular engineering.
Specifically, functional materials based on natural polymers offer sustainable
and environment-friendly substitutes to synthetic polymers. Silk proteins
(fibroin and sericin) have emerged as an important class of biomaterials for next
generation applications owing to excellent optical and mechanical properties,
inherent biocompatibility, and biodegradability. However, the ability to
precisely control their spatial positioning at the nanoscale via high throughput
tools continues to remain a challenge. In this study electron beam lithography
(EBL) is used to provide nanoscale patterning using methacrylate conjugated silk
proteins that are photoreactive 'photoresists' materials. Very low energy
electron beam radiation can be used to pattern silk proteins at the nanoscale and
over large areas, whereby such nanostructure fabrication can be performed without
specialized EBL tools. Significantly, using conducting polymers in conjunction
with these silk proteins, the formation of protein nanowires down to 100 nm is
shown. These wires can be easily degraded using enzymatic degradation. Thus,
proteins can be precisely and scalably patterned and doped with conducting
polymers and enzymes to form degradable, organic bioelectronic devices.
PMID- 29808833
TI - MnMoO4 nanosheet array: an efficient electrocatalyst for hydrogen evolution
reaction with enhanced activity over a wide pH range.
AB - We report the preparation of MnMoO4 nanosheet array on nickel foam (MnMoO4
NSA/NF) as an excellent 3D hydrogen evolution reaction (HER) electrocatalyst with
good catalytic performance applied under basic, acidic and neutral conditions. In
0.5 M H2SO4, this MnMoO4 NSA/NF electrode needs an overpotential of 89 mV to
drive current densities of 10 mA cm-2, to achieve the same current density, it
demands overpotentials of 105 mV in 1.0 M KOH, 161 mV in 1.0 M PBS (pH = 7),
respectively. After continuous CV scanning for 1000 cycles under different pH
conditions, it also demonstrates an excellent stability with ignorable activity
decrease. Such preeminent HER performance may be derived from the synergistic
effect between manganese (Mn) and molybdenum (Mo) atoms, exposure of more active
sites on the nanosheets and effective electron transport along the nanosheets.
This MnMoO4 NSA/NF electrocatalyst provides us a highly efficient material for
water splitting devices for industrial hydrogen production.
PMID- 29808835
TI - DFT calculation of oxygen adsorption on platinum nanoparticles: coverage and size
effects.
AB - Catalysts made of Pt nanoparticles and Pt alloys are considered state-of-the-art
catalysts for the anodic and cathodic reactions involved in hydrogen fuel cells.
The optimal size of such nanoparticles for each chemical reaction is an unsolved
problem that depends on environmental variables, such as reactant concentration,
solvent, temperature, etc. From a theoretical point of view, this problem has
been tackled mainly by observing how single key adsorbates react with different
nanoparticles under controlled conditions. In this work, we use large-scale DFT
calculations to examine the interplay between the Pt nanoparticle size and O
coverage effects. We examine single O adsorptions for three adsorption sites on
cuboctahedral platinum nanoparticles with different sizes. As we grow the
nanoparticle size, the binding strength decreases and we observed a quick
convergence of the adsorption energies with increasing nanoparticle size, which
correlates with the calculated d-band centre for (111) Pt facets on such
nanoparticles. We also carried out a detailed study of the effect of oxygen
coverage with varying fractions of O monolayer coverage, computing adsorption
energies per O atom for Pt55, Pt147 and Pt309 nanoparticles with several O
coverages. In general, an increase of O coverage led to weaker adsorption
energies per O atom, and when analysing the results in terms of oxygen
monolayers, this effect is more pronounced for larger nanoparticles. The O
coverage dependency of the adsorption energy per O atom is analysed in terms of
the O distribution for each nanoparticle size and electronic changes that the
adsorbed oxygen causes to the Pt nanoparticle. In studying nanoparticle size and
oxygen coverage effects simultaneously, we offer insights with DFT accuracy to
help on heterogeneous catalyst design.
PMID- 29808834
TI - Distinct Prognostic Values of Alcohol Dehydrogenase Family Members for Non-Small
Cell Lung Cancer.
AB - BACKGROUND Non-small cell lung cancer (NSCLC) is a leading cause of cancer
related death worldwide. The relationships of alcohol dehydrogenase (ADH)
enzymes, encoded by the genes ADH1 (1A), ADH1B (ADH2), ADH1C (ADH3), ADH4, ADH5,
ADH6, and ADH7, with NSCLC have not been studied. The aim of this study was to
explore the associations between NSCLC prognosis and the expression patterns of
ADH family members. MATERIAL AND METHODS The online resource Metabolic gEne RApid
Visualizer was used to assess the expression patterns of ADH family members in
normal and primary lung tumor tissues. The GeneMANIA plugin of Cytoscape software
and STRING website were used to evaluate the relationships of the 7 ADH family
members at the gene and protein levels. Gene ontology enrichment analysis and
KEGG pathway analysis were performed using DAVID. The online website Kaplan-Meier
Plotter was used to construct survival curves between NSCLC and ADH isoforms.
RESULTS The prognosis of patients with high expression levels of the ADH1B,
ADH1C, ADH4, and ADH5 genes was better than those with low expression in
adenocarcinoma and all (containing adenocarcinoma and squamous cell cancer)
histological types (all P<0.05). Low expression of ADH7 was associated with a
better prognosis in patients with both the adenocarcinoma and squamous cell
cancer histological types (P=9e-05). Moreover, expression of ADH family members
was associated with smoking status, clinical stage, and chemotherapy status.
CONCLUSIONS ADH1B, ADH1C, ADH4, ADH5, and ADH7 appear to be useful biomarkers for
the prognosis of NSCLC patients.
PMID- 29808836
TI - Correlating structural dynamics and catalytic activity of AgAu nanoparticles with
ultrafast spectroscopy and all-atom molecular dynamics simulations.
AB - In this study, we investigated hollow AgAu nanoparticles with the goal of
improving our understanding of the composition-dependent catalytic activity of
these nanoparticles. AgAu nanoparticles were synthesized via the galvanic
replacement method with controlled size and nanoparticle compositions. We studied
extinction spectra with UV-Vis spectroscopy and simulations based on Mie theory
and the boundary element method, and ultrafast spectroscopy measurements to
characterize decay constants and the overall energy transfer dynamics as a
function of AgAu composition. Electron-phonon coupling times for each composition
were obtained from pump-power dependent pump-probe transients. These
spectroscopic studies showed how nanoscale surface segregation, hollow interiors
and porosity affect the surface plasmon resonance wavelength and fundamental
electron-phonon coupling times. Analysis of the spectroscopic data was used to
correlate electron-phonon coupling times to AgAu composition, and thus to surface
segregation and catalytic activity. We have performed all-atom molecular dynamics
simulations of model hollow AgAu core-shell nanoparticles to characterize
nanoparticle stability and equilibrium structures, besides providing atomic level
views of nanoparticle surface segregation. Overall, the basic atomistic and
electron-lattice dynamics of core-shell AgAu nanoparticles characterized here
thus aid the mechanistic understanding and performance optimization of AgAu
nanoparticle catalysts.
PMID- 29808837
TI - Effects of dietary beta-glucan supplementation on growth performance and
immunological and metabolic parameters of weaned pigs administered with
Escherichia coli lipopolysaccharide.
AB - The objective of this study was to determine the effects of dietary beta-glucan
(BG) on growth performance and blood parameters in weaned pigs administered with
Escherichia coli lipopolysaccharide (LPS). Twenty four pigs [24 +/- 2 days old;
6.60 +/- 0.04 kg body weight (BW)] were randomly allocated into two groups (12
pigs per group) with diets supplemented with 0 or BG at 200 mg kg-1 diet (CON vs.
BG). These pigs were fed for a 35-day trial. On day 36, six pigs each from CON
and BG were intramuscularly administered LPS (50 MUg kg-1), while another 6 pigs
from CON were intramuscularly administered an equivalent amount of sterile
saline. Blood samples were collected at 3 h and rectal temperature data were
collected at 0, 4, 8 and 24 h after LPS administration. Results showed that the
pigs fed with BG diet had an increased average daily gain in rectal temperature
during week 4, week 5 and the overall period, compared with the pigs fed with CON
diet (P < 0.05), and resulted in greater final BW (P < 0.05). LPS administration
increased the rectal temperature of the pigs fed with CON diet at 4, 8 and 24 h
post administration (P < 0.05), and also increased the serum concentrations of
pig-major acute phase protein, haptoglobin, tumor necrosis factor-alpha and
interleukine-1 beta (P < 0.05). However, the pigs fed with BG diet had higher
concentration of serum complement 3 (P < 0.05) and lower concentration of serum
Pig-MAP, HP and interleuking-6 (P = 0.08) compared to that of pigs fed with CON
diet after the LPS administration. Moreover, relative to the non-administered
pigs, LPS administration increased the concentrations of serum creatinine, direct
bilirubin and some of the amino acids in pigs after LPS administration (P <
0.05). In conclusion, the study suggested that feeding BG diet could improve the
growth performance and partially alleviate the inflammation response of pigs
after LPS administration.
PMID- 29808838
TI - Ultra-broadband EPR spectroscopy in field and frequency domains.
AB - Electron paramagnetic resonance (EPR) is a powerful technique to investigate the
electronic and magnetic properties of a wide range of materials. We present the
first combined terahertz (THz) field and frequency domain electron paramagnetic
resonance (HFEPR/FDMR) spectrometer designed to investigate the electronic
structure and magnetic properties of molecular systems, thin films and solid
state materials in a very broad frequency range of 85-1100 GHz. In this paper, we
show high resolution frequency-field (Zeeman) maps (170-380 GHz by 0-15 T)
recorded on two single-molecule magnets, [Mn2(saltmen)2(ReO4)2] and
[Mn2(salpn)2(H2O)2](ClO4)2, which give direct access to the field-dependence of
the energy level diagram. Furthermore, supression of standing waves in the
described system and the sensitivity in field and frequency domain operations is
evaluated and discussed.
PMID- 29808839
TI - Semi-solid and solid frustrated Lewis pair catalysts.
AB - Recently discovered homogeneous frustrated Lewis pairs (FLPs) have attracted much
attention for metal-free catalysis due to their promising potential for the
activation of small molecules (e.g., H2, CO, CO2, NOx and many others). Hence, a
wide range of these homogeneous FLPs have been extensively explored for many
advanced organic syntheses, radical chemistry and polymerizations. In particular,
these FLPs are efficiently utilized for the hydrogenation of various unsaturated
substrates (e.g., olefins, alkynes, esters and ketones). Inspired by the
substantial progress in these homogeneous catalytic systems, heterogeneous FLP
catalysts, including semi-solid and all-solid catalysts, have also emerged as an
exciting and evolving field. In this review, we highlight the recent advances
made in heterogeneous FLP-like catalysts and the strategies to construct
tailorable interfacial FLP-like active sites on semi-solid and all-solid FLP
catalysts. Challenges and outlook for the further development of these catalysts
in synthetic chemistry will be discussed.
PMID- 29808840
TI - Detection of cystic fibrosis transmembrane conductance regulator DeltaF508 gene
mutation using a paper-based nucleic acid hybridization assay and a smartphone
camera.
AB - Diagnostic technology that makes use of paper platforms in conjunction with the
ubiquitous availability of digital cameras in cellular telephones and personal
assistive devices offers opportunities for development of bioassays that are cost
effective and widely distributed. Assays that operate effectively in aqueous
solution require further development for implementation in paper substrates,
overcoming issues associated with surface interactions on a matrix that offers a
large surface-to-volume ratio and constraints on convective mixing. This report
presents and compares two related methods for determination of oligonucleotides
that serve as indicators of cystic fibrosis, differentiating between the normal
wild-type sequence, and a mutant-type sequence that has a 3-base replacement. The
transduction strategy operates by selective hybridization of oligonucleotide
probes that are conjugated to fluorescent quantum dots, where hybridization of
target sequences causes a molecular fluorophore to approach the quantum dot and
become emissive through fluorescence resonance energy transfer. Detection can
rely on hybridization of a target that is labelled with Cy3 fluorophore, or in
the presence of an unlabelled target when a sandwich assay format is implemented
with a labelled reporter oligonucleotide. Selectivity to determine the presence
of mismatched sequences involves appropriate selection of nucleotide sequences to
set melt temperatures, in conjunction with control of stringency conditions using
formamide as a chaotrope. It was determined that both direct and sandwich assays
on paper substrates are able to distinguish between wild-type and mutant-type
samples.
PMID- 29808841
TI - Thiol-ene click chemistry towards easy microarraying of half-antibodies.
AB - A UV light-induced thiol-ene coupling reaction (TEC) between half-antibodies
(hIgG) and vinyl functionalized glass surfaces was run for biosensing in the
microarray format. The accomplished performance improved that obtained with whole
antibodies.
PMID- 29808842
TI - Highly reactive crystalline-phase-embedded strontium-bioactive nanorods for
multimodal bioactive applications.
AB - In the present work, a crystallization-induced strontium-bioactive material, with
a composition similar to Bioglass 45S5 system, was obtained using a sol-gel
assisted microwave method with nanorod morphologies of 30-80 nm in size. The
effect of crystallization induced in the glass network, and its influence on the
bioactivity and mechanical properties of bone and dentin regeneration, were the
main novel findings of this work. Rietveld analysis of X-ray diffraction spectra
showed the best fit with sodium (combeite, Na2Ca2Si3O9) and calcium
(clinophosinaite, Ca2Na6O14P2Si2; calcium strontium silicate, Ca1.5O4SiSr0.5; and
calcium carbonate, CaCO3) enriched crystal systems. Multinuclear solid-state NMR
studies provided detailed atomistic insight into the presence of crystalline
mineral phases in the bioactive material. The dentin matrix and antibacterial
studies showed good results for 5% strontium-substituted calcium compared with
basic 45S5 composition due to its smaller particle size (30 nm), which suggested
applications to dentin regeneration. Simulation studies have been demonstrated
with clinophosinaite crystal data from the XRD spectra, with the glycoprotein
salivary metabolites also showing that 5% strontium-substituted calcium has a
higher binding affinity for the salivary compound, which is suitable for dentin
regeneration applications. In vitro apatite formation studies showed that this
material is suitable for bone regeneration applications.
PMID- 29808843
TI - Self-assembled, bivalent aptamers on graphene oxide as an efficient
anticoagulant.
AB - Graphene oxide (GO) has unique structural properties, can effectively adsorb
single-strand DNA through pi-pi stacking, hydrogen bonding and hydrophobic
interactions, and is useful in many biotechnology applications. In this study, we
developed a thrombin-binding-aptamers (15- and 29-mer) conjugated graphene oxide
(TBA15/TBA29-GO) composite for the efficient inhibition of thrombin activity
towards the formation of fibrin from fibrinogen. The TBA15/TBA29-GO composite was
simply obtained by the self-assembly of TBA15/TBA29 hybrids on GO. The high
density and appropriate orientation of TBA15/TBA29 on the GO surface enabled
TBA15/TBA29-GO to acquire an ultrastrong binding affinity for thrombin
(dissociation constant = 2.9 * 10-12 M). Compared to bivalent
TBA15h20A20/TBA29h20A20 hybrids, the TBA15/TBA29-GO composite exhibited a
superior anticoagulant potency (ca. 10-fold) against thrombin-mediated
coagulation as a result of steric blocking effects and a higher binding affinity
for thrombin. In addition, the prolonged thrombin clotting time, prothrombin time
(PT), and activated partial thromboplastin time (aPTT) of TBA15/TBA29-GO were at
least 2 times longer than those of commercially available drugs (heparin,
argatroban, hirudin, and warfarin). The in vitro cytotoxicity and hemolysis
analyses revealed the high biocompatibility of TBA15/TBA29-GO. The rat-tail
bleeding assay of the hemostasis time and ex vivo PT and aPTT further revealed
that TBA15/TBA29-GO is superior (>2-fold) to heparin, which is commonly used in
the treatment and prevention of thrombotic diseases. Our multivalent,
oligonucleotide-modified GO nanocomposites are easy to prepare, cost-effective,
and highly biocompatible and they show great potential as effective
anticoagulants for the treatment of thrombotic disorders.
PMID- 29808846
TI - Most favorable cumulenic structures in iron-capped linear carbon chains are short
singlet odd-carbon dications: a theoretical view.
AB - Iron-capped, linear-carbon-chain dications have been investigated at the M06L/DZP
level of quantum chemistry in order to determine their structural and electronic
properties, focusing on differences between chains containing odd and even
numbers of carbon atoms. Such differences result from changes in the electronic
states and the acetylenic or cumulenic nature of the carbon chain. Interestingly,
the short even-carbon chains exhibit distinct properties, but upon chain
lengthening undergo a transition to structures similar to those of odd-carbon
chains, with a turning point around [FeC10Fe]2+. On the other hand, the less
extensively investigated short odd-carbon chains, such as [FeC5Fe]2+, [FeC7Fe]2+
and [FeC9Fe]2+, due to synthetic difficulty, are predicted to exhibit more
exceptional properties than the short even-carbon chains in every aspect, such as
excellent back bonding as well as more cumulenic and more nearly linear
structures. This theoretical study suggests that more experimental work should be
considered on metal-capped, short linear odd-carbon chains as potential building
blocks for novel electronic and optical materials.
PMID- 29808844
TI - 111In-labelled polymeric nanoparticles incorporating a ruthenium-based
radiosensitizer for EGFR-targeted combination therapy in oesophageal cancer
cells.
AB - Radiolabelled, drug-loaded nanoparticles may combine the theranostic properties
of radionuclides, the controlled release of chemotherapy and cancer cell
targeting. Here, we report the preparation of poly(lactic-co-glycolic acid)
(PLGA) nanoparticles surface conjugated to DTPA-hEGF (DTPA =
diethylenetriaminepentaacetic acid, hEGF = human epidermal growth factor) and
encapsulating the ruthenium-based DNA replication inhibitor and radiosensitizer
Ru(phen)2(tpphz)2+ (phen = 1,10-phenanthroline, tpphz = tetrapyridophenazine)
Ru1. The functionalized PLGA surface incorporates the metal ion chelator DTPA for
radiolabelling and the targeting ligand for EGF receptor (EGFR). Nanoparticles
radiolabelled with 111In are taken up preferentially by EGFR-overexpressing
oesophageal cancer cells, where they exhibit radiotoxicity through the generation
of cellular DNA damage. Moreover, nanoparticle co-delivery of Ru1 alongside 111In
results in decreased cell survival compared to single-agent formulations; an
effect that occurs through DNA damage enhancement and an additive relationship
between 111In and Ru1. Substantially decreased uptake and radiotoxicity of
nanoparticles towards normal human fibroblasts and oesophageal cancer cells with
normal EGFR levels is observed. This work demonstrates nanoparticle co-delivery
of a therapeutic radionuclide plus a ruthenium-based radiosensitizer can achieve
combinational and targeted therapeutic effects in cancer cells that overexpress
EGFR.
PMID- 29808847
TI - An enzymatic polymerization-activated silver nanocluster probe for in situ
apoptosis assay.
AB - As an emerging category of fluorophores, nucleic acid-stabilized silver
nanoclusters (DNA/AgNCs) have attracted a great deal of interest and have been
widely applied for interdisciplinary research. In this work, we have constructed
a novel DNA/AgNC probe for cell apoptosis detection and imaging based on an
enzyme-polymerized polyadenylic acid (poly-dA) DNA chain and a toehold strand
displacement reaction. This method can effectively "tag" intracellular genomic
DNA fragments, a biochemical hallmark of apoptosis, with poly-dA DNA chains up to
400-bases produced by terminal deoxynucleotidyl transferase (TdT)-activated
polymerization. The strand displacement initiated by the target poly-dA DNA chain
releases the quencher labeled-DNA from the DNA/AgNC probe, leading to a
significant fluorescence lighting-up of DNA/AgNCs for the sensitive detection of
cell apoptosis, with a high signal-to-background ratio (S/B = 58). Using the
DNA/AgNC-based assay, as few as 20 apoptotic cells can be detected in vitro.
Furthermore, the feasibility of our approach was demonstrated by the in situ
quantitative analysis of apoptosis in HepG2 cells without the need for tedious
washing and separation steps.
PMID- 29808848
TI - A [Cr2Ni] coordination polymer: slow relaxation of magnetization in quasi-one
dimensional ferromagnetic chains.
AB - The reaction of [Cr3IIIO(OAc)6(H2O)3]NO3.AcOH with 2-hydroxynaphthaldehyde, 2
amino-isobutyric acid and NiCl2.6H2O in MeOH, under basic and solvothermal
conditions, led to the formation of the quasi-1D coordination polymer
{[CrIII2NiII(L)4(MeOH)2]}n (where L = the dianion of the Schiff base between 2
hydroxynaphthaldehyde and 2-amino-isobutyric acid), which behaves as a
ferromagnetic chain, displaying slow relaxation of magnetization.
PMID- 29808849
TI - Sulfamoyl nitrenes: singlet or triplet ground state?
AB - Unlike a triplet spin-state for alkyl- and aryl-sulfonylnitrenes, theoretical
computations suggest a closed-shell singlet (CSS) ground state for simple
sulfamoylnitrenes R2NS(O)2-N (R = H and Me) due to intramolecular NN
interactions. Experimentally, both sulfamoylnitrenes, generated in the laser
photolysis of the corresponding azides, were isolated in the triplet state as
evidenced by EPR (5 K) and IR (3 K) spectroscopy. The formation of the higher
energy triplet state is reasonably explained by a change of spin from the
initially generated CSS state through a low-energy minimum energy crossing point
(MECP).
PMID- 29808850
TI - Simultaneous quadruple-channel optical transduction of a nanosensor for
multiplexed qualitative and quantitative analysis of lectins.
AB - A multichannel optical nanosensor capable of identifying and quantitating
multiple lectins simultaneously was developed. The quadruple channel of
fluorescence and scattering signals can be in situ collected from the same
solution system, which offers high accuracy, discrimination resolution and
measurement convenience. This nanosensor can in principle be generalized to the
analysis of all lectins and saccharide binding organisms.
PMID- 29808851
TI - Beyond natural antibodies - a new generation of synthetic antibodies created by
post-imprinting modification of molecularly imprinted polymers.
AB - The molecular imprinting technology yields artificial materials capable of
antibody-like molecular recognition. Molecularly imprinted materials are
attractive because procedures for their preparation and use are comparatively
simple. The number of research reports concerning molecularly imprinted polymers
(MIPs) have been increasing yearly, attracting a great deal of interest in
various fields. However, as most MIPs have been generated by relatively simple
methods developed from the 1970s to the 2000s, resulting in MIPs bearing a single
function, their capabilities are limited compared to those of multi
functionalised naturally occurring materials. Proteins are biosynthesised through
multiple steps, including fabrication of peptide backbone and subsequent post
translational modifications that introduce additional functionalities, finally
producing the mature protein. Post-imprinting modification (PIM) is an innovative
strategy for generating MIPs analogous to biosynthetic proteins. New
functionalities are introduced, in a site-directed manner, into a molecular
imprinted cavity. Monomer residues in the cavity are chemically modified to
incorporate new features, such as on/off switching of binding activity,
fluorescence signalling, photoresponsivity, and finely tuned binding
characteristics. In this Feature Article, we provide an overview of
multifunctional MIPs prepared via PIMs developed earlier and the currently used
state-of-the-art ones.
PMID- 29808853
TI - Tunable photo-luminescence behaviors of macrocycle-containing polymer networks in
the solid-state.
AB - Two porous polymers were synthesized from tetraphenylethylene (TPE) crosslinked
beta-cyclodextrins (beta-CD) and sulfonatocalix[4]arene (SC4A). Owing to the FRET
process from the TPE to the encapsulated fluorophores, these polymers provide
universal platforms for the fabrication of photo-luminescence tunable organic
solid materials.
PMID- 29808852
TI - Electrochemical instability of highly fluorinated tetraphenyl borates and
syntheses of their respective biphenyls.
AB - Highly fluorinated tetraphenyl borate anions are of importance as weakly
coordinating anions in metalorganic reactions. However, at high positive
potentials their electrochemical stability in organic solvents is not sufficient.
This was investigated by a comprehensive cyclic voltammetry study and can be used
synthetically to generate highly fluorinated biphenyls.
PMID- 29808856
TI - Formation of a long-lived radical pair in a Sn(iv) porphyrin-di(l-tyrosinato)
conjugate driven by proton-coupled electron-transfer.
AB - The novel conjugate 1, featuring two l-tyrosinato residues axially coordinated to
the tin centre of a Sn(iv)-tetraphenylporphyrin, is reported as the first example
of a supramolecular dyad for photochemical PCET. It is noteworthy that the
excitation of 1 in the presence of a suitable base is followed by photoinduced
PCET leading to a radical pair state with a surprisingly long lifetime.
PMID- 29808857
TI - Shape transition of water-in-CO2 reverse micelles controlled by the surfactant
midpiece.
AB - Designing CO2-philic surfactants for generating wormlike reverse micelles (RMs)
is an effective approach to enhance the viscosity of supercritical CO2 (scCO2),
however this remains challenging. Modifying the middle piece (midpiece) of
surfactant tails is a potential method to generate wormlike RMs, but the
underlying mechanism is still unclear. Herein, by adopting molecular dynamics
simulations, the self-assembly of the hybrid surfactant FC6-HC5 in scCO2 was
investigated. It was found that the FC6-HC5 with an alkyl midpiece could form
spherical RMs. By introducing phenyl on the surfactant midpiece, a transformation
of the RMs from a spherical shape to a wormlike shape was achieved. The improved
fusion free energy was demonstrated to promote the fusion of the spherical RMs to
form wormlike RMs. Further analysis indicated that, originating from the pi-pi
interaction, the introduced phenyl assists the parallel arrangement of FC6-HC5,
resulting in the improved fusion ability. Moreover, according to the analysis on
interfacial properties, introducing phenyl had little effect on the surfactant
CO2-philicity. Therefore, modifying the midpiece is a great method for designing
hybrid surfactants to generate wormlike RMs while maintaining their high CO2
philicity. This strategy of generating wormlike RMs is expected to facilitate the
application of scCO2 meeting industrial requirements.
PMID- 29808859
TI - Titanium(iv) oxide having a copper co-catalyst: a new type of semihydrogenation
photocatalyst working efficiently at an elevated temperature under hydrogen-free
and poison-free conditions.
AB - A copper-loaded titanium(iv) oxide photocatalyst exhibited perfect selectivity in
hydrogenation of alkynes to alkenes in an alcohol solution at 298 K under
hydrogen-free and poison-free conditions. A slight elevation in the reaction
temperature to 323 K greatly increased the reaction rate with the selectivity
being preserved and the formation of an H2 by-product being suppressed. The
apparent activation energy of 4-octyne semihydrogenation was determined to be 54
kJ mol-1, indicating that the rate determining step of this photocatalytic
reaction was not an electron production process but a thermocatalytic
hydrogenation process under light irradiation.
PMID- 29808860
TI - A photoelectron imaging and quantum chemistry study of the deprotonated indole
anion.
AB - Indole is an important molecular motif in many biological molecules and exists in
its deprotonated anionic form in the cyan fluorescent protein, an analogue of
green fluorescent protein. However, the electronic structure of the deprotonated
indole anion has been relatively unexplored. Here, we use a combination of anion
photoelectron velocity-map imaging measurements and quantum chemistry
calculations to probe the electronic structure of the deprotonated indole anion.
We report vertical detachment energies (VDEs) of 2.45 +/- 0.05 eV and 3.20 +/-
0.05 eV, respectively. The value for D0 is in agreement with recent high
resolution measurements whereas the value for D1 is a new measurement. We find
that the first electronically excited singlet state of the anion, S1(pipi*), lies
above the VDE and has shape resonance character with respect to the D0 detachment
continuum and Feshbach resonance character with respect to the D1 continuum.
PMID- 29808861
TI - A super-stretchable boron nanoribbon network.
AB - We have studied the mechanical properties of a two-dimensional (2D) boron
nanoribbon network (BNRN) subjected to a uniaxial or a biaxial tensile strain
using first principles calculations. The results show that the 2D BNRN is super
stretchable. The critical tensile strains of the BNRN in the chi-h1 phase along
the a- and b-directions are 0.51 and 0.41, respectively, and that for the biaxial
strain reaches an ultrahigh value of 0.84. By analyzing the B-B interatomic
distance, coordination number and charge distribution, it is found that with
increasing biaxial tensile strain, the chi-h1 BNRN undergoes two structural phase
transitions, which are characterized by breaking of the B-B bonds and the partial
transformation of the nanoribbon-like structures into chain-like structures. The
strain-induced phase transitions significantly reduce the strain energy. We also
discuss the elastic constants, Young's modulus, shear modulus, and Poisson's
ratios. The super-stretchable and flexible mechanical properties of the BNRNs,
together with their superior transport properties, make BNRNs useful in a wide
range of applications in nanoscale electronic devices.
PMID- 29808862
TI - Mechanism and modeling of poly[vinylpyrrolidone] (PVP) facilitated synthesis of
silver nanoplates.
AB - Silver triangular nanoplates (AgTNP) present unique surface plasmonic and
catalytic properties depending upon the thickness and edge length. AgTNP are
synthesized in a kinetically controlled growth process, by and large, using the
polymer poly-vinylpyrrolidone (PVP) as a reductant. In this work, we present a
systematic study to uncover the effect of the molecular weight (MW) of PVP and
the PVP to silver salt (AgNO3) molar ratio ([P : S]) on the physical dimensions
of AgTNP. The edge length of AgTNP shows a non-monotonic variation with respect
to [P : S] for all MWs. Based on several control experiments, a kinetic mechanism
is proposed and a mathematical model is developed to explain the formation of
AgTNP. The elementary processes of the model include the reduction of Ag+ by the
OH group in PVP, followed by instantaneous nucleation. This phase is then
followed by a slow reduction of Ag+ and growth of the nuclei to AgTNP. The model
shows a reasonable agreement with experiments on the non-monotonic variation of
edge length of AgTNP with respect to [P : S], as well as on the temporal
evolution of the edge length.
PMID- 29808863
TI - The last link of the x-aminobutyric acid series: the five conformers of beta
aminobutyric acid.
AB - beta-Aminobutyric acid is a non-proteinogenic amino acid that is known to protect
plants against various pathogens. Its structure is midway between alpha
aminobutyric acid and gamma-aminobutyric acid. The structural differences in the
position of the amino group in the conformational stabilization of beta
aminobutyric acid have been studied by laser ablation Fourier transform microwave
spectroscopy. Five conformers have been detected, and their rotational and
quadrupole coupling constants of the 14N nucleus determined. Three of the
conformers, including the most stable structure, are stabilized by a non
bifurcated N-HO[double bond, length as m-dash]C intramolecular hydrogen bond. The
other two conformers possess a NH-O intramolecular interaction. In this work we
highlight that beta-aminobutyric acid shows the conformational peculiarities of
alpha-aminobutyric acid and gamma-aminobutyric acid completing the rotational
spectroscopic study of the x-aminobutyric acid series.
PMID- 29808864
TI - Palladium/H+-cocatalyzed kinetic resolution of tertiary propargylic alcohols.
AB - A new approach to not-readily-available optically active tertiary propargylic
alcohols through palladium/H+-cocatalyzed carboxylation of racemic tertiary
propargylic alcohols with CO and MeOH has been described. Both enantiomers can be
obtained with no less than 90% ee utilizing (R) or (S)-DTBM-Segphos. Various
transformations of the optically active alcohols have been demonstrated.
PMID- 29808866
TI - Solute clustering in undersaturated solutions - systematic dependence on time,
temperature and concentration.
AB - Molecular clustering and solvent-solute interactions in isopropanol solutions of
fenoxycarb have been thoroughly and systematically investigated by dynamic light
scattering, small-angle X-ray scattering, and nanoparticle tracking, supported by
infrared spectroscopy and molecular dynamics simulations. The existence of
molecular aggregates, clusters, ranging in size up to almost a micrometre is
clearly recorded at undersaturated as well as supersaturated conditions by all
three analysis techniques. The results systematically reveal that the cluster
size increases with solute concentration and time at stagnant conditions. For
most concentrations the time scale of cluster growth is of the order of days. In
undersaturated solutions the size appears to eventually reach a maximum value,
higher the higher the concentration. Below a certain concentration threshold
clusters are significantly smaller. Clusters are found to be smaller in solutions
pre-heated at a higher temperature, which offers a possible explanation for the
so-called "history of solution" effect. The cluster distribution is influenced by
filtration through membranes with a pore size of 0.1 MUm, offering an alternative
explanation for the "foreign particle-catalysed nucleation" effect. At moderate
concentrations larger clusters appear to be sheared into smaller ones, but the
original size distribution is rapidly re-established. At higher concentrations,
although still well below solubility, the cluster size as well as solute
concentration are strongly affected, suggesting that larger clusters contain at
least a core of more organized molecules not able to pass through the filter.
PMID- 29808867
TI - Activating Ru nanoparticles on oxide supports for ring-opening metathesis
polymerization.
AB - Nano-sized particles mounted on heterogeneous oxide supports such as silica have
altered reactivity when compared to their homogeneous analogs. In particular,
catalyzed olefin metathesis using supported Ru nanoparticles has shown great
promise and various methods have been employed to develop functional
heterogeneous Ru catalysts. This article reports a method for synthesizing Ru
nanoparticles supported on silica and titania. The nanoparticles were
characterized using XPS showing Ru(0) dominates when not exposed to air. TEM
showed Ru nanoparticle sizes of 3.1 +/- 0.8 nm for Ru supported on SiO2 and 5.6
+/- 1.3 nm for Ru supported on TiO2. The materials demonstrated modest activity
in ring-opening metathesis reactions via diazo activation and nanoparticle
embedded polymers of norbornene and norbornadiene were synthesized using dry box
and Schlenk line techniques. The Ru/support/polymer composite materials were
characterized using proton NMR, XPS, and SEM/EDS.
PMID- 29808868
TI - Heptamolybdate: a highly active sulfide oxygenation catalyst.
AB - The sulfide oxygenation activities of both heptamolybdate ([Mo7O24]6-, [1]6-) and
its peroxo adduct [Mo7O22(O2)2]6- ([2]6-) were examined in this contribution.
[Mo7O22(O2)2]6- was prepared in a yield of 65% from (NH4)6[Mo7O24] (1a) upon
treatment of 10 equiv. of H2O2 and structurally identified through single crystal
X-ray diffraction study. (nBu4N)6[Mo7O22(O2)2] (2b) is an efficient catalyst for
the sequential oxygenation of methyl phenyl sulfide (MPS) by H2O2 to the
corresponding sulfoxide and subsequently sulfone with a 100% utility of H2O2.
Surprisingly, (nBu4N)6[Mo7O24] (1b) is a significantly faster catalyst than 2b
for MPS oxygenation under identical conditions. The pseudo-first order kcat
constants from initial rate kinetics are 54 M-1 s-1 and 19 M-1 s-1 for 1b and 2b,
respectively. Electrospray ionization mass spectrometry (ESI-MS) investigation of
1b under the catalytic reaction conditions revealed that [Mo2O11]2- is likely the
main active species in sulfide oxygenation by H2O2.
PMID- 29808870
TI - Logic circuit controlled multi-responsive branched DNA scaffolds.
AB - A logic circuit controlled multi-responsive sensing platform built on a three-way
DNA junction (TWJ) is reported. It enabled the construction of novel fluorescent
sensing platforms responsive to any target out of HIV gene, ATP and pH value, and
furthermore were logically regulated by two other targets and then behaved as
different logic circuits, which consist of two tandem AND gates or cascaded NAND
and INH gates by varying the positions of the fluorescent tags.
PMID- 29808871
TI - Heavy carbon nanodots: a new phosphorescent carbon nanostructure.
AB - Carbon nanodots are nanometer sized fluorescent particles studied for their
distinct photoluminescent properties and biocompatibility. Although extensive
literature reports the modification and application of carbon nanodot
fluorescence, little has been published pertaining to phosphorescence emission
from carbon nanodots. The use of phosphors in biological imaging can lead to
clearer detection, as the long lifetimes of phosphorescent emission permit off
gated collection that avoids noise from biological autofluorescence. Carbon
nanodots present a desirable scaffold for this application, with advantageous
qualities ranging from photostability to multi-color emission. This research
reports the generation of a novel phosphorescent "heavy carbon" nanodot via
halogenation of the carbon nanodot structure. By employing a collection pathway
that effectively incorporates bromine into the nanostructure, T1 triplet
character is introduced, and subsequently phosphorescence is observed in liquid
media at room temperature for the first time in the nanodot literature. Further
experiments are reported characterizing the conditions of observed
phosphorescence and its pH-dependence. Our approach for producing "heavy carbon
nanodots" is a low-cost and relatively simple method for generating the
phosphorescent nanodots, which sets the foundation for its potential future use
as a phosphorescent probe in application.
PMID- 29808872
TI - Relativistic time-dependent density functional theories.
AB - The foundations, formalisms, technicalities, and practicalities of relativistic
time-dependent density functional theories (R-TD-DFT) for spinor excited states
of molecular systems containing heavy elements are critically reviewed. These
include the four-component (4C) and exact two-component (X2C) variants (4C/X2C-TD
DFT) that treat both scalar relativistic effects and spin-orbit couplings (SOC)
to infinite order, and a composite two-component variant (sf-X2C-S-TD-DFT-SOC)
that treats scalar relativistic effects to infinite order via the spin-free part
of the X2C Hamiltonian (sf-X2C) but SOC to first order via the Douglas-Kroll-Hess
type of spin-orbit operator resulting also from the spin separation of the X2C
Hamiltonian. Except for the common adiabatic approximation, the most essential
ingredient for all the three variants of R-TD-DFT is the noncollinear exchange
correlation kernel that is invariant with respect to rotations in spin space. It
is unfortunate that 4C- and X2C-TD-DFT cannot be made fully symmetry adapted for
open-shell systems except for some special cases. Yet, this is possible for
closed-shell systems by working with both double point group and time reversal
adapted molecular spinors. In particular, the spinor Hessian can be made real
valued in this case, such that the 4C/X2C-TD-DFT eigenvalue problems can be
solved in the same manner as nonrelativistic TD-DFT, a point that is discovered
here for the first time. By contrast, sf-X2C-S-TD-DFT-SOC can access spinor
excited states of both closed- and open-shell systems because spin symmetry is
fully accounted for in the spin-adapted TD-DFT (S-TD-DFT). Possible further
developments of R-TD-DFT are also highlighted.
PMID- 29808873
TI - Dynamic Monte Carlo algorithm for out-of-equilibrium processes in colloidal
dispersions.
AB - Colloids have a striking relevance in a wide spectrum of industrial formulations,
spanning from personal care products to protective paints. Their behaviour can be
easily influenced by extremely weak forces, which disturb their thermodynamic
equilibrium and dramatically determine their performance. Motivated by the impact
of colloidal dispersions in fundamental science and formulation engineering, we
have designed an efficient Dynamic Monte Carlo (DMC) approach to mimic their out
of-equilibrium dynamics. Our recent theory, which provided a rigorous method to
reproduce the Brownian motion of colloids by MC simulations, is here generalised
to reproduce the Brownian motion of colloidal particles during transitory
unsteady states, when their thermodynamic equilibrium is significantly modified.
To this end, we investigate monodisperse and bidisperse rod-like particles in the
isotropic phase and apply an external field that forces their reorientation along
a common direction and induces an isotropic-to-nematic phase transition. We also
study the behaviour of the system once the external field is removed. Our
simulations are in excellent quantitative agreement with Brownian Dynamics
simulations when the DMC results are rescaled with a time-dependent acceptance
ratio, which depends on the strength of the applied field.
PMID- 29808874
TI - Influence of particle viscosity on mass transfer and heterogeneous ozonolysis
kinetics in aqueous-sucrose-maleic acid aerosol.
AB - Mass transfer between the gas and condensed phases in aerosols can be limited by
slow bulk diffusion within viscous particles. During the heterogeneous and
multiphase reactions of viscous organic aerosol particles, it is necessary to
consider the interplay of numerous mass transfer processes and how they are
impacted by viscosity, including the partitioning kinetics of semi-volatile
organic reactants, water and oxidants. To constrain kinetic models of the
heterogeneous chemistry, measurements must provide information on as many
observables as possible. Here, the ozonolysis of maleic acid (MA) in ternary
aerosol particles containing water and sucrose is used as a model system. By
varying the mass ratio of sucrose to MA and by performing reactions over a wide
range of relative humidity, direct measurements show that the viscosity of the
particle can be varied over 7 orders of magnitude. Measurements of the
volatilisation kinetics of MA show that this range in viscosity leads to a
suppression in the effective vapour pressure of MA of 3-4 orders of magnitude.
The inferred values of the diffusion coefficient of MA in the particle phase
closely mirror the expected change in diffusion coefficient from the Stokes
Einstein equation and the change in viscosity. The kinetics of ozonolysis show a
similar dependence on particle viscosity that can be further investigated using
the kinetic multi-layer model of aerosol surface and bulk chemistry (KM-SUB). Two
scenarios, one constraining the diffusion coefficients for MA to those expected
based on the Stokes-Einstein equation and the other including the diffusion
coefficients as a fit parameter, yield similarly adequate representations of the
ozonolysis kinetics, as inferred from the experimental decay in the signature of
the vinylic C-H stretching vibration of MA. However, these two scenarios provide
very different parameterisations of the compositional dependence of the diffusion
coefficients of ozone within the condensed phase, yielding qualitatively
different time-dependent internal concentration profiles. We suggest that this
highlights the importance of providing additional experimental observables (e.g.
particle size, heterogeneity in composition) if measurements and models are to be
universally reconciled.
PMID- 29808875
TI - Enhanced visible light catalysis activity of CdS-sheathed SrAl2O4:Eu2+,Dy3+
nanocomposites.
AB - SrAl2O4:Eu2+,Dy3+ long afterglow phosphors with one-dimensional nanostructures
were synthesized by a hydrothermal method followed by post-annealing, and
subsequently CdS was uniformly coated on their surfaces by a sol-gel approach.
The nanocomposite system can significantly enhance the photocatalytic activity
for the degradation of methyl orange and hexavalent chromium under ultraviolet
and visible light irradiation. After the modification treatment with a 1 : 2
molar ratio of CdS to SrAl2O4:Eu2+,Dy3+ nanophosphors, the degradation rate of
methyl orange can increase by 2.5 times and reach a maximum of 96.3% under
visible light illumination for 30 min. The enhancement of photocatalytic activity
originates from the improvement of light usage efficiency due to the hole
migration from SrAl2O4:Eu2+,Dy3+ phosphors to the CdS semiconductor and the
reutilization of SrAl2O4:Eu2+,Dy3+ luminescence.
PMID- 29808876
TI - Synthesis of lipid-black phosphorus quantum dot bilayer vesicles for near
infrared-controlled drug release.
AB - Black phosphorus quantum dots are incorporated into liposomal bilayers to produce
a drug delivery system with excellent near-infrared (NIR) photothermal properties
and drug release capability controlled by light. In vitro experiments demonstrate
its good biocompatibility and NIR-light-induced chemo-photothermal antitumor
efficiency.
PMID- 29808877
TI - Catalytic deep eutectic solvents for highly efficient conversion of cellulose to
gluconic acid with gluconic acid self-precipitation separation.
AB - A family of FeCl3.6H2O based catalytic deep eutectic solvents (CDESs) were formed
and used for the conversion of cellulose to gluconic acid with high efficiency.
More importantly, gluconic acid could be separated from the reaction system by
self-precipitation.
PMID- 29808879
TI - Correction: The side effects of platinum-based chemotherapy drugs: a review for
chemists.
AB - Correction for 'The side effects of platinum-based chemotherapy drugs: a review
for chemists' by Rabbab Oun et al., Dalton Trans., 2018, 47, 6645-6653.
PMID- 29808880
TI - Co-detection of ALDH1A1, ABCG2, ALCAM and CD133 in three A549 subpopulations at
the single cell level by one-step digital RT-PCR.
AB - Cancer stem-like cells (CSCs) displaying the properties of normal stem cells have
become the main culprit associated with cancer transportation and recurrence. As
of now, various CSC functions and marker genes have been identified due to the
heterogeneity of cancer, such as aldehyde dehydrogenase (ALDH), the second member
of the ABC transporter G-subfamily (ABCG2), activated leukocyte cell adhesion
molecule (ALCAM) and CD133. To investigate these markers, most conventional
approaches are bulk-based strategies, which may veil the disparity of single
cells' gene expression. In this study, one-step digital RT-PCR at the single cell
level was developed to co-determine the expression of ALDH1A1, ABCG2, ALCAM and
CD133 genes in A549 cancer stem cells that perform high ALDH activities (ALDH+
A549 cells), as well as in ALDH- A549 cells and A549 cells, with 36, 20 and 20
cell samples each. The results demonstrated that, when compared to single ALDH-
or A549 cells, the majority of single ALDH+ A549 cells displayed a 1.5- and 2.0
fold increase in the gene expression of ALDH1A1 and ALCAM (P < 0.001),
respectively. However, for ABCG2 and CD133, there was no significant difference
(P > 0.05), which means that they are not appropriate as co-indicated markers to
identify ALDH+ A549 cells. Conclusively, as a single cell level approach, one
step digital RT-PCR has potential in exploring efficient co-detection markers for
the classification and identification of CSCs.
PMID- 29808881
TI - Tuning the fluorescence of tetraphenylethylene in dilute solutions via modulating
multiple-hydrogen-bonding interactions between a Hamilton receptor and cyanuric
acid.
AB - Four Hamilton receptors and four cyanuric acid modules were introduced into the
tetraphenylethylene skeleton to form HTPE and CTPE, respectively. Upon 1 : 1
mixing of HTPE and CTPE in apolar dilute solution, a self-assembled
supramolecular network was formed via multiple intermolecular hydrogen bonding
interactions. The intramolecular rotation of phenyls in TPE skeletons was
restricted, and thus the system became highly luminescent. Upon the addition of a
hydrogen bonding competitive solvent to destroy the network, the fluorescence
emission was substantially quenched. Besides, the fluorescence of the system
could be further tuned by the addition of Cu2+ and other metal ions.
PMID- 29808882
TI - Coherent control of thermal phonon transport in van der Waals superlattices.
AB - van der Waals (vdW) heterostructures are a central focus of materials science and
condensed matter physics due to the novel physical phenomena and properties
obtained by precisely stacking heterogeneous atomically thin layers. vdW
heterostructures are expected to allow for the coherent manipulation of THz
lattice vibrations and hence heat conduction due to the ability to precisely
control chemical composition at the atomic scale, but little work has focused on
thermal transport in these materials. Here, we report an ab initio study of
thermal transport in vdW superlattices consisting of alternating transition metal
dichalcogenide atomic layers. Our calculations show that the lattice vibrational
spectrum and scattering rates can be precisely manipulated by the choice of each
atomically thin layer, resulting in materials with novel properties such as large
thermal anisotropies approaching 200 and ultralow cross-plane thermal
conductivities comparable to those of amorphous materials. Our work demonstrates
how coherent manipulation of phonons in vdW superlattices can expand the property
space beyond that occupied by natural materials and suggests an experimental
route to realize these properties.
PMID- 29808888
TI - Selective chiral symmetry breaking and luminescence sensing of a Zn(ii) metal
organic framework.
AB - The chiral Zn(ii) metal-organic framework
[(CH3)2NH2]5[Zn1.5(Zn3O)(TATAT)2].9DMF.17H2O (1) has been prepared from achiral
precursors Zn(NO3)2.6H2O and 5,5',5''-(1,3,5-triazine-2,4,6
triyl)tris(azanediyl)triisophthalate (H6TATAT) in a solvent mixture of
DMF/MeOH/H2O/HCOOH without any chiral sources. In particular, the non-random
handedness excess (1P ? 1M) was generated and was verified by single-crystal X
ray diffraction and solid-state circular dichroism spectroscopy. Furthermore,
complex 1 displays high selectivity and sensitivity for detecting Fe3+ and is
found to be an excellent chemical sensor for detecting nitro explosives
especially 3-nitrophenol.
PMID- 29808890
TI - Branching and alignment in reverse worm-like micelles studied with simultaneous
dielectric spectroscopy and RheoSANS.
AB - Topology and branching play an important but poorly understood role in
controlling the mechanical and flow properties of worm-like micelles (WLMs). To
address the challenge of characterizing branching during flow of WLMs, dielectric
spectroscopy, rheology, and small-angle neutron scattering (dielectric RheoSANS)
experiments are performed simultaneously to measure the concurrent evolution of
conductivity, permittivity, stress, and segmental anisotropy of reverse WLMs
under steady-shear flow. Reverse WLMs are microemulsions comprised of the
phospholipid surfactant lecithin dispersed in oil with water solubilized in the
micelle core. Their electrical properties are independently sensitive to the WLM
topology and dynamics. To isolate the effects of branching, dielectric RheoSANS
is performed on WLMs in n-decane, which show fast breakage times and exhibit a
continuous branching transition for water-to-surfactant ratios above the
corresponding maximum in zero-shear viscosity. The unbranched WLMs in n-decane
exhibit only subtle decreases in their electrical properties under flow that are
driven by chain alignment and structural anisotropy in the plane perpendicular to
the electric field and incident neutron beam. These results are in qualitative
agreement with additional measurements on a purely linear WLM system in
cyclohexane despite differences in breakage kinetics and a stronger tendency for
the latter to shear band. In contrast, the branched micelles in n-decane (higher
water content) undergo non-monotonic changes in permittivity and more pronounced
decreases in conductivity under flow. The combined steady-shear electrical and
microstructural measurements are capable, for the first time, of resolving branch
breaking at low shear rates prior to alignment-driven anisotropy at higher shear
rates.
PMID- 29808887
TI - Roles of 2-oxoglutarate oxygenases and isopenicillin N synthase in beta-lactam
biosynthesis.
AB - Covering: up to 2017 2-Oxoglutarate (2OG) dependent oxygenases and the homologous
oxidase isopenicillin N synthase (IPNS) play crucial roles in the biosynthesis of
beta-lactam ring containing natural products. IPNS catalyses formation of the
bicyclic penicillin nucleus from a tripeptide. 2OG oxygenases catalyse reactions
that diversify the chemistry of beta-lactams formed by both IPNS and non
oxidative enzymes. Reactions catalysed by the 2OG oxygenases of beta-lactam
biosynthesis not only involve their typical hydroxylation reactions, but also
desaturation, epimerisation, rearrangement, and ring-forming reactions. Some of
the enzymes involved in beta-lactam biosynthesis exhibit remarkable substrate and
product selectivities. We review the roles of 2OG oxygenases and IPNS in beta
lactam biosynthesis, highlighting opportunities for application of knowledge of
their roles, structures, and mechanisms.
PMID- 29808889
TI - Low-molecular-mass iron in healthy blood plasma is not predominately ferric
citrate.
AB - Blood contains a poorly characterized pool of labile iron called non-transferrin
bound iron (NTBI). In patients with iron-overload diseases such as
hemochromatosis, NTBI accumulates in the liver, heart, and other organs. This
material is probably nonproteinaceous and low molecular mass (LMM). However, the
number, concentration, mass, and chemical composition of NTBI species remain
unknown despite decades of effort. Here, solutions of plasma from humans, pigs,
horses, and mice were passed through a 10 kDa cutoff membrane, affording flow
through solutions (FTSs) containing ~1 MUM iron. The FTSs were subjected to size
exclusion liquid chromatography at pH 8.5, 6.5, and 4.5. Iron was detected by an
online inductively-coupled-plasma mass spectrometer. LC-ICP-MS chromatograms of
the FTSs exhibited 2-6 iron-containing species with apparent masses between 400
and 2500 Da. Their approximate concentrations in plasma were 10-8-10-7 M. Not
every FTS sample contained every LMM iron species, indicating individual
variations. The most reproducible iron species had apparent masses of 400 and 500
Da. Chromatograms of the FTSs from established hemochromatosis patients exhibited
no significant differences relative to controls. The peak positions and
intensities depended on column pH. Some FTS iron adsorbed onto the column,
especially at higher pH. Column-adsorbing-iron coordinated apo-transferrin
whereas the more tightly coordinated iron species did not. Ferric citrate
standards exhibited LMM iron peaks that were similar to but not the same as those
obtained in FTSs. The results indicate that the LMM iron species in healthy blood
plasma is not primarily ferric citrate; however, this may be one of many
contributing complexes.
PMID- 29808892
TI - Ultrasmall endogenous biopolymer nanoparticles for magnetic
resonance/photoacoustic dual-modal imaging-guided photothermal therapy.
AB - Multi-modal imaging-guided photothermal therapy (PTT) has aroused extensive
attention in biomedical research recently because it can provide more
comprehensive information for accurate diagnosis and treatment. In this research,
the manganese ion chelated endogenous biopolymer melanin nanoparticles were
successfully prepared for magnetic resonance (MR)/photoacoustic (PA) dual-modal
imaging-guided PTT. The obtained nanoparticles with an ultrasmall size of about
3.2 nm exhibited negligible cytotoxicity, high relaxivity for MRI, an excellent
photothermal effect and PA activity. Moreover, in vivo MRI and PAI results all
demonstrated that the nanoparticles began to diffuse in the blood after
intratumoral injection into tumor-bearing mice and could spread throughout the
whole tumor region at 3 h, indicating the optimal treatment time. The subsequent
photothermal therapy of cancer cells in vivo was carried out and the result
showed that tumor growth could be effectively inhibited without inducing any
observed side effects. Besides, melanin as an endogenous biopolymer has native
biocompatibility and biodegradability, and it can be excreted through both renal
and hepatobiliary pathways after treatment. Therefore, the melanin-Mn
nanoparticles may assist in better indicating the optimal treatment time,
monitoring the therapeutic process and enhancing the therapeutic effect and
showed great clinical translation potential for cancer diagnosis and therapy.
PMID- 29808893
TI - Origin of anisotropic negative Poisson's ratio in graphene.
AB - Negative Poisson's ratio (NPR) in auxetic materials is of great interest due to
the typically enhanced toughness, shear resistance, and sound and vibration
absorption, which enables plenty of novel applications such as aerospace and
defense. Insight into the mechanism underlying NPR is significant to the design
of auxetic nanomaterials and nanostructures. However, the analysis of NPR in
previous studies mainly remains on the level of the evolution of geometry
parameters, such as bond length and bond angle, while a thorough and fundamental
understanding is lacking. In this paper, we report anisotropic differential NPR
in graphene for uniaxial strains applied along both zigzag and armchair
directions based on first-principles calculations. The mechanism underlying the
emergence of NPR in graphene (evolution of bond length and bond angle) is found
to be different from the conclusions from previous classical molecular dynamics
simulations with empirical potential. We propose that the decentralized electron
localization function (ELF) driven by strain leads to ELF coupling between
different types of bonds, which results in the counter-intuitive anomalous
increase of the bond angle and thus the emergence of NPR in graphene. Moreover,
the NPR phenomenon can be anticipated to emerge in other nanomaterials or
nanostructures with a similar honeycomb structure as that of graphene, where the
ELF coupling would also be possible.
PMID- 29808894
TI - Correction: The asymmetric syntheses of cryptocaryols A and B.
AB - Correction for 'The asymmetric syntheses of cryptocaryols A and B' by Alhanouf
Zakaria Aljahdali et al., Chem. Commun., 2018, 54, 3428-3435.
PMID- 29808897
TI - Comparative efficacy of vanilloids in inhibiting toll-like receptor-4 (TLR
4)/myeloid differentiation factor (MD-2) homodimerisation.
AB - Vanilloid (4-hydroxy-3-methoxyphenyl benzenoid) containing foods are reported to
possess many biological activities including anti-inflammatory properties.
Homodimerisation of the Toll-like receptor-4 (TLR-4)/Myeloid differentiation
factor 2 (MD-2) complex results in life-threatening complications in inflammatory
disorders. In this study, we report activity of vanilloids in inhibition of TLR
4/MD-2 homodimersization and their molecular interactions with the receptor. The
inhibitory activities of vanilloids were assessed in vitro by determining their
antagonistic actions of lipopolysaccharide from Escherichia coli (LPSEc) in
activation of TLR-4/MD-2 homodimerisation in TLR-4/MD-2/CD-14 transfected HEK-293
cells. The in vitro anti-inflammatory activity of vanilloids was also determined
using RAW 264.7 cells. All the vanilloids were found to be active in the
inhibition of TLR-4/MD-2 homodimersiation and nitric oxide production in RAW
264.7 cells. Rigid and flexible molecular docking studies were performed to gain
insight into interactions between vanilloids and the binding site of the TLR-4/MD
2 complex.
PMID- 29808898
TI - Modular 2,3-diaryl-2H-azirine synthesis from ketoxime acetates via Cs2CO3
mediated cyclization.
AB - A modular 2H-azirine synthesis from ketoxime acetates via Cs2CO3-mediated
cyclization has been developed. The reaction utilizes easily available starting
materials and provides a general synthetic route to 2,3-diaryl-2H-azirines in
good to excellent yields under mild conditions, which is complementary to the
conventional approaches for the synthesis of 2H-azirines. A gram-scale reaction
was performed to demonstrate the scale-up applicability of this synthetic method.
Importantly, 2H-azirines can be efficiently converted to various azaheterocycles.
PMID- 29808899
TI - Activation of disulfide bond cleavage triggered by hydrophobization and
lipophilization of functionalized dihydroasparagusic acid.
AB - Concisely synthesized and functionalized dihydroasparagusic acid (DHAA)
derivatives were used to show that the introduction of a hydrophobic functional
group dramatically reduced air oxidation activity at the dithiol moieties and
dominantly activated the cleavage of S-S bonds in proteins, presumably due to the
hydrophobization and lipophilization. Notably, the reaction sites of water
reactive dithiol moieties behaved similarly to hydrophobic and lipophilic
functional groups, which suggests impersonation of the reaction site.
PMID- 29808900
TI - Correction: Long-term C. elegans immobilization enables high resolution
developmental studies in vivo.
AB - Correction for 'Long-term C. elegans immobilization enables high resolution
developmental studies in vivo' by Simon Berger et al., Lab Chip, 2018, 18, 1359
1368.
PMID- 29808901
TI - Unexpected cyclization of 2-(2-aminophenyl)indoles with nitroalkenes to furnish
indolo[3,2-c]quinolines.
AB - The polyphosphoric acid-mediated reaction of 2-(2-aminophenyl)indenes with
nitroalkenes was tested in the frame of synthetic studies towards CDK inhibitors
with the paullone core. Unexpectedly, this reaction proceeded via a different
mechanistic pathway affording derivatives of the natural alkaloid
isocryptolepine. The scope of this unusual transformation was investigated and a
mechanistic rationale explaining this outcome is offered.
PMID- 29808902
TI - Atomic-scale etching of hexagonal boron nitride for device integration based on
two-dimensional materials.
AB - Hexagonal boron nitride (h-BN) is considered an ideal template for electronics
based on two-dimensional (2D) materials, owing to its unique properties as a
dielectric film. Most studies involving h-BN and its application to electronics
have focused on its synthesis using techniques such as chemical vapor deposition,
the electrical analysis of its surface state, and the evaluation of its
performance. Meanwhile, processing techniques including etching methods have not
been widely studied despite their necessity for device fabrication processes. In
this study, we propose the atomic-scale etching of h-BN for integration into
devices based on 2D materials, using Ar plasma at room temperature. A
controllable etching rate, less than 1 nm min-1, was achieved and the low
reactivity of the Ar plasma enabled the atomic-scale etching of h-BN down to a
monolayer in this top-down approach. Based on the h-BN etching technique for
achieving electrical contact with the underlying molybdenum disulfide (MoS2)
layer of an h-BN/MoS2 heterostructure, a top-gate MoS2 field-effect transistor
(FET) with h-BN gate dielectric was fabricated and characterized by high
electrical performance based on the on/off current ratio and carrier mobility.
PMID- 29808903
TI - Aziridine based electrophilic handle for aspartic acid ligation.
AB - A one-pot ligation strategy at aspartic acid junctions has been developed by
successfully incorporating aziridin-2,3-dicarboxylate to the N-side of a peptide
fragment, affording N-aziridine appended peptides, which were ligated in solution
phase with a variety of small peptide thio acids to afford native peptides,
following a ring-opening/peptidyl migration/desulfurization strategy. The
reaction proceeds in a highly regiospecific manner, and provides short native
peptides in good isolable yields. A variety of aspartame based peptides were
synthesized to showcase the generality of this aziridine based ligation.
Computational studies have also been performed to obtain insight about the
reaction pathway.
PMID- 29808904
TI - Detection of platelet autoantibodies to identify immune thrombocytopenia: state
of the art.
AB - Immune Thrombocytopenia (ITP) is diagnosed by exclusion of other causes for
thrombocytopenia. Reliable detection of platelet autoantibodies would support the
clinical diagnosis of ITP and prevent misdiagnosis. We optimized our diagnostic
algorithm for suspected ITP using the direct monoclonal antibody immobilization
of platelet antigens assay (MAIPA), which evaluates the presence of platelet
autoantibodies on the glycoproteins (GP) IIb/IIIa, Ib/IX and V bound on the
patient platelets. The direct MAIPA was shown to be a valuable technique for the
detection of platelet autoantibodies and could possibly become a guide for
optimizing therapy towards a more personalized treatment of ITP.
PMID- 29808905
TI - How we manage Gaucher Disease in the era of choices.
AB - Treatment of Gaucher Disease (GD) is now beset with the abundance of therapeutic
options for an individual patient, making the choice of therapy complex for both
expert and non-expert clinicians. The pathogenesis of all disease manifestations
is a gene mutation-driven deficiency of glucocerebrosidase, but the clinical
expression and response of each of the clinical manifestations to different
therapies can be difficult to predict. Enzyme replacement therapy has been
available since 1991 and is well-established, with known efficacy and minimal
toxicity. Of interest, the three available enzymes are distinct molecules and
were registered as new products, not biosimilars. Oral substrate reduction
therapy has undergone a revitalisation with a newly approved agent in this class
for which some efficacy and toxicity questions have been raised. Herein we
present our approach to the management of GD in the era of choices, including a
new algorithm for how to manage a newly diagnosed patient.
PMID- 29808906
TI - STED microscopy: A simplified method for liver sinusoidal endothelial fenestrae
analysis.
AB - BACKGROUND INFORMATION: Liver sinusoidal endothelial cells (LSECs) possess
fenestrae, open transcellular pores with an average diameter of 100 nm. These
fenestrae allow for the exchange between blood and hepatocytes. Alterations in
their number or diameter in liver diseases have important implications for
hepatic microcirculation and function. Although decades of studies, fenestrae are
still observed into fixed cells and we have poor knowledge of their dynamics.
RESULTS: Using stimulated emission depletion (STED) super-resolution microscopy,
we have established a faster and simplest method to observe and quantify
fenestrae. Indeed, using cytochalasin D, an actin depolymerising agent known to
promote fenestrae formation, we measure the increase of fenestrae number. We
adapted this methodology to develop an automated method to study fenestrae
dynamics. Moreover, with two-colour STED analysis, we have shown that this
approach could be useful to study LSECs fenestrae molecular composition.
CONCLUSIONS: Our approach demonstrates that STED microscopy is suitable for LSEC
fenestrae study. SIGNIFICANCE: This new way of analysing LSEC fenestrae will
allow for expedited investigation of their dynamics, molecular composition and
functions to better understand their function in liver pathophysiology.
PMID- 29808907
TI - Elotuzumab monotherapy in patients with smouldering multiple myeloma: a phase 2
study.
AB - Smouldering multiple myeloma (SMM) is associated with increased risk of
progression to multiple myeloma within 2 years, with no approved treatments.
Elotuzumab has been shown to promote natural killer (NK) cell stimulation and
antibody-dependent cellular cytotoxicity (ADCC) in vitro. CD56dim (CD56dim /CD16+
/CD3- /CD45+ ) NK cells represent the primary subset responsible for elotuzumab
induced ADCC. In this phase II, non-randomized study (NCT01441973), patients with
SMM received elotuzumab 20 mg/kg intravenously (cycle 1: days 1, 8; monthly
thereafter) or 10 mg/kg (cycles 1, 2: weekly; every 2 weeks thereafter). The
primary endpoint was the relationship between baseline proportion of bone marrow
derived CD56dim NK cells and maximal M protein reduction; secondary endpoints
included overall response rate (ORR) and progression-free survival (PFS). Fifteen
patients received 20 mg/kg and 16 received 10 mg/kg; combined data arepresented.
At database lock (DBL, September 2014), no association was found between baseline
CD56dim NK cell proportion and maximal M protein reduction. With minimum 28
months' follow-up (DBL: January 2016), ORR (90% CI) was 10% (2.7-23.2) and 2-year
PFS rate was 69% (52-81%). Upper respiratory tract infections occurred in 18/31
(58%) patients. Four (13%) patients experienced infusion reactions, all grade 1
2. Elotuzumab plus lenalidomide/dexamethasone is under investigation for SMM.
PMID- 29808909
TI - Authentication of Small Berry Fruit in Fruit Products by DNA Barcoding Method.
AB - Small berry fruit products are gaining an expanded market due to their high
nutrition value. However, the authenticity of products is challenged by
adulteration and mislabeling. To establish an accurate and robust method for
identifying both known and unknown fruit species in small berry fruit products,
DNA barcoding technology based on Sanger sequencing was adopted. To overcome the
influence of processing conditions on DNA recovery, mini-barcodes of rbcL and ITS
and a medium-barcode of psbA-trnH were applied. To identify ingredients in
products containing mixed species, plasmid cloning was applied to separate mixed
barcodes. The method established in this paper could detect 1% to 10% target
species in mixed fruit juice.
PMID- 29808908
TI - Childhood memories of threatening experiences and submissiveness and its
relationship to hallucination proneness and ideas of reference: The mediating
role of dissociation.
AB - Recent studies have emphasized the importance of childhood memories of
threatening experiences and submissiveness in a diversity of psychological
disorders. The purpose of this work was to study their specific relationship with
hallucination proneness and ideas of reference in healthy subjects. The ELES
scale for measuring memory of adverse childhood experiences, the DES-II scale for
measuring dissociation, the LSHS-R scale for measuring hallucination proneness,
and the REF for ideas of reference were applied to a sample of 472 subjects. A
positive association was found between childhood memories of adverse experiences
and hallucination proneness and ideas of reference, on one hand, and dissociation
on the other. A mediation analysis showed that dissociation was a mediator
between the memory of adverse childhood experiences and hallucination proneness
on one hand, and ideas of reference on the other. When the role of mediator of
the types of dissociative experiences was studied, it was found that absorption
and depersonalization mediated between adverse experiences and hallucination
proneness. However, this mediating effect was not found between adverse
experiences and ideas of reference. The relationship between these last two
variables was direct. The results suggest that childhood memories of adverse
experiences are a relevant factor in understanding hallucination proneness and
ideas of reference. Similarly, dissociation is a specific mediator between
adverse childhood experiences and hallucination proneness.
PMID- 29808910
TI - Is there enough evidence that mouthguards do not affect athletic performance? A
systematic literature review.
AB - BACKGROUND: Although there is evidence that mouthguards prevent orofacial trauma
occurrence during sport practice, the influence of this device on athletic
performance has not been systematically quantified. OBJECTIVES: We aim to
systematically review the literature to determine the effect of mouthguards on
athletic performance and if the type of mouthguard influences this overcome.
MATERIALS AND METHODS: A comprehensive search was performed in the Systematic
Electronic Databases: Pubmed, Scopus, Web of Science and the Cochrane Library
from their inception to February 2017. The publications were grouped based on
their outcome: (i) the use of mouthguards; or (ii) the type of mouthguards. We
conducted descriptive analyses and quality assessments of the included studies.
RESULTS: The search identified 489 citations. After screening the studies, we
identified 15 trials comprising a population of 312 athletes. According to the
risk of bias evaluation, nine studies were considered 'high' risk of bias, and
six 'low' risk of bias. Overall, custom-made mouthguards did not interfere or
improve athletes' performance when compared with the control group (without
mouthguard). Custom-made mouthguards showed the smallest range of changes in
players' performance compared with other types of mouthguards. CONCLUSIONS: This
systematic review provides evidence for sports professionals to advocate the use
of custom-made mouthguards to prevent injury, and these devices do not impair
performance. The scientific evidence should be interpreted carefully because
there is a great variability in outcome measures and lack of important
methodological details.
PMID- 29808911
TI - Vitamin D status in adult patients with nonmelanoma skin cancer in Cape Town,
South Africa: a cross-sectional study.
AB - BACKGROUND: Ultraviolet (UV) radiation is the most important environmental risk
factor for the development of nonmelanoma skin cancer (NMSC). UV radiation is,
however, also vital in the formation of vitamin D in humans. Strict sun
protection advised to skin cancer patients may lead to vitamin D deficiency, yet
vitamin D may have a protective effect against cancer formation. OBJECTIVES: The
primary aim was to determine whether patients with nonmelanoma skin cancer at our
institution were vitamin D deficient. METHODS: 25-Hydroxyvitamin D (25[OH]D)
levels were determined in 109 patients with a diagnosis of basal cell carcinoma
(BCC) and/or squamous cell carcinoma (SCC) during the summer and winter of 2015
at the Tygerberg Academic Hospital in Cape Town, South Africa. Associations
between clinical and epidemiological data and the 25(OH)D level results were
investigated. Vitamin D deficiency was defined as total 25(OH)D levels <20 ng/mL
(<50 nmol/L). RESULTS: It was found that 49.5% of NMSC patients were vitamin D
deficient, and 41.3% had insufficient vitamin D levels. Females were more likely
than males to be vitamin D deficient (P = 0.047). Winter was significantly
associated with vitamin D deficiency, compared to summer (OR = 4.81, 95%CI = 2.09
11.09, P <0.001). Having a previous SCC appeared associated with not being
vitamin D deficient (OR = 0.46, 95%CI = 0.20-1.11, P = 0.084). CONCLUSIONS: The
findings highlight the need for the development of recommendations and guidelines
on sun protection in patients with NMSC, while still ensuring an adequate vitamin
D status. High risk factors included winter and female gender.
PMID- 29808912
TI - IgA kappa light and heavy chain deposition disease in multiple myeloma.
PMID- 29808913
TI - Sulfite protects neurons from oxidative stress.
AB - BACKGROUND AND PURPOSE: Hydrogen sulfide (H2 S) and polysulfides (H2 Sn ) are
signalling molecules that mediate various physiological responses including
cytoprotection. Their oxidized metabolite sulfite (SO32- ) is found in blood and
tissues. However, its physiological role remains unclear. In this study, we
investigated the cytoprotective effect of sulfite on neurons exposed to oxidative
stress caused by high concentrations of the neurotransmitter glutamate, known as
oxytosis. EXPERIMENTAL APPROACH: Concentrations of sulfite as well as those of
cysteine and GSH in rats were measured by HPLC. Cytoprotective effects of sulfite
on primary cultures of rat neurons against oxytosis was examined by WST-8
cytoprotective and LDH cytotoxicity assays and compared with that of H2 S, H2 Sn
and thiosulfate. KEY RESULTS: Free sulfite, present at approximately 2 MUM in the
rat brain, converts cystine to cysteine more efficiently than H2 S and H2 Sn and
facilitates transport of cysteine into cells. Physiological concentrations of
sulfite protected neurons from oxytosis and were accompanied by increased
intracellular concentrations of cysteine and GSH probably due to converting
extracellular cystine to cysteine, more efficiently than H2 S and H2 Sn . In
contrast, thiosulfate only slightly protected neurons from oxytosis. CONCLUSIONS
AND IMPLICATIONS: Our present data have shown sulfite to be a novel
cytoprotective molecule against oxytosis, through maintaining cysteine levels in
the extracellular milieu, leading to increased intracellular cysteine and GSH.
Although there may be adverse clinical effects in sensitive individuals, our
results provide a new insight into the therapeutic application of sulfite to
neuronal diseases caused by oxidative stress.
PMID- 29808914
TI - Will Rogers: actor, humourist ... and ALL epidemiologist?
PMID- 29808915
TI - Long-term survival after childhood acute lymphoblastic leukaemia: population
based trends in cure and relapse by clinical characteristics.
AB - 'Cure models' offer additional information to traditional epidemiological
approaches to assess survival for cancer patients by simultaneously estimating
the proportion cured and the survival of those 'uncured'. The proportion cured is
a summary of long-term survival while the median survival time of the uncured
provides important information on those who are not long-term survivors.
Population-based trends in the cure proportion and survival of the uncured for
childhood acute lymphoblastic leukaemia (ALL) by clinical prognostic risk factors
were estimated using flexible parametric cure models, based on overall survival
and event-free survival. Children aged 1-17 years diagnosed between 1990 and 2011
in Yorkshire, UK, were included (n = 492). The percentage cured increased from
77% (95% confidence interval 70-84%) in 1990-1997 to 89% (84-93%) in 2003-2011,
while the median survival time of the uncured decreased from 3.2 years (2.2-4.1
years) to 0.7 years (0-1.5 years). Models based on event-free survival showed a
similar trend. The 5-year cumulative incidence of relapse substantially decreased
from 35% in 1990-97 to 9% in 2003-2011. These results show selective improvement
in survival between 1990 and 2011 with a significant reduction in the risk of
relapse alongside a reduced absolute duration of survival for those destined to
be uncured.
PMID- 29808916
TI - Corrigendum.
PMID- 29808917
TI - Effective Response Metric: a novel tool to predict relapse in childhood acute
lymphoblastic leukaemia using time-series gene expression profiling.
AB - Accurate risk assignment in childhood acute lymphoblastic leukaemia is essential
to avoid under- or over-treatment. We hypothesized that time-series gene
expression profiles (GEPs) of bone marrow samples during remission-induction
therapy can measure the response and be used for relapse prediction. We computed
the time-series changes from diagnosis to Day 8 of remission-induction, termed
Effective Response Metric (ERM-D8) and tested its ability to predict relapse
against contemporary risk assignment methods, including National Cancer
Institutes (NCI) criteria, genetics and minimal residual disease (MRD). ERM-D8
was trained on a set of 131 patients and validated on an independent set of 79
patients. In the independent blinded test set, unfavourable ERM-D8 patients had
>3-fold increased risk of relapse compared to favourable ERM-D8 (5-year
cumulative incidence of relapse 38.1% vs. 10.6%; P = 2.5 * 10-3 ). ERM-D8
remained predictive of relapse [P = 0.05; Hazard ratio 4.09, 95% confidence
interval (CI) 1.03-16.23] after adjusting for NCI criteria, genetics, Day 8
peripheral response and Day 33 MRD. ERM-D8 improved risk stratification in
favourable genetics subgroups (P = 0.01) and Day 33 MRD positive patients (P =
1.7 * 10-3 ). We conclude that our novel metric - ERM-D8 - based on time-series
GEP after 8 days of remission-induction therapy can independently predict relapse
even after adjusting for NCI risk, genetics, Day 8 peripheral blood response and
MRD.
PMID- 29808918
TI - Spectral domain optical coherence tomography interpretation.
PMID- 29808919
TI - Allogeneic haematopoietic cell transplantation for adult acute myeloid leukaemia
in second remission: a retrospective study of the Adult Acute Myeloid Leukaemia
Working Group of the Japan Society for Haematopoietic Cell Transplantation
(JSHCT).
AB - To evaluate the outcomes and prognostic factors following allogeneic
haematopoietic cell transplantation (HCT) for adult acute myeloid leukaemia (AML)
in second complete remission (CR2), we retrospectively analysed the Japanese
registration data of 1080 adult AML patients in CR2 who had received allogeneic
HCT. The probability of overall survival and the cumulative incidence of relapse
at 3 years was 66% and 19%, respectively. In multivariate analysis, older age,
poor cytogenetics and shorter duration of first complete remission were
significantly associated with a higher overall mortality. Our data demonstrated
the significant efficacy of allogeneic HCT for adult AML in CR2.
PMID- 29808920
TI - Long-term electrical performance of Attain Performa quadripolar left ventricular
leads with all steroid-eluting electrodes: Results from a large worldwide
clinical trial.
AB - BACKGROUND: Steroid-eluting (SE) electrodes suppress local inflammation and lower
pacing capture thresholds (PCT); however, their effectiveness on quadripolar left
ventricular (LV) leads in the cardiac vein is not fully studied. We evaluated the
effectiveness of SE on all four LV pacing electrodes in human subjects enrolled
in the Medtronic Attain(r) PerformaTM quadripolar LV lead study. METHODS: A total
of 1,097 subjects were included in this evaluation. At each follow-up visit (1,
3, 6, and 12 months), LV PCT and pacing impedance were measured using either
manual or automated testing methods. Summary statistics for PCT and impedance
values were obtained for implant and each scheduled follow-up visit for all lead
models. RESULTS: Average extended bipolar (LV electrode to right ventricular
Coil) PCTs for the four LV SE pacing electrodes (LV1, LV2, LV3, and LV4) on the
three shapes of the quadripolar LV leads were 1.06 +/- 0.97 V, 1.38 +/- 1.26 V,
1.51 +/- 1.33 V, and 2.25 +/- 1.63 V, respectively, at 0.5-ms pulse width. PCTs
remained low and stable throughout the 12-month follow-up period. CONCLUSION:
This clinical trial demonstrated that SE on all LV pacing electrodes is
associated with low and stable PCTs for all quadripolar LV lead electrodes,
resulting in multiple viable vectors for LV pacing. The large number of available
vectors facilitates basal pacing, avoidance of PNS, and potentially prolongs
generator longevity due to lower PCTs.
PMID- 29808923
TI - Clinical evolution of lymphomatoid papulosis.
PMID- 29808921
TI - How I manage patients with relapsed/refractory diffuse large B cell lymphoma.
AB - Despite progress in the upfront treatment of diffuse large B cell lymphoma
(DLBCL), patients still experience relapses. Salvage chemotherapy followed by
autologous stem cell transplantation (ASCT) is the standard second-line treatment
for relapsed and refractory (R/R) DLBCL. However, half of the patients will not
be eligible for transplantation due to ineffective salvage treatment, and the
other half will relapse after ASCT. In randomized studies, no salvage
chemotherapy regimen is superior to another. The outcomes are affected by the
secondary International Prognostic Index at relapse and various biological
factors. The strategy is less clear in patients who require third-line treatment.
A multicohort retrospective non-Hodgkin lymphoma research (SCHOLAR-1) study
conducted in 636 patients with refractory DLBCL showed an objective response rate
of 26% (complete response 7%) to the next line of therapy with a median overall
survival of 6.3 months. In the case of a response followed by transplantation,
long-term survival can be achieved in DLBCL patients. There is clearly a need for
new drugs that improve salvage efficacy. Encouraging results have been reported
with chimeric antigen receptor -T cell engineering, warranting further studies in
a well-defined control group of refractory patients. The Collaborative Trial in
Relapsed Aggressive Lymphoma (CORAL) was used as a handy framework to build the
discussion.
PMID- 29808924
TI - Epstein-Barr virus-positive follicular lymphoma.
PMID- 29808922
TI - Human Pegivirus infection and lymphoma risk and prognosis: a North American
study.
AB - We evaluated the association of Human Pegivirus (HPgV) viraemia with risk of
developing lymphoma, overall and by major subtypes. Because this virus has also
been associated with better prognosis in the setting of co-infection with human
immunodeficiency virus, we further assessed the association of HPgV with
prognosis. We used risk factor data and banked plasma samples from 2094 lymphoma
cases newly diagnosed between 2002 and 2009 and 1572 frequency-matched controls.
Plasma samples were tested for HPgV RNA by reverse transcription polymerase chain
reaction (RT-PCR), and those with RNA concentrations <5000 genome equivalents/ml
were confirmed using nested RT-PCR methods. To assess the role of HPgV in
lymphoma prognosis, we used 2948 cases from a cohort study of newly diagnosed
lymphoma patients (included all cases from the case-control study). There was a
positive association of HPgV viraemia with risk of lymphoma overall (Odds ratio =
2.14; 95% confidence interval [CI] 1.63-2.80; P < 0.0001), and for all major
subtypes except Hodgkin lymphoma and chronic lymphocytic leukaemia/small
lymphocytic lymphoma, and this was not confounded by other lymphoma risk factors.
In contrast, there was no association of HPgV viraemia with event-free survival
(Hazard ratio [HR] = 1.00; 95% CI 0.85-1.18) or overall survival (HR = 0.97; 95%
CI 0.79-1.20) for lymphoma overall, or any of the subtypes. These data support
the hypothesis for a role of HPgV in the aetiology of multiple lymphoma subtypes.
PMID- 29808925
TI - Which is more important for the selection of cord blood units for haematopoietic
cell transplantation: the number of CD34-positive cells or total nucleated cells?
PMID- 29808926
TI - Outcome of patients with Myelofibrosis relapsing after allogeneic stem cell
transplant: a retrospective study by the Chronic Malignancies Working Party of
EBMT.
AB - Allogeneic Haematopoietic Stem Cell Transplant (allo-HSCT) remains the only
curative approach for Myelofibrosis (MF). Scarce information exists in the
literature on the outcome and, indeed, management of those MF patients who
relapse following transplant. We hereby report on the management and outcome of
202 patients who relapsed post allo-HSCT for MF.
PMID- 29808927
TI - 42nd ASPEN President's Address: Nutrition Support: Integrating Technology and
Clinical Expertise.
PMID- 29808928
TI - Dichotomous parvalbumin interneuron populations in dorsolateral and dorsomedial
striatum.
AB - KEY POINTS: There are two electrophysiological dichotomous populations of
parvalbumin (PV) interneurons located in the dorsal striatum. Striatal PV
interneurons in medial and lateral regions differ significantly in their
intrinsic excitability. Parvalbumin interneurons in the dorsomedial striatum, but
not in the dorsolateral striatum, receive afferent glutamatergic input from
cingulate cortex. ABSTRACT: Dorsomedial striatum circuitry is involved in goal
directed actions or movements that become habits upon repetition, as encoded by
the dorsolateral striatum. An inability to shift from habits can compromise
action-control and prevent behavioural adaptation. Although these regions appear
to be clearly behaviourally distinct, little is known about their distinct
physiology. Parvalbumin (PV) interneurons are a major source of striatal
inhibition and are usually considered as a homogeneous population in the entire
dorsal striatum. In the present study, we recorded PV interneurons in dorsal
striatum slices from wild-type male mice and suggest the existence of two
electrophysiological dichotomous populations. We found that PV interneurons
located at the dorsomedial striatum region have increased intrinsic excitability
compared to PV interneurons in dorsolateral region. We also found that PV
interneurons in the dorsomedial region, but not in the dorsolateral striatum
region, receive short-latency excitatory inputs from cingulate cortex. Therefore,
the results of the present study demonstrate the importance of considering region
specific parvalbumin interneuron populations when studying dorsal striatal
function.
PMID- 29808929
TI - First case of symmetrical drug-related intertriginous and flexural exanthema
(SDRIFE) due to Berberine, an over-the-counter herbal glycemic control agent.
PMID- 29808932
TI - Viral lymphomagenesis: beyond the usual suspects.
PMID- 29808930
TI - Diagnostic markers for CNS lymphoma in blood and cerebrospinal fluid: a
systematic review.
AB - Diagnosing central nervous system (CNS) lymphoma remains a challenge. Most
patients have to undergo brain biopsy to obtain tissue for diagnosis, with
associated risks of serious complications. Diagnostic markers in blood or
cerebrospinal fluid (CSF) could facilitate early diagnosis with low complication
rates. We performed a systematic literature search for studies on markers in
blood or cerebrospinal fluid for the diagnosis CNS lymphoma and assessed the
methodological quality of studies with the Quality Assessment of Diagnostic
Accuracy Studies tool (QUADAS-2). We evaluated diagnostic value of the markers at
a given threshold, as well as differences between mean or median levels in
patients versus control groups. Twenty-five studies were included, reporting
diagnostic value for 18 markers in CSF (microRNAs -21, -19b, and -92a, RNU2-1f,
CXCL13, interleukins -6, -8, and -10, soluble interleukin-2-receptor, soluble
CD19, soluble CD27, tumour necrosis factor-alfa, beta-2-microglobulin,
antithrombin III, soluble transmembrane activator and calcium modulator and
cyclophilin ligand interactor, soluble B cell maturation antigen, neopterin and
osteopontin) and three markers in blood (microRNA-21 soluble CD27, and beta-2
microglobulin). All studies were at considerable risk of bias and there were
concerns regarding the applicability of 15 studies. CXCL-13, beta-2-microglobulin
and neopterin have the highest potential in diagnosing CNS lymphoma, but further
study is still needed before they can be used in clinical practice.
PMID- 29808931
TI - Exploring the autoinhibitory domain of the electrogenic Na+ /HCO3- transporter
NBCe1-B, from residues 28 to 62.
AB - KEY POINTS: Slc4a4 (mouse) encodes at least five variants of the electrogenic
sodium/bicarbonate transporter NBCe1. The initial 41 cytosolic amino acids of
NBCe1-A and -D are unique; NBCe1-A has high activity. The initial 85 amino acids
of NBCe1-B, -C and -E are unique; NBCe1-B and -C have low activity. Previous work
showed that deleting residues 1-85 or 40-62 of NBCe1-B, or 1-87 of NBCe1-C,
eliminates autoinhibition. These regions also include binding determinants for
IRBIT (inositol trisphosphate (IP3 )-receptor binding protein released with IP3
), which relieves autoinhibition. Here, systematically replacing/deleting
residues 28-62, we find that only the nine amino acid cationic cluster (residues
40-48) of NBCe1-B is essential for autoinhibition. IRBIT stimulates all but one
low-activity construct. We suggest that electrostatic interactions - which IRBIT
presumably interrupts - between the cationic cluster and the membrane or other
domains of NBCe1 play a central role in tempering the activity of NBCe1-B in the
pancreas, brain and other organs. ABSTRACT: Variant B of the electrogenic Na+
/HCO3- cotransporter (NBCe1-B) contributes to the vectorial transport of HCO3- in
epithelia (e.g. pancreatic ducts) and to the maintenance of intracellular pH in
the central nervous systems (e.g. astrocytes). NBCe1-B has very low basal
activity due to an autoinhibitory domain (AID) located, at least in part, in the
unique portion (residues 1-85) of the cytosolic NH2 -terminus. Previous work has
shown that removing 23 amino acids (residues 40-62) stimulates NBCe1-B. Here, we
test the hypothesis that a cationic cluster of nine consecutive positively
charged amino acids (residues 40-48) is a necessary part of the AID. Using two
electrode voltage clamping of Xenopus oocytes, we assess the activity of human
NBCe1-B constructs in which we systematically replace or delete residues 28-62,
which includes the cationic cluster. We find that replacing or deleting all
residues within the cationic cluster markedly increases NBCe1-B activity (i.e.
eliminates autoinhibition). On the background of a cationic clusterless
construct, systematically restoring Arg residues restores autoinhibition in two
distinct quanta, with one to three Arg residues restoring ~50%, and four or more
Arg residues restoring virtually all autoinhibition. Systematically deleting
residues before the cluster reduces autoinhibition by, at most, a small amount.
Replacing or deleting residues after the cluster has no effect. For constructs
with low NBCe1 activity (but good surface expression, as assessed by
biotinylation), co-expression with super-IRBIT (lacking PP1-binding site)
restores full activity (i.e. relieves autoinhibition). In summary, the cationic
cluster is a necessary component of the AID of NBCe1-B.
PMID- 29808933
TI - Clinical-grade validation of whole genome sequencing reveals robust detection of
low-frequency variants and copy number alterations in CLL.
AB - The 100 000 Genome Project aims to develop a diagnostics platform by introducing
whole genome sequencing (WGS) into clinical practice. Samples from patients with
chronic lymphocytic leukaemia were subjected to WGS. WGS detection of single
nucleotide variants and insertion/deletions were validated by targeted next
generation sequencing showing high concordance (96.3%), also for detection of sub
clonal variants and low-frequency TP53 variants. Copy number alteration detection
was verified by fluorescent in situ hybridisation and genome-wide single
nucleotide polymorphism array (concordances of 86.7% and 92.9%, respectively),
confirming adequate sensitivity by WGS. Our results confirm that WGS can provide
comprehensive genomic characterisation for clinical trials, drug discovery and,
ultimately, precision medicine.
PMID- 29808934
TI - Impact of polymorphisms in apoptosis-related genes on the outcome of childhood
acute lymphoblastic leukaemia.
PMID- 29808935
TI - Echocardiographic assessment of ventricular contraction and synchrony in children
with isolated complete atrioventricular block and epicardial pacing: Implications
of interventricular mechanical delay.
AB - AIMS: To assess the correlations between interventricular mechanical delay (IVMD)
and cardiac function in children with isolated complete atrioventricular block
and epicardial pacing. METHODS AND RESULTS: We enrolled 13 children (six boys)
with an epicardial dual-chamber pacemaker due to isolated complete or advanced
atrioventricular block. The patients were 9.8 (range, 6.8-15.3) years old, and
none had symptomatic heart failure at the follow-up visit. Ventricular pacing
sites, which remained the same for 7.2 (1.6-12.3) years, were the left ventricle
(LV) in two patients, right ventricle (RV) in four patients, and both ventricles
in seven patients. IVMD was <=40 ms in six patients (short IVMD group) and >40 ms
in seven patients (long IVMD group). Compared with the long IVMD group, the short
IVMD group was associated with better LV longitudinal strain (-20% [-24% to -18%]
vs -16% [-20% to -13%], P < .05). The short IVMD group had superior LV mechanical
synchrony than the long IVMD group (septal to lateral delay of the time to peak
longitudinal strain 15 [-16-78] ms vs 78 [13-93] ms, P < .05; standard deviation
of the time to peak radial strain 13 [9-34] ms vs 35 [18-64] ms, P < .05).
CONCLUSION: In children with epicardial pacing at LV, RV, or both ventricles, a
left-sided contraction delay was associated with poorer LV contraction and
impaired LV synchrony. IVMD will help to stratify patients during follow-up.
PMID- 29808936
TI - Factor XI and pulmonary infections.
PMID- 29808937
TI - Impact of meteorological and geographical factors on the distribution of
Phlebotomus chinensis in northwestern mainland China.
AB - Phlebotomine sandflies (Diptera: Phlebotomidae) are vectors of the zoonotic
disease leishmaniasis. To better understand the distribution of phlebotomine
sandflies in order to facilitate control of leishmaniasis transmission, the
present study explored the impacts of climate and landscape on local abundances
of Phlebotomus chinensis in northwestern mainland China. Identification records
were used to create a geodatabase for the locations at which P. chinensis had
been collected in the region, and a regional-scale map was developed to show the
distribution of P. chinensis. Location data and data on environmental factors
during the years in which the samples were collected were incorporated, and a
presence-only modelling method was used to evaluate the species' habitat
preferences and to predict its potential distribution in northwestern mainland
China. Jackknife analysis revealed that several meteorological variables,
including maximum temperature in the warmest quarter, precipitation in the driest
month, daily average temperature and daily precipitation, significantly affected
the presence of this species. Moreover, the presence of P. chinensis was
significantly associated with grassland and shrubland. Probability distributions
using maximum entropy were used to map the distribution ranges of P. chinensis
based on suitable habitats in northwestern mainland China. The models generated
can be used to develop detailed strategies for the prevention and control of
leishmaniasis.
PMID- 29808938
TI - Documentary analysis as an assessment tool.
AB - BACKGROUND: Documentary analysis of existing information, such as newspaper
articles, is an identified method for use in an assessment to provide a
descriptive explanation of existing problems or assets. The intention of this
case study is to explore the effectiveness of documentary analysis of newspaper
articles as a tool to inform an assessment of factors influencing the
implementation of a medical respite for the homeless. Two questions framed the
assessment; (a) What was occurring or might occur that will affect the
development of a medical respite; and (b) What opportunities or threats are
generated as a result? METHODS: Articles from two local newspapers identified
themes related to the three external forces of change that impact medical
respites; (a) funding; (b) community resistance; and (c) the health care system.
RESULTS: Three themes emerged: a) uncertain funding; b) neighborhood resistance,
and c) improved access to healthcare would result from the Affordable Care Act.
CONCLUSION: Use of documentary analysis of newspaper articles provided applicable
content for the assessment. Themes from the assessment informed strategic
planning, such as addressing potential neighborhood resistance.
PMID- 29808939
TI - Diversity of dermal denticle structure in sharks: Skin surface roughness and
three-dimensional morphology.
AB - Shark skin is covered with numerous placoid scales or dermal denticles. While
previous research has used scanning electron microscopy and histology to
demonstrate that denticles vary both around the body of a shark and among
species, no previous study has quantified three-dimensional (3D) denticle
structure and surface roughness to provide a quantitative analysis of skin
surface texture. We quantified differences in denticle shape and size on the skin
of three individual smooth dogfish sharks (Mustelus canis) using micro-CT
scanning, gel-based surface profilometry, and histology. On each smooth dogfish,
we imaged between 8 and 20 distinct areas on the body and fins, and obtained
further comparative skin surface data from leopard, Atlantic sharpnose, shortfin
mako, spiny dogfish, gulper, angel, and white sharks. We generated 3D images of
individual denticles and measured denticle volume, surface area, and crown angle
from the micro-CT scans. Surface profilometry was used to quantify metrology
variables such as roughness, skew, kurtosis, and the height and spacing of
surface features. These measurements confirmed that denticles on different body
areas of smooth dogfish varied widely in size, shape, and spacing. Denticles near
the snout are smooth, paver-like, and large relative to denticles on the body.
Body denticles on smooth dogfish generally have between one and three distinct
ridges, a diamond-like surface shape, and a dorsoventral gradient in spacing and
roughness. Ridges were spaced on average 56 um apart, and had a mean height of
6.5 um, comparable to denticles from shortfin mako sharks, and with narrower
spacing and lower heights than other species measured. We observed considerable
variation in denticle structure among regions on the pectoral, dorsal, and caudal
fins, including a leading-to-trailing edge gradient in roughness for each region.
Surface roughness in smooth dogfish varied around the body from 3 to 42 microns.
PMID- 29808940
TI - Isolation and genome analysis of a lytic Pasteurella multocida Bacteriophage PMP
GAD-IND.
AB - : Currently used alum precipitated and oil adjuvant vaccines against HS caused by
Pasteurella multocida B:2, have side effects and short-lived immunity, leading to
regular catastrophic outbreaks in bovines in Asian subcontinent. The need for the
development of an improved vaccine with longer immunity and the ability to
differentiate between vaccinated and infected is essential. Pasteurella phage
isolated in present study belongs to family Siphoviridae. PMP-GAD-IND phage
exhibited lytic activity against vaccine strain (P52) as well as several field
strains of P. multocida (B:2), and fowl cholera agent (P. multocida A:1).The
phage has a double stranded DNA (dsDNA) with a genome of 46 335 bp. The complete
genome sequence of the Pasteurella multocida phage has been deposited in Gen Bank
with accession no: KY203335. PMP-GAD-IND being a lytic phage with broad activity
range has a potential to be used in therapy against multidrug resistant P.
multocida infections. SIGNIFICANCE AND IMPACT OF THE STUDY: The present work is a
part of research for the development of an improved phage lysate marker vaccine
and a companion DIVA assay against haemorhagic septicaemia. This study describes
the isolation and genome analysis of PMP-GAD-IND a lytic Pasteurella multocida
bacteriophage.
PMID- 29808941
TI - Modeling of Aniridia-Related Keratopathy by CRISPR/Cas9 Genome Editing of Human
Limbal Epithelial Cells and Rescue by Recombinant PAX6 Protein.
AB - Heterozygous PAX6 gene mutations leading to haploinsufficiency are the main cause
of congenital aniridia, a rare and progressive panocular disease characterized by
reduced visual acuity. Up to 90% of patients suffer from aniridia-related
keratopathy (ARK), caused by a combination of factors including limbal epithelial
stem cell (LSC) deficiency, impaired healing response and abnormal
differentiation of the corneal epithelium. It usually begins in the first decade
of life, resulting in recurrent corneal erosions, sub-epithelial fibrosis, and
corneal opacification. Unfortunately, there are currently no efficient treatments
available for these patients and no in vitro model for this pathology. We used
CRISPR/Cas9 technology to introduce into the PAX6 gene of LSCs a heterozygous
nonsense mutation found in ARK patients. Nine clones carrying a p.E109X mutation
on one allele were obtained with no off-target mutations. Compared with the
parental LSCs, heterozygous mutant LSCs displayed reduced expression of PAX6 and
marked slow-down of cell proliferation, migration and detachment. Moreover,
addition to the culture medium of recombinant PAX6 protein fused to a cell
penetrating peptide was able to activate the endogenous PAX6 gene and to rescue
phenotypic defects of mutant LSCs, suggesting that administration of such
recombinant PAX6 protein could be a promising therapeutic approach for aniridia
related keratopathy. More generally, our results demonstrate that introduction of
disease mutations into LSCs by CRISPR/Cas9 genome editing allows the creation of
relevant cellular models of ocular disease that should greatly facilitate
screening of novel therapeutic approaches. Stem Cells 2018;36:1421-1429.
PMID- 29808942
TI - Post-Synthetic Mannich Chemistry on Metal-Organic Frameworks: System-Specific
Reactivity and Functionality-Triggered Dissolution.
AB - The Mannich reaction of the zirconium MOF [Zr6 O4 (OH)4 (bdc-NH2 )6 ] (UiO-66-NH2
, bdc-NH2 =2-amino-1,4-benzenedicarboxylate) with paraformaldehyde and pyrazole,
imidazole or 2-mercaptoimidazole led to post-synthetic modification (PSM) through
C-N bond formation. The reaction with imidazole (Him) goes to completion whereas
those with pyrazole (Hpyz) and 2-mercaptoimidazole (HimSH) give up to 41 and 36 %
conversion, respectively. The BET surface areas for the Mannich products are
reduced from that of UiO-66-NH2 , but the compounds show enhanced selectivity for
adsorption of CO2 over N2 at 273 K. The thiol-containing MOFs adsorb mercury(II)
ions from aqueous solution, removing up to 99 %. The Mannich reaction with
pyrazole succeeds on [Zn4 O(bdc-NH2 )3 ] (IRMOF-3), but a similar reaction on
[Zn2 (bdc-NH2 )2 (dabco)] (dabco=1,4-diazabicyclo[2.2.2]octane) gave [Zn3 (bdc
NH2 )1.32 (bdc-NHCH2 pyz)1.68 (dabco)]?2 C7 H8 5, whereas the reaction with
imidazole gave the expected PSM product. Compound 5 forms via a dissolution
recrystallisation process that is triggered by the "free" pyrazolate nitrogen
atom competing with dabco for coordination to the zinc(II) centre. In contrast,
the "free" nitrogen atom on the imidazolate is too far away to compete in this
way. Mannich reactions on [In(OH)(bdc-NH2 )] (MIL-68(In)-NH2 ) stop after the
first step, and the product was identified as [In(OH)(bdc-NH2 )0.41 (bdc-NHCH2
OCH3 )0.30 (bdc-N=CH2 )0.29 ], with addition of the heterocycle prevented by
steric interactions.
PMID- 29808943
TI - Self-Assembled, Fluorine-Rich Porous Organic Polymers: A Class of Mechanically
Stiff and Hydrophobic Materials.
AB - Fluorous organic building blocks were utilized to develop two self-assembled,
hydrophobic, fluorinated porous organic polymers (FPOPs), namely, FPOP-100 and
FPOP-101. Comprehensive mechanical analyses of these functionalised triazine
network polymers marked the introduction of mechanical stiffness among all porous
organic network materials; the recorded stiffnesses are analogous to those of
their organic-inorganic hybrid polymer congeners, that is, metal-organic
frameworks. Furthermore, this study introduces a new paradigm for the
simultaneous installation of mechanical stiffness and high surface hydrophobicity
into polymeric organic networks, with the potential for transfer among all porous
solids. Control experiments with non-fluorinated congeners underlined the key
role of fluorine, in particular, bis-trifluoromethyl functionalization in
realizing the dual features of mechanical stiffness and superhydrophobicity.
PMID- 29808944
TI - Exploration of Thiazolo[5,4-d]thiazole Linkages in Conjugated Porous Organic
Polymers for Chemoselective Molecular Sieving.
AB - Porous organic polymers (POPs) have attracted significant attention towards
molecular adsorption in recent years due to their high porosity, diverse
functionality and excellent chemical stability. In this work, we present a
systematic case study on the formation of thiazolo[5,4-d]thiazole (TzTz) linkages
through model compounds and its integration to synthesize a set of three novel,
thermo-chemically stable TzTz-linked POPs, namely TzTz-POP-3, TzTz-POP-4, and
TzTz-POP-5 with triphenylbenzene, tetraphenylpyrene and
tetra(hydroxyphenyl)methane cores, respectively. Interestingly, the integrated
TzTz moiety of the represented TzTz-POP-3 renders chemoselective removal of
organic dye fluorescein (FL) from a mixture with parafuchsine (FU) in aqueous
solution. The TzTz-POP-3 offered excellent chemoselectivity of ~1:7 (FL:FU),
compared to alike porous materials demonstrated for similar applications due to
the presence of multiple active anchoring sites coupled with permanent porosity
and appropriate pore window.
PMID- 29808945
TI - Endothelial microvesicles in hypoxic hypoxia diseases.
AB - Hypoxic hypoxia, including abnormally low partial pressure of inhaled oxygen,
external respiratory dysfunction-induced respiratory hypoxia and venous blood
flow into the arterial blood, is characterized by decreased arterial oxygen
partial pressure, resulting in tissue oxygen deficiency. The specific
characteristics include reduced arterial oxygen partial pressure and oxygen
content. Hypoxic hypoxia diseases (HHDs) have attracted increased attention due
to their high morbidity and mortality and mounting evidence showing that hypoxia
induced oxidative stress, coagulation, inflammation and angiogenesis play
extremely important roles in the physiological and pathological processes of HHDs
related vascular endothelial injury. Interestingly, endothelial microvesicles
(EMVs), which can be induced by hypoxia, hypoxia-induced oxidative stress,
coagulation and inflammation in HHDs, have emerged as key mediators of
intercellular communication and cellular functions. EMVs shed from activated or
apoptotic endothelial cells (ECs) reflect the degree of ECs damage, and elevated
EMVs levels are present in several HHDs, including obstructive sleep apnoea
syndrome and chronic obstructive pulmonary disease. Furthermore, EMVs have
procoagulant, proinflammatory and angiogenic functions that affect the
pathological processes of HHDs. This review summarizes the emerging roles of EMVs
in the diagnosis, staging, treatment and clinical prognosis of HHDs.
PMID- 29808947
TI - The effect of warmer winters on the demography of an outbreak insect is hidden by
intraspecific competition.
AB - Warmer climates are predicted to increase bark beetle outbreak frequency,
severity, and range. Even in favorable climates, however, outbreaks can
decelerate due to resource limitation, which necessitates the inclusion of
competition for limited resources in analyses of climatic effects on populations.
We evaluated several hypotheses of how climate impacts mountain pine beetle
reproduction using an extensive 9-year dataset, in which nearly 10,000 trees were
sampled across a region of approximately 90,000 km2 , that was recently invaded
by the mountain pine beetle in Alberta, Canada. Our analysis supports the
hypothesis of a positive effect of warmer winter temperatures on mountain pine
beetle overwinter survival and provides evidence that the increasing trend in
minimum winter temperatures over time in North America is an important driver of
increased mountain pine beetle reproduction across the region. Although we
demonstrate a consistent effect of warmer minimum winter temperatures on mountain
pine beetle reproductive rates that is evident at the landscape and regional
scales, this effect is overwhelmed by the effect of competition for resources
within trees at the site level. Our results suggest that detection of the effects
of a warming climate on bark beetle populations at small spatial scales may be
difficult without accounting for negative density dependence due to competition
for resources.
PMID- 29808946
TI - Role of chromogenic assays in haemophilia A and B diagnosis.
AB - The laboratory diagnosis and monitoring of factors VIII and IX have been
primarily by one-stage clotting assay (OSA) for many years. Chromogenic assays
(CSA) have been available only in specialist laboratories and not for routine
use. Significant differences, of more than 1.5-fold in results between the 2
assay methods, have been described in Europe and Australia in approximately one
third of patients with mild haemophilia A. In certain discrepant groups with
restricted F8 gene mutations, the OSA results are more than 1.5-fold higher than
CSA and risk a missed or misleading diagnostic result. More recently, an assay
discrepancy in haemophilia B has been reported. With the introduction of extended
half-life (EHL) FVIII and FIX products, it is likely most coagulation
laboratories will need to evaluate at least one CSA and gain experience with this
technique. The validation of CSA involves a careful appraisal of calibration
curve linearity, limit of detection, precision, reference range, quality control
material, sample analysis, method comparison and cost. This review will discuss
the current status of FVIII and FIX CSA for the diagnosis of haemophilia A and B
and describe approaches to implement CSA into the laboratory repertoire.
PMID- 29808948
TI - Innovative Strategies for Hypoxic-Tumor Photodynamic Therapy.
AB - Despite its clinical promise, photodynamic therapy (PDT) suffers from a key
drawback associated with its oxygen-dependent nature, which limits its effective
use against hypoxic tumors. Moreover, both PDT-mediated oxygen consumption and
microvascular damage further increase tumor hypoxia and, thus, impede therapeutic
outcomes. In recent years, numerous investigations have focused on strategies for
overcoming this drawback of PDT. These efforts, which are summarized in this
review, have produced many innovative methods to avoid the limits of PDT
associated with hypoxia.
PMID- 29808949
TI - Artificial Light Regulation of an Allosteric Bienzyme Complex by a Photosensitive
Ligand.
AB - The artificial regulation of proteins by light is an emerging subdiscipline of
synthetic biology. Here, we used this concept to photocontrol both catalysis and
allostery within the heterodimeric enzyme complex imidazole glycerol phosphate
synthase (ImGP-S). ImGP-S consists of the cyclase subunit HisF and the
glutaminase subunit HisH, which is allosterically stimulated by substrate binding
to HisF. We show that a light-sensitive diarylethene (1,2-dithienylethene, DTE)
based competitive inhibitor in its ring-open state binds with low micromolar
affinity to the cyclase subunit and displaces its substrate from the active site.
As a consequence, catalysis by HisF and allosteric stimulation of HisH are
impaired. Following UV-light irradiation, the DTE ligand adopts its ring-closed
state and loses affinity for HisF, restoring activity and allostery. Our approach
allows for the switching of ImGP-S activity and allostery during catalysis and
appears to be generally applicable for the light regulation of other multienzyme
complexes.
PMID- 29808950
TI - Effects of knee taping during functional activities in older people with knee
osteoarthritis: A randomized controlled clinical trial.
AB - AIM: The purpose of the present study was to examine the effects of knee taping
on perceived pain, difficulty and stability during functional activities (squat,
step-down, and stair up and down) in older adults with knee osteoarthritis (OA).
METHODS: A total of 50 older participants with knee OA participated in this
study. The experimental group received non-elastic taping (NET) and the control
group received sham taping. Perceived knee pain on an 11-point scale (0 = no
pain, 10 = worst pain), and difficulty (1 = no difficulty, 5 = extreme
difficulty) and stability (1 = no unstable, 5 = extreme unstable) on a 5-point
scale during functional tests (squat, step-down test, and stair up and down) were
reported at baseline and post-taping application. RESULTS: At post-taping, pain
intensity, difficulty and stability during squat, step-down test, and stair up
and down were significantly improved in the NET group. In the control group,
there was significant decreased pain intensity during squat and stair up and
down; however, there were no significant change of other variables. Compared with
the control group, the NET significantly improved perceived pain, difficulty and
stability during all tasks after the taping application. CONCLUSIONS: The present
study showed that NET application can improve perceived pain intensity,
difficulty and stability during functional tests in older adults with knee OA.
NET can be recommended to improve pain, stability and difficulty during squat,
step-down, and stair up and down in older adults with OA. Geriatr Gerontol Int
2018; 18: 1206-1210.
PMID- 29808951
TI - Frailty phenotype and its association with all-cause mortality in community
dwelling Norwegian women and men aged 70 years and older: The Tromso Study 2001
2016.
AB - AIM: There is a lack of studies on the prevalence of frailty, and the association
between frailty and mortality in a Norwegian general population. Findings
regarding sex differences in the association between frailty and mortality have
been inconsistent. The aim of the present study was to investigate the
association between the frailty phenotype and all-cause mortality in men and
women in a Norwegian cohort study. METHODS: We followed 712 participants (52%
women) aged >=70 years participating in the population-based Tromso 5 Study in
2001-2002 for all-cause mortality up to 2016. The frailty status at baseline was
defined by a modified version of Fried's frailty criteria. Cox regression models
were used to analyze the association between frailty and mortality with
adjustment for age, sex, disability, comorbidity, smoking status and years of
education. RESULTS: In total, 3.8% (n = 27) of participants were frail (women
4.4%, men 3.2%) and 38.1% (n = 271) were pre-frail (women 45.8%, men 29.9%).
During follow-up (mean 10.1 years), 501 (70%) participants died. We found an
increased risk of mortality for frail older adults (multivariable-adjusted HR
4.16, 95% CI 2.40-7.22) compared with non-frail older adults. In sex-stratified
analysis, the adjusted HR was 7.09 (95% CI 3.03-16.58) for frail men and 2.93
(95% CI 1.38-6.22) for frail women. Results for pre-frailty showed an overall
weaker association with mortality. CONCLUSIONS: While frailty was more prevalent
in women than in men, the findings suggest that the association between frailty
and mortality is stronger in men than in women. Geriatr Gerontol Int 2018; 18:
1200-1205.
PMID- 29808952
TI - Miracle of haemophilia drugs: Personal views about a few main players.
AB - INTRODUCTION: In the second decade of the third millennium there have been
dramatic developments pertaining to the availability of highly innovative drugs
for hemophilia care, notwithstanding a satisfactory previous scenario. AIM: I am
going to emphasize the role of 2 main categories of players: scientist physicians
who produced important translational research and the pharmaceutical industry,
who developed, produced and made commercially available so many improved
treatment weapons stemming from the translational research of the forementioned
scientist physicians. RESULTS: Pertaining to the role of scientist physicians, I
chose to mention first those who were successful in the 1980 in the production of
recombinant coagulation factors. In addition, those who more recently helped to
produce new non substitutive therapies given by the subcutaneous route, and
recombination coagulation factors with an extended half-life. CONCLUSIONS:
Current miraculous progress in hemophilia therapy is stemming from the research
work of outstanding scientist physicians who acted in close collaboration with
small biotechnology companies, leading to the early development of innovative
therapeutic products, subsequently taken to the market place by the so called Big
Pharma. I shall briefly provide my views to explain the fact that large
pharmaceutical companies show more and more interest in such a rare disease as
the hemophilias.
PMID- 29808953
TI - Total Synthesis of the Nonribosomal Peptide Surugamide B and Identification of a
New Offloading Cyclase Family.
AB - The cathepsin B inhibitor surugamide B (2), along with structurally related
derivatives (A and C-E), has previously been isolated from the marine
actinomycete Streptomyces sp. JAMM992. The biosynthetic genes are unexpectedly
part of a cluster of four non-ribosomal peptide synthetase (NRPS) genes, two of
which are responsible for the biosynthesis of the additional linear decapeptide
surugamide F. However, the thioesterase domain required for the later stage of
the biosynthesis of the cyclic peptides surugamides A-E is not present in any
module architecture of the surugamide NRPSs. Herein, we report the first total
synthesis of surugamide B (2) through the macrocyclization at the biomimetic
position, which not only alleviated the Calpha epimerization in the
macrolactamization process, but also efficiently provided 2 in 34 % yield for 18
steps. Furthermore, both the chemical and enzymatic studies with the biosynthetic
precursor mimics revealed that the stand-alone enzyme SurE, which belongs to the
penicillin-binding protein family, is responsible for macrocyclization of the
tethered octapeptidyl intermediate.
PMID- 29808954
TI - Postpartum haemorrhage in an unselected cohort of carriers of haemophilia.
PMID- 29808955
TI - Factors affecting the appetites of persons with Alzheimer's disease and mild
cognitive impairment.
AB - AIM: Appetite loss has been associated with Alzheimer's disease (AD) and mild
cognitive impairment (MCI). Among older people, decreased appetite can result in
poor nutrition and subsequent loss of independent living. We examined the factors
related to appetite loss in persons with AD and MCI to provide evidence for
countermeasures to prevent appetite loss and progression of cognitive impairment.
METHODS: We included 1238 older adults undergoing outpatient treatment at the
Center for Comprehensive Care and Research on Memory Disorders (Medical Center
for Dementia) at the National Center for Geriatrics and Gerontology in Obu,
Japan. The Council on Nutrition Appetite Questionnaire, an appetite questionnaire
for older people, was used to evaluate appetite. Appetite loss in persons
diagnosed with AD or MCI was divided into two groups according to the Council on
Nutrition Appetite Questionnaire scores, and logistic regression analysis was
carried out to identify independent factors associated with appetite loss. The
following variables were used to evaluate for covariates: general information,
functional evaluation and medications. RESULTS: The AD and MCI groups contained
853 and 385 individuals, respectively. In both groups, depression and difficulty
in maintaining attention while eating were significantly associated with poor
appetite. Among persons with AD, lower vitality, more comorbidities, non-use of
antidementia drugs and use of psychotropic drugs were also significantly
associated with poor appetite. CONCLUSIONS: The present study recognized possible
factors individually associated with appetite loss among persons with AD or MCI.
Future studies are required to examine supportive strategies to treat poor
appetite in these populations. Geriatr Gerontol Int 2018; 18: 1236-1243.
PMID- 29808956
TI - Carbenes and Nitrenes: Recent Developments in Fundamental Chemistry.
AB - Carbenes and nitrenes can exist in both singlet and triplet states, sometimes
equally stable and interconverting either thermally or photochemically. Many
carbene and nitrene reactions proceed via tunneling at low temperatures. Numerous
singlet and triplet states have been characterized spectroscopically, and a
detailed understanding of the chemical and physical properties of carbenes and
nitrenes is emerging. There has been significant progress in the direct
observation of carbenes, nitrenes, and many other reactive intermediates in
recent years through the application of matrix photolysis and flash vacuum
pyrolysis linked with matrix isolation at cryogenic temperatures. Our
understanding of singlet and triplet states has improved through the interplay of
spectroscopy and computations. Bistable carbenes and nitrenes as well as many
examples of tunneling have been discovered and numerous rearrangements and
fragmentations have been documented. The correlation of the zero-field splitting
parameter D with calculated spin densities on nitrenes and carbenes is discussed.
This Minireview gives an overview of some of these developments.
PMID- 29808957
TI - Localising and tailoring research evidence helps public health decision making.
AB - BACKGROUND: Published research evidence is typically not readily applicable to
practice but needs to be actively mobilised. OBJECTIVES: This paper explores the
mechanisms used by information professionals with a specific knowledge
mobilisation role to make evidence useful for local decision making and planning
of public health interventions. METHODS: Data are drawn from a NIHR project that
studied how, when, where and by whom published research evidence is used in
commissioning and planning across two sites (one in England and one in Scotland).
Data included 11 in-depth interviews with information professionals, observations
at meetings and documentary analysis. RESULTS: Published research evidence is
made fit for local commissioning and planning purposes by information
professionals through two mechanisms. They localise evidence (relate evidence to
local context and needs) and tailor it (present actionable messages). DISCUSSION:
Knowledge mobilisation roles of information professionals are not recognised and
researched. Information professionals contribute to the 'inform' and 'relational'
functions of knowledge mobilisation; however, they are less involved in improving
the institutional environment for sustainable knowledge sharing. CONCLUSION:
Information professionals are instrumental in shaping what evidence enters local
decision making processes. Identifying and supporting knowledge mobilisation
roles within health libraries should be the focus of future research and
training.
PMID- 29808958
TI - Cardiometabolic effects of antidiabetic drugs in non-alcoholic fatty liver
disease.
AB - PURPOSE: Non-alcoholic fatty liver disease (NAFLD) affects about 25% of the
population worldwide. NAFLD may be viewed as the hepatological manifestation of
metabolic syndrome. Patients with metabolic syndrome due to diabetes or obesity
have an increased risk of cardiovascular disease. This narrative review describes
cardiometabolic effects of antidiabetic drugs in NAFLD. METHODS: We conducted a
systematic search in PubMed and manually scanned bibliographies in trial
databases and reference lists in relevant articles. RESULTS: Heart disease is the
leading cause of death in NAFLD. Conversely, NAFLD is an independent
cardiovascular risk factor in patients suffering from metabolic syndrome. NAFLD
is associated with markers of atherosclerosis, and patients have increased risk
of ischaemic heart disease. Additionally, patients with NAFLD have increased risk
of cardiac dysfunction and heart failure. There are no randomized controlled
trials showing clear effects of medical treatment on clinical outcomes in
patients with NAFLD. However, based on evidence from small trials and
extrapolation from trials evaluating patients with type 2 diabetes, some
antidiabetic drugs may be beneficial on cardiovascular function in patients with
NAFLD. CONCLUSION: At present, there is promising evidence of a potential effect
of antidiabetic drugs for patients with NAFLD. Future studies should address the
treatment of NAFLD and the liver-related consequences but also aim at improving
the cardiometabolic outcomes.
PMID- 29808959
TI - Luminescence properties of nanocrystalline Mg2 P2 O7 :Eu phosphor.
AB - Thermoluminescence (TL) measurements were carried out on europium (Eu) doped
magnesium pyrophosphate (Mg2 P2 O7 ) nanopowders using gamma irradiation in the
dose range of 0.1 to 3 kGy. The powder samples were successfully synthesized by
chemical co-precipitation synthesis route. The formation and crystallinity of the
compound was confirmed by powder X-ray diffraction (PXRD) pattern. The estimated
particle size was found to be in nanometer scale by using Debye Scherer's
formula. A scanning electron microscopy (SEM) study was carried out for the
morphological characteristics of as synthesized Mg2 P2 O7 :Eu phosphor.
Photoluminescence (PL) study was carried out to confirm the presence of the rare
earth ion and its valence state. The TL analysis of synthesized samples were
performed after the irradiation of Mg2 P2 O7 :Eu with cobalt-60 (60 Co) gamma
rays. The high and low intensity peaks of TL glow curve appeared at around 400 K,
450 K, 500 K and 596 K respectively. The appreciable shift in peak positions has
been observed for different concentrations of Eu ion. The trapping parameters,
namely activation energy (E), order of kinetics (b) and frequency factor (s) have
been determined using thermal cleaning process, peak shape (Chen's) method and
glow curve deconvolution (GCD) functions.
PMID- 29808960
TI - Access to medicines for asthma, diabetes and hypertension in eight counties of
Kenya.
AB - OBJECTIVE: To assess access to noncommunicable diseases (NCD) medicines in Kenya
for patients diagnosed and prescribed treatment for asthma, diabetes and
hypertension. METHODS: Households in eight purposively chosen counties were
randomly selected. To be eligible, a household needed to have at least one member
aged 18 years or older who had been previously diagnosed and prescribed medicines
for one of the following NCDs: asthma, diabetes or hypertension. Using a logistic
regression model, we explored the relationship between patient characteristics
and the probability that patients had the medicines available at the time of the
survey visit. RESULTS: A total of 627 individuals were included in the analysis.
The highest percentage of medicines availability was in households with diabetes
patients (83.1%), followed by hypertension (77.1%) patients. The lowest
availability of medicines was found in households with asthma patients (53.1%).
The median household expenditure on medicines per month was US$7.00 for
households with diabetes patients; it was US$4.00 for asthma. In general, strong
predictors of having medicines at home was being older, having some education
compared to no education, few household members, wealth, being diagnosed at
private nonprofit facilities and having only one patient with NCDs in the
household. CONCLUSIONS: Our study found that nearly three-quarters of patients
diagnosed and prescribed a medicine for hypertension, asthma or diabetes had the
medicine available at home. Access challenges remain, in particular for patients
from low-income households and for those diagnosed with asthma.
PMID- 29808961
TI - Design, Synthesis, and in vitro Biological Evaluation of 3,5-Dimethylisoxazole
Derivatives as BRD4 Inhibitors.
AB - BRD4 has been identified as a potential target for blocking proliferation in a
variety of cancer cell lines. In this study, 3,5-dimethylisoxazole derivatives
were designed and synthesized with excellent stability in liver microsomes as
potent BRD4 inhibitors, and were evaluated for their BRD4 inhibitory activities
in vitro. Gratifyingly, compound 11 h [3-((1-(2,4-difluorophenyl)-1H-1,2,3
triazol-4-yl)methyl)-6-(3,5-dimethylisoxazol-4-yl)-4-phenyl-3,4-dihydroquinazolin
2(1H)-one] exhibited robust potency for BRD4(1) and BRD4(2) inhibition with IC50
values of 27.0 and 180 nm, respectively. Docking studies were performed to
illustrate the strategy of modification and analyze the conformation in detail.
Furthermore, compound 11 h was found to potently inhibit cell proliferation in
the BRD4-sensitive cell lines HL-60 and MV4-11, with IC50 values of 0.120 and
0.09 MUm, respectively. Compound 11 h was further demonstrated to downregulate c
Myc levels in HL-60 cells. In summary, these results suggest that compound 11 h
is most likely a potential BRD4 inhibitor and is a lead compound for further
investigations.
PMID- 29808962
TI - BmHpo mutation induces smaller body size and late stage larval lethality in the
silkworm, Bombyx mori.
AB - As a core member of the Hippo signaling pathway, Hpo plays a critical role in
regulating growth and development. Previous studies reported that loss of
function of Hpo results in increased proliferation, reduced apoptosis and
induction of tissue overgrowth in Drosophila. In this study, we used CRISPR/Cas9
(Clustered Regularly Interspaced Short Palindromic Repeats/Cas9) to study Hpo
gene (BmHpo) function in the lepidopteran insect Bombyx mori, known commonly as
the silkworm. Sequence analysis of BmHpo revealed an array of deletions in
mutants. We found that BmHpo knockout resulted in defects in body size
regulation, in developmental defects and pigment accumulation and early death.
Our data show that BmHpo is essential for regulation of insect growth and
development and that CRISPR/Cas9 technology can serve as a basis for functional
analysis of target genes in lepidopteran insects.
PMID- 29808963
TI - Curcuminoid Content and Safety-Related Markers of Quality of Turmeric Dietary
Supplements Sold in an Urban Retail Marketplace in the United States.
AB - SCOPE: Turmeric is a top selling dietary supplement (DS) in the United States
with rapidly expanding usage. Therefore, turmeric DS formulations available for
sale in an urban US retail marketplace are analyzed, and point of sale
information is related to measures of quality relevant to safety. METHODS AND
RESULTS: Eighty-seven unique turmeric DS are identified; a majority (94%)
contained turmeric-derived curcuminoid extracts (TD-CE), which are combined with
other bioactives in 47% of products, including piperine (24%), an additive that
could alter the metabolism of concurrent medications. While curcuminoid content
is within 80% of anticipated for a majority of products analyzed (n = 35),
curcuminoid composition (% curcumin) did not meet US Pharmacopeia (USP) criteria
for TD-CE in 59% and is suggestive of possible unlabeled use of synthetic
curcumin in some. Lead content is associated with the inclusion of turmeric root
and exceeded USP limits in one product. Residues of toxic class 1 or 2 solvents,
which are not needed for TD-CE isolation, are present in 71% of products,
although quantified levels were within USP-specified limits. CONCLUSION:
Assessment of turmeric DS quality at point of sale is difficult for consumers and
may best be managed in partnership with knowledgeable healthcare professionals.
PMID- 29808964
TI - No effect of artificial light of different colors on commuting Daubenton's bats
(Myotis daubentonii) in a choice experiment.
AB - Progressive illumination at night poses an increasing threat to species
worldwide. Light at night is particularly problematic for bats as most species
are nocturnal and often cross relatively large distances when commuting between
roosts and foraging grounds. Earlier studies have shown that illumination of
linear structures in the landscape disturbs commuting bats, and that the response
of bats to light may strongly depend on the light spectrum. Here, we studied the
impact of white, green, and red light on commuting Daubenton's bats (Myotis
daubentonii). We used a unique location where commuting bats cross a road by
flying through two identical, parallel culverts underneath. We illuminated the
culverts with white, red, and green light, with an intensity of 5 lux at the
water surface. Bats had to choose between the two culverts, each with a different
lighting condition every night. We presented all paired combinations of white,
green, and red light and dark control in a factorial design. Contrary to our
expectations, the number of bat passes through a culvert was unaffected by the
presence of light. Furthermore, bats did not show any preference for light color.
These results show that the response of commuting Daubenton's bats to different
colors of light at night with a realistic intensity may be limited when passing
through culverts.
PMID- 29808965
TI - Short-term direct contact with soil and plant materials leads to an immediate
increase in diversity of skin microbiota.
AB - Immune-mediated diseases have increased during the last decades in urban
environments. The hygiene hypothesis suggests that increased hygiene level and
reduced contacts with natural biodiversity are related to the increase in immune
mediated diseases. We tested whether short-time contact with microbiologically
diverse nature-based materials immediately change bacterial diversity on human
skin. We tested direct skin contact, as two volunteers rubbed their hands with
sixteen soil and plant based materials, and an exposure via fabric packets filled
with moss material. Skin swabs were taken before and after both exposures. Next
generation sequencing showed that exposures increased, at least temporarily, the
total diversity of skin microbiota and the diversity of Acidobacteria,
Actinobacteria, Bacteroidetes, Proteobacteria and Alpha-, Beta- and
Gammaproteobacteria suggesting that contact with nature-based materials modify
skin microbiome and increase skin microbial diversity. Until now, approaches to
cure or prevent immune system disorders using microbe-based treatments have been
limited to use of a few microbial species. We propose that nature-based materials
with high natural diversity, such as the materials tested here, might be more
effective in modifying human skin microbiome, and eventually, in reducing immune
system disorders. Future studies should investigate how long-term changes in skin
microbiota are achieved and if the exposure induces beneficial changes in the
immune system markers.
PMID- 29808966
TI - High-Performance Solid Oxide Fuel Cell with an Electrochemically Surface-Tailored
Oxygen Electrode.
AB - State-of-the-art cathodes for solid oxide fuel cells (SOFCs), such as (La,Sr)MnO3
-(Y2 O3 )0.08 (ZrO2 )0.92 (LSM-YSZ), suffer from sluggish oxygen reduction
reaction (ORR) kinetics at reduced temperatures, leading to a significant decline
in their performance. Herein, we report a tailored SOFC cathode with high ORR
activity at intermediate temperatures using a simple but effective approach based
on "electrochemical" surface modification. The proposed process involves
chemically assisted electrodeposition (CAED) of a metal hydroxide (LaCo(OH)x ) on
LSM-YSZ surfaces followed by in situ thermal conversion of LaCo(OH)x to
perovskite-type LaCoO3 (LCO) nanoparticles during the SOFC startup. This method
facilitates easy loading of the LCO nanoparticles with a precisely controlled
morphology without the need for repeated deposition/annealing processes. An anode
supported SOFC with the LCO-tailored LSM-YSZ electrode exhibits a remarkably
increased power density, approximately 180 % at 700 degrees C, compared with an
SOFC with the pristine electrode as well as excellent long-term stability, which
are attributed to the beneficial role of the CAED-derived LCO nanoparticles in
enlarging the active areas for ORR and promoting oxygen adsorption/diffusion.
This work demonstrates that controlled surface tailoring of the cathode by CAED
could be an effective approach for improving the performance of SOFCs at reduced
temperatures.
PMID- 29808967
TI - Protective effects of tumor necrosis factor alpha inhibitors on methotrexate
induced pancreatic toxicity.
AB - BACKGROUND: Methotrexate (MTX), a folate antagonist, is commonly used in the
treatment of many different types of cancer and inflammatory diseases, including
pancreatic cancer, although its side effects on the pancreas have not yet been
researched. The mechanism of MTX-induced toxicity is not well known, and it has
been reported in high-dose toxicity studies that the pancreas is sensitive to
toxic effects. OBJECTIVES: The aim of our study was to determine whether
adalimumab (ADA) has a preventive effect on MTX-induced pancreas toxicity in
rats. MATERIAL AND METHODS: The rats were equally and randomly divided into 3
groups (Group 1 comprised the healthy controls, Group 2 was the MTX group, and
Group 3 was the MTX + ADA group). The rats in Groups 2 and 3 received an
intraperitoneal (ip.) single-dose injection of MTX (20 mg/kg). A single dose of 5
mg/kg ADA (REMICADE(r)) was administered ip. to Group 3. All the rats were
sacrificed under anesthesia 5 days after receiving the MTX injection. RESULTS:
Significantly higher mean edema, necrotic cell, and inflammatory scores were
recorded in Groups 2 and 3 compared to those recorded in Group 1. Significantly
decreased edema, number of necrotic cells, and inflammatory scores were noted in
Group 3 than in Group 2. A decrease in islets of Langerhans cell insulin and
somatostatin-positive interneurons was demonstrated after the administration of
MTX. An increase in insulin and somatostatin-positive cells in islets of
Langerhans, as well as a remodeling of the structure of the pancreas, was shown
following treatment with ADA. CONCLUSIONS: Adalimumab was demonstrated to have a
protective effect against MTX-induced pancreatic injury in this study.
PMID- 29808969
TI - Disturbances in intraventricular conduction in children with end-stage renal
disease on peritoneal dialysis: A pilot study.
AB - BACKGROUND: The progression of chronic kidney disease is accompanied by multi
organ disorders, among which cardiovascular diseases have the status of a serious
clinical problem. The body surface potential mapping (BSPM) technique is a non
invasive method which enables the detection of pathological changes in the
bioelectrical activity of the heart. OBJECTIVES: The aim of this study was to
identify possible disturbances in the intraventricular conduction system in
peritoneally dialyzed children. MATERIAL AND METHODS: Cardiac examination
consisted of 12-lead electrocardiography, echocardiography and BSPM. The
evaluation of disturbances in the cardio-electrical field was performed by
comparing the qualitative and quantitative features of the heart potentials on
the isopotential map. RESULTS: Data was collected from 10 children treated with
automatic peritoneal dialysis (APD) (mean age: 13.6 +/-2.3 years) and 26 healthy
children. The maps of dialyzed children showed a shift in positive isopotentials
toward the left lower part of the thorax, while negative values were observed in
its left upper part. A distribution of lines on the isopotential maps revealed
disturbances in the stimulation spread within the heart ventricles, especially
within the anterior fascicle of the left bundle branch of His. CONCLUSIONS:
Intraventricular conduction disturbances were observed in the left bundle branch
of His in the peritoneally dialyzed children. The body surface potential mapping
was a more sensitive method in identifying the early stage of conduction
disturbances within the heart ventricles than 12-lead electrocardiography.
Further research involving a larger population of dialyzed children is planned.
PMID- 29808968
TI - Hydroxyapatite coating on titanium endosseous implants for improved
osseointegration: Physical and chemical considerations.
AB - BACKGROUND: For many years, hydroxyapatite (HA) has been used as a bioactive
endosseous dental implant coating to improve osseointegration. As such, the
coating needs to be of high purity, adequate thickness, crystalline, and of a
certain roughness in order to stimulate rapid fixation and form a strong bond
between the host bone and the implant. There are a number of ways of preparing
the HA coating, resulting in various coating properties. Herein, we report the
preparation of the HA coating using a direct electrochemical method without the
need for subsequent heat treatment. OBJECTIVES: The aim of this study was to
investigate the physicochemical properties of the HP coating, deposited on
titanium implants by a modified electrochemical method. MATERIAL AND METHODS: The
coating was characterized in terms of surface chemical composition, structure,
morphology, coating thickness and roughness. RESULTS: The coating was found to be
composed of homogenous HA with Ca/P and Ca/O ratios of 1.62 and 0.35,
respectively. No other forms of calcium phosphate were detected. The degree of
crystallinity of HA was 92.4%. The surface roughness was moderate (Sa = 1.04 MUm)
with the coating thickness of 2-3 MUm. The scanning electron microscopy (SEM)
analysis revealed a uniform, integrated layer of rod-like HA crystals with the
longitudinal axes parallel to the implant surface. CONCLUSIONS: The coating
reported herein was found to have potentially favorable chemical and physical
characteristics fostering osseointegration.
PMID- 29808970
TI - Effects of singing groups on staff well-being: a feasibility study.
AB - AIMS: To determine the feasibility of recruiting and retaining staff 'singing for
well-being' groups over three months and the acceptability of the proposed
intervention and data collection methods, and to explore the potential effects of
singing groups on staff well-being. METHOD: This was a feasibility study that
used a two-group wait-list crossover design. Standardised measures of well-being,
engagement, burnout and organisational commitment were used, alongside
participant feedback. Questionnaires were given to participants at baseline,
three months and six months, with the mean group scores for the measures used
calculated at each point. FINDINGS: Participant recruitment did not meet the
target set, and only half of the participants returned pre-intervention and post
intervention questionnaires. Acceptability of the programme was high and, despite
limited data, positive effects emerged in relation to emotional and work-related
well-being. Participant comments about the singing programme and facilitator were
universally favourable. CONCLUSION: This feasibility study suggests there may be
several benefits of staff singing groups, in terms of improving the well-being of
participants. However, proceeding to a full research trial would require
additional time and resources to maximise recruitment.
PMID- 29808971
TI - Single versus divided administration of intravenous immunoglobulin for sepsis: a
retrospective and historical control study.
AB - BACKGROUND: Intravenous immunoglobulin (IVIG) is regarded as effective,
theoretically, for sepsis. The IVIG regimen for severe infection covered by
Japanese health insurance is administration of 5 g per day for three days: an
extremely low dosage. We investigated its effectiveness by comparison between
divided dosage and single dosage of 15 g * one day. METHODS: Patients who were
admitted to our hospital's Emergency Medical Center and treated with IVIG for
sepsis were included and were analyzed retrospectively. The dosage regimen was 5
g * three days in the early half period, and 15 g * one day in the latter half
period employing the same indication criteria. RESULTS: Each group included 57
patients. No significant difference was found in their baseline characteristics,
survival probability, or length of mechanical ventilation. However, the ICU stay
and hospital stay lengths were shortened significantly by administration of the
single dosage regimen. Disseminated intravascular coagulopathy markers and
inflammatory indices were improved significantly earlier in the 15 g * one day
group. Regarding adverse events, no significant difference was found.
CONCLUSIONS: For sepsis treatment, single administration of 15 g IVIG for one day
improved the condition and inflammation earlier than divided dosage.
PMID- 29808972
TI - Anesthesia and analgesia for total knee arthroplasty.
AB - The demand for total knee arthroplasty (TKA) is rising worldwide. Controversy
persists in the literature regarding the benefits of general versus neuraxial
anesthesia and which anesthetic is associated with the best outcomes. Likewise,
the abundance of analgesic options for post-TKA pain have led to debate regarding
the safest, most effective regimens. In this paper, we evaluated a selection of
recent publications regarding anesthetic and analgesic choices for TKA. High
quality studies and meta-analyses linking anesthetic agents and analgesic
modalities to clinically important outcomes were chosen wherever possible. We
included a range of clinical and population-based research, incorporating
established and emerging techniques. Although not uniform, clinical and
population-based data favor neuraxial anesthesia, and suggest less morbidity
compared to general anesthesia. There is good evidence to support an opioid
minimizing, multimodal approach to post-TKA analgesia, featuring peripheral nerve
blocks and/or peri-articular injection. The recently described IPACK (interspace
between the popliteal artery and posterior capsule of the knee) block may address
posterior knee pain after TKA. Ultrasound-guided regional analgesia techniques
are cost and clinically effective. Liposomal bupivacaine represents an expanding
topic of research in TKA-analgesia, but currently, data do not support routine
use. Evidence to guide the creation of pathways of care for TKA abounds, but must
be tailored to local practice to maximize chances of success. Recent data
supports the use of neuraxial anesthesia and regional analgesia techniques for
TKA. Recommendations for clinical practice and future research to improve the
state of the art are provided.
PMID- 29808973
TI - Implementing a donation after circulatory death program in a setting of donation
after brain death activity.
AB - BACKGROUND: Donation after circulatory death (DCD) is an emerging way to
implement organ procurement for transplantation. In Italy, until June 2016, the
only formal DCD program was implemented in Pavia, the so-called "Alba program."
METHODS: We describe our one-year experience of the DCD program implemented at
the Careggi Teaching Hospital (Florence, Italy) since June 2016. We specifically
describe organizational changes induced by the DCD program on our pre-existing
Donation After Brain Death (DBD) program and DCD activity. RESULTS: Eighteen
activations were recorded (i.e. 18 DCD donors), among whom Seven donors were
discarded due to opposition in five patients and failure to meet activation
criteria in two (inability to contact relatives). Our population comprises 11
donors among whom eight patients were Maastricht type II donors while three were
Maastricht type III donors. 22 kidneys and six livers were retrieved, while 13
kidneys and two liver were transplanted. CONCLUSIONS: A DCD program was feasible
and increased procurement of splancnic organs (kidney and liver). Starting a DCD
program in a traditionally oriented to DBD poses some organizational and cultural
problems. A skilled, experienced ECMO team is necessary to guarantee organ ex
vivo perfusion. Another important aspect for the implementation of a DCD program
is the collaboration with the emergency system which allows a therapeutic
approach of patients with cardiac arrest.
PMID- 29808975
TI - Spontaneous coronary artery dissection complicated by cardiac arrest in
pregnancy.
PMID- 29808974
TI - Inflammation and primary graft dysfunction after lung transplantation: CT-PET
findings.
AB - BACKGROUND: The leading cause of early mortality after lung transplantation is
Primary graft dysfunction (PGD). We assessed the lung inflammation, inflation
status and inhomogeneities after lung transplantation. Our purpose was to
investigate the possible differences between patients who did or did not develop
PGD. METHODS: We designed a prospective observational study enrolling patients
who underwent a CT-PET study within 1 week after lung transplantation. Twenty
four patients (10 after double- and 14 after single-lung) were enrolled.
Respiratory and hemodynamic data were collected before, during and after lung
transplantation. Each patient underwent computed tomography-positron emission
tomography (CT-PET) scan early after surgery. Broncho-alveolar lavage (BAL) fluid
collection was performed to analyze inflammatory mediators. RESULTS: The grafts
showed a [18F]fluoro-2-deoxy-D-glucose ([18F]FDG) uptake rate of 26[18-33]*10-4
mLblood/mLtissue/min (reference values 11[7-15]*10-4). Three double- and six
single-lung recipients developed PGD. The grafts of patients who developed PGD
had similar [18F]FDG uptake than grafts of patients who did not (28[18-26]*10-4
versus 26[22-31]*10-4, P=0.79). Not-inflated tissue fraction was significantly
higher (28[20-38]% versus 14[7-21]%, P=0.01) while well-inflated fraction was
significantly lower (29[25-41]% versus 53[39-65]%, P<0.01). Inhomogeneity extent
was higher in patients who developed PGD (23[18-26]% versus 14[10-20]%,
P=0.01)The lung weight was 650[591-820]g versus 597[480-650]g (P=0.09)). BAL
fluid analysis for inflammatory mediators did not detect a difference between the
study groups. CONCLUSIONS: Compared to healthy lungs, all the grafts showed
increased [18F]FDG uptake rate, but there were no differences between patients
who developed PGD and patients who did not. Of note, the PGD patients showed a
worse inflation status of lungs and a higher inhomogeneity extent.
PMID- 29808976
TI - The thumb-up: a different view of the Shamrock lumbar plexus block.
PMID- 29808977
TI - A simple prognostic index in acute heart failure.
AB - BACKGROUND: Rapid effective triage is integral to emergency care in patients
hospitalized for heart failure, to guide the type and intensity of therapy.
Several indexes and scores have been proposed to predict outcome; most of the
them are complex and unfit to use at the bedside. METHODS: We propose a new
prognostic index for in hospital mortality in acute heart failure. The index was
built according to the formula; 220 - age - heart rate + systolic blood pressure
( creatinine X 10). The index was tested in 1628 patients admitted for acute
heart failure and enrolled, from November 2007 to December 2009, in the Italian
Registry on Heart Failure Outcome ( IN-HF); a prospective, multicentre,
observational study. RESULTS: The prognostic index was an independent predictor
for in hospital mortality risk ( c statistic= 0.74) (p<0.0001), together with
left ventricular ejection fraction (p= 0.001), Glycemia ( p= 0.019) and
hemoglobin concentration (p = 0.002). CONCLUSIONS: A simple prognostic index
based on variables easily assessed can be useful to predict mortality in acute
heart failure at the first arrival in hospital.
PMID- 29808978
TI - We need to harmonize our languages!
PMID- 29808979
TI - Automated left ventricular ejection fraction measurements: a better approach, or
just fun and games?
PMID- 29808980
TI - Pericardial Effusion in Obstructive Sleep Apnea without Pulmonary Arterial
Hypertension and Daily Hypoxemia - is it Unusual?
AB - Background: Pericardial effusion in chronic hypoxemic lung diseases, such as
Obstructive Sleep Apnea syndrome, usually occurs after the development of severe
pulmonary arterial hypertension. However, data about the frequency of pericardial
effusions in Obstructive Sleep Apnea syndrome without pulmonary arterial
hypertension and/or daytime hypoxemia are still scarce, and their pathogenesis is
unclear. Aims: To assess the prevalence of pericardial effusions and their volume
and location in patients with obesity and Obstructive Sleep Apnea syndrome
without pulmonary arterial hypertension and/or hypoxemia. Study Design: Cross
sectional study. Methods: We included 279 consecutive patients (162 males) with
newly diagnosed Obstructive Sleep Apnea syndrome having a mean age of 42.8+/-12.4
years and a mean body mass index of 37.3+/-7.8 kg/m2. Obstructive Sleep Apnea
syndrome was confirmed by polysomnography. Main exclusion criteria were
concomitant inflammatory diseases, thyroid dysfunction, daytime hypoxemia,
nephrotic syndrome, left ventricular systolic dysfunction and pulmonary arterial
hypertension. Results: Pericardial effusion was found in 102 (36.56%) -all of
them with moderate to severe obstructive Sleep Apnea syndrome. The mean effusion
volume was mild to moderate (up to 250 mL). In 36 patients (35.3%) the
pericardial effusion was diffuse, in 42 (41.2%), the pericardial effusion was
located in front of the right atrium and the right ventricle, and in 24 (23.5%)
the pericardial effusion was situated in front of the right cardiac cavities and
the left atrium. We found a significant positive correlation between the presence
of pericardial effusion and apnea-hypopnea index (r=0.374, p<0.001), body mass
index (r=0.473, p<0.001), and desaturation time during sleep (r=0.289, p<0.001).
Conclusion: Pericardial effusion in patients with obesity and moderate to severe
Obstructive Sleep Apnea syndrome without daily hypoxemia and/or pulmonary
hypertension is a relatively common finding. The occurrence of pericardial
effusions is dependent mostly on the grade of Obstructive Sleep Apnea syndrome,
degree of obesity, and duration of sleep desaturation.
PMID- 29808981
TI - Repair of aortic arch disease is undergoing a dramatic change within the next
years.
PMID- 29808982
TI - Diabetic foot management: multidisciplinary approach for advanced lesion rescue.
AB - The diabetic foot is a complication of diabetes affecting 15% of diabetics in
their lives. It is associated to diabetic neuropathy and peripheral vascular
disease and its incidence has increased. The ulceration is the initial cause of a
dramatic process leading, if not correctly treated, to amputations. Both
neuropathy, neuro-ischemia and infections have a role in determining healing or
worsening of the lesions and 85% of all amputations in diabetic patients are
preceded by a foot ulceration deteriorating to a severe gangrene or infection.
The different causative agents and the different clinical presentations of
diabetic foot ask a multidisciplinary approach in order to address treatments to
the final goals, the prevention of the amputations and the maintenance of a
functional foot able with weight-bearing ability. Many professional figures,
diabetologists, surgeons (both general and vascular and orthopedics),
interventional radiologists, infectious diseases specialists, specialized nurses,
podiatrists, orthotic technicians, are called to apply their knowledges to the
diabetic patients affected by diabetic foot in a virtuous circle leading to reach
the goals, with the imperative action of the multidisciplinary team. The so
organized center will allow both a correct and rapid diagnosis, the use in
ambulatorial environments of modern tools, or the hospitalization in multitasking
wards, in which all the complications and the necessary treatments are possible,
both in emergencies or in elective way, considering both revascularizations and
surgery.
PMID- 29808983
TI - Mesoporous Manganese Phosphonate Nanorods as a Prospective Anode for Lithium-Ion
Batteries.
AB - Mesoporous materials can serve as well-performed electrode candidates for lithium
ion batteries (LIBs). Mesoporous manganese phosphonate (MnP) nanorods are
composed of an interconnected network of pores that have high infiltration
capacity for electrolyte and less tortuous transport pathways for
lithium/electron charge carriers. The mesoporous architecture should also help
alleviate stress from volume variation upon lithium intercalation/deintercalation
cycles. We used MnP as an LIB anode and observed an initial reversible capacity
of 420 mA h g-1 and a modest Coulombic efficiency of 68.7% at a relatively high
current density of 144 mA g-1. The reversible capacity stabilizes at 253 mA h g-1
after 100 repetitive cycles, while most of the time, the Coulombic efficiency
remains around 100%. The results show that, as a prospective LIB anode, the
mesoporous MnP can achieve desirable capacity with decent durability and rate
capability.
PMID- 29808984
TI - Flexible Strain Sensors Fabricated by Meniscus-Guided Printing of Carbon Nanotube
Polymer Composites.
AB - Printed strain sensors have promising potential as a human-machine interface
(HMI) for health-monitoring systems, human-friendly wearable interactive systems,
and smart robotics. Herein, flexible strain sensors based on carbon nanotube
(CNT)-polymer composites were fabricated by meniscus-guided printing using a CNT
ink formulated from multiwall nanotubes (MWNTs) and polyvinylpyrrolidone (PVP);
the ink was suitable for micropatterning on nonflat (or curved) substrates and
even three-dimensional structures. The printed strain sensors exhibit a
reproducible response to applied tensile and compressive strains, having gauge
factors of 13.07 under tensile strain and 12.87 under compressive strain; they
also exhibit high stability during ~1500 bending cycles. Applied strains induce a
contact rearrangement of the MWNTs and a change in the tunneling distance between
them, resulting in a change in the resistance (Delta R/ R0) of the sensor.
Printed MWNT-PVP sensors were used in gloves for finger movement detection; these
can be applied to human motion detection and remote control of robotic equipment.
Our results demonstrate that meniscus-guided printing using CNT inks can produce
highly flexible, sensitive, and inexpensive HMI devices.
PMID- 29808985
TI - Ab Initio Metadynamics Study of the VO2+/VO2+ Redox Reaction Mechanism at the
Graphite Edge/Water Interface.
AB - Redox flow batteries (RFBs) are promising electrochemical energy storage systems,
for which development is impeded by a poor understanding of redox reactions
occurring at electrode/electrolyte interfaces. Even for the conventional all
vanadium RFB chemistry employing V2+/V3+ and VO2+/VO2+ couples, there is still no
consensus about the reaction mechanism, electrode active sites, and rate
determining step. Herein, we perform Car-Parrinello molecular dynamics-based
metadynamics simulations to unravel the mechanism of the VO2+/VO2+ redox reaction
in water at the oxygen-functionalized graphite (1120) edge surface serving as a
representative carbon-based electrode. Our results suggest that during the
battery discharge aqueous VO2+/VO2+ species adsorb at the surface C-O groups as
inner-sphere complexes, exhibiting faster adsorption/desorption kinetics than
V2+/V3+, at least at low vanadium concentrations considered in our study. We find
that this is because (i) VO2+/VO2+ conversion does not involve the slow transfer
of an oxygen atom, (ii) protonation of VO2+ is spontaneous and coupled to
interfacial electron transfer in acidic conditions to enable VO2+ formation, and
(iii) V3+ found to be strongly bound to oxygen groups of the graphite surface
features unfavorable desorption kinetics. In contrast, the reverse process taking
place upon charging is expected to be more sluggish for the VO2+/VO2+ redox
couple because of both unfavorable deprotonation of the VO2+ water ligands and
adsorption/desorption kinetics.
PMID- 29808986
TI - pH/NIR-Responsive Polypyrrole-Functionalized Fibrous Localized Drug-Delivery
Platform for Synergistic Cancer Therapy.
AB - Localized drug-delivery systems (LDDSs) are a promising approach for cancer
treatment because they decrease systematic toxicity and enhance the therapeutic
effect of the drugs via site-specific delivery of active compounds and possible
gradual release. However, the development of LDDS with rationally controlled drug
release and intelligent functionality holds great challenge. To this end, we have
developed a tailorable fibrous site-specific drug-delivery platform
functionalized with pH- and near-infrared (NIR)-responsive polypyrrole (PPy),
with the aim of cancer treatment via a combination of photothermal ablation and
chemotherapy. First, a paclitaxel (PTX)-loaded polycaprolactone (PCL) (PCL-PTX)
mat was prepared by electrospinning and subsequently in situ membrane surface
functionalized with different concentrations of PPy. The obtained PPy
functionalized mats exhibited excellent photostability and heating property in
response to NIR exposure. PPy-coated mats exhibited enhanced PTX release in a pH
5.5 environment compared to pH 7.4. Release was further accelerated in response
to NIR under both conditions; however, superior release was observed at pH 5.5
compared to pH 7.4, indicating a dual stimuli-responsive (pH and NIR) drug
delivery platform. More importantly, the 808 nm NIR irradiation enabled markedly
accelerated PTX release from PPy-coated PCL-PTX mats and slowed and sustained
release following termination of laser irradiation, confirming representative
stepwise drug-release properties. PPy-coated PCL-PTX mats presented significantly
enhanced in vitro and in vivo anticancer efficacy under NIR irradiation compared
to PPy-coated PCL-PTX mats not exposed to NIR or uncoated mats (PCL-PTX). This
study has thus developed a promising fibrous site-specific drug-delivery platform
with NIR- and pH-triggering that notably utilizes PPy as a dopant for synergistic
photothermal chemotherapy.
PMID- 29808987
TI - Computational Investigations of the Interaction between the Cell Membrane and
Nanoparticles Coated with a Pulmonary Surfactant.
AB - When inhaled nanoparticles (NPs) come into the deep lung, they develop a
biomolecular corona by interacting with the pulmonary surfactant. The adsorption
of the phospholipids and proteins gives a new biological identity to the NPs,
which may alter their subsequent interactions with cells and other biological
entities. Investigations of the interaction between the cell membrane and NPs
coated with such a biomolecular corona are important in understanding the role of
the biofluids on cellular uptake and estimating the dosing capacity and the
nanotoxicology of NPs. In this paper, using dissipative particle dynamics, we
investigate how the physicochemical properties of the coating pulmonary
surfactant lipids and proteins affect the membrane response for inhaled NPs. We
pinpoint several key factors in the endocytosis of lipid NPs, including the
deformation of the coating lipids, coating lipid density, and ligand-receptor
binding strength. Further studies reveal that the deformation of the coating
lipids consumes energy but on the other hand promotes the coating ligands to bind
with receptors more tightly. The coating lipid density controls the amount of the
ligands as well as the hydrophobicity of the lipid NPs, thus affecting the
endocytosis kinetics through the specific and nonspecific interactions. It is
also found that the hydrophobic surfactant proteins associated with lipids can
accelerate the endocytosis process of the NPs, but the endocytosis efficiency
mainly depends on the density of the coating surfactant lipids. These findings
can help understand how the pulmonary surfactant alters the biocompatibility of
the inhaled NPs and provide some guidelines in designing an NP complex for
efficient pulmonary drug delivery.
PMID- 29808988
TI - Approaching Piezoelectric Response of Pb-Piezoelectrics in Hydrothermally
Synthesized Bi0.5(Na1- xK x)0.5TiO3 Nanotubes.
AB - A large piezoelectric coefficient of 76 pm/V along the diameter direction,
approaching that of lead-based piezoelectrics, is observed in hydrothermally
synthesized Pb-free Bi0.5(Na0.8K0.2)0.5TiO3 nanotubes. The 30-50 nm diameter
nanotubes are formed through a scrolling and wrapping mechanism without the need
of a surfactant or template. A molar ratio of KOH/NaOH = 0.5 for the mineralizers
yields the Na/K ratio of ~0.8:0.2, corresponding to an orthorhombic-tetragonal (O
T) phase boundary composition. X-ray diffraction patterns along with transmission
electron microscopy analysis ascertain the coexistence of orthorhombic and
tetragonal phases with (110) and (001) orientations along the nanotube length
direction, respectively. 23Na NMR spectroscopy confirms the higher degree of
disorder in Bi0.5(Na1- xK x)0.5TiO3 nanotubes with O-T phase coexistence. These
findings present a significant advance toward the application of Pb-free
piezoelectric materials.
PMID- 29808989
TI - Multilayered Scaffold with a Compact Interfacial Layer Enhances Osteochondral
Defect Repair.
AB - Repairing osteochondral defect (OCD) using advanced biomaterials that
structurally, biologically, and mechanically fulfill the criteria for stratified
tissue regeneration remains a significant challenge for researchers. Here, a
multilayered scaffold (MLS) with hierarchical organization and heterogeneous
composition is developed to mimic the stratified structure and complex components
of natural osteochondral tissues. Specifically, the intermediate compact
interfacial layer within the MLS is designed to resemble the osteochondral
interface to realize the closely integrated layered structure. Subsequently,
macroscopic observations, histological evaluation, and biomechanical and
biochemical assessments are performed to evaluate the ability of the MLS of
repairing OCD in a goat model. By 48 weeks postimplantation, superior hyalinelike
cartilage and sound subchondral bone are observed in the MLS group. Furthermore,
the biomimetic MLS significantly enhances the biomechanical and biochemical
properties of the neo-osteochondral tissue. Taken together, these results confirm
the potential of this optimized MLS as an advanced strategy for OCD repair.
PMID- 29808990
TI - Tighter Ligand Binding Can Compensate for Impaired Stability of an RNA-Binding
Protein.
AB - It has been widely shown that ligand-binding residues, by virtue of their
orientation, charge, and solvent exposure, often have a net destabilizing effect
on proteins that is offset by stability conferring residues elsewhere in the
protein. This structure-function trade-off can constrain possible adaptive
evolutionary changes of function and may hamper protein engineering efforts to
design proteins with new functions. Here, we present evidence from a large
randomized mutant library screen that, in the case of PUF RNA-binding proteins,
this structural relationship may be inverted and that active-site mutations that
increase protein activity are also able to compensate for impaired stability. We
show that certain mutations in RNA-protein binding residues are not necessarily
destabilizing and that increased ligand-binding can rescue an insoluble, unstable
PUF protein. We hypothesize that these mutations restabilize the protein via
thermodynamic coupling of protein folding and RNA binding.
PMID- 29808991
TI - Integrating the g-C3N4 Nanosheet with B-H Bonding Decorated Metal-Organic
Framework for CO2 Activation and Photoreduction.
AB - BIF-20, a zeolite-like porous boron imidazolate framework with high density of
exposed B-H bonding, is combined with graphitic carbon nitride (g-C3N4)
nanosheets via a facile electrostatic self-assembly approach under room
temperature, forming an elegant composite BIF-20@g-C3N4 nanosheet. The as
constructed composite preferably captures CO2 and further photoreduces CO2 in
high efficiency. The photogenerated excitations from the carbon nitride nanosheet
can directionally migrate to B-H bonding, which effectively suppresses electron
hole pair recombination and thus greatly improves the photocatalytic ability.
Compared to the g-C3N4 nanosheet, the BIF-20@g-C3N4 nanosheet composite displayed
a much-enhanced photocatalytic CO2 reduction activity, which is equal to 9.7-fold
enhancements in the CH4 evolution rate (15.524 MUmol g-1 h-1) and 9.85-fold
improvements in CO generation rate (53.869 MUmol g-1 h-1). Density functional
theory simulations further prove that the presence of B-H bonding in the
composite is favorable for CO2 adhesion and activation in the reaction process.
Thus, we believe that the implantation of functional active sites into the porous
matrix provides important insights for preparation of a highly efficient
photocatalyst.
PMID- 29808992
TI - Potential-Controlled Adsorption, Separation, and Detection of Redox Species in
Nanofluidic Devices.
AB - Nanoscale channels and electrodes for electrochemical measurements exhibit
extreme surface-to-volume ratios and a correspondingly high sensitivity to even
weak degrees of surface interactions. Here, we exploit the potential-dependent
reversible adsorption of outer-sphere redox species to modulate in space and time
their concentration in a nanochannel under advective flow conditions. Induced
concentration variations propagate downstream at a species-dependent velocity.
This allows one to amperometrically distinguish between attomole amounts of
species based on their time-of-flight. On-demand concentration pulse generation,
separation, and detection are all integrated in a miniaturized platform.
PMID- 29808993
TI - Injectable Hydrogels Coencapsulating Granulocyte-Macrophage Colony-Stimulating
Factor and Ovalbumin Nanoparticles to Enhance Antigen Uptake Efficiency.
AB - The combination of an antigen and adjuvant has synergistic effects on an immune
response. Coadministration of an antigen and a granulocyte-macrophage colony
stimulating factor (GM-CSF) hydrogel delivery system will afford a novel strategy
for enhancement of an immune response because of the dual role of the hydrogel as
a vaccine carrier with a sustained release and a platform for recruiting
dendritic cells (DCs). Herein, an injectable poly(caprolactone)-poly(ethylene
glycol)-poly(caprolactone) thermosensitive hydrogel coencapsulating GM-CSF and
ovalbumin nanoparticles was developed to enhance antigen uptake efficiency. The
GM-CSF released from the hydrogel ensured accumulation of DCs; this effect
improved the antigen uptake efficiency with the targeted delivery to antigen
presenting cells. Furthermore, the dual delivery system induced a stronger immune
effect, including higher CD8+ T proportion, interferon gamma secretion, and a
greater cytotoxic T lymphocyte response, which may benefit from the recruitment
of DCs, increasing antigen residence time, and the controllable antigen release
owing to the combined effect of the hydrogel and nanoparticles. Meanwhile, the
real-time antigen delivery process in vivo was revealed by a noninvasive
fluorescence imaging method. All of the results indicated that the visible dual
delivery system may have a greater potential for the efficient and trackable
vaccine delivery.
PMID- 29808994
TI - Metabonomic Profiling of Chicken Eggs during Storage Using High-Performance
Liquid Chromatography-Quadrupole Time-of-Flight Mass Spectrometry.
AB - Metabonomic techniques have been used to discover subtle differences in the small
molecule profiles of chicken eggs, which could help to combat fraud within the
egg industry. High-performance liquid chromatography-quadrupole time-of-flight
mass spectrometry (HPLC-Q-ToF-MS) was used to obtain profiles of the small
molecules present in the yolks of chicken eggs stored for different lengths of
time. Statistical analysis, including the use of XCMS Online and further
exploratory statistics, was able to uncover differences in the abundances of
several of the small molecules found in these egg yolks. One of these small
molecules was identified through the use of METLIN and MS/MS analysis as choline.
A targeted study was then carried out over a longer storage period, using the
same instrumentation and analytical techniques, in order to observe how the
concentration of choline in egg yolk changes over a longer period of time.
PMID- 29808995
TI - Metal Carbonyls for the Biointerference-Free Ratiometric Surface-Enhanced Raman
Spectroscopy-Based Assay for Cell-Free Circulating DNA of Epstein-Barr Virus in
Blood.
AB - By taking advantage of the spectral properties of metal carbonyls, we have
designed a surface-enhanced Raman spectroscopy (SERS) ratiometric assay for
measuring cell-free circulating DNA (cfDNA) from Epstein-Barr virus in blood for
nasopharyngeal carcinoma (NPC). This assay consists of a rhenium carbonyl (Re-CO)
to serve as a DNA probe, an osmium carbonyl (Os-CO) embedded within the SERS
active substrate as an internal reference, and a streptavidin layer on the
surface of the substrate. Hybridization of cfDNA with biotinylated-capture
sequence leads to immobilization of cfDNA on the substrate. The binding of Re-CO
via daunorubicin (DNR) to cfDNA is accompanied by an appearance of a strong
symmetry stretching vibrations peak at 2113 cm-1, which has spectral overlap with
Os-CO (2025 cm-1). This results in an increase in the I2113/ I2025 ratio and
quantitatively correlates with cfDNA. This SERS assay can be readily used to
detect cfDNA in blood samples from patients due to the intensity ratio of I2113/
I2025 lying in a silent region (1780-2200 cm-1) in the SERS spectrum of the
biomolecules.
PMID- 29808996
TI - Self-Assembled Fluorescent Nanoprobe Based on Forster Resonance Energy Transfer
for Carbon Monoxide in Living Cells and Animals via Ligand Exchange.
AB - Carbon monoxide (CO) is recognized as a biologically essential gaseous
neurotransmitter that modulates many physiological processes in living subjects.
Currently reported fluorescent probes for CO imaging in cells basically utilize
palladium related chemistry which requires complicated synthetic work. Herein we
provide a new strategy to construct a fluorescent nanoprobe, NanoCO-1, based on
the Forster resonance energy transfer (FRET) mechanism by entrapping the existing
dirhodium complex as the energy acceptor and the CO recognition part, and a
commonly used nitrobenzoxadiazole (NBD) dye as energy donor into a micelle formed
by self-assembly. The exchange of ligands in the dirhodium complex by CO in the
nanoprobe disrupts the FRET and leads to the turn-on of fluorescence. The merits
of NanoCO-1 including good biocompatibility, selectivity, photostability, and low
cytotoxity, render this nanoprobe ability to track CO in living cells, zebrafish
embryo, and larvae. Our straightforward approach can be extended to establish the
CO fluorescent probes based on adsorption of CO on a variety of metal
derivatives.
PMID- 29808997
TI - Galactose Derivative-Modified Nanoparticles for Efficient siRNA Delivery to
Hepatocellular Carcinoma.
AB - Successful siRNA therapy requires suitable delivery systems with targeting
moieties such as small molecules, peptides, antibodies, or aptamers. Galactose
(Gal) residues recognized by the asialoglycoprotein receptor (ASGPR) can serve as
potent targeting moieties for hepatocellular carcinoma (HCC) cells. However,
efficient targeting to HCC via galactose moieties rather than normal liver
tissues in HCC patients remains a challenge. To achieve more efficient siRNA
delivery in HCC, we synthesized various galactoside derivatives and investigated
the siRNA delivery capability of nanoparticles modified with those galactoside
derivatives. In this study, we assembled lipid/calcium/phosphate nanoparticles
(LCP NPs) conjugated with eight types of galactoside derivatives and demonstrated
that phenyl beta-d-galactoside-decorated LCP NPs (L4-LCP NPs) exhibited a
superior siRNA delivery into HCC cells compared to normal hepatocytes. VEGF
siRNAs delivered by L4-LCP NPs downregulated VEGF expression in HCC in vitro and
in vivo and led to a potent antiangiogenic effect in the tumor microenvironment
of a murine orthotopic HCC model. The efficient delivery of VEGF siRNA by L4-LCP
NPs that resulted in significant tumor regression indicates that phenyl
galactoside could be a promising HCC-targeting ligand for therapeutic siRNA
delivery to treat liver cancer.
PMID- 29808998
TI - Ferrimagnetism as a Consequence of Unusual Cation Ordering in the Perovskite
SrLa2FeCoSbO9.
AB - A polycrystalline sample of SrLa2FeCoSbO9 has been prepared in a solid-state
reaction and studied by a combination of electron microscopy, magnetometry,
Mossbauer spectroscopy, X-ray diffraction, and neutron diffraction. The compound
adopts a monoclinic (space group P21/ n; a = 5.6218(6), b = 5.6221(6), c =
7.9440(8) A, beta = 90.050(7) degrees at 300 K) perovskite-like crystal
structure with two crystallographically distinct six-coordinate sites. One of
these sites is occupied by 2/3 Co2+, 1/3 Fe3+ and the other by 2/3 Sb5+, 1/3
Fe3+. This pattern of cation ordering results in a transition to a ferrimagnetic
phase at 215 K. The magnetic moments on nearest-neighbor, six-coordinate cations
align in an antiparallel manner, and the presence of diamagnetic Sb5+ on only one
of the two sites results in a nonzero remanent magnetization of ~1 MUB per
formula unit at 5 K.
PMID- 29808999
TI - Assembly of Lanthanide(III) Cubanes and Dimers with Single-Molecule Magnetism and
Photoluminescence.
AB - Discrete lanthanide(III) tetranuclear cubane-like clusters seldom occur
throughout the LnIII series and behave as single-molecule magnets (SMMs). Herein,
a series of cubanes, [Ln4(MU3-OH)4(MU-tfa)4(hfa)4(phen)4] (1-9, Ln = La-Dy
(except Pm), tfa = trifluoroacetate, hfa = hexafluoroacetylacetonate, phen = 1,10
phenanthroline), and dinuclear clusters, [Ln2(MU-OH)2(hfa)4(phen)2] (10-16, Ln =
Tb-Lu), were synthesized and characterized. Two types of clusters were formed due
to the change of preferred coordination geometry for lighter and heavier LnIII
ions which favor nine-coordinated cubanes and eight-coordinated dimers,
respectively. A magnetic study shows that 8-Tb4 and 9-Dy4 are ferromagnetically
coupled and SMM in nature because of the larger Ln...Ln distance compared to
other discrete cubanes. The anisotropic barriers, Ueff, of 9-Dy4 are determined
to be 67.0 K. In addition, the photophysical properties of 6-Eu4, 8-Tb4, and 10
Tb2 owing to tfa, hfa, and phen sensitization and O-H quenching are discussed.
PMID- 29809000
TI - Mapping Bridge Conformational Effects on Electronic Coupling in Mo2-Mo2 Mixed
Valence Systems.
AB - The large bridging ligand 9,10-anthracenedicarboxylate and its thiolated
derivatives have been employed to assemble two dimolybdenum complex units and
develop three Mo2 dimers, [Mo2(DAniF)3]2(MU-9,10-O2CC14H8CO2), [Mo2(DAniF)3]2(MU
9,10-OSCC14H8COS), and [Mo2(DAniF)3]2(MU-9,10-S2CC14H8CS2) (DAniF = N, N'-di( p
anisyl)formamidinate), for the study of conformation dependence of the electronic
coupling between the two Mo2 centers. These compounds feature a large deviation
of the central anthracene ring from the plane defined by the Mo-Mo bond vectors,
with the torsion angles (phi = 50-76 degrees ) increasing as the chelating atoms
of the bridging ligand vary from O to S. Consequently, the corresponding mixed
valence complexes do not exhibit characteristic intervalence charge transfer
absorptions in the near-IR spectra, in contrast to the phenylene and naphthalene
analogues, from which these systems are assigned to the Class I in Robin-Day's
scheme. Together with the phenylene and naphthalene series, the nine total mixed
valence complexes in three series complete a transition from the electronically
uncoupled Class I to the strongly coupled Class II-III borderline via moderately
coupled Class II and permit a systematic mapping of the bridge conformation
effects on electronic coupling. Density functional theory calculations show that
the HOMO-LUMO energy gap, corresponding to the metal (delta) to ligand (pi*)
transition energy, and the magnitude of HOMO-HOMO-1 splitting in energy are
linearly related to cos2 phi. Therefore, our experimental and theoretical results
concur to indicate that the coupling strength decreases in the order of the
bridging units: phenylene > naphthalene > anthracene, which verifies the through
bond superexchange mechanism for electronic coupling and electron transfer.
PMID- 29809002
TI - Impact of Chloride Ions on UV/H2O2 and UV/Persulfate Advanced Oxidation
Processes.
AB - Chloride ion (Cl-) is one of the most common anions in the aqueous environment. A
mathematical model was developed to determine and quantify the impact of Cl- on
the oxidization rate of organic compounds at the beginning stage of the
UV/persulfate (PS) and UV/H2O2 processes. We examined two cases for the UV/PS
process: (1) when the target organic compounds react only with sulfate radicals,
the ratio of the destruction rate of the target organic compound when Cl- is
present to the rate when Cl- is not present (designated as rRCl-/ rR) is no
larger than 1.942%; and (2) when the target organic compounds can react with
sulfate radicals, hydroxyl radicals and chlorine radicals, rRCl-/ rR, can be no
larger than 60%. Hence, Cl- significantly reduces the organic destruction rate in
the UV/PS process. In the UV/H2O2 process, we found that Cl- has a negligible
effect on the organic-contaminant oxidation rate. Our simulation results agree
with the experimental results very well. Accordingly, our mathematical model is a
reliable method for determining whether Cl- will adversely impact organic
compounds destruction by the UV/PS and UV/H2O2 processes.
PMID- 29809003
TI - Identification of Two Transcriptional Activators MabZIP4/5 in Controlling Aroma
Biosynthetic Genes during Banana Ripening.
AB - The transcriptional regulation of aroma formation genes remains poorly understood
in the banana. In this work, we found that the expressions of a subset of aroma
biosynthetic genes including MaOMT1, MaMT1, MaGT1, MaBCAT1, MaACY1, MaAGT1, and
BanAAT, as well as two bZIP genes, MabZIP4 and MabZIP5, were down-regulated when
prestored at 7 degrees C compared to those prestored at 22 degrees C during the
ripening process of banana. Furthermore, MabZIP4 and MabZIP5 were shown to be
able to activate the transcription of these aroma biosynthetic genes.
Importantly, MabZIP4 directly binds to BanAAT promoter, while MabZIP5 binds to
the promoters of MaMT1, MaACY1, MaAGT1, and BanAAT via the G-box motif,
implicating the diverse functional significances of MabZIPs in controlling aroma
biosynthesis in banana. Overall, this work sheds new insights on the
understanding of transcriptional regulatory mechanisms associated with aroma
formation during banana ripening.
PMID- 29809001
TI - Self-Assembly of Extracellular Vesicle-like Metal-Organic Framework Nanoparticles
for Protection and Intracellular Delivery of Biofunctional Proteins.
AB - The intracellular delivery of biofunctional enzymes or therapeutic proteins
through systemic administration is of great importance in therapeutic
intervention of various diseases. However, current strategies face substantial
challenges owing to various biological barriers, including susceptibility to
protein degradation and denaturation, poor cellular uptake, and low transduction
efficiency into the cytosol. Here, we developed a biomimetic nanoparticle
platform for systemic and intracellular delivery of proteins. Through a
biocompatible strategy, guest proteins are caged in the matrix of metal-organic
frameworks (MOFs) with high efficiency (up to ~94%) and high loading content up
to ~50 times those achieved by surface conjunction, and the nanoparticles were
further decorated with the extracellular vesicle (EV) membrane with an efficiency
as high as ~97%. In vitro and in vivo study manifests that the EV-like
nanoparticles can not only protect proteins against protease digestion and evade
the immune system clearance but also selectively target homotypic tumor sites and
promote tumor cell uptake and autonomous release of the guest protein after
internalization. Assisted by biomimetic nanoparticles, intracellular delivery of
the bioactive therapeutic protein gelonin significantly inhibits the tumor growth
in vivo and increased 14-fold the therapeutic efficacy. Together, our work not
only proposes a new concept to construct a biomimetic nanoplatform but also
provides a new solution for systemic and intracellular delivery of protein.
PMID- 29809004
TI - Optimization of 1,4-Oxazine beta-Secretase 1 (BACE1) Inhibitors Toward a Clinical
Candidate.
AB - In previous studies, the introduction of electron withdrawing groups to 1,4
oxazine BACE1 inhibitors reduced the p Ka of the amidine group, resulting in
compound 2 that showed excellent in vivo efficacy, lowering Abeta levels in brain
and CSF. However, a suboptimal cardiovascular safety margin, based on QTc
prolongation, prevented further progression. Further optimization resulted in the
replacement of the 2-fluoro substituent by a CF3-group, which reduced hERG
inhibition. This has led to compound 3, with an improved cardiovascular safety
margin and sufficiently safe in GLP toxicity studies to progress into clinical
trials.
PMID- 29809005
TI - Multi-Descriptor Read Across (MuDRA): A Simple and Transparent Approach for
Developing Accurate Quantitative Structure-Activity Relationship Models.
AB - Multiple approaches to quantitative structure-activity relationship (QSAR)
modeling using various statistical or machine learning techniques and different
types of chemical descriptors have been developed over the years. Oftentimes
models are used in consensus to make more accurate predictions at the expense of
model interpretation. We propose a simple, fast, and reliable method termed Multi
Descriptor Read Across (MuDRA) for developing both accurate and interpretable
models. The method is conceptually related to the well-known kNN approach but
uses different types of chemical descriptors simultaneously for similarity
assessment. To benchmark the new method, we have built MuDRA models for six
different end points (Ames mutagenicity, aquatic toxicity, hepatotoxicity, hERG
liability, skin sensitization, and endocrine disruption) and compared the results
with those generated with conventional consensus QSAR modeling. We find that
models built with MuDRA show consistently high external accuracy similar to that
of conventional QSAR models. However, MuDRA models excel in terms of
transparency, interpretability, and computational efficiency. We posit that due
to its methodological simplicity and reliable predictive accuracy, MuDRA provides
a powerful alternative to a much more complex consensus QSAR modeling. MuDRA is
implemented and freely available at the Chembench web portal (
https://chembench.mml.unc.edu/mudra ).
PMID- 29809006
TI - Correction to Discovery of New Chemical Entities for Old Targets: Insights on the
Lead Optimization of Chromone-Based Monoamine Oxidase B (MAO-B) Inhibitors.
PMID- 29809007
TI - Disparate Downstream Reactions Mediated by an Ionically Controlled Supramolecular
Tristate Switch.
AB - The use of chemical messengers to control multiple and often disparate downstream
events is a hallmark of biological signaling. Here, we report a synthetic
supramolecular construct that gives rise to bifurcated downstream events mediated
by different stimulus-induced chemical messengers. The system in question
consists of a supramolecular redox-ensemble made up of a tetrathiafulvalene (TTF)
based macrocycle, benzo-TTF-calix[4]pyrrole, and an electron deficient partner,
7,7,8,8-tetracyanoquinodimethane (TCNQ). Different tetraalkylammonium halide
salts are used to trigger the reversible switching between neutral (No-ET),
charge transfer (CT), and electron transfer (ET) states. The result is an
effective tristate switch that provides chemical access to three different forms
of TCNQ, namely, a released neutral, radical anionic (TCNQ*-), or bound CT forms.
The ionically induced switching chemistry is linked separately through the
neutral and radical anion TCNQ forms to two distinct follow-on reactions. These
reactions consist, respectively, of styrene polymerization, which is triggered
only in the "1" (TCNQ radical anion ET) state, and a cycloaddition
retroelectrocyclization (CA-RE) reaction, which is mediated only by the neutral
TCNQ "0" (No-ET) state. Neither downstream reaction is promoted by the CT form,
wherein the TCNQ is receptor bound. The three states that characterize this
system, their interconversion, and the downstream reactions promoted by TCNQ*-
and free TCNQ, respectively, have been characterized by single-crystal X-ray
diffraction analyses and various solution phase spectroscopies.
PMID- 29809008
TI - Characterization of OCS-HCCCCH and N2O-HCCCCH Dimers: Theory and Experiment.
AB - The infrared spectra of the weakly bound dimers OCS-HCCCCH, in the region of the
nu1 fundamental band of OCS (2050 cm-1), and N2O-HCCCCH, in the region of the nu1
fundamental band of N2O (2200 cm-1), were observed in a pulsed supersonic slit
jet expansion probed with tunable diode/QCL lasers. Both OCS-HCCCCH and N2O
HCCCCH were found to have planar structure with side-by-side monomer units having
nearly parallel axes. These bands have hybrid rotational structure which allows
for estimates of the orientation of OCS and N2O in the plane of their respective
dimers. Analogous bands for OCS-DCCCCD and N2O-DCCCCD were also observed and
found to be consistent with the normal isotopologues. Various levels of
theoretical calculations were performed to find stationary points on the
potential energy surface, optimized structures, and interaction energies. Four
stable geometries were found for OCS-HCCCCH and three for N2O-HCCCCH. The
rotational parameters at CCSD(T*)-F12c level of theory give results in very good
agreement with those obtained from the observed spectra. In both dimers, the
experimental structure corresponds to the lowest energy isomer.
PMID- 29809009
TI - Direct Visualization of the Structural Transformation between the Lyotropic
Liquid Crystalline Lamellar and Bicontinuous Cubic Mesophase.
AB - The transition between the lyotropic liquid crystalline lamellar and the
bicontinuous cubic mesophase drives multiple fundamental cellular processes
involving changes in cell membrane topology, including endocytosis and membrane
budding. While several theoretical models have been proposed to explain this
dynamic transformation, experimental validation of these models has been
challenging because of the short-lived nature of the intermediates present during
the phase transition. Herein, we report the direct observation of a lamellar-to
bicontinuous cubic phase transition in nanoscale dispersions using a combination
of cryogenic transmission electron microscopy and static small-angle X-ray
scattering. The results represent the first experimental confirmation of a
theoretical model which proposed that the bicontinuous cubic phase originates
from the center of a lamellar vesicle then propagates outward via the formation
of interlamellar attachments and stalks. The observation was possible because of
the precise control of the lipid composition to place the dispersion systems at
the phase boundary of a lamellar and a cubic phase, allowing for the creation of
long-lived structural intermediates. By the surveying of the nanoparticles using
cryogenic transmission electron microscopy, a complete phase transition sequence
was established.
PMID- 29809010
TI - Constructing Unique Cathode Interface by Manipulating Functional Groups of
Electrolyte Additive for Graphite/LiNi0.6Co0.2Mn0.2O2 Cells at High Voltage.
AB - A novel electrolyte additive, 1-(2-cyanoethyl) pyrrole (CEP), has been
investigated to improve the electrochemical performance of
graphite/LiNi0.6Co0.2Mn0.2O2 cells cycling up to 4.5 V vs Li/Li+. The 4.5 V
cycling results present that after 50 cycles, up to 4.5 V capacity retention of
the graphite/LiNi0.6Co0.2Mn0.2O2 cell is improved significantly from 27.4 to
81.5% when adding 1% CEP to baseline electrolyte (1 M LiPF6 in EC/EMC 1:2, by
weight). Ex situ characterization results support the mechanism of CEP for
enhancing the electrochemical performance. On one hand, the significant
enhancement is ascribed to a formed superior cathode interfacial film by
preferential oxidation of CEP on the cathode electrode surface suppressing
electrolyte decomposition at high voltage. On the other hand, the duo Lewis base
functional groups can effectively capture dissociation product PF5 from LiPF6
with the presence of an unavoidable trace amount of water or aprotic impurities
in the electrolyte. Thus this mitigates the hydrofluoric acid (HF) generation
that leads to the reduction of transition-metal dissolution in the electrolyte
upon cycling at high voltage. The theoretical modeling suggests that CEP has a
mechanism of stabilizing electrolyte via combination of -C=N: functional group
and H2O. The work presented here also shows nuclear magnetic resonance spectra
analysis to prove the capability of CEP reducing HF generation and X-ray
photoelectron spectroscopy analysis to observe cathode surface composition.
PMID- 29809011
TI - Photocatalysis with Pt-Au-ZnO and Au-ZnO Hybrids: Effect of Charge Accumulation
and Discharge Properties of Metal Nanoparticles.
AB - Metal-semiconductor hybrid nanomaterials are becoming increasingly popular for
photocatalytic degradation of organic pollutants. Herein, a seed-assisted
photodeposition approach is put forward for the site-specific growth of Pt on Au
ZnO particles (Pt-Au-ZnO). A similar approach was also utilized to enlarge the Au
nanoparticles at epitaxial Au-ZnO particles (Au@Au-ZnO). An epitaxial connection
at the Au-ZnO interface was found to be critical for the site-specific deposition
of Pt or Au. Light on-off photocatalysis tests, utilizing a thiazine dye
(toluidine blue) as a model organic compound, were conducted and confirmed the
superior photodegradation properties of Pt-Au-ZnO hybrids compared to Au-ZnO. In
contrast, Au-ZnO type hybrids were more effective toward photoreduction of
toluidine blue to leuco-toluidine blue. It was deemed that photoexcited electrons
of Au-ZnO (Au, ~5 nm) possessed high reducing power owing to electron
accumulation and negative shift in Fermi level/redox potential; however, exciton
recombination due to possible Fermi-level equilibration slowed down the complete
degradation of toluidine blue. In the case of Au@Au-ZnO (Au, ~15 nm), the
photodegradation efficiency was enhanced and the photoreduction rate reduced
compared to Au-ZnO. Pt-Au-ZnO hybrids showed better photodegradation and
mineralization properties compared to both Au-ZnO and Au@Au-ZnO owing to a fast
electron discharge (i.e. better electron-hole seperation). However, photoexcited
electrons lacked the reducing power for the photoreduction of toluidine blue. The
ultimate photodegradation efficiencies of Pt-Au-ZnO, Au@Au-ZnO, and Au-ZnO were
84, 66, and 39%, respectively. In the interest of effective metal-semiconductor
type photocatalysts, the present study points out the importance of choosing the
right metal, depending on whether a photoreduction and/or photodegradation
process is desired.
PMID- 29809012
TI - Extremely Strong Halogen Bond. The Case of a Double-Charge-Assisted Halogen
Bridge.
AB - The stable model of a double (+/-)charge-assisted halogen bridge has been built
on the basis of searches of the Crystal Structure Database. The model,
investigated by DFT theory, consists of quinuclidine-like cation derivatives and
a set of simple anions. These charged fragments form halogen-bonded complexes of
which the energy of complexation in some cases reaches 100 kcal/mol. Even for
such strong interactions, the QTAIM characteristics are similar to those of the
more classic, relatively weak halogen bonds. An important effect of complexation
is the charge transfer measured by means of QTAIM and NBO. It can also be
supposed, on the basis of detailed structural and QTAIM analysis, that the
delocalization of the charge in a quinuclidine moiety occurs through space and
not necessarily along formal bonds. The analysis of only partially charged and
fully neutral counterparts of a double (+/-)charge-assisted halogen bridge shows
significantly weaker bonding, being less than 10 kcal/mol.
PMID- 29809013
TI - Filling Ices with Helium and the Formation of Helium Clathrate Hydrate.
AB - We have formed the long-sought He-clathrate. This was achieved by refilling
helium into ice XVI, opening a new synthesis route for exotic forms of clathrate
hydrates. The process was followed by neutron diffraction; structures and cage
fillings were established. The stabilizing attractive van der Waals interactions
are enhanced by multiple cage fillings with theoretically up to four helium atoms
per large cage and up to one per small cage; He-clathrate hydrates can be
considered as a solid-state equivalent of the clustering of small apolar entities
dissolved in the liquid state of water. Unlike most other guests, helium easily
enters and leaves the water cages at temperatures well below 100 K, hampering
applications as a gas storage material. Despite the weak dispersive interactions,
the inclusion of helium has a very significant effect on lattice constants; this
is also established for helium inclusion in ice Ih and suggests that lattice
parameters are arguably the most sensitive measure to gauge dispersive water-gas
interactions.
PMID- 29809014
TI - Multicomponent Synthesis of Tetrahydroisoquinolines.
AB - A multicomponent synthesis of tetrahydroisoquinolines from carboxylic acids,
alkynyl ethers, and dihydroisoquinolines is described. This process features
readily available starting materials, simple experimental procedures for
achievement of molecule complexity, and structural diversity. The preliminary
control experiment and crossover reaction provide important insight into the
reaction mechanism. The formed tetrahydroisoquinolines could be transformed to an
array of compounds.
PMID- 29809015
TI - Diels-Alder and Stille Coupling Approach for the Short Protecting-Group-Free
Synthesis of Mycophenolic Acid, Its Phenylsulfenyl and Phenylselenyl Analogues,
and Reactive Oxygen Species (ROS) Probing Capacity in Water.
AB - A short, protecting-group-free synthesis is achieved. The synthesis is step
efficient and general. A Diels-Alder and Stille cross-coupling approach includes
key transformations, allowing for a competitive synthesis which involves a rare
halophenol Stille cross-coupling study. The phenylselenyl and phenylsulfenyl
analogues were prepared as novel compounds in good overall yield. The
applicability of one of the intermediates as a potential probe for reactive
oxygen species (ROS) in water is investigated.
PMID- 29809016
TI - Self-Assembled Magnetic Viruslike Particles for Encapsulation and Delivery of
Deoxyribonucleic Acid.
AB - Developing nontoxic artificial carriers for stimuli-responsive capture,
transport, and delivery of biomolecules is of immense scientific interest.
Herein, for the first time, we synthesize a double-tailed cationic surfactant,
(C16H33)2(CH3)2N+[FeCl3Br]-, which possesses magnetic properties [magnetic
surfactants (Mag-Surfs)]. The time-dependent formation of virus-shaped hybrid
mixed assemblies of polyoxometalates (POMs) {Mo72Fe30}/Mag-Surf with hollow-shell
structures is followed. These structures serve well as robust high-surface-area
shuttles, which can be manipulated with applied magnetic fields. By using
cationic Mag-Surfs, the anionic POMs and DNA can be complexed in these ternary
mixtures. These virus-shaped complexes act as nanoanchors and nanomotors, which
can be utilized for binding, anchoring, and delivery of biomolecules, such as
DNA. It is found that they have a good absorption capacity for DNA and myoglobin
over 24 h, after application of a magnetic field. The realization of magnetic
virus-shaped {Mo72Fe30}/Mag-Surf spheres may open possibilities for designing
other functional nanoparticles, allowing effective control over the
delivery/separation of biomolecules.
PMID- 29809017
TI - Impact of Payload Hydrophobicity on the Stability of Antibody-Drug Conjugates.
AB - In silico screening of toxin payloads typically employed in ADCs revealed a wide
range of hydrophobicities and sizes as measured by log P and topological polar
surface area (tPSA) values. These descriptors were used to identify three
nontoxic surrogate payloads that encompass the range of hydrophobicity defined by
the ADC toxin training set. The uniform drug to antibody ratio (DAR) ADCs were
prepared for each surrogate payload by conjugation to the interchain cysteine
residues of a model IgG1 subtype mAb. Linkage of these surrogate payloads to a
common mAb with a matched DAR value allowed for preliminary analytical
interrogation of the influence of payload hydrophobicity on global structure,
self-association, and aggregation properties. The results of differential
scanning fluorimetry and dynamic light scattering experiments clearly revealed a
direct correlation between the destabilization of the native mAb structure and
the increasing payload hydrophobicity. Also, self-association/aggregation
propensity examined by self-interaction biolayer interferometry or size exclusion
HPLC was consistent with increased conversion of the monomeric mAb to higher
order aggregated species, with the degree of conversion directly proportional to
the payload hydrophobicity. In summary, these findings prove that the payload
dependent structure destabilization and enhanced propensity to self
associate/aggregate driven by the increasing payload hydrophobicity contribute to
reduced ADC stability and more complex behavior when assessing exposure and
safety/efficacy relationships.
PMID- 29809018
TI - Maternal sensitivity to distress and attachment outcomes: Interactions with
sensitivity to nondistress and infant temperament.
AB - The extent to which maternal sensitivity to infant distress predicts specific
attachment outcomes independent of and in conjunction with maternal sensitivity
to infant nondistress and in conjunction with infant-negative emotionality was
examined in a sample of 259 mother-infant dyads. Maternal sensitivity to infant
distress and nondistress was observed in a series of distress-eliciting tasks
when infants were 6 months and 1-year-old. Mothers rated infant-negative
emotionality at 6 months. Infant-mother attachment was observed during the
"strange situation" (Ainsworth, Blehar, Waters, & Wall, 1978) at 1 year. Four
attachment outcomes were considered: the dichotomous security/insecurity
classification, avoidant and resistant behaviors across both reunion episodes,
and a single rating for disorganized behavior. Maternal sensitivity to distress
and nondistress at 1 year were treated as covariates. Sensitivity to distress and
nondistress at 6 months and 1 year did not predict more adaptive attachment
outcomes as main effects. However, sensitivity to distress and nondistress at 6
months interacted significantly in relation to avoidance, such that sensitivity
to nondistress was significantly associated with higher avoidance when
sensitivity to distress was low, but not when sensitivity to distress was high.
Furthermore, sensitivity to distress at 6 months interacted with infant-negative
emotionality in relation to security and both resistant and disorganized
behaviors, such that sensitivity to distress was positively associated with
security and negatively associated with resistant and disorganized behaviors only
among infants who were high on mother-reported negative emotionality.
Implications for future research and intervention are discussed. (PsycINFO
Database Record
PMID- 29809019
TI - Communally coping with diabetes: An observational investigation using the actor
partner interdependence model.
AB - Communal coping is a form of interpersonal coping that involves a shared illness
appraisal and collaborating to address illness-related issues. We hypothesized
that communal coping among couples in which one person is recently diagnosed with
Type 2 diabetes would be related to better diabetes problem-solving, better mood,
greater relationship quality, and less psychological distress for both partners.
Communal coping was coded from videotaped interactions in which 119 heterosexual
couples discussed difficulties in managing diabetes. Actor-partner
interdependence models were performed to isolate associations of actor communal
coping and partner communal coping with outcomes, and examined whether the couple
member had diabetes and sex as moderator variables. We expected that communal
coping would be more beneficial for women than men, and that partner communal
coping would be more strongly linked to outcomes than actor communal coping.
Results were largely consistent with hypotheses, suggesting that communal coping
is beneficial to couples coping with diabetes. (PsycINFO Database Record
PMID- 29809020
TI - Imagery interventions in health behavior: A meta-analysis.
AB - OBJECTIVE: Imagery-based interventions represent an inexpensive, potentially
effective technique for changing health behavior and promoting adaptive health
outcomes. However, research adopting mental imagery techniques in health behavior
interventions has shown considerable variability in effects across studies. In
the present analysis we present a quantitative synthesis of the effectiveness of
mental imagery interventions in health behavior and tested effects of key
moderators. METHOD: A systematic database search for studies adopting imagery
interventions in health behavior and related outcomes was conducted with
additional manual searches and direct author contact for unpublished studies.
Data were extracted for imagery intervention effects on behavioral,
psychological, and physiological outcomes, and for candidate moderators. RESULTS:
Twenty-six studies of mental imagery intervention effects comprising 33
independent data sets met eligibility criteria for inclusion in the review.
Mental imagery interventions led to nontrivial, small averaged corrected effect
sizes on postintervention behavior, intention, perceived control, and attitude,
and a small-to-medium sized effect on postintervention physiological measures.
Substantive heterogeneity in the effects meant that a search for moderators was
warranted. Moderator analyses indicated larger effects of imagery interventions
on health behaviors in studies on older, nonstudent samples, when detailed
instructions were provided, in studies with higher methodological quality scores,
and in studies of longer duration. Effect sizes for imagery on behavioral and
physiological outcomes were larger than effects on psychological outcomes.
CONCLUSION: Results support effects of mental imagery interventions on health
behaviors, identify conditions in which they may be more effective, and point to
how future imagery interventions might be optimized. (PsycINFO Database Record
PMID- 29809021
TI - Trajectories of marital, parent-child, and sibling conflict during pediatric
cancer treatment.
AB - OBJECTIVE: The stress of having a child with cancer can impact the quality of
relationships within the family. The current study describes the longitudinal
trajectory of marital, parent-child, and sibling conflict beginning around the
time of diagnosis through the first year of treatment. We examined the average
level of marital, parent-child, and sibling conflict at each monthly time point
in the first year of treatment; the proportion of families that fall into the
distressed range of marital, parent-child, and sibling conflict at each time
point; the typical trajectory of conflict during the first year of treatment and
whether there are differences in trajectories across families. METHOD: A total of
160 families of children newly diagnosed with cancer (Mage = 5.6 years; range = 2
18 years) participated in a short-term prospective longitudinal study. Primary
caregivers provided monthly reports of marital, parent-child, and sibling
conflict. RESULTS: Using multilevel modeling (MLM), most families showed
stability in quality of family relationships, although considerable between
family variability was observed. For married couples, 25-36% of couples were in
the distressed range at one time point over the first year of treatment. For
married couples, more distress occurred at earlier months, particularly month 3.
For parent-child and sibling dyads, the most difficult time periods were during
later months. CONCLUSION: Implications for development of interventions that
target at-risk family relationships are discussed. Identifying processes that
predict between-family variability in trajectories of family relationships is an
important next step, particularly for the marital relationship. (PsycINFO
Database Record
PMID- 29809023
TI - "Some days won't end ever": Working faster and longer as a boundary condition for
challenge versus hindrance effects of time pressure.
AB - Within the workplace, time constraints that create deadline pressure may
jeopardize employees' goal attainment. In an attempt to overcome this stressful
situation, employees may increase their efforts. We examine two strategies that
are assumed to be stress reactions (coping) under conditions of high time
pressure: working faster and working longer. We propose that these strategies
moderate the relationship between time pressure and adverse health effects, as
well as work engagement. In our daily diary study, 122 public service employees
provided ratings over five consecutive working days. Multilevel analyses revealed
that time pressure relates positively to irritation only in conditions of working
faster and is unrelated to irritation in the absence of this strategy. It relates
positively to engagement only when employees do not work longer, whereas it is
unrelated to work engagement in conditions of working longer. We conclude that
using the strategies of working faster or working longer is not recommended to
overcome daily time pressure. This study contributes to the refinement of the
challenge-hindrance framework by identifying boundary conditions of challenge and
hindrance effects of time pressure. In addition, this study provides the first
empirical evidence about the daily role of "working faster" and "working longer,"
conceptualized as a maladaptive coping mechanism. (PsycINFO Database Record
PMID- 29809022
TI - Social support and sleep: A meta-analysis.
AB - OBJECTIVE: While the implications of social support are increasingly well
understood, no meta-analytic review to date has examined the intersection of the
social support and sleep literatures. The aims of this meta-analysis were
primarily to review the association between social support and sleep and
additionally to test several proposed moderators from prior work. METHOD: Using a
literature search and the ancestry approach, the review identified 61 studies
with a total of 105,437 participants. RESULTS: Random-effects modeling showed
that greater social support was significantly related to improved sleep outcomes
(Zr = -.152). These results were not moderated by the operationalization of
support, study design, or chronic conditions. CONCLUSIONS: These data indicate a
robust association between social support and favorable sleep outcomes. (PsycINFO
Database Record
PMID- 29809025
TI - Efficacy of synchronous telepsychology interventions for people with anxiety,
depression, posttraumatic stress disorder, and adjustment disorder: A rapid
evidence assessment.
AB - Telepsychology holds promise as a treatment delivery method that may increase
access to services as well as reduce barriers to treatment accessibility. The aim
of this rapid evidence assessment was to assess the evidence for synchronous
telepsychology interventions for 4 common mental health conditions (depression,
anxiety, posttraumatic stress disorder, and adjustment disorder). Randomized
controlled trials published between 2005 and 2016 that investigated synchronous
telepsychology (i.e., telephone delivered, video teleconference delivered, or
Internet delivered text based) were identified through literature searches. From
an initial yield of 2,266 studies, 24 were included in the review. Ten studies
investigated the effectiveness of telephone-delivered interventions, 11
investigated the effectiveness of video teleconference (VTC) interventions, 2
investigated Internet-delivered text-based interventions, and 2 were reviews of
multiple telepsychology modalities. There was sufficient evidence to support VTC
and telephone-delivered interventions for mental health conditions. The evidence
for synchronous Internet-delivered text-based interventions was ranked as
"unknown." Telephone-delivered and VTC-delivered psychological interventions
provide a mode of treatment delivery that can potentially overcome barriers and
increase access to psychological interventions. (PsycINFO Database Record
PMID- 29809026
TI - Does filler database size influence identification accuracy?
AB - Police departments increasingly use large photo databases to select lineup
fillers using facial recognition software, but this technological shift's
implications have been largely unexplored in eyewitness research. Database use,
particularly if coupled with facial matching software, could enable lineup
constructors to increase filler-suspect similarity and thus enhance eyewitness
accuracy (Fitzgerald, Oriet, Price, & Charman, 2013). However, with a large pool
of potential fillers, such technologies might theoretically produce lineup
fillers too similar to the suspect (Fitzgerald, Oriet, & Price, 2015; Luus &
Wells, 1991; Wells, Rydell, & Seelau, 1993). This research proposes a new factor
filler database size-as a lineup feature affecting eyewitness accuracy. In a
facial recognition experiment, we select lineup fillers in a legally realistic
manner using facial matching software applied to filler databases of 5,000,
25,000, and 125,000 photos, and find that larger databases are associated with a
higher objective similarity rating between suspects and fillers and lower overall
identification accuracy. In target present lineups, witnesses viewing lineups
created from the larger databases were less likely to make correct
identifications and more likely to select known innocent fillers. When the target
was absent, database size was associated with a lower rate of correct rejections
and a higher rate of filler identifications. Higher algorithmic similarity
ratings were also associated with decreases in eyewitness identification
accuracy. The results suggest that using facial matching software to select
fillers from large photograph databases may reduce identification accuracy, and
provides support for filler database size as a meaningful system variable.
(PsycINFO Database Record
PMID- 29809027
TI - Viewing videotaped identification procedure increases juror sensitivity to single
blind photo-array administration.
AB - We investigated whether watching a videotaped photo array administration or
expert testimony could sensitize jurors to the suggestiveness of single-blind
eyewitness identification procedures. Mock jurors recruited from the community (N
= 231) watched a videotaped simulation of a robbery trial in which the primary
evidence against the defendant was an eyewitness identification. We varied
whether the witness made an identification from a single- or double-blind photo
array, the evidence included a videotape of the photo array procedure, and an
expert testified about the effects of single-blind identification procedures on
administrators' behaviors and witness accuracy. Watching the videotaped photo
array administration sensitized mock jurors to the suggestiveness of the single
blind procedure, causing them to be less likely to convict a defendant identified
through single-rather than double-blind procedures. Exposure to the videotaped
procedure also decreased the favorability of mock jurors' ratings of the
eyewitness, irrespective of whether the lineup was conducted by a single-blind
administrator. Expert testimony did not sensitize jurors to administrator bias.
Thus, videotaping identification procedures could serve as an important
procedural reform that both preserves a record of whether the lineup
administration was suggestive and might improve jurors' evaluations of eyewitness
evidence. (PsycINFO Database Record
PMID- 29809024
TI - Sustaining sleep: Results from the randomized controlled work, family, and health
study.
AB - Although calls for intervention designs are numerous within the organizational
literature and increasing efforts are being made to conduct rigorous randomized
controlled trials, existing studies have rarely evaluated the long-term
sustainability of workplace health intervention outcomes, or mechanisms of this
process. This is especially the case with regard to objective and subjective
sleep outcomes. We hypothesized that a work-family intervention would increase
both self-reported and objective actigraphic measures of sleep quantity and sleep
quality at 6 and 18 months post-baseline in a sample of information technology
workers from a U.S. Fortune 500 company. Significant intervention effects were
found on objective actigraphic total sleep time and self-reported sleep
insufficiency at the 6- and 18-month follow-up, with no significant decay
occurring over time. However, no significant intervention effects were found for
objective actigraphic wake after sleep onset or self-reported insomnia symptoms.
A significant indirect effect was found for the effect of the intervention on
objective actigraphic total sleep time through the proximal intervention target
of 6-month control over work schedule and subsequent more distal 12-month family
time adequacy. These results highlight the value of long-term occupational health
intervention research, while also highlighting the utility of this work-family
intervention with respect to some aspects of sleep. (PsycINFO Database Record
PMID- 29809028
TI - Bounded authority: Expanding "appropriate" police behavior beyond procedural
justice.
AB - This paper expands previous conceptualizations of appropriate police behavior
beyond procedural justice. The focus of the current study is on the notion of
bounded authority-that is, acting within the limits of one's rightful authority.
According to work on legal socialization, U.S. citizens come to acquire three
dimensions of values that determine how authorities ought to behave: (a) neutral,
consistent, and transparent decision-making; (b) interpersonal treatment that
conveys respect, dignity, and concern; and (c) respecting the limits of one's
rightful power. Using survey data from a nationally representative sample of U.S.
adults, we show that concerns over bounded authority, respectful treatment, and
neutral decision-making combine to form a strong predictor of police and legal
legitimacy. We also find that legal legitimacy is associated with greater
compliance behavior, controlling for personal morality and perceived likelihood
of sanctions. We discuss the implications of a boundary perspective with respect
to ongoing debates over the appropriate scope of police power and the utility of
concentrated police activities. We also highlight the need for further research
specifically focused on the psychological mechanisms underlying the formation of
boundaries and why they shape the legitimacy of the police and law. (PsycINFO
Database Record
PMID- 29809029
TI - Executive and social-cognitive determinants of environmental dependency syndrome
in behavioral frontotemporal dementia.
AB - OBJECTIVE: Environmental dependency syndrome (EDS), including utilization (UB)
and imitation (IB) behaviors, is often reported in behavioral variant
frontotemporal dementia (bvFTD). These behaviors are commonly attributed to
executive dysfunction. However, inconsistent associations between EDS and poor
executive performance has led to an alternative "social hypothesis," instead
implicating patients' misinterpretation of the examiner's intention. We
investigated the possible explanatory cognitive mechanisms of EDS in bvFTD by
relating UB and IB to performance on tests of executive functioning and theory of
mind (ToM). METHOD: This study analyzed retrospective data of 32 bvFTD patients.
Data included scores of UB and IB, various executive measures, and ToM assessment
using the faux pas test, from which we extracted a mental attribution score.
RESULTS: Of the patients, 15.6% and 40.6% exhibited UB and IB, respectively. We
conducted an automatic linear modeling analysis with executive and mental
attribution measures as predictor variables, and UB and IB sequentially
considered as target variables. ToM mental attribution score, visual abstraction
and flexibility measures from the Wisconsin Card Sorting Test, and motor sequence
performance significantly (corrected ps < .05) predicted IB. No executive or ToM
measures significantly predicted UB. CONCLUSIONS: These findings reveal a complex
interaction between executive dysfunction and mental attribution deficits
influencing the prevalence of EDS in bvFTD. Further investigation is required to
improve our understanding of the mechanisms underlying these behaviors. (PsycINFO
Database Record
PMID- 29809030
TI - Are visual cues helpful for virtual spatial navigation and spatial memory in
patients with mild cognitive impairment or Alzheimer's disease?
AB - OBJECTIVE: To evaluate whether visual cues are helpful for virtual spatial
navigation and memory in Alzheimer's disease (AD) and patients with mild
cognitive impairment (MCI). METHOD: 20 patients with AD, 18 patients with MCI and
20 age-matched healthy controls (HC) were included. Participants had to actively
reproduce a path that included 5 intersections with one landmark at each
intersection that they had seen previously during a learning phase. Three cueing
conditions for navigation were offered: salient landmarks, directional arrows and
a map. A path without additional visual stimuli served as control condition.
Navigation time and number of trajectory mistakes were recorded. RESULTS: With
the presence of directional arrows, no significant difference was found between
groups concerning the number of trajectory mistakes and navigation time. The
number of trajectory mistakes did not differ significantly between patients with
AD and patients with MCI on the path with arrows, the path with salient landmarks
and the path with a map. There were significant correlations between the number
of trajectory mistakes under the arrow condition and executive tests, and between
the number of trajectory mistakes under the salient landmark condition and memory
tests. CONCLUSION: Visual cueing such as directional arrows and salient landmarks
appears helpful for spatial navigation and memory tasks in patients with AD and
patients with MCI. This study opens new research avenues for neuro
rehabilitation, such as the use of augmented reality in real-life settings to
support the navigational capabilities of patients with MCI and patients with AD.
(PsycINFO Database Record
PMID- 29809031
TI - Traumatic brain injury history and progression from mild cognitive impairment to
Alzheimer disease.
AB - OBJECTIVE: To examine whether history of traumatic brain injury (TBI) is
associated with more rapid progression from mild cognitive impairment (MCI) to
Alzheimer's disease (AD). METHOD: Data from 2,719 subjects with MCI were obtained
from the National Alzheimer's Coordinating Center. TBI was categorized based on
presence (TBI+) or absence (TBI-) of reported TBI with loss of consciousness
(LOC) without chronic deficit occurring >1 year prior to diagnosis of MCI.
Survival analyses were used to determine if a history of TBI predicted
progression from MCI to AD up to 8 years. Random regression models were used to
examine whether TBI history also predicted rate of decline on the Clinical
Dementia Rating scale Sum of Boxes score (CDR-SB) among subjects who progress to
AD. RESULTS: Across 8 years, TBI history was not significantly associated with
progression from MCI to a diagnosis of AD in unadjusted (HR = 0.80; 95% CI [0.63,
1.01]; p = .06) and adjusted (p = .15) models. Similarly, a history of TBI was a
nonsignificant predictor for rate of decline on CDR-SB among subjects who
progressed to AD (b = 0.15, p = .38). MCI was, however, diagnosed a mean of 2.6
years earlier (p < .001) in TBI+ subjects compared with the TBI- group.
CONCLUSIONS: A history of TBI with LOC was not associated with progression from
MCI to AD, but was linked to an earlier age of MCI diagnosis. These findings add
to a growing literature suggesting that TBI might reduce the threshold for onset
of MCI and certain neurodegenerative conditions, but appears unrelated to
progression from MCI to AD. (PsycINFO Database Record
PMID- 29809032
TI - Functional changes in the cortical semantic network in amnestic mild cognitive
impairment.
AB - OBJECTIVE: Semantic memory impairment has been documented in individuals with
amnestic Mild cognitive impairment (aMCI), who are at risk of developing
Alzheimer's disease (AD), yet little is known about the neural basis of this
breakdown. The aim of this study was to investigate the brain mechanisms
associated with semantic performance in aMCI patients. METHOD: A group of aMCI
patients and a group of healthy controls carried out a semantic categorization
task while their brain activity was recorded using magnetoencephalography (MEG).
During the task, participants were shown famous faces and had to determine
whether each famous person matched a given occupation. The main hypotheses were
that (a) semantic processing should be compromised for aMCI patients, and (b)
these deficits should be associated with cortical dysfunctions within specific
areas of the semantic network. RESULTS: Behavioral results showed that aMCI
participants were significantly slower and less accurate than controls at the
semantic task. Additionally, relative to controls, a significant pattern of
hyperactivation was found in the aMCI group within specific regions of the
extended semantic network, including the right anterior temporal lobe (ATL) and
fusiform gyrus. CONCLUSIONS: Abnormal functional activation within key areas of
the semantic network suggests that it is compromised early in the disease
process. Moreover, this pattern of right ATL and fusiform gyrus hyperactivation
was positively associated with gray matter integrity in specific areas, but was
not associated with any pattern of atrophy, suggesting that this pattern of
hyperactivation may precede structural alteration of the semantic network in
aMCI. (PsycINFO Database Record
PMID- 29809033
TI - Contrasting olfaction, vision, and audition as predictors of cognitive change and
impairment in non-demented older adults.
AB - OBJECTIVE: This study examines the relative utility of a particular class of
noninvasive functional biomarkers-sensory functions-for detecting those at risk
of cognitive decline and impairment. Three central research objectives were
examined including whether (a) olfactory function, vision, and audition exhibited
significant longitudinal declines in nondemented older adults; (b) multiwave
change for these sensory function indicators predicted risk of mild cognitive
impairment (MCI); and (c) change within persons for each sensory measure shared
dynamic time-varying associations with within-person change in cognitive
functioning. METHOD: A longitudinal sample (n = 408) from the Victoria
Longitudinal Study was assembled. Three cognitive status subgroups were
identified: not impaired cognitively, single-assessment MCI, and multiple
assessment MCI. RESULTS: We tested independent predictive associations,
contrasting change in sensory function as predictors of cognitive decline and
impairment, utilizing both linear mixed models and logistic regression analysis.
Olfaction and, to a lesser extent, vision were identified as the most robust
predictors of cognitive status and decline; audition showed little predictive
influence. CONCLUSIONS: These findings underscore the potential utility of
deficits in olfactory function, in particular, as an early marker of age- and
pathology-related cognitive decline. Functional biomarkers may represent
potential candidates for use in the early stages of a multistep screening
approach for detecting those at risk of cognitive impairment, as well as for
targeted intervention. (PsycINFO Database Record
PMID- 29809034
TI - Facial-affect recognition deficit as a predictor of different aspects of social
communication impairment in traumatic brain injury.
AB - OBJECTIVE: To examine the relationship between facial-affect recognition and
different aspects of self- and proxy-reported social-communication impairment
following moderate-severe traumatic brain injury (TBI). METHOD: Forty-six adults
with chronic TBI (>6 months postinjury) and 42 healthy comparison (HC) adults
were administered the La Trobe Communication Questionnaire (LCQ) Self and Other
forms to assess different aspects of communication competence and the Emotion
Recognition Test (ERT) to measure their ability to recognize facial affects.
RESULTS: Individuals with TBI underperformed HC adults in the ERT and self
reported, as well as were reported by close others, as having more communication
problems than did HC adults. TBI group ERT scores were significantly and
negatively correlated with LCQ-Other (but not LCQ-Self) scores (i.e.,
participants with lower emotion-recognition scores were rated by close others as
having more communication problems). Multivariate regression analysis revealed
that adults with higher ERT scores self-reported more problems with disinhibition
impulsivity and partner sensitivity and had fewer other-reported problems with
disinhibition-impulsivity and conversational effectiveness. CONCLUSIONS: Our
findings support growing evidence that emotion-recognition deficits play a role
in specific aspects of social-communication outcomes after TBI and should be
considered in treatment planning. (PsycINFO Database Record
PMID- 29809036
TI - Predictors of neuropsychological outcome after pediatric concussion.
AB - OBJECTIVE: Previous research suggests that neuropsychological outcome after
pediatric concussion is determined by unmodifiable, preexisting factors. This
study aimed to predict neuropsychological outcome after pediatric concussion by
using a sufficiently large sample to explore a vast array of predictors. METHOD:
A total of 311 children and adolescents (6-18 years old) with concussion were
assessed in the emergency department to document acute symptomatology and to
screen for cognitive functioning. At 4 and 12 weeks postinjury, they completed
tests of intellectual functioning, attention/working memory, executive functions,
verbal memory, processing speed, and fine motor abilities. Multiple hierarchical
logistic and linear regressions were performed to assess the contribution of
premorbid factors, acute symptoms, and acute cognitive screening (Standardized
Assessment of Concussion-Child) to aspects of neuropsychological outcome: (a)
cognitive inefficiency (defined using a modified Neuropsychological Impairment
Rule; Beauchamp et al., 2015) and (b) neuropsychological performance (defined
using principal component analysis). RESULTS: Neuropsychological impairment was
present in 10.3% and 4.5% of participants at 4 and 12 weeks postinjury,
respectively. At 4 weeks postinjury, cognitive inefficiency was predicted by
premorbid factors and acute cognitive screening, whereas at 12 weeks it was
predicted by acute symptoms. Neuropsychological performance at 4 weeks was
predicted by a combination of premorbid factors, acute symptoms, and acute
cognitive screening, whereas as at 12 weeks, only acute cognitive screening
predicted performance. CONCLUSIONS: Neuropsychological outcome after pediatric
concussion is not attributable solely to preexisting problems but is instead
associated with a combination of preexisting and injury-related variables. Acute
cognitive screening appears to be particularly useful in predicting
neuropsychological status after concussion. (PsycINFO Database Record
PMID- 29809037
TI - Inadequacy of the PHQ-2 depression screener for identifying suicidal primary care
patients.
AB - INTRODUCTION: The Patient Health Questionnaire-2 (PHQ-2) depression screener has
been praised for its brevity and ability to identify depressed primary care
patients. Additionally, it is often used as the first of a two-step screening
process for suicide risk. Despite its decent performance as a depression
screener, the PHQ-2 cannot be assumed to be an adequate screener for suicide
risk. In the present study, we examine the utility of the PHQ-2 for identifying
suicidal patients. METHOD: We examined data from 548 adult primary care patients
at a Federally Qualified Health Center in the mid-Southern region of the United
States who completed the PHQ-2 as part of the full administration of the Patient
Health Questionnaire-9 (PHQ-9). RESULTS: Cross-tabulation analyses revealed 22 of
the 157 (14.0%) patients endorsing suicidal ideation fell below the conventional
clinical cutoff of 3 on the PHQ-2. Logistic regression analyses indicated a
positive screen on the PHQ-2 did not improve explanation of suicidal ideation
beyond the base model, and only 3 items from the first 8 PHQ-9 questions
(depressed mood, feeling like a failure, and psychomotor retardation/agitation)
were significant explanatory variables for suicidal ideation. DISCUSSION:
Providers should consider asking about suicide directly, rather than relying on
depression screeners to identify suicidal patients. We also recommend providers
pay particular attention to any indication that patients feel like a failure or
like they have let their loved ones down, in addition to endorsement of depressed
mood. (PsycINFO Database Record
PMID- 29809038
TI - Suicide interventions in primary care: A selective review of the evidence.
AB - INTRODUCTION: About half of people who die by suicide visit their primary care
provider (PCP) within 1 month of doing so, compared with fewer than 1 in 5
contacting specialty mental health. Thus, primary care is an important setting
for improving identification and treatment of suicide risk. This review
identifies and summarizes evidence for the effectiveness of intervention
components for suicide risk in primary care. METHOD: We searched the PsycINFO
database to identify relevant articles. We considered publications reporting the
effectiveness of a packaged intervention for management of suicide risk in
primary care or any other brief (i.e., single-session) intervention for suicide
risk in the present review. RESULTS: Four major components to suicide
interventions in primary care emerged: (a) educating practitioners, (b) screening
for suicide risk and/or mood disturbance, (c) managing depression symptoms, and
(d) assessing and managing suicide risk. Although practitioner education and
screening for suicide risk are important, they are insufficient for effective
suicide prevention programs. Collaborative treatment of depression by
multidisciplinary teams can reduce rates of suicidal ideation in primary care
patients. Recent evidence also indicates a single-session crisis response
planning intervention may be effective at reducing suicidal ideation and
attempts. DISCUSSION: Integration of behavioral health specialists trained in
suicide risk assessment and management could be important for improving suicide
prevention in primary care patients. This condensed review of the evidence serves
as a resource for practitioners who are hoping to implement brief, effective
interventions for suicide risk to better serve their patients. (PsycINFO Database
Record
PMID- 29809035
TI - Prefrontal gray matter volume predicts metacognitive accuracy following traumatic
brain injury.
AB - OBJECTIVE: To examine metacognitive ability (MC) following moderate to severe
traumatic brain injury (TBI) using an empirical assessment approach and to
determine the relationship between alterations in gray matter volume (GMV) and
MC. METHOD: A sample of 62 individuals (TBI n = 34; healthy control [HC] n = 28)
were included in the study. Neuroimaging and neuropsychological data were
collected for all participants during the same visit. MC was quantified using an
approach borrowed from signal detection theory (Type II area under the receiver
operating characteristic curve calculation) to evaluate judgments during a
modified version of the 3rd edition of the Wechsler Adult Intelligence Scale's
Matrix Reasoning subtest where half of the items were presented randomly and half
were presented in the order of increasing difficulty. Retrospective confidence
judgments were collected on an item-by-item basis. Brain volumetric analyses were
conducted using FreeSurfer software. RESULTS: Analyses of the modified Matrix
Reasoning task data demonstrated that HCs significantly outperformed TBIs
(ordered: d = .63; random: d = .58). There was a significant difference between
groups for MC for the randomly presented stimuli (d = .54) but not the ordered
stimuli. There was an association between GMV and MC in the TBI group between the
right orbital region and MC (R2 = .11). In the HC group, there were associations
between the left posterior (R2 = .17), left orbital (R2 = .29), and left
dorsolateral (R2 = .21) regions and MC. CONCLUSIONS: These results are consistent
with those of previous research on MC in the cognitive neurosciences, but this
study demonstrates that injury may moderate the regional contributions to MC.
(PsycINFO Database Record
PMID- 29809040
TI - Enhancing residents' compassionate communication to family members: A family
systems breaking bad news simulation.
AB - INTRODUCTION: Surgical residents often need to break bad news (BBN) to patients
and family members. While communication skills are a core competency in residency
training, these specific skills are rarely formally taught. We piloted a
simulation training to teach pediatric surgical residents how to compassionately
BBN of an unexpected, traumatic pediatric death to surviving family members. This
training was unique in that it was influenced by family systems theory and was a
collaborative effort between our institution's surgery residency and medical
family therapy (MedFT) programs. METHOD: This study provides outcomes of surgery
residents' communication skills, attitudes, and self-perceptions after a BBN
simulation activity with standardized family members at a major academic teaching
hospital. Each resident participated in two 30-min simulations and received
feedback from observers. Outcome data were collected through self-assessments
completed before, immediately after, and 6 months after the simulation.
Participants were 15 surgery residents, and MedFT students served as simulated
family members and trainers. RESULTS: A statistically significant change with
medium to large effect sizes in participant self-reported perceptions of skill
and confidence were documented and maintained over 6 months. Responses to open
ended questions supported practice changes in response to the training.
DISCUSSION: This collaborative training promoted significant improvement in
resident compassionate communication skills. The curriculum was highly valued by
the learners and resulted in sustained application of learned skills with
patients and families. Our novel approach was feasible with promising results
that warrant further investigation and could be reproduced in other institutions
with similar programs. (PsycINFO Database Record
PMID- 29809041
TI - Reconsolidation of appetitive odor discrimination requires protein synthesis only
when reactivation includes prediction error.
AB - Reconsolidation theory has supported the notion that active memory is vulnerable
to the effects of an amnesic agent. An extension of reconsolidation theory posits
that active memory, while necessary for creating vulnerability in memory, is not
sufficient. Prediction error (i.e., when expectation is inconsistent with
reality) may be a key factor in the destabilization of memory. The present study
examined the role of prediction error in appetitive memory reconsolidation. Rats
learned to dig in cups of scented sand to retrieve buried sweet cereal rewards.
Forty-eight hours following acquisition, a single reactivation trial was given
during which a prediction error or no prediction error was included. The
prediction error consisted of a single extinction trial, while the no prediction
error condition consisted of an additional reinforced trial. Cycloheximide (CHX;
1 mg/kg) or vehicle (VEH: distilled water; 1 mg/kg) was administered
intraperitoneally immediately following reactivation. One week following
reactivation, rats received 2 nonreinforced test trials. Results showed longer
latencies to dig for rats that received CHX following a prediction error (CHX/PE)
compared to rats that received VEH (VEH/PE) or did not receive a prediction error
(CHX/NoPE or VEH/NoPE). These results add to a growing literature demonstrating
that protein synthesis is necessary in appetitive memory reconsolidation only
when reactivation includes a prediction error. (PsycINFO Database Record
PMID- 29809039
TI - Implementation of evidence-based practices for complex mood disorders in primary
care safety net clinics.
AB - INTRODUCTION: Use quality improvement methods to implement evidence-based
practices for bipolar depression and treatment-resistant depression in 6
Federally Qualified Health Centers. METHOD: Following qualitative needs
assessments, implementation teams comprised of front-line providers, patients,
and content experts identified, adapted, and adopted evidence-based practices.
With external facilitation, onsite clinical champions led the deployment of the
evidence-based practices. Evaluation data were collected from 104 patients with
probable bipolar disorder or treatment-resistant depression via chart review and
an interactive voice response telephone system. RESULTS: Five practices were
implemented: (a) screening for bipolar disorder, (b) telepsychiatric
consultation, (c) prescribing guidelines, (d) online cognitive-behavioral
therapy, and (e) online peer support. Implementation outcomes were as follows:
(a) 15% of eligible patients were screened for bipolar disorder (interclinic
range = 3%-70%), (b) few engaged in online psychotherapy or peer support, (c) 38%
received telepsychiatric consultation (interclinic range = 0%-83%), and (d) 64%
of patients with a consult were prescribed the recommended medication. Clinical
outcomes were as follows: Of those screening at high risk or very high risk, 67%
and 69%, respectively, were diagnosed with bipolar disorder. A third (32%) of
patients were prescribed a new mood stabilizer, and 28% were prescribed a new
antidepressant. Clinical response (50% reduction in depression symptoms), was
observed in 21% of patients at 3-month follow-up. DISCUSSION: Quality improvement
processes resulted in the implementation and evaluation of 5 detection and
treatment processes. Though varying by site, screening improved detection and a
substantial number of patients received consultations and medication adjustments;
however, symptom improvement was modest. (PsycINFO Database Record
PMID- 29809042
TI - Impaired discrimination with intact crossmodal association in aged rats: A
dissociation of perirhinal cortical-dependent behaviors.
AB - The perirhinal cortex (PRC) supports associative memory and perception, and PRC
dysfunction impairs animals' abilities to associate stimulus features across
sensory modalities. PRC damage also leads to deficits in discriminating between
stimuli that share features. Although PRC-dependent stimulus discrimination has
been shown to be impaired with advanced age, data regarding the abilities of
older adults and other animals to form PRC-dependent associations have been
equivocal. Moreover, the extent to which similar neural computations within the
PRC support associative memory versus discrimination abilities have not been
directly examined. In the current study, young and aged rats were cross
characterized on two PRC-dependent crossmodal object recognition (CMOR) tasks to
test associative memory, and a LEGO object discrimination task. In the CMOR
tasks, rats were familiarized with an object with access to tactile input and
then tested for recognition with visual input only. The relative exploration time
of novel versus familiar objects indicated that aged rats showed preference for
the novel over familiar object with and without an epoch of multimodal
preexposure to the familiar object prior to the testing session. Furthermore,
crossmodal recognition performance between young and aged rats was not
significantly different. In contrast, for the LEGO object discrimination task,
aged rats were impaired relative to young rats. Notably, aged rats that performed
poorly on the LEGO object discrimination task had better performance on the CMOR
tasks. The dissociation of discrimination and association abilities with age
suggests that these behaviors rely on distinct neural computations within PRC
medial temporal lobe circuit. (PsycINFO Database Record
PMID- 29809044
TI - Criterial learning is not enough: Retrieval practice is necessary for improving
post-stress memory accessibility.
AB - In a recent study, having participants make three retrieval attempts (i.e.,
retrieval practice) when learning information strengthened memory against the
detrimental effects of psychological stress. We aimed to determine whether
learning to criterion, in which only one successful retrieval attempt is made,
would similarly buffer memory against stress, or whether multiple retrieval
attempts are necessary to achieve that effect. In Experiment 1, participants
learned to criterion and then engaged in additional restudying (CLS) or retrieval
practice (CLR). Twenty-four hours later, stress was induced and stress-related
increases in cortisol were observed. However, no differences in recall
performance were observed between any of the groups. Experiment 2 was similar but
introduced a 1-week delay between encoding and retrieval. Recall performance was
impaired for both groups under stress, but recall for those in the CLR group was
still better than either pre- or post-stress performance for those in the CLS
group. Thus, criterial learning may protect memory against stress in the short
term, but additional retrieval practice is more beneficial for achieving this
effect in the long-term. (PsycINFO Database Record
PMID- 29809043
TI - Anabolic-androgenic steroids alter decision making in a balanced rodent model of
the Iowa gambling task.
AB - Anabolic-androgenic steroid (AAS) abuse is implicated in maladaptive decision
making such as increased risk taking and problem gambling. Endogenous
testosterone correlates with economic risk taking in both the stock market
(Coates & Herbert, 2008) and in the laboratory, as measured by the Iowa Gambling
Task (Stanton, Liening, & Schultheiss, 2011). Additionally, AAS use has been
associated with problem gambling behavior in adolescents (Proimos, DuRant,
Pierce, & Goodman, 1998). Thus, AAS may impair economic decision making. However,
studies of human AAS users cannot control for preexisting risky behavior or
normalize androgen levels. Accordingly, the present study investigated AAS
effects on decision making in rats using a novel, balanced rodent model of the
IGT. Adolescent male Long-Evans rats were treated chronically with high-dose
testosterone (7.5 mg/kg) or vehicle (13% cyclodextrin in water) sc, and trained
to work for sugar pellets in an operant chamber equipped with 4 levers, each
associated with a different schedule of reward magnitude (number of pellets),
probability, and punishment (time-out) duration. By RM-ANOVA, there was a main
effect of lever (F3,78 = 25.33, p < .05), such that all rats preferred lever L4
offering a large reward (4 pellets), but with low probability (45%) and a long
(35 sec) time-out. There was also a significant interaction of testosterone *
lever (F3,78 = 2.78, p < .05), with testosterone increasing preference for L4 and
decreasing preference for the other levers, relative to vehicle-treated controls.
These data extend our previous findings of altered decision making in AAS-treated
rats, and suggest that AAS may alter economic decision making in human users.
(PsycINFO Database Record
PMID- 29809046
TI - Exposure to bright light biases effort-based decisions.
AB - Secreted in the evening and the night, melatonin suppresses activity of the
mesolimbic dopamine pathway, a brain pathway involved in reward processing.
However, exposure to bright light diminishes-or even prevents-melatonin
secretion. Thus, we hypothesized that reward processing, in the evening, is more
pronounced in bright light (vs. dim light). Healthy human participants carried
out three tasks that tapped into various aspects of reward processing (effort
expenditure for rewards task [EEfRT]; two-armed bandit task [2ABT]; balloon
analogue risk task [BART). Brightness was manipulated within-subjects (bright vs.
dim light), in separate evening sessions. During the EEfRT, participants used
reward-value information more strongly when they were exposed to bright light
(vs. dim light). This finding supported our hypothesis. However, exposure to
bright light did not significantly affect task behavior on the 2ABT and the BART.
While future research is necessary (e.g., to zoom in on working mechanisms),
these findings have potential implications for the design of physical work
environments. (PsycINFO Database Record
PMID- 29809047
TI - Task-dependent modulation of spinal and transcortical stretch reflexes linked to
motor learning rate.
AB - It is generally believed that task-dependent control of body configuration
("posture") is achieved by adjusting voluntary motor activity and transcortical
"long-latency" reflexes. Spinal monosynaptic circuits are thought not to be
engaged in such task-level control. Similarly, being in a state of motor learning
has been strongly associated only with an upregulation of feedback responses at
transcortical latencies and beyond. In two separate experiments, the current
study examined the task-dependent modulation of stretch reflexes by perturbing
the hand of human subjects while they were waiting for a "Go" signal to move at
the different stages of a classic kinematic learning task (visuomotor rotation).
Although the subjects had to resist all haptic perturbations equally across task
stages, the study leveraged that task-dependent feedback controllers may already
be "loaded" at the movement anticipation stage. In addition to an upregulation of
reflex gains during early exposure to the visual distortion, I found a relative
inhibition of reflex responses in the "washout" stage (sensory realignment
state). For more distal muscles (brachioradialis) this inhibition also extended
to the monosynaptic reflex response ("R1"). Moreover, these R1 gains reflected
individual motor learning performance in the visuomotor task. The results
demonstrate that the system's "control policy" in visuomotor adaptation can also
include inhibition of proprioceptive reflexes, and that aspects of this policy
can affect monosynaptic spinal circuits. The latter finding suggests a novel form
of state-related control, probably realized by independent control of fusimotor
neurons, through which segmental circuits can tune to higher-level features of a
sensorimotor task. (PsycINFO Database Record
PMID- 29809045
TI - Early adolescent adversity inflates threat estimation in females and promotes
alcohol use initiation in both sexes.
AB - Childhood adversity is associated with exaggerated threat processing and earlier
alcohol use initiation. Conclusive links remain elusive, as childhood adversity
typically co-occurs with detrimental socioeconomic factors, and its impact is
likely moderated by biological sex. To unravel the complex relationships among
childhood adversity, sex, threat estimation, and alcohol use initiation, we
exposed female and male Long-Evans rats to early adolescent adversity (EAA). In
adulthood, >50 days following the last adverse experience, threat estimation was
assessed using a novel fear discrimination procedure in which cues predict a
unique probability of footshock: danger (p = 1.00), uncertainty (p = .25), and
safety (p = .00). Alcohol use initiation was assessed using voluntary access to
20% ethanol, >90 days following the last adverse experience. During development,
EAA slowed body weight gain in both females and males. In adulthood, EAA
selectively inflated female threat estimation, exaggerating fear to uncertainty
and safety, but promoted alcohol use initiation across sexes. Meaningful
relationships between threat estimation and alcohol use initiation were not
observed, underscoring the independent effects of EAA. Results isolate the
contribution of EAA to adult threat estimation, alcohol use initiation, and
reveal moderation by biological sex. (PsycINFO Database Record
PMID- 29809048
TI - "Dynamical correlation: A new method for quantifying synchrony with multivariate
intensive longitudinal data": Correction to Liu et al. (2016).
AB - Reports an error in "Dynamical correlation: A new method for quantifying
synchrony with multivariate intensive longitudinal data" by Siwei Liu, Yang Zhou,
Richard Palumbo and Jane-Ling Wang (Psychological Methods, 2016[Sep], Vol 21[3],
291-308). In the article, there were errors in the R script of Appendix B which
could lead to incorrect significance testing results for dynamical correlation.
We created an updated R script with corrections. In the updated R script,
argument "na" from function "ind_DC" and argument "ms" from function
"boot_test_DC" were removed. Codes to check if there is any missing value in the
data, and to compute proportion of missing values in the data were added. A
warning was added when too many missing values are present. In addition, argument
't' is now correctly labeled "a vector of time points where x,y are observed".
The updated R script with corrections can be downloaded from the first author's
personal website: https://siweiliu.weebly.com/publications.html. (The following
abstract of the original article appeared in record 2016-07276-001.) In this
article, we introduce dynamical correlation, a new method for quantifying
synchrony between 2 variables with intensive longitudinal data. Dynamical
correlation is a functional data analysis technique developed to measure the
similarity of 2 curves. It has advantages over existing methods for studying
synchrony, such as multilevel modeling. In particular, it is a nonparametric
approach that does not require a prespecified functional form, and it places no
assumption on homogeneity of the sample. Dynamical correlation can be easily
estimated with irregularly spaced observations and tested to draw population
level inferences. We illustrate this flexible statistical technique with a
simulation example and empirical data from an experiment examining interpersonal
physiological synchrony between romantic partners. We discuss the advantages and
limitations of the method, and how it can be extended and applied in
psychological research. We also provide a set of R code for other researchers to
estimate and test for dynamical correlation. (PsycINFO Database Record
PMID- 29809049
TI - Time limits during visual foraging reveal flexible working memory templates.
AB - During difficult foraging tasks, humans rarely switch between target categories,
but switch frequently during easier foraging. Does this reflect fundamental
limits on visual working memory (VWM) capacity or simply strategic choice due to
effort? Our participants performed time-limited or unlimited foraging tasks where
they tapped stimuli from 2 target categories while avoiding items from 2
distractor categories. These time limits should have no effect if capacity
imposes limits on VWM representations but more flexible VWM could allow observers
to use VWM according to task demands in each case. We found that with time
limits, participants switched more frequently and switch-costs became much
smaller than during unlimited foraging. Observers can therefore switch between
complex (conjunction) target categories when needed. We propose that while
maintaining many complex templates in working memory is effortful and observers
avoid this, they can do so if this fits task demands, showing the flexibility of
working memory representations used for visual exploration. This is in contrast
with recent proposals, and we discuss the implications of these findings for
theoretical accounts of working memory. (PsycINFO Database Record
PMID- 29809050
TI - Fractality of body movements predicts perception of affordances: Evidence from
stand-on-ability judgments about slopes.
AB - We recorded head motion with one wireless marker attached to the back of the head
during quiet stance as participants visually inspected a sloped ramp in order to
perceive whether they might be able to stand on the surface. Participants
responded with "yes" or "no" without attempting to stand on the ramp. As has been
found in dynamic touch (Palatinus, Kelty-Stephen, Kinsella-Shaw, Carello, &
Turvey, 2014), we hypothesized that multiscale fluctuation patterns in bodily
movement during visual observation would predict perceptual judgments. Mixed
effects logistic regression predicted binary affordance judgments as a function
of geographical slant angle, head-motion standard deviation, and multifractal
spectrum width (Ihlen, 2012). Multifractal spectrum width was the strongest
predictor of affordance judgments. Specifically, increased spectrum width
predicted decreased odds of a "yes" answer. Interestingly, standard deviation was
not a significant predictor, reinforcing our prediction that traditional measures
of variability fail to account for what fractal measures of multiscale
interactions can predict about information pickup in perception-action systems.
(PsycINFO Database Record
PMID- 29809051
TI - Atypical biological kinematics are represented during observational practice.
AB - The present study investigated the effect of stimulus-response compatibility on
the representation of atypical biological kinematics during observational
practice. A compatible group observed an atypical model that moved rightward,
whereas an incompatible group observed an atypical model that moved leftward.
Both groups were instructed to observe the model with the intention to later
reproduce the movement trajectory. This was examined in a posttest where
participants were asked to move rightward with a kinematic profile that matched
the atypical kinematics. Compared to a control group that did not engage in
practice, and irrespective of whether the stimulus was observed in a spatially
compatible or incompatible orientation, participants from both experimental
groups reproduced velocity profiles that were comparable and similar to the
atypical biological kinematics. Bayesian analysis indicated equality between the
2 experimental groups, thus suggesting comparable sensorimotor processing.
Therefore, by rotating the incompatible stimulus by 180 degrees during
observational practice, the current study has isolated the processing and
representation of atypical biological kinematics to the underlying sensorimotor
processes, rather than spatial encoding of peak velocity via processes associated
with stimulus-response compatibility. (PsycINFO Database Record
PMID- 29809053
TI - Three-dimensional evaluation of changes in upper airway volume in growing
skeletal Class II patients following mandibular advancement treatment with
functional orthopedic appliances.
AB - OBJECTIVES: The aim of this study was to assess three-dimensionally the upper
airway changes following functional appliance treatment in growing Class II
patients. MATERIALS AND METHODS: Pre-and post-treatment Cone beam computed
tomography scans of 20 patients (age range: 9 to 12; mean: 11.4 +/- 1.0 years)
were retrieved from the list of patients previously treated with functional
appliances in the Postgraduate Clinic at the Section of Orthodontics, Aarhus
University, Denmark. Total and partial volumes of the upper airway (ie, lower
nasopharynx, velopharynx, and oropharynx) were calculated. To rule out the effect
of growth, the changes in the functional appliance group were compared to an age
matched Class I group of 18 patients (age range: 8 to 14; mean: 11.8 +/- 1.4
years). RESULTS: In the functional appliance group, all the partial and total
volumes were significantly larger at the end of treatment when compared to the
start of treatment ( P < .003). On the other hand, when comparing the changes for
the total and partial volumes of the upper airway in the functional appliance
group with the Class I group, a statistical difference was seen only for the
oropharynx ( P = .022) and total volume ( P = .025), with the functional
appliance group showing a larger volume increment. CONCLUSIONS: An increase in
the upper airway volume was found after treatment with functional appliances.
This difference was mainly related to the changes at the oropharynx level, which
differed significantly from what was observed in the Class I group.
PMID- 29809052
TI - Septic cardiomyopathy: The value of lactoferrin and CD15 as specific markers to
corroborate a definitive diagnosis.
AB - Current scientific consensus about the physiopathology in the progression from
severe sepsis to septic shock and death focuses on myocardial contractile
dysfunction. Nevertheless, objective parameters to establish a pathological
correlate of a fatal outcome are lacking; then a cause of death due to sepsis can
remain an unsolved problem. We first reviewed all death cases recorded at our
institutions during the period from 2007 until 2015. Then, we conducted a
retrospective study of a selected autopsy series of people who had received
"sepsis" as cause of death. Two pathologists re-examined the heart sections while
the most suitable myocardial sample for each case was stained for
immunohistochemistry with antibodies targeted for specific inflammatory-related
molecules. We used specific antibodies for the following markers: alpha-smooth
muscle actin (alpha-SMA); fibronectin; matrix metallopeptidase 9 (MMP-9);
intercellular adhesion molecule 1 (ICAM-1); caspase-3; lactoferrin (LF); cluster
differentiation 15 (CD15). The statistical significance of differences was
assessed using student's t-test for unpaired data or non-parametric Mann-Whitney
or Wilcoxon tests for skewed variables or one-way analysis of variance and post
hoc Scheffe's test for continuous variables and Pearson's chi2-test for discrete
variables. Linear regression analysis was used to determine the presence of a
correlation between continuous variables. At our institutions, 2220 deaths have
been recorded during the period study. Sepsis accounted as a cause of death for
the 20% of total. We finally enrolled 56 cases; of these, only 20 were positive
for microbiological analysis. At histological examination, clear inflammation was
detectable in the 32% of cases; otherwise, immunohistochemical reaction showed a
positive reaction for LF and CD15 in more than a half cases (56%). We still
ignore all the underlying mechanisms of sepsis and all its pathophysiological
connections with cardiac metabolism; in this sense, we aim to corroborate the
diagnostic value of anti-LF and anti-CD15 staining for the post-mortem detection
of myocardial inflammation.
PMID- 29809054
TI - Effects of Rapid Weight Loss on Balance and Reaction Time in Elite Judo Athletes.
AB - : Balance, reaction time, and strength are key factors affecting judo
performance. Although ample research has been done examining potential strength
changes caused by weight loss prior to competition, changes in balance and
reaction time have been overlooked. PURPOSE: To examine the effects of rapid and
progressive weight loss (RWL and PWL) on balance, reaction time, and strength in
a group of elite judo athletes. METHODS: A total of 38 female and male judo
athletes (age = 20.6 [2.6] y) completed balance, reaction-time, and strength
assessments 1 wk prior to an official weigh-in (pretest) and immediately after
the weigh-in (posttest). The athletes were divided into 3 groups, 1 control group
who maintained regular training and eating habits, 1 experimental group who
engaged in PWL (<3% reductions in body mass), and a second experimental group who
used RWL techniques (>3% reductions in body mass). RESULTS: The RWL group showed
significant decreases (P < .05) in balance performance (ellipse area: 4.83 [0.87]
vs 6.31 [1.39] mm2 with eyes closed; mean mediolateral velocity: 2.07 [0.2] vs
2.52 [0.45] mm.s-1 with eyes closed; and mean anteroposterior velocity: 2.25
[0.20] vs 2.51 [0.32] mm.s-1 with eyes open and 2.44 [0.26] vs 3.06 [0.56] mm.s-1
with eyes closed) and reaction time (0.38 [0.04] vs 0.42 [0.06] s) with no
changes in strength from pretesting to posttesting. The athletes in the PWL and
control groups maintained performance in all variables. CONCLUSION: These
findings demonstrate negative effects on perceptual motor-skill performance in
judo athletes engaging in RWL strategies prior to competition.
PMID- 29809055
TI - CATT polymorphism in MIF gene promoter is closely related to human pulmonary
tuberculosis in a southwestern China population.
AB - Macrophage migration inhibitory factor (MIF) is deemed as an immunoregulatory and
proinflammatory cytokine related to the progression of tuberculosis. A CATT short
tandem repeat (STR) polymorphism at position -794 in the MIF gene promoter region
is associated with the susceptibility to tuberculosis (TB). To investigate
whether macrophage MIF gene mif CATT variants are associated with susceptibility
to retreatment cases of TB and drug-resistant TB prevalence, genotyping of MIF
794 CATT polymorphism and quantifying of serum MIF were performed to associate
MIF-794 CATT polymorphism with new patients and retreatment cases. Significant
increases in MIF -794 CATT genotypes 7/8 and allele CATT 8 were observed in TB
patients. Significant differences in the genotypic frequencies of MIF -794 CATT
(5/X + 6/X vs 7/7 + 7/8) were demonstrated upon comparing the total cases and the
new cases of TB with the controls. Significant differences in the allelic
frequencies of MIF -794 CATT (5 + 6 vs 7 + 8) were observed in the total cases
and new cases of TB. No differences in the genotypic frequencies of the MIF -794
CATT (5/X + 6/X vs 7/7 + 7/8) were observed between the retreatment cases and the
controls or between the new cases and retreatment cases. In conclusion, the MIF
794 CATT genotypes 7/8 and allele CATT 8 were highly associated with TB; no
differences in the genotypic frequencies of the MIF -794 CATT (5/X + 6/X vs 7/7 +
7/8) were observed between the new cases and retreatment cases.
PMID- 29809056
TI - Effects of Athlete-Dependent Traits on Joint and System Countermovement-Jump
Power.
AB - PURPOSE: To establish the influence of athlete-dependent characteristics on the
generation and timing of system and individual joint powers during a
countermovement jump (CMJ). METHODS: Male national representative athletes from
volleyball (n = 7), basketball (n = 6), and rugby (n = 7) performed a set of 3
CMJs at relative barbell loads of 0%, 10%, 20%, 30%, and 40% of absolute back
squat strength. Ground-reaction forces and joint kinematics were captured using a
16-camera motion-capture system integrated with 2 in-ground force plates. Limb
lengths and cross-sectional areas were defined using 3-dimensional photonic
scans. A repeated-measures analysis of variance determined the interaction
between system and joint load-power profiles, whereas a multiregression analysis
defined the explained variance of athlete-dependent characteristics on the load
that maximized system power. RESULTS: System and isolated hip, knee, and ankle
peak powers were maximized across a spectrum of loads between and within sports;
power values were not significantly different across loads. A positive shift in
the timing of hip and ankle peak powers corresponded to a significant (P < .05)
positive shift in the timing of system peak power to occur closer to toe-off. An
optimal 3-input combination of athlete-dependent characteristics accounted for
68% (P < .001) of the explained variance in the load that maximized system peak
power. CONCLUSION: The load maximizing system power is athlete-dependent, with a
mixture of training and heredity-related characteristics influencing CMJ load
power profiles. The authors recommend that a combination of relative loads be
individually prescribed to maximize the generation and translation of system CMJ
power.
PMID- 29809057
TI - TNF-alpha serum levels are elevated in women with clinically symptomatic uterine
fibroids.
AB - Uterine fibroids (UFs) are one of the most common pathologies of the female
genital tract. The incidence of UFs has been estimated at 25-80%. Tumor necrosis
factor (TNF)-alpha is a cell-signaling protein involved in systemic inflammation
and is one of the cytokines responsible for the acute phase reaction. The aim of
the study was to evaluate the impact of clinically symptomatic UFs on TNF-alpha
serum levels. A total of 149 Caucasian women were included: 85 patients admitted
for surgery due to clinically symptomatic UFs (n = 85; study group) and 64 age
matched UF-free controls (n = 64). TNF-alpha serum concentrations between the
groups were compared. Receiver operating characteristic (ROC) curves were also
used as a statistical model to evaluate TNF-alpha as a marker for UFs. Mean TNF
alpha serum concentration in the study group was 0.34 +/- 0.14 pg/mL; (in half of
the subjects, the level did not exceed 0.39 pg/mL. Mean TNF-alpha serum
concentration in the control group was 0.17 +/- 0.09 pg/mL; in half of the
subjects, the level did not exceed 0.14 pg/mL. The difference was statistically
significant. Using the area under the ROC curve, we found that TNF-alpha serum
concentration of 0.34 pg/mL can be used as a predictor for UFs in selected
populations. In our study, we confirmed higher TNF-alpha serum concentrations in
women with clinically symptomatic UFs.
PMID- 29809059
TI - Comparative effectiveness of a bilayered living cellular construct and an
acellular fetal bovine collagen dressing in the treatment of venous leg ulcers.
AB - AIM: To compare the effectiveness of bilayered living cellular construct (BLCC)
and an acellular fetal bovine collagen dressing (FBCD) for the treatment of
venous leg ulcers. METHODS: Data from WoundExpert(r) (Net Health, PA, USA) was
used to analyze 1021 refractory venous leg ulcers treated at 177 facilities.
RESULTS: Kaplan-Meier analyses showed that BLCC (893 wounds) was superior to FBCD
(128 wounds), p = 0.01 for: wound closure by weeks 12 (31 vs 25%), 24 (55 vs 43%)
and 36 (68 vs 53%); reduction in time to wound closure of 37%, (19 vs 30 weeks);
and improvement in the probability of healing by 45%. CONCLUSION: BLC versus FBCD
showed significant differences in both time to and frequency of healing
suggesting that BLCC may provide significant cost savings compared with FBCD.
PMID- 29809060
TI - Error-Correction Processing in Timing Lights for Measuring Sprint Performance:
Does It Work?
AB - PURPOSE: To investigate if error-correction-processing (ECP) algorithms in timing
lights are able to eliminate or reduce measurement errors (MEs) and false signals
due to swinging arms or legs. METHODS: First, a dummy was used to check if ECP
generally works. Second, 15 male sport students performed sprints over 5 and 10
m. Timing lights with ECP and a high-speed camera as a gold standard were used to
simultaneously capture the athletes when passing the timing lights at start, 5 m,
and 10 m. MEs of the timing lights were calculated for hip and upper body.
RESULTS: The dummy condition revealed that ECP is able to eliminate MEs. In real
sprint conditions, MEs were highest for timing light at start and when using the
hip as a reference. Overall, out of 120 trials, only 4 false signals were not
detected by ECP. They all occurred at the start timing light, with highest MEs
being 0.263 s (hip) and 0.134 s (upper body). Regarding 5 and 10 m, all false
signals were eliminated. CONCLUSIONS: As proven through video analyses, ECP
eliminated almost all false signals. The largest MEs at the start timing light
were associated with a distinct forward leaning of the athletes. Therefore, clear
instructions concerning starting posture should be given to further improve
measurement accuracy of the start timing light. This approach could also enhance
comparisons between athletes. Nevertheless, based on the results, timing lights
employing ECP can be recommended for measuring short sprints.
PMID- 29809058
TI - Neurotoxin-Induced Animal Models of Parkinson Disease: Pathogenic Mechanism and
Assessment.
AB - Parkinson disease (PD) is the second most common neurodegenerative movement
disorder. Pharmacological animal models are invaluable tools to study the
pathological mechanisms of PD. Currently, invertebrate and vertebrate animal
models have been developed by using several main neurotoxins, such as 6
hydroxydopamine, 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine, paraquat, and
rotenone. These models achieve to some extent to reproduce the key features of
PD, including motor defects, progressive loss of dopaminergic neurons in
substantia nigra pars compacta, and the formation of Lewy bodies. In this review,
we will highlight the pathogenic mechanisms of those neurotoxins and summarize
different neurotoxic animal models with the hope to help researchers choose among
them accurately and to promote the development of modeling PD.
PMID- 29809061
TI - Divergent Performance Outcomes Following Resistance Training Using Repetition
Maximums or Relative Intensity.
AB - PURPOSE: The purpose of our investigation was to compare repetition maximum (RM)
to relative intensity using sets and repetitions (RISR) resistance training (RT)
on measures of training load, vertical jump, and force production in well-trained
lifters. METHODS: Fifteen well-trained (isometric peak force= 4403.61+664.69 N,
mean+SD) males underwent RT 3 d.wk-1 for 10-weeks in either an RM group (n=8) or
RISR group (n=7). Weeks 8-10 consisted of a tapering period for both groups. The
RM group achieved a relative maximum each day while the RISR group trained based
on percentages. Testing at five time-points included unweighted (<1kg) and 20kg
squat jumps (SJ), counter-movement jumps (CMJ), and isometric mid-thigh pulls
(IMTP). Mixed design ANOVAs and effect size using Hedge's g were used to assess
within and between-group alterations. RESULTS: Moderate between-group effect
sizes were observed for all SJ and CMJ conditions supporting the RISR group
(g=0.76-1.07). A small between-group effect size supported RISR for
allometrically-scaled isometric peak force (g=0.20). Large and moderate between
group effect sizes supported RISR for rate of force development from 0-50ms
(g=1.25) and 0-100ms (g=0.89). Weekly volume load displacement was not different
between groups (p>0.05), however training strain was statistically greater in the
RM group (p<0.05). CONCLUSIONS: Overall, this study demonstrated that RISR
training yielded greater improvements in vertical jump, rate of force
development, and maximal strength compared to RM training, which may partly be
explained by differences in the imposed training stress and the use of
failure/non-failure training in a well-trained population.
PMID- 29809063
TI - The Reliability of 4-min and 20-min Time Trials and Their Relationships to
Functional Threshold Power in Trained Cyclists.
AB - PURPOSE: The mean power output (MPO) from a 60-min time trial (TT) - also known
as "functional threshold power" or "FTP" - is a standard measure of cycling
performance; however, shorter performance tests are desirable to reduce the
burden of performance testing. We sought to determine the reliability of 4-min
and 20-min TTs and the extent to which these short TTs were associated with 60
min MPO. METHODS: Trained male cyclists (n = 8; age = 25 +/- 5 years; VO2max = 71
+/- 5 mL/kg/min) performed two 4-min TTs, two 20-min TTs, and one 60-min TT.
Critical power (CP) was estimated from 4-min and 20-min TTs. The typical error of
the mean (TEM) and intraclass correlation (ICC) were calculated to assess
reliability, and R2 values were calculated to assess relationships with 60-min
MPO. RESULTS: Pairs of 4-min TTs (Mean: 417 [SD: 45] W vs. 412 [49] W, p. = 0.25;
TEM = 8.1 W; ICC = 0.98), 20-min TTs (342 [36] W vs. 344 [33] W, p = 0.41; TEM =
4.6 W; ICC = 0.99), and CP estimates (323 [35] W vs. 328 [32] W, p = 0.25; TEM =
6.5; ICC = 0.98) were reliable. The 4-min MPO (R2 = 0.95), 20-min MPO (R2 =
0.92), estimated CP (R2 = 0.82), and combination of the 4-min and 20-min MPO
(adj. R2 = 0.98) were strongly associated with the 60-min MPO (309 [26] W).
CONCLUSION: The 4-min and 20-min TTs appear useful for assessing performance in
trained, if not elite, cyclists.
PMID- 29809062
TI - Changes in circulating microRNA-126 levels are associated with immune imbalance
in children with acute asthma.
AB - Regulation of the immune response in asthma is complex. MicroRNA-126 (miR-126)
expression has been implicated in this response, so we sought to determine the
clinical significance of miR-126 measured in the peripheral blood. A total of 80
children with acute asthma were selected to participate in the study and were
compared to 80 healthy children. The relative circulating miR-126 levels,
interleukin (IL)-4 levels, and the Th17 cell percentage in the peripheral blood
of children in the case group were significantly higher than those in the control
group, while the interferon (IFN)-gamma levels and the CD4+CD25+Treg cell
percentage were significantly lower than those in the control group. Along with
the aggravation of the disease, the relative levels of miR-126 and IL-4 and the
percentage of Th17 cells increased gradually, while the IFN-gamma levels and the
CD4+CD25+Treg cell percentage decreased. The relative level of miR-126 in the
peripheral blood of children with asthma was positively correlated with IL-4 and
the Th17 cell percentage and was negatively correlated with IFN-gamma levels,
CD4+CD25+Treg cell percentage and lung function indicators. The relative level of
miR-126 was correlated with the Th17 cell percentage in the peripheral blood,
forced vital capacity (FVC), and forced expiratory flow (FEF)75% of the children
with asthma. The relative levels of miR-126 and IL-4 and the Th17 cell percentage
were positively correlated with the severity of the asthma, while IFN-gamma
levels and the CD4+CD25+Treg cell percentage were negatively correlated with the
severity of the asthma. CD4+CD25+Treg cell percentage and relative miR-126 levels
were of the most predictive value in the diagnosis of asthma. Our findings show
that the overexpression of miR-126 in acute asthma is correlated with signs of
immune imbalance and is predictive of the severity of the disease, suggesting
that it could be used as a potential serological marker for asthma diagnosis and
evaluation.
PMID- 29809064
TI - Fortune Favors the Brave: Tactical Behaviors in the Middle-Distance Running
Events at the 2017 IAAF World Championships.
AB - PURPOSE: To assess tactical and performance factors associated with progression
from qualification rounds in the 800-m and 1500-m running events at the 2017
International Association of Athletics Federations World Championships. METHODS:
Official results were used to access final and intermediate positions and times,
as well as performance characteristics of competitors. Shared variance between
intermediate positions and rank order lap times with finishing positions were
calculated, along with probability of automatic qualification, for athletes in
each available race position at the end of every 400-m lap. Differences in race
positions and lap times relative to season's best performances were assessed
between automatic qualifiers, fastest losers, and nonqualifiers. RESULTS: Race
positions at the end of each 400-m lap remained more stable through 800-m races
than 1500-m races. Probability of automatic qualification decreased with both
race position and rank order lap times on each lap, although rank order lap times
accounted for a higher degree of shared variance than did intermediate position.
In the 1500-m event, fastest losers ran at a higher percentage of season's best
speed and adopted positions closer to the race lead in the early stages. This was
not the case in the 800-m. CONCLUSIONS: Intermediate positioning and the ability
to produce a fast final race segment are strongly related to advancement from
qualification rounds in middle-distance running events. The adoption of a more
"risky" strategy characterized by higher speeds relative to season's best may be
associated with an increased likelihood of qualification as fastest losers in the
1500-m event.
PMID- 29809065
TI - Countermovement Jump Recovery in Professional Soccer Players Using an Inertial
Sensor.
AB - PURPOSE: The purpose of this study was to assess the utility of an inertial
sensor for assessing recovery in professional soccer players. METHODS: In a
randomized, crossover design, 11 professional soccer players wore shorts fitted
with phase change material (PCM) cooling packs or uncooled packs (control) for 3
h after a 90 minute match. Countermovement jump (CMJ) performance was assessed
simultaneously with an inertial sensor and an optoelectric system, pre match, and
12, 36 and 60 h post match. Inertial sensor metrics were flight height, jump
height, low force, countermovement distance, force at low point, rate of
eccentric force development, peak propulsive force, maximum power, and peak
landing force. The only optoelectric metric was flight height. CMJ decrements,
and effect of PCM cooling were assessed with repeated measures ANOVA. Jump
heights were also compared between devices. RESULTS: For the inertial sensor data
there were decrements in CMJ height on the days after matches (88+/-10% of
baseline at 36 h P=0.012, effect size 1.2, for control condition) and accelerated
recovery with PCM cooling (105+/-15% of baseline at 36 h, P=0.018 vs. control,
effect size 1.1). Flight heights were strongly correlated between devices
(r=0.905, P<0.001) but inertial sensor values were 1.8+/-1.8 cm lower (P=0.008).
Low force during countermovement was increased (P=0.031) and landing force was
decreased (P=0.043) after matches, but neither were affected by the PCM cooling
intervention. Other CMJ metrics were unchanged after matches. CONCLUSIONS: This
small portable inertial sensor provides a practical means of assessing recovery
in soccer players.
PMID- 29809066
TI - Lumbar Hyperextension in Baseball Pitching: A Potential Cause of Spondylolysis.
AB - Symptomatic spondylolysis/spondylolisthesis is thought to be caused by repetitive
lumbar extension. About 8.9% of baseball pitchers that experience back pain will
be diagnosed with spondylolysis. Therefore, this study aims to identify and
quantify lumbar extension experienced during baseball pitching. It was
hypothesized that young pitchers would exhibit less lumbar extension than older
pitchers. A total of 187 healthy pitchers were divided into 3 age groups: youth,
adolescent, and college. Kinematic data were collected at 250 Hz using a 3-D
motion capture system. Lumbar motion was calculated as the difference between
upper thoracic motion and pelvic motion over the pitching cycle. Lumbar
"hyperextension" was defined as >=20 degrees past neutral. College pitchers had
significantly greater lumbar extension compared with youth and adolescent
pitchers at the point of maximum external rotation of the glenohumeral joint
during the pitch cycle (-25 degrees [13 degrees ], P = .04). For all age groups,
lumbar hyperextension was present during the first 66% of the pitch cycle. Most
pitchers spent 45% of pitch cycle in >=30 degrees of lumbar extension.
Understanding that lumbar extension and hyperextension are components of the
complex, multiplanar motions of the spine associated with baseball pitching can
potentially help in both the prevention and management of symptomatic
spondylolysis/spondylolisthesis.
PMID- 29809067
TI - Interlimb Force Coordination in Bipedal Dance Jumps: Comparison Between Experts
and Novices.
AB - Bipedal tasks require interlimb coordination that improves with practice and
acquisition of skills. The purpose of this study was to compare interlimb force
coordination during dance-specific rate-controlled consecutive bipedal jumps
(sautes) between expert dancers and nondancers. To analyze coordination of
vertical ground reaction forces recorded under each leg, the vector coding
approach was used. Although there were no differences in the patterns of
interlimb force coordination between groups, the dancers exhibited less
variability of interlimb force coordination during the transition phase from
weight acceptance to propulsion as well as during the propulsion phase itself.
The interlimb force coordination variability was associated with task performance
only during the transition phase, which highlights the potential importance of
control during this phase. In conclusion, expert dancers were better at reducing
interlimb force coordination variability during the task-relevant transition
phase, which was related to better performance at maintaining jump rate and jump
height consistency.
PMID- 29809068
TI - 1RM Measures or Maximum Bar-Power Output: Which is More Related to Sport
Performance?
AB - PURPOSE: This study compared the associations between optimum power loads and 1
repetition maximum (1RM) values (assessed in half-squat [HS] and jump squat [JS]
exercises) and multiple performance measures in elite athletes. METHODS: Sixty
one elite athletes (fifteen Olympians) from four different sports (track and
field [sprinters and jumpers], rugby sevens, bobsled, and soccer) performed squat
and countermovement jumps, HS exercise (for assessing 1RM), HS and JS exercises
(for assessing bar-power output), and sprint tests (60-m for sprinters and
jumpers and 40-m for the other athletes). Pearson's product moment correlation
test was used to determine relationships between 1RM and bar-power outputs with
vertical jumps and sprint times in both exercises. RESULTS: Overall, both
measurements were moderately to near perfectly related to speed performance (r
values varying from -0.35 to -0.69 for correlations between 1RM and sprint times,
and from -0.36 to -0.91 for correlations between bar-power outputs and sprint
times; P< 0.05). However, on average, the magnitude of these correlations was
stronger for power-related variables, and only the bar-power outputs were
significantly related to vertical jump height. CONCLUSIONS: The bar-power outputs
were more strongly associated with sprint-speed and power performance than the
1RM measures. Therefore, coaches and researchers can use the bar-power approach
for athlete testing and monitoring. Due to the strong correlations presented, it
is possible to infer that meaningful variations in bar-power production may also
represent substantial changes in actual sport performance.
PMID- 29809070
TI - Measuring Grandiose and Vulnerable Narcissism in Children and Adolescents: The
Narcissism Scale for Children.
AB - Clinical and empirical research have consistently distinguished two dimensions of
narcissism: grandiose narcissism and vulnerable narcissism. However, to date
there is no psychometrically validated measure of grandiose and vulnerable
narcissism for children. A measure that assesses both expressions of narcissism
in children and adolescents is necessary to understand the causes and
consequences of narcissistic self-views prior to adulthood. In this article, four
studies are presented documenting the construction and psychometric properties of
a 15-item Narcissism Scale for Children, adapted from the (adult) Narcissism
Scale. Partial confirmatory factor analysis supported two dimensions of
narcissism in children (Study 1) and adolescents (Study 4), with evidence for
good validity and reliability (Studies 1-4). As in adults, trait narcissism in
children and adolescents consists of both grandiose and vulnerable dimensions.
Enabling the measurement of multidimensional narcissism prior to adulthood has
important implications for narcissism theory and future research.
PMID- 29809071
TI - Measurement Invariance of the Ruminative Responses Scale Across Gender.
AB - Although women demonstrate higher levels of rumination than men, it is unknown
whether instruments used to measure rumination have the same psychometric
properties for women and men. To examine this question, we evaluated measurement
invariance of the brooding and reflection subscales from the Ruminative Responses
Scale (RRS) by gender, using data from four samples of undergraduates from three
universities within the United States ( N = 4,205). A multigroup confirmatory
factor analysis revealed evidence for configural, metric, and scalar invariance
of the covariance structure of the 10-item version of the RRS. There were
statistically significant latent mean differences between women and men, with
women scoring significantly higher than men on both brooding and reflection.
These findings suggest that the 10-item version of the RRS provides an assessment
of rumination that is psychometrically equivalent across gender. Consequently,
gender differences in brooding and reflection likely reflect valid differences
between women and men.
PMID- 29809069
TI - Validity and Normative Data for the Biber Figure Learning Test: A Visual
Supraspan Memory Measure.
AB - The Biber Figure Learning Test (BFLT), a visuospatial serial figure learning
test, was evaluated for biological correlates and psychometric properties, and
normative data were generated. Nondemented individuals ( n = 332, 73 +/- 7, 41%
female) from the Vanderbilt Memory & Aging Project completed a comprehensive
neuropsychological protocol. Adjusted regression models related BFLT indices to
structural brain magnetic resonance imaging and cerebrospinal fluid (CSF) markers
of brain health. Regression-based normative data were generated. Lower BFLT
performances (Total Learning, Delayed Recall, Recognition) related to smaller
medial temporal lobe volumes and higher CSF tau concentrations but not CSF
amyloid. BFLT indices were most strongly correlated with other measures of verbal
and nonverbal memory and visuospatial skills. The BFLT provides a comprehensive
assessment of all aspects of visuospatial learning and memory and is sensitive to
biomarkers of unhealthy brain aging. Enhanced normative data enriches the
clinical utility of this visual serial figure learning test for use with older
adults.
PMID- 29809072
TI - Concurrent Training for Sports Performance: The Two Sides of the Medal.
AB - The classical work by Robert C. Hickson showed in 1980 that the addition of a
resistance training protocol to a predominantly aerobic program could lead to
impaired leg strength adaptations in comparison to a resistance-only training
regimen. This interference phenomenon was later highlighted in many reports,
including a meta-analysis. However, it seems that the interference effect has not
been consistently reported, probably because of the complex interactions between
training variables and methodological issues. On the other side of the medal, Dr
Hickson and colleagues subsequently (1986) reported that a strength training
mesocycle could be beneficial for endurance performance in running and cycling.
In recent meta-analyses and review articles, it was demonstrated that such a
training strategy could improve middle- and long-distance performance in many
disciplines (running, cycling, cross-country skiing and swimming). Interestingly,
it appears that improvements in the energy cost of locomotion could be associated
with these performance enhancements. Despite these benefits, it was also reported
that strength training could represent a detrimental stimulus for endurance
performance if an inappropriate training plan has been prepared. Taken together,
these observations suggest that coaches and athletes should be careful when
concurrent training seems imperative in order to meet the complex physiological
requirements of their sport. Therefore, this brief review will present a
practical appraisal of concurrent training for sports performance. In addition,
recommendations will be provided so that practitioners could adapt their
interventions based on the training objectives.
PMID- 29809073
TI - Muscle Activity Patterns do not Differ Between Push-Up and Bench Press Exercises.
AB - Popular topics for upper-body resistance training involve the differences between
hand positions, open versus closed chain exercises, and movement variations for
the novice to the advanced. It was hypothesized that there will be no difference
between closed (push-up) versus open (bench press) chain exercises for the
primary muscle group activity nor would there be a difference between push-ups on
the toes versus knees with respect to the percent contribution of each muscle.
Surface muscle activity was measured for 8 upper-body and core muscles during a
sequence of push-up and bench press variations with a normalized weight for 12
active men. Each participant completed push-ups and bench press exercises at each
of 3 hand positions. The results demonstrated that there were few differences
between closed versus open chain exercises for the primary muscle groups with the
exception of core activation. In addition, in general, narrow hand positions
yielded greater activation, and there were no significant differences between
push-ups on the toes versus knees with respect to the percent contribution for
the primary muscle groups. In conclusion, closed chain exercises may be preferred
for functional training, and knee push-ups may be ideal as a novice push-up
variation.
PMID- 29809074
TI - Changes in Posture Following a Single Session of Long-Duration Water Immersion.
AB - Transitioning between different sensory environments is known to affect
sensorimotor function and postural control. Water immersion presents a novel
environmental stimulus common to many professional and recreational pursuits, but
is not well-studied with regard to its sensorimotor effects upon transitioning
back to land. The authors investigated the effects of long-duration water
immersion on terrestrial postural control outcomes in veteran divers. Eleven
healthy men completed a 6-hour thermoneutral pool dive (4.57 m) breathing diver
air. Center of pressure was observed before and 15 minutes after the dive under 4
conditions: (1) eyes open/stable surface (Open-Stable); (2) eyes open/foam
surface (Open-Foam); (3) eyes closed/stable surface (Closed-Stable); and (4) eyes
closed/foam surface (Closed-Foam). Postdive decreases in postural sway were
observed in all testing conditions except for Open-Stable. The specific pattern
of center of pressure changes in the postdive window is consistent with (1) a
stiffening/overregulation of the ankle strategy during Open-Foam, Closed-Stable,
and Closed-Foam or (2) acute upweighting of vestibular input along with
downweighting of somatosensory, proprioceptive, and visual inputs. Thus, our
findings suggest that postimmersion decreases in postural sway may have been
driven by changes in weighting of sensory inputs and associated changes in
balance strategy following adaptation to the aquatic environment.
PMID- 29809075
TI - A randomized, double-blind study of SHP465 mixed amphetamine salts extended
release in adults with ADHD using a simulated adult workplace design.
AB - OBJECTIVES: The objective of this paper was to evaluate the efficacy, duration of
effect, and tolerability of SHP465 mixed amphetamine salts (MAS) extended-release
versus placebo and immediate-release MAS (MAS IR) in adults with attention
deficit/hyperactivity disorder (ADHD). METHODS: Adults with ADHD Rating Scale,
Version IV (ADHD-RS-IV) scores >=24 were randomized to SHP465 MAS (50 or 75 mg),
placebo, or 25 mg MAS IR in a double-blind, three-period, crossover study using a
simulated adult workplace environment. On the final day of each 7-day treatment
period, efficacy was assessed for 16 h postdose. Primary efficacy analyses for
Permanent Product Measure of Performance (PERMP) total score averaged across all
postdose assessments and each postdose time point were conducted in the intent-to
treat population using a mixed linear model. Secondary end-points included PERMP
problems attempted and answered correctly and ADHD-RS-IV scores based on
clinician ratings of counselor observations using the Time Segment Rating System
and participant self-report. Tolerability assessments included treatment-emergent
adverse events (TEAEs) and vital signs. RESULTS: Least squares mean (95% CI)
treatment differences (combined 50/75 mg SHP465 MAS-placebo) significantly
favored SHP465 MAS over placebo for PERMP total score averaged across all
postdose assessments (18.38 [11.28, 25.47]; P < .0001) and at each postdose
assessment (all P < .02). Nominal superiority of MAS IR over placebo for PERMP
total score averaged across all postdose assessments was observed (nominal P =
.0001); treatment differences between SHP465 MAS and MAS IR were not significant
(nominal P = .2443). The two most frequently reported TEAEs associated with
SHP465 MAS were insomnia (36.5%) and anorexia (21.2%). Mean increases in pulse
and blood pressure with SHP465 MAS exceeded those of placebo. CONCLUSIONS: SHP465
MAS (combined 50/75 mg) significantly improved PERMP total score versus placebo,
with superiority observed from 2 to 16 h postdose. The tolerability profile of
SHP465 MAS was similar to previous reports of SHP465 MAS in adults with ADHD.
CLINICAL TRIAL REGISTRATION: https://clinicaltrials.gov/ct2/show/NCT00928148
identifier is NCT00928148.
PMID- 29809076
TI - Improvement of Flutter-Kick Performance in Novice Surface Combat Swimmers With
Increased Hip Strength.
AB - PURPOSE: To examine strength, range of motion, anthropometric, and physiological
contributions to novice surface-combat-swimming (sCS) performance and establish
differences from freestyle-swimming (FS) performance to further understand the
transition of FS to sCS performance. METHODS: A total of 13 competitive swimmers
(7 male and 6 female; 27.7 [2.3] y; 176.2 [2.6] cm; 75.4 [3.9] kg) completed 8
testing sessions consisting of the following: physiological land-based
measurements for maximal anaerobic and aerobic capacity and upper- and lower
extremity strength and range of motion, an sCS anaerobic capacity swim test
measuring peak and mean force and fatigue index, 2 aerobic capacity tests
measuring maximal aerobic capacity for both FS and sCS, and four 500-m
performance swims for time, 1 FS, and 3 sCS. Separate multiple linear-regression
analysis was used to analyze predictors of both sCS and FS performance models.
RESULTS: FS performance was predicted by the final FS maximal oxygen uptake with
an R2 of 42.03% (F1,10 = 7.25; P = .0226), whereas sCS performance was predicted
by isometric hip-extension peak strength with an R2 of 41.46% (F1,11 = 7.79; P =
.0176). CONCLUSIONS: Results demonstrate that different physiological
characteristics predict performance, suggesting that an altered strategy is used
for novice sCS than FS. It is suggested that this may be due to the added
constraints as mandated by mission requirements including boots, weighted gear,
and clandestine requirements with hips lowered beneath the surface. Further
research should examine the kinematics of the sCS flutter kick to improve
performance by developing training strategies specific for the task.
PMID- 29809077
TI - Running Economy: Neuromuscular and Joint Stiffness Contributions in Trained
Runners.
AB - : It is debated whether running biomechanics make good predictors of running
economy, with little known information about the neuromuscular and joint
stiffness contributions to economical running gait. PURPOSE: The aim of this
study was to understand the relationship between certain neuromuscular and
spatiotemporal biomechanical factors associated with running economy. METHODS:
Thirty trained runners performed a 6-minute constant-speed running set at 3.3 m?s
1, where oxygen consumption was assessed. Overground running trials were also
performed at 3.3 m?s-1 to assess kinematics, kinetics and muscle activity.
Spatiotemporal gait variables, joint stiffness, pre-activation and stance phase
muscle activity (gluteus medius; rectus femoris (RF); biceps femoris(BF);
peroneus longus (PL); tibialis anterior (TA); gastrocnemius lateralis and medius
(LG and MG) were variables of specific interest and thus determined.
Additionally, pre-activation and ground contact of agonist:antagonist co
activation were calculated. RESULTS: More economical runners presented with short
ground contact times (r=0.639, p<0.001) and greater strides frequencies (r=
0.630, p<0.001). Lower ankle and greater knee stiffness were associated with
lower oxygen consumption (r=0.527, p=0.007 & r=0.384, p=0.043, respectively).
Only LG:TA co-activation during stance were associated with lower oxygen cost of
transport (r=0.672, p<0.0001). CONCLUSIONS: Greater muscle pre-activation and bi
articular muscle activity during stance were associated with more economical
runners. Consequently, trained runners who exhibit greater neuromuscular
activation prior to and during ground contact, in turn optimise spatiotemporal
variables and joint stiffness, will be the most economical runners.
PMID- 29809078
TI - A Comprehensive Method to Measure 3-Dimensional Bra Motion During Physical
Activity.
AB - Breast and bra motion research aims to understand how the breasts/bra move to aid
development of apparel that minimizes motion. Most previously published research
has tracked nipple motion to represent bra motion. However, this method does not
provide information regarding regional tissue motion. A more comprehensive
approach might facilitate understanding how the entire soft-tissue mass moves
during physical activities. This study developed and tested an objective method
to comprehensively measure 3-dimensional bra motion, including regional
displacement and velocity, displacement phasing, and surface stretch. To test the
method, 6 females were fitted with a minimally supportive, seamless bra (small
bra n = 3; large bra n = 3). Data were collected as participants ran on a
treadmill. Results indicated marker displacement, velocity, link stretch, and
link stretch velocities reached as high as 52.6 (6.8) mm, 504.8 (88.7) mm/s,
29.5% (7.1%) of minimum length, and 3.8 (1.0) mm/s/mm, respectively, with the
large bra having greater motions compared with the small. Most bra motion
occurred above/below the nipple region and at the bra's strap-body interface,
independent of bra size. Importantly, maximum marker displacement and velocity
did not occur at the nipple. Measurements obtained from this new method may be
important for designing innovative clothing that minimizes bra motion during
physical activity.
PMID- 29809080
TI - Training Prescription Guided by Heart Rate Variability in Cycling.
AB - PURPOSE: Road cycling is a sport with extreme physiological demands. Therefore,
there is a need to find new strategies to improve performance. Heart rate
variability (HRV) has been suggested as an effective alternative for prescribing
training load against predefined training programs. The purpose of this study is
to examine the effect of training prescription based on HRV in road cycling
performance. METHODS: Seventeen well-trained cyclists participated in this study.
After an initial evaluation week (EW), cyclists performed 4 baseline weeks (BW)
of standardized training to establish their resting HRV. Then, cyclists were
divided into two groups, a HRV-guided group (HRV-G) and a traditional
periodization group (TRAD) and they carried out 8 training weeks (TW). Cyclists
performed two EW, after and before TW. During the EW, cyclists performed: (1) a
graded exercise test to assess VO2max, peak power output (PPO) and ventilatory
thresholds with their corresponding power output (VT1, VT2, WVT1, and WVT2,
respectively) and (2) a 40-min simulated time-trial. RESULTS: HRV-G improved PPO
(5.1 +/- 4.5 %; p = 0.024), WVT2 (13.9 +/- 8.8 %; p = 0.004) and 40TT (7.3 +/-
4.5 %; p = 0.005). VO2max and WVT1 remained similar. TRAD did not improve
significantly after TW. There were no differences between groups. However,
magnitude-based inference analysis showed likely beneficial and possibly
beneficial effects for HRV-G instead of TRAD in 40TT and PPO, respectively.
CONCLUSIONS: Daily training prescription based on HRV could result in a better
performance enhancement than a traditional periodization in well-trained
cyclists.
PMID- 29809079
TI - Effect of Impact Mechanism on Head Accelerations in Men's Lacrosse Athletes.
AB - Quantifying head impacts is a vital component to understanding and preventing
head trauma in sport. Our objective was to establish the frequency and magnitude
of head impact mechanisms in men's lacrosse athletes. Eleven male lacrosse
athletes wore xPatch sensors during activity. Video footage of practices and
games was analyzed to verify impacts and code them with impact mechanisms. The
authors calculated incidence rates (IRs) per 1000 exposures with corresponding
95% confidence intervals (CIs) and used multivariate analysis of variances to
compare the linear (g) and rotational (rad/s2) accelerations between mechanisms.
A total of 167 head impacts were successfully verified and coded with a mechanism
using video footage during 542 total exposures. The highest IR was head to body
(IR = 118.08; 95% CI, 89.15-147.01), and the lowest was head to ball (IR = 3.69;
95% CI, 0-8.80) (incidence rate ratio = 32.00; 95% CI, 67.83-130.73). Analysis
indicated that impact mechanism failed to significantly alter the combined
dependent variables (multivariate F10,306 = 1.79, P = .06, eta2 = .06, 1-beta =
0.83). While head to head, body to head, and stick to head mechanisms are penalty
inducing offenses in men's lacrosse, head to ground, head to ball, and
combination impacts have similar head accelerations. If penalties and rules are
created to protect players from traumatic head injury, the authors recommend
stricter enforcement.
PMID- 29809081
TI - Prediction of Knee Joint Contact Forces From External Measures Using Principal
Component Prediction and Reconstruction.
AB - Abnormal loading of the knee joint contributes to the pathogenesis of knee
osteoarthritis. Gait retraining is a noninvasive intervention that aims to reduce
knee loads by providing audible, visual, or haptic feedback of gait parameters.
The computational expense of joint contact force prediction has limited real-time
feedback to surrogate measures of the contact force, such as the knee adduction
moment. We developed a method to predict knee joint contact forces using motion
analysis and a statistical regression model that can be implemented in near real
time. Gait waveform variables were deconstructed using principal component
analysis, and a linear regression was used to predict the principal component
scores of the contact force waveforms. Knee joint contact force waveforms were
reconstructed using the predicted scores. We tested our method using a
heterogenous population of asymptomatic controls and subjects with knee
osteoarthritis. The reconstructed contact force waveforms had mean (SD) root mean
square differences of 0.17 (0.05) bodyweight compared with the contact forces
predicted by a musculoskeletal model. Our method successfully predicted subject
specific shape features of contact force waveforms and is a potentially powerful
tool in biofeedback and clinical gait analysis.
PMID- 29809082
TI - OpenSim Versus Human Body Model: A Comparison Study for the Lower Limbs During
Gait.
AB - Musculoskeletal modeling and simulations have become popular tools for analyzing
human movements. However, end users are often not aware of underlying modeling
and computational assumptions. This study investigates how these assumptions
affect biomechanical gait analysis outcomes performed with Human Body Model and
the OpenSim gait2392 model. The authors compared joint kinematics, kinetics, and
muscle forces resulting from processing data from 7 healthy adults with both
models. Although outcome variables had similar patterns, there were statistically
significant differences in joint kinematics (maximal difference: 9.8 degrees
[1.5 degrees ] in sagittal plane hip rotation), kinetics (maximal difference:
0.36 [0.10] N.m/kg in sagittal plane hip moment), and muscle forces (maximal
difference: 8.51 [1.80] N/kg for psoas). These differences might be explained by
differences in hip and knee joint center locations up to 2.4 (0.5) and 1.9 (0.2)
cm in the posteroanterior and inferosuperior directions, respectively, and by the
offset in pelvic reference frames of about 10 degrees around the mediolateral
axis. The choice of model may not influence the conclusions in clinical settings,
where the focus is on interpreting deviations from the reference data, but it
will affect the conclusions of mechanical analyses in which the goal is to obtain
accurate estimates of kinematics and loading.
PMID- 29809083
TI - Evaluating Performance During Maximum Effort Vertical Jump Landings.
AB - The ability to rapidly complete a jump landing has received little attention in
the literature despite the need for rapid performance in a number of sports. As
such, our purpose was to investigate differences between groups of individuals
who land quickly (FAST) and slowly (SLOW) relative to peak vertical ground
reaction forces (vGRFs), loading rates, rates of vGRF attenuation, contributions
to lower extremity mechanical energy absorption at the involved joints, and the
onsets of preparatory joint flexion/dorsiflexion. Twenty-four healthy adults
(26.1 [3.3] y, 75.7 [18.9] kg, 1.7 [0.1] m) were stratified into FAST and SLOW
groups based on landing time across 8 jump-landing trials. Independent t tests
(alpha = .05) and effect sizes (ESs; large >= 0.8) compared differences between
groups. A greater rate of vGRF attenuation (P = .02; ES = 0.95) was detected in
the FAST group. The FAST group also exhibited greater contributions to lower
extremity energy absorption at the ankle (P = .03; ES = 0.98) and knee (P = .03;
ES = 0.99) during loading and attenuation, respectively. The SLOW group exhibited
greater contributions to energy absorption at the hip during loading (P = .02; ES
= 1.10). Results suggest that individuals who land quickly utilize different
energy absorption strategies than individuals who land slowly. Ultimately, the
FAST group's strategy resulted in superior landing performance (more rapid
landing time).
PMID- 29809084
TI - Interday Reliability of the IDEEA Activity Monitor for Measuring Movement and
Nonmovement Behaviors in Older Adults.
AB - The interday reliability of the Intelligent Device for Energy Expenditure and
Activity (IDEEA) has not been studied to date. The study purpose was to examine
the interday variability and reliability on two consecutive days collected with
the IDEEA, as well as to predict the number of days needed to provide a reliable
estimate of several movement (walking and climbing stairs) and nonmovement
(lying, reclining, and sitting) behaviors and standing in older adults. The
sample included 126 older adults (74 women) who wore the IDEEA for 48 hr. Results
showed low variability between the 2 days, and the reliability was from moderate
(intraclass coefficient correlation = .34) to high (.80) in most of movement and
nonmovement behaviors analyzed. The Bland-Altman plots showed high-moderate
agreement between days, and the Spearman-Brown formula estimated that 1.2 and 9.1
days of monitoring with the IDEEA are needed to achieve intraclass coefficient
correlations >= .70 in older adults for sitting and climbing stairs,
respectively.
PMID- 29809085
TI - Reliability of Clinical Assessment Methods to Measure Scapular Upward Rotation: A
Critically Appraised Topic.
AB - : Clinical Scenario: Assessing movement of the scapula is an important component
in the evaluation and treatment of the shoulder complex. Currently, gold-standard
methods to quantify scapular movement include invasive technique, radiation, and
3-Dimensional motion systems. This critically appraised topic (CAT) focuses on
several clinical assessment methods of quantifying scapular upward rotation with
respect to their reliability and clinical utility. CLINICAL QUESTION: Is there
evidence for non-invasive methods that reliably assess clinical measures of
scapular upward rotation in subjects with or without shoulder pathologies?
Summary of Key Findings: Four studies were selected to be critically appraised.
The Quality Appraisal of Diagnostic Reliability (QAREL) checklist was used to
score the articles on methodology and consistency. Three of the four studies
demonstrated support for the clinical question. Clinical Bottom Line: There is
moderate evidence to support reliable clinical methods for measuring scapular
upward rotation in subjects with or without shoulder pathology. Strength of
Recommendation: There is moderate evidence to suggest there are reliable clinical
measures to quantify scapular upward rotation in patients with or without
shoulder pathology.
PMID- 29809086
TI - Assessment of Knee Kinetic Symmetry Using Force Plate Technology.
AB - CONTEXT: Athletes who have undergone an anterior cruciate ligament reconstruction
often demonstrate more pronounced interlimb knee kinetic symmetry in comparison
with uninjured athletes, even after they have completed rehabilitation. Part of
the reason for the persistent asymmetry may be that sports medicine professionals
are typically not able to assess knee joint kinetics within the clinic setting.
Developing measures to assess knee joint kinetic symmetry could help to augment
current rehabilitation practices. OBJECTIVE: The purpose of this study was to
explore the extent to which interlimb vertical ground reaction force (GRF)
symmetry can predict knee kinetic symmetry during a drop landing task. DESIGN:
Cross-sectional study. SETTING: Motion analysis laboratory. PARTICIPANTS: A total
of 21 uninjured subjects (9 males and 12 females). PROTOCOL: Three-dimensional
kinematic data were collected using a multicamera system while subjects performed
double-leg drop landings. GRF data were collected synchronously using 2 adjacent
force plates. MAIN OUTCOME MEASURES: Knee joint moments and power were calculated
for both limbs during the landing trials. An interlimb symmetry index
(dominant/nondominant limb) was calculated for both the peak knee joint moment
and power variables, as well as for the peak vertical GRFs. Linear regression
analyses were performed to determine if the degree of symmetry in the peak
vertical GRFs predicted the degree of symmetry for the kinetic variables.
RESULTS: The symmetry index for the vertical GRFs was a significant predictor of
the symmetry indices for the knee joint moments (r = .81; P < .001) and power (r
= .88; P < .001). CONCLUSION: Interlimb symmetry in the peak vertical GRFs can be
used to predict knee joint kinetic symmetry during a double-leg drop landing
task.
PMID- 29809087
TI - Cross-Sectional and Prospective Relationship Between Low-to-Moderate-Intensity
Physical Activity and Chronic Diseases in Older Adults From 13 European
Countries.
AB - AIM: To assess the relationship between low-to-moderate-intensity physical
activity (LMPA) in 2011 and chronic diseases in 2011 and 2013 among older
European adults. METHODS: Participants (16,157 men and 21,260 women) from 13
European countries were interviewed about the presence of chronic conditions and
LMPA. The association between LMPA and number of chronic diseases was assessed
using logistic regression models. RESULTS: Most of the older adults participated
in LMPA more than once a week (81.9%), 8.4% participated once a week, and 9.3%
did not participate. The prevalence of chronic diseases was significantly lower
among those who reported engaging in LMPA. The LMPA in 2011 was related with
lower odds of having several chronic diseases in 2013. CONCLUSION: Engaging in
LMPA is associated with reduced risk for chronic diseases in older European men
and women. Even the practice of LMPA once a week seems to be enough to diminish
the risk of having chronic diseases.
PMID- 29809088
TI - Kinesio(r) Tape Barrier Does Not Inhibit Intramuscular Cooling During
Cryotherapy.
AB - CONTEXT: Allied health care professionals commonly apply cryotherapy as treatment
for acute musculoskeletal trauma and the associated symptoms. Understanding the
impact of a tape barrier on intramuscular temperature can assist in determining
treatment duration for effective cryotherapy. OBJECTIVE: To determine whether
Kinesio(r) Tape acts as a barrier that affects intramuscular temperature during
cryotherapy application. DESIGN: A repeated-measures, counterbalanced design in
which the independent variable was tape application and the dependent variable
was muscle temperature as measured by thermocouples placed 1 cm beneath the
adipose layer. Additional covariates for robustness were BMI and adipose
thickness. SETTING: University research laboratory. PARTICIPANTS: 19 male college
students with no contraindications to cryotherapy, no known sensitivity to
Kinesio(r) Tape, and no reported quadriceps injury within the past six months.
INTERVENTION: Topical cryotherapy: crushed-ice bags of 1 kg and 0.5 kg. MAIN
OUTCOME MEASURES: Intramuscular temperature. RESULTS: The tape barrier had no
statistically significant effect on muscle temperature. The pattern of
temperature change was indistinguishable between participants with and without
tape application. CONCLUSIONS: Findings suggest health care professionals can
combine cryotherapy with a Kinesio(r) Tape application without any need for
adjustments to cryotherapy duration.
PMID- 29809089
TI - Does Gender Affect Rectal Temperature Cooling Rates? A Critically Appraised
Topic.
AB - : Clinical Scenario: Exertional heat stroke (EHS) is a medical emergency
characterized by body core temperatures >40.5 degrees C and central nervous
system dysfunction. An EHS diagnosis should be immediately followed by cold-water
immersion (CWI). Ideally, EHS victims cool at a rate >0.15 degrees C/min until
their temperature reaches 38.9 degrees C. While generally accepted, these EHS
treatment recommendations often stem from research that examined only males.
Since gender differences exist in anthropomorphics (eg, body surface area, lean
body mass) and anthropomorphics impact CWI cooling rates, it is possible that CWI
cooling rates may differ between genders. CLINICAL QUESTION: Do CWI rectal
temperature (Trec) cooling rates differ between hyperthermic males and females?
SUMMARY OF FINDINGS: The average Trec cooling rate across all examined studies
for males and females was 0.18 (0.05) and 0.24 (0.03) degrees C/min,
respectively. Hyperthermic females cooled ~33% faster than males. Clinical Bottom
Line: Hyperthermic females cooled faster than males, most likely because of
higher body surface area to mass ratios and less lean body mass. Regardless of
gender, CWI is highly effective at lowering Trec. Clinicians must be able to
treat all EHS victims, regardless of gender, with CWI, given its high survival
rate when implemented appropriately. Strength of Recommendation: Moderate
evidence (2 level 3 studies) suggests that females cool faster than males when
treated with CWI following severe hyperthermia. Despite gender differences,
cooling rates exceeded cooling rate recommendations for EHS victims (ie, 0.15
degrees C/min).
PMID- 29809090
TI - Difference Between Male and Female Ice Hockey Players in Muscle Activity, Timing,
and Head Kinematics During Sudden Head Perturbations.
AB - This study examined sex differences in head kinematics and neck muscle activity
during sudden head perturbations. Sixteen competitive ice hockey players
participated. Three muscles were monitored bilaterally using surface
electromyography: sternocleidomastoid, scalene, and splenius capitis. Head and
thorax kinematics were measured. Head perturbations were induced by the release
of a 1.5-kg weight attached to a wire wrapped around an adjustable pulley secured
to the participant's head. Perturbations were delivered in 4 directions (flexion,
extension, right lateral bend, and left lateral bend). Muscle onset times, muscle
activity, and head kinematics were examined during 3 time periods (2
preperturbation and 1 postperturbation). Females had significantly greater head
acceleration during left lateral bend (31.4%, P < .05) and flexion (37.9%, P =
.01). Females had faster muscle onset times during flexion (females = 51 +/- 11
ms; males = 61 +/- 10 ms; P = .001) and slower onset times during left lateral
bend and extension. Females had greater left/right sternocleidomastoid and
scalene activity during extension (P = .01), with no difference in head
acceleration. No consistent neuromuscular strategy could explain all directional
sex differences. Females had greater muscle activity postperturbation during
extension, suggesting a neuromuscular response to counter sudden acceleration,
possibly explaining the lack of head acceleration differences.
PMID- 29809091
TI - Analysis of a school-based health education model to prevent opisthorchiasis and
cholangiocarcinoma in primary school children in northeast Thailand.
AB - Infection with the liver fluke Opisthorchis viverrini is the major causative
factor inducing cholangiocarcinoma in the Mekong region of Southeast Asia.
Northeast Thailand has the highest incidence of this cancer worldwide leading to
about 20,000 deaths every year. Infection with the liver fluke comes from eating
raw or undercooked fish, a tradition in this area that can potentially be
countered by education programs at school level. Here we develop a school-based
health education model, based on protection motivation theory (PMT), including
module design, learning materials, student activities, and capacity building
amongst teachers. This education program was applied and tested in primary school
to pupils (9-13 years) in Khon Kaen province, northeast Thailand. Using a
randomized control trial, four schools served as intervention groups ( n = 118
pupils) and another four acted as controls ( n = 113 pupils). Based on PMT
constructs, we found that the pupils in the intervention group had significantly
greater knowledge and perceived the severity, vulnerability, response efficacy,
and self-efficacy parameters concerning the dangers of eating raw fish and of
developing cholangiocarcinoma than those in the control schools ( p < 0.05). All
of the PMT constructs measured were significantly intercorrelated with each other
( p < 0.001). At the same time, some background knowledge, from community-based
education programs, was present in the control schools. The result from this
initial study suggests that PMT can be used to predict protective attitude as
well as behavior changes in evaluating the consequence of school health
intervention programs.
PMID- 29809092
TI - A Healthy Lifestyle in Old Age and Prospective Change in Four Domains of
Functioning.
AB - OBJECTIVE: The aim of this article is to study the associations between healthy
lifestyle in old age and decline in physical, psychological, cognitive, and
social functioning. METHOD: A population-based sample of 3,107 Dutch men and
women aged 55 and 85 years (1992/1993; Longitudinal Aging Study Amsterdam) was
used with five 3-yeary follow-up examinations. Lifestyle score, based on smoking
status, alcohol consumption, physical activity, and body mass index (BMI), ranged
from 0 ( unhealthy) to 4 ( healthy). Outcomes included gait speed, depressive
symptoms, cognitive status, and social contacts. RESULTS: Persons with a healthy
lifestyle had a 10.6% slower decline in gait speed (0.04 m/s, 95% confidence
interval [CI] = [0.03, 0.05]), 10.8% slower increase in depressive symptoms (
1.07 [-1.70, -0.44]), a 1.8% slower decline in cognitive functioning (0.47 [0.23,
0.70]), and a 4.9% slower decline in social contacts (0.58 [0.01, 1.15]) compared
with persons with no or one healthy lifestyle factor. DISCUSSION: A healthy
lifestyle benefits physical, psychological, cognitive, and social functioning up
to very old age.
PMID- 29809093
TI - Determination of Ankle and Metatarsophalangeal Stiffness During Walking and
Jogging.
AB - Forefoot stiffness has been shown to influence joint biomechanics. However,
little or no data exist on metatarsophalangeal stiffness. Twenty-four healthy
rearfoot strike runners were recruited from a staff and student population at the
University of Central Lancashire. Five repetitions of shod, self-selected speed
level walking, and jogging were performed. Kinetic and kinematic data were
collected using retroreflective markers placed on the lower limb and foot to
create a 3-segment foot model using the calibrated anatomical system technique.
Ankle and metatarsophalangeal moments and angles were calculated. Stiffness
values were calculated using a linear best fit line of moment versus of angle
plots. Paired t tests were used to compare values between walking and jogging
conditions. Significant differences were seen in ankle range of motion, but not
in metatarsophalangeal range of motion. Maximum moments were significantly
greater in the ankle during jogging, but these were not significantly different
at the metatarsophalangeal joint. Average ankle joint stiffness exhibited
significantly lower stiffness when walking compared with jogging. However, the
metatarsophalangeal joint exhibited significantly greater stiffness when walking
compared with jogging. A greater understanding of forefoot stiffness may inform
the development of footwear, prosthetic feet, and orthotic devices, such as ankle
foot orthoses for walking and sporting activities.
PMID- 29809094
TI - Melatonin Affects Postural Control in Community-Dwelling Older Adults While Dual
Tasking: A Randomized Observation Study.
AB - The effects of a single 3-mg dose of melatonin on the postural control and
cognitive performance of community-dwelling older adults were documented. The
testing involved stepping down while performing a cognitive task (a Stroop test).
Thirty-four older adults were recruited. Immediately before and 1 hr after taking
a dose of melatonin, they completed a single-leg standing task after stepping
down with and without a simultaneous Stroop test, and a double-leg standing task.
The findings indicated a statistically significant increase in sway area under
the dual-tasking condition after taking melatonin (p = .04) and the double-leg
standing task (p = .018). However, cognitive performance per se was not affected
by the melatonin. Melatonin impairs postural control in older adults but not
cognitive performance.
PMID- 29809095
TI - Effects of Age, Power Output, and Cadence on Energy Expenditure and Lower Limb
Antagonist Muscle Coactivation During Cycling.
AB - It is unknown if higher antagonist muscle coactivation is a factor contributing
to greater energy expenditure of cycling in older adults. We determined how age,
power output, and cadence affect energy expenditure and lower limb antagonist
muscle coactivation during submaximal cycling. Thirteen younger and 12 older male
participants completed 6-min trials at four power output-cadence conditions (75 W
60 rpm, 75 W-90 rpm, 125 W-60 rpm, and 125 W-90 rpm) while electromyographic and
metabolic energy consumption data were collected. Knee and ankle coactivation
indices were calculated using vastus lateralis, biceps femoris, gastrocnemius,
and tibialis anterior electromyography data. Energy expenditure of cycling was
greater in older compared with younger participants at 125 W (p = .002) and at 90
rpm (p = .026). No age-related differences were observed in the magnitude or
duration of coactivation about the knee or ankle (p > .05). Our results indicated
that the knee and ankle coactivation is not a substantive factor contributing to
greater energy expenditure of cycling in older adults.
PMID- 29809096
TI - Quality of Explanation as an Indicator of Fraction Magnitude Understanding.
AB - Students' explanations of their mathematical thinking and conclusions have become
a greater part of the assessment landscape in recent years. With a sample of 71
fourth-grade students at risk for mathematics learning disabilities, we
investigated the relation between student accuracy in comparing the magnitude of
fractions and the quality of students' explanations of those comparisons, as well
as the relation between those measures and scores on a criterion test: released
fraction items from the National Assessment of Educational Progress. We also
considered the extent to which reasoning and language contribute to the
prediction. Results indicated a significant moderate correlation between accuracy
and explanation quality. Commonality analyses indicated that explanation quality
accounts for little variance in National Assessment of Educational Progress
scores beyond what is accounted for by traditional measures of magnitude
understanding. Implications for instruction and assessment are discussed.
PMID- 29809097
TI - Development of a Laparoscopic Box Trainer Based on Open Source Hardware and
Artificial Intelligence for Objective Assessment of Surgical Psychomotor Skills.
AB - BACKGROUND: A trainer for online laparoscopic surgical skills assessment based on
the performance of experts and nonexperts is presented. The system uses computer
vision, augmented reality, and artificial intelligence algorithms, implemented
into a Raspberry Pi board with Python programming language. METHODS: Two training
tasks were evaluated by the laparoscopic system: transferring and pattern
cutting. Computer vision libraries were used to obtain the number of transferred
points and simulated pattern cutting trace by means of tracking of the
laparoscopic instrument. An artificial neural network (ANN) was trained to learn
from experts and nonexperts' behavior for pattern cutting task, whereas the
assessment of transferring task was performed using a preestablished threshold.
Four expert surgeons in laparoscopic surgery, from hospital "Raymundo Abarca
Alarcon," constituted the experienced class for the ANN. Sixteen trainees (10
medical students and 6 residents) without laparoscopic surgical skills and
limited experience in minimal invasive techniques from School of Medicine at
Universidad Autonoma de Guerrero constituted the nonexperienced class. Data from
participants performing 5 daily repetitions for each task during 5 days were used
to build the ANN. RESULTS: The participants tend to improve their learning curve
and dexterity with this laparoscopic training system. The classifier shows mean
accuracy and receiver operating characteristic curve of 90.98% and 0.93,
respectively. Moreover, the ANN was able to evaluate the psychomotor skills of
users into 2 classes: experienced or nonexperienced. CONCLUSION: We constructed
and evaluated an affordable laparoscopic trainer system using computer vision,
augmented reality, and an artificial intelligence algorithm. The proposed trainer
has the potential to increase the self-confidence of trainees and to be applied
to programs with limited resources.
PMID- 29809098
TI - Brain, immune system and selenium: a starting point for a new diagnostic marker
for Alzheimer's disease?
AB - The clinical diagnosis of Alzheimer's disease (AD) is based primarily on
neuropsychological tests, which assess the involutive damage, and imaging
techniques that evaluate morphologic changes in the brain. Currently available
diagnostic tests do not show complete specificity and do not permit accurate
differentiation between AD and other forms of senile dementia. The correlation of
these tests with laboratory investigations based on biochemical parameters could
increase the certainty of diagnosis. In recent years, several biochemical markers
for the diagnosis of AD have been proposed, but in most cases they show a limited
specificity and their application is invasive, requiring, in general, sampling of
cerebrospinal fluid. Thus, the use of a peripheral biochemical marker could
represent a valuable complement for the diagnosis of this disease. Several
studies have shown a relationship between neurodegenerative disorders typical of
the ageing process, weakening of the immune system and alterations in the levels
of selenium and of the antioxidant selenoenzymes in brain tissues and blood
cells. Among blood cells, neutrophil granulocytes uniquely express the
selenoenzyme methionine sulfoxide reductase B1 (MsrB1). In a preliminary analysis
carried out on neutrophils from subjects affected by AD, we observed a
significant decline in MsrB1 activity compared to normal subjects. Therefore, we
deem it of particular interest to explore the potential use of MsrB1 as a
selective peripheral marker for the diagnosis of AD.
PMID- 29809099
TI - Acromiohumeral Distance Change Relates to Middle Trapezius Muscle Activation
During Shoulder Elevation With Scapular Retraction.
AB - CONTEXT: The scapular retraction exercises are widely used among clinicians to
balance the activity of the scapular muscles as well as the rotator cuff muscles
in different shoulder abduction positions. OBJECTIVES: The aim of this study was
to investigate the relationship between scapular (middle and upper trapezius) and
shoulder muscles (middle deltoid and infraspinatus) activation level differences
and acromiohumeral distance changes during shoulder abduction with scapular
retraction. DESIGN: Cross-sectional study. SETTING: University research
laboratory. PARTICIPANTS: Nineteen asymptomatic individuals were included (mean
+/- SD age, 22.4 +/- 1.8 years). MAIN OUTCOME MEASURE: The acromiohumeral
distance was measured at 0 degrees and 90 degrees shoulder abduction when
scapula was in non-retracted and retracted position with ultrasound imaging. The
relationship between muscle activation level changes and acromiohumeral distance
difference was analyzed with Pearson correlation test. RESULTS: Middle trapezius
muscle activity change correlated with acromiohumeral distance difference
(r=0.55, p=0.018) from 0o to 90o shoulder abduction when scapula was retracted.
For both non-retracted and retracted scapular positions, no correlations were
found between middle deltoid, infraspinatus and upper trapezius muscle activity
changes with acromiohumeral distance differences during shoulder abduction
(p>0.05). CONCLUSIONS: Active scapular retraction exercise especially focusing on
the middle trapezius muscle activation seems to be an effective treatment option
to optimize the acromiohumeral distance during shoulder abduction.
PMID- 29809100
TI - Concurrent Validity of a Portable Force Plate Using Vertical Jump Force-Time
Characteristics.
AB - This study examined concurrent validity of countermovement vertical jump reactive
strength index modified and force-time characteristics recorded using a 1
dimensional portable and laboratory force plate system. Twenty-eight men
performed bilateral countermovement vertical jumps on 2 portable force plates
placed on top of 2 in-ground force plates, both recording vertical ground
reaction force at 1000 Hz. Time to takeoff; jump height; reactive strength index
modified; and braking and propulsion impulse, mean net force, and duration were
calculated from the vertical force from both force plate systems. Results from
both systems were highly correlated (r >= .99). There were small (d < 0.12) but
significant differences between their respective braking impulse, braking mean
net force, propulsion impulse, and propulsion mean net force (P < .001). However,
limits of agreement yielded a mean value of 1.7% relative to the laboratory force
plate system (95% confidence limits, 0.9%-2.5%), indicating very good agreement
across all of the dependent variables. The largest limits of agreement were for
jump height (2.1%), time to takeoff (3.4%), and reactive strength index modified
(3.8%). The portable force plate system provides a valid method of obtaining
reactive strength measures, and several underpinning force-time variables, from
unloaded countermovement vertical jump. Thus, practitioners can use both force
plates interchangeably.
PMID- 29809102
TI - Reliability of 5 Novel Reaction Time and Cognitive Load Protocols.
AB - CONTEXT: Reaction time (RT) is crucial to athletic performance. Therefore, when
returning athletes to play following injury, it is important to evaluate RT
characteristics ensuring a safe return. The Dynavision D2(r) system may be
utilized as an assessment and rehabilitation aid in the determination of RT under
various levels of cognitive load. Previous research has demonstrated good
reliability of simple protocols when assessed following a 24- to 48-hour test
retest window. Expanding reliable test-retest intervals may further refine novel
RT protocols for use as a diagnostic and rehabilitation tool. OBJECTIVE: To
investigate the test-retest reliability of a battery of 5 novel RT protocols at
different time intervals. DESIGN: Repeated measures/reliability. SETTING:
Interdisciplinary sports medicine research laboratory. PARTICIPANTS: Thirty
healthy individuals. METHODS: Participants completed a battery of protocols
increasing in difficulty in terms of reaction speed requirement and cognitive
load. Prior to testing, participants were provided 3 familiarization trials. All
protocols required participants to hit as many lights as quickly as possible in
60 seconds. After completing the initial testing session (session 1),
participants waited 1 hour before completing the second session (session 2).
Approximately 2 weeks later (average 14 [4] d), the participants completed the
same battery of tasks for the third session (session 3). MAIN OUTCOME MEASURES:
The intraclass correlation coefficient, standard error of measurement, minimal
detectable change, and repeated-measures analysis of variance were calculated for
RT. RESULTS: The intraclass correlation coefficient values for each of the 5
protocols illustrated good to excellent reliability between sessions 1, 2, and 3
(.75-.90). There were no significant differences across time points (F < 0.105, P
> .05). CONCLUSIONS: The 1-hour and 14-day test-retest intervals are reliable for
clinical assessment, expanding the time frames previously reported in the
literature of when assessments can be completed reliably. This study provides
novel protocols that challenge cognition in unique ways.
PMID- 29809101
TI - School accreditation scheme reduces childhood obesity in Hong Kong.
AB - Childhood obesity is an increasing threat to the health of primary school
students in Hong Kong. Obesity results from energy excess from food relative to
energy expenditure through physical activity. In Hong Kong, a whole day primary
schooling policy has been implemented since 1993 and today most primary school
students have their lunch on campus and some also purchase snacks and drinks from
school tuck shops or vending machines. The EatSmart School Accreditation Scheme
(ESAS) aiming at combating childhood obesity was launched in 2009/2010.
Professional (health promotion, dietary, programme, etc.) support is provided to
help schools formulate policies, introduce structures and implement measures to
help students develop the habit of healthy eating. The aim of this study is to
investigate the change of childhood obesity (including overweight) rates over
time among schools awarded with ESAS accreditation. Seven-year retrospective data
on obesity status were obtained for the period 2007/2008-2013/2014 (number of
students = 113,322, number of measurements = 314,746) from all 105 ESAS
accredited schools. Before schools were geared up for the accreditation scheme
obesity rates were fairly stable (slope test p > 0.05) among their student
population ranging from 23.2% to 21.9%, whereas the rates dropped significantly
(slope test p < 0.05) from 21.9% to 19.3% after intervention. For ESAS-accredited
schools, there was an average annual reduction of 0.49% point in obesity rate
which was 0.18% point higher than that of 0.31% point among non-ESAS-accredited
schools. These results show that schools achieving ESAS accreditation are
associated with a bigger improvement in the student obesity problem.
PMID- 29809103
TI - Decision Making Influences Tibial Impact Accelerations During Lateral Cutting.
AB - Lower-extremity musculoskeletal injuries are common in sports such as basketball
and soccer. Athletes competing in sports of this nature must maneuver in response
to the actions of their teammates, opponents, etc. This limits their ability to
preplan movements. The purpose of this study was to compare impact accelerations
during preplanned versus unplanned lateral cutting. A total of 30 subjects (15
males and 15 females) performed preplanned and unplanned cuts while the authors
analyzed impact accelerations using an accelerometer secured to their tibia. For
the preplanned condition, subjects were aware of the movement to perform before
initiating a trial. For the unplanned condition, subjects initiated their
movement and then reacted to the illumination of one of 3 visual stimuli which
dictated whether they would cut, land, or land-and-jump. A mixed-model analysis
of variance with a between factor of sex (male and female) and a within factor of
condition (preplanned and unplanned) was used to analyze the magnitude and
variability of the impact accelerations for the cutting trials. Both males and
females demonstrated higher impact accelerations (P = .01) and a trend toward
greater intertrial variability (P = .07) for the unplanned cutting trials (vs
preplanned cuts). Unplanned cutting may place greater demands on the
musculoskeletal system.
PMID- 29809104
TI - Ankle Sprain has Higher Occurrence During the Later Parts of Matches: Systematic
Review with Meta-Analysis.
AB - CONTEXT: Ankle sprains are common injuries in sports, however it is unclear
whether they are more likely to occur in a specific period of a sporting game.
OBJECTIVES: To systematically review the literature investigating when in a match
ankle sprains most likely occurred. EVIDENCE ACQUISITION: The databases CINAHL,
EMBASE, MEDLINE and SPORTDiscus were searched up to August 2016, with no
restriction of date or language. The search targeted studies that presented data
on the time of occurrence of ankle sprains during sports matches. Data from
included studies were analysed as percentage of ankle sprain occurrence by half
time and by quarters. Meta-analyses were run using a random effects model. The
Quality Assessment Tool for Quantitative Studies was used to assess the article's
quality. EVIDENCE SYNTHESIS: The searches identified 1,142 studies and eight were
included in this review. Five hundred ankle sprains were reported during follow
up time that ranged from 1 to 15 years, in five different sports (soccer, rugby,
futsal, American football and Gaelic football). The meta-analyses including all
eight studies showed that the proportion of ankle sprains during the first half
(0.44, 95%CI from 0.38 to 0.50) was smaller than the second half (0.56, 95%CI
from 0.50 to 0.62). For the analyses by quarters, the proportion of ankle sprains
in 1st quarter (0.14, 95%CI 0.09 to 0.19) was considerably smaller than 2nd
(0.28, 95%CI 0.24 to 0.32), 3rd quarter (0.25, 95%CI 0.17 to 0.34) and 4th (0.29,
95%CI 0.22 to 0.36). CONCLUSION: The results of this review indicate that ankle
sprains are more likely to occur later in the game during the second half or
during the latter minutes of the first half.
PMID- 29809106
TI - Sleep, physical activity, waist circumference and diet as factors that influence
health for reproductive age women in northern Greenland.
AB - BACKGROUND: This study explored community and individual factors that influence
the health of reproductive age women in a settlement in northern Greenland. This
is important because Greenland has a declining population, a high abortion rate
and because of projected environmental shifts due to climate change. METHODS:
This study collected mixed methods data to explore diet, physical activity, sleep
and waist circumference for reproductive age women in Kullorsuaq, Greenland. The
daily steps and sleeping hours of 13 reproductive age women were measured using
activity monitoring bracelets. Waist circumference measurements and in-depth
interviews about daily eating and physical activity were conducted with 15
participants and ethnographic participant observations were recorded using field
notes. RESULTS: Waist circumference measurements were above recommended cutoffs
established by the World Health Organization. Physical activity measured by daily
steps was within the 'active' range using the cutoff points established by Tudor
and Locke. Physical activity is social and is important for communal
relationships. Sleeping hours were within normal ranges based on US guidelines;
however, the quality of this sleep, its variability across seasons and cultural
expectations of what healthy sleep means must be further explored. Diets of women
included a mixture of locally harvested meats and imported packaged foods. Study
participants experienced less satiety and reported getting hungrier faster when
eating packaged foods. This research took place in Spring 2016 and women reported
that their sleep, physical activity and diet fluctuate seasonally. CONCLUSION:
The reported findings suggest further investigation of sleep, diet and physical
activity combined with the measurement of reproductive hormones to determine
linkages between lifestyle factors and reproductive health outcomes is needed.
PMID- 29809105
TI - The application of mHealth to monitor implementation of best practices to support
healthy eating and physical activity in afterschool programs.
AB - BACKGROUND: Childhood obesity continues to be a global epidemic and many child
based settings (e.g. school, afterschool programs) have great potential to make a
positive impact on children's health behaviors. Innovative and time-sensitive
methods of gathering health behavior information for the purpose of evaluation
and strategically deploying support are needed in these settings. PURPOSE: The
aim is to (1) demonstrate the feasibility of mobile health (mHealth) for
monitoring implementation of healthy eating and physical activity (HEPA)
standards and, (2) illustrate the utility of mHealth for identifying areas where
support is needed, within the afterschool setting. METHODS: Site leaders ( N =
175) of afterschool programs (ASPs) were invited to complete an online
observation checklist via a mobile web app (Healthy Eating and Physical Activity
Mobile, HEPA m) once per week during ASP operating hours. Auto-generated weekly
text reminders were sent to site leaders' mobile devices during spring and fall
2015 and 2016 and spring 2017 school semesters. Data from HEPA m was separated
into HEPA variables, and expressed as a percent of checklists where an item was
present. A higher percentage for a given item would indicate an afterschool has
higher compliance with current HEPA standards. RESULTS: A total of 141 site
leaders of ASPs completed 13,960 HEPA m checklists. The average number of
checklists completed per ASP was 43 (range 1-220) for healthy eating and 50
(range 1-230) for physical activity. For healthy eating, the most common
challenge for ASPs was 'Staff educating children about healthy eating', and for
physical activity checklists, 'Girls only physical activity is provided at ASP'.
CONCLUSION: HEPA m was widely used and provided valuable information that can be
used to strategically deploy HEPA support to ASPs. This study gives confidence to
the adoption of mHealth strategies as a means for public health practitioners to
monitor compliance of an initiative or intervention.
PMID- 29809107
TI - Peripheral T Cells as a Biomarker for Oxygen-Ion-Radiation-Induced Social
Impairments.
AB - Exposure to galactic cosmic rays (GCR) poses an obstacle to successful deep space
missions, including missions to the Moon or Mars. Previously, we and others have
identified chronic cognitive impairments associated with GCR in rodent model
systems. The persistent cognitive loss previously reported is indicative of
global changes in different regions of the brain, including the prefrontal cortex
and the hippocampus. It has been shown that both of these brain regions are
involved in social functions. Here we demonstrate that four months after a single
exposure to oxygen ionizing radiation, which is a component of GCR, adult male
mice have social memory deficits. Importantly, we identified circulating levels
of CD8 T cells as predictors of social behavioral changes. Thus, CD8 T cells
could be used as a potential peripheral biomarker. To the best of our knowledge
we demonstrate for the first time that GCR-induced impairments in social behavior
are directly linked to peripheral immune changes. These results further advance
our understanding of the challenges encountered during space exploration.
PMID- 29809110
TI - Journal Prize Announcement 2017.
PMID- 29809109
TI - Mortality in Puerto Rico after Hurricane Maria.
AB - BACKGROUND: Quantifying the effect of natural disasters on society is critical
for recovery of public health services and infrastructure. The death toll can be
difficult to assess in the aftermath of a major disaster. In September 2017,
Hurricane Maria caused massive infrastructural damage to Puerto Rico, but its
effect on mortality remains contentious. The official death count is 64. METHODS:
Using a representative, stratified sample, we surveyed 3299 randomly chosen
households across Puerto Rico to produce an independent estimate of all-cause
mortality after the hurricane. Respondents were asked about displacement,
infrastructure loss, and causes of death. We calculated excess deaths by
comparing our estimated post-hurricane mortality rate with official rates for the
same period in 2016. RESULTS: From the survey data, we estimated a mortality rate
of 14.3 deaths (95% confidence interval [CI], 9.8 to 18.9) per 1000 persons from
September 20 through December 31, 2017. This rate yielded a total of 4645 excess
deaths during this period (95% CI, 793 to 8498), equivalent to a 62% increase in
the mortality rate as compared with the same period in 2016. However, this number
is likely to be an underestimate because of survivor bias. The mortality rate
remained high through the end of December 2017, and one third of the deaths were
attributed to delayed or interrupted health care. Hurricane-related migration was
substantial. CONCLUSIONS: This household-based survey suggests that the number of
excess deaths related to Hurricane Maria in Puerto Rico is more than 70 times the
official estimate. (Funded by the Harvard T.H. Chan School of Public Health and
others.).
PMID- 29809112
TI - Psychodrama and the Treatment of Narcissistic and Borderline Patients.
AB - Psychiatric clinicians are faced with the challenge of remaining flexible and
psychotherapeutically relevant to the broadening scope of patients with severe
narcissistic and borderline personality disorders. Diagnostic sophistication
among mental health professionals, increased availability of psychotherapy
services, and economic pressures combine to cause the public to expect cost
effective, successful, and shorter duration of treatments. These treatment
challenges are particularly poignant with the treatment of those narcissistic and
borderline patients who have meager capacity for insight and limited verbal
skills. This article describes the use of psychodynamically oriented and informed
psychodrama for the treatment of narcissistic and borderline patients.
PMID- 29809111
TI - How to Design and Equip a Mentalization-Based Play Therapy Room.
AB - Designing and equipping a play therapy room as a differentiated tool in a
psychodynamic approach to child psychotherapy is seldom discussed. This article
sketches out the equipment and furnishing of a play therapy room to be used for
mentalization-based psychodynamic psychotherapy and gives examples of the use of
such a room in practice.
PMID- 29809113
TI - Psychoanalytic Meta-Theory: A Modern Ego Psychology View.
AB - This article describes the meta-theory level of psychoanalytic theory and uses it
to show the commonalities of the major metapsychologies.
PMID- 29809108
TI - Selective Insulin-like Growth Factor Resistance Associated with Heart Hemorrhages
and Poor Prognosis in a Novel Preclinical Model of the Hematopoietic Acute
Radiation Syndrome.
AB - Although bone marrow aplasia has been considered for the past decades as the
major contributor of radiation-induced blood disorders, cytopenias alone are
insufficient to explain differences in the prevalence of bleeding. In this study,
the minipig was used as a novel preclinical model of hematopoietic acute
radiation syndrome to assess if factors other than platelet counts correlated
with bleeding and survival. We sought to determine whether radiation affected the
insulin-like growth factor-1 (IGF-1) pathway, a growth hormone with
cardiovascular and radioprotective features. Gottingen and Sinclair minipigs were
exposed to ionizing radiation at hematopoietic doses. The smaller Gottingen
minipig strain was more sensitive to radiation; differences in IGF-1 levels were
minimal, suggesting that increased sensitivity could depend on weak response to
the hormone. Radiation caused IGF-1 selective resistance by inhibiting the anti
inflammatory anti-oxidative stress IRS/PI3K/Akt but not the pro-inflammatory MAPK
kinase pathway, shifting IGF-1 signaling towards a pro-oxidant, pro-inflammatory
environment. Selective IGF-1 resistance associated with hemorrhages in the heart,
poor prognosis, increase in C-reactive protein and NADPH oxidase 2, uncoupling of
endothelial nitric oxide synthase, inhibition of nitric oxide (NO) synthesis and
imbalance between the vasodilator NO and the vasoconstrictor endothelin-1
molecules. Selective IGF-1 resistance is a novel mechanism of radiation injury,
associated with a vicious cycle amplifying reactive oxygen species-induced
damage, inflammation and endothelial dysfunction. In the presence of
thrombocytopenia, selective inhibition of IGF-1 cardioprotective function may
contribute to the development of hemostatic disorders. This finding may be
particularly relevant for individuals with low IGF-1 activity, such as the
elderly or those with cardiometabolic dysfunctions.
PMID- 29809114
TI - Training in Good Psychiatric Management for Borderline Personality Disorder in
Residency: An Aide to Learning Supportive Psychotherapy for Challenging-to-Treat
Patients.
AB - Given many competing demands, psychotherapy training to competency is difficult
during psychiatric residency. Good Psychiatric Management for borderline
personality disorder (GPM) offers an evidence-based, simplified,
psychodynamically informed framework for the outpatient management of patients
with borderline personality disorder, one of the most challenging disorders
psychiatric residents must learn to treat. In this article, we provide an
overview of GPM, and show that training in GPM meets a requirement for training
in supportive psychotherapy; builds on psychodynamic psychotherapy training; and
applies to other severe personality disorders, especially narcissistic
personality disorder. We describe the interpersonal hypersensitivity model used
in GPM as a straightforward way for clinicians to collaborate with patients in
organizing approaches to psychoeducation, treatment goals, case management, use
of multiple treatment modalities, and safety. A modification of the interpersonal
hypersensitivity model that includes intra-personal hypersensitivity can be used
to address narcissistic problems often present in borderline personality
disorder. We argue that these features make GPM ideally suited for psychiatry
residents in treating their most challenging patients, provide clinical examples
to illustrate these points, and report the key lessons learned by a psychiatry
resident after a year of GPM supervision.
PMID- 29809115
TI - The (Suicidal-) Depressive Position: A Scientifically Informed Reformulation.
AB - Despite considerable progress in depression research and treatment, the disorder
continues to pose daunting challenges to scientists and practitioners alike. This
article presents a novel conceptualization of the psychological dynamics of
depression which draws from Melanie Klein's notion of the positions, reformulated
using social-cognitive terms. Specifically, Klein's notion of position,
consisting of anxieties (persecutory vs. "depressive"), defense mechanisms
("primitive"/split based vs. neurotic/repression based), and object relations
(part vs. whole) is reformulated to include (1) affect, broadly defined, (2)
affect regulatory strategies (defense mechanisms, coping strategies, and
motivation regulation), and (3) mental representations of self-with-others, all
pertaining to the past, present, and future. I reformulate the depressive
position to include-beyond sadness, anxiety, and anhedonia-also anger/agitation,
shame, disgust, and contempt, all of which are down-regulated via diverse
mechanisms. In the depressive position, the self is experienced as wronged and
others as punitive, albeit seductive. Attempts to appease internal others
(objects) are projected into the future, only to be thwarted by awkward and inept
interpersonal behavior. This might propel the use of counter-phobic, counter
dependent, and "manic" affect regulatory mechanisms, potentially leading to
suicidal depression.
PMID- 29809116
TI - Psychodynamic Psychiatrists' Experiences of Being Stalked.
AB - Despite the estimated 15% likelihood that a psychiatrist will become the victim
of stalking, there is little formal recognition of its prevalence or its impact
on well-being. Through narrative accounts, ten psychiatrists with psychodynamic
orientations speak of their experiences including how each managed the anxieties
consequent to stalking. These include a variety of adaptive psychic operations as
well as concrete actions to curtail stalking.
PMID- 29809119
TI - Translating progress in neuroimaging into clinical practice.
AB - Neuroimaging continues to be an exciting and rapidly evolving research field
producing findings to inform clinical medical practice. Neuroimaging research has
enabled a better understanding of in vivo structural, functional, and molecular
neuropathology. Moreover, it has the potential to improve clinical care, where
clinical assessment alone is sometimes insufficient to provide accurate diagnosis
and prognosis. The future direction of neuroimaging is likely to focus on
identifying imaging biomarkers suggestive of underlying preclinical disease,
understanding the temporal progression from preclinical disease to overt clinical
manifestation, and monitoring the therapeutic efficacy of potential disease
modifying agents.
PMID- 29809117
TI - Toll-Like Receptor 4 in Renal Transplant.
AB - Toll-like receptor 4 is a member of the cell surface pattern recognition
receptors involved in pathogenesis of several infectious and autoimmune diseases.
The wide range of Toll-like receptor 4 extrinsic and intrinsic ligands means that
it has considerable ability to trigger infectious and sterile inflammation, the
latter assumed to be the principal cause of ischemia-reperfusion injury. With the
rising number of renal transplant procedures using deceased donors, in addition
to prolonged ischemia time due to organ transport and consequently increased risk
of ischemia-induced injuries, the prevention of detrimental immune responses
and/or overcoming these after they initiate could be beneficial for graft
survival. This review aims to summarize past and present studies conducted about
the role of Toll-like receptor 4 in early and late phases of transplant,
including gene expression and polymorphism evaluations.
PMID- 29809118
TI - Notice of retraction.
AB - This retracts the article Nursing Care After Kidney Transplant: Case Report. DOI:
10.6002/ect.TOND-TDTD2017.O22.
PMID- 29809120
TI - Ketobacter alkanivorans gen. nov., sp. nov., an n-alkane-degrading bacterium
isolated from seawater.
AB - Strain GI5T was isolated from a surface seawater sample collected from Garorim
Bay (West Sea, Republic of Korea). The isolated strain was aerobic, Gram-stain
negative, rod-shaped, motile by means of a polar flagellum, negative for catalase
and weakly positive for oxidase. The optimum growth pH, salinity and temperature
were determined to be pH 7.5-8.0, 3 % NaCl (w/v) and 25 degrees C, respectively;
the growth ranges were pH 6.0-9.0, 1-7 % NaCl (w/v) and 18-40 degrees C. The
results of phylogenetic analysis of 16S rRNA gene sequences indicated that GI5T
clustered within the family Alcanivoracaceae, and most closely with Alcanivorax
dieseloleiB-5T and Alcanivorax marinusR8-12T (91.9 % and 91.6 % similarity,
respectively). The major cellular fatty acids in GI5T were C18 : 1omega7c/C18 :
1omega6c (44.45 %), C16 : 1omega6c/C16 : 1omega7c (14.17 %) and C16 : 0 (10.19
%); this profile was distinct from those of the closely related species. The
major respiratory quinone of GI5T was Q-8. The main polar lipids were
phosphatidylethanolamine and phosphatidylglycerol. Two putative alkane
hydroxylase (alkB) genes were identified in GI5T. The G+C content of the genomic
DNA of GI5T was determined to be 51.2 mol%. On the basis of the results of
phenotypic, chemotaxonomic and phylogenetic studies, strain GI5T represents a
novel species of a novel genus of the family Alcanivoracaceae, for which we
propose the name Ketobacter alkanivorans gen. nov., sp. nov.; the type strain is
GI5T (=KCTC 52659T=JCM 31835T).
PMID- 29809121
TI - Phylogenomic analyses of a clade within the roseobacter group suggest taxonomic
reassignments of species of the genera Aestuariivita, Citreicella, Loktanella,
Nautella, Pelagibaca, Ruegeria, Thalassobius, Thiobacimonas and Tropicibacter,
and the proposal of six novel genera.
AB - Roseobacters are a diverse and globally abundant group of Alphaproteobacteria
within the Rhodobacteraceae family. Recent studies and the cophenetic
correlations suggest that the 16S rRNA genes are poor phylogenetic markers within
this group. In contrast, the cophenetic correlation coefficients of the core-gene
average amino acid identity (cAAI) and RpoC protein sequences are high and likely
more predictive of relationships. A maximum-likelihood phylogenetic tree
calculated from 53 core genes demonstrated that some of the current genera were
either polyphyletic or paraphyletic. The boundaries of bacterial genera were
redefined based upon the cAAI, the percentage of conserved proteins, and
phenotypic characteristics and resulted in the following taxonomic proposals.
Loktanella vestfoldensis, Loktanella litorea, Loktanella maricola, Loktanella
maritima, Loktanella rosea, Loktanella sediminilitoris, Loktanella tamlensis, and
Roseobacter sp. CCS2 should be reclassified into the novel genus Yoonia.
Loktanella hongkongensis, Loktanella aestuariicola, Loktanella cinnabarina,
Loktanella pyoseonensis, Loktanellasoe soekkakensis and Loktanella variabilis
should be reclassified in the novel genus Limimaricola. Loktanella koreensis and
Loktanella sediminum should be reclassified in the novel genus Cognatiyoonia.
Loktanella marina should be reclassified in the novel genus Flavimaricola.
Aestuariivita atlantica should be reclassified in the novel genus
Pseudaestuariivita. Thalassobius maritima should be reclassified in the novel
genus Cognatishimia. Similarly, Ruegeria mobilis, Ruegeria scottomollicae,
Ruegeria sp. TM1040 and Tropicibacter multivorans should be reclassified in the
genus Epibacterium. Tropicibacter litoreus and Tropicibacter mediterraneus should
be reclassified in the genus Ruegeria. Thalassobius abyssi and Thalassobius
aestuarii should be reclassified in the genus Shimia. Citreicella aestuarii,
Citreicella manganoxidans, Citreicella marina, Citreicella thiooxidans,
Pelagibaca bermudensis and Thiobacimonas profunda should be reclassified in the
genus Salipiger. Nautella italica should be reclassified in the genus
Phaeobacter. Because these proposals to reclassify the type and all others
species of Citreicella, Nautella, Pelagibaca and Thiobacimonas, these genera are
not used in this taxonomy.
PMID- 29809122
TI - Occultifur mephitis f.a., sp. nov. and other yeast species from hypoxic and
elevated CO2 mofette environments.
AB - An inventory of culturable yeasts from the soil and water of natural CO2 springs
(mofettes) in northeast Slovenia is presented. In mofettes, CO2 of geological
origin reaches the soil surface causing temporarily and spatially stable hypoxic
environments in soil and water. In total, 142 yeast strains were isolated and
identified from high CO2 and control meadow soil, meadow ground-water, forest
pond and stream water. All water locations showed below-ground CO2 release. They
were assigned to six basidiomycetous yeast genera (six species) and 11
ascomycetous genera (18 species). All ascomycetous yeasts, with the exception of
Debaryomyces hansenii, were able to grow under elevated CO2 and fermented
glucose. Candida sophiae-reginae, Pichia fermentans and Candida vartiovaarae were
the dominating species in meadow and forest high CO2 exposed water. Meyerozyma
guilliermondii and Wickerhamomyces anomalus predominated in high CO2 exposed
soils. Using high dilution plating of a mofette soil sample, four strains of an
unknown basidiomycetous species were isolated and are here newly described as
Occultifur mephitis based on molecular phylogenetic and phenotypic criteria. The
type strain of Occultifur mephitis is EXF-6436T[CBS 14611=PYCC 7049, LT594852
(D1/D2), KX929055 (ITS)]. An additional three isolated strains are EXF-6437
(LT594853, KX929056), EXF-6473 (LT594863, KX929057) and EXF-6482 (LT594867,
KX929054), as well as a strain reported from previous studies isolated from a
leaf of Cistus albidus in Portugal (CBS 10223=PYCC 6067), EU002842 (D1/D2),
KY308183 (ITS).
PMID- 29809124
TI - ?
PMID- 29809123
TI - Vicinamibacteraceae fam. nov., the first described family within the subdivision
6 Acidobacteria.
AB - Acidobacteria constitute a globally widespread phylum and mainly inhabit soil
environments. Despite their high abundance and activity, only 60 species from
seven of the 26 acidobacterial subdivisions (sds; corresponding to class level)
are (validly) described. Thus, only a low number of higher taxonomic ranks is
currently distinguished within the Acidobacteria. Additionally, the distribution
of the known acidobacterial species within the described families of the
Acidobacteriaceae (sd1), Bryobacteraceae (sd3), Blastocatellaceae (sd4),
Pyrinomonadaceae (sd4), Holophagaceae (sd8) and Acanthopleuribacteraceae (sd8) is
extremely biased as most strains are affiliated with the Acidobacteriaceae.
Members of this family are characteristic for acidic soils. In contrast, culture
independent analysis of microbial communities worldwide revealed that sd6
Acidobacteria prevail in soils with neutral pH. To improve the existing
acidobacterial taxonomy, we here formally describe the first family within sd6
Acidobacteria, the Vicinamibacteraceae. Members of the Vicinamibacteraceae are
aerobic, neutrophilic, psychrotolerant to mesophilic chemoheterotrophs. Their
cells stain Gram-negative, do not form capsules or spores, and are non-motile.
They occur as single cells or in aggregates and divide by binary fission. Growth
occurs on sugars or complex proteinaceous compounds. MK-8 is the major quinone.
Major fatty acids are iso-C15 : 0, summed feature 3 (C16 : 1omega7c/C16 :
1omega6c), C18 : 1omega7c or omega9c, iso-C17 : 1omega9c, C16 : 0 and iso-C17 :
0. Diphosphatidylglycerol, phosphatidylethanolamine, phosphatidylcholine and
phosphatidylglycerol are the major polar lipids. Unidentified glycolipids or
unknown phospholipids might also be present. The G+C content of the DNA ranges
from 64.7 to 65.9 mol%. Within the Vicinamibacteraceae fam. nov., Vicinamibacter
and Luteitalea are the only genera described so far.
PMID- 29809125
TI - [A foreign object in the frontal lobe].
AB - In this case report a 77-year-old male tumbled in his lavatory and hit his head
against a toilet roll holder. The holder penetrated his eye and orbita and left a
0.5 * 1 cm cylindrical piece of plastic inside his frontal lobe. He was admitted
at a neurosurgical department, where the foreign object was removed. In this
report, we summarise some of the basic principles of handling patients with a
penetrating brain trauma, including risk of infection, control of bleeding and
technical considerations before surgically removing a foreign object.
PMID- 29809126
TI - [Metastasis from a malignant melanoma presenting as a gall bladder polyp].
AB - Gall bladder polyps larger than 10 mm hold an increased risk of malignancy. In
this case report, a metastasis from a superficial spreading malignant melanoma
level IV presented as a large gall bladder polyp in a 52-year-old woman. The
melanoma had been surgically resected eight years earlier. The most frequent
distant metastatic sites of malignant melanoma are soft tissue, lung, liver, skin
and brain, but metastasis to the gallbladder is rare. It is important to refer
patients with large gall bladder polyps to centres with expertise in liver
surgery.
PMID- 29809127
TI - [Mental-physical multimorbidity].
AB - Mental-physical multimorbidity is highly prevalent and challenges the
conventional thinking of the single disease paradigm in healthcare systems.
Mental and physical health are closely related in a bidirectional manner. Persons
with mental-physical multimorbidity experience a heavy symptom and treatment
burden in addition to a lower quality of life and an impaired medical prognosis.
Healthcare is complicated by symptom overlap, poor communication and
uncoordinated care. New cross-sectional and patient-centred approaches are
necessary to counter the adversities of mental-physical multimorbidity.
PMID- 29809128
TI - [X-chromosome loss can be an age-related phenomenon in women].
AB - During chromosome analysis the finding of few cells with X-chromosome aneuploidy
in a phenotypically normal woman is not unusual - so-called low-grade mosaicism
for X aneuploidy (LLX-A). Such results can be difficult to interpret. In this
review, LLX-A and its clinical implications are discussed, and based on the
current literature it can be concluded, that LLX-A is an age-related phenomenon
not related to reproductive issues such as recurrent abortions. This should be
taken into account in any laboratory performing chromosome analysis.
PMID- 29809129
TI - [Turban tumour with intracranial invasion].
AB - This is a case report of a 76-year-old woman, who had Brooke-Spiegler syndrome
(BSS) and presented with multiple confluent tumours of the scalp. An MRI showed
an intracranial invasion. Multiple excisions were made due to recurrence of the
intracranial tumour, and reconstruction was achieved with free flaps and skin
grafts. BSS is caused by a mutation in CYLD, a tumour suppressor gene located on
chromosome 16q12-q13. Surgical excision is often not a curative treatment, and
the recurrence rate is 35%. Quality of life is significantly affected with
regards to cosmetic appearance, painful tumours and multiple surgical treatments.
PMID- 29809130
TI - [Femoral vein transposition arteriovenous fistula for haemodialysis].
AB - An upper extremity vascular access (VA) is preferred for haemodialysis, but when
the central veins are occluded or all opportunities are exhausted, a lower
extremity VA is necessary. Transposition of the superficial femoral vein is a
durable arteriovenous fistula and should be the first choice in patients with
long expected remaining lifetime. Peripheral arterial disease and heart failure
are contraindications, and careful selection of the patients is mandatory. There
is a risk of ischaemic steal and an even higher risk of wound complications. It
is recommended, that the procedure is centralised.
PMID- 29809131
TI - Cerebellar high-grade gliomas do not present the same molecular alterations as
supratentorial high-grade gliomas and may show histone H3 gene mutations.
AB - Numerous molecular alterations have been described in supratentorial high-grade
gliomas (1p19q co-deletion, IDH1/2, histone H3, hTERT promotor mutations, loss of
ATRX) which have led to a new histomolecular classification of diffuse gliomas.
We aimed at describing these alterations in a series of 19 adults with pure
cerebellar high-grade gliomas. Systematic immunohistochemical analyses, including
that of IDH1R132H, ATRX, p53, PTEN, EGFR, p16, FGFR3, BRAFV600E, mismatch repair
proteins, H3K27me3, H3K36me3, and H3K27M; molecular analyses of IDH1/2, hTERT,
BRAF, H3F3A, and HIST1H3B mutation hotspots; and EGFR, PTEN FISH were
retrospectively performed in a multicentric study. We histopathologically
identified 14 glioblastomas, 4 grade III astrocytomas and 1 gliosarcoma. Two
cases showed a H3F3A K27M mutation. Only one case harbored a classical profile of
glioblastoma with hTERT mutation, EGFR gain and 10q loss. The most frequent
alteration was the absence of p16 immunoexpression. We report a histomolecular
analysis of pure cerebellar high grade gliomas. The histomolecular profile
appears to be different from that of supratentorial gliomas, with no IDH1/2 gene
mutations and only 1 case with a classic profile of de novo glioblastoma. In 2
cases, we identified H3F3A K27M mutation, classically described in pediatric
midline gliomas.?.
PMID- 29809132
TI - Effect of perindopril and bisoprolol on IL-2, INF-gamma, hs-CRP and T-cell
stimulation and correlations with blood pressure in mild and moderate
hypertension.
AB - INTRODUCTION: Cardiovascular disease is the leading global cause of death. Its
development is largely determined by lifestyle and cardiovascular risk factors,
among which arterial hypertension (HT) plays a key role. Available data indicate
a significant role of inflammation in the pathophysiology of HT. OBJECTIVES: The
aim of this study was to assess concentrations of mediators of inflammation in
patients with mild and moderate HT and its modulation with antihypertensive
treatment. MATERIALS AND METHODS: 56 subjects: 17 with first- and 20 with second
grade HT, and 19 healthy subjects constituting a control group. Antihypertensive
therapy: in first-grade HT, perindopril 5 mg daily, and in second-grade HT,
bisoprolol (5 mg daily) additionally were ordered. Before and after a 4-week
treatment, interleukine-2, interferon-gamma, and high-sensitivity C-reactive
protein serum concentrations were assessed. RESULTS: hs-CRP concentration was
higher in hypertensive patients, and the difference was statistically significant
in patients with second-grade HT compared to the control group (1.42 mg/L vs.
2.55 mg/L; p = 0.003). Antihypertensive treatment was associated with hs-CRP
decrease. IL-2 concentration was 14.8% (p = 0.005) higher in first- and 22.2% (p
= 0.002) higher in second-grade HT compared to the controls. Treatment
significantly reduced concentration of IL-2. IFN-gamma concentrations, compared
to the control group, were higher by 19.6% (p < 0.001) and 39.9% (p < 0.001) in
1st and 2nd grade HT, respectively. CONCLUSION: Increased values of blood
pressure were accompanied by higher concentrations of cytokines and hs-CRP.
Reversal of adverse T-cell stimulation was observed after 4-week therapy.?.
PMID- 29809133
TI - Targeted cortical reorganization using optogenetics in non-human primates.
AB - Brain stimulation modulates the excitability of neural circuits and drives
neuroplasticity. While the local effects of stimulation have been an active area
of investigation, the effects on large-scale networks remain largely unexplored.
We studied stimulation-induced changes in network dynamics in two macaques. A
large-scale optogenetic interface enabled simultaneous stimulation of excitatory
neurons and electrocorticographic recording across primary somatosensory (S1) and
motor (M1) cortex (Yazdan-Shahmorad et al., 2016). We tracked two measures of
network connectivity, the network response to focal stimulation and the baseline
coherence between pairs of electrodes; these were strongly correlated before
stimulation. Within minutes, stimulation in S1 or M1 significantly strengthened
the gross functional connectivity between these areas. At a finer scale,
stimulation led to heterogeneous connectivity changes across the network. These
changes reflected the correlations introduced by stimulation-evoked activity,
consistent with Hebbian plasticity models. This work extends Hebbian plasticity
models to large-scale circuits, with significant implications for stimulation
based neurorehabilitation.
PMID- 29809134
TI - Social interaction-induced activation of RNA splicing in the amygdala of
microbiome-deficient mice.
AB - Social behaviour is regulated by activity of host-associated microbiota across
multiple species. However, the molecular mechanisms mediating this relationship
remain elusive. We therefore determined the dynamic, stimulus-dependent
transcriptional regulation of germ-free (GF) and GF mice colonised post weaning
(exGF) in the amygdala, a brain region critically involved in regulating social
interaction. In GF mice the dynamic response seen in controls was attenuated and
replaced by a marked increase in expression of splicing factors and alternative
exon usage in GF mice upon stimulation, which was even more pronounced in exGF
mice. In conclusion, we demonstrate a molecular basis for how the host microbiome
is crucial for a normal behavioural response during social interaction. Our data
further suggest that social behaviour is correlated with the gene-expression
response in the amygdala, established during neurodevelopment as a result of host
microbe interactions. Our findings may help toward understanding
neurodevelopmental events leading to social behaviour dysregulation, such as
those found in autism spectrum disorders (ASDs).
PMID- 29809137
TI - A cerebellar substrate for cognition evolved multiple times independently in
mammals.
AB - Given that complex behavior evolved multiple times independently in different
lineages, a crucial question is whether these independent evolutionary events
coincided with modifications to common neural systems. To test this question in
mammals, we investigate the lateral cerebellum, a neurobiological system that is
novel to mammals, and is associated with higher cognitive functions. We map the
evolutionary diversification of the mammalian cerebellum and find that relative
volumetric changes of the lateral cerebellar hemispheres (independent of
cerebellar size) are correlated with measures of domain-general cognition in
primates, and are characterized by a combination of parallel and convergent
shifts towards similar levels of expansion in distantly related mammalian
lineages. Results suggest that multiple independent evolutionary occurrences of
increased behavioral complexity in mammals may at least partly be explained by
selection on a common neural system, the cerebellum, which may have been subject
to multiple independent neurodevelopmental remodeling events during mammalian
evolution.
PMID- 29809136
TI - Semisynthetic biosensors for mapping cellular concentrations of nicotinamide
adenine dinucleotides.
AB - We introduce a new class of semisynthetic fluorescent biosensors for the
quantification of free nicotinamide adenine dinucleotide (NAD+) and ratios of
reduced to oxidized nicotinamide adenine dinucleotide phosphate (NADPH/NADP+) in
live cells. Sensing is based on controlling the spatial proximity of two
synthetic fluorophores by binding of NAD(P) to the protein component of the
sensor. The sensors possess a large dynamic range, can be excited at long
wavelengths, are pH-insensitive, have tunable response range and can be localized
in different organelles. Ratios of free NADPH/NADP+ are found to be higher in
mitochondria compared to those found in the nucleus and the cytosol. By recording
free NADPH/NADP+ ratios in response to changes in environmental conditions, we
observe how cells can react to such changes by adapting metabolic fluxes.
Finally, we demonstrate how a comparison of the effect of drugs on cellular
NAD(P) levels can be used to probe mechanisms of action.
PMID- 29809135
TI - Rem2 stabilizes intrinsic excitability and spontaneous firing in visual circuits.
AB - Sensory experience plays an important role in shaping neural circuitry by
affecting the synaptic connectivity and intrinsic properties of individual
neurons. Identifying the molecular players responsible for converting external
stimuli into altered neuronal output remains a crucial step in understanding
experience-dependent plasticity and circuit function. Here, we investigate the
role of the activity-regulated, non-canonical Ras-like GTPase Rem2 in visual
circuit plasticity. We demonstrate that Rem2-/- mice fail to exhibit normal
ocular dominance plasticity during the critical period. At the cellular level,
our data establish a cell-autonomous role for Rem2 in regulating intrinsic
excitability of layer 2/3 pyramidal neurons, prior to changes in synaptic
function. Consistent with these findings, both in vitro and in vivo recordings
reveal increased spontaneous firing rates in the absence of Rem2. Taken together,
our data demonstrate that Rem2 is a key molecule that regulates neuronal
excitability and circuit function in the context of changing sensory experience.
PMID- 29809139
TI - Metabolic interactions between dynamic bacterial subpopulations.
AB - Individual microbial species are known to occupy distinct metabolic niches within
multi-species communities. However, it has remained largely unclear whether
metabolic specialization can similarly occur within a clonal bacterial
population. More specifically, it is not clear what functions such specialization
could provide and how specialization could be coordinated dynamically. Here, we
show that exponentially growing Bacillus subtilis cultures divide into distinct
interacting metabolic subpopulations, including one population that produces
acetate, and another population that differentially expresses metabolic genes for
the production of acetoin, a pH-neutral storage molecule. These subpopulations
exhibit distinct growth rates and dynamic interconversion between states.
Furthermore, acetate concentration influences the relative sizes of the different
subpopulations. These results show that clonal populations can use metabolic
specialization to control the environment through a process of dynamic,
environmentally-sensitive state-switching.
PMID- 29809141
TI - Hippo signaling determines the number of venous pole cells that originate from
the anterior lateral plate mesoderm in zebrafish.
AB - The differentiation of the lateral plate mesoderm cells into heart field cells
constitutes a critical step in the development of cardiac tissue and the genesis
of functional cardiomyocytes. Hippo signaling controls cardiomyocyte
proliferation, but the role of Hippo signaling during early cardiogenesis remains
unclear. Here, we show that Hippo signaling regulates atrial cell number by
specifying the developmental potential of cells within the anterior lateral plate
mesoderm (ALPM), which are incorporated into the venous pole of the heart tube
and ultimately into the atrium of the heart. We demonstrate that Hippo signaling
acts through large tumor suppressor kinase 1/2 to modulate BMP signaling and the
expression of hand2, a key transcription factor that is involved in the
differentiation of atrial cardiomyocytes. Collectively, these results demonstrate
that Hippo signaling defines venous pole cardiomyocyte number by modulating both
the number and the identity of the ALPM cells that will populate the atrium of
the heart.
PMID- 29809138
TI - Microglial transglutaminase-2 drives myelination and myelin repair via
GPR56/ADGRG1 in oligodendrocyte precursor cells.
AB - In the central nervous system (CNS), myelin formation and repair are regulated by
oligodendrocyte (OL) lineage cells, which sense and integrate signals from their
environment, including from other glial cells and the extracellular matrix (ECM).
The signaling pathways that coordinate this complex communication, however,
remain poorly understood. The adhesion G protein-coupled receptor ADGRG1 (also
known as GPR56) is an evolutionarily conserved regulator of OL development in
humans, mice, and zebrafish, although its activating ligand for OL lineage cells
is unknown. Here, we report that microglia-derived transglutaminase-2 (TG2)
signals to ADGRG1 on OL precursor cells (OPCs) in the presence of the ECM protein
laminin and that TG2/laminin-dependent activation of ADGRG1 promotes OPC
proliferation. Signaling by TG2/laminin to ADGRG1 on OPCs additionally improves
remyelination in two murine models of demyelination. These findings identify a
novel glia-to-glia signaling pathway that promotes myelin formation and repair,
and suggest new strategies to enhance remyelination.
PMID- 29809140
TI - Functional and structural characterization of an ECF-type ABC transporter for
vitamin B12.
AB - Vitamin B12 (cobalamin) is the most complex B-type vitamin and is synthetized
exclusively in a limited number of prokaryotes. Its biologically active variants
contain rare organometallic bonds, which are used by enzymes in a variety of
central metabolic pathways such as L-methionine synthesis and ribonucleotide
reduction. Although its biosynthesis and role as co-factor are well understood,
knowledge about uptake of cobalamin by prokaryotic auxotrophs is scarce. Here, we
characterize a cobalamin-specific ECF-type ABC transporter from Lactobacillus
delbrueckii, ECF-CbrT, and demonstrate that it mediates the specific, ATP
dependent uptake of cobalamin. We solved the crystal structure of ECF-CbrT in an
apo conformation to 3.4 A resolution. Comparison with the ECF transporter for
folate (ECF-FolT2) from the same organism, reveals how the identical ECF module
adjusts to interact with the different substrate binding proteins FolT2 and CbrT.
ECF-CbrT is unrelated to the well-characterized B12 transporter BtuCDF, but their
biochemical features indicate functional convergence.
PMID- 29809142
TI - Covalent linkage of the DNA repair template to the CRISPR-Cas9 nuclease enhances
homology-directed repair.
AB - The CRISPR-Cas9 targeted nuclease technology allows the insertion of genetic
modifications with single base-pair precision. The preference of mammalian cells
to repair Cas9-induced DNA double-strand breaks via error-prone end-joining
pathways rather than via homology-directed repair mechanisms, however, leads to
relatively low rates of precise editing from donor DNA. Here we show that spatial
and temporal co-localization of the donor template and Cas9 via covalent linkage
increases the correction rates up to 24-fold, and demonstrate that the effect is
mainly caused by an increase of donor template concentration in the nucleus.
Enhanced correction rates were observed in multiple cell types and on different
genomic loci, suggesting that covalently linking the donor template to the Cas9
complex provides advantages for clinical applications where high-fidelity repair
is desired.
PMID- 29809144
TI - Energy exchanges at contact events guide sensorimotor integration.
AB - The brain must consider the arm's inertia to predict the arm's movements elicited
by commands impressed upon the muscles. Here, we present evidence suggesting that
the integration of sensory information leading to the representation of the arm's
inertia does not take place continuously in time but only at discrete transient
events, in which kinetic energy is exchanged between the arm and the environment.
We used a visuomotor delay to induce cross-modal variations in state feedback and
uncovered that the difference between visual and proprioceptive velocity
estimations at isolated collision events was compensated by a change in the
representation of arm inertia. The compensation maintained an invariant estimate
across modalities of the expected energy exchange with the environment. This
invariance captures different types of dysmetria observed across individuals
following prolonged exposure to a fixed intermodal temporal perturbation and
provides a new interpretation for cerebellar ataxia.
PMID- 29809146
TI - mTORC1 and mTORC2 differentially promote natural killer cell development.
AB - Natural killer (NK) cells are innate lymphoid cells that are essential for innate
and adaptive immunity. Mechanistic target of rapamycin (mTOR) is critical for NK
cell development; however, the independent roles of mTORC1 or mTORC2 in
regulating this process remain unknown. Ncr1iCre-mediated deletion of Rptor or
Rictor in mice results in altered homeostatic NK cellularity and impaired
development at distinct stages. The transition from the CD27+CD11b- to the
CD27+CD11b+ stage is impaired in Rptor cKO mice, while, the terminal maturation
from the CD27+CD11b+ to the CD27-CD11b+ stage is compromised in Rictor cKO mice.
Mechanistically, Raptor-deficiency renders substantial alteration of the gene
expression profile including transcription factors governing early NK cell
development. Comparatively, loss of Rictor causes more restricted transcriptome
changes. The reduced expression of T-bet correlates with the terminal maturation
defects and results from impaired mTORC2-AktS473-FoxO1 signaling. Collectively,
our results reveal the divergent roles of mTORC1 and mTORC2 in NK cell
development.
PMID- 29809147
TI - A twist defect mechanism for ATP-dependent translocation of nucleosomal DNA.
AB - As superfamily 2 (SF2)-type translocases, chromatin remodelers are expected to
use an inchworm-type mechanism to walk along DNA. Yet how they move DNA around
the histone core has not been clear. Here we show that a remodeler ATPase motor
can shift large segments of DNA by changing the twist and length of nucleosomal
DNA at superhelix location 2 (SHL2). Using canonical and variant 601 nucleosomes,
we find that the Saccharomyces cerevisiae Chd1 remodeler decreased DNA twist at
SHL2 in nucleotide-free and ADP-bound states, and increased twist with transition
state analogs. These differences in DNA twist allow the open state of the ATPase
to pull in ~1 base pair (bp) by stabilizing a small DNA bulge, and closure of the
ATPase to shift the DNA bulge toward the dyad. We propose that such formation and
elimination of twist defects underlie the mechanism of nucleosome sliding by CHD
, ISWI-, and SWI/SNF-type remodelers.
PMID- 29809143
TI - Routine single particle CryoEM sample and grid characterization by tomography.
AB - Single particle cryo-electron microscopy (cryoEM) is often performed under the
assumption that particles are not adsorbed to the air-water interfaces and in
thin, vitreous ice. In this study, we performed fiducial-less tomography on over
50 different cryoEM grid/sample preparations to determine the particle
distribution within the ice and the overall geometry of the ice in grid holes.
Surprisingly, by studying particles in holes in 3D from over 1000 tomograms, we
have determined that the vast majority of particles (approximately 90%) are
adsorbed to an air-water interface. The implications of this observation are wide
ranging, with potential ramifications regarding protein denaturation,
conformational change, and preferred orientation. We also show that fiducial-less
cryo-electron tomography on single particle grids may be used to determine ice
thickness, optimal single particle collection areas and strategies, particle
heterogeneity, and de novo models for template picking and single particle
alignment.
PMID- 29809145
TI - A surface proton antenna in carbonic anhydrase II supports lactate transport in
cancer cells.
AB - Many tumor cells produce vast amounts of lactate and acid, which have to be
removed from the cell to prevent intracellular lactacidosis and suffocation of
metabolism. In the present study, we show that proton-driven lactate flux is
enhanced by the intracellular carbonic anhydrase CAII, which is colocalized with
the monocarboxylate transporter MCT1 in MCF-7 breast cancer cells. Co-expression
of MCTs with various CAII mutants in Xenopus oocytes demonstrated that CAII
facilitates MCT transport activity in a process involving CAII-Glu69 and CAII
Asp72, which could function as surface proton antennae for the enzyme. CAII-Glu69
and CAII-Asp72 seem to mediate proton transfer between enzyme and transporter,
but CAII-His64, the central residue of the enzyme's intramolecular proton
shuttle, is not involved in proton shuttling between the two proteins. Instead,
this residue mediates binding between MCT and CAII. Taken together, the results
suggest that CAII features a moiety that exclusively mediates proton exchange
with the MCT to facilitate transport activity.
PMID- 29809149
TI - Transcriptional profiling reveals extraordinary diversity among skeletal muscle
tissues.
AB - Skeletal muscle comprises a family of diverse tissues with highly specialized
functions. Many acquired diseases, including HIV and COPD, affect specific
muscles while sparing others. Even monogenic muscular dystrophies selectively
affect certain muscle groups. These observations suggest that factors intrinsic
to muscle tissues influence their resistance to disease. Nevertheless, most
studies have not addressed transcriptional diversity among skeletal muscles. Here
we use RNAseq to profile mRNA expression in skeletal, smooth, and cardiac muscle
tissues from mice and rats. Our data set, MuscleDB, reveals extensive
transcriptional diversity, with greater than 50% of transcripts differentially
expressed among skeletal muscle tissues. We detect mRNA expression of hundreds of
putative myokines that may underlie the endocrine functions of skeletal muscle.
We identify candidate genes that may drive tissue specialization, including
Smarca4, Vegfa, and Myostatin. By demonstrating the intrinsic diversity of
skeletal muscles, these data provide a resource for studying the mechanisms of
tissue specialization.
PMID- 29809148
TI - Exportin Crm1 is repurposed as a docking protein to generate microtubule
organizing centers at the nuclear pore.
AB - Non-centrosomal microtubule organizing centers (MTOCs) are important for
microtubule organization in many cell types. In fission yeast Schizosaccharomyces
pombe, the protein Mto1, together with partner protein Mto2 (Mto1/2 complex),
recruits the gamma-tubulin complex to multiple non-centrosomal MTOCs, including
the nuclear envelope (NE). Here, we develop a comparative-interactome mass
spectrometry approach to determine how Mto1 localizes to the NE. Surprisingly, we
find that Mto1, a constitutively cytoplasmic protein, docks at nuclear pore
complexes (NPCs), via interaction with exportin Crm1 and cytoplasmic FG
nucleoporin Nup146. Although Mto1 is not a nuclear export cargo, it binds Crm1
via a nuclear export signal-like sequence, and docking requires both Ran in the
GTP-bound state and Nup146 FG repeats. In addition to determining the mechanism
of MTOC formation at the NE, our results reveal a novel role for Crm1 and the
nuclear export machinery in the stable docking of a cytoplasmic protein complex
at NPCs.
PMID- 29809152
TI - Coloring hidden viruses.
AB - An improved dual-color reporter reveals how the fate of latent HIV-1 depends on
where it integrates in the human genome.
PMID- 29809150
TI - FBXL19 recruits CDK-Mediator to CpG islands of developmental genes priming them
for activation during lineage commitment.
AB - CpG islands are gene regulatory elements associated with the majority of
mammalian promoters, yet how they regulate gene expression remains poorly
understood. Here, we identify FBXL19 as a CpG island-binding protein in mouse
embryonic stem (ES) cells and show that it associates with the CDK-Mediator
complex. We discover that FBXL19 recruits CDK-Mediator to CpG island-associated
promoters of non-transcribed developmental genes to prime these genes for
activation during cell lineage commitment. We further show that recognition of
CpG islands by FBXL19 is essential for mouse development. Together this reveals a
new CpG island-centric mechanism for CDK-Mediator recruitment to developmental
gene promoters in ES cells and a requirement for CDK-Mediator in priming these
developmental genes for activation during cell lineage commitment.
PMID- 29809151
TI - The ER membrane protein complex interacts cotranslationally to enable biogenesis
of multipass membrane proteins.
AB - The endoplasmic reticulum (ER) supports biosynthesis of proteins with diverse
transmembrane domain (TMD) lengths and hydrophobicity. Features in transmembrane
domains such as charged residues in ion channels are often functionally
important, but could pose a challenge during cotranslational membrane insertion
and folding. Our systematic proteomic approaches in both yeast and human cells
revealed that the ER membrane protein complex (EMC) binds to and promotes the
biogenesis of a range of multipass transmembrane proteins, with a particular
enrichment for transporters. Proximity-specific ribosome profiling demonstrates
that the EMC engages clients cotranslationally and immediately following clusters
of TMDs enriched for charged residues. The EMC can remain associated after
completion of translation, which both protects clients from premature degradation
and allows recruitment of substrate-specific and general chaperones. Thus, the
EMC broadly enables the biogenesis of multipass transmembrane proteins containing
destabilizing features, thereby mitigating the trade-off between function and
stability.
PMID- 29809153
TI - Structure of the CLC-1 chloride channel from Homo sapiens.
AB - CLC channels mediate passive Cl- conduction, while CLC transporters mediate
active Cl- transport coupled to H+ transport in the opposite direction. The
distinction between CLC-0/1/2 channels and CLC transporters seems undetectable by
amino acid sequence. To understand why they are different functionally we
determined the structure of the human CLC-1 channel. Its 'glutamate gate'
residue, known to mediate proton transfer in CLC transporters, adopts a location
in the structure that appears to preclude it from its transport function.
Furthermore, smaller side chains produce a wider pore near the intracellular
surface, potentially reducing a kinetic barrier for Cl- conduction. When the
corresponding residues are mutated in a transporter, it is converted to a
channel. Finally, Cl- at key sites in the pore appear to interact with reduced
affinity compared to transporters. Thus, subtle differences in glutamate gate
conformation, internal pore diameter and Cl- affinity distinguish CLC channels
and transporters.
PMID- 29809154
TI - Epigenetic drift of H3K27me3 in aging links glycolysis to healthy longevity in
Drosophila.
AB - Epigenetic alteration has been implicated in aging. However, the mechanism by
which epigenetic change impacts aging remains to be understood. H3K27me3, a
highly conserved histone modification signifying transcriptional repression, is
marked and maintained by Polycomb Repressive Complexes (PRCs). Here, we explore
the mechanism by which age-modulated increase of H3K27me3 impacts adult lifespan.
Using Drosophila, we reveal that aging leads to loss of fidelity in epigenetic
marking and drift of H3K27me3 and consequential reduction in the expression of
glycolytic genes with negative effects on energy production and redox state. We
show that a reduction of H3K27me3 by PRCs-deficiency promotes glycolysis and
healthy lifespan. While perturbing glycolysis diminishes the pro-lifespan
benefits mediated by PRCs-deficiency, transgenic increase of glycolytic genes in
wild-type animals extends longevity. Together, we propose that epigenetic drift
of H3K27me3 is one of the molecular mechanisms that contribute to aging and that
stimulation of glycolysis promotes metabolic health and longevity.
PMID- 29809156
TI - Deficiency of parkin and PINK1 impairs age-dependent mitophagy in Drosophila.
AB - Mutations in the genes for PINK1 and parkin cause Parkinson's disease. PINK1 and
parkin cooperate in the selective autophagic degradation of damaged mitochondria
(mitophagy) in cultured cells. However, evidence for their role in mitophagy in
vivo is still scarce. Here, we generated a Drosophila model expressing the
mitophagy probe mt-Keima. Using live mt-Keima imaging and correlative light and
electron microscopy (CLEM), we show that mitophagy occurs in muscle cells and
dopaminergic neurons in vivo, even in the absence of exogenous mitochondrial
toxins. Mitophagy increases with aging, and this age-dependent rise is abrogated
by PINK1 or parkin deficiency. Knockdown of the Drosophila homologues of the
deubiquitinases USP15 and, to a lesser extent, USP30, rescues mitophagy in the
parkin-deficient flies. These data demonstrate a crucial role for parkin and
PINK1 in age-dependent mitophagy in Drosophila in vivo.
PMID- 29809155
TI - Cdc48-like protein of actinobacteria (Cpa) is a novel proteasome interactor in
mycobacteria and related organisms.
AB - Cdc48 is a AAA+ ATPase that plays an essential role for many cellular processes
in eukaryotic cells. An archaeal homologue of this highly conserved enzyme was
shown to directly interact with the 20S proteasome. Here, we analyze the
occurrence and phylogeny of a Cdc48 homologue in Actinobacteria and assess its
cellular function and possible interaction with the bacterial proteasome. Our
data demonstrate that Cdc48-like protein of actinobacteria (Cpa) forms hexameric
rings and that the oligomeric state correlates directly with the ATPase activity.
Furthermore, we show that the assembled Cpa rings can physically interact with
the 20S core particle. Comparison of the Mycobacterium smegmatis wild-type with a
cpa knockout strain under carbon starvation uncovers significant changes in the
levels of around 500 proteins. Pathway mapping of the observed pattern of changes
identifies ribosomal proteins as a particular hotspot, pointing amongst others
toward a role of Cpa in ribosome adaptation during starvation.
PMID- 29809158
TI - SLC34A3 Intronic Deletion in an Iranian Kindred with Hereditary Hypophosphatemic
Rickets with Hypercalciuria
AB - Objective: To describe clinical findings, biochemical profile and genetic
analysis in an Iranian kindred with hereditary hypophosphatemic rickets with
hypercalciuria (HHRH). Methods: Clinical examination and biochemical profile
results and gene analysis of 12 members of a family of a patient previously
diagnosed with HHRH due to SLC34A3 mutation. Ten healthy controls were also
evaluated. Results: Of the twelve family members three were homozygote and seven
heterozygote for the same SLC34A3 variant found in the proband while two others
were unaffected. All patients had significantly increased risk of kidney stone
formation, bone deformities and short stature compared with unrelated healthy
controls. The heterozygous patients displayed milder clinical symptoms compared
with homozygous patients. In particular they had mild or no hypophosphatemia and
they did not develop skeletal deformities. Recurrent renal stones and
hypercalciuria were the main presentations of the heterozygous patients which may
be confused with familial hypercalciuria. In addition, biochemical analysis
showed significantly low serum sodium and elevated alkaline phosphatase levels in
these patients. Conclusion: Genetic counseling and screening for SLC34A3
mutations can be helpful in adult onset phenotype with unexplained osteoporosis,
bone deformities and especial recurrent renal stones. In subjects with vitamin D
deficiency the results should be interpreted cautiously.
PMID- 29809157
TI - Ciliary and rhabdomeric photoreceptor-cell circuits form a spectral depth gauge
in marine zooplankton.
AB - Ciliary and rhabdomeric photoreceptor cells represent two main lines of
photoreceptor-cell evolution in animals. The two cell types coexist in some
animals, however how these cells functionally integrate is unknown. We used
connectomics to map synaptic paths between ciliary and rhabdomeric photoreceptors
in the planktonic larva of the annelid Platynereis and found that ciliary
photoreceptors are presynaptic to the rhabdomeric circuit. The behaviors mediated
by the ciliary and rhabdomeric cells also interact hierarchically. The ciliary
photoreceptors are UV-sensitive and mediate downward swimming in non-directional
UV light, a behavior absent in ciliary-opsin knockout larvae. UV avoidance
overrides positive phototaxis mediated by the rhabdomeric eyes such that vertical
swimming direction is determined by the ratio of blue/UV light. Since this ratio
increases with depth, Platynereis larvae may use it as a depth gauge during
vertical migration. Our results revealed a functional integration of ciliary and
rhabdomeric photoreceptor cells in a zooplankton larva.
PMID- 29809159
TI - The Role of Irisin, Insulin and Leptin in Maternal and Fetal Interaction
AB - Objective: Insulin is an important hormone for intrauterine growth. Irisin is an
effective myokine in the regulation of physiological insulin resistance in
pregnancy. Leptin and insulin are associated with fetal growth and fetal
adiposity. In this study, we aimed to investigate the relationships between
irisin, insulin and leptin levels and maternal weight gain, as well as
anthropometric measurements in the newborn. Methods: Eighty-four mothers and
newborns were included in the study. Irisin, leptin and insulin levels were
measured in the mothers and in cord blood. Anthropometric measurements in the
newborn, maternal weight at the beginning of the pregnancy and at delivery were
recorded. Results: Birth weight were classified as small for gestational age
(SGA), appropriate for gestational age (AGA) and large for gestational age (LGA).
There was no difference in irisin levels among the groups. Leptin and insulin
levels were found to change significantly according to birth weight (p=0.013, and
p=0.012, respectively). There was a negative correlation between the
anthropometric measurements of the AGA newborns and irisin levels. This
correlation was not observed in SGA and LGA babies. Leptin levels were associated
with fetal adiposity. Conclusion: While irisin levels are not affected by weight
gain during pregnancy nor by birth weight, they show a relationship with
anthropometric measurements in AGA infants. These results may lead to the
understanding of metabolic disorders that will occur in later life.
PMID- 29809160
TI - Clinical Applications and Validation of an Innovative Wound Score.
AB - OBJECTIVE: This study demonstrates the applicability of an innovative wound score
that summates 5 assessments using 2-point (best) to 0-point (worst) grades based
on specific findings to generate a 0- to 10-point wound score for categorizing
diabetic foot ulcers as well as validates its effectiveness. MATERIALS AND
METHODS: Long Beach Wound Scores (LBWS) were determined prospectively over an 18
month period in 105 hospitalized patients, with or without diabetes, with lower
extremity wounds. Wounds were categorized as healthy, problem, or end-stage from
their initial LBWS. Outcomes were graded as good or poor using a 5-level scale.
Outcome information was available and statistically analyzed for comparisons with
initial evaluation LBWSs in 85 patients. RESULTS: In the healthy category, 66.7%
healed or improved and were designated as good outcomes. In the problem category,
83.3% had good outcomes. In the end-stage category, 50.0% had good outcomes.
Outliers for poor outcomes in the healthy category were due to the patients'
comorbidities, and good outcomes in the end-stage category were explained by
successful revascularizations and/or healing of minor amputations. The accuracy
of the LBWS for predicting good versus poor outcomes was 75.3%. CONCLUSIONS: The
0- to 10-point LBWS utilizes objective criteria for grading wounds, has
validation data to confirm its efficacy for predicting outcomes, categorizes
wound management, and is a practical tool to use for Comparative Effectiveness
Research of wound care products and quantifying Minimal Clinically Important
Improvement.
PMID- 29809161
TI - Cost Effectiveness of Becaplermin Gel on Wound Closure for the Treatment of
Pressure Injuries.
AB - OBJECTIVE: This study aims to determine the cost effectiveness of becaplermin gel
on wound healing for the treatment of stage 3 and stage 4 pressure injuries
(PIs). MATERIALS AND METHODS: A 2-stage Markov model was used to predict expected
costs and outcomes of wound healing for becaplermin gel once daily plus good
wound care (BGWC) compared with a placebo gel plus good wound care (control) over
1 year; good wound care consisted of debridement, infection management, and
moisture balance. Patients in both arms received dressing changes and gel
applications twice daily. Outcome data used in the analysis were derived from a
16-week randomized clinical trial. The primary outcome of interest was PI-free
weeks. Transition probabilities for the Markov states were estimated from the
clinical trial. Pressure injury recurrence rates were derived from PI literature.
Utilization for becaplermin was calculated using the manufacturer's recommended
dosing algorithm. Costs were derived from standard cost references and medical
supply wholesalers; economic perspective taken was that of the long-term care
facility. RESULTS: A total of 62 patients completed the study: 31 for BGWC and 31
for control. Over 1 year, patients treated with BGWC had substantially higher PI
free weeks compared with control patients (11.6 vs. 3.1, respectively). Patients
treated with BGWC incurred higher total costs than those receiving the control
treatment. Expected annual direct costs for PI were $3827 for BGWC and $1279 for
the control. The incremental cost-effectiveness ratio was $298 (about $43/day),
indicating that patients would have to pay an extra $298 to gain 1 additional PI
free week. CONCLUSIONS: Becaplermin gel plus good wound care was cost effective
over standard of care, yielding better outcomes at a slightly higher cost and
should be considered for management of PIs.
PMID- 29809162
TI - Simulations of 3D bioprinting: predicting bioprintability of nanofibrillar inks.
AB - 3D bioprinting with cell containing bioinks show great promise in the
biofabrication of patient specific tissue constructs. To fulfil the multiple
requirements of a bioink, a wide range of materials and bioink composition are
being developed and evaluated with regard to cell viability, mechanical
performance and printability. It is essential that the printability and printing
fidelity is not neglected since failure in printing the targeted architecture may
be catastrophic for the survival of the cells and consequently the function of
the printed tissue. However, experimental evaluation of bioinks printability is
time-consuming and must be kept at a minimum, especially when 3D bioprinting with
cells that are valuable and costly. This paper demonstrates how experimental
evaluation could be complemented with computer based simulations to evaluate
newly developed bioinks. Here, a computational fluid dynamics simulation tool was
used to study the influence of different printing parameters and evaluate the
predictability of the printing process. Based on data from oscillation frequency
measurements of the evaluated bioinks, a full stress rheology model was used,
where the viscoelastic behaviour of the material was captured. Simulation of the
3D bioprinting process is a powerful tool and will help in reducing the time and
cost in the development and evaluation of bioinks. Moreover, it gives the
opportunity to isolate parameters such as printing speed, nozzle height, flow
rate and printing path to study their influence on the printing fidelity and the
viscoelastic stresses within the bioink. The ability to study these features more
extensively by simulating the printing process will result in a better
understanding of what influences the viability of cells in 3D bioprinted tissue
constructs.
PMID- 29809163
TI - Achieving bioinspired flapping wing hovering flight solutions on Mars via wing
scaling.
AB - Achieving atmospheric flight on Mars is challenging due to the low density of the
Martian atmosphere. Aerodynamic forces are proportional to the atmospheric
density, which limits the use of conventional aircraft designs on Mars. Here, we
show using numerical simulations that a flapping wing robot can fly on Mars via
bioinspired dynamic scaling. Trimmed, hovering flight is possible in a simulated
Martian environment when dynamic similarity with insects on earth is achieved by
preserving the relevant dimensionless parameters while scaling up the wings three
to four times its normal size. The analysis is performed using a well-validated
2D Navier-Stokes equation solver, coupled to a 3D flight dynamics model to
simulate free flight. The majority of power required is due to the inertia of the
wing because of the ultra-low density. The inertial flap power can be
substantially reduced through the use of a torsional spring. The minimum total
power consumption is 188 W kg-1 when the torsional spring is driven at its
natural frequency.
PMID- 29809164
TI - Phase difference dependence of output power in synchronized stacked spin Hall
nano-oscillators.
AB - Synchronization between stacked spin Hall nano-oscillators (SHNO), attributed to
the spin Hall effect and anisotropic magnetoresistance effect, was studied by
numerical calculations. In order to obtain the synchronized state of the SHNOs,
we considered the magneto-dipolar field, which was calculated in the rectangular
prism. We revealed that the output power depended on the distance between the
SHNOs, as the phase difference between the SHNOs depended on the coupling
strength. For N = 3 (number of SHNOs), we investigated the phase difference by
considering the influence of the coupling strength of all magnetic layers.
Furthermore, we observed that the output power increased with the number of SHNOs
in the synchronization system.
PMID- 29809165
TI - Inherent orbital spin textures in Rashba effect and their implications in spin
orbitronics.
AB - The Rashba effect gives rise to the key feature of chiral spin texture. Recently
it was demonstrated that the orbital angular momentum (OAM) texture forms the
underlying basis for Rashba spin texture. Here we solve a model Hamiltonian of a
generic p-orbital system in the presence of crystal field, internal spin-orbit
coupling (SOC) and inversion symmetry breaking (ISB), and demonstrate, in
addition to OAM and spin texture, the existence of orbital projection (OP) of the
spin texture in a general Rashba system. The unique form of the OP pattern
follows from the same condition for the existence of chirality of the spin
texture. From the analytical results, we obtained the spin polarization as a
function of parameters such as the SOC strength, crystal field splitting and
degree of ISB, and compare them with those from numerical solutions and ab initio
calculations. All three methods yield highly consistent results. Our results
suggest means of external modulation, and elucidate the multi-orbital nature of
the Rashba effect and the underlying OP of the spin texture. The understanding
has potential applications in fields such as spin-orbitronics that requires
delicate control between orbital occupancy and spin momentum.
PMID- 29809166
TI - A polynomial Ansatz for norm-conserving pseudopotentials.
AB - We show that efficient norm-conserving pseudopotentials for electronic structure
calculations can be obtained from a polynomial Ansatz for the potential. Our
pseudopotential is a polynomial of degree ten in the radial variable and fulfils
the same smoothness conditions imposed by the Troullier-Martins method (TM) (1991
Phys. Rev. B 43 1993) where pseudopotentials are represented by a polynomial of
degree twenty-two. We compare our method to the TM approach in electronic
structure calculations for diamond and iron in the bcc structure and find that
the two methods perform equally well in calculations of the total energy.
However, first and second derivatives of the total energy with respect to atomic
coordinates converge significantly faster with the plane wave cutoff if the
standard TM potentials are replaced by the pseudopotentials introduced here.
PMID- 29809167
TI - Flexible modulation of electronic and magnetic properties of zigzag H-MoS2
nanoribbons by crack defects.
AB - The effects of crack defects on electronic and magnetic properties of zigzag MoS2
nanoribbons are investigated systematically by first-principles calculations
based on spin-polarized density functional theory. We find that not only the
electronic and spin transport ability of zigzag MoS2 nanoribbons can be enhanced
significantly by the armchair crack defects, but also their magnetism could be
modulated flexibly by crack defects. Our study suggests that the introduction of
crack defect is a feasible way to modulate the electronic and magnetic properties
of zigzag MoS2 nanoribbons. We further propose that the crack defects may also
provide a useful tool for improving the performance of devices.
PMID- 29809169
TI - ATP11B mediates platinum resistance in ovarian cancer.
PMID- 29809168
TI - Androgen receptor polyglutamine expansion drives age-dependent quality control
defects and muscle dysfunction.
AB - Skeletal muscle has emerged as a critical, disease-relevant target tissue in
spinal and bulbar muscular atrophy, a degenerative disorder of the neuromuscular
system caused by a CAG/polyglutamine (polyQ) expansion in the androgen receptor
(AR) gene. Here, we used RNA-sequencing (RNA-Seq) to identify pathways that are
disrupted in diseased muscle using AR113Q knockin mice. This analysis
unexpectedly identified substantially diminished expression of numerous
ubiquitin/proteasome pathway genes in AR113Q muscle, encoding approximately 30%
of proteasome subunits and 20% of E2 ubiquitin conjugases. These changes were
age, hormone, and glutamine length dependent and arose due to a toxic gain of
function conferred by the mutation. Moreover, altered gene expression was
associated with decreased levels of the proteasome transcription factor NRF1 and
its activator DDI2 and resulted in diminished proteasome activity. Ubiquitinated
ADRM1 was detected in AR113Q muscle, indicating the occurrence of stalled
proteasomes in mutant mice. Finally, diminished expression of Drosophila
orthologues of NRF1 or ADRM1 promoted the accumulation of polyQ AR protein and
increased toxicity. Collectively, these data indicate that AR113Q muscle develops
progressive proteasome dysfunction that leads to the impairment of quality
control and the accumulation of polyQ AR protein, key features that contribute to
the age-dependent onset and progression of this disorder.
PMID- 29809171
TI - Human grasping database for activities of daily living with depth, color and
kinematic data streams.
AB - This paper presents a grasping database collected from multiple human subjects
for activities of daily living in unstructured environments. The main strength of
this database is the use of three different sensing modalities: color images from
a head-mounted action camera, distance data from a depth sensor on the dominant
arm and upper body kinematic data acquired from an inertial motion capture suit.
3826 grasps were identified in the data collected during 9-hours of experiments.
The grasps were grouped according to a hierarchical taxonomy into 35 different
grasp types. The database contains information related to each grasp and
associated sensor data acquired from the three sensor modalities. We also provide
our data annotation software written in Matlab as an open-source tool. The size
of the database is 172 GB. We believe this database can be used as a stepping
stone to develop big data and machine learning techniques for grasping and
manipulation with potential applications in rehabilitation robotics and
intelligent automation.
PMID- 29809170
TI - Trefoil factor 1 inhibits epithelial-mesenchymal transition of pancreatic
intraepithelial neoplasm.
AB - The tumor-suppressive role of trefoil factor family (TFF) members in gastric
carcinogenesis has been suggested, but their significance and mechanisms in other
digestive diseases remain elusive. To clarify the role of TFF1 in pancreatic
carcinogenesis, we performed IHC on human samples, transfected siRNA against TFF1
into pancreatic cancer cell lines, and employed mouse models in which PanIN
development and loss of TFF1 occur simultaneously. In human samples, the
expression of TFF1 was specifically observed in pancreatic intraepithelial
neoplasm (PanIN), but was frequently lost in the invasive component of pancreatic
ductal adenocarcinoma (PDAC). When the expression of TFF1 was suppressed in
vitro, pancreatic cancer cell lines showed enhanced invasive ability and features
of epithelial-mesenchymal transition (EMT), including upregulated Snail
expression. TFF1 expression was also observed in PanIN lesions of Pdx-1 Cre; LSL
KRASG12D (KC) mice, a model of pancreatic cancer, and loss of TFF1 in these mice
resulted in the expansion of PanIN lesions, an EMT phenotype in PanIN cells, and
an accumulation of cancer-associated fibroblasts (CAFs), eventually resulting in
the development of invasive adenocarcinoma. This study indicates that the
acquisition of TFF1 expression is an early event in pancreatic carcinogenesis and
that TFF1 might act as a tumor suppressor to prevent EMT and the invasive
transformation of PanIN.
PMID- 29809172
TI - A Research Graph dataset for connecting research data repositories using RD
Switchboard.
AB - This paper describes the open access graph dataset that shows the connections
between Dryad, CERN, ANDS and other international data repositories to
publications and grants across multiple research data infrastructures. The graph
dataset was created using the Research Graph data model and the Research Data
Switchboard (RD-Switchboard), a collaborative project by the Research Data
Alliance DDRI Working Group (DDRI WG) with the aim to discover and connect the
related research datasets based on publication co-authorship or jointly funded
grants. The graph dataset allows researchers to trace and follow the paths to
understanding a body of work. By mapping the links between research datasets and
related resources, the graph dataset improves both their discovery and
visibility, while avoiding duplicate efforts in data creation. Ultimately, the
linked datasets may spur novel ideas, facilitate reproducibility and re-use in
new applications, stimulate combinatorial creativity, and foster collaborations
across institutions.
PMID- 29809173
TI - 3D scans, angles of repose and bulk densities of 108 bulk material heaps.
AB - This paper presents a dataset of spatial data, angles of repose and bulk
densities collected from 108 bulk material heaps. The investigated materials were
lignite, wood chips, limestone, blast furnace coke, fresh and dried corn grains,
milk powder as well as bituminous coal. Sample sizes range from 16 liters to
approximately 220 liters, and each measurement was repeated four times to allow
for variance assessment. This dataset is particularly useful for researchers and
engineers, who want to investigate the shape of bulk solid heaps, or who want to
test or benchmark measurement methods concerning heaps of granular matter, such
as the angle of repose.
PMID- 29809174
TI - Micro-computed tomography reconstructions of tibiae of stem cell transplanted
osteogenesis imperfecta mice.
AB - Micro-computed tomography (micro-CT) is commonly used to assess bone quality and
to evaluate the outcome of experimental therapies in animal models of bone
diseases. Generating large datasets is however challenging and data are rarely
made publicly available through shared repositories. Here we describe a dataset
of micro-CT reconstructed scans of the proximal part of 21 tibiae from wild-type
mice, osteogenesis imperfecta mice (homozygous oim/oim) and oim/oim mice
transplanted with human amniotic fluid stem cells. The dataset contains, for each
sample, 991 8-bit Bitmap reconstructed images and a 3D reconstruction of the bone
in the PLY format, available at the online repository Figshare. In line with the
increasing effort to make scientific datasets open-access, our data can be
downloaded and used by other researchers to compare their observations with ours
and to directly test scientific questions on osteogenesis imperfecta bones
without the need to generate complete datasets.
PMID- 29809175
TI - A database of biological and geomorphological sea-level markers from the Last
Glacial Maximum to present.
AB - The last deglacial was an interval of rapid climate and sea-level change,
including the collapse of large continental ice sheets. This database collates
carefully assessed sea-level data from peer-reviewed sources for the interval 0
to 25 thousand years ago (ka), from the Last Glacial Maximum to the present
interglacial. In addition to facilitating site-specific reconstructions of past
sea levels, the database provides a suite of data beyond the range of
modern/instrumental variability that may help hone future sea-level projections.
The database is global in scope, internally consistent, and contains U-series and
radiocarbon dated indicators from both biological and geomorpohological archives.
We focus on far-field data (i.e., away from the sites of the former continental
ice sheets), but some key intermediate (i.e., from the Caribbean) data are also
included. All primary fields (i.e., sample location, elevation, age and context)
possess quantified uncertainties, which-in conjunction with available metadata
allows the reconstructed sea levels to be interpreted within both their
uncertainties and geological context.
PMID- 29809177
TI - Postgraduate surgical education.
PMID- 29809176
TI - SEEG initiative estimates of Brazilian greenhouse gas emissions from 1970 to
2015.
AB - This work presents the SEEG platform, a 46-year long dataset of greenhouse gas
emissions (GHG) in Brazil (1970-2015) providing more than 2 million data records
for the Agriculture, Energy, Industry, Waste and Land Use Change Sectors at
national and subnational levels. The SEEG dataset was developed by the Climate
Observatory, a Brazilian civil society initiative, based on the IPCC guidelines
and Brazilian National Inventories embedded with country specific emission
factors and processes, raw data from multiple official and non-official sources,
and organized together with social and economic indicators. Once completed, the
SEEG dataset was converted into a spreadsheet format and shared via web-platform
that, by means of simple queries, allows users to search data by emission sources
and country and state activities. Because of its effectiveness in producing and
making available data on a consistent and accessible basis, SEEG may
significantly increase the capacity of civil society, scientists and stakeholders
to understand and anticipate trends related to GHG emissions as well as its
implications to public policies in Brazil.
PMID- 29809178
TI - [Esophageal bronchogenic cyst: an uncommon cause of dysphagia in adults. Case
report and literature review].
AB - Backgronund: Bronchogenic cysts result from abnormal budding of the primitive
tracheobronchial tube and are rare congenital cystic lesions. The location of the
cyst depends on the embryological stage of abnormal budding. Although
periesophageal bronchogenic cysts have been frequently reported, a completely
intramural cyst is very rare. Clinical case: A 42-year-old female patient, a
three-month course with retrosternal pain associated with food intake,
accompanied by intermittent dysphagia to solids. Esophagogram, high resolution
thoracic tomography and endoscopic ultrasound are performed, concluding a
probable esophageal bronchogenic cyst. Resection is performed by video-assisted
thoracic surgery, without complications. Patient presents with adequate evolution
and complete remission of the symptomatology. Conclusion: Bronchogenic cysts of
the esophageal wall are extremely uncommon lesions. Its surgical treatment is
indicated to be symptomatic; video-assisted thoracoscopic surgery resection is of
choice, with excellent long-term results and minimal morbidity.
PMID- 29809179
TI - [Obstetric emergencies and non-emergencies at Central Military Hospital (I): Our
vision and the epidemiologic horizon].
AB - Background: Maternal morbidity and mortality pose a significant impact on
national public health, being medical attention of obstetric emergencies (OE) and
non-emergencies (ONE) of capital importance. Methods: Descriptive and
epidemiologic analysis of OE/ONE at a 3rd level military echelon. Results: During
a 34-months span, 48 patients were approached at the emergency department (1.4
admissions/month). Mean age: 29 +/- 3 years (17-41). Eight patients (17%) were
considered OE and 40 (83%) ONE. Fifty-eight percent (n = 28) of patients were
admitted to our institution; 32% (n = 9) were managed under non-surgically basis
and 68% (n = 19) underwent surgical therapy. Most important cause of admission:
postoperative hemorrhage (22%; n = 6). Most frequent operative interventions:
surgical hemostasis maneuvers (31.5%; n = 6). Eighty-two percent (n = 23) of
admissions required management at intensive care unit (ICU), with mean length of
stay of 6.4 +/- 4.9 days (2-21). Thirty-five percent (n = 8) required mechanical
ventilation. Mean score of APACHE II at ICU: 19.4 +/- 8.4; predicted probability
of death: 35.5%. Global morbidity rate: 27% (1.8 complications/patient). Global
mortality rate: 6.2%; specific mortality for pregnant patients 0% (n = 0) and for
post-partum patients12.5% (n = 3). Mortality rate at ICU: 4.3% (n = 1).
Conclusions: Central Military Hospital has delineated and defined several
procedures to decrease maternal morbidity and mortality. Appropriate practice of
these procedures contributes to reach the desired institutional objectives.
PMID- 29809180
TI - [Photographic documentation during safe laparoscopic cholecystectomy].
AB - Introduction: Laparoscopic cholecystectomy is the most frequent procedure for the
general surgeon. Biliary injury is a concern that must be addressed with the
purpose of lowering the rate. The critical view of safety (CVS) is a target of
dissection that impulses safety during the procedure. Objective: Determine by an
ambispective analysis the safety during dissection of laparoscopic
cholecystectomy in Hospital Civil de Culiacan (Mexico). Methods: Descriptive,
ambispective, observational, cross-sectional. Patients admitted to the operating
room for a laparoscopic cholecystectomy were scored with Doublet photography
rating criteria from January 1st 2015 to January 31, 2017. Results: 321 patients
were evaluated, 77.9% were female and 22.1% male. The mean age was 45.57 +/-
16.17 years. 65.4% had admission diagnosis of cholelithiasis, 24.3% acute
cholecystitis, 5.9% chronic cholecystitis, 3.7% hydrocolecist and 0.6%
pyocolecist. Surgeries were scored with Doublet photography. The CVS was obtained
in 41.4% of the procedures with a statistical significance between a HPB surgeon
and a general surgery resident (p <= 0.05). Conclusion: Recording Doublet
photography provides a reliable CVS dissection criterion. It can be easily
reproduced during laparoscopic cholecystectomy. The identification of cystic
structures adds to the culture of safety during laparoscopic cholecystectomy.
PMID- 29809181
TI - [Predictors of acute diverticulitis].
PMID- 29809182
TI - [Management of jejunal diverticulitis. Experience in our center].
AB - Jejunal diverticular disease is a very uncommon pathology often asymptomatic.
Associated complications appear in less than 30% of patients and they can present
as diverticulitis, refractary inflammation, obstruction, hemorrhage, perforation
or intraabdominal abscess formation. Clinical manifestations are usually
unspecific and high suspicion index is required to reach the diagnosis. Treatment
of complications includes volume replacement, transfusions, antibiotic therapy,
percutaneous drainage or surgical intervention. We present a retrospective
observational study of the cases treated in our hospital between 2007 and 2016.
PMID- 29809183
TI - Main factors affecting the loss of multi organ potential donors for
transplantation.
AB - Antecedents: In the field of organ donation and procurement, a possible donor is
a patient with severe neurological damage and appropriate medical criteria for
donation, and a potential donor is a patient suspected of being brain dead.
Objective: The aim of this study is to identify specific factors that cause the
loss of possible multiorgan donors in an intensive care unit (ICU). Methods: A
review of cross-sectional charts of possible liver and kidney donors was done
with patients admitted to the ICU with full respiratory support and Glasgow score
< 8. A multiple logistical regression model was applied to identify the loss of
potential donors previously considered only as possible donors. Results: A total
of 44 charts were reviewed, 26 were possible, and 18 were potential donors. The
mean average was 46.7 and 52.8 years for possible and potential donors,
respectively (p = 0.272). The potential donors experienced frequent intracranial
hemorrhage (19.2 vs. 55.6) or renal injury (3.9 vs. 27.8), and fewer invasive
procedures are performed (34.6 vs. 5.6) (p <0.05). Invasive procedure resulted
significant (p = 0.013) when a multivariate analysis was done. Discussion and
conclusions: Patients submitted to invasive procedures have 20 times more
probabilities of being lost as kidney donors even when originally considered as
possible donors. Medical or surgery procedures are the leading cause for the loss
of potential donors, so an opportune detection is essential.
PMID- 29809184
TI - [Vascular microsurgery course: 40 years at the Centro Medico Nacional 20 de
Noviembre (ISSSTE) and 33 years at the Faculty of Medicine of the UNAM].
AB - On June 1977, "Centro Medico Nacional 20 de Noviembre," in Mexico City,
implemented the first vascular microsurgery course. The aim was to develop
clinical applications of microsurgery focus on surgical specialties, due to the
necessity to develop microsurgical skills. On August 1964 we started the program
course at the surgical department of the School of Medicine at Universidad
Nacional Autonoma de Mexico (UNAM). Actually, our course is given five times a
year at 20 de Noviembre hospital, and four times at the UNAM. One June 2017, the
Experimental Surgical Department at "Centro Medico Nacional 20 de Noviembre"
reached 40 continuous or uninterrupted years of successfully teaching the
microsurgical vascular skills. The aim of this study is to evaluate the
satisfaction degree among the students. For that propose, a written survey was
applied one year later, after successfully completed the course. These results
reveal a satisfaction rate of 80% among these students.
PMID- 29809185
TI - [Effect of the components of the metabolic syndrome on pulmonary function. The
unexpected role of high-density lipoprotein cholesterol].
AB - Background: Metabolic syndrome is a condition that predisposes to cardiovascular
disease and diabetes mellitus. In addition, it can have effects over neoplastic
pathologies, liver and pulmonary function. Our objective is to analyze the effect
of the metabolic syndrome and its components on pulmonary function. Method: 110
subjects from Mexico City were evaluated and anthropometric measurements, glucose
determination, triglycerides and high-density lipoprotein (HDL) cholesterol were
made. They underwent a simple spirometry. Diagnosis of metabolic syndrome was
made following the NCEP-ATPIII criteria. Results: Of 110 individuals, 90 (82%)
were women and 20 men (18%); 71 subjects (65%) presented metabolic syndrome.
Subjects with central obesity had a forced vital capacity (FVC) lower than
subjects without central obesity (2.72 vs. 3.11 liters; p < 0.05). Those with low
HDL had better spirometric results than subjects with normal HDL (FEV1 2.36 vs.
1.85 liters; p < 0.05), FVC (2.95 vs. 2.45 liters; p < 0.05) and FEV1/FVC ratio
(0.78 vs.74; p < 0.05). Hypertensive subjects presented lower volumes in FEV1
(1.91 vs. 2.38; p < 0.05) and FVC (2.49 vs. 2.99; p < 0.05). Conclusion: There is
no difference between the spirometry volumes of patients with metabolic syndrome
versus the metabolically healthy subjects. The only factors associated with a
decrease in FEV1 and FVC are central obesity and arterial hypertension. An
unexpected finding was the negative correlation between HDL levels and lung
function.
PMID- 29809186
TI - [Functional impairment and quality of life after rectal cancer surgery].
AB - Objective: This study determines the quality of life and the anorectal function
of these patients. Method: Observational study of two cohorts comparing patients
undergoing rectal tumor surgery using TaETM or conventional ETM after a minimum
of six months of intestinal transit reconstruction. EORTC-30, EORTC-29 quality of
life questionnaires and the anorectal function assessment questionnaire (LARS
score) are applied. General variables are also collected. Results: 31 patients
between 2011 and 2014: 15 ETM group and 16 TaETM. We do not find statistically
significant differences in quality of life questionnaires or in anorectal
function. Statistically significant general variables: longer surgical time in
the TaETM group. Nosocomial infection and minor suture failure in the TaETM
group. Conclusion: The performance of TaETM achieves the same results in terms of
quality of life and anorectal function as conventional ETM.
PMID- 29809187
TI - [Gallstone ileus, surgical management review].
AB - Background: Gallstone ileus (GI) represents a rare cause of mechanical intestinal
occlusion, which is caused by the impaction of a gallstones at the
gastrointestinal tract, being most frequently the terminal ileum; its etiology is
due to the passage of a calculum through a biliary-enteric fistula. Due to its
low incidence, diagnostic suspicion and adequate initial surgical treatment are
essential for an adequate clinical evolution. Objective: A bibliographic review
on the current surgical management of GI was carried out and exemplified by the
presentation a clinical case. Clinical case: 78-year-old male with bowel
obstruction, upon undergoing a CT scan, a gallstone at the level of distal ileum
is displayed, therefore, an exploratory laparotomy (ex lap) is performed with
enterotomy and extraction of the calculus. The patient bestowed adequate
postoperative clinical evolution, and the presence of a cholecystoduodenal
fistula is documented by an upper endoscopy. Discussion: GI represents an
uncommon pathology, however, there is discrepancy in the literature regarding the
initial surgical management, especially in whether or not a biliary procedure
should be associated with emergency enterolithotomy. Conclusion: GI is associated
with complications secondary to diagnostic delay and its late surgical
resolution, although the initial treatment is aimed at resolving the intestinal
obstruction through enterotomy and gallstone extraction, there is controversy
regarding the preferred time for cholecystectomy and repair of biliary-enteric
fistula, being the two-stage surgery the surgical procedure of choice, especially
in patients with a high risk of complications.
PMID- 29809188
TI - [Mullerian adenosarcoma of the cervix: case report with conservative management].
AB - Background: Mullerian adenosarcoma is a rare gynecological malignancy with a low
malignant potential, with biphasic growth, consisting of a benign epithelial
element and a malignant mesenchymal element. It occurs in all ages predominating
in postmenopausal women. Cervical localization of Mullerian adenosarcomas is
rare; however, it is associated with a presentation in young women. The diagnosis
is made by anatomopathological study of the lesion and immunohistochemistry. The
prognosis is generally good although the recurrence rate is high. Clinical case:
We present the case of a 27-year-old patient who attended a gynecological
consultation with bleeding and transvaginal flow. During the gynecological
examination, a polypoid lesion originating in the cervix was identified, which
was removed by torsion and was diagnosed as Mullerian cervical adenosarcoma.
Subsequently, a cervical cone was performed because the patient refused
hysterectomy. Conclusions: Mullerian cervical adenosarcoma is a rare neoplasm
with a recurrence rate that can reach up to 50% of cases, so close follow-up is
necessary. A local excision can be considered in patients without poor prognosis
factors and who wish to preserve their fertility.
PMID- 29809189
TI - [Confocal microscopy findings after endothelial transplant by DSAEK].
AB - Objective: To evaluate early in vivo corneal wound healing findings after
Descemet's Stripping Automated Endothelial Keratoplasty (DSAEK) by using in vivo
confocal microscopy. Method: A total of 15 eyes of 15 patients were included. In
vivo confocal microscopy (Confoscan 4, Fortune Technologies, Italy) was performed
from 4 to 7 weeks after DSAEK. Measurements were scanned from the corneal
endothelium to the corneal surface with a Navis(r) software (NIDEK, Multi
Instrument Diagnostic System, Japan). Results: Donor-receptor interface was found
in an average of 114 +/- 12.4 microns. Corneal stromal folds were observed from
111.1 +/- 3.5 microns from the endothelium to 286 +/- 94 microns (mean 175 +/-
90.5 microns of the corneal stroma). Keratocites were activated in the donor
tissue from 12 +/- 1.4 microns from the endothelium to 105 +/- 38.2 microns (mean
93 +/- 36.9 microns of the corneal stroma). Conclusions: Donor keratocites are
activated up to 7 weeks after DSAEK. Several corneal folds are present in
proximity to the donor-receptor interface after DSAEK. Further evaluation of
these findings is justified to determine its clinical significance.
PMID- 29809191
TI - [Comparative analysis of diagnostic scales of acute appendicitis: Alvarado,
RIPASA and AIR].
AB - Introduction: Acute appendicitis is the most common surgical disease in emergency
surgery, however, it remains a diagnostic problem and represents a challenge
despite the experience and the different clinical and paraclinical diagnostic
methods. Objective: To evaluate in a comparative way the scale of Alvarado, AIR
and RIPASA to determine which one is best as a diagnostic test of acute
appendicitis in our population in order to arrive to an accurate diagnosis in the
shortest possible time and cost. Method: Observational, prospective, transversal
and comparative study of 137 patients to whom the scale of Alvarado, AIR and
RIPASA was applied, who entered the emergency service of the Civil Hospital of
Culiacan (Mexico) with abdominal pain syndrome suggestive of acute appendicitis.
Results: The Alvarado scale presented sensitivity 97.2% and specificity of 27.6%.
AIR presented sensitivity of 81.9% and specificity of 89.5%. RIPASA showed the
same results as Alvarado. All tests showed diagnostic accuracy above 80.
Conclusions: Alvarado and RIPASA presented good sensitivity, however, AIR is more
specific, and has better accuracy for the diagnosis of acute appendicitis, making
a better screening and thus reducing unnecessary surgeries. Therefore, it is
recommended to use more AIR than Alvarado and RIPASA.
PMID- 29809190
TI - [Implementation of intraoperative neurophysiologic monitoring in children and
adults in secondary and tertiary health care facilities].
AB - Introduction: Intraoperative neurophysiological monitoring (IONM) is a procedure
that uses neurophysiological techniques in order to evaluate the motor and
sensitive systems during surgeries that endanger the nervous system. Method: The
approach, scope, target population, and clinical questions to be answered were
defined. A systematic search of the evidence was conducted step by step; during
the first stage, clinical practice guidelines were collected, during the second
stage systematic reviews were obtained, and during the third stage, clinical
trials and observational studies were procured. The MeSH nomenclature and free
related terminology were used, with no language restrictions and a 5-10 years
frame. The quality of the evidence was graded using the CEPD and SIGN scales.
Results: Obtained using the search algorrhythms of 892 documents. Fifty-eight
were chosen to be included in the qualitative synthesis. A meta-analysis was not
possible due to the heterogeneity of the studies. Conclusions: Eighteen
recommendations were issued and will support the adequate use of the IONM.
PMID- 29809192
TI - Effect of tendon hydrogel on healing of tendon injury.
AB - [This retracts the article DOI: 10.3892/etm.2017.5020.].
PMID- 29809193
TI - Retraction: PDZ-containing 1 acts as a suppressor of pancreatic cancer by
regulating PTEN phosphorylation.
AB - [This retracts the article DOI: 10.18632/oncotarget.20552.].
PMID- 29809194
TI - Correction: Radiotherapy increases plasma levels of tumoral cell-free DNA in non
small cell lung cancer patients.
AB - [This corrects the article DOI: 10.18632/oncotarget.25053.].
PMID- 29809195
TI - Correction: HOTAIR regulates HK2 expression by binding endogenous miR-125 and miR
143 in oesophageal squamous cell carcinoma progression.
AB - [This corrects the article DOI: 10.18632/oncotarget.21195.].
PMID- 29809196
TI - Erratum: Eupatolide inhibits the TGF-beta1-induced migration of breast cancer
cells via downregulation of SMAD3 phosphorylation and transcriptional repression
of ALK5.
AB - [This corrects the article DOI: 10.3892/ol.2017.6957.].
PMID- 29809197
TI - Erratum to: Effect of Aging Process and Time on Physicochemical and Sensory
Evaluation of Raw Beef Top Round and Shank Muscles Using an Electronic Tongue.
AB - [This corrects the article DOI: 10.5851/kosfa.2017.37.6.823.].
PMID- 29809198
TI - Erratum: Melanoma Unknown Primary Brain Metastasis Treatment With ECHO-7
Oncolytic Virus Rigvir: A Case Report.
AB - [This corrects the article on p. 43 in vol. 8, PMID: 29535971.].
PMID- 29809199
TI - Examining Spillovers between Long and Short Repeated Prisoner's Dilemma Games
Played in the Laboratory.
AB - We had participants play two sets of repeated Prisoner's Dilemma (RPD) games, one
with a large continuation probability and the other with a small continuation
probability, as well as Dictator Games (DGs) before and after the RPDs. We find
that, regardless of which is RPD set is played first, participants typically
cooperate when the continuation probability is large and defect when the
continuation probability is small. However, there is an asymmetry in behavior
when transitioning from one continuation probability to the other. When switching
from large to small, transient higher levels of cooperation are observed in the
early games of the small continuation set. Conversely, when switching from small
to large, cooperation is immediately high in the first game of the large
continuation set. We also observe that response times increase when transitioning
between sets of RPDs, except for altruistic participants transitioning into the
set of RPDs with long continuation probabilities. These asymmetries suggest a
bias in favor of cooperation. Finally, we examine the link between altruism and
RPD play. We find that small continuation probability RPD play is correlated with
giving in DGs played before and after the RPDs, whereas high continuation
probability RPD play is not.
PMID- 29809200
TI - Stigma Cues Increase Self-Conscious Emotions and Decrease Likelihood of Attention
to Information about Preventing Stigmatized Health Issues.
AB - Health communications are only effective if target audiences actually receive the
messages. One potential barrier to effective health communication is the
potential stigma of attending to health information, particularly for
stigmatizing health issues. The purpose of the present paper was to examine when
participants report self-conscious emotions (e.g., shame, embarrassment) in
response to health communications, as well as likelihood of reading health
information associated with these emotions. Across three studies, participants
read information about preventing diseases that are either highly stigmatized or
non-stigmatized. Increased accessibility of stigma cues by (a) manipulating the
perceived absence vs. presence of others, or (b) measuring lower vs. higher
rejection sensitivity resulted in increased self-conscious emotions in response
to information about stigmatized health issues. In addition, stigma cues
decreased the likelihood of reading information about stigmatized (but not non
stigmatized) health information. Implications for health outcomes and
intervention design are discussed.
PMID- 29809201
TI - Erratum: Simvastatin inhibits the apoptosis of hippocampal cells in a mouse model
of Alzheimer's disease.
AB - [This corrects the article DOI: 10.3892/etm.2017.5620.].
PMID- 29809202
TI - Corrigendum: Nitric Oxide Enables Germination by a Four-Pronged Attack on ABA
Induced Seed Dormancy.
AB - [This corrects the article on p. 296 in vol. 9, PMID: 29593760.].
PMID- 29809204
TI - Bridging the Gap Between Micro and Macro Practice to Address Homelessness in the
U.S.-Mexico Border Region: Implications for Practitioners and Community
Stakeholders.
AB - Research and scholarship efforts continue to promote the integration of micro and
macro practice in social work practice and education. Despite this, scholarship
has documented persistent challenges in the fluid integration between the domains
of micro-level service provision and macro-level social change efforts in
practice and academic programs. This paper outlines a successful bridge between
the micro-macro divide in the form of community-engaged practice to address
homelessness and social work education in the U.S.-Mexico border region. MSW
students enrolled in a macro-level course at the University of Texas at El Paso's
College of Health Sciences successfully partnered with the Opportunity Center for
the Homeless, a grassroots community-based organization serving individuals
experiencing homelessness. The narrative describes how students were effectively
able to apply both micro- and macro-level skills learned in the classroom to an
experiential learning environment while providing much-needed assistance to an
underfunded community-based organization. A set of challenges and recommendations
are also discussed. Research initiatives are needed to evaluate and test clinical
and community work initiatives, including the use of photovoice methodology to
address homelessness, while being responsive to community needs and challenges.
PMID- 29809206
TI - Dating Medical Translations.
AB - The third/ninth-century translator Hunayn b. Ishaq and his associates produced
more than a hundred mostly medical translations from Greek into Syriac and then
into Arabic. We know little about the chronology of these translations, except
for a few scattered remarks in Hunayn's Risala (Epistle). This article attempts
to reconstruct the chronology based on Hippocratic quotations in the Arabic
translation of Galen's works. Hippocratic writings were usually not translated
independently but embedded in Galen's commentaries, so a comparison between this
"embedded" Hippocrates and quotations from the same Hippocratic text elsewhere in
the Arabic Galen might reveal chronological relationships. The findings of this
collation are thought- provoking, but they need to be weighed against the
uncertainties surrounding translation methods and potential interference by well
meaning later scholars and scribes.
PMID- 29809203
TI - Actin-related proteins regulate the RSC chromatin remodeler by weakening
intramolecular interactions of the Sth1 ATPase.
AB - The catalytic subunits of SWI/SNF-family and INO80-family chromatin remodelers
bind actin and actin-related proteins (Arps) through an N-terminal helicase/SANT
associated (HSA) domain. Between the HSA and ATPase domains lies a conserved post
HSA (pHSA) domain. The HSA domain of Sth1, the catalytic subunit of the yeast
SWI/SNF-family remodeler RSC, recruits the Rtt102-Arp7/9 heterotrimer. Rtt102
Arp7/9 regulates RSC function, but the mechanism is unclear. We show that the
pHSA domain interacts directly with another conserved region of the catalytic
subunit, protrusion-1. Rtt102-Arp7/9 binding to the HSA domain weakens this
interaction and promotes the formation of stable, monodisperse complexes with DNA
and nucleosomes. A crystal structure of Rtt102-Arp7/9 shows that ATP binds to
Arp7 but not Arp9. However, Arp7 does not hydrolyze ATP. Together, the results
suggest that Rtt102 and ATP stabilize a conformation of Arp7/9 that potentiates
binding to the HSA domain, which releases intramolecular interactions within Sth1
and controls DNA and nucleosome binding.
PMID- 29809207
TI - Insights into structure and dynamics of (Mn,Fe)Ox-promoted Rh nanoparticles.
AB - The mutual interaction between Rh nanoparticles and manganese/iron oxide
promoters in silica-supported Rh catalysts for the hydrogenation of CO to higher
alcohols was analyzed by applying a combination of integral techniques including
temperature-programmed reduction (TPR), X-ray photoelectron spectroscopy (XPS), X
ray absorption spectroscopy (XAS) and Fourier transform infrared (FTIR)
spectroscopy with local analysis by using high angle annular dark-field scanning
transmission electron microscopy (HAADF-STEM) in combination with energy
dispersive X-ray spectroscopy (EDX). The promoted catalysts show reduced CO
adsorption capacity as evidenced through FTIR spectroscopy, which is attributed
to a perforated core-shell structure of the Rh nano-particles in accordance with
the microstructural analysis from electron microscopy. Iron and manganese occur
in low formal oxidation states between 2+ and zero in the reduced catalysts as
shown by using TPR and XAS. Infrared spectroscopy measured in diffuse reflectance
at reaction temperature and pressure indicates that partial coverage of the Rh
particles is maintained at reaction temperature under operation and that the
remaining accessible metal adsorption sites might be catalytically less relevant
because the hydrogenation of adsorbed carbonyl species at 523 K and 30 bar
hydrogen essentially failed. It is concluded that Rh0 is poisoned due to the
adsorption of CO under the reaction conditions of CO hydrogenation. The active
sites are associated either with a (Mn,Fe)Ox (x < 0.25) phase or species at the
interface between Rh and its co-catalyst (Mn,Fe)Ox.
PMID- 29809205
TI - When is Retention in Health Promotion Interventions Intentional? Predicting
Return to Health Promotion Interventions as a Function of Busyness.
AB - To test when intentional decisions enhance retention in health-promotion
interventions, we analyzed the rate of return of 278 clients of HIV-prevention
counseling at a state health department in Florida. Specifically, the role of
intentions as a facilitator of returns was analyzed as a function of busyness
(more children and work hours), while demographic and health factors that also
influenced returns were controlled for. Consistent with the notion that actions
depend on ability, intentions predicted the behavior of the less busy
participants but failed to facilitate retention when participants were occupied
with children and work. These findings suggest the efficacy of different
retention strategies -one emphasizing explicit intention formation, and the other
either attracting clients to counseling on the spot or using more ubiquitous
technologies.
PMID- 29809208
TI - Effects of surface hydroxylation on adhesion at zinc/silica interfaces.
AB - The weak interaction between zinc and silica is responsible for the poor
performance of anti-corrosive galvanic zinc coatings on modern advanced high
strength steels, which are fundamental in the automotive industry, and important
for rail transport, shipbuilding, and aerospace. With the goal of identifying
possible methods for its improvement, we report an ab initio study of the effect
of surface hydroxylation on the adhesion characteristics of model zinc/beta
cristobalite interfaces, representative of various surface
hydroxylation/hydrogenation conditions. We show that surface silanols resulting
from dissociative water adsorption at the most stable stoichiometric (001) and
(111) surfaces prevent strong zinc-silica interactions. However, dehydrogenation
of such interfaces produces oxygen-rich zinc/silica contacts with excellent
adhesion characteristics. These are due to partial zinc oxidation and the
formation of strong iono-covalent Zn-O bonds between zinc atoms and the under
coordinated excess anions, remnant of the hydroxylation layer. Interestingly,
these interfaces appear as the most thermodynamically stable in a wide range of
realistic oxygen-rich and hydrogen-lean environments. We also point out that the
partial oxidation of zinc atoms in direct contact with the oxide substrate may
somewhat weaken the cohesion in the zinc deposit itself. This fundamental
analysis of the microscopic mechanisms responsible for the improved zinc wetting
on pre-hydroxylated silica substrates provides useful guidelines towards
practical attempts to improve adhesion.
PMID- 29809211
TI - Designing shape anisotropic SmCo5 particles by chemical synthesis to reveal the
morphological evolution mechanism.
AB - In this work, we describe a new protocol to synthesize SmCo5 single crystal
particles with remarkable shape anisotropy (hexagonal and rodlike), which exhibit
a giant coercivity of 36.6 kOe and a high Mr/Ms value of 0.95 after an alignment.
On this basis, the morphological evolution mechanism is illustrated by employing
the template effect.
PMID- 29809212
TI - Lasing from lead halide perovskite semiconductor microcavity system.
AB - Organic-inorganic halide perovskite semiconductors are ideal gain media for
fabricating laser and photonic devices due to high absorption, photoluminescence
(PL) efficiency and low nonradiative recombination losses. Herein, organic
inorganic halide perovskite CH3NH3PbI3 is embedded in the Fabry-Perot (FP)
microcavity, and a wavelength-tunable excitonic lasing with a threshold of 12.9
MUJ cm-2 and the spectral coherence of 0.76 nm are realized. The lasing threshold
decreases and the spectral coherence enhances as the temperature decreases; these
results are ascribed to the suppression of exciton irradiative recombination
caused by thermal fluctuation. Moreover, both lasing and light emission below
threshold from the perovskite microcavity (PM) system demonstrate a redshift with
the decreasing temperature. These results provide a feasible platform based on
the PM system for the study of light-matter interaction for quantum optics and
the development of optoelectronic devices such as polariton lasers.
PMID- 29809215
TI - Development of glycosynthases with broad glycan specificity for the efficient
glyco-remodeling of antibodies.
AB - The first systematic investigation of the effect of high mannose, hybrid, and bi-
and tri-antennary complex type glycans on the effector functions of antibodies
was achieved by the discovery of novel Endo-S2 mutants generated by site-directed
mutagenesis as glycosynthases with broad substrate specificity.
PMID- 29809217
TI - Enantioselective total synthesis of sagittacin E and related natural products.
AB - The first enantioselective total synthesis of eremophilane-type sesquiterpenoids,
sagittacin E and related natural products, was achieved. This synthesis features
an asymmetric desymmetrization by Shi asymmetric epoxidation, intramolecular
aldol-type cyclization, allylic oxidation of a 1,4-diene compound, and
stereoselective epoxidation.
PMID- 29809218
TI - Coupling between criticality and gelation in "sticky" spheres: a structural
analysis.
AB - We combine experiments and simulations to study the link between criticality and
gelation in sticky spheres. We employ confocal microscopy to image colloid
polymer mixtures and Monte Carlo simulations of the square-well (SW) potential as
a reference model. To this end, we map our experimental samples onto the SW
model. We find an excellent structural agreement between experiments and
simulations, both for locally favored structures at the single particle level and
large-scale fluctuations at criticality. We follow in detail the rapid structural
change in the critical fluid when approaching the gas-liquid binodal and
highlight the role of critical density fluctuations for this structural
crossover. Our results link the arrested spinodal decomposition to long-lived
energetically favored structures, which grow even away from the binodal due to
the critical scaling of the bulk correlation length and static susceptibility.
PMID- 29809220
TI - CO oxidation over supported gold nanoparticles as revealed by operando grazing
incidence X-ray scattering analysis.
AB - The mechanism of carbon monoxide oxidation over gold was explored using a model
planar catalyst consisting of monodisperse gold nanoparticles periodically
arranged on single crystal SiO2/Si(111) substrates using a combination of Grazing
Incidence Small Angle X-ray Scattering and Grazing Incidence X-ray Diffraction
(GISAXS/GIXD) under reaction conditions. It is shown that nanoparticle
composition, size and shape change when the catalyst is exposed to reactive
gases. During CO oxidation, the particle's submergence depth with respect to the
surface decreases due to the removal of gold oxide at the metal-support edge,
meanwhile the particle 'flattens' to maximise the number of the reaction sites
along its perimeter. The effect of the CO concentration on the catalyst structure
is also discussed. Our results support the dual catalytic sites mechanism whereby
CO is activated on the gold surface whereas molecular oxygen is dissociating at
the gold-support interface.
PMID- 29809219
TI - The role of redox hopping in metal-organic framework electrocatalysis.
AB - The dominant charge transfer mechanism in a vast number of metal-organic
frameworks (MOFs) is that of redox hopping, a process best explained through the
motion of electrons via self-exchange reactions between redox centers coupled to
the motion of counter-balancing ions. Mechanistic studies of redox hopping
transport in MOFs reveal characteristics that recall pioneering studies in linear
redox polymers. When MOFs are employed as electrocatalysts, consideration must be
given to both the catalytic properties - turn-over frequency (TOF) and energetic
requirements (overpotential, TON) - and the charge transport properties - rate of
charge hopping, measured via an apparent diffusion coefficient (Dapp). Herein, we
provide a mathematical framework to provide constraints to MOF catalyst
development by relating Dapp, TOF, and film thickness in the context of providing
10 mA cm-2 of catalytic current. Lastly with the mechanistic studies discussed as
a foundation, design rules for future MOF electrocatalysts are provided and the
challenges to the community to optimize MOF charge transport are laid out.
PMID- 29809221
TI - The environmental-sensitivity of a fluorescent ZTRS-Cd(ii) complex was applied to
discriminate different types of surfactants and determine their CMC values.
AB - We have, for the first time, reported a fluorescent probe (ZTRS-C18-Cd(ii)
complex) which discriminated four types of surfactants. This recognition was
realized depending on the transformation of ZTRS-Cd2+ binding patterns in
different microenvironments formed in various types of surfactants.
PMID- 29809222
TI - Green and rapid mechanosynthesis of high-porosity NU- and UiO-type metal-organic
frameworks.
AB - The use of a dodecanuclear zirconium acetate cluster as a precursor enables the
rapid, clean mechanochemical synthesis of high-microporosity metal-organic
frameworks NU-901 and UiO-67, with surface areas up to 2250 m2 g-1. Real-time X
ray diffraction monitoring reveals that mechanochemical reactions involving the
conventional hexanuclear zirconium methacrylate precursor are hindered by the
formation of an inert intermediate, which does not appear when using the
dodecanuclear acetate cluster as a reactant.
PMID- 29809223
TI - Substituents drive ligand rearrangements, giving dinuclear rather than
mononuclear complexes, and tune CoII/III redox potential.
AB - Three new tetradentate imine ligands, HLHBr, HLClH and HLBrH (HLR1R2) were
synthesised by 2 : 1 condensation of the appropriately n-halo substituted
pyridine-2-carboxaldehyde (5-bromo-4a, 6-bromo-4b or 6-chloro-4c) with 1,3
diaminopropan-2-ol (5). Reactions of each of these three ligands with one
equivalent of cobalt(ii) tetrafluoroborate resulted in the formation of three
N4O2 coordinated cobalt(ii) complexes: the anticipated mononuclear complex
[CoII(HLHBr)(MeOH)2](BF4)2 (1), and two unexpected dinuclear complexes,
[CoII2(LBrH-BF2OMe)]2(BF4)2 (2) and [CoII2(LClH-BF2OMe)]2(BF4)2 (3). Dinuclear 2
and 3 result from complexation of cobalt(ii) to the ligands derived from the
sterically demanding 6-halo substituted pyridine-2-carboxaldehydes (4b and 4c)
undergoing rearrangement, reacting with MeOH and a BF4 anion, resulting in a pair
of borate ester bridges between the two cobalt(ii) centres. A similar type of
rearrangement is proposed for the PF6 analogues. Cyclic voltammetry in
acetonitrile reveals that cobalt(ii) complexes 1-3 undergo a quasi-reversible
oxidation: Em = 0.57, 0.38 and 0.29 V vs. 0.01 AgNO3/Ag, respectively. The
observed Em value is tuned by the ligand, with the 6-chloro-substituent leading
to the lowest Em value being observed for the corresponding cobalt complex, 3,
rather than for either of the complexes of the n-bromo-substituted ligands (n = 6
or 5), 2 and 1.
PMID- 29809224
TI - Correction: CNTs grown on nanoporous carbon from zeolitic imidazolate frameworks
for supercapacitors.
AB - Correction for 'CNTs grown on nanoporous carbon from zeolitic imidazolate
frameworks for supercapacitors' by Jeonghun Kim et al., Chem. Commun., 2016, 52,
13016-13019.
PMID- 29809226
TI - Dissociation channels, collisional energy transfer, and multichannel coupling
effects in the thermal decomposition of CH3F.
AB - The thermal unimolecular decomposition of CH3F has been studied with the aim of
elucidating the multichannel character of the reaction. Experimentally, the
temporal profiles of HF were recorded following the decomposition of CH3F in a
shock tube. The profiles indicated that the yield of HF is close to unity at a
pressure of ~100 kPa (Ar bath) over the studied temperature range 1888-2279 K.
The reaction channels were explored using quantum chemical calculations, which
suggested that the decomposition of CH3F proceeds through direct C-H bond fission
(CH3F -> CH2F + H) or HF elimination (CH3F -> 1CH2 + HF) reactions on the singlet
potential energy surface. The rate constants were calculated by multichannel
master equation analysis based on statistical reaction rate theory and classical
trajectory calculations of the collisional energy transfer process. The analysis
indicated that the two decomposition channels are competitive at the high
pressure limit but the 1CH2 + HF channel is dominant under the experimental
conditions due to the multichannel coupling effect. The collision model
dependency of the predicted rate constants and branching fractions has also been
investigated, highlighting the importance of selecting the appropriate model for
the collision frequency and energy transfer probability function.
PMID- 29809225
TI - Boronic acid liposomes for cellular delivery and content release driven by
carbohydrate binding.
AB - Boronic acid liposomes enable triggered content release and cell delivery driven
by carbohydrate binding. Dye release assays using hydrophilic and hydrophobic
fluorophores validate dose-dependent release upon carbohydrate treatment.
Microscopy results indicate dramatic enhancements in cell delivery, showcasing
the prospects of boronic acid lipids for drug delivery.
PMID- 29809227
TI - Definition and diagnosis of small fiber neuropathy: consensus from the Peripheral
Neuropathy Scientific Department of the Brazilian Academy of Neurology.
AB - The aim of this study was to describe the results of a Brazilian Consensus on
Small Fiber Neuropathy (SFN). Fifteen neurologists (members of the Brazilian
Academy of Neurology) reviewed a preliminary draft. Eleven panelists got together
in the city of Fortaleza to discuss and finish the text for the manuscript
submission. Small fiber neuropathy can be defined as a subtype of neuropathy
characterized by selective involvement of unmyelinated or thinly myelinated
sensory fibers. Its clinical picture includes both negative and positive
manifestations: sensory (pain/dysesthesias/pruritus) or combined sensory and
autonomic complaints, associated with an almost entirely normal neurological
examination. Standard electromyography is normal. A growing list of medical
conditions is associated with SFN. The classification of SFN may also serve as a
useful terminology to uncover minor discrepancies in the normal values from
different neurophysiology laboratories. Several techniques may disclose sensory
and/or autonomic impairment. Further studies are necessary to refine these
techniques and develop specific therapies.
PMID- 29809229
TI - Could physical activity practice minimize the economic burden of epilepsy?
PMID- 29809228
TI - Migraine improvement correlates with posterior cingulate cortical thickness
reduction.
AB - Objective The main goal of this study was to correlate migraine improvement,
after prophylactic therapy, with cortical thickness changes. Methods Cortical
thickness maps were obtained with magnetic resonance imaging (MRI) from 19
patients with migraine before (first scan) and after (second scan) prophylactic
treatment, and these were compared with controls using the FreeSurfer MRI tool.
Cortical changes were correlated with the headache index (HI). Results Anincrease
incortical thickness was found in the right cuneus and precuneus, somatosensory
and superior parietal cortices in both patient scans, compared with the controls.
No changes were observed in the left hemisphere. Following correction for
multiple comparisons, no areas changed from the first to the second scan.
Regression analysis showed a significant negative correlation between the HI
improvement and cortical thickness changes in the left posterior cingulate, a
region involved with nociception and, possibly, the development of chronic pain.
Conclusion There were changes in cortical thickness in patients with migraine
relative to controls in areas involved with vision and pain processing. Left
posterior cingulate cortical changes correlated with headache frequency and
intensity.
PMID- 29809230
TI - Is phrenic nerve conduction affected in patients with difficult-to-treat asthma?
AB - Objective The aim of this study was to obtain data on phrenic neuroconduction and
electromyography of the diaphragm muscle in difficult-to-treat asthmatic patients
and compare the results to those obtained in controls. Methods The study
consisted of 20 difficult-to-treat asthmatic patients compared with 27 controls.
Spirometry, maximal inspiratory and expiratory pressure, chest X-ray, phrenic
neuroconduction and diaphragm electromyography data were obtained. Results The
phrenic compound motor action potential area was reduced, compared with controls,
and all the patients had normal diaphragm electromyography. Conclusion It is
possible that a reduced phrenic compound motor action potential area, without
electromyography abnormalities, could be related to diaphragm muscle fiber
abnormalities due to overload activity.
PMID- 29809231
TI - Can the CERAD neuropsychological battery be used to assess cognitive impairment
in Parkinson's disease?
AB - The Consortium to Establish a Registry for Alzheimer's Disease (CERAD)
neuropsychological battery was created to assess cognitive impairment in
Alzheimer's disease (AD) but it is widely-used for various dementias. The aim of
this study was to analyze the efficacy of using the CERAD battery in the
assessment of patients with Parkinson's disease. Forty-nine patients with
Parkinson's disease were divided into two groups (one with dementia and one
without) using the Movement Disorder Society criteria for Parkinson's disease
dementia. Cognitive deficits were assessed with the Clinical Dementia Rating
Scale as the gold standard, and the CERAD. The ROC curve for the CERAD battery
had an area under the curve = 0.989 (95% CI = 0.967 - 1, p<0.0001). Among the
CERAD subtests, verbal fluency had the worst accuracy, and word list learning had
the best accuracy. Despite the limits of this study, the CERAD battery can be
efficient for assessment of cognitive deficits in Parkinson's disease patients.
PMID- 29809232
TI - The role of surgery in primary central nervous system lymphomas.
AB - Background Primary central nervous system lymphomas (PCNSL) are infrequent. The
traditional treatment of choice is chemotherapy. Complete resections have
generally not been recommended, because of the risk of permanent central nervous
system deficits with no proven improvement in survival. The aim of the current
study was to compare survival among patients with PCNSL who underwent biopsy
versus surgical resection. Methods A retrospective study was conducted on 50
patients with a confirmed diagnosis of PCNSL treated at our center from January
1994 to July 2015. Results Patients in the resection group exhibited
significantly longer median survival time, relative to the biopsy group,
surviving a median 31 months versus 14.5 months; p = 0.016. Conclusions In our
series, patients who had surgical resection of their tumor survived a median 16.5
months longer than patients who underwent biopsy alone.
PMID- 29809233
TI - Challenges in the diagnosis and treatment of small fiber neuropathies.
PMID- 29809234
TI - Association between executive and food functions in the acute phase after stroke.
AB - Purpose To investigate potential associations among executive, physical and food
functions in the acute phase after stroke. Methods This is a cross-sectional
study that evaluated 63 patients admitted to the stroke unit of a public
hospital. The exclusion criteria were other neurological and/or psychiatric
diagnoses. The tools for evaluation were: Mini-Mental State Examination and
Frontal Assessment Battery for cognitive functions; Alberta Stroke Program Early
CT Score for quantification of brain injury; National Institutes of Health Stroke
Scale for neurological impairment; Modified Rankin Scale for functionality, and
the Functional Oral Intake Scale for food function. Results The sample comprised
34 men (54%) and 29 women with a mean age of 63.6 years. The Frontal Assessment
Battery was significantly associated with the other scales. In multivariate
analysis, executive function was independently associated with the Functional
Oral Intake Scale. Conclusion Most patients exhibited executive dysfunction that
significantly compromised oral intake.
PMID- 29809235
TI - Otoneurological findings prevalent in hereditary ataxias.
AB - Objective To describe and compare the vestibular findings most evident among the
hereditary ataxias, as well as correlate their clinical features with the nervous
structures affected in this disease. Methods Seventy-five patients were evaluated
and underwent a case history, otorhinolaryngological and vestibular assessments.
Results Clinically, the patients commonly had symptoms of gait disturbances
(67.1%), dizziness (47.3%), dysarthria (46%) and dysphagia (36.8%). In vestibular
testing, alterations were predominantly evident in caloric testing (79%), testing
for saccadic dysmetria (51%) and rotational chair testing (47%). The presence of
alterations occurred in 87% of these patients. A majority of the alterations were
from central vestibular dysfunction (69.3%). Conclusion This underscores the
importance of the contribution of topodiagnostic labyrinthine evaluations for
neurodegenerative diseases as, in most cases, the initial symptoms are
otoneurological; and these evaluations should also be included in the selection
of procedures to be performed in clinical and therapeutic monitoring.
PMID- 29809236
TI - Normative values of the Brief Repeatable Battery of Neuropsychological Tests in a
Brazilian population sample: discrete and regression-based norms.
AB - Objective Cognitive dysfunction is common in multiple sclerosis. The Brief
Repeatable Battery of Neuropsychological Tests (BRB-N) was developed to assess
cognitive functions most-frequently impaired in multiple sclerosis. However,
normative values are lacking in Brazil. Therefore, we aimed to provide continuous
and discrete normative values for the BRB-N in a Brazilian population sample.
Methods We recruited 285 healthy individuals from the community at 10 Brazilian
sites and applied the BRB-N version A in 237 participants and version B in 48
participants. Continuous norms were calculated with multiple-regression analysis.
Results Mean raw scores and the 5th percentile for each neuropsychological
measure are provided, stratified by age and educational level. Healthy
participants' raw scores were converted to scaled scores, which were regressed on
age, sex and education, yielding equations that can be used to calculate
predicted scores. Conclusion Our normative data allow a more widespread use of
the BRB-N in clinical practice and research.
PMID- 29809237
TI - Current contribution of diffusion tensor imaging in the evaluation of diffuse
axonal injury.
AB - Traumatic brain injury (TBI) is the number one cause of death and morbidity among
young adults. Moreover, survivors are frequently left with functional
disabilities during the most productive years of their lives. One main aspect of
TBI pathology is diffuse axonal injury, which is increasingly recognized due to
its presence in 40% to 50% of all cases that require hospital admission. Diffuse
axonal injury is defined as widespread axonal damage and is characterized by
complete axotomy and secondary reactions due to overall axonopathy. These changes
can be seen in neuroimaging studies as hemorrhagic focal areas and diffuse edema.
However, the diffuse axonal injury findings are frequently under-recognized in
conventional neuroimaging studies. In such scenarios, diffuse tensor imaging
(DTI) plays an important role because it provides further information on white
matter integrity that is not obtained with standard magnetic resonance imaging
sequences. Extensive reviews concerning the physics of DTI and its use in the
context of TBI patients have been published, but these issues are still hazy for
many allied-health professionals. Herein, we aim to review the current
contribution of diverse state-of-the-art DTI analytical methods to the
understanding of diffuse axonal injury pathophysiology and prognosis, to serve as
a quick reference for those interested in planning new studies and who are
involved in the care of TBI victims. For this purpose, a comprehensive search in
Pubmed was performed using the following keywords: "traumatic brain injury",
"diffuse axonal injury", and "diffusion tensor imaging".
PMID- 29809238
TI - The importance of central auditory evaluation in Friedreich's ataxia.
AB - Objective To assess central auditory function in Friedreich's ataxia. Methods A
cross-sectional, retrospective study was carried out. Thirty patients underwent
the anamnesis, otorhinolaryngology examination, pure tone audiometry, acoustic
immittance measures and brainstem auditory evoked potential (BAEP) assessments.
Results The observed alterations were: 43.3% in the pure tone audiometry,
bilateral in 36.7%; 56.6% in the BAEP test, bilateral in 50%; and 46.6% in the
acoustic immittance test. There was a significant difference (p < 0.05) in the
comparison between the tests performed. Conclusion In the audiological screening,
there was a prevalence of the descending audiometric configuration at the
frequency of 4kHz, and absence of the acoustic reflex at the same frequency. In
the BAEP test, there was a prevalence of an increase of the latencies in waves I,
III and V, and in the intervals of interpeaks I-III, I-V and III-V. In 13.3% of
the patients, wave V was absent, and all waves were absent in 3.3% of patients.
PMID- 29809240
TI - Starving the Hungry Tapeworm: How Businessmen May Reform Health Care Cost.
PMID- 29809241
TI - Clonal Hematopoiesis Confers Predisposition to Both Cardiovascular Disease and
Cancer: A Newly Recognized Link Between Two Major Killers.
PMID- 29809242
TI - Is It Ethical to Use Genealogy Data to Solve Crimes?
PMID- 29809243
TI - Women's Health Policy in the United States: An American College of Physicians
Position Paper.
AB - In this position paper, the American College of Physicians (ACP) examines the
challenges women face in the U.S. health care system across their lifespans,
including access to care; sex- and gender-specific health issues; variation in
health outcomes compared with men; underrepresentation in research studies; and
public policies that affect women, their families, and society. ACP puts forward
several recommendations focused on policies that will improve the health outcomes
of women and ensure a health care system that supports the needs of women and
their families over the course of their lifespans.
PMID- 29809239
TI - Botulinum toxin for hereditary spastic paraplegia: effects on motor and non-motor
manifestations.
AB - Motor and non-motor manifestations are common and disabling features of
hereditary spastic paraplegia (HSP). Botulinum toxin type A (Btx-A) is considered
effective for spasticity and may improve gait in these patients. Little is known
about the effects of Btx-A on non-motor symptoms in HSP patients. Objective To
assess the efficacy of Btx-A on motor and non-motor manifestations in HSP
patients. Methods Thirty-three adult patients with a clinical and molecular
diagnosis of HSP were evaluated before and after Btx-A injections. Results Mean
age was 41.7 +/- 13.6 years and there were 18 women. Most patients had a pure
phenotype and SPG4 was the most frequent genotype. The Btx-A injections resulted
in a decrease in spasticity at the adductor muscles, and no other motor measure
was significantly modified. In contrast, fatigue scores were significantly
reduced after Btx-A injections. Conclusion Btx-A injections resulted in no
significant functional motor improvement for HSP, but fatigue improved after
treatment.
PMID- 29809245
TI - Precision Screening for Lung Cancer: Risk-Based but Not Always Preference
Sensitive?
PMID- 29809244
TI - Identifying Patients for Whom Lung Cancer Screening Is Preference-Sensitive: A
Microsimulation Study.
AB - Background: Many health systems are exploring how to implement low-dose computed
tomography (LDCT) screening programs that are effective and patient-centered.
Objective: To examine factors that influence when LDCT screening is preference
sensitive. Design: State-transition microsimulation model. Data Sources: Two
large randomized trials, published decision analyses, and the SEER (Surveillance,
Epidemiology, and End Results) cancer registry. Target Population: U.S.
representative sample of simulated patients meeting current U.S. Preventive
Services Task Force criteria for screening eligibility. Time Horizon: Lifetime.
Perspective: Individual. Intervention: LDCT screening annually for 3 years.
Outcome Measures: Lifetime quality-adjusted life-year gains and reduction in lung
cancer mortality. To examine the effect of preferences on net benefit,
disutilities (the "degree of dislike") quantifying the burden of screening and
follow-up were varied across a likely range. The effect of varying the rate of
false-positive screening results and overdiagnosis associated with screening was
also examined. Results of Base-Case Analysis: Moderate differences in preferences
about the downsides of LDCT screening influenced whether screening was
appropriate for eligible persons with annual lung cancer risk less than 0.3% or
life expectancy less than 10.5 years. For higher-risk eligible persons with
longer life expectancy (roughly 50% of the study population), the benefits of
LDCT screening overcame even highly negative views about screening and its
downsides. Results of Sensitivity Analysis: Rates of false-positive findings and
overdiagnosed lung cancer were not highly influential. Limitation: The
quantitative thresholds that were identified may vary depending on the structure
of the microsimulation model. Conclusion: Identifying circumstances in which LDCT
screening is more versus less preference-sensitive may help clinicians
personalize their screening discussions, tailoring to both preferences and
clinical benefit. Primary Funding Source: None.
PMID- 29809246
TI - Severe Hyponatremia After Drinking Horsetail Juice.
PMID- 29809248
TI - Patient Engagement in Coauthored Medical Records.
PMID- 29809247
TI - Women Deserve Better Health Care.
PMID- 29809249
TI - Response.
PMID- 29809251
TI - Response.
PMID- 29809250
TI - Tool for Predicting Medical Student Burnout From Sustained Stress Levels.
PMID- 29809252
TI - Official Call: 2018 Annual Business Meeting of the American Osteopathic
Association.
PMID- 29809253
TI - Proposed Amendments to the AOA Constitution, Bylaws, and Code of Ethics.
PMID- 29809254
TI - Reducing Health Disparities: Understanding the Unintended Effects of Health Care
Professional and Patient Characteristics on Treatment.
AB - Context: The responsibility-affect-helping model proposes that helping behavior
is a function of perceived responsibility and affect. Objective: To examine the
effect of medical students' degree (DO or MD) and gender on attitudes toward
patients and how these factors could act as moderators in the responsibility
affect-helping model. Methods: This 2*3 experimental study included third- and
fourth-year osteopathic (ie, DO) and allopathic (ie, MD) medical students.
Students were given a survey that included the medical record and photograph of a
fictitious male patient with diabetes and a message from the patient regarding
his diet nonadherence. The patients differed in race (black or white) and the
cause of diet nonadherence (healthy foods don't taste good, no reason given, or
inability to access healthy foods). Survey items measured students' perception of
the patient's responsibility for his nonadherence, level of anger, intention to
help, level of sympathy, and ethnocentrism. Data were analyzed using a
multivariate analysis of covariance with ethnocentrism as a covariate. Results:
Of 1520 potential students, 231 were included in the study. Mean (SD)
responsibility scale scores showed that DO students viewed the patient who gave
dislike of healthy food or no reason for their diet nonadherence as more
responsible for his nonadherence than did MD students (4.69 [0.99] vs 3.93 [1.00]
and 4.35 [0.88] vs 3.65 [1.01], respectively). Conversely, mean (SD)
responsibility scores showed that DO students viewed patients who indicated lack
of access to healthy food as his reason for diet nonadherence as less responsible
for his nonadherence than did MD students (2.45 [0.94] vs 2.59 [1.08])
(F2,228=3.21, P<.05, eta2=.03). Furthermore, female students perceived patients
to be less responsible for their diet nonadherence than did male students (3.28
[1.22] vs 3.88 [1.22]) (F2,228=8.87, P<.01, eta2=.04). Ethnocentrism was
consistently a significant covariate for students' perception of patient
characteristics, predicted patient behaviors, perception of the patient's
responsibility for his nonadherence, students' level of anger, students'
intention to help, and students' level of sympathy. Conclusion: Survey results
showed that DO students perceived patients who reported dislike of healthy food
or no reason for diet nonadherence as more responsible for their health issue and
patients who indicated lack of access to healthy food as less responsible for
their nonadherence than did MD students. Additionally, female students perceived
patients to be less responsible for their health issue than did male students.
Results of the current study indicate that physician demographic factors could be
taken into account as proxy variables when using the responsibility-affect
helping model in the health care field.
PMID- 29809256
TI - First-Year Osteopathic Medical Students' Knowledge of and Attitudes Toward
Physical Activity.
AB - Context: Current guidelines recommend that primary care physicians provide
physical activity counseling as part of routine preventive health care. However,
education regarding physical activity counseling often is not included or is
inadequately covered in medical school curriculum, and it is unclear whether
future physicians are prepared to offer effective counseling in this area.
Objective: To examine first-year medical students' knowledge of and attitudes
toward physical activity and the importance of physical activity in patient
counseling. Methods: An anonymous electronic survey was distributed to all first
year students enrolled at the Ohio University Heritage College of Osteopathic
Medicine. The survey assessed students' knowledge, beliefs, and behavior
regarding physical activity and physical activity counseling for patients. The
frequencies of students' weekly physical activity were computed to assess
students' physical activity behaviors. Attitudes toward personal importance of
physical activity and physical activity counseling in primary care were also
assessed by response frequency. The relationship between students' knowledge of
and participation in physical activity and the priority placed on exercise for
future patients were assessed by correlation. Results: Of 243 potential
participants, 144 students (59.3%) returned the survey. The majority of students
(131 of 144 [91.0%]) indicated that living a healthy lifestyle was very or
extremely important to them, and 125 of 144 (86.9%) prioritized physical activity
as moderately, very, or extremely important. Of 122 students, 81 (66.4%)
exercised for at least 30 minutes on 3 or more of the past 7 days, and 36 (29.5%)
reported doing so on 5 or more of the past 7 days. Nearly all of the students
(127 of 133 [95.5%]) indicated that exercise is important for their future
patients, 97 of 133 (72.9%) indicated feeling moderately or extremely comfortable
counseling patients on exercise, and 113 of 134 (84.3%) desired to include
physical activity counseling in their practice. Fifty of 134 students (40.3%)
indicated that they were aware of current physical activity recommendations for
adults in the United States; however, of these 50 students, 1 (2.0%) provided a
correct definition of the national recommendations. Conclusion: Although students
prioritized healthy lifestyles for themselves and their future patients and
indicated a desire to include physical activity counseling as part of routine
clinical care, the majority were unaware of the current physical activity
recommendations. Thus, there is a need to address physical activity
recommendations in the medical school curriculum.
PMID- 29809255
TI - Practice Area Intentions of Graduates of Colleges of Osteopathic Medicine: What
Role Does Debt Play?
AB - Context: Enrollment in colleges of osteopathic medicine continues to increase, as
does the need for physicians practicing in underserved areas. The cost of
osteopathic medical education is substantial, with students often incurring debt
of $200,000 or more. It is unclear whether practice patterns of new graduates
will be affected by debt-to-income ratios. Objective: To determine whether the
intended practice location of graduates of colleges of osteopathic medicine is
associated with medical education debt. Methods: Using data from the American
Association of Colleges of Osteopathic Medicine's annual survey to graduates of
colleges of osteopathic medicine, the authors focused on graduates' intention to
practice in an underserved area, the amount of debt incurred, and plans to enter
a loan-repayment program. Survey data from 2007, 2010, 2013, and 2016 were
analyzed. Results: From 2007 to 2016, the percentage of graduates who intended to
practice in underserved areas increased (27.5% to 35.3%, respectively). Graduates
with the most debt intended to practice in underserved areas at a higher
percentage than those with the least amount of debt, and they also planned on
using loan-repayment programs at a higher rate. Conclusion: There is a strong
association among the intention to practice in an underserved area, high debt
load, and intention to use a loan-repayment program. Therefore, the osteopathic
medical community should support increased access to loan-repayment programs to
help its graduates surmount economic and social barriers to providing care in
underserved areas.
PMID- 29809257
TI - Osteopathic Manipulative Therapy Potentiates Motor Cortical Plasticity.
AB - Context: Osteopathic manipulative therapy (OMTh; manipulative care provided by
foreign-trained osteopaths) is effective in managing pain caused by a variety of
clinical conditions. Nevertheless, the physiologic mechanisms at the basis of the
clinical improvement are poorly understood. Objective: To investigate the effects
of OMTh, muscle stretching, and soft touch interventions on motor cortical
excitability through a rapid-rate paired associative stimulation (PAS) protocol.
Methods: In this crossover study, participants underwent OMTh, muscle stretching,
and soft touch interventions. A rapid-rate PAS transcranial magnetic stimulation
protocol was performed immediately after each intervention session, which
consisted of 600 pairs of stimuli continuously delivered to the left primary
motor cortex and to the right median nerve at a rate of 5 Hz for 2 minutes. The
interstimulus intervals between the peripheral stimulus and the transcranial
magnetic stimulation was set at 25 milliseconds. Before and after rapid-rate PAS
(immediately after and 15 minutes after), changes in the amplitude of the motor
evoked potentials were measured in the right abductor pollicis brevis and the
right first dorsal interosseous. Results: Of the potential 15 participants
initially recruited, 12 fit the inclusion criteria. Two of the 12 participants
were excluded from the final analysis because of excessive artifact movements.
Rapid-rate PAS induced a more pronounced, longer-lasting increase in cortical
excitability in the abductor pollicis brevis muscle in patients 15 minutes after
the OMTh intervention than after the muscle stretching or sham interventions
(P=.016). Conclusion: Results of the current study provide support for the
effects of OMTh on cortical plasticity.
PMID- 29809259
TI - Constrictive Pericarditis.
PMID- 29809258
TI - Use of a Clinical Pathologic Conference to Demonstrate Residents' ACGME Emergency
Medicine Milestones, Aid in Faculty Development, and Increase Academic Output.
AB - The Emergency Medicine Milestones Project, developed by the Accreditation Council
for Graduate Medical Education (ACGME) and the American Board of Emergency
Medicine, includes competence targets for residents to attain and, ultimately, to
exceed American Osteopathic Association and ACGME expectations for residents. The
authors sought to use the clinical pathologic conference (CPC) format in their
institutions' Emergency Medicine Milestones Project to provide measurable
residency academic and faculty development outcomes. The CPC is an event in which
a resident presents an unknown case to a discussant in advance of a didactic
session to demonstrate an organized approach and decision-making rationale to a
differential diagnosis. Feedback forms included the assessment of resident
discussants from the perspective of level-5 Milestone achievements in particular.
Developing an internal CPC competition with a dedicated core faculty coordinator
who provides skill development for both resident and faculty presentation has
proven successful. Such a competition can document the level-5 achievements for
senior residents, be a source of faculty development, and increase peer-reviewed
academic output.
PMID- 29809260
TI - Anastamosis.
PMID- 29809261
TI - Perceptions of and Attitudes Toward Diabetes Among Chinese Americans.
AB - Context: Type 2 diabetes mellitus is a global health issue among Asians, with
rising prevalence and increasing disparities in proper disease management.
However, studies on the perceptions of and attitudes toward diabetes, conducted
to improve diabetes disparities, are disproportionately limited in Asian
populations compared with other minority populations in the United States.
Objective: To determine Chinese Americans' perceptions of and attitudes toward
diabetes. Methods: Chinese Americans from the greater Los Angeles, California,
area were asked to complete a survey. The survey was a self-administered 15-item
true/false questionnaire to assess the respondents' perceptions of and attitudes
toward diabetes. The results of the questionnaire were grouped by age: younger
adults (aged <55 years) and older adults (aged >=55 years). A subset of
respondents in each age group was matched based on gender and education, and
their responses were analyzed for differences in attitudes toward diabetes. Two
tailed t test and chi2 test were used to compare continuous variables and
categorical variables, respectively. Results with P<.05 were considered
significant. Results: A total of 449 of 485 Chinese Americans (93%) completed the
survey. Among matched respondents (n=91 in each age group), more older
respondents than younger respondents believed that (1) research on diabetes is
solely beneficial for profiting pharmaceutical companies (23.1% vs 6.6%; P=.002)
and (2) health insurance policies should not cover any costs of diabetes-related
illnesses (28.6% vs 15.4%; P=.032). Conclusions: Older Chinese Americans were
more likely to hold stigmatized negative perceptions of and attitudes toward
diabetes in relation to pharmaceutical companies and health insurance policies.
Considering that an individual's belief system largely influences self-care
behaviors, actions should be taken to minimize negative perceptions of and
attitudes toward diabetes.
PMID- 29809262
TI - Osteopathic Cranial Manipulative Medicine in the Setting of Concussion.
PMID- 29809263
TI - Visual Development During the Second Decade of Life in Albinism.
AB - PURPOSE: To evaluate change in best corrected visual acuity (BCVA) during the
second decade of life and the effects of albinism type and extraocular muscle
surgery on BCVA in children with albinism. METHODS: In this retrospective
longitudinal study, 41 patients with albinism with clinic visits recording
binocular BCVA at least once between the ages of 10 and 13 years (visit A) and
again between the ages of 17 and 20 years (visit B) were included. Type of
albinism, age at each visit, and interval eye muscle surgeries were recorded for
each patient. RESULTS: Forty (98%) patients showed BCVA improvement or stability
between visits A and B. There was no significant effect of interval extraocular
muscle surgery on BCVA. Those carrying either a clinically presumed or moleculary
confirmed diagnosis of oculocutaneous albinism types 1B and 2 had the best visual
outcomes, consistent with previous studies. CONCLUSIONS: In the majority of
patients with albinism, significant improvement in BCVA occurs during the second
decade of life. Extraocular muscle surgery was not a significant factor in BCVA
improvement in albinism. Overall, the assessments support the finding of
improvement of visual acuity in children with albinism at earlier ages and
provide new information beneficial in predicting visual outcomes in the second
decade of life. [J Pediatr Ophthalmol Strabismus. 2018;55(4):254-259.].
PMID- 29809264
TI - A Comparison of Three Different Photoscreeners in Children.
AB - PURPOSE: To compare the results obtained from three non-cycloplegic handheld
photorefractometers with cycloplegic autorefractometry (Topcon KR-8100; Topcon
Corporation, Tokyo, Japan) measurement in children. METHODS: The refractive
status of 238 eyes in 119 healthy children was assessed. The values acquired
using photorefraction with the non-cycloplegic PlusoptiX A12 (Plusoptix GmbH,
Nuremberg, Germany), Retinomax K-plus 3 (Righton, Tokyo, Japan), and Spot Vision
Screener (Welch Allyn, Skaneateles Falls, NY) devices were compared with those
obtained from the cycloplegic Topcon KR-8100. The agreement between the
measurements was assessed using the intraclass correlation coefficient. RESULTS:
The mean age was 10.1 +/- 3.2 years (range: 6 to 17 years). The mean spherical
value for the right eyes was 0.38 diopters (D) (range: -4.50 to 6.25 D) for the
Plusoptix A12; 0.45 D (range: -4.50 to 6.25 D) for the Spot Vision Screener;
1.15 D (range: -8.75 to 6.50 D) for the Retinomax K-plus 3; and 0.62 (range:
4.50 to 6.00) for the Topcon KR-8100. The mean spherical equivalent value for the
right eyes was 0.41 D (range: -4.50 to 7.90 D) for the Plusoptix A12; 0.18 D
(range: -4.75 to 6.13 D) for the Spot Vision Screener; -1.30 D (range: -10.50 to
6.38 D) for the Retinomax K-plus 3; and 0.67 D (range: -4.00 to 6.00 D) for the
Topcon KR-8100 (for the right eyes). CONCLUSIONS: The photorefractometer method
was beneficial in the measurement of refractive errors of school-aged children.
The PlusoptiX A12 photorefractometer method may eliminate the need for
cycloplegia in the detection of refractive errors in children. [J Pediatr
Ophthalmol Strabismus. 2018;55(5):306-311.].
PMID- 29809265
TI - The Role of Superior Oblique Posterior Tenectomy Along With Inferior Rectus
Recessions for the Treatment of Chin-up Head Positioning in Patients With
Nystagmus.
AB - PURPOSE: To evaluate the clinical outcomes of bilateral superior oblique
posterior 7/8th tenectomy with inferior rectus recession on improving chin-up
head positioning in patients with horizontal nystagmus. METHODS: Medical records
were reviewed from 2007 to 2017 for patients with nystagmus and chin-up
positioning of 15 degrees or more who underwent combined bilateral superior
oblique posterior 7/8th tenectomy with an inferior rectus recession of at least 5
mm. RESULTS: Thirteen patients (9 males and 4 females) were included, with an
average age of 7.3 years (range: 1.8 to 15 years). Chin-up positioning ranged
from 15 degrees to 45 degrees degrees (average: 30 degrees ). Three patients
had prior horizontal muscle surgeries, 1 for esotropia and 2 for horizontal null
zones causing anomalous face turns. Ten patients underwent other concomitant eye
muscle surgery: 3 had esotropia, 1 had exotropia, and 2 had biplanar nystagmus
null point requiring a horizontal Anderson procedure. Four patients underwent
simultaneous bilateral medial rectus tenotomy and reattachment. All patients had
improved chin-up positioning. Eight patients had complete resolution, whereas 5
had minimal residual chin-up positioning. Three patients developed an eccentric
horizontal gaze null point with compensatory anomalous face turn with onset 2
weeks, 2 years, and 3 years postoperatively. Average follow-up was 42.7 months.
No postoperative pattern deviations, cyclodeviations, or inferior oblique
overaction were seen. No surgical complications were noted. CONCLUSIONS:
Bilateral superior oblique posterior 7/8th tenectomy in conjunction with
bilateral inferior rectus recession is a safe and effective procedure for
improving chin-up head positioning in patients with horizontal nystagmus with a
down gaze null point. [J Pediatr Ophthalmol Strabismus. 2018;55(4):234-239.].
PMID- 29809266
TI - Spontaneous Reattachment of the Medial Rectus After Free Tenotomy.
AB - PURPOSE: To assess the outcome of free tenotomy of the medial rectus muscle in
post-natal monkeys. METHODS: The medial rectus muscle was disinserted in both
eyes of 6 macaques at age 4 weeks to induce an alternating exotropia. After the
impact on the visual cortex and superior colliculus was investigated, the animals
were examined post-mortem to assess the anatomy of the medial rectus muscles.
RESULTS: After tenotomy, the monkeys eventually recovered partial adduction.
Necropsy revealed that all 12 medial rectus muscles had reattached to the globe.
They were firmly connected via an abnormally long tendon, but at the native
insertion site. CONCLUSIONS: Medial rectus muscles are able to reattach
spontaneously to the eye following free tenotomy in post-natal macaques. The
early timing of surgery and the large size of the globe relative to the orbit may
explain why reinsertion occurs more readily in monkeys than in children with a
lost muscle after strabismus surgery. [J Pediatr Ophthalmol Strabismus.
2018;55(5):335-338.].
PMID- 29809267
TI - Real-World Simulation of an Alternative Retinopathy of Prematurity Screening
System in Thailand: A Pilot Study.
AB - PURPOSE: To evaluate an alternative retinopathy of prematurity (ROP) screening
system that identifies infants meriting examination by an ophthalmologist in a
middle-income country. METHODS: The authors hypothesized that grading posterior
pole images for the presence of pre-plus or plus disease has high sensitivity to
identify infants with type 1 ROP that requires treatment. Part 1 of the study
evaluated the feasibility of having a non-ophthalmologist health care worker
obtain retinal images of prematurely born infants using a non-contact retinal
camera (Pictor; Volk Optical, Inc., Mentor, OH) that were of sufficient quality
to grade for pre-plus or plus disease. Part 2 investigated the accuracy of
grading these images to identify infants with type 1 ROP. The authors
prospectively recruited infants at Chulalongkorn University Hospital (Bangkok,
Thailand). On days infants underwent routine ROP screening, a trained health care
worker imaged their retinas with Pictor. Two ROP experts graded these serial
images from a remote location for image gradability and posterior pole disease.
RESULTS: Fifty-six infants were included. Overall, 69.4% of infant imaging
sessions were gradable. Among gradable images, the sensitivity of both graders
for identifying an infant with type 1 ROP by grading for the presence of pre-plus
or plus disease was 1.0 (95% confidence interval [CI]: 0.31 to 1.0) for grader 1
and 1.0 (95% CI: 0.40 to 1.0) for grader 2. The specificity was 0.93 (95% CI:
0.76 to 0.99) for grader 1 and 0.74 (95% CI: 0.53 to 0.88) for grader 2.
CONCLUSIONS: It was feasible for a trained non-ophthalmologist health care worker
to obtain retinal images of infants using the Pictor that were of sufficient
quality to identify infants with type 1 ROP. [J Pediatr Ophthalmol Strabismus.
2018;55(4):245-253.].
PMID- 29809269
TI - ?
PMID- 29809268
TI - Effect of Cycloplegia on Optical Biometry in Pediatric Eyes.
AB - PURPOSE: To study the effect of cycloplegia on optical biometry parameters in
pediatric eyes using the Lenstar LS 900 (Haag-Streit, Koeniz, Switzerland).
METHODS: In this observational and comparative study, 56 normal eyes and 20
cataractous eyes in children between 5 and 15 years of age were included.
Measurements were taken before and after cycloplegia using 2% homatropine drops.
Parameters studied were axial length, central corneal thickness, keratometry,
anterior chamber depth, and lens thickness. The Wilcoxon test was used to compare
the effects of cycloplegia on all parameters. RESULTS: Cycloplegia resulted in a
statistically significant decrease in axial length (P < .05), central corneal
thickness (P < .05), and lens thickness (P < .001) and an increase in the
anterior chamber depth (P < .001) in normal eyes. In the cataract group,
cycloplegia resulted in an increase in anterior chamber depth (P < .001) and
decrease in lens thickness (P < .001). CONCLUSIONS: Biometry measurements have to
be carefully interpreted in pediatric eyes where cycloplegia is an important part
of the examination. [J Pediatr Ophthalmol Strabismus. 2018;55(4):260-265.].
PMID- 29809270
TI - [Implementation of colorectal cancer screening in Sweden].
AB - Convincing data demonstrate that screening reduces mortality in colorectal
cancer. International organizations and national authorities recommend
implementation of colorectal cancer screening programs. There are several
different primary methods for screening, including tests of blood in feces,
sigmoidoscopy and colonoscopy, all with their inherent advantages and
disadvantages. The majority of programs utilizes fecal occult blood test as
primary screening method followed by colonoscopy. Colonoscopy as a primary
screening method has the advantage of directly removing precancerous lesions and
ongoing studies evaluates the role of colonoscopy as a primary screening method
for colorectal cancer. Challenges for implementation of screening in Sweden
include limited access to colonoscopy resources and problems to reassure quality
control. This article summarizes current evidence for colorectal cancer
screening, as well as methods and requirements for implementation.
PMID- 29809271
TI - ?
PMID- 29809272
TI - [Osteonecrosis of the jaws (ONJ) associated to antiresorptive treatment].
AB - Osteonecrosis of the jaws (ONJ) has been associated to antiresorptive treatment
and is an increasing problem all over the world. ONJ is a severe adverse effect
of antiresorptive treatment with bisphosphonate and denosumab used for treatment
of osteoporosis, metastases from certain malignant conditions and as an adjuvant
treatment in postmenopausal women with breast cancer, and of treatment with
certain chemotherapeutic drugs. In this paper the epidemiology, symptoms,
diagnostic features, clinical findings, and treatment are reviewed. Guidelines
are presented for dental treatment, surgery and referral of patients on
antiresorptive treatment.
PMID- 29809273
TI - [Leaders as intermediates between economic incentive models and professional
motivation].
AB - The application of economic incentives to providers in health care governance is
debated. Advocates argue that it drives efficiency and improvement, opponents
claim that it leads to unintended consequences for patients and professionals.
Research shows that incentives can increase well-defined activities and targets,
but there is a lack of substantial evidence that applications in health care lead
to desired outcomes. The motivational literature acknowledges internal sources of
motivation as important determinants of behavior, and the literature about
professions suggests that professional values of serving patient needs is a key
motivator. The management literature identifies the important role of leaders in
aligning external demands and rewards to staff preferences, using their own
management and leadership skills. Findings in health services research confirm
the vital role of leaders for successful implementation and improvement work. In
sum, internal motivators and the role of leaders are important to acknowledge
also when understanding how economic governance models are put into practice.Our
recently published qualitative case study provides empirical examples of how
clinical leaders function as intermediaries between a local care choice model,
including financial incentives, and the motivation of staff. The strategies
deployed by the leaders aimed to align the economic logics of the model to the
professional focus on increasing patient value. The main conclusion from these
empirical examples, as well as previous research, is that health care managers
play a key role in aligning economic incentive models with professional values
and in translating such models in to feasible tasks related to the provision of
high quality care.
PMID- 29809274
TI - Upregulation of autophagy and glycolysis markers in keloid hypoxic-zone
fibroblasts: Morphological characteristics and implications.
AB - Keloid is a fibro-proliferative skin disorder with tumor-like behavior and
dependence on anaerobic glycolysis (the Warburg effect), but its exact
pathogenesis is unknown. Although autophagy is widely accepted as a lysosomal
pathway for cell survival and cellular homeostasis (specifically upon exposure to
stressors such as hypoxia), very few studies have investigated the involvement of
autophagy and related glycolytic effectors in keloidogenesis. Here the authors
examined the expression and cellular localization of autophagy proteins (LC3, pan
cathepsin), glycolytic markers (LDH, MCT1, MCT4) and the transcription factor HIF
isoforms in human keloid samples using immunohistochemical analysis and double
labeling immunofluorescence methods. Based on H&E staining and expression of
CD31, keloids were compartmentalized into hypoxic central and normoxic marginal
zones. Vimentin-expressing fibroblasts in the central zone exhibited greater
autophagy than their marginal-zone counterparts, as evidenced by increased LC3
puncta formation and co-localization with lysosomal pan-cathepsin. LDH (a lactate
stimulator), MCT4 (a lactate exporter) and HIF-1 alpha expression levels were
also higher in central-zone fibroblasts. Conversely, HIF-2 alpha expression was
upregulated in fibroblasts and endothelial cells of the peripheral zone, while
MCT1 was expressed in both zones. Taken together, these observations suggest that
upregulation of autophagy and glycolysis markers in keloid hypoxic-zone
fibroblasts may indicate a prosurvival mechanism allowing the extrusion of
lactate to marginal-zone fibroblasts via metabolic coupling. The authors believe
this is the first report on differential expression of autophagic and glycolytic
markers in keloid-zone fibroblasts. The study results indicate that autophagy
inhibitors and MCT4 blockers may have therapeutic implications in keloid
treatment.
PMID- 29809275
TI - Capillary zone electrophoresis for the determination of amodiaquine and three of
its synthetic impurities in pharmaceutical formulations.
AB - A simple and robust CZE method was developed for the separation and
quantification of the antimalarial compound amodiaquine as well as three of its
synthetic impurities at a concentration equal to or lower than 0.5%. For
capillary electrophoresis, a fused-silica capillary, a background electrolyte of
100 mM sodium phosphate buffer at a pH value of 6.2, a voltage of +20 kV, and a
detection wavelength of 220 nm were used, allowing the determination of the
analytes within 20 min. The method was validated according to the guideline
Q2(R1) of the International Council for Harmonization with respect to linearity,
precision, accuracy, limit of detection and limit of quantification, and was
successfully applied to evaluate the quality of drug samples collected in the
Democratic Republic of the Congo. Quantitative analysis results obtained by the
CZE method were compared to those obtained with the contemporary HPLC method
described in The International Pharmacopoeia.
PMID- 29809277
TI - How I treat older patients with acute myeloid leukemia.
PMID- 29809278
TI - A prospective study to validate various clinical criteria used in classification
of leprosy: a study from a tertiary care center in India.
AB - BACKGROUND: Various clinical criteria are used to categorize leprosy patients
into paucibacillary (PB) and multibacillary (MB), thus aiding in appropriate
treatment. However, comprehensive studies validating these criteria are minimal.
AIMS: To assess sensitivity and specificity of different clinical criteria
individually and in combination for classifying leprosy into PB/MB spectrum.
METHOD: A prospective study was conducted wherein 50 newly diagnosed, untreated
leprosy cases were recruited and classified into PB and MB using the following
clinical criteria: number of skin lesions (NSL), number of body areas affected
(NBAA), and size of largest skin lesion (SLSL). Patients with pure neuritic
leprosy, diffuse macular type of lepromatous leprosy, and with reactions were
excluded. Sensitivity and specificity of these clinical criteria in
classification was calculated taking histopathological findings as gold standard.
RESULTS: Among 50 patients, 37 were males and 13 were females with a mean age of
32.08 +/- 16.55 years. The sensitivity and specificity of NSL, NBAA, and SLSL was
94.74 and 87.1%, 94.74 and 61.29%, and 73.68 and 16.13%, respectively. Combining
all three criteria, the sensitivity increased to 100%, but specificity decreased
drastically to 12.9%. The ROC curve for NSL, NBAA, and SLSL showed a cutoff of
>=6 skin lesions, >=3 body areas affected, and <=2 cm lesion to classify as MB.
CONCLUSION: The current WHO system of leprosy classification based on NSL seems
to be best among available clinical criteria. Uniform and sensible application of
this criteria itself assures appropriate categorizing and leprosy treatment with
reasonable sensitivity and specificity.
PMID- 29809279
TI - Potential role of bird predation in the dispersal of otherwise flightless stick
insects.
PMID- 29809276
TI - Tissue distribution study of periplocin and its two metabolites in rats by a
validated LC-MS/MS method.
AB - Periplocin is a cardiac glycoside and has been used widely in the clinic for its
cardiotonic, anti-inflammatory and anti-tumor effects. Although it is taken
frequently by oral administration in the clinic, there have been no reports
demonstrating that periplocin could be detected in vivo after an oral
administration, so there is an urgen need to determine the characteristics of
periplocin in vivo after oral administration. In this study, a sensitive and
reliable liquid chromatography-tandem mass spectrometry method was developed and
validated to identify and quantify periplocin and its two metabolites in rat
tissue after a single dosage of perplocin at 50 mg/kg. The results demonstrated
that periplocin and its two metabolites were detected in all of the selected
tissues; periplocin could reach peak concentration quickly after administration,
while periplocymarin and periplogenin reached maximum concentration > 4.83 h
after administration. The tissue distribution of analytes tended to be mostly in
the liver, and higher analyte concentrations were found in the heart, liver,
spleen, lung and kidney, but a small amount of chemical constituents was
distributed into the brain. The consequences obtained using this method might
provide a meaningful insight for clinical investigations and applications.
PMID- 29809280
TI - Ovarian cancer statistics, 2018.
AB - In 2018, there will be approximately 22,240 new cases of ovarian cancer diagnosed
and 14,070 ovarian cancer deaths in the United States. Herein, the American
Cancer Society provides an overview of ovarian cancer occurrence based on
incidence data from nationwide population-based cancer registries and mortality
data from the National Center for Health Statistics. The status of early
detection strategies is also reviewed. In the United States, the overall ovarian
cancer incidence rate declined from 1985 (16.6 per 100,000) to 2014 (11.8 per
100,000) by 29% and the mortality rate declined between 1976 (10.0 per 100,000)
and 2015 (6.7 per 100,000) by 33%. Ovarian cancer encompasses a heterogenous
group of malignancies that vary in etiology, molecular biology, and numerous
other characteristics. Ninety percent of ovarian cancers are epithelial, the most
common being serous carcinoma, for which incidence is highest in non-Hispanic
whites (NHWs) (5.2 per 100,000) and lowest in non-Hispanic blacks (NHBs) and
Asians/Pacific Islanders (APIs) (3.4 per 100,000). Notably, however, APIs have
the highest incidence of endometrioid and clear cell carcinomas, which occur at
younger ages and help explain comparable epithelial cancer incidence for APIs and
NHWs younger than 55 years. Most serous carcinomas are diagnosed at stage III
(51%) or IV (29%), for which the 5-year cause-specific survival for patients
diagnosed during 2007 through 2013 was 42% and 26%, respectively. For all stages
of epithelial cancer combined, 5-year survival is highest in APIs (57%) and
lowest in NHBs (35%), who have the lowest survival for almost every stage of
diagnosis across cancer subtypes. Moreover, survival has plateaued in NHBs for
decades despite increasing in NHWs, from 40% for cases diagnosed during 1992
through 1994 to 47% during 2007 through 2013. Progress in reducing ovarian cancer
incidence and mortality can be accelerated by reducing racial disparities and
furthering knowledge of etiology and tumorigenesis to facilitate strategies for
prevention and early detection. CA Cancer J Clin 2018;68:284-296. (c) 2018
American Cancer Society.
PMID- 29809281
TI - An optimized microfluidic paper-based NiOOH/Zn alkaline battery.
AB - In this paper, an alkaline nickel oxide hydroxide/zinc (NiOOH/Zn) battery
featuring a cellulose matrix separator between electrodes is presented. The
metallic electrodes and the paper separator are inserted in a layer-by-layer
assembly that provides mechanical stability to the system resulting in a
lightweight and easy-to-use device. The battery was optimized for the amount of
NiOOH-ink used at the cathode (11.1 mg/cm2 ) and thickness of the paper membrane
separating the electrodes (360 MUm). The battery was able to function using a
small volume (75 MUL) of 1.5 M potassium hydroxide (KOH) producing a maximum
voltage, current density, and power density of 1.35 +/- 0.05 V, 10.62 +/- 0.57
mA/cm2, and 0.56 +/- 0.01 mW/cm2, respectively. The system displayed a maximum
current of 23.9 mA and a maximum power of 1.26 mW. Moreover, four batteries
connected in series were able to power a small flameless candle for approximately
22 min. This work has potential in fulfilling the demands for short-term and
lightweight power supplies.
PMID- 29809282
TI - The reaction of hydrogen sulfide with disulfides: formation of a stable
trisulfide and implications for biological systems.
AB - BACKGROUND AND PURPOSE: The signalling associated with hydrogen sulfide (H2 S)
remains to be established, and recent studies have alluded to the possibility
that H2 S-derived species play important roles. Of particular interest are
hydropersulfides (RSSH) and related polysulfides (RSSn R, n > 1). This work
elucidates the fundamental chemical relationship between these sulfur species as
well as examines their biological effects. EXPERIMENTAL APPROACH: Using standard
analytical techniques (1 H-NMR and MS), the equilibrium reactions between H2 S,
disulfides (RSSR), RSSH, dialkyltrisulfides (RSSSR) and thiols (RSH) were
examined. Their ability to protect cells from electrophilic and/or oxidative
stress was also examined using cell culture. KEY RESULTS: H2 S, RSSR, RSSH, RSSSR
and RSH are all in a dynamic equilibrium. In a biological system, these species
can exist simultaneously, and thus, it is difficult to discern which species is
(are) the biological effector(s). Treatment of cells with the dialkyl trisulfide
cysteine trisulfide (Cys-SSS-Cys) resulted in high intracellular levels of
hydropersulfides and protection from electrophilic stress. CONCLUSIONS AND
IMPLICATIONS: In aqueous systems, the reaction between H2 S and RSSR results in
the formation of equilibria whereby H2 S, RSH, RSSR, RSSH and RSSSR are present.
In a biological system, any of these species can be responsible for the observed
biological activity. These equilibrium species can also be generated via the
reaction of RSH with RSSSR. Due to these equilibria, Cys-SSS-Cys can be a method
for generating any of the other species. Importantly, HEK293T cells treated with
Cys-SSS-Cys results in increased levels of hydropersulfides, allowing examination
of the biological effects of RSSH.
PMID- 29809283
TI - Ionic Liquid Gating Control of Spin Reorientation Transition and Switching of
Perpendicular Magnetic Anisotropy.
AB - Electric field (E-field) modulation of perpendicular magnetic anisotropy (PMA)
switching, in an energy-efficient manner, is of great potential to realize
magnetoelectric (ME) memories and other ME devices. Voltage control of the spin
reorientation transition (SRT) that allows the magnetic moment rotating between
the out-of-plane and the in-plane direction is thereby crucial. In this work, a
remarkable magnetic anisotropy field change up to 1572 Oe is achieved under a
small operation voltage of 4 V through ionic liquid (IL) gating control of SRT in
Au/[DEME]+ [TFSI]- /Pt/(Co/Pt)2 /Ta capacitor heterostructures at room
temperature, corresponding to a large ME coefficient of 378 Oe V-1 . As revealed
by both ferromagnetic resonance measurements and magnetic domain evolution
observation, the magnetization can be switched stably and reversibly between the
out-of-plane and in-plane directions via IL gating. The key mechanism, revealed
by the first-principles calculation, is that the IL gating process influences the
interfacial spin-orbital coupling as well as net Rashba magnetic field between
the Co and Pt layers, resulting in the modulation of the SRT and in-plane/out-of
plane magnetization switching. This work demonstrates a unique IL-gated PMA with
large ME tunability and paves a way toward IL gating spintronic/electronic
devices such as voltage tunable PMA memories.
PMID- 29809285
TI - Successful desensitization to gonadotropin-releasing hormone analogue triptorelin
acetate using a sustained-release depot preparation.
PMID- 29809286
TI - Small-molecule inhibitors of multidrug resistance-associated protein 1 and
related processes: A historic approach and recent advances.
AB - Multidrug resistance-associated protein 1 (MRP1, ABCC1) is an ATP-binding
cassette (ABC) transport protein. This efflux pump uses the energy of ATP
hydrolysis to export structurally diverse antineoplastic agents in human cancers.
The upregulation of MRP1 (either inherent or acquired) is one major reason for
the occurrence of the phenomenon called multidrug resistance (MDR). MDR is
characterized by a reduced outcome of chemotherapy due to the active
intracellular clearance of cytostatic drugs below the necessary effect
concentration. Much effort has been made to overcome MDR, which implied high
throughput screenings of already known pharmacological and natural compounds,
modification of intrinsic substrates, as well as design and synthesis of new
inhibitors. This review is meant not only to summarize the most recent results
over the past 10 years, but also to highlight major achievements regarding
reversal of MRP1-mediated MDR, from the time of its discovery until today. The
focus lies on small-molecule compounds that feature either direct MRP1
inhibition/transport blockage, toxicity against MRP1-overexpressing cells,
inhibition/modification of intracellular processes necessary for MRP1 function,
or modification of MRP1-related metabolic and genomic mechanisms. Considering all
aspects, this review might be useful to (re)consider possible strategies to
overcome MRP1-mediated MDR. Furthermore, it may be the basis for developing new,
even better, highly potent, less toxic, and selective (as well as broad-spectrum)
MRP1 inhibitors that will enter clinical evaluations in different malignancies
and finally conduce to overcome MDR in general.
PMID- 29809287
TI - Strong Aggregation-Induced CPL Response Promoted by Chiral Emissive Nematic
Liquid Crystals (N*-LCs).
AB - In this paper we designed a kind of aggregation-induced emission (AIE) chiral
fluorescence emitters (R/S-BINOL-CN enantiomers) in the aggregate state. Chiral
emissive nematic liquid crystals (N*-LCs) prepared by doping this kind of AIE
active R/S-BINOL-CN enantiomers into a common achiral nematic liquid crystal (N
LC, E7) can self-assemble as the regularly planar Grandjean texture leading to
high luminescence dissymmetry factor (glum ) of aggregation-induced circularly
polarized luminescence (AI-CPL) signal up to 0.41, which can be attributed to
dipolar interactions from polar cyano groups and pi-pi interactions between
binaphthyl moiety of the dopant R/S-BINOL-CN and biphenyl group of the host
molecules (E7).
PMID- 29809284
TI - Fine mapping in TERT-CLPTM1L region identified three independent lung cancer
susceptibility signals: A large-scale multi-ethnic population study.
AB - Genome-wide association studies (GWAS) and fine mapping studies have identified
multiple lung cancer susceptibility variants in TERT-CLPTM1L region. However, it
is still unclear about the relationship between these risk variants and the
independent lung cancer risk signals in this region. Therefore, we evaluated the
independent susceptibility signals for lung cancer and explored the potential
functional variants in this region. Sequential conditional analysis was used to
detect the independent susceptibility loci based on four lung cancer GWAS
datasets with 12 843 lung cases and 12 639 controls. Comprehensively functional
annotations were performed for each independent signal. Three independent
susceptibility signals were identified in multi-ethnic population. For the first
signal, rs2736100 showed the most significant association with lung cancer risk
(C > A, OR = 0.82, 95%CI: 0.79-0.85, P = 1.98 * 10-25 ). Rs36019446 was the top
ranked site (A > G, OR = 0.88, 95%CI: 0.84-0.92, P = 1.74 * 10-9 ) in the second
signal. For the third signal, rs326048 was the leading SNP (A > G, OR = 0.91,
95%CI: 0.87-0.95, P = 1.38 * 10-5 ). The following subgroup analysis found the
same three loci among Asian population. Further, we compared the difference
between various subgroup populations. Functional annotations revealed that
rs2736100, rs27996 (r2 = 0.85 with rs36019446) and rs326049 (r2 = 0.73 with
rs326048) could be potential functional variants in these three risk signals,
respectively. In conclusion, although multiple variants have been found
associated with lung cancer risk in TERT-CLPTM1L region, our findings indicated
that there are three independent lung cancer susceptibility signals in this
region.
PMID- 29809288
TI - The heart of the matter: a vascular hypothesis for bronchopulmonary dysplasia.
PMID- 29809289
TI - The emerging fibroblast-like synoviocyte channelome.
PMID- 29809290
TI - General Catalytic Enantioselective Access to Monohalomethyl and Trifluoromethyl
Cyclopropanes.
AB - An efficient catalytic enantioselective access to chiral functionalized
trifluoromethyl cyclopropanes from two classes of diazo compounds and alpha
trifluoromethyl styrenes using Rh2 ((S)-BTPCP)4 as a catalyst is described. This
method provides an efficient and practical strategy for the synthesis of highly
functionalized CF3 -cyclopropanes with excellent diastereoselectivities (up to
20:1) and enantioselectivities (up to 99 % ee). The depicted methodology
represents, to date, the most efficient catalytic enantioselective method to
access highly decorated chiral CF3 -cyclopropanes. Extension to chiral
monohalomethyl cyclopropanes in high ee is also reported.
PMID- 29809291
TI - From the stand scale to the landscape scale: predicting the spatial patterns of
forest regeneration after disturbance.
AB - Shifting disturbance regimes can have cascading effects on many ecosystems
processes. This is particularly true when the scale of the disturbance no longer
matches the regeneration strategy of the dominant vegetation. In the yellow pine
and mixed conifer forests of California, over a century of fire exclusion and the
warming climate are increasing the incidence and extent of stand-replacing
wildfire; such changes in severity patterns are altering regeneration dynamics by
dramatically increasing the distance from live tree seed sources. This has raised
concerns about limitations to natural reforestation and the potential for
conversion to non-forested vegetation types, which in turn has implications for
shifts in many ecological processes and ecosystem services. We used a California
region-wide data set with 1,848 plots across 24 wildfires in yellow pine and
mixed conifer forests to build a spatially explicit habitat suitability model for
forecasting postfire forest regeneration. To model the effect of seed
availability, the critical initial biological filter for regeneration, we used a
novel approach to predicting spatial patterns of seed availability by estimating
annual seed production from existing basal area and burn severity maps. The
probability of observing any conifer seedling in a 60-m2 area (the field plot
scale) was highly dependent on 30-yr average annual precipitation, burn severity,
and seed availability. We then used this model to predict regeneration
probabilities across the entire extent of a "new" fire (the 2014 King Fire),
which highlights the spatial variability inherent in postfire regeneration
patterns. Such forecasts of postfire regeneration patterns are of importance to
land managers and conservationists interested in maintaining forest cover on the
landscape. Our tool can also help anticipate shifts in ecosystem properties,
supporting researchers interested in investigating questions surrounding
alternative stable states, and the interaction of altered disturbance regimes and
the changing climate.
PMID- 29809292
TI - Vitrification of ovarian tissue of Brazilian North-eastern donkeys (Equus asinus)
using different cryoprotectants.
AB - The aim of this study was to assess a vitrification protocol for asinine ovarian
tissue, to preserve preantral follicles using different cryoprotectant solutions,
composed of various concentrations (EG 3 M or 6 M) of dimethyl sulfoxide or
ethylene glycol isolate, or as a combination (DMSO 3 M + EG 3 M). Ten pairs of
ovaries from Brazilian north-eastern breed jennies were obtained through
videolaparoscopy, and cortical fragments were submitted to a solid-surface
vitrification (SSV) using each cryoprotectant solution. The ovarian tissue was
evaluated for follicular morphology and viability, DNA integrity (TUNEL
technique) and the presence of nucleolar organizing regions in granulosa cells
(AgNOR technique). After thawing, the percentage of normal preantral follicles
was significantly reduced in the vitrified ovarian tissue fragments compared to
the fresh control (p < 0.05). When comparing treatments, the use of DMSO 3 M
(81.7 +/- 37.5%), EG 3 M (83.7 +/- 27.4%) and the combination of both DMSO 3 M +
EG 3 M (81.8 +/- 46.8%) allowed a greater percentage of follicular survival in
contrast to DMSO 6 M (69.8 +/- 16.5%) and EG 6 M (72.3 +/- 18.0%; p < 0.05). When
vitrified using the DMSO + EG combination, a higher percentage (62.5 +/- 29.1%)
of viable follicles (trypan blue) was observed in relation to the other
vitrification treatments (p < 0.05). The TUNEL technique identified that all
treatments tested showed DNA fragmentation in the follicular cells, except in the
case of the DMSO 3 M + EG 3 M treatment. When evaluating the presence of NORs, no
significant differences were observed in the amount of NORs between the fresh and
vitrified groups using DMSO 3 M + EG 3 M (p > 0.05). We concluded that the
combination DMSO 3 M + EG was more efficient for the vitrification of ovarian
tissue taken from Equus asinus, allowing adequate preservation of PAFs
morphology, viability, DNA integrity and cell proliferative capacity.
PMID- 29809293
TI - Identification of integrin heterodimers functioning on the surface of
undifferentiated porcine primed embryonic stem cells.
AB - In vitro expansion of undifferentiated porcine primed embryonic stem (ES) cells
is facilitated by use of non-cellular niches that mimic three-dimensional (3D)
microenvironments enclosing an inner cell mass of porcine blastocysts. Therefore,
we investigated the integrin heterodimers on the surface of undifferentiated
porcine primed ES cells for the purpose of developing a non-cellular niche to
support in vitro maintenance of the self-renewal ability of porcine primed ES
cells. Immunocytochemistry and a fluorescence immunoassay were performed to
assess integrin alpha and beta subunit levels, and attachment and antibody
inhibition assays were used to evaluate the function of integrin heterodimers.
The integrin alpha3 , alpha5 , alpha6 , alpha9 , alphaV , and beta1 subunits, but
not the alpha1 , alpha2 , alpha4 , alpha7 , and alpha8 subunits, were identified
on the surface of undifferentiated porcine primed ES cells. Subsequently,
significant increase of their adhesion to fibronectin, tenascin C, and
vitronectin were observed and functional blocking of integrin heterodimer alpha5
beta1 , alpha9 beta1 , or alphaV beta1 showed significantly inhibited adhesion to
fibronectin, tenascin C, or vitronectin. No integrin alpha6 beta1 heterodimer
mediated adhesion to laminin was detected. These results demonstrate that active
alpha5 beta1 , alpha9 beta1 , and alphaV beta1 integrin heterodimers are present
on the surface of undifferentiated porcine primed ES cells, together with
inactive integrin alpha3 (presumed) and alpha6 subunits.
PMID- 29809294
TI - Environmental Effects Determine the Structure of Potential beta-Amino Acid Based
Foldamers.
AB - This work shows that hybrid peptides formed by alternating trans-2
aminocyclopentanecarboxylic acid (trans-ACPC) and trans-2
aminocyclohexanecarboxylic acid (trans-ACHC) do not fold in the solvents
typically used in the study of their homo-oligomers. Only when the peptides are
assayed in SDS micelles are the predicted helical structures obtained. This
indicates that the environment could play an equally important role (as the
backbone stereochemistry) in determining their fold, possibly by providing a
sequestered environment.
PMID- 29809295
TI - Chondrocyte and mesenchymal stem cell derived engineered cartilage exhibits
differential sensitivity to pro-inflammatory cytokines.
AB - Tissue engineering is a promising approach for the repair of articular cartilage
defects, with engineered constructs emerging that match native tissue properties.
However, the inflammatory environment of the damaged joint might compromise
outcomes, and this may be impacted by the choice of cell source in terms of their
ability to operate anabolically in an inflamed environment. Here, we compared the
response of engineered cartilage derived from native chondrocytes and mesenchymal
stem cells (MSCs) to challenge by TNFalpha and IL-1beta in order to determine if
either cell type possessed an inherent advantage. Compositional (extracellular
matrix) and functional (mechanical) characteristics, as well as the release of
catabolic mediators (matrix metalloproteinases [MMPs], nitric oxide [NO]) were
assessed to determine cell- and tissue-level changes following exposure to IL
1beta or TNF-alpha. Results demonstrated that MSC-derived constructs were more
sensitive to inflammatory mediators than chondrocyte-derived constructs,
exhibiting a greater loss of proteoglycans and functional properties at lower
cytokine concentrations. While MSCs and chondrocytes both have the capacity to
form functional engineered cartilage in vitro, this study suggests that the
presence of an inflammatory environment is more likely to impair the in vivo
success of MSC-derived cartilage repair. (c) 2018 Orthopaedic Research Society.
Published by Wiley Periodicals, Inc. J Orthop Res.
PMID- 29809296
TI - Aggregation-Induced Emission of Multiphenyl-Substituted 1,3-Butadiene
Derivatives: Synthesis, Properties and Application.
AB - Organic functional materials, including conjugated molecules and fluorescent
dyes, have been intensely developed in recent years because they can be applied
in many fields, such as solar cells, biosensing and bioimaging, and medical
adjuvant therapy. Organic functional materials with aggregation-induced emission
or aggregation-enhanced emission (AIE/AEE) characteristics have increasingly
attracted attention due to their high quantum efficiency in the aggregated or
solid state. A large variety of AIE/AEE materials have been designed and applied
during the exponential growth of research interest in the abovementioned fields.
Multiphenyl-substituted 1,3-butadiene (MPB), as a core structure that includes
tetraphenyl-1,3-butadiene, hexaphenyl-1,3-butadiene and their derivatives, show a
typical AIE/AEE feature and can be potentially used in all the above-mentioned
fields. This review summarizes the design principles, the corresponding
syntheses, and the structure-property relationships of MPBs, as well as their
excellent innovative functionalities and applications. This review will be useful
for scientists conducting chemistry, materials, and biomedical research in
AIE/AEE-related fields.
PMID- 29809297
TI - Contraceptive Provision to Postpartum Women With Intellectual and Developmental
Disabilities: A Population-Based Cohort Study.
AB - CONTEXT: Women with intellectual and developmental disabilities who experience
pregnancy, like all women, require postpartum care that supports their
contraceptive knowledge and decision making. Yet, little is known about the
postpartum contraceptive care these women receive, or how it compares with care
given to other women. METHODS: A population-based study using linked health and
social services administrative data examined provision of postpartum
contraceptive care to women who had a live birth in Ontario, Canada, in 2002-2014
and were beneficiaries of Ontario's publicly funded drug plan. Modified Poisson
regression was used to compare care between 1,181 women with and 36,259 women
without intellectual and developmental disabilities. Outcomes were provision of
any nonbarrier contraceptive in the year following the birth and type of method
provided. RESULTS: In the first year postpartum, women with intellectual and
developmental disabilities were provided with contraceptives at a higher rate
than were other women (relative risk 1.3); the difference was significant for
both nonsurgical and surgical methods (1.2 and 1.8, respectively). The higher
rate of nonsurgical contraceptive provision was explained by provision of
injectables (1.9); there were no differences for pills or IUDs. CONCLUSION:
Nonbarrier contraceptives may be the most appropriate methods for some women with
intellectual and developmental disabilities. However, future research should
investigate why women with such disabilities are more likely than others to
receive injectable contraceptives, which have possible negative side effects, and
surgical contraception, which is irreversible. Research also should investigate
how these women perceive their participation in contraceptive decision making.
PMID- 29809299
TI - Disseminated Mycobacterium bovis infection post-kidney transplant following
remote intravesical BCG therapy for bladder cancer.
AB - Intravesical Bacillus Camlette-Guerin (BCG) is the treatment of choice for non
muscle invasive bladder cancer, and has been used successfully for over 40 years.
A rare and potentially fatal complication of intravesical BCG therapy is BCG
induced sepsis. We report a rare case in which a patient with end-stage renal
disease secondary to chronic granulomatous interstitial nephritis underwent
remote, pre-transplant intravesical BCG treatment for high-grade non-invasive
papillary bladder carcinoma. The patient subsequently received a deceased donor
kidney transplant 5 years after BCG therapy, with thymoglobulin induction therapy
and standard triple maintenance immunosuppression. Two years post-transplant, he
developed BCG-induced sepsis confirmed by cultures from urine, blood, and left
native kidney biopsy. He died from disseminated BCG-induced sepsis and failure of
his renal allograft. This case highlights the potential adverse reactions
associated with intravesical BCG therapy that may occur years after bladder
cancer therapy is completed, and should heighten physician awareness for BCG
related infections during pre-transplant assessment and post-transplant care of
solid organ transplants recipients.
PMID- 29809300
TI - Characteristics and pathogenesis of facial nerve stimulation after cochlear
implant surgeries: A single-centre retrospective analysis from 1151 patients.
PMID- 29809298
TI - Community-acquired respiratory virus lower respiratory tract disease in
allogeneic stem cell transplantation recipient: Risk factors and mortality from
pulmonary virus-bacterial mixed infections.
AB - Risk factors (RFs) and mortality data of community-acquired respiratory virus
(CARVs) lower respiratory tract disease (LRTD) with concurrent pulmonary co
infections in the setting of allogeneic hematopoietic stem cell transplantation
(allo-HSCT) is scarce. From January 2011 to December 2017, we retrospectively
compared the outcome of allo-HSCT recipients diagnosed of CARVs LRTD mono
infection (n = 52, group 1), to those with viral, bacterial, or fungal pulmonary
CARVs LRTD co-infections (n = 15, group 2; n = 20, group 3, and n = 11, group 4,
respectively), and with those having bacterial pneumonia mono-infection (n = 19,
group 5). Overall survival (OS) at day 60 after bronchoalveolar lavage (BAL) was
significantly higher in group 1, 2, and 4 compared to group 3 (77%, 67%, and 73%
vs 35%, respectively, P = .012). Recipients of group 5 showed a trend to better
OS compared to those of group 3 (62% vs 35%, P = .1). Multivariate analyses
showed bacterial co-infection as a RF for mortality (hazard ratio[HR] 2.65, 95%
C.I. 1.2-6.9, P = .017). We identified other 3 RFs for mortality: lymphocyte
count <0.5 * 109 /L (HR 2.6, 95% 1.1-6.2, P = .026), the occurrence of and CMV
DNAemia requiring antiviral therapy (CMV-DNAemia-RAT) at the time of BAL (HR
2.32, 95% C.I. 1.1-4.9, P = .03), and the need of oxygen support (HR 8.3, 95%
C.I. 2.9-35.3, P = .004). CARV LRTD co-infections are frequent and may have a
negative effect in the outcome, in particular in the context of bacterial co
infections.
PMID- 29809301
TI - Rapid analysis of ultraviolet filters using dispersive liquid-liquid
microextraction coupled to headspace gas chromatography and mass spectrometry.
AB - An ionic-liquid-based in situ dispersive liquid-liquid microextraction method
coupled to headspace gas chromatography and mass spectrometry was developed for
the rapid analysis of ultraviolet filters. The chemical structures of five ionic
liquids were specifically designed to incorporate various functional groups for
the favorable extraction of the target analytes. Extraction parameters including
ionic liquid mass, molar ratio of ionic liquid to metathesis reagent, vortex
time, ionic strength, pH, and total sample volume were studied and optimized. The
effect of the headspace temperature and volume during the headspace sampling step
was also evaluated to increase the sensitivity of the method. The optimized
procedure is fast as it only required ~7-10 min per extraction and allowed for
multiple extractions to be performed simultaneously. In addition, the method
exhibited high precision, good linearity, and low limits of detection for six
ultraviolet filters in aqueous samples. The developed method was applied to both
pool and lake water samples attaining acceptable relative recovery values.
PMID- 29809302
TI - Management of posterior glottic stenosis using the Combined Glottic
Reconstruction procedure.
PMID- 29809303
TI - Screening Coccidioides serology in kidney transplant recipients: A 10-year cross
sectional analysis.
AB - BACKGROUND: Kidney transplant recipients (KTRs) are at risk for reactivation and
complicated infection due to Coccidioides. Pre-transplant serological screening
should provide benefit for patients from endemic areas. We evaluated Coccidioides
seroprevalence by area of residence in KTRs at a major transplant program in Los
Angeles. METHODS: We performed cross-sectional analyses of adult KTRs who
underwent transplantation at UCLA between 2007-2016. Patients with Coccidioides
serology by enzyme immunoassay (EIA) before or within 14 days from
transplantation were included. Patients were classified as living in highly,
established, suspected, or not endemic areas by their residential zip code.
RESULTS: Overall prevalence of Coccidioides IgG and IgM were 1.4% and 2.8%,
respectively. Of patients with positive serology, 31.4% had isolated IgG and
66.3% isolated IgM. Patients from established and highly endemic areas had IgG
seropositivity of 3.7% versus 1.3% for patients living in suspected endemic
areas(P < .01). Rates of IgM seropositivity were 3.7% compared to 2.8%
respectively (P = .28). No patients from non-endemic areas had positive screening
serology. CONCLUSIONS: Pre-transplant serological screening for Coccidioides is
recommended in kidney transplant candidates from endemic areas. We observed high
seroprevalence among patients from highly and established endemic areas, for whom
universal prophylaxis is recommended. For residents from less well-established
areas of endemicity, serological screening showed benefit in identifying patients
at risk. In patients with isolated EIA IgM, performing repeat and confirmatory
tests is recommended. Patients from non-endemic areas had low risk of infection,
however, a thorough social history is necessary to evaluate risk.
PMID- 29809304
TI - Management of immunosuppressive therapy in liver transplant recipients who
develop bloodstream infection.
AB - BACKGROUND: Data about the optimal management of immunosuppressive therapy in
liver transplant (LT) recipients with bloodstream infection (BSI) are missing. We
aimed to describe the management of immunosuppressive therapy at diagnosis of BSI
in LT recipients and to assess its impact on 28-day mortality. METHODS: We
performed a single-center retrospective study of all LT recipients diagnosed with
BSI, over 10-year period. Multivariate Cox regression analysis of risk factors
for all cause 28-day mortality was adjusted for the propensity score of being
managed with "any reduction" in immunosuppressive therapy at the diagnosis of
BSI. RESULTS: We identified 209 episodes of BSI in 157 LT recipients: 107 (68%)
male, median age 54 (IQR 48-63) years. "Any reduction" was made in 90 (43%) cases
including: dosage reduction of >=1 immunosuppressive drug in 31 (15%),
discontinuation of >=1 immunosuppressive drug in 28 (13%), both dosage reduction
and discontinuation in 13 (6%), complete withdrawal of immunosuppressive therapy
in 18 (9%) cases. All-cause 28-day mortality rate was 13.4%, varying from 22% to
7% (P = .002) in cases with and without "any reduction". Cox regression showed
septic shock (aHR 3.15, P = .007) and "any reduction" (aHR 2.50, P = .02) as
independent risk factors for all-cause 28-day mortality, while Escherichia coli
(aHR 0.38, P = .03) and source control (aHR 0.43, P = .04) were protective
factors. The final model did not change after the introduction of the propensity
score for "any reduction". CONCLUSIONS: Any reduction in the immunosuppressive
therapy was common and was associated with worse outcome in LT recipients
developing BSI.
PMID- 29809305
TI - Down-regulation of CD19 expression inhibits proliferation, adhesion, migration
and invasion and promotes apoptosis and the efficacy of chemotherapeutic agents
and imatinib in SUP-B15 cells.
AB - The survival rate of childhood acute lymphoblastic leukemia (ALL) has increased
while that of Philadelphia-positive (Ph+) ALL remains low. CD19 is a B-cell
specific molecule related to the survival and proliferation of normal B cells.
However, there is little information available on the effects of CD19 on the
biological behavior of Ph+ ALL cells. In this study, we explored a lentiviral
vector-mediated short hairpin RNA (shRNA) expression vector to stably reduce CD19
expression in Ph+ ALL cell line SUP-B15 cells and investigated the effects of
CD19 downregulation on cell proliferation, apoptosis, drug sensitivity, cell
adhesion, cell migration and cell invasion in vitro. CD19 mRNA and protein
expression levels were inhibited significantly by CD19 shRNA. Down-regulation of
CD19 could inhibit cell proliferation, adhesion, migration and invasion, and
increase cell apoptosis and the efficacy of chemotherapeutic agents and imatinib
in SUP-B15 cells. Moreover, we found that down-regulation of CD19 expression
inhibits cell proliferation and induces apoptosis in SUP-B15 cells in a p53
dependent manner. Taken together, our results suggest that lentiviral vector
mediated RNA interference of CD19 gene may be a promising strategy in the
treatment of Ph+ ALL.
PMID- 29809306
TI - IgA-dominant extracapillary proliferative glomerulonephritis following
Escherichia coli sepsis in a renal transplant recipient.
AB - Postinfectious glomerulonephritis (PIGN) generally occurs in association with
staphylococcal infection. We present the first reported case of IgA-dominant PIGN
after Escherichia coli infection in a renal-transplant recipient. A 65-year-old
patient with stable allograft function and E. coli urosepsis was treated with
ciprofloxacin for 2 weeks with excellent response. One week later he developed
proteinuria 16 g/day. Renal biopsy finding revealed IgA-dominant PIGN. He
received steroid pulses and intravenous imunoglobulins without effect and had
started with hemodialysis.
PMID- 29809307
TI - Oxidatively-Stable Linear Poly(propylenimine)-Containing Adsorbents for CO2
Capture from Ultradilute Streams.
AB - Aminopolymer-based solid sorbents have been widely investigated for the capture
of CO2 from dilute streams such as flue gas or ambient air. However, the
oxidative stability of the widely studied aminopolymer, poly(ethylenimine) (PEI),
is limited, causing it to lose its CO2 capture capacity after exposure to oxygen
at elevated temperatures. Here, we demonstrate the use of linear
poly(propylenimine) (PPI), synthesized through a simple cationic ring-opening
polymerization, as a more oxidatively stable alternative to PEI with high CO2
capacity and amine efficiency. The performance of linear PPI/SBA-15 composites
was investigated over a range of CO2 capture conditions (CO2 partial pressure,
adsorption temperature) to examine the tradeoff between adsorption capacity and
sorption-site accessibility, which was expected to be more limited in linear
polymers relative to the prototypical hyperbranched PEI. Linear PPI/SBA-15
composites were more efficient at CO2 capture and retained 65-83 % of their CO2
capacity after exposure to a harsh oxidative treatment, compared to 20-40 %
retention for linear PEI. Additionally, we demonstrated long-term stability of
linear PPI sorbents over 50 adsorption/desorption cycles with no loss in
performance. Combined with other strategies for improving the oxidative stability
and adsorption kinetics, linear PPI may play a role as a component of stable
solid adsorbents in commercial applications for CO2 capture.
PMID- 29809308
TI - Unity of consciousness.
AB - Although there is much talk in various literatures of streams of consciousness,
and most of us have an intuitive understanding of such talk, we are far from
having a full grasp of what it is that unifies streams of consciousness, binding
together the individual experiences that serve as their constituents. In recent
years, discussion of this topic has been principally concerned with synchronic
unity of consciousness-the form of unity that is exhibited by momentary states of
consciousness, or in other words, by time slices or temporal segments of streams.
There are two main questions about synchronic unity. First, what is its scope?
Are the simultaneous experiences of a single subject necessarily unified?
Generally but not necessarily unified? Sometimes unified? And second, what is the
nature of synchronic unity? Is it a fundamental phenomenon, and if not, what are
the more basic phenomena that constitute it? This essay reviews recent work on
these questions, and provides reasons for preferring some answers to others. This
article is categorized under: Philosophy > Consciousness Philosophy > Foundations
of Cognitive Science Philosophy > Metaphysics.
PMID- 29809310
TI - Low-Bandgap Cs4 CuSb2 Cl12 Layered Double Perovskite: Synthesis, Reversible
Thermal Changes, and Magnetic Interaction.
AB - Double perovskites (DPs) with a generic formula A2 M'(I)MIII X6 (A and M are
metal ions, and X=Cl, Br, I) are now being explored as potential alternatives to
Pb-halide perovskites for solar cells and other optoelectronic applications.
However, these DPs typically suffer from wide (~3 eV) and/or indirect band gaps.
In 2017, a new structural variety, namely layered halide DP Cs4 CuSb2 Cl12 (CCSC)
with bivalent CuII ion in the place of M'(I) was reported, which exhibit a band
gap of approximately 1 eV. Here, we report a mechanochemical synthesis of CCSC,
its thermal and chemical stability, and magnetic response of CuII d9 electrons
controlling the optoelectronic properties. A simple grinding of precursor salts
at ambient conditions provides a stable and scalable product. CCSC is stable in
water/acetone solvent mixtures (~30 % water) and many other polar solvents unlike
Pb-halide perovskites. It decomposes to Cs3 Sb2 Cl9 , Cs2 CuCl4 , and SbCl3 at
210 degrees C, but the reaction can be reversed back to produce CCSC at lower
temperatures and high humidity. A long-range magnetic ordering is observed in
CCSC even at room temperature. The role of such magnetic ordering in controlling
the dispersion of the conduction band, and therefore, controlling the electronic
and optoelectronic properties of CCSC has been discussed.
PMID- 29809309
TI - Cytomegalovirus prophylaxis in seropositive renal transplant recipients receiving
thymoglobulin induction therapy: Outcome and risk factors for late CMV disease.
AB - BACKGROUND: Anti-thymocyte globulin (ATG) therapy is a risk factor for
cytomegalovirus (CMV) disease in renal transplant (RTx) recipients and therefore
antiviral prophylaxis is commonly used. We evaluated the outcome of our current
policy of 90 days of CMV prophylaxis in seropositive recipients given ATG and the
risk factors for the occurrence of CMV disease after prophylaxis. METHODS: We
studied a retrospective cohort of 423 RTx (2010-2014) CMV-seropositive adults
given ATG induction therapy. RESULTS: 54 (13%) patients developed CMV disease at
a median of 163 days after transplant, of which 29 (54%) had viral syndrome and
25 (46%) had invasive disease. Median prophylaxis time (94 days) and
immunosuppressive drugs were similar between groups (CMV vs no-CMV). Those with
CMV disease had more deceased donors and higher donor age, lower lymphocyte
count, and lower median eGFR at day 90. Multivariable logistic regression
analysis at day 90 and 180 found that eGFR <=40 ml/min/1.73 m2 (but not acute
rejection) was associated with late CMV disease. In a separate validation cohort
of 124 patients with 8% late CMV disease, eGFR <=45 and lymphocyte count <=800
cells/mm3 at the end of prophylaxis remained predictive of late CMV disease
occurrence. CONCLUSIONS: These data indicate that antiviral prophylaxis
adequately prevented CMV in seropositive recipients given ATG, but late disease
still occurred. Low eGFR and low lymphocyte count at the end of prophylaxis may
help identify patients at higher risk of CMV disease.
PMID- 29809312
TI - Early viral-specific T-cell testing predicts late cytomegalovirus reactivation
following liver transplantation.
AB - INTRODUCTION: Although antiviral prophylaxis is effective in preventing early
cytomegalovirus (CMV) reactivation following liver transplantation (OLT), it
predisposes patients to late CMV after prophylaxis has ceased. QuantiFERON-CMV
(QFN-CMV, Qiagen, The Netherlands) measures an individual's viral-specific immune
response. METHODS: Fifty-nine OLT recipients were prospectively monitored post
OLT in an observational cohort study. QFN-CMV was performed at regular time
points. An absolute QFN-CMV <0.1 IU/mL was considered non-reactive. RESULTS:
50/59 (84.7%) had a reactive QFN-CMV by M6. 38/59 (64.4%) had antiviral
prophylaxis or treatment before M6, with 31/38 (81.6%) developing a reactive QFN
CMV by 6 months. Over 90% already had a reactive result as early as 3 months post
transplant, 3 patients (5.08%) developed late CMV between 6-12 months (median 251
days)-all had a non-reactive M6 QFN-CMV. And 2/3 experienced CMV disease. Non
reactive M6 QFN-CMV was significantly associated with late CMV (OR = 54.4, PPV =
0.33, NPV = 1.00, P = .003). CONCLUSION: Although only 5% of recipients developed
late CMV, 2/3 suffered CMV disease. M6 QFN-CMV has an excellent NPV for late CMV,
suggesting patients who exhibit a robust ex vivo immune response at M6 can safely
cease CMV monitoring. Furthermore, >90% already express viral-specific immunity
as early as 3 months. Conceivably, antiviral prophylaxis could be discontinued
early in these patients.
PMID- 29809313
TI - Dual CRISPR-Cas9 Cleavage Mediated Gene Excision and Targeted Integration in
Yarrowia lipolytica.
AB - CRISPR-Cas9 technology has been successfully applied in Yarrowia lipolytica for
targeted genomic editing including gene disruption and integration; however,
disruptions by existing methods typically result from small frameshift mutations
caused by indels within the coding region, which usually resulted in unnatural
protein. In this study, a dual cleavage strategy directed by paired sgRNAs is
developed for gene knockout. This method allows fast and robust gene excision,
demonstrated on six genes of interest. The targeted regions for excision vary in
length from 0.3 kb up to 3.5 kb and contain both non-coding and coding regions.
The majority of the gene excisions are repaired by perfect nonhomologous end
joining without indel. Based on this dual cleavage system, two targeted
markerless integration methods are developed by providing repair templates. While
both strategies are effective, homology mediated end joining (HMEJ) based method
are twice as efficient as homology recombination (HR) based method. In both
cases, dual cleavage leads to similar or improved gene integration efficiencies
compared to gene excision without integration. This dual cleavage strategy will
be useful for not only generating more predictable and robust gene knockout, but
also for efficient targeted markerless integration, and simultaneous knockout and
integration in Y. lipolytica.
PMID- 29809311
TI - Assessment of risk for transplant-transmissible infectious encephalitis among
deceased organ donors.
AB - BACKGROUND: There were 13 documented clusters of infectious encephalitis
transmission via organ transplant from deceased donors to recipients during 2002
2013. Hence, organs from donors diagnosed with encephalitis are often declined
because of concerns about the possibility of infection, given that there is no
quick and simple test to detect causes of infectious encephalitis. METHODS: We
constructed a database containing cases of infectious and non-infectious
encephalitis. Using statistical imputation, cross-validation, and regression
techniques, we determined deceased organ donor characteristics, including
demographics, signs, symptoms, physical exam, and laboratory findings, predictive
of infectious vs non-infectious encephalitis, and developed a calculator which
assesses the risk of infection. RESULTS: Using up to 12 predictive patient
characteristics (with a minimum of 3, depending on what information is
available), the calculator provides the probability that a donor may have
infectious vs non-infectious encephalitis, improving the prediction accuracy over
current practices. These characteristics include gender, fever, immunocompromised
state (other than HIV), cerebrospinal fluid elevation, altered mental status,
psychiatric features, cranial nerve abnormality, meningeal signs, focal motor
weakness, Babinski's sign, movement disorder, and sensory abnormalities.
CONCLUSION: In the absence of definitive diagnostic testing in a potential organ
donor, infectious encephalitis can be predicted with a risk score. The risk
calculator presented in this paper represents a prototype, establishing a
framework that can be expanded to other infectious diseases transmissible through
solid organ transplantation.
PMID- 29809314
TI - Sub-Micrometer Au@PDA-125 I Particles as Theranostic Embolism Beads for
Radiosensitization and SPECT/CT Monitoring.
AB - Au nanoparticles (3.8 +/- 0.6 nm) are assembled to sub-micrometer Au particles
(186.3 +/- 20.4 nm) and covered with adhesive polydopamine (PDA) as embolism
beads (198.8 +/- 23.2 nm). Radioactive iodine-125 is labeled to Au@PDA to
introduce the function of intra-irradiation. For the therapeutic effects of
Au@PDA-125 I, Au particles sensitize the radiation to MHCC97H hepatoma cells and
tumor-bearing mice. At the cellular level, after being treated with a relatively
low-dose (5 Gy) gamma-ray, Au-sensitized radiotherapy (RT) leads to an immediate
increase of intracellular reactive oxygen species, accompanying with an increase
of cell apoptosis. Due to the intra-irradiation, self-healing of RT-leaded DNA
double-strand breakage is suppressed, inducing a further increase of cell
apoptosis after RT treatment. Likewise, 3 cycles of sensitized RT leads to a
valid control of tumor volume growth, but Au@PDA-125 I has no harm or radioactive
residual on or in the radiosensitive organs, including the thyroid, heart, lungs,
liver, and spleen. Additionally, photons emitted from 125 I and high X-ray
absorption of the Au element makes the beads suitable for single photon emission
computed tomography/computed tomography (SPECT/CT) imaging. Therefore, as
theranostic embolism beads, Au@PDA-125 I can both enhance the therapeutic effects
of external RT, and provide a real-time SPECT/CT monitoring of therapeutic time
window.
PMID- 29809315
TI - Clinical characteristics and outcomes of late-onset BK virus nephropathy in
kidney and kidney-pancreas transplant recipients.
AB - BACKGROUND: BK virus nephropathy (BKPyVAN) is a major complication in kidney
transplant recipients (KTR) and typically occurs within 1 year of transplant.
Guidelines vary in recommendations for BKPyV screening beyond 1 year. A
systematic characterization of risk factors and outcomes of late-onset (>1 year)
BKPyVAN has not previously been reported. METHODS: We retrospectively compared
characteristics and outcomes of early- (<1 year) and late-onset BKPyVAN
(definitive [biopsy-confirmed] or presumptive [plasma BKPyV >10 000 copies/mL])
in a cohort of 671 KTR and simultaneous kidney-pancreas transplant (SPK)
recipients between 2008 and 2013 at a single US transplant center. Proportions
were compared using Chi-square or Fisher's exact test with P < .05 considered
significant. RESULTS: BKPyVAN was diagnosed in 96 (14.3%) patients (proven 16.7%,
presumptive 83.3%): 79 (82.3%) early- and 17 (17.7%) late-onset. The proportion
with late-onset BKPyVAN was significantly higher among SPK than KTR (4 of 7
[57.1%] vs 13 of 89 [14.6%], P = .017). Late-onset represented "de novo"
infection (no BKPyV detection within the first year) in 14 (82.4%) and
progression of earlier lower grade BKPyV reactivation in 3 (17.6%). Clinical
outcomes were similar for early- and late-onset BKPyVAN (P > .05 all
comparisons). In a pooled analysis of prior studies of BKPyVAN in SPK recipients,
62.9% (17 of 27) were late-onset. CONCLUSION: A significant proportion of BKPyVAN
is late-onset, especially among SPK recipients, and supports a longer duration of
BKPyV monitoring for SPK recipients than recommended in some guidelines.
PMID- 29809316
TI - Simultaneous determination of 22 phthalate esters in polystyrene food-contact
materials by ultra-performance convergence chromatography with tandem mass
spectrometry.
AB - A method for the determination of 22 phthalate esters in polystyrene food-contact
materials has been established using ultraperformance convergence chromatography
with tandem mass spectrometry. In this method, 22 phthalate esters were analyzed
in <3.5 min on an ACQUITY Tours 1-AA column by gradient elution. The mobile
phase, the compensation solvent, the flow rate of mobile phase, column
temperature, and automatic back pressure regulator pressure were optimized,
respectively. There was a good linearity of 20 phthalate esters with a range of
0.05-10 mg/L, diisodecyl phthalate and diisononyl phthalate were 0.25-10 mg/L,
and the correlation coefficients of all phthalates were higher than 0.99 and
those of 16 phthalates were higher than 0.999. The limits of detection and the
limits of quantification of 15 phthalates were 0.02 and 0.05 mg/kg, meanwhile
diallyl phthalate, diisobutyl phthalate, dimethyl phthalate, di-n-butyl
phthalate, and di(2-ethylhexyl) phthalate were 0.05 and 0.10 mg/kg, and
diisodecyl phthalate and diisononyl phthalate were 0.10 and 0.25 mg/kg. The
spiked recoveries were in the range of 76.26-107.76%, and the relative standard
deviations were in the range of 1.78-12.10%. Results support this method as an
efficient alternative to apply for the simultaneous determination of 22 phthalate
esters in common polystyrene food-contact materials.
PMID- 29809317
TI - {Nb288 O768 (OH)48 (CO3 )12 }: A Macromolecular Polyoxometalate with Close to 300
Niobium Atoms.
AB - A protein-sized (ca. 4.2*4.2*3.6 nm3 ) non-biologically derived molecule {Nb288
O768 (OH)48 (CO3 )12 } (Nb288 ) containing up to 288 niobium atoms has been
obtained, which is by far the largest and the highest nuclearity polyoxoniobate
(PONb). Particularly, in terms of metal nuclearity number, Nb288 is the second
largest cluster so far reported in classic polyoxometalate chemistry (V, Mo, W,
Nb, and Ta). Nb288 can be described as a giant windmill-like cluster aggregate of
six nanoscale high-nuclearity PONb units {Nb47 O128 (OH)6 (CO3 )2 } (Nb47 )
joined together by six additional Nb ions. Interestingly, the 47-nuclearity Nb47
units generated in situ can be isolated and bridged by copper complexes to form
an inorganic-organic hybrid three-dimensional PONb framework, which exhibits
effective catalytic activity for hydrolyzing nerve agent simulant of dimethyl
methylphosphonate. The unique Nb47 cluster also provides a new type of topology
to very limited family of Nb-O clusters.
PMID- 29809318
TI - Systematic Interpolation Method Predicts Antibody Monomer-Dimer Separation by
Gradient Elution Chromatography at High Protein Loads.
AB - A previously developed empirical interpolation (EI) method is extended to predict
highly overloaded multicomponent elution behavior on a cation exchange (CEX)
column based on batch isotherm data. Instead of a fully mechanistic model, the EI
method employs an empirically modified multicomponent Langmuir equation to
correlate two-component adsorption isotherm data at different salt
concentrations. Piecewise cubic interpolating polynomials are then used to
predict competitive binding at intermediate salt concentrations. The approach is
tested for the separation of monoclonal antibody monomer and dimer mixtures by
gradient elution on the cation exchange resin Nuvia HR-S. Adsorption isotherms
are obtained over a range of salt concentrations with varying monomer and dimer
concentrations. Coupled with a lumped kinetic model, the interpolated isotherms
predict the column behavior for highly overloaded conditions. Predictions based
on the EI method shows good agreement with experimental elution curves for
protein loads up to 40 mg mL-1 column or about 50% of the column binding
capacity. The approach can be extended to other chromatographic modalities and to
more than two components.
PMID- 29809319
TI - Adjusting the Introduction of Cations for Highly Efficient and Stable Perovskite
Solar Cells Based on (FAPbI3 )0.9 (FAPbBr3 )0.1.
AB - Although the power conversion efficiency (PCE) of perovskite solar cells (PSCs)
has increased to 22.7 %, the instability when exposed to moisture and heat has
hindered their further practical development. In this study, to gain highly
efficient and stable perovskite components, methylammonium (MA), Cs, and Rb
cations are introduced into a (FAPbI3 )0.9 (FAPbBr3 )0.1 (FA=formamidine) film,
which is rarely used because of its poor photovoltaic performance. The effects of
different contents of MA, Cs, or Rb cations on the performance of (FAPbI3 )0.9
(FAPbBr3 )0.1 films and devices are systematically studied. The results show that
the devices with Cs cations exhibit markedly improved photovoltaic performance
and stability, attributed to the clearly enhanced quality of films and their
intrinsic stability. The (FAPbI3 )0.9 (FAPbBr3 )0.1 devices with 10 % Cs show a
PCE as high as 19.94 %. More importantly, the unsealed devices retain about 80 %
and 90 % of the initial PCE at 85 degrees C after 260 h and under 45+/-5 %
relative humidity (RH) after 1440 h, respectively, which are better than that
with 15 % MA and 5 % Rb under the same conditions. This indicates that a highly
efficient and stable perovskite component has been achieved, and PSCs based on
this component are expected to promote their further development.
PMID- 29809320
TI - Water Splitting-Biosynthetic Hybrid System for CO2 Conversion using Nickel
Nanoparticles Embedded in N-Doped Carbon Nanotubes.
AB - CO2 reduction has drawn increasing attention owing to the concern of global
warming. Water splitting-biosynthetic hybrid systems are novel and efficient
approaches for CO2 conversion. Intimate coupling of electrocatalysts and
biosynthesis requires the catalysts possess both high catalytic performance and
excellent biocompatibility, which is a bottleneck of developing such catalysts.
Here, a complex of Ni nanoparticles embedded in N-doped carbon nanotubes (Ni@N-C)
is synthesized as a hydrogen evolution reaction electrocatalyst and is coupled
with a hydrogen oxidizing autotroph, Cupriavidus necator H16, to convert CO2 to
poly-beta-hydroxybutyrate. In Ni@N-C, the Ni nanoparticles are encapsulated in N
C nanotubes, which prevents bacteria from direct contact with Ni and inhibits
Ni2+ leaching. As a result, Ni@N-C exhibits excellent biocompatibility and
stability. This work demonstrates that electrocatalysts and biosynthesis can be
intimately coupled through rational catalyst design.
PMID- 29809321
TI - False-positive lupus anticoagulant results by DRVVT in the presence of
rivaroxaban even at low plasma concentrations.
PMID- 29809322
TI - Fetal HLA-G alleles and their effect on miscarriage.
AB - BACKGROUND: Immunosuppression at the feto-maternal interface is crucial for a
successful pregnancy outcome. Human leukocyte antigen-G (HLA-G) seems to be a
major contributor to fetal tolerance. The HLA-G expression is seen in
cytotrophoblasts and in maternal blood. Fetal HLA-G acts on decidual antigen
presenting cells (APCs), natural killers (NKs) and T cells. Recent findings
revealed that defects in placentation and their consequences are associated with
maternal HLA-G variants and their expression levels. OBJECTIVES: The objective of
this article is to investigate the relationship between fetal HLA-G alleles and
miscarriage, which has not been investigated to date. MATERIAL AND METHODS: The
present study includes 204 recurrent miscarriage (RM) cases who were admitted to
our clinic between 2012 and 2016. Twenty-eight miscarriage products without
maternal cell contamination and any known pathology were analyzed by HLA-G
typing. In addition, 3' untranslated region (UTR) 14-base pair (bp)
insertion/deletion polymorphism was also investigated by Sanger sequencing.
RESULTS: For our population, the most frequent HLA-G type was G*01:01, both in
the study group (30.3%) and in the control group (47%). The study revealed that
the G*01:04 allele was significantly associated with miscarriage (p = 0.007). The
3' UTR 14bp deletion was more frequent in the miscarriage group, but there was no
significant correlation. CONCLUSIONS: HLA-G alleles seem to be related with
miscarriage and should be considered in RM cases.
PMID- 29809323
TI - ?
PMID- 29809325
TI - Pseudotumor cerebri syndrome in a pregnant woman with systemic lupus
erythematous.
AB - Systemic lupus erythematous is a chronic multi-systemic autoimmune disease that
affects multiple organ systems, including the central nervous system. Pseudotumor
cerebri is a disorder associated with increased intracranial pressure in the
absence of a space-occupying lesion or other identifiable cause that affects
young and obese women.We present the case of a pregnant woman with both
pseudotumor cerebri and a new diagnosis of active systemic lupus erythematous.
PMID- 29809324
TI - ?
AB - El cancer de pancreas es la cuarta causa de muerte por cancer en los Estados
Unidos; en el mundo se asocia con 227.000 muertes anuales, aproximadamente. Es
producto de multiples factores, siendo el tabaquismo el principal factor de
riesgo.La puncion-aspiracion con aguja fina guiada por ultrasonido endoscopico es
una tecnica muy eficaz en el diagnostico de lesiones neoplasicas del pancreas. El
diagnostico citologico mediante esta tecnica debe hacerse segun los lineamientos
para el sistema pancreatico-biliar de la Papanicolaou Society of Cytopathology.
Dichos lineamientos incluyen las indicaciones, las tecnicas, la terminologia y la
nomenclatura, asi como los estudios auxiliares, el manejo posterior al
procedimiento y los criterios citologicos para el diagnostico.La especificidad de
una interpretacion positiva o maligna para la puncion-aspiracion pancreatica con
aguja fina, es de 90 a 95 % en la mayoria de los estudios.
PMID- 29809326
TI - [Bronze baby syndrome, an unpredictable complication of phototherapy: A case
report].
AB - The bronze baby syndrome is an infrequent dyschromia resulting from phototherapy
in newborn babies with neonatal jaundice. Even though the common phenotype has
been described in patients with direct neonatal hyperbilirubinemia secondary to
cholestasis, several cases of patients with indirect neonatal hyperbilirubinemia
who have managed to reverse it have been reported, as well as patients with
isolated hyperbilirubinemia.Currently, the physiopathology of this condition is
still a subject of controversy and, therefore, there is a lack of clear conducts
for its correct diagnosis and treatment.Generally, this syndrome has been
considered as a mild condition that is resolved with the suspension of
phototherapy. Its duration is usually not greater than the neonatal period, and
it has no long-term sequelae. However, its occurrence is considered an absolute
contraindication for the continuation of phototherapy. In case of persistence,
the recommendation is to decrease bilirrubin levels and proceed with exchange
transfusion; this procedure, however, represents risks for the newborn, so our
recommendation is to suspend phototherapy and reinitiate it if the direct
bilirrubin value decreases, andcholestasis compromise has been discarded. Serial
evaluations of acute encephalopathy caused by bilirrubin are absolutely
recommended.The objective of this paper was to describe the case of a newborn
with ABO incompatibility who developed the bronze baby syndrome. This patient
responded satisfactorily to the suspension and resumption of phototherapy without
exchange transfusion.
PMID- 29809328
TI - [Effectiveness of low back pain treatment with acupuncture].
AB - INTRODUCTION: In Colombia, 40% of the population has used acupuncture services
for the treatment of chronic diseases; however, there is lack of evidence on the
effectiveness of this treatment. OBJECTIVE: To evaluate the effect of acupuncture
in the treatment of patients with low back pain treated at a health center in
Bogota. MATERIALS AND METHODS: We analyzed 150 medical records of patients
treated for low back pain from January, 2014, to May, 2016, at a health center in
Bogota. From these, we selected 48 records basedon the inclusion criteria
previously defined. We then used the Friedman test to establish the effect of
acupuncture on the pain among the patients selected, and an unstructured
measurement instrument to measure the impact of adherence to the therapy on its
effectiveness. RESULTS: The results of the Friedman test (95% confidence
interval), showed that there was a positive impact on pain reduction among
patients with low back pain treated with acupuncture and that adherence to the
treatment was a key factor for its effectiveness. DISCUSSION: As acupuncture was
effective among these patients, and adherence proved to be a fundamental part of
the process, it would be important to include this type of therapy in the
mandatory health plan in Colombia.
PMID- 29809327
TI - [Molecular and clinical characterization of Colombian patients suffering from
type III glycogen storage disease].
AB - INTRODUCTION: Type III glycogen storage disease (GSD III) is an autosomal
recessive disorder in which a mutation in the AGL gene causes deficiency of the
glycogen debranching enzyme. The disease is characterized by fasting
hypoglycemia, hepatomegaly and progressive myopathy. Molecular analyses of AGL
have indicated heterogeneity depending on ethnic groups. The full spectrum of AGL
mutations in Colombia remains unclear. OBJECTIVE: To describe the clinical and
molecular characteristics of ten Colombian patients diagnosed with GSD III.
MATERIALS AND METHODS: We recruited ten Colombian children with a clinical and
biochemical diagnosis of GSD III to undergo genetic testing. The full coding
exons and the relevant exon-intron boundaries of the AGL underwent Sanger
sequencing to identify mutation. RESULTS: All patients had the classic phenotype
of the GSD III. Genetic analysis revealed a mutation p.Arg910X in two patients.
One patient had the mutation p.Glu1072AspfsX36, and one case showed a compound
heterozygosity with p.Arg910X and p.Glu1072AspfsX36 mutations. We also detected
the deletion of AGL gene 3, 4, 5, and 6 exons in three patients. The in silico
studies predicted that these defects are pathogenic. No mutations were detected
in the amplified regions in three patients. CONCLUSION: We found mutations and
deletions that explain the clinical phenotype of GSD III patients. This is the
first report with a description of the clinical phenotype and the spectrum of AGL
mutations in Colombian patients. This is important to provide appropriate
prognosis and genetic counseling to the patient and their relatives.
PMID- 29809329
TI - [Diet low in fermentable oligosaccharides, disaccharides, monosaccharides and
polyols, and quality of life in patients with irritable bowel syndrome in
Colombia].
AB - INTRODUCTION: A growing body of evidence has pointed out the effectiveness of a
diet low in fermentable oligosaccharides, disaccharides, monosaccharides and
polyols (FODMAP) in patients with irritable bowel syndrome. However, there are no
local studies to estimate the effects of this strategy on the symptoms and the
health-related quality of life in these patients in Colombia or Latin America.
OBJECTIVE: To determine the effect of a diet low in FODMAP on the quality of life
and the severity of symptoms in patients with irritable bowel syndrome in
Colombia. MATERIALS AND METHODS: We collected clinical and demographic
information of all patients at the time of inclusion. Immediately afterwards, a
trained interviewer applied the IBS-QoL survey to estimate the quality of life of
patients. Then, we evaluated the intensity of the symptoms using an analogue
visual scale, before and after the diet low in FODMAP. RESULTS: We included 50
subjects in the final analysis. We observed an increase in all the IBS-QoL scales
(average increase in overall summary: 14.7 points, 95% CI: 9.4 to 20.1; p<0.001)
and a significant reduction in all symptoms (-19.8 mm; 95% CI: 23.4 mm 16.2 mm;
p<0.001). Sex, age, body mass index, socioeconomic status and the health care
provider were not associated with the improvement in the health-related quality
of life. CONCLUSION: A low diet in FODMAP reduced symptoms and improved quality
of life in Colombian patients with irritable bowel syndrome. Controlled studies
taking into account other factors linked to the severity of irritable bowel
syndrome are required.
PMID- 29809330
TI - [Quercetin ameliorates inflammation in CA1 hippocampal region in aged triple
transgenic Alzheimer's disease mice model.]
AB - INTRODUCTION: Alzheimer's disease is the most common form of dementia. It is
characterized by histopathological hallmarks such as senile plaques and
neurofibrillary tangles, as well as a concomitant activation of microglial cells
and astrocytes that release pro-inflammatory mediators such as IL-1beta, iNOS,
and COX-2, leading to neuronal dysfunction and death. OBJECTIVE: To evaluate the
effect of quercetin on the inflammatory response in the CA1 area of the
hippocampus in a 3xTg-AD male and female mice model. MATERIALS AND METHODS:
Animals were injected intraperitoneally with quercetin every 48 hours during
three months, and we conducted histological and biochemical studies. RESULTS: We
found that in quercetin-treated 3xTg-AD mice, reactive microglia and fluorescence
intensity of Abeta aggregates significantly decreased. GFAP, iNOS, and COX-2
immunoreactivity also decreased and we observed a clear tendency in the reduction
of IL-1beta in hippocampal lysates. CONCLUSION: Our work suggests an anti
inflammatory effect of quercetin in the CA1 hippocampal region of aged triple
transgenic Alzheimer's disease mice.
PMID- 29809331
TI - Epidemiology of congenital heart diseases in Bogota, Colombia, from 2001 to 2014:
Improved surveillance or increased prevalence?
AB - INTRODUCTION: Congenital heart diseases are functional and structural alterations
of the heart, circulatory system, and large vessels, which develop during cardiac
embryogenesis. These defects are significant causes of morbidity and mortality in
children worldwide. OBJECTIVE: To determine the prevalence of congenital heart
diseases in Bogota, Colombia, through the period 2001 to 2014 and evaluate the
trend in time. MATERIALS AND METHODS: We analyzed 405,408 births from the
Programa de Vigilancia y Seguimiento de Ninos con Anomalias Congenitas de la
Secretaria Distrital de Salud de Bogota. We calculated the prevalence of
congenital heart diseases through a daily registry of births, the clinical
evaluation, and the work-up. Congenital heart diseases were classified as
isolated, complex or associated. We estimated the prevalence per year and the
mortality in the first hours of life. RESULTS: The total prevalence of congenital
heart diseases was 15.1 per 10,000 newborns; however, we obtained values above 20
per 10,000 newborns in the previous 3 years. Of the newborns analyzed, 46% were
females, 53.16% were males, and 0.33% undetermined. Out of the newborns with
congenital anomalies, 397 had congenital heart diseases, 142 of which were
classified as associated and 74 as complex. CONCLUSION: Congenital heart diseases
have a significant impact on childhood morbidity and mortality. Although the
prevalence continues to be low compared to other countries, this could be due to
under registry nationwide. There was an increase in prevalence during the
previous three years, which might be explained by the implementation of local and
national programs. Therefore, we suggest continuing the development and promotion
of such programs aimed at increasing screening and registration of these
anomalies.
PMID- 29809332
TI - A Happier Practice.
PMID- 29809333
TI - Going Slow Can Make for a More Productive Appointment-for Patient and Dentist
Alike.
PMID- 29809334
TI - Mindfulness and Perfectionism in Dentistry.
AB - .
PMID- 29809335
TI - The Impact of Job Engagement and Recovery on Dentists' Well-Being.
PMID- 29809336
TI - Stress Management for Practicing Clinicians.
PMID- 29809337
TI - The Wellness Benefits of Yoga and Meditation.
PMID- 29809338
TI - Open Up to Yoga: A Perspective on the Practice of Yoga and How It Can Help Our
Practice of Dentistry.
PMID- 29809339
TI - Ergonomics in Dentistry.
PMID- 29809340
TI - Dealing with Depression: Getting Up When Life Knocks You Down.
PMID- 29809341
TI - Living Atter Loss.
PMID- 29809342
TI - A Clinico-Pathologic Correlation.
PMID- 29809343
TI - MAG's summer legislative conference.
PMID- 29809344
TI - HIT: How it may now help you and your patients.
PMID- 29809346
TI - Is the pnysicai exam dying as a clinical and teaching tool?
PMID- 29809345
TI - Valacyclovir and acute renal failure.
PMID- 29809347
TI - Georgia's physician advertising law.
PMID- 29809348
TI - Chaperones are more important than ever.
PMID- 29809349
TI - CMS telehealth proposal could aid rural beneficiaries.
PMID- 29809350
TI - Health care: A rich new environment for cybercriminals.
PMID- 29809351
TI - How to Win Big When Hiring Staff Best practices improve your odds of scoring top
players.
PMID- 29809352
TI - Why Doesn't Everyone Do Telephone CPR? Dispatch instructions in cardiac arrest
save lives and should be universal.
PMID- 29809353
TI - Serving by Leading, Leading by Serving How to model and apply servant leadership
in EMS.
PMID- 29809354
TI - Applying Crew Resource Management in EMS. An Interview With Capt. Sully: It's
helped reduce errors and improve safety in aviation can-it do the same for EMS?
PMID- 29809355
TI - Five Ways to Perfect the Patient Handoff It's a perilous transition for the
patient; here's how to help it go smoother.
PMID- 29809356
TI - A Profound Impact: The Rest of the Story How one agency started a crusade to make
ambulances safer for patients and providers.
PMID- 29809357
TI - Smaller, Lighter, Brighter and Safer: The Best of RETTmobil 20l6.
PMID- 29809358
TI - How Patients' Smartphones Can Save Their Lives: These common devices can improve
the transmission of key information to emergency providers.
PMID- 29809359
TI - Surfing the Paradigms A letter to the next EMS generation.
PMID- 29809360
TI - A Pilot Study of Tissue Factor-Tissue Factor Pathway Inhibitor Axis and Other
Selected Coagulation Parameters in Broiler Chickens Administered in Ovo with
Selected Prebiotics*.
AB - The tissue factor (TF) - tissue factor pathway inhibitor (TFPI) axis plays a
major role in hemostasis. Disorders of the coagulation system are commonly
diagnosed with the help of screening tests such as prothrombin time (PT),
activated partial thromboplastin time (aPTT), and plasma fibrinogen concentration
(PFC). However, the effect of prebiotics on the hemostasis system has not been
characterized in poultry yet. This study was designed to determine the effect of
in ovo administration ofprebiotics on blood coagulation parameters of broiler
chickens depending on their age. The study was conducted with 180 broiler chick
embryos, the air cells of which were injected on day 12 of incubation with
prebiotics (experimental groups: Bi2tos, DiNovoo and RFO) or physiological saline
solution (control group). At 1, 21 and 42 days of rearing, blood was sampled from
15 broiler chickens from each group. An enzyme immunoassay was performed to
determine plasma TF and TFPI levels, and PT, aPTT and PFC were determined in the
chicken blood. We demonstrated that: 1) total TF levels increased with age in the
experimental groups, 2) prebiotics had no significant effect on TF levels between
the groups at a particular age, 3) total TFPI levels differed between both the
type of in ovo injected substance and the broiler chicken age, 4) in the control
group, PT and aPTT were found to increase with age whilst fibrinogen
concentration decreased. The main conclusion from this pilot study is that total
TF and TFPI levels change with age, however no clear patterns regarding TFPI were
detected yet. The levels of PT, aPTT and PFC varied with the prebiotics
administered in ovo as well as with the age of broiler chickens.
PMID- 29809362
TI - Hematological Alterations as a Response to Exposure to Selected Fungicides in
Common Carp (Cyprinus carpio L.).
AB - The aim of the present study was to assess the hematological response of common
carp to fungicides and to determine recovery patterns in fungicide-free water.
Fish were exposed to mancozeb, prochloraz or tebuconazole (at concentrations of
1.0, 1.0 and 2.5 mg 1-1, respectively) for 14 days followed by a 30-day recovery
period. The following hematological parameters were examined after 1, 3 and 14
days of exposure as well as after recovery time: red blood cells (RBC),
hematocrit (Het), total hemoglobin concentration (Hb), mean corpuscular volume
(MCV), mean corpuscular hemoglobin concentration (MCHC), mean corpuscular
hemoglobin (MCH), total number of leukocytes (WBC) and leukograms. All analyzed
parameters revealed alterations in relation to control samples. The pattern of
these changes was irregular, showing either an increase or decrease at different
time points of the experiment and not all observed differences were statistically
significant. The most noticeable fungicide-specific changes were,observed on the
1st and 14th days of chemical exposure. The majority of the parameters under
investigation returned to the control levels after a detoxication period.
However, some of the exerted effects were irreversible (Hb, MCH, MCHC and WBC for
fish subjected to mancozeb; Hb, MCH, MCHC and monocyte count for fish subjected
to prochloraz; Hct and monocyte number for fish subjected to tebuconazole). All
of the observed hematoloaical changes were not toxin-soecific.
PMID- 29809361
TI - Genetic Variation in the ND1 Gene and D-loop in Protected and Commercially
Exploited European Cisco (Coregonus albula L.) Populations.
AB - The European cisco (Coregonus albula L.) is a species with high environmental
requirements. The deterioration of environmental conditions in recent decades has
decreased its distribution. Currently the species is conserved by stocking, and
the few existing natural populations are at risk of extinction. Therefore,
contemporary studies involve not only reporting phenotypic parameters, but also
determining the genetic structure of the population. This is an important aspect
monitored in the C. albula population, which provides information valuable for
proper fishing economy. This study included valuable populations from lakes
located in Drawa National Park (DNP) and Wigry National Park (WNP), as well as
lakes used for commercial fishing. In order to molecularly characterize the
European cisco, the control region and NDl gene were sequenced from 48
individuals from 9 populations from lakes throughout northern Poland. Analysis
revealed that populations from two park lakes (Marta, Ostrowieckie) are unique.
This was also the case for some sequences originating from Lake Wigry. The mean
value of genetic diversity was 0.2% within each region and 0.1-0.3% between the
investigated regions. The obtained results demonstrated the necessity to
strengthen and protect natural populations of the European cisco, which
constitute a valuable element of the European ichthyofauna.
PMID- 29809363
TI - First Description of supernumerary Chromosomes in Ictaluruspunctatus Rafinesque
1818 Reveals Active Ribosomal Genes in the B Complement.
AB - The North American channel catfish Ictalurus punctatus Rafinesque 1818 is
cultivated in the United States, Asia and Brazilian fish farms, and also utilized
as a model species in aquaculture and genetic studies. In this work, cytogenetic
analysis of . punctatus from Brazilian aquaculture revealed for the first time
the presence of extra elements (supernumerary or B chromosomes) in this species.
These elements were characterized as dot-like micro B chromosomes and were found
in three individuals (varying from 0 to 1) and in one individual with higher
incidence per cell (varying from 0 to 5; mean number of Bs per cell = 2.01). More
specific cytogenetic techniques in this individual revealed 58 A chromosomes
(standard complement) containing heterochromatic bands in the centromeric
regions, a single Ag-NOR in a subtelocentric pair (also positive for 18S rDNA
using the FISH technique) and multiple 5S rDNA clusters in three different
subtelocentric chromosomes. Four B chromosomes were entirely Ag-NOR positive
(also fully heterochromatic) and three presented 18S rDNA clusters by FISH. The
occurrence of Ag-NOR and 18S ribosomal genes in both A and B chromosome
complements may indicate an intraspecific origin for these extra chromosomes.
Additionally, the terminal location of 18S ribosomal clusters in the Ag-NOR
bearing chromosomes and the presence of active NOR in the B chromosomes suggested
that breakage events may be related to a possible recent origin of these extra
elements. We suggest this data may be useful as cytogenetic information for
future elucidation of the composition, origin and evolution of extra chromosomes
in fishes.
PMID- 29809364
TI - Seasonal Variability of the Paramecium aurelia Complex in the Botanical Garden of
the Jagiellonian University, Krak6w - in the Light of Species Composition and COI
Haplotype Variation.
AB - The temporal occurrence of some Paramecium aurelia species is still an intriguing
problem as cysts were never reported to exist in the Paramecium genus. A sequence
of species occurrence was studied (by strain crosses and molecular
identification) in five water-bodies of the Jagiellonian University Botanical
Garden in Krak6w in different sampling sites and different seasons of the year.
In the current study 20 P. aurelia strains were isolated from collected water
samples and identified as P. biaurelia, P. tetraurelia, P. sexaurelia (the first
record in Poland), P. novaurelia (the first record in the Botanical Garden).
Generally only one species was found in the particular water body in a single
sampling point in a given season - an exception was observed in the case of some
strains of P. tetraurelia and P. sexaurelia. The latter species were mostly
isolated from two water bodies situated in the Palm Houses (higher temperature
preference) and P. biaurelia with P. novaurelia from water bodies located outside
(lower temperature preference). Sequencing of the COImtDNA fragment revealed 9
haplotypes in the studied area which were characteristic for particular species.
The most variable species was P. sexaurelia - 8 strains studied and 3 haplotypes
identified. In contrast, P. novaurelia has only one haplotype for 6 strains
collected in different seasons. The present study supports the hypothesis that
botanical garden water bodies may be a hot-spot for microbial eukaryotic species
such as Paramecium.
PMID- 29809365
TI - On the Generic Position of Polyommatus avinovi (Lepidoptera: Lycaenidae).
AB - Polyommatus avinovi (Stshetkin, 1980), an enigmatic taxon from Tajikistan has
been considered in the literature either as a member of the genus Polyonimatus,
or a taxon belonging to the genus Rimisia. None of the conclusions on taxonomy
and nomenclature of P. avinovi were supported by molecular or cytological data,
therefore the problem of identity and phylogenetic position of this taxon has
remained unsolved. Here we use the barcoding fragment of the COIgene as a
molecular marker to demonstrate that none of these hypotheses are true.
Phylogenetic analysis revealed P. avinovi to be strongly differentiated from both
Polyommatus and Rimisia. Instead, it formed a separated, well supported
monophyletic clade within the genus Afarsia Korb & Bolshakov, 2011. Thus, we
propose the following new combinations for this butterfly: Afarsia avinovi comb.
nov. and Afarsia avinovi dangara comb. nov.
PMID- 29809366
TI - Exposure of Eisenia andrei (Oligochaeta; Lumbricidea) to Cadmium Polluted Soil
Inhibits Earthworm Maturation and Reproduction but not Restoration of
Experimentally Depleted Coelomocytes or Regeneration of Amputated Segments.
AB - Lumbricid earthworms are often exposed to polluted soil. They are also commonly
subjected to various stimuli and attacks by predators that induce extrusion of
coelomocyte-containing coelomic fluid and/or the loss of body segments followed
by the renewal of immune-competent cells and regeneration of tissues/organs. The
aim of our investigations was to test the effects of exposure of the earthworm
Eisenia andrei to cadmium-polluted soil, combined with electrostimulation-induced
depletion of coelomocytes (i.e. amoebocytes and chloragocyte-derived eleocytes)
or the surgical amputation of posterior segments, on earthworm maturation,
reproductive output, and regenerative processes. Experimental worms were
maintained up to 7 weeks either in unpolluted soil or in soil spiked with cadmium
chloride (500 mg/kg air-dried soil). In juvenile worms, sexual maturation
(measured by clitellum formation) was delayed and cocoon production was inhibited
in Cd-exposed worms. Coelomocytes were significantly depleted by
electrostimulation and the kinetics of their recovery was similar in worms kept
in clean and cadmium polluted soils, in both exposure conditions amoebocyte
recovery was faster than recovery of riboflavin-storing eleocytes. In adult
worms, soil cadmium exposure inhibited reproduction but, at macroanatomical
level, had a negligible effect on regeneration of amputated posterior segments,
visible only on histological cross-sections.
PMID- 29809367
TI - Changes in Biochemical Properties of the Blood in Winter Swimmers.
AB - The aim of the study was to investigate the effects of winter swimming on
biochemical indicators of the blood. The subjects - winter swimmers - belonged to
the Krakow Walrus Club "Kaloryfer" - "The Heater". The study group consisted of
11 men, aged 30-50 years, 'walrusing' throughout the whole season from November
to March. Statistically significant changes throughout the 'walrusing' season
were observed for the following biochemical parameters: a decrease in sodium
(mmol/1), chloride (mmol/1), alpha-2 globulin(g/1), gamma globulin (g/1), IgG
(g/1), and an increase in albumin (g/1), indicator A/G, IgA (g/l ), Herpes
simplex virus IgM. Seasonal effort of winter swimmers has a positive influence on
biochemical blood parameters.
PMID- 29809368
TI - Scientific Validation of Ancient Indian Wisdom of Yoga and Mediation.
PMID- 29809369
TI - Assessment of Cognitive Performance of Hypertensives with Mini-cog and Audio
visual Reaction Time.
AB - BACKGROUND: It is hypothesized that long-term hypertension might be associated
with cognitive decline. OBJECTIVES: The present study was aimed at finding out
whether persistently elevated blood pressure affects the processing capability of
the central nervous system. METHODS: The cognitive functions of 62 male subjects
with age ranging from 40 to 60 years forming various groups as normotensives and
hypertensives were assessed through Mini-Cog and audio-visual reaction time. Mini
Cog involved Clock Drawing Test and Three Item Recall Test (mini recall test).
Both these tests were scored as per standard methods to find out whether the
cognition was normal or abnormal. The auditory and visual reaction times were
measured by the "Response Analyzer" device. RESULTS: The clock drawing test and
the three item-recall tests were significantly abnormal in hypertensives. Also,
both auditory and visual reaction times were significantly delayed in
hypertensives as compared to that of controls. CONCLUSION: The impairment of the
cognitive function 1 hypertensives as reflected by the changes in the Mini-Cog
and audio-visual reaction times might be due to structural and functional changes
in various areas of brain involved in perceptual motor coordination.
PMID- 29809370
TI - Evaluation of Latencies and Interpeak Latencies of BAEP Waves in COPD Patients.
AB - The present study is carried out to assess brainstem auditory evoked potentials
in patients of COPD and to evaluate effects of COPD on it before any clinical
signs and symptoms of auditory impairment appear. This early diagnosis will help
in maintaining a better quality of life in patients of COPD. Study includes 100
individuals divided in two groups, study group (n=50) and controls (n=50). Study
group consist of COPD patients those had duration of COPD for more than 5 years
with stable course of disease. Latency of wave 1, 111, IV, Vwere prolonged in
cases compared to controls in right ear and left ear. The difference is
statistically significant (p value <0.05). Right ear interpeak latencies of 1
111, Ill-V and I-V were increased with statistical significance among cases
compared to controls (p value <0.05). In left ear, interpeak latencies of I-l1l
and I-V were statistically more (p value <0.05) in case group compared to control
group. The subclinical BAEP impairment in patients of COPD was due to the
severity of airflow obstruction which causes chronic hypoxemia. The progressive
chronic hypoxemia leads to development of tissue hypoxia and decreases the
cerebral Derfusion; also it slows the nerve conduction in auditory pathway which
causes prolongation of latency.
PMID- 29809371
TI - Correlation of Percentage Body Fat and Muscle Mass with Anaerobic an Aerobic
Performance in Collegiate Soccer Players.
AB - The aim of the study was to determine the correlation of percentage body fat
(%BF) and muscle mass (MM) with aerobic and anaerobic performance in collegiate
soccer players. Forty eight male collegiate soccer players were recruited and all
the subjects were measured for % BF and MM using bioelectrical impedance analysis
(BIA) followed by anaerobic and aerobic performance. The anaerobic performance
was measure using vertical jump test and 30 meter sprint test. Aerobic
performance tests were Harvard step test and Cooper 12 min run/walk test. The
Pearson correlation coefficient for % BF and MM with anaerobic and aerobic
performance was calculated. The results revealed that %BF significantly
correlated with tests of aerobic and anaerobic performance. MM also significantly
correlated with anaerobic performance. However, there was no correlation with
aerobic performance. The findings suggest the percentage body fat predict aerobic
performance rather than the muscle mass.
PMID- 29809372
TI - Quantitative Assessment of Muscle Activity and Joint Load in Braced and Unbraced
Osteoarthritis Knee by External Strain Gauge Sensor.
AB - OBJECTIVES: Altered biomechanics leads to the development of degenerative joint
disease. The joint pressure and dynamic loading varies during activities of daily
living. The study was undertaken to assess the muscle activation pattern of the
medial and lateral knee compartments (tibiofemoral joint) during gait in
osteoarthritis subjects without and with knee brace undergoing either exercise
therapy or balance therapy. The joint load was assessed by the strain gauge
transducer and the weight shift pattern is taken as an indicator for the muscle
activation pattern. METHODS: In a prospective design study on 57 male subjects
diagnosed osteoarthritis knee with Kellagren-Lawrennce scale walked barefooted
with and without designed offloader knee brace on a level surface for three
minutes. The subjects were allocated in two different study groups i.e.
Conventional (exercise therapy) (Control Group, n=31) and Structured
Neuromuscular Postural Training (SNPT) group (Balance therapy) (Study Group,
n=26). The subjects were sub grouped as pre-elderly (40-60 Years) and elderly
(>61 years) group in both. The quantitative assessment of muscle activity and
joint loading with and without knee brace was done using designed strain gauge
sensor instrument. The pressure changes of strain gauges of muscles around the
knee joint viz. vastus medialis (VM), vastus lateralis (VL), semi
membranosus/tendinosus (Medial Hamstring) (MH), Biceps Femoris (Lateral
Hamstring) (LH), gastro-soleus (GS) and tibialis anterior (TA) muscles during
normal gait were observed at baseline and 6 weeks follow up after undergoing
exercise therapy or balance therapy treatment as per allocation of study groups.
The digital values from MATLAB were recorded and analyzed. RESULTS: At the end of
6 weeks conventional/SNPT (structured neuromuscular postural training)
treatments, medial hamstring muscle activity showed significant difference
(p<0.001) in pre-elderly subgroup, while significant difference was seen in
vastus laterals (VL), medial hamstring (MH) (p<0.005) and lateral hamstring (LH)
muscles (p<0.001) in elderly subgroup. Further, the muscle co-contraction has
been higher for vastus medialis-medial hamstring (VM-MH) pair compared to vastus
lateralis-lateral hamstring (VL-LH) pair without brace at baseline. The
application of offloader valgus knee brace significantly increases VL-LH co
contractions in magnitude and decreases in VM-MH co-contractions at 6 weeks
follow up. CONCLUSION: Muscle activity increased in medial hamstring both in pre
elderly and elderly subjects. While, Vastus Laterals and lateral hamstring showed
increased activities in elderly subjects. Hence, balance training and the
application of off loader knee brace will be helpful to redistribute the load on
medial tibiofemoral compartment.
PMID- 29809373
TI - Vascular Responses to Post Occlusive Reactive Hyperemia and Systemic Inflammation
in Overlap Syndrome of Chronic Obstructive Pulmonary Disease and Obstructive
Sleep Apnea.
AB - BACKGROUND: Post-occlusive reactive hyperemia (RH) is impaired in Chronic
Obstructive Pulmonary Disease (COPD) and Obstructive Sleep Apnea (OSA). The aim
of the present study was to examine systemic vascular response and endothelial
function in patients of Overlap Syndrome (OS) of COPD and OSA and also to
investigate whether OS has any additional effect on endothelial dysfunction when
compared to dysfunction caused by COPD alone. METHODS: 31 COPD patients and 13
healthy controls participated in the study. Overnight Polysomnogra was done to
classify the patients into COPD only group (Apnea-Hypopnea Index <5) (n=15) and
OS group (AHI >5) (n=16). Peripheral pulse waveform changes during reactive
hyperemia were assessed using digital Photoplethysmography (PPG) technique in
which pulse wave amplitude (PWA), Maximum slope of upstroke and Pulse Transit
Time (PTT) were measured. C - reactive protein was assessed as marker of
inflammation by ELISA. RESULTS: Maximum percentage changes in PWA during RH were
significantly lower in the both COPD group [20.34(12.02-34.07)] (p<0.001) and
Overlap Syndrome group [10.96(6.21-21.49)] (p<0.0001) as compared to Controls
[49.79(46.03-65.32)], whereas amplitude responses were not significantly
different in the COPD and OS group (p>0.05). Maximum percentage change in slope
of upstroke showed similar responses in the three groups. CRP levels (mg/) were
raised in COPD [11.60(1.75-15.00] (p<0.001) and OS group [12.52(5.28-
15.70))](p<0.0001) as compared to controls [0.59(0.58-0.91)]. Maximum percentage
change in amplitude negatively correlated with serum CRP levels in COPD group (r=
0.557, p=0.03) and in OS group (r=-O.552, p= 0.02). FEV1% predicted positively
correlated with maximum percentage change in amplitude in OS group(r=0.579,
p=0.018). No correlation of AHI was found with any of the vascular function
parameter in Overlap group. CONCLUSION: The patients with Overlap Syndrome have
systemic inflammation and impaired reactive hyperaemia response. However, no
additive effect of OSA was observed on impaired RH in patients with co-existing
COPD.
PMID- 29809374
TI - Improvement in Cognitive Parameters Among Offsprings Born to Alcohol Fed Female
Wistar Rats Following Long Term Treatment with Centella Asiatica.
AB - Prenatal ethanol exposure causes cognitive impairments in rats. This study was
designed to evaluate the effect of Centella Asiatica (CeA) in offspring of
alcoholic rats. Pregnant rats in alcoholic group were orally fed with 30% alcohol
at a dose of 5 g/kg body weight during their gestation period. Pregnant rats in
control group were given water. Offspring from alcoholic group were divided into
treated group and untreated group. Offspring in treated group were orally given
whole plant aqueous extract of CeA at a dose of 20 ml/kg body weight. Offspring
in control and untreated group were fed with water. Cognitive studies (Morris
Water Maze, Passive avoidance test, Elevated Plus Maze) were started from 75th
day of postnatal life. Treatment with CeA increases the learning capacity
(P<0.05), spatial memory (P<0.05), memory retention (P<0.05) and decreases the
anxiety (P<0.05) like behavior in offspring of alcoholic rats. The present study
showed that treatment with CeA can improve cognitive functions in offspring of
alcoholic mothers.
PMID- 29809375
TI - Comparison of Effect of Enalapril and Losartan Monotherapy on Quality of Life and
Safety of Stage 1 Hypertensive Patients.
AB - An open label randomized controlled study was conducted to compare the quality of
life (QoL) and safety of newly diagnosed stage I hypertensive patients randomized
into two groups of 30 receiving either enalapril 5 mg or losartan 50 mg per-oral
once daily for three months. QoL was assessed at the baseline and at the end of
study using SF-36v2 health care questionnaire. Adverse drug reactions (ADRs) were
monitored. Investigations at baseline were compared with those after
intervention. Pre & post-intervention QoL transformed scores within each group
and change in the same between two groups were analyzed using paired and unpaired
t-test respectively. Transformed scores of role limitation due to energy/fatigue,
emotional well being and general health domains improved significantly in both
treatment groups. Scores of bodily pain improved significantly (p=0.0008) in
losartan group only. Results were not significantly different between two groups
(except for bodily pain). No serious ADR was reported.
PMID- 29809376
TI - Fabrication and Validation of Gyro-Dot-Optomotor Response Device using Gold Fish
to Study Drugs Affecting Visual Perception.
AB - An appropriate model to predict the effect of xenobiotics on the vision
perception in neuropsychoharmacological studies is of great importance in drug
development and toxicity studies. The present study valuated the effect of CNS
stimulant, depressant and therapeutic agents known to have ocular toxicity on
ptomotor response (OMR) using goldfish in a newly developed device. A digital
light processing aided gyrating poly-chromatic dotted pattern-OMR (Gyro-dot-OMR)
analyzer was developed and standardized for this study in our laboratory.
Goldfishes were exposed to varying concentrations of caffeine and pentobarbitone
sodium to evaluate the effect of CNS stimulation and depression on OMR in white
light. Ethambutol induced ocular toxicity was evaluated by intravitreal injection
into both eyes of goldfishes. They were subjected for polychromatic Gyro-dot-OMR
in both clock and anticlockwise directions. At the low concentration (5, 10 and
20 ng/mL) caffeine exposed animals showed significant (p<0.05) stimulant effect
and the EC(50) of caffeine in goldfish was found to be 4.806 ng/mL. In contrast,
pentbbarbitone sodium treated fishes showed significant (p<0.05) depressant
effect with increasing the concentration. Ethambutol toxicity was reflected by
the color iscrimination in the Gyro-dot-OMR pattern. For the first time, this
model proved the possibility of running Irwin profile test on goldfish using Gyro
dot-OMR. This model successfully predicted ethambutol induced toxicity with poor
discrimination of red-green color. This model can be used for predicting toxicity
of drugs affecting vision perception.
PMID- 29809377
TI - Olanzapine vs. Risperidone in Schizophrenia: A Cross-sectional Comparison of
Quality of Life of Caregivers.
AB - In schizophrenia, efficacy of antipsychotic therapy might be better measured by
quality of life (QoL) of caregiver, due to less reliability of patient's account
and greater burden of management on caregiver. Hence, we compared QoL of the
primary caregiver of schizophrenics prescribed either olanzapine or risperidone.
In a cross sectional analytical study, caregivers of 120 schizophrenic patients'
on either olanzapine or risperidone filled the WHOQOL-BREF questionnaire
containing physical, psychological, social and environmental domains. Scores of
these domains in both the groups were compared. Majority (33.3%) of caregivers in
both groups were parents. The mean transformed scores in all domains viz.
physical (p=0.358), psychological (p=0.352), social relationships (p=0.332) and
environmental (p=0.448) were statistically similar. More than 75% of the subjects
in both groups showed the highest satisfaction in work capacity, personal
relations, physical pain, requirement of medications, frequency of negative
feelings, safety and body appearanc, whereas <= 50% of the caregivers in both
groups expressed dissatisfaction with their financial situation. Thus, QoL of
caregivers in both groups was comparable. On the basis of QoL of caregivers,
olanzapine and risperidone were similarly effective therapeutic agents for
schizophrenia.
PMID- 29809379
TI - Effect of Ascorbic Acid on Interleukin-2 Secretion By T Lymphocytes in Whole
Blood Cultures.
AB - The effect of vitamin C on T lymphocyte function is not clear. In-vivo
supplementation of vitamin C is found to have a stimulatory effect on T cells
while studies in which ascorbic acid was added to T cell cultures show an
inhibition of cell function. The study aims to investigate the effect of ascorbic
acid on interleukin-2 secretion by T cells in whole blood cultures which better
resembles the physiological environment than pure T cell cultures. It was found
that ascorbic acid, when added to whole blood cultures at a very high
concentration of 1 mM, inhibits interleukin-2 secretion by T cells (p<0.05).
However at lower concentrations of 0.25 mM and 0.5 mM significant inhibition was
not seen which is contrary to earlier reports in pure T cell cultures. Hence it
can be concluded that ascorbic acid inhibits T cell function in-vitro at high
concentrations but the effect is relatively less in whole blood cultures compared
to pure T cell cultures.
PMID- 29809378
TI - Description and Validation of a Novel Method of Measuring Pharyngeal Pressure in
New-born.
AB - : Study background: Measurement of delivered pharyngeal pressure during
continuous positive airway pressure (CPAP) therapy is not in routine practice due
to lack of a simple and affordable technique of intrapharyngeal pressure
measurement. To overcome the lack of the gold standard solid-state catheter-tip
pressure measurement technology in our set up, we improvised a novel method of
pressure measurement and tested its validity in a simulated pharynx. METHODS: A
low-cost pressure transducer was improvised by attaching an orogastric tube to
its one end. The other end of the orogastric tube was sealed into an artificial
pharynx - a 20 ml syringe. The pressure transducer readings were compared with
that obtained by a digital manometer attached to the tip of the syringe. Bland
Altman statistic was used to quantify the measurement reliability of the novel
method against the digital manometer. Effect of tube length on the measurement
agreement was also studied. The developed technique was applied in new-borns.
RESULTS & CONCLUSION: Pressures measured by this technique were in good agreement
with that obtained using a digital manometer. This technique has the potential to
be used as an alternative to catheter-tip pressure transducers for bedside
pharyngeal pressure measurement in new-born babies, especially in under-resourced
setups.
PMID- 29809380
TI - Comparison of Anthropometric Parameters and Blood Pressure Changes in Response to
Physical Stress Test in Normotensive Subjects with or Without Family History of
Hypertension.
AB - Hypertension is recognized as a key risk factor for cardiovascular disease
mortality and morbidity. Early detection of prehypertensive stage may help an
individual to lead a healthy life by altering the life style. The present study
was attempted to compare blood pressure response and anthropometric parameter in
children of hypertensive and non hypertensive parents. The study was conducted on
total 120 participants, 60 in control and 60 in test group. Cardiovascular
response to stress was determined by Harvard step test. Heart rate (HR) and blood
pressure response to exercise were measured in supine position before exercise
and at 1, 2, 3, 4, 5, 7 and 10 minutes after the exercise. The results were
expressed as Mean+/-SD and analyzed using Independent t- test (unpaired t-test)
for comparison between the control group and the test group and one way ANOVA
test. The "P" value < 0.05 was considered statistically significant. In the
present study, body mass index (BMI), waist hip ratio (WHR) and waist
circumference (WC) were found to be significantly higher in normotensive
individuals with family history of hypertension. Stress induced changes in
systolic blood pressure SBP), diastolic blood pressure (DBP), heart rate (HR)
were found to be significantly higher in normotensive individuals with family
history of hypertension as compared to normotensive individuals without family
history of hypertension. The increased blood pressure and heart rate observed in
the individual of hypertensive parents emphasizes the importance of genetic
influence on hypertension. This blood pressure elevation may be considered as a
permanent abnormality characterizing a prehypertensive stage early in life.
PMID- 29809381
TI - An Evidence Based Study on Comparison of Adverse Drug Reactions of Metformin &
Sitagliptin with their Combination.
PMID- 29809382
TI - Inhaled Glucocorticoids in Asthma.
PMID- 29809383
TI - Inhaled Glucocorticoids in Asthma.
PMID- 29809386
TI - Abandoned embryos in Brazil: advances in the decisions. Are we there yet?
PMID- 29809387
TI - Letter to the Editor.
PMID- 29809388
TI - Letter to the Editor.
PMID- 29809390
TI - The Manchester Royal Infirmary.
PMID- 29809389
TI - The dentists' defender.
PMID- 29809391
TI - Suppurative Inflammation of Joints in Children.
PMID- 29809393
TI - The Training of the Hands.
PMID- 29809392
TI - The Story of the Insane from Year to Year.
PMID- 29809394
TI - Genito-Urinary Surgery.
PMID- 29809395
TI - The Position of "The Hospital".
PMID- 29809397
TI - Diseases of the Circulatory System.
PMID- 29809396
TI - Hospital Festivals and Meetings.
PMID- 29809398
TI - The Hospital Nursing Supplement.
PMID- 29809399
TI - Practical Points.
PMID- 29809400
TI - New Appliances and Things Medical.
PMID- 29809401
TI - Drink and Accidents.
PMID- 29809402
TI - Annotations.
PMID- 29809403
TI - Unusual Effects of Hyoscine.
PMID- 29809405
TI - Leech Extracts and Thrombosis.
PMID- 29809404
TI - Modern Medico-Psychology and Psychiatry: Conditions of Mental Stupor.
PMID- 29809408
TI - Progress in Medicine.
PMID- 29809407
TI - Notes and News.
PMID- 29809406
TI - The Price of the Publican.
PMID- 29809409
TI - Drink and Accidents.
PMID- 29809410
TI - Samaritan Free Hospital for Women and Children.
PMID- 29809411
TI - IV.-Mithridatium.
PMID- 29809412
TI - The Hospital Nursing Supplement.
PMID- 29809415
TI - The Prevention of Puerperal Fever.
PMID- 29809416
TI - Hospital Festivals and Meetings.
PMID- 29809413
TI - Heating and Ventilation.
PMID- 29809418
TI - Cambridge Shows Oxford the Way.
PMID- 29809417
TI - Notes and News.
PMID- 29809419
TI - An American Hospital.
PMID- 29809420
TI - Club Foot.-II.
PMID- 29809421
TI - Annotations.
PMID- 29809422
TI - Progress in Surgery.
PMID- 29809423
TI - On Modern Progress in Ophthalmic Medicine and Surgery.
PMID- 29809425
TI - Construction Notes.
PMID- 29809424
TI - The Summer Session.
PMID- 29809426
TI - Hospital for Thurso District.
PMID- 29809427
TI - Pay Hospitals or Medical Hotels.
PMID- 29809428
TI - An Old-Fashioned Election-How Not to Elect a House-Surgeon.
PMID- 29809429
TI - The Hospital Nursing Supplement.
PMID- 29809430
TI - Heating and Ventilation.
PMID- 29809431
TI - Practical Points.
PMID- 29809433
TI - Diseases of the Lungs and Pleurae.
PMID- 29809432
TI - The Causation of Aneurisms. II.
PMID- 29809434
TI - Annotations.
PMID- 29809436
TI - Notes and News.
PMID- 29809435
TI - Club Foot.-III.
PMID- 29809437
TI - Kidney Diseases.
PMID- 29809438
TI - Desquamation after Scarlet Fever.
PMID- 29809439
TI - New Appliances and Things Medical.
PMID- 29809440
TI - Hospital Festivals and Meetings.
PMID- 29809442
TI - Genito-Urinary Surgery.
PMID- 29809443
TI - New Appliances and Things Medical.
PMID- 29809441
TI - Taxes and Tariffs.
PMID- 29809444
TI - The Poplar Hospital for Accidents.
PMID- 29809445
TI - Construction Notes.
PMID- 29809447
TI - The Story of the Insane from Year to Year.
PMID- 29809446
TI - Annotations.
PMID- 29809448
TI - Progress in Surgery.
PMID- 29809449
TI - Practical Departments.
PMID- 29809450
TI - Note on Blood-Letting without Loss of Blood.
PMID- 29809452
TI - The Education of Nervous Children.
PMID- 29809451
TI - Treatment of Hypertrophy of the Prostate at the Northampton General Infirmary.
PMID- 29809453
TI - A Byzantine Clinical History.
PMID- 29809454
TI - Progress in Medicine.
PMID- 29809455
TI - The Nation and Its Paralytics and Epileptics.
PMID- 29809456
TI - Notes and News.
PMID- 29809457
TI - Pulmonary Congestion and Its Treatment.-I.
PMID- 29809458
TI - Editor's Letter-Box.
PMID- 29809459
TI - Opium in India.
PMID- 29809460
TI - Ward Fittings at the London Temperance Hospital.
PMID- 29809461
TI - Progress in Surgery.
PMID- 29809462
TI - Annotations.
PMID- 29809463
TI - New Appliances and Things Medical.
PMID- 29809464
TI - Around the Hospitals.
PMID- 29809465
TI - On Modern Progress in Ophthalmic Medicine and Surgery.
PMID- 29809466
TI - The Hospital Nursing Supplement.
PMID- 29809467
TI - Notes and News.
PMID- 29809468
TI - Practical Points.
PMID- 29809469
TI - Brothers in Affliction.
PMID- 29809471
TI - Hospital Festivals and Meetings.
PMID- 29809470
TI - The Royal Commission on Tuberculosis.
PMID- 29809472
TI - On the Causes and Treatment of Colds in the Head of Influenzal Origin.
PMID- 29809473
TI - Damaged Lives and Assurance Medicine.
PMID- 29809474
TI - Progress in Medicine.
PMID- 29809475
TI - Notes and News.
PMID- 29809476
TI - Poverty and Pensions.
PMID- 29809478
TI - The Scourge of India.
PMID- 29809477
TI - The Hospital Nursing Supplement.
PMID- 29809479
TI - New Appliances and Things Medical.
PMID- 29809480
TI - Intestinal Anastomosis.
PMID- 29809481
TI - Annotations.
PMID- 29809483
TI - Kidney Diseases.
PMID- 29809482
TI - Visitors to Hospitals and Asylums.
PMID- 29809484
TI - Surgery of the Vermiform Appendix.
PMID- 29809485
TI - Diabetes.
PMID- 29809486
TI - Hospital Festivals and Meetings.
PMID- 29809487
TI - The Ventilation of Hospital Wards.
PMID- 29809488
TI - The Royal Medical Benevolent College.
PMID- 29809489
TI - Modern Medico-Psychology and Psychiatry.
PMID- 29809491
TI - Recent Science.
PMID- 29809490
TI - Cataract as It Is Met with in Ordinary Practice.
PMID- 29809493
TI - The Adelaide Hospital, Melbourne, South Australia.
PMID- 29809492
TI - Around the Hospitals.
PMID- 29809494
TI - Notes and Queries.
PMID- 29809495
TI - Literature for the Million.
PMID- 29809496
TI - The Hospital Nursing Supplement.
PMID- 29809498
TI - Some Points in the Midwives Bill.
PMID- 29809497
TI - Pulmonary Congestion and Its Treatment. II.
PMID- 29809500
TI - Progress in Medicine.
PMID- 29809499
TI - Hospital Festivals and Meetings.
PMID- 29809501
TI - Notes and News.
PMID- 29809502
TI - Annotations.
PMID- 29809503
TI - Syphilitic Disease in the Spinal Column.
PMID- 29809504
TI - Progress in Surgery.
PMID- 29809505
TI - Surgery of the Vermiform Appendix.
PMID- 29809506
TI - On Modern Progress in Ophthalmic Medicine and Surgery.
PMID- 29809507
TI - Mr. Balfour on Medicine.
PMID- 29809508
TI - Surgery of the Liver and Gall Bladder.
PMID- 29809509
TI - Infective Diseases.
PMID- 29809511
TI - An Excreta Cage.
PMID- 29809510
TI - The Parents of Prisoners.
PMID- 29809512
TI - Hospital Festivals and Meetings.
PMID- 29809513
TI - Certain Cases of Painful Menstruation.
PMID- 29809514
TI - The Hospital Nursing Supplement.
PMID- 29809516
TI - Notes and News.
PMID- 29809515
TI - Annotations.
PMID- 29809518
TI - The Function of a Fever Hospital.
PMID- 29809517
TI - Cataract as It Is Met with in Ordinary Practice.
PMID- 29809519
TI - Practical Points.
PMID- 29809520
TI - Drugs and Disease.
PMID- 29809521
TI - The Blackman Rapid Drying System.
PMID- 29809522
TI - The Hospital Nursing Supplement.
PMID- 29809523
TI - Annotations.
PMID- 29809525
TI - Clinical Aspects of Scoliosis.
PMID- 29809527
TI - Progress in Medicine.
PMID- 29809526
TI - Modern Medico-Psychology and Psychiatry.
PMID- 29809528
TI - Construction Notes.
PMID- 29809529
TI - The Pauper and His Food.
PMID- 29809530
TI - Notes and News.
PMID- 29809531
TI - Air-Tight Cover.
PMID- 29809532
TI - New Appliances and Things Medical.
PMID- 29809533
TI - Reck's Steam Disinfector.
PMID- 29809534
TI - The Schoolmaster or the Doctor?
PMID- 29809536
TI - The Story of the Insane from Year to Year.
PMID- 29809535
TI - Evolution in Surgery.
PMID- 29809537
TI - Progress in Surgery.
PMID- 29809538
TI - Around the Hospitals.
PMID- 29809539
TI - Hospital Meetings.
PMID- 29809540
TI - Clinical Aspects of Scoliosis.
PMID- 29809542
TI - The Story of the Insane from Year to Year.
PMID- 29809541
TI - Some Aspects of Research in Medicine.
PMID- 29809543
TI - Hospital Construction.
PMID- 29809544
TI - The Metropolitan Hospital.
PMID- 29809545
TI - Genius and Insanity.
PMID- 29809546
TI - The Hospital Nursing Supplement.
PMID- 29809547
TI - Progress in Medicine.
PMID- 29809548
TI - Notes and News.
PMID- 29809549
TI - Infectious Diseases Hospital, Alloa.
PMID- 29809550
TI - Annotations.
PMID- 29809551
TI - Internal Antiseptics.
PMID- 29809552
TI - Progress in Surgery.
PMID- 29809553
TI - Floors for Hospital Wards.
PMID- 29809554
TI - New Appliances and Things Medical.
PMID- 29809556
TI - The Modern Treatment of Heart Disease.
PMID- 29809555
TI - The Classification of Aneurisms.
PMID- 29809558
TI - Construction Notes.
PMID- 29809557
TI - Hospital Festivals and Meetings.
PMID- 29809559
TI - Hospital Sunday in London.
PMID- 29809560
TI - Annotations.
PMID- 29809561
TI - Are Women Worse Than Men?
PMID- 29809562
TI - New Appliances and Things Medical.
PMID- 29809563
TI - Notes and News.
PMID- 29809564
TI - Progress in Medicine.
PMID- 29809565
TI - Memorial to the late Sir Edmund Lechmere, Bart., M.P.
PMID- 29809567
TI - Around the Hospitals.
PMID- 29809566
TI - The Story of the Insane from Year to Year.
PMID- 29809568
TI - Chloroform Fatalities.-I.
PMID- 29809569
TI - Special Hospital Sunday Supplement.
PMID- 29809570
TI - The General Medical Council.
PMID- 29809571
TI - Floors for Hospital Wards.
PMID- 29809573
TI - Progress in Surgery.
PMID- 29809572
TI - Two Cases of Membranous, or Croupous, Laryngitis in Infants, Treated by Intra
Laryngeal Applications, with Recovery.
PMID- 29809574
TI - The Position of Charing Cross and King's College Hospitals.
PMID- 29809575
TI - A Word to Living Londoners.
PMID- 29809576
TI - The Hospital Nursing Supplement.
PMID- 29809577
TI - Cremation on the Battlefield.
PMID- 29809578
TI - Results of Hospital Sunday, 1895.
PMID- 29809579
TI - Annotations.
PMID- 29809580
TI - Progress in Medicine.
PMID- 29809582
TI - Distributing the Special Supplement.
PMID- 29809581
TI - Hospital Festivals and Meetings.
PMID- 29809583
TI - The Physiology and Cure of Stuttering.
PMID- 29809584
TI - New Appliances and Things Medical.
PMID- 29809585
TI - Hospital Sunday in London.
PMID- 29809586
TI - On Modern Progress in Ophthalmic Medicine and Surgery.
PMID- 29809588
TI - The Hospital Nursing Supplement.
PMID- 29809587
TI - The Medical Profession.
PMID- 29809590
TI - The Certificate Nuisance.
PMID- 29809589
TI - The Treatment of Sprains and Fractures in the Neighbourhood of Joints by Massage
and Early Passive Movements.
PMID- 29809591
TI - Notes and News.
PMID- 29809592
TI - The Hospital Problem in London.
PMID- 29809593
TI - Medicine and Missionaries.
PMID- 29809595
TI - Progress in Medicine.
PMID- 29809594
TI - Notes on the Effect of Injuries to Different Parts of the Cranium.
PMID- 29809596
TI - The Storage of Potable Water.
PMID- 29809597
TI - Notes and News.
PMID- 29809598
TI - The Metropolitan Hospital Sunday Fund.
PMID- 29809599
TI - Annotations.
PMID- 29809600
TI - Modern Medico-Psychology and Psychiatry.
PMID- 29809601
TI - Westminster Hospital.
PMID- 29809603
TI - Progress in Surgery.
PMID- 29809602
TI - The Hospital Nursing Supplement.
PMID- 29809604
TI - New Appliances and Things Medical.
PMID- 29809605
TI - Construction Notes.
PMID- 29809607
TI - Metropolitan Hospital Sunday Fund.
PMID- 29809606
TI - Dundee Royal Infirmary-New Operation Theatre.
PMID- 29809608
TI - The Hospital Nursing Supplement.
PMID- 29809609
TI - The Story of the Insane from Year to Year.
PMID- 29809610
TI - New Appliances and Things Medical.
PMID- 29809611
TI - Practical Departments.
PMID- 29809612
TI - Benzine Poisoning.
PMID- 29809613
TI - The Alleged Increase of Heart Disease.
PMID- 29809615
TI - Naevi.
PMID- 29809614
TI - Diseases of the Lungs.
PMID- 29809616
TI - Dr. Rentoul's Rhapsody.
PMID- 29809617
TI - Notes and News.
PMID- 29809618
TI - Hospital Meetings.
PMID- 29809619
TI - Snake Poisons and Antidotes.
PMID- 29809620
TI - The Classification of Aneurisms.
PMID- 29809621
TI - Gout.
PMID- 29809622
TI - Cerebral Surgery.
PMID- 29809623
TI - Brixham Cottage Hospital.
PMID- 29809624
TI - Calomel and Potassium Bromide.
PMID- 29809625
TI - Annotations.
PMID- 29809627
TI - Epsom College.
PMID- 29809626
TI - The Hospital Nursing Supplement.
PMID- 29809628
TI - Progress in Surgery.
PMID- 29809629
TI - The Westminster Hospital.
PMID- 29809631
TI - The British Medical Association and Antiseptic Surgery.
PMID- 29809630
TI - Chloroform Fatalities.-II.
PMID- 29809632
TI - The Misuse of Hospitals and Honorary Medical Service.
PMID- 29809633
TI - Annotations.
PMID- 29809634
TI - Concerning Old Age Pensions.
PMID- 29809636
TI - Hospital Festivals and Meetings.
PMID- 29809635
TI - The Treatment of Pott's Disease.
PMID- 29809637
TI - Notes and News.
PMID- 29809638
TI - Progress in Medicine.
PMID- 29809639
TI - New Appliances and Things Medical.
PMID- 29809640
TI - Around the Hospitals.
PMID- 29809641
TI - Annotations.
PMID- 29809642
TI - Wood Pavement and Disinfectants.
PMID- 29809643
TI - The Ambulance in Peace and War.
PMID- 29809644
TI - Progress in Ophthalmology.
PMID- 29809645
TI - Practical Departments.
PMID- 29809646
TI - Progress in Medicine.
PMID- 29809648
TI - The Misuse of Hospitals;-Consultants and General Practitioners.
PMID- 29809647
TI - The Hospital Nursing Supplement.
PMID- 29809649
TI - Construction Notes.
PMID- 29809650
TI - The Story of the Insane from Year to Year.
PMID- 29809651
TI - Progress in Surgery.
PMID- 29809653
TI - Notes and News.
PMID- 29809652
TI - Spasmodic Torticollis.
PMID- 29809654
TI - On Modern Progress in Ophthalmic Medicine and Surgery: Diseases of the Cornea.
PMID- 29809655
TI - Labour and Landlords.
PMID- 29809656
TI - New Appliances and Things Medical.
PMID- 29809657
TI - Hospital Administration.
PMID- 29809658
TI - The Hospital Nursing Supplement.
PMID- 29809659
TI - Around the Hospitals.
PMID- 29809660
TI - Practical Departments.
PMID- 29809661
TI - The Misuse of Hospitals: III. Points for the Proposed Conference.
PMID- 29809662
TI - Beef-Tea: Its Body and Soul.
PMID- 29809663
TI - Progress in Ophthalmology.
PMID- 29809664
TI - On the Localising of Fractures of the Base of the Skull.
PMID- 29809665
TI - Annotations.
PMID- 29809666
TI - Hospital Construction.
PMID- 29809667
TI - Notes and News.
PMID- 29809668
TI - Progress in Surgery.
PMID- 29809670
TI - Progress in Medicine.
PMID- 29809669
TI - New Appliances and Things Medical.
PMID- 29809671
TI - Modern Medico-Psychology and Psychiatry.
PMID- 29809672
TI - The British Medical Association in London.
PMID- 29809673
TI - Hospital Festivals and Meetings.
PMID- 29809674
TI - Hospital Sunday Fund.
PMID- 29809675
TI - The Hospital Nursing Supplement.
PMID- 29809677
TI - Pay Hospitals: Fiat Experimentum.
PMID- 29809676
TI - Annotations.
PMID- 29809679
TI - Metropolitan Hospital Sunday Fund.
PMID- 29809678
TI - British Medical Association: The Presidential Address.
PMID- 29809680
TI - Fractures and Dislocations.
PMID- 29809682
TI - The Speech of Souls.
PMID- 29809681
TI - Annual Museum.
PMID- 29809683
TI - New Appliances and Things Medical.
PMID- 29809684
TI - Medical Politics in Yorkshire.
PMID- 29809686
TI - Cerebral Surgery.
PMID- 29809685
TI - Notes and News.
PMID- 29809687
TI - The Life History of Aneurisms.
PMID- 29809688
TI - Disease of the Respiratory System.
PMID- 29809689
TI - Unsuspected Thoracic Aneurism.
PMID- 29809690
TI - Drugs Many: Remedies Few.
PMID- 29809691
TI - The Hospital Nursing Supplement.
PMID- 29809692
TI - British Medical Association: Proceedings of Sections.
PMID- 29809694
TI - Notes and News.
PMID- 29809693
TI - Moral Mania.
PMID- 29809695
TI - Annotations.
PMID- 29809696
TI - On Modern Progress in Ophthalmic Medicine and Surgery.
PMID- 29809697
TI - Hospital Festivals and Meetings.
PMID- 29809698
TI - Duroline.
PMID- 29809699
TI - The Cycle of Life.
PMID- 29809701
TI - British Medical Association.
PMID- 29809700
TI - The Queen's Jubilee Hospital.
PMID- 29809702
TI - West Ham Hospital.
PMID- 29809703
TI - The Physiology of Recreation.
PMID- 29809704
TI - Hospital Letters.
PMID- 29809705
TI - Alcohol in Health and Disease.
PMID- 29809706
TI - Progress in Medicine.
PMID- 29809707
TI - Progress in Ophthalmology.
PMID- 29809708
TI - The Hospital Nursing Supplement.
PMID- 29809709
TI - Modern Medico Psychology and Psychiatry: Criminology.
PMID- 29809712
TI - Around the Hospitals.
PMID- 29809711
TI - Notes and News.
PMID- 29809710
TI - Progress in Surgery.
PMID- 29809714
TI - Annotations.
PMID- 29809713
TI - Health and Athletics.
PMID- 29809715
TI - The Air of the Schoolroom.
PMID- 29809716
TI - Practical Departments.
PMID- 29809717
TI - Construction Notes.
PMID- 29809718
TI - Serum Therapeutics at the British Medical Association.
PMID- 29809719
TI - Periscope of Dermatology.
PMID- 29809720
TI - Poison Bottles.
PMID- 29809721
TI - Progress in Surgery.
PMID- 29809722
TI - Drunk or Sober?
PMID- 29809723
TI - The Metric System.
PMID- 29809724
TI - The Hospital Nursing Supplement.
PMID- 29809725
TI - Progress in Medicine.
PMID- 29809726
TI - The Story of the Insane from Year to Year.
PMID- 29809728
TI - Birmingham Throat and Ear Hospital.
PMID- 29809727
TI - Traumatic Stricture of the Urethra Treated by Resection.
PMID- 29809730
TI - The Parsee Lying-in Hospital, Bombay.
PMID- 29809729
TI - Annotations.
PMID- 29809731
TI - Notes and News.
PMID- 29809732
TI - The Treatment of Heart Disease.
PMID- 29809733
TI - Rheumatism and Gout.
PMID- 29809734
TI - New Operating Theatre, the Middlesex Hospital.
PMID- 29809735
TI - A New Classification of Ectopic Pregnancies.
PMID- 29809736
TI - Surgery of the Spine and Cord.
PMID- 29809737
TI - The Inspector in Excelsis.
PMID- 29809738
TI - The Hospital Nursing Supplement.
PMID- 29809739
TI - The Story of the Insane from Year to Year.
PMID- 29809740
TI - Chloroform Fatalities.-III.
PMID- 29809741
TI - One-and-a-Penny-Ha'Penny.
PMID- 29809742
TI - Notes and News.
PMID- 29809743
TI - Annotations.
PMID- 29809744
TI - New Appliances and Things Medical.
PMID- 29809745
TI - Periscope of Dermatology.
PMID- 29809746
TI - Surgery of the OEsophagus and Stomach.
PMID- 29809747
TI - How Disease Is Spread.
PMID- 29809748
TI - York Cottage Hospital.
PMID- 29809749
TI - Construction Notes.
PMID- 29809750
TI - Practical Departments.
PMID- 29809751
TI - The Commencement of Medical Study.
PMID- 29809752
TI - The Throat Hospital, Golden Square.
PMID- 29809753
TI - The Attractions of Medicine.
PMID- 29809754
TI - Clocks and Heart Disease.
PMID- 29809756
TI - New Appliances and Things Medical.
PMID- 29809755
TI - The Medical Schools of London.
PMID- 29809757
TI - The Hospital Nursing Supplement.
PMID- 29809758
TI - The Canton Hospital at Geneva.
PMID- 29809759
TI - Annotations.
PMID- 29809761
TI - Visit of the Shahzada to the St. Thomas's Hospital.
PMID- 29809760
TI - On Modern Progress in Ophthalmic Medicine and Surgery: Diseases of the Cornea.
PMID- 29809762
TI - Progress in Surgery.
PMID- 29809763
TI - Notes and News.
PMID- 29809764
TI - The Story of the Insane from Year to Year.
PMID- 29809765
TI - Progress in Surgery.
PMID- 29809766
TI - Construction Notes.
PMID- 29809768
TI - A Mismanaged Memorial.
PMID- 29809767
TI - Street Collections for Charities.
PMID- 29809769
TI - Preputial Malformation in the Newly Born.
PMID- 29809770
TI - Toronto General Hospital.
PMID- 29809771
TI - Child Life in France.
PMID- 29809772
TI - Notes and News.
PMID- 29809773
TI - The Respiration of Plants.
PMID- 29809774
TI - Annotations.
PMID- 29809775
TI - A New Race in Egypt.
PMID- 29809776
TI - Practical Department.
PMID- 29809778
TI - The Story of the Insane from Year to Year.
PMID- 29809777
TI - Case of Mento-Posterior Impaction:-Successful Raising and Rotation of Head.
PMID- 29809779
TI - Around the Hospitals.
PMID- 29809780
TI - The Hospital Nursing Supplement.
PMID- 29809781
TI - Progress in Gynaecology.
PMID- 29809783
TI - A Mismanaged Memorial.
PMID- 29809782
TI - New Appliances and Things Medical.
PMID- 29809784
TI - Construction Notes.
PMID- 29809786
TI - The Hospital Nursing Supplement.
PMID- 29809785
TI - Perityphlitis.
PMID- 29809788
TI - Nurses, Their Uniform and the Public.
PMID- 29809787
TI - The Symptoms of Aneurism.
PMID- 29809789
TI - The "Sister Louise" Ice Cup.
PMID- 29809790
TI - Surgery of the Intestines.
PMID- 29809791
TI - Notes and News.
PMID- 29809792
TI - Exhibition by the Drug, Chemical, and Allied Trades.
PMID- 29809793
TI - Boscombe Hospital and Provident Dispensary.
PMID- 29809795
TI - Annotations.
PMID- 29809794
TI - Child Life in London.
PMID- 29809797
TI - New Appliances and Things Medical.
PMID- 29809796
TI - Progress in German Hospitals.
PMID- 29809798
TI - St. Olave's Union Infirmary.
PMID- 29809799
TI - Practical Points.
PMID- 29809800
TI - Brain Surgery.
PMID- 29809801
TI - New Appliances and Things Medical.
PMID- 29809802
TI - Construction Notes.
PMID- 29809803
TI - Annotations.
PMID- 29809804
TI - Chloroform Fatalities. IV.
PMID- 29809805
TI - Royal South Hants Infirmary, Southampton.
PMID- 29809806
TI - The Domestic Filtration of Water.
PMID- 29809807
TI - On Sight-Seeing.
PMID- 29809808
TI - Furniture and Fittings for Nurses' Homes.
PMID- 29809809
TI - Notes and News.
PMID- 29809810
TI - Progress in Surgery.
PMID- 29809811
TI - The Hospital Nursing Supplement.
PMID- 29809812
TI - Drugs and Drink.
PMID- 29809813
TI - Progress in Medicine.
PMID- 29809814
TI - A Case of Fracture of Rad and Ulna, with Injury to the Median Nerve.
PMID- 29809816
TI - English Doctors Abroad.
PMID- 29809817
TI - Gonococcus Infection in Infants.
PMID- 29809815
TI - The Powers of the Ship's Surgeon.
PMID- 29809819
TI - Progress in Disease of Digestive Organs.
PMID- 29809818
TI - What We Owe to Experiments on Animals: I. Physiology.
PMID- 29809820
TI - Construction Notes.
PMID- 29809821
TI - Notes and News.
PMID- 29809822
TI - Modern Hospital and Institutional Fittings.-III.
PMID- 29809823
TI - Injuries of Nerves.
PMID- 29809824
TI - St. Bartholomew's Hospital.
PMID- 29809825
TI - The Salop Infirmary.
PMID- 29809826
TI - The Treatment of the Sick in Norwich during the Seventeenth Century.
PMID- 29809827
TI - Progress in Surgery.
PMID- 29809828
TI - Mortality of Infectious Diseases.
PMID- 29809829
TI - Annotations.
PMID- 29809830
TI - The Spread of Plague by Fleas.
PMID- 29809831
TI - Pyrexia in Cancer of the Liver.
PMID- 29809833
TI - Nursing Section.
PMID- 29809832
TI - Practical Departments.
PMID- 29809834
TI - The Royal Society.
PMID- 29809835
TI - Progress in Anaesthetics.
PMID- 29809836
TI - Belgrave Hospital for Children.
PMID- 29809837
TI - Progress in Neurology.
PMID- 29809838
TI - Syphilitic Affections of the Heart and Aorta.
PMID- 29809840
TI - Nursing Section.
PMID- 29809839
TI - The Metropolitan Hospital Sunday Fund.
PMID- 29809842
TI - The Origin of Cancer.
PMID- 29809841
TI - New Appliances and Things Medical.
PMID- 29809843
TI - Notes and News.
PMID- 29809844
TI - Progress in Fevers.
PMID- 29809845
TI - Idiosyncrasy in Relation to Doses.
PMID- 29809846
TI - St. Bartholomew's Hospital.
PMID- 29809847
TI - Progress in Cancer.
PMID- 29809848
TI - Glances at the Hospitals.
PMID- 29809849
TI - King Edward's Hospital Fund for London.
PMID- 29809850
TI - What We Owe to Experiments on Animals: I. Physiology (continued).
PMID- 29809851
TI - The Condition of the Pupil in Acute Alcoholic Poisoning.
PMID- 29809852
TI - Epilepsy and Crime.
PMID- 29809853
TI - Annotations.
PMID- 29809854
TI - Annotations.
PMID- 29809855
TI - 1903.
PMID- 29809856
TI - The London Hospitals.
PMID- 29809857
TI - The Doctor.
PMID- 29809858
TI - Looking Back.
PMID- 29809859
TI - Nursing Section.
PMID- 29809861
TI - The Liability of Voluntary Hospitals for Negligence.
PMID- 29809860
TI - British Institutions for the Care of the Inebriate.-VI.
PMID- 29809862
TI - Notes and News.
PMID- 29809863
TI - The Hospitals and Dispensaries of Burma.
PMID- 29809864
TI - Progress in Diabetes.
PMID- 29809865
TI - New Appliances and Things Medical.
PMID- 29809866
TI - Diseases of the Heart and Circulation.
PMID- 29809867
TI - Progress in Ophthalmology.
PMID- 29809868
TI - Construction Notes.
PMID- 29809869
TI - What We Owe to Experiments on Animals: II. Pathology, Bacteriology, and
Therapeutics (continued).
PMID- 29809871
TI - Progress in Cancer.
PMID- 29809870
TI - Diseases of the Heart and Circulation.
PMID- 29809872
TI - The Opportunities of the Wealthy.
PMID- 29809873
TI - New Appliances and Things Medical.
PMID- 29809874
TI - What We Owe to Experiments on Animals: II. Pathology, Bacteriology, and
Therapeutics.
PMID- 29809875
TI - The League of Mercy.
PMID- 29809876
TI - Syphilitic Joint Disease.
PMID- 29809877
TI - The Medical Care of Children in Elementary Schools.
PMID- 29809878
TI - Notes and News.
PMID- 29809879
TI - Nursing Section.
PMID- 29809880
TI - Aneurysm of the Abdominal Aorta.
PMID- 29809882
TI - Progress in Diabetes.
PMID- 29809881
TI - Royal Victoria Hospital, Belfast.
PMID- 29809883
TI - Annotations.
PMID- 29809884
TI - The Relation of Mild Types of Diphtheria to the Public Health.
PMID- 29809885
TI - Progress in Surgery of the Stomach.
PMID- 29809887
TI - What We Owe to Experiments on Animals: II. Pathology, Bacteriology, and
Therapeutics (continued).
PMID- 29809886
TI - Progress in Fevers.
PMID- 29809889
TI - Diseases of the Heart and Circulation.
PMID- 29809888
TI - Annotations.
PMID- 29809890
TI - Practical Departments.
PMID- 29809892
TI - Doctors and Midwives.
PMID- 29809891
TI - Pensions to Asylum Officials.
PMID- 29809893
TI - British Gynaecological Society.
PMID- 29809894
TI - Atrophic Rhinitis.
PMID- 29809895
TI - The Regius Professorship of Medicine at Oxford.
PMID- 29809896
TI - New Appliances and Things Medical.
PMID- 29809897
TI - Nursing Section.
PMID- 29809898
TI - Notes and News.
PMID- 29809899
TI - Carol of the Maison Dieu.
PMID- 29809900
TI - Glances at the Hospitals.
PMID- 29809901
TI - Alexandra Hospital for Children, Rhyl.
PMID- 29809903
TI - Progress of Surgery.
PMID- 29809902
TI - The Dangers of Inflating the Stomach.
PMID- 29809904
TI - New Appliances and Things Medical.
PMID- 29809905
TI - Notes and News.
PMID- 29809906
TI - Progress in Surgery of the Brain and Nerves.
PMID- 29809908
TI - Progress in State Medicine.
PMID- 29809907
TI - Rise and Growth of Vaccination Law.-IV.
PMID- 29809909
TI - Cancer Research.
PMID- 29809911
TI - St. Bartholomew's Hospital.
PMID- 29809910
TI - Modern Sociology.
PMID- 29809912
TI - The Story of the Insane from Year to Year.
PMID- 29809913
TI - The Royal Waterloo Hospital for Children and Women.
PMID- 29809914
TI - Progress in Surgery of the Intestines.
PMID- 29809915
TI - Progress of Surgery.
PMID- 29809916
TI - What We Owe to Experiments on Animals: II. Pathology, Bacteriology, and
Therapeutics (continued).
PMID- 29809917
TI - New Appliances and Things Medical.
PMID- 29809918
TI - Progress in Fevers.
PMID- 29809919
TI - Notes and News.
PMID- 29809920
TI - The Temperature in Shock.
PMID- 29809921
TI - Progress in Surgery of the Stomach.
PMID- 29809922
TI - Nursing Section.
PMID- 29809923
TI - The Early Diagnosis of Perforation in Typhoid Fever.
PMID- 29809925
TI - The Future of Bart's.
PMID- 29809924
TI - Annotations.
PMID- 29809926
TI - The Health of London.
PMID- 29809927
TI - The Freedom of the Profession.
PMID- 29809928
TI - The Mortality of Appendicitis.
PMID- 29809929
TI - "The Hospital" Library and Charities Bureau.
PMID- 29809930
TI - The London School of Tropical Medicine.
PMID- 29809931
TI - The Treatment of Appendicitis.
PMID- 29809933
TI - Visits to Private Asylums.
PMID- 29809932
TI - What We Owe to Experiments on Animals: I. Physiology (continued).
PMID- 29809935
TI - Annotations.
PMID- 29809934
TI - The Administration of Chloroform.
PMID- 29809937
TI - Progress in Disease of Digestive Organs.
PMID- 29809936
TI - Progress in Bacteriology.
PMID- 29809938
TI - The Surgical Aid Society.
PMID- 29809939
TI - London Hospitals with Medical Schools and Their Sites.
PMID- 29809940
TI - Traumatic Separation of the Lower Epiphysis of the Humerus.
PMID- 29809941
TI - Our Christmas Supplement.
PMID- 29809942
TI - The Arsenicai Commission.
PMID- 29809944
TI - Nursing Section.
PMID- 29809943
TI - Progress in Neurology.
PMID- 29809945
TI - Notes and News.
PMID- 29809946
TI - The Basis of Professional Secrecy.
PMID- 29809947
TI - The Operative Treatment of Retroversion and Retroflexion of the Uterus.
PMID- 29809948
TI - Progress in Diseases of the Heart.
PMID- 29809949
TI - A University Diploma in Tropical Medicine.
PMID- 29809950
TI - Nursing Section.
PMID- 29809951
TI - The Hospitals Association.
PMID- 29809952
TI - The Cardiac Muscle.
PMID- 29809953
TI - Hospital Meetings.
PMID- 29809954
TI - Annotations.
PMID- 29809956
TI - Progress in Fevers.
PMID- 29809955
TI - The Sanatorium Treatment of Consumption.
PMID- 29809957
TI - Progress in Diseases of the Blood.
PMID- 29809959
TI - The Infectivity of Enteric Fever.
PMID- 29809958
TI - A New Ligature.
PMID- 29809960
TI - Notes and News.
PMID- 29809961
TI - The Metropolitan Street Ambulance Association.
PMID- 29809962
TI - The Uniform System of Accounts.
PMID- 29809963
TI - Hospital Meetings.
PMID- 29809964
TI - Post-Basal Meningitis Due to Pneumococcus Lanceolatus.
PMID- 29809966
TI - Extraction of Needles after Location with the X-Rays.
PMID- 29809965
TI - Progress in Pediatrics.
PMID- 29809967
TI - The Cause of Rickets.
PMID- 29809968
TI - Practical Departments.
PMID- 29809969
TI - Ambidexterity.
PMID- 29809970
TI - Progress in Cerebral, Spinal, and Nerve Surgery.
PMID- 29809971
TI - Notes and News.
PMID- 29809972
TI - Annotations.
PMID- 29809973
TI - Black Urine.
PMID- 29809974
TI - The Physiology of Simultaneous Ambidextral Work.
PMID- 29809975
TI - Nursing Section.
PMID- 29809977
TI - The Homeless Poor.
PMID- 29809978
TI - What We Owe to Experiments on Animals: III. The Act Relating to Experiments on
Animals.
PMID- 29809976
TI - Progress in Diseases of the Nervous System.
PMID- 29809979
TI - Doctors and Drug Supply.
PMID- 29809980
TI - The Psychology of Tuberculosis.
PMID- 29809981
TI - The Story of the Insane from Year to Year.
PMID- 29809982
TI - Annotations.
PMID- 29809983
TI - Nursing Section.
PMID- 29809984
TI - British Health Stations.-I.
PMID- 29809985
TI - Glances at the Hospitals.
PMID- 29809986
TI - New Appliances and Things Medical.
PMID- 29809987
TI - London Ambulances.
PMID- 29809988
TI - Progress in Gynaecology.
PMID- 29809989
TI - Progress of the Surgery of the Vermiform Appendix.
PMID- 29809990
TI - Rise and Growth of Vaccination Law.-V.
PMID- 29809991
TI - Progress in Diseases of the Heart.
PMID- 29809992
TI - Notes and News.
PMID- 29809993
TI - New Appliances and Things Medical.
PMID- 29809994
TI - Rheumatism and Gout.
PMID- 29809995
TI - The Sanatorium Treatment of Consumption.
PMID- 29809997
TI - Hospital Meetings.
PMID- 29809996
TI - Erratum: A New Ligature.
AB - [This corrects the article on p. 398b in vol. 35.].
PMID- 29809998
TI - Medical Education and the University of London.
PMID- 29810000
TI - Guy's Hospital and the Southwark Guardians.
PMID- 29809999
TI - Progress in Neurology.
PMID- 29810002
TI - Notes and News.
PMID- 29810001
TI - The King's Hospital Fund.
PMID- 29810004
TI - Annotations.
PMID- 29810003
TI - The Hypodermic Use of Cardiac Stimulants.
PMID- 29810005
TI - The Midwives Act.
PMID- 29810007
TI - Practical Points.
PMID- 29810006
TI - An Unusual Case of Hernia.
PMID- 29810008
TI - Premature Burial.
PMID- 29810009
TI - The "Red Cross" Light Cure and Electrical Institute.
PMID- 29810010
TI - Nursing Section.
PMID- 29810011
TI - The League of Mercy.
PMID- 29810012
TI - Progress in Dermatology.
PMID- 29810013
TI - Ambulances for London.
PMID- 29810014
TI - Progress in Diseases of the Blood.
PMID- 29810015
TI - Lymphatic Glands in the Cheek.
PMID- 29810017
TI - Lady Health Inspectors in Kensington.
PMID- 29810016
TI - The Sanatorium Treatment of Consumption.
PMID- 29810018
TI - Will London Awake?
PMID- 29810019
TI - The History of Patients after Gastric Operations.
PMID- 29810020
TI - Notes and News.
PMID- 29810021
TI - Treatment of Hepatic Abscess.
PMID- 29810022
TI - Hospital Meetings.
PMID- 29810023
TI - Ambulances for London.
PMID- 29810024
TI - Nursing Section.
PMID- 29810025
TI - The New Science Buildings at Cambridge.
PMID- 29810026
TI - Progress in Bacteriology.
PMID- 29810027
TI - Progress in Fevers.
PMID- 29810029
TI - The Teaching of Hygiene and Temperance to School Children.
PMID- 29810028
TI - Annotations.
PMID- 29810031
TI - The Metric System in Medicine.
PMID- 29810030
TI - Municipal Milk Supply.
PMID- 29810032
TI - Hospital Meetings.
PMID- 29810033
TI - The Story of the Insane from Year to Year.
PMID- 29810034
TI - Progress of Surgery.
PMID- 29810036
TI - Enuresis in Children.
PMID- 29810035
TI - Historical Sketch of Some of the London Hospitals.
PMID- 29810037
TI - What We Owe to Experiments on Animals: II. Pathology, Bacteriology, and
Therapeutics (continued).
PMID- 29810038
TI - Progress in Gynaecology.
PMID- 29810039
TI - Nursing Section.
PMID- 29810040
TI - Hospital for Infectious Diseases, Sheffield.
PMID- 29810041
TI - Progress in Surgery of the Brain and Nerves.
PMID- 29810043
TI - Annotations.
PMID- 29810042
TI - Notes and News.
PMID- 29810045
TI - New Appliances and Things Medical.
PMID- 29810044
TI - The Significance of Superficial and Tendon Reflexes in the Lower Extremity.
PMID- 29810046
TI - Historical Sketch of Some of the London Hospitals.-II.
PMID- 29810047
TI - Municipal Milk Supply.
PMID- 29810048
TI - Traumatic Haematorrhachis.
PMID- 29810049
TI - Ovarian and Pelvic Dermoids.
PMID- 29810051
TI - Hospitals and General Practitioners.
PMID- 29810050
TI - British Health Stations.-II.
PMID- 29810052
TI - The Story of the Insane from Year to Year.
PMID- 29810053
TI - The After-History of Gastric Ulcer.
PMID- 29810055
TI - Medicine and the War.
PMID- 29810054
TI - Notes and News.
PMID- 29810056
TI - The Early Diagnosis of Consumption.
PMID- 29810057
TI - The Degenerate Infant.
PMID- 29810058
TI - Nursing Section.
PMID- 29810060
TI - Progress in Fevers.
PMID- 29810059
TI - On Boldness in the Treatment of Heart Disease.
PMID- 29810061
TI - Progress in Surgery of the Liver, Gall Bladder, and Spleen.
PMID- 29810062
TI - Practical Departments.
PMID- 29810063
TI - Annotations.
PMID- 29810064
TI - Progress in Bacteriology.
PMID- 29810065
TI - Diseases of the Heart and Circulation.
PMID- 29810067
TI - Progress in Abdominal Surgery.
PMID- 29810066
TI - The Nature and Physiological Action of Radium Emanations and Rays.
PMID- 29810069
TI - Progress in Ophthalmology.
PMID- 29810068
TI - On a Method of Infant-Feeding by Home-Made Humanised Milk.
PMID- 29810070
TI - Nursing Section.
PMID- 29810071
TI - Glances at the Hospitals.
PMID- 29810072
TI - New Appliances and Things Medical.
PMID- 29810073
TI - Continuous Local Infection.
PMID- 29810074
TI - Annotations.
PMID- 29810076
TI - British Institutions for the Care of the Inebriate.-VII.
PMID- 29810077
TI - The Importance of Recognising Mild Cases of Diphtheria.
PMID- 29810075
TI - Notes and News.
PMID- 29810078
TI - Danish Medical Institutions.
PMID- 29810079
TI - Criminal Responsibility of the Insane.
PMID- 29810080
TI - Movable Kidney.
PMID- 29810081
TI - What We Owe to Experiments on Animals: II. Pathology, Bacteriology, and
Therapeutics (continued).
PMID- 29810082
TI - The Christmas Pudding.
PMID- 29810084
TI - Annotations: A Doctor and His Hobby.-Whole Bread.-The Influence of Heredity.
PMID- 29810083
TI - East and West: Chapter XIII.-Alicia Makes Surrender.
PMID- 29810085
TI - A Christ's Mass in Hospital.
PMID- 29810086
TI - Peace and Goodwill.
PMID- 29810087
TI - A Halt in Life's March.
PMID- 29810088
TI - A Jumble of Hospital Horrors.
PMID- 29810090
TI - A Children's Paradise.
PMID- 29810089
TI - Professional Notes.
PMID- 29810091
TI - Notes and News: Proposed Infectious Diseases Hospital for Chelmsford-Hospital
Help in Kind.-Cheyne Hospital for Incurable Children-Mr. Gladstone's Fever
Article-Vacancies.-The Coventry and Warwickshire Hospital.-The Lady Strangford
Hospital at Port Said-Conference of Delegates from Board of Guardians, etc., etc.
PMID- 29810092
TI - Scarlet Fever Convalescents.
PMID- 29810093
TI - National Pension Fund.
PMID- 29810094
TI - East and West: Chapter IX. Facing the Question.
PMID- 29810095
TI - Doctors and Patients.
PMID- 29810096
TI - Annotations: The Science of Superstition.-A Salt Water Supply for Edinburgh.-The
Phonograph.
PMID- 29810097
TI - The Sufferings of Christ.
PMID- 29810098
TI - Cottage Hospitals.
PMID- 29810099
TI - The Editor's Letter-Box.
PMID- 29810100
TI - The Common Accidents of Every-Day Life.
PMID- 29810101
TI - Fingers and Brains.
PMID- 29810102
TI - Notes and News: The Parkes Museum.-Mr. G. Herring's Jubilee Offering for the
North-West London Hospital.-Meeting of the Medico-Psychological Association at
Edinburgh.-Montrose Royal Asylum.-University College Hospital and Mr. Quain's
Legacy.-Vacancies, etc., etc.
PMID- 29810103
TI - The Editor's Letter-Box.
PMID- 29810104
TI - Pulmonary Consumption.
PMID- 29810105
TI - Mr. Besant's New Book.
PMID- 29810106
TI - Medicine Men of the World: Chapter IV. Savage and Civilised Practitioners.
PMID- 29810107
TI - Female Medical Aid to the Women of India.
PMID- 29810108
TI - Professional Notes.
PMID- 29810109
TI - A Discussion on Hospital Construction.
PMID- 29810110
TI - From Friends across the Sea.
PMID- 29810111
TI - Lady Cooks for Hospitals.
PMID- 29810112
TI - The Sick, the Churches, and the Hospitals.
PMID- 29810113
TI - Notes and News.
PMID- 29810114
TI - New Sources of Income for the Hospitals.
PMID- 29810115
TI - East and West: Chapter XII.-David's Hour of Triumph.
PMID- 29810116
TI - The Registration of Qualified Nurses.
PMID- 29810117
TI - Clinical and Therapeutic Notes: Pyrexia and Anti-Pyretics.
PMID- 29810118
TI - London's Great Problem Solved.
PMID- 29810119
TI - A County Hospital.
PMID- 29810120
TI - The Hydrobromates.
PMID- 29810121
TI - Annotations: A Merry Christmas.-The Compliments of the Season.-L200 A-Year for a
Hospital Physician.
PMID- 29810122
TI - The Spirit Bottle.
PMID- 29810123
TI - London's Churches, Chapels, and People.
PMID- 29810124
TI - The National Pension Fund for Nurses and Hospital Officials.
PMID- 29810125
TI - Common Accidents of Every-Day Life: Accident to a Child in a Perambulator.
PMID- 29810126
TI - Palmistry Notes.
PMID- 29810127
TI - Not Alms, but a Friend.
PMID- 29810128
TI - Hospital Construction.
PMID- 29810130
TI - Recollections of Hospital Life.
PMID- 29810129
TI - Professional Notes.
PMID- 29810131
TI - The Physic Bottle.
PMID- 29810132
TI - Medicine Men of the World: Chapter V.-Savage and Civilised Practitioners.
PMID- 29810133
TI - To Our Readers.
PMID- 29810135
TI - The Sufferings of Christ.
PMID- 29810134
TI - Nurses' Earnings.
PMID- 29810136
TI - Dreadnought Hospital.
PMID- 29810137
TI - The Matrons' Corner.
PMID- 29810140
TI - Common Accidents of Every-Day Life: Bones, Fractures, Dislocations.
PMID- 29810138
TI - Annotations: Mrs. Partington at the Lancet Office.-State-Supported Doctors.
PMID- 29810139
TI - Opinions of the Press.
PMID- 29810142
TI - Reminiscences of the Insane.
PMID- 29810141
TI - East and West: Chapter XI.
PMID- 29810143
TI - Notes and News: Brompton Hospital for Consumption.-Vacancies.-Salford and
Pendleton Royal Hospital.-Woolsorter's Disease.-The Sick, the Churches, and the
Hospitals.-The Present Versus the Stone Age.-The Manchester Hospital Saturday and
Sunday Committees' Fund.-Madame Lind-Goldschmidt and the Worcester Infirmary.
Treatment of Consumption by Sulphur, etc.
PMID- 29810144
TI - A Call for Recruits from Clergy and People.
PMID- 29810145
TI - Woman at Home.
PMID- 29810147
TI - East and West: Chapter VI.
PMID- 29810146
TI - The Sick, the Churches, and the Hospitals.
PMID- 29810148
TI - The National Pension Fund.
PMID- 29810149
TI - Notes and News: Royal Infirmarcy, Liverpool.-Nurses Hours of Work.-The Hospitals
Association.-Teaching the Blind to Read in China.-Evil Effects of Regular Habits.
Hydrophobia.-Sir Andrew Clark's Scheme for Supporting Hospitals.-As Others See
Us.-Mutual Friendly Aid Society.-Circular Wards in Hospitals.-St.Mary's Cottage
Hospital, Northam, Southampton.-The Case of Miss Buchanan.-Miscellaneous Items,
&c., &c.
PMID- 29810150
TI - Architects, Hospitals, and Asylums.
PMID- 29810151
TI - The Sufferings of Christ.
PMID- 29810152
TI - Annotations: "Go Thou and Do Likewise."-Intelligent Reciprocity.-Farcical
Inquests.
PMID- 29810153
TI - From Friends across the Sea.
PMID- 29810154
TI - Incidents of Animal Life.
PMID- 29810155
TI - Clinical and Therapeutic Notes: I.-"For the Blood Is the Life".
PMID- 29810156
TI - Palmistry Notes.
PMID- 29810157
TI - Medical Science at Perth.
PMID- 29810159
TI - The Sufferings of Christ.
PMID- 29810158
TI - Hospital Depression and the Registration of Nurses.
PMID- 29810161
TI - Cold Feet.
PMID- 29810160
TI - East and West: Chapter IV.
PMID- 29810164
TI - The National Pension Fund.
PMID- 29810163
TI - Coming of Age.
PMID- 29810162
TI - At the Society of Arts on Wednesday.
PMID- 29810165
TI - Annotations: "Granny."-Why Die of Fever?-"Brief Life" for the Doctor.
PMID- 29810166
TI - Oliver Wendell Holmes.
PMID- 29810167
TI - Discussion on the Pension Fund Paper.
PMID- 29810168
TI - Notes and News: Miscellaneous Items.-Outbreak of Scarlet Fever at Liverpool.
Extension of the Royal South Hants Infirmary.-The Oswestry Cottage Hospital.
Hospital Saturday at Colchester.-Noble's Isle of Man Hospital.-The Outbreak of
Small-Pox at Sheffield.-Male Nurses for Hospital Patients.-Books and Newspapers
for Hospitals.-Ladies as Dentists.-Kitchen Gardening at the Milton Fever
Hospital.-Vacancies.-The New Circular Hospital at Hastings.-A Resignation.-Church
Clergymen and Nonconformist Ministers.-The Late Mr. W. C. Lysaght.-A Munificent
Bequest.-Proposed Small-Pox Hospital for Dundee.-Probationers and Kitchen Work.
The Montrose Asylum Board.-A Hospital Appointment, etc.
PMID- 29810169
TI - Oliver Wendell Holmes.
PMID- 29810170
TI - Supper and Sleep.
PMID- 29810171
TI - Hospital Statistics: Still More Details Wanted.
PMID- 29810172
TI - Annotations: Coroners: Legal or Medical?-Miss Twining on Village Life.-Ladies,
Physic, and Mr. Ruskin.
PMID- 29810173
TI - Notes and News: Donations.-Hospital Appointments.-The Queen's Hospital,
Birmingham.-The Hospitals Association Report and Noties.-New Hospital
Subscriptions.-Vacancies.-The Sheffield Corportion and the Small-Pox Hospital.
Working Class Hospital Collections.-The Charity Organisation Society.-Floral and
Musical Service.-Dissenters and the Hospital Sunday Collections, etc., etc.
PMID- 29810174
TI - The National Pension Fund.
PMID- 29810176
TI - Our Hospitals as Patients Find Them.
PMID- 29810175
TI - Abstract of Introductory Lecture Delivered at St. Mary's Hospital.
PMID- 29810177
TI - An Experiment in Sociology.
PMID- 29810178
TI - Medicine Men of the World.
PMID- 29810180
TI - Professional Notes.
PMID- 29810179
TI - East and West: Chapter II. David and Mary Jardine.
PMID- 29810181
TI - The Sufferings of Christ.
PMID- 29810182
TI - Patients and Doctors.
PMID- 29810183
TI - Hints for Probationer Nurses.
PMID- 29810184
TI - Dairies Old and New.
PMID- 29810185
TI - Modern Drug Laboratories.
PMID- 29810186
TI - Clinical and Therapeutic Notes.
PMID- 29810187
TI - A Noble Profession: Nursing the Sick: The Nursing Sisters of St. Mary, New Kent
Road.
PMID- 29810188
TI - London's Problem Solved: Work for the Unemployed-Hope for All.
PMID- 29810189
TI - Homes in the Hills for Nursing Sisters.
PMID- 29810191
TI - A Proposed British Nursing Association.
PMID- 29810190
TI - The Case of Miss Buchanan.
PMID- 29810192
TI - The National Pension Fund.
PMID- 29810193
TI - London's Problem Solved.
PMID- 29810195
TI - Notes and News.
PMID- 29810194
TI - Annotations: A Great Opportunity.-An Indelible Dishonour.-Are We a Capable
People?
PMID- 29810197
TI - The Oracles of Medicine.
PMID- 29810196
TI - East and West: Chapter X.
PMID- 29810199
TI - Notes and News: Miscellaneous Items.-Trade Societies Demonstrations.-Hospital
Furnishing.-The Preston Infirmary.-Vacancies.-Open-Air Concert.-"First Aid to the
Wounded" Examinations.-Hospital Finances.-Hospital Extension Scheme in Aberdeen.
The Hospital Association.-The Foresters' Societies and the Hospitals, etc., etc.
PMID- 29810198
TI - Consumption: Climatic Treatment.
PMID- 29810200
TI - The Common Accidents of Every-Day Life: Infancy.
PMID- 29810201
TI - The Matrons' Corner.
PMID- 29810202
TI - Professional Notes.
PMID- 29810203
TI - Cruel Treatment of a Female Patient by Her Parents.
PMID- 29810204
TI - Oliver Wendell Holmes.
PMID- 29810205
TI - East and West.
PMID- 29810206
TI - The Consumption of Spirits.
PMID- 29810207
TI - Sick Nursing in the Sixteenth Century.
PMID- 29810209
TI - Annotations: Microbes.-A Strange Locum Tenens.-Compulsory Notification of
Infectious Diseases.
PMID- 29810208
TI - The St. John's Ambulance Carriage.
PMID- 29810210
TI - Bacteriology.
PMID- 29810211
TI - Recent Drugs and Remedies: Electricity.
PMID- 29810212
TI - The Editor's Letter-Box.
PMID- 29810213
TI - The Sufferings of Christ.
PMID- 29810214
TI - The National Pension Fund.
PMID- 29810215
TI - A National Pension Fund for Hospital Nurses and Officials.
PMID- 29810216
TI - Healing the Sick.
PMID- 29810217
TI - Sick Soldiers and Their Nurses.
PMID- 29810218
TI - The Sufferings of Christ.
PMID- 29810220
TI - Science and Socialism.
PMID- 29810219
TI - East and West: Chapter III. Lavender Lane.
PMID- 29810221
TI - A Nurse's View of Hospital Patients.
PMID- 29810222
TI - Old Linen and Calico.
PMID- 29810223
TI - Cruel Treatment of a Lunatic by Her Parents.
PMID- 29810225
TI - Annotations: New Wars: New Weapons.-The Digestibility of Food.-Butter or
Butterine.
PMID- 29810224
TI - Notes and News: Miscellaneous Items.-The Hospitals Association Meetings-Sir
Andrew Clark and the London Hospital.-Mahometanism and Christianity.-Vacancies.-A
Football Match.-Experimental Laboratories.-West of Scotland Seaside Homes.
Smoking.-The Scarlet Fever Epidemic.-The Grimsby and District Hospital.-The Great
Northern Central Hospital.-"The Golden Hand."-The Royal Portsmouth Hospital.-Miss
Twining on Village Life.-Robbing a Hospital Collecting Cage.-The Truth Christmas
Toy Fund.-Deputation of Unemployed to the Local Government Board.
PMID- 29810227
TI - Small-Pox Specifics-Is Vaccination a Failure.
PMID- 29810226
TI - Professional Notes.
PMID- 29810228
TI - The Keighley Cottage Hospital.
PMID- 29810229
TI - Small-Pox at the Perth Infirmary.
PMID- 29810230
TI - Annotations: Lady Doctors in France.-The Dangers of the Dentist's Chair.-Truth
and Justice-And Houses to Let.
PMID- 29810231
TI - Workpeople's Subscriptions.
PMID- 29810232
TI - The Health of Probationers.
PMID- 29810233
TI - A Lady Cook.
PMID- 29810235
TI - A Father's Opportunity.
PMID- 29810234
TI - Liquid Foods.
PMID- 29810236
TI - Medicine Men of the World: II. Medicine Men in Savage Lands.
PMID- 29810238
TI - Professional Notes.
PMID- 29810237
TI - The Hospitals and the Public.
PMID- 29810239
TI - Women Doctors for Indian Women.
PMID- 29810240
TI - Scarlet Fever-The Dangers of Convalescence.
PMID- 29810242
TI - Notes and News: Miscellaneous Items.-The Whale at Tilbury Fort.-The Hospitals
Association Meetings.-Vacancies.-Condensed Milk.-Dearth of Doctors in Russia.-The
Scarlet Fever Epidemic.-The Venus of Milo, etc., etc.
PMID- 29810241
TI - The Common Accidents of Every-Day Life.
PMID- 29810243
TI - Sick Nursing in the Sixteenth Century.
PMID- 29810244
TI - The Registration of Nurses.
PMID- 29810245
TI - The Sufferings of Christ.
PMID- 29810247
TI - Notes and News: The Spectroscope in Poisoning Cases.-Oswestry Hospital Dispute.
Bad Bedding and Fever.-Innoculation Experiments.-Blackburn and East Lancashire
Infirmary and Its Musical Friends.-Blair Convalescent Hospital.-The "Engineering
and Building Record."-The Victoria Hospital for Children and Advertisements.
Metropolitan Hospital Sunday Fund.-Vacancies.-The City of Dublin Hospital and Its
Medical Staff-A Protest.-St. George's Hospital and Its Critics.-Londonderry City
and Country Infirmary and Its Fever Hospital, etc., etc.
PMID- 29810246
TI - East and West: Chapter V. A. Poet in London.
PMID- 29810249
TI - Recent Drugs and Appliances.
PMID- 29810248
TI - The Sufferings of Christ.
PMID- 29810251
TI - Opinions of the Press.
PMID- 29810250
TI - Annotations: Precocity and Health.-Colour-Blindness in the Mercantile Marine.
More Home Claims.-A New Fever Hospital.
PMID- 29810252
TI - East and West: Chapter VIII. An Old Friend with a New Face.
PMID- 29810254
TI - Woman at Home.
PMID- 29810253
TI - Clinical and Therapeutic Notes: II.-Blood Corpuscles and Fibrin.
PMID- 29810255
TI - The German Crown Prince.
PMID- 29810256
TI - A Discussion on Hospital Construction.
PMID- 29810257
TI - Modern Drug Laboratories.
PMID- 29810258
TI - Van Houten's Cocoa v. Whole Meal Bread.
PMID- 29810259
TI - A Woman on "Woman at Home".
PMID- 29810260
TI - Dr. Jaeger's Clothing.
PMID- 29810261
TI - Medicine Men of the World: Chapter VII.
PMID- 29810262
TI - The Penalties of Weakness.
PMID- 29810263
TI - From Day to Day.
PMID- 29810264
TI - The British Nurses Association.
PMID- 29810265
TI - Notes and News.
PMID- 29810267
TI - Aids to Nursing: Bed and Bedding.
PMID- 29810266
TI - Nursing in East London.
PMID- 29810268
TI - The Sufferings of Christ.
PMID- 29810269
TI - Everybody's Page.
PMID- 29810270
TI - The National Pension Fund: Further Progress.
PMID- 29810271
TI - Rachel Challice's Vow.
PMID- 29810272
TI - Annotations: Cows for Cottagers.-Still on Trial.-Precept and Example at
Paddington.
PMID- 29810273
TI - Free Nursing for the Poor.
PMID- 29810275
TI - The Science of Bedroom Fires.
PMID- 29810274
TI - Scotch Physicians and Surgeons: Sir Robert Christison.
PMID- 29810276
TI - Annotations: Wicked or Weak?-Cock Fighting.-Early Marriages.
PMID- 29810278
TI - Night Attire.
PMID- 29810277
TI - Aids to Nursing.
PMID- 29810279
TI - Turning over New Leaves.
PMID- 29810280
TI - Everybody's Page.
PMID- 29810281
TI - Notes and News.
PMID- 29810282
TI - Rachel Challice's Vow.
PMID- 29810283
TI - Round about the Asylums: American Reports: State Lunatic Hospital at Harrisburg,
Penn.; Lunatic Hospital, Northampton, Mass.; Lunatic Hospital, Taunton, Mass.;
the State Asylum, Buffalo.
PMID- 29810285
TI - The Dismissed Paris Nurses.
PMID- 29810286
TI - The Principles of Progress.
PMID- 29810284
TI - Difficult Cases.
PMID- 29810287
TI - The Sufferings of Christ.
PMID- 29810288
TI - Woman at Home.
PMID- 29810289
TI - Palmistry Notes: Hands, and What They Teach.
PMID- 29810291
TI - Matrons' Corner.
PMID- 29810290
TI - On Hospital Construction.
PMID- 29810292
TI - A Gentleman with a Grievance.
PMID- 29810293
TI - Notes and News: Hospitals in 1698-1703.-Nurses' Homes.-Fifth of November
Accidents.-Vacancies.-Mirth and Madness.-Frederick Street Industrial School and
the Consumption Hospital, Belfast.-Hospital Sunday Collection at Birmingham.
Worth Making a Note of !-East Suffolk and Ipswich Hospital and Its Medical
Officers.-Canon Machell on the Hospital Sunday Fund.-The Judge and the Hospital.
Collections in London on Hospital Sunday, &c., &c.
PMID- 29810294
TI - The Sick, the Churches, and the Hospitals.
PMID- 29810295
TI - The Common Accidents of Every Day Life.
PMID- 29810296
TI - Professional Notes.
PMID- 29810297
TI - The Printing Trade and the Hospitals.
PMID- 29810298
TI - Medicine Men of the World: III. Medicine Men in Savage Lands.
PMID- 29810299
TI - Annotations: A Dangerous Climate.-Underclothing.-Neighbours or Strangers?
PMID- 29810300
TI - The National Pension Fund.
PMID- 29810301
TI - The Sufferings of Christ.
PMID- 29810302
TI - East and West: Chapter VII. An Explorer.
PMID- 29810303
TI - The Sufferings of Christ.
PMID- 29810304
TI - Annotations: Irish Distressed Ladies.-Vegetarianism.-"Sweating".
PMID- 29810306
TI - Turning over New Leaves.
PMID- 29810305
TI - Palmistry Notes.
PMID- 29810307
TI - Lying-In-Hospitals.
PMID- 29810308
TI - The Backbones of the Poor.
PMID- 29810311
TI - Rachel Challice's Vow.
PMID- 29810309
TI - British Nursing Association.
PMID- 29810310
TI - English Hospitals on the Continent.
PMID- 29810312
TI - Notes and News: Proposed Hospital Sunday for Iadia.-The Dental Hospital,
Leicester Square.-National Association for Supplying Fema'e Medical Aid to the
Women of India, etc.
PMID- 29810314
TI - Free Training in Midwifery.
PMID- 29810313
TI - The Present State of Metropolitan Lying-In Hospitals.
PMID- 29810315
TI - Aids to Nursing: Food and Feeding.
PMID- 29810316
TI - Scotch Physicians and Surgeons: Sir Robert Christison.
PMID- 29810317
TI - The Art of Nursing as a Part of the Education of Women.
PMID- 29810318
TI - The Morals of the Workshop.
PMID- 29810320
TI - Everybody's Page: How to Live to One Hundred.-A Word on Exercise.-A Welcome
Reprieve, etc.
PMID- 29810319
TI - Rachel Challice's Vow: Chapter xiv.-Found.
PMID- 29810321
TI - A Bequest to the Middlesex Hospital.
PMID- 29810323
TI - Homes Abroad for Nurses.
PMID- 29810322
TI - The National Pension Fund.
PMID- 29810324
TI - Nursing in America.
PMID- 29810325
TI - Registration: A Word to Nurses.
PMID- 29810326
TI - Annotations: The World and Its Pedagogues.-An Experimental Test.-Can a Man of
Science Wonder?
PMID- 29810327
TI - Convalescent Home, Saltburn-By-The-Sea.
PMID- 29810329
TI - Winter Nursing Engagements.
PMID- 29810328
TI - Aids to Nursing: Noise.
PMID- 29810330
TI - Notes and News.
PMID- 29810331
TI - The St. John's Hospital Scandal.
PMID- 29810332
TI - The Late Dr. Anna Kingsford.
PMID- 29810333
TI - Admission to Be Only by Payment.
PMID- 29810334
TI - Round about the Asylums-II.
PMID- 29810336
TI - The Norwich Collection of Calculi.
PMID- 29810335
TI - The Hospitals Association and Its Seceding Offshoot.
PMID- 29810337
TI - The Sufferings of Christ.
PMID- 29810338
TI - Huxley's Way of Life.
PMID- 29810339
TI - Homes Abroad for Nurses.
PMID- 29810340
TI - Aids to Nursing.
PMID- 29810341
TI - Scotch Physicians and Surgeons: Sir Robert Christison.
PMID- 29810342
TI - The Common Accidents of Every-Day Life: Fractures and Dislocations.
PMID- 29810343
TI - Annotations: Bricks or Daisies?-Doctors in Cotton Wadding.-Home Colonisation.
PMID- 29810345
TI - Everybody's Page.
PMID- 29810344
TI - The Glasgow Hospital for Sick Children.
PMID- 29810346
TI - Small-Pox Cases at a Public Meeting.
PMID- 29810347
TI - Palmistry Notes.
PMID- 29810348
TI - The Countess of Dufferin's Fund.
PMID- 29810349
TI - An Apology for the East Wind.
PMID- 29810351
TI - Employment in India.
PMID- 29810350
TI - Winter Nursing Engagements.
PMID- 29810352
TI - Notes and News.
PMID- 29810353
TI - Rachel Challice's Vow.
PMID- 29810354
TI - The Duty of the State.
PMID- 29810355
TI - Notes and News: City of London Lying-In Hospital.-Proposed Cottage Hospital,
Falkirk.-The Late Dr. Woollett and the Newport Infirmary.-British Nurses'
Association Meeting.-A Canard Contradicted.
PMID- 29810356
TI - The Market Value of Conscience.
PMID- 29810357
TI - Brains at a Premium.
PMID- 29810358
TI - Rachel Challice's Vow.
PMID- 29810359
TI - The Sufferings of Christ.
PMID- 29810360
TI - Aids to Nursing.
PMID- 29810362
TI - The National Pension Fund for Nurses.
PMID- 29810361
TI - Love-Lights.
PMID- 29810363
TI - Convalescent Homes in the Eastern Counties.
PMID- 29810364
TI - Everybody's Page.
PMID- 29810365
TI - Annotations: A New Occupation for Women.-The Morals of the Charitable.-What
Dreams Are Made of.
PMID- 29810366
TI - The Hospitals Association: The Relation of the Medical School to the Hospital.
PMID- 29810367
TI - The National Pension Fund for Nurses and Hospital Officials.
PMID- 29810368
TI - The Sufferings of Christ.
PMID- 29810369
TI - The Limits and Influences of a Nurse's Vocation.
PMID- 29810370
TI - Loans Versus Gifts.
PMID- 29810372
TI - Examinations for the Uncertificated.
PMID- 29810371
TI - Royal London Ophthalmic Hospital.
PMID- 29810373
TI - Annotations: An Inquiry Answered.-May a Layman Do Good?-The Charity Dispensing
Society.
PMID- 29810374
TI - The Battle of the "Pathies".
PMID- 29810375
TI - Christmas at the Hospitals: St. Thomas's.-British Home for Incurables.-South
Devon Hospital.
PMID- 29810376
TI - Notes for Practitioners.
PMID- 29810377
TI - Everybody's Page.
PMID- 29810378
TI - The Bristol Royal Infirmary.
PMID- 29810379
TI - A Working-Class View of Our Hospitals.
PMID- 29810380
TI - Return.
PMID- 29810381
TI - Rachel Challice's Vow.
PMID- 29810382
TI - Notes and News.
PMID- 29810383
TI - Virtuous Journalism.
PMID- 29810385
TI - Comparative Expenditure of Various Hospitals for the Year 1886.
PMID- 29810384
TI - Scotch Physicians and Surgeons: Sir James Y. Simpson.
PMID- 29810386
TI - The End and the Beginning.
PMID- 29810387
TI - Palmistry Notes.
PMID- 29810388
TI - Home Rule for Nurses.
PMID- 29810389
TI - Common Accidents of Every-Day Life: Burns and Scalds.
PMID- 29810390
TI - Notes and News.
PMID- 29810392
TI - The Noblest Monument of the Queen's Reign.
PMID- 29810391
TI - Recollections of Hospital Life.
PMID- 29810393
TI - Annotations: Progress in Co-Operation.-St. John's Hospital for Diseases of the
Skin.-Children's Dress, etc.
PMID- 29810394
TI - A Ghastly Experience.
PMID- 29810395
TI - Retrospect.
PMID- 29810396
TI - Christmas Entertainments at Hospitals.
PMID- 29810398
TI - Clinical and Therapeutic Notes: Pyrexia and Anti-Pyretics.
PMID- 29810397
TI - Men and Marriage.
PMID- 29810399
TI - Incidents of Animal Life.
PMID- 29810400
TI - Palmistry Notes.
PMID- 29810401
TI - The Poor and Their Doctors.
PMID- 29810402
TI - The Sufferings of Christ.
PMID- 29810403
TI - A Working-Class View of Our Hospitals.
PMID- 29810404
TI - Notes and News: The Hospitals Association.-The Jenny Lind Hospital.-Dr. H Burnes
and Hospital Abuses-The ChariteHospital, Paris, and the Soeurs Augustines, etc.
PMID- 29810405
TI - Nursery Cares.
PMID- 29810406
TI - Rachel Challice's Vow: Chapter VI.-A Wedding.
PMID- 29810408
TI - Scotch Physicians and Surgeons.
PMID- 29810407
TI - Everybody's Page.
PMID- 29810410
TI - National Pension Fund for Nurses.
PMID- 29810409
TI - The Nursing Mirror.
PMID- 29810411
TI - Talks with Nurses.
PMID- 29810412
TI - Annotations: A Birching Machine.-Hindu Medicine.-Cottage Hospital Rules.
PMID- 29810413
TI - The Norwich Collection of Calculi.
PMID- 29810414
TI - The Common Accidents of Every-Day Life: Fractures and Dislocations.
PMID- 29810415
TI - The Nursing Mirror.
PMID- 29810417
TI - The National Pension Fund for Nurses and Hospital Officials.
PMID- 29810416
TI - Rachel Challice's Vow.
PMID- 29810418
TI - The Sufferings of Christ.
PMID- 29810419
TI - Annotations: Fish as "Brain Food."-A Plea for the Insane.-"Egg-Phosphate" for
over Strained Nerves.-Well-Paid Science.
PMID- 29810420
TI - The Hospitals Association.
PMID- 29810421
TI - Turning over New Leaves.
PMID- 29810422
TI - A Word with the Cook.
PMID- 29810423
TI - At the Hospital Gates.
PMID- 29810425
TI - Jeyes' Disinfectants.
PMID- 29810424
TI - Notes and News.
PMID- 29810427
TI - The Dignity of Medicine.
PMID- 29810426
TI - The Limits and Influences of a Nurse's Vocation.
PMID- 29810428
TI - A Working-Class View of Our Hospitals.
PMID- 29810429
TI - Christmas at the Hospitals.
PMID- 29810430
TI - Medicine Men of the World: Chapter VI.-Savage and Civilized Practitioners.
PMID- 29810431
TI - The National Pension Fund.
PMID- 29810432
TI - The Biology of the Future.
PMID- 29810434
TI - Notes and News.
PMID- 29810433
TI - Christmas at the Hospitals: Ophthalmic Hospital, Moorfields.-Seamen's Hospital,
Greenwich.-Oldham Infirmary.-Clapton Hospital, Wakefield.
PMID- 29810435
TI - The Limits and Influences of a Nurse's Vocation.
PMID- 29810437
TI - Everybody's Page.
PMID- 29810436
TI - Rachel Challice's Vow: Chapter II.-Bereavement.
PMID- 29810438
TI - The Common Accidents of Every-Day Life: Childhood: Greenstick Fracture.
PMID- 29810439
TI - Medical Journalism.
PMID- 29810440
TI - A Working-Class View of Our Hospitals.
PMID- 29810442
TI - Oberbrunnen, a New Mineral Water.
PMID- 29810441
TI - The Practitioner's Clinic: Pyrexia and Anti-Pyretics.
PMID- 29810443
TI - A Little Knowledge.
PMID- 29810444
TI - Annotations: The Women's Jubilee Offering.-The Origin of Genius.
PMID- 29810445
TI - Prayers in Hospitals.
PMID- 29810446
TI - Aids to Nursing: Food and Feeding.
PMID- 29810447
TI - The Nice Nursing Home.
PMID- 29810448
TI - Round about the Asylums. III.
PMID- 29810449
TI - Everybody's Page.
PMID- 29810450
TI - Scotch Physicians and Surgeons: Sir Robert Christison.
PMID- 29810451
TI - Workmen's Contribution to Leeds Infirmary.
PMID- 29810452
TI - Notes and News.
PMID- 29810453
TI - Incompleteness.
PMID- 29810454
TI - Annotations: Bone Setting in the Black Country.-The Lancet and the College of
Physicians.-Emigration and Self-Help.
PMID- 29810455
TI - Trees in Towns.
PMID- 29810456
TI - The British Nurses' Association.
PMID- 29810457
TI - The Convalescent Home at Yarmouth.
PMID- 29810458
TI - Hard and Soft Water.
PMID- 29810459
TI - Another Opinion.
PMID- 29810461
TI - Makers or Labellers.
PMID- 29810460
TI - Rachel Challice's Vow.
PMID- 29810463
TI - Continental Nursing Institutions.
PMID- 29810462
TI - The Sufferings of Christ.
PMID- 29810464
TI - More about Nice.
PMID- 29810465
TI - Asking and Receiving.
PMID- 29810466
TI - Free Training in Midwifery.
PMID- 29810467
TI - The Bees and the Hive.
PMID- 29810468
TI - Difficult Cases.
PMID- 29810469
TI - The Case of Miss Buchanan.
PMID- 29810470
TI - The Amelioration of the Present Condition of Midwives.
PMID- 29810471
TI - Something Attempted: A Retrospect.
PMID- 29810472
TI - Scotch Physicians and Surgeons: Sir James Y. Simpson.
PMID- 29810474
TI - The National Pension Fund and the Registration of Nurses.
PMID- 29810473
TI - Hospital Management and Methods of Raising Income.
PMID- 29810475
TI - Misapprehensions v. Facts.
PMID- 29810476
TI - Notes and News.
PMID- 29810477
TI - The Sufferings of Christ.
PMID- 29810478
TI - Annotations: "As They Face the Billows."-Suffering Children.-Epilepsy and
Responsibiilty.
PMID- 29810479
TI - The Limits and Influences of a Nurse's Vocation.
PMID- 29810480
TI - Christmas at the Hospitals: Guy's.-University College.-Central London Throat and
Ear.-The South Infirmary, Cork.
PMID- 29810481
TI - Professional Notes.
PMID- 29810483
TI - Every-Day Science.
PMID- 29810482
TI - Rachel Challice's Vow: Chapter I.-The Vow.
PMID- 29810484
TI - Rachel Challice's Vow.
PMID- 29810486
TI - Is There Any Help?
PMID- 29810485
TI - Difficult Cases.
PMID- 29810487
TI - Annotations: A Medical Philosopher.-A Scientific Preacher.-The Intellectual Woman
of the Period.
PMID- 29810488
TI - Notes and News.
PMID- 29810490
TI - Hints about Breakfast.
PMID- 29810489
TI - Medical Assurance Annuity Society.
PMID- 29810491
TI - A Laudable Ambition, etc.
PMID- 29810492
TI - Oswestry Hospital Scandal.
PMID- 29810493
TI - The Practitioner's Clinic: Concerning Congestion.
PMID- 29810495
TI - Everybody's Page.
PMID- 29810494
TI - The Old in the New.
PMID- 29810496
TI - The Medicine of Work.
PMID- 29810497
TI - Aids to Nursing.
PMID- 29810498
TI - The Fund Incorporated.
PMID- 29810499
TI - What the Insurance World Say.
PMID- 29810500
TI - Scotch Physicians and Surgeons: Sir James Y. Simpson.
PMID- 29810501
TI - Convalescent Homes in the Eastern Counties.
PMID- 29810502
TI - The National Pension Fund Established.
PMID- 29810503
TI - Pertinent Questions.
PMID- 29810504
TI - "The Hospital" Nursing Mirror.
PMID- 29810505
TI - Annotations.
PMID- 29810506
TI - Medical Statistics.
PMID- 29810507
TI - Notes and News.
PMID- 29810508
TI - Progress in Dermatology.
PMID- 29810510
TI - Diseases of the Circulatory System.
PMID- 29810509
TI - The Surface Anatomy of the Heart and Aorta.
PMID- 29810511
TI - Glances at the Hospitals.
PMID- 29810512
TI - Sanatoria: Who Is to Pay?
PMID- 29810513
TI - Antityphoid Inoculation.
PMID- 29810514
TI - Progress in Otology.
PMID- 29810516
TI - Traumatic Hysteria.
PMID- 29810515
TI - Modern Sociology.
PMID- 29810517
TI - The Story of the Insane from Year to Year.
PMID- 29810518
TI - The Sanatorium, Cambridge.
PMID- 29810519
TI - Progress in General Surgery.
PMID- 29810520
TI - Hospital Meetings.
PMID- 29810521
TI - The Climate of Algiers.
PMID- 29810523
TI - Anaemia.
PMID- 29810524
TI - The Army Medical Corps.
PMID- 29810525
TI - My Experience of Suprapubic Lithotomy.
PMID- 29810527
TI - Progress in Neurology.
PMID- 29810526
TI - Glances at the Hospitals.
PMID- 29810529
TI - Progress in Ophthalmology.
PMID- 29810528
TI - "The Hospital" Nursing Mirror.
PMID- 29810530
TI - "Recreative" Reading!!
PMID- 29810531
TI - Notes and News.
PMID- 29810532
TI - The Treatment of Post-Partum Haemorrhage.
PMID- 29810533
TI - Annotations.
PMID- 29810534
TI - Modern Sociology.
PMID- 29810535
TI - Military Benevolent Fund.
PMID- 29810537
TI - Intestinal Sand.
PMID- 29810536
TI - The Hospitals and Dispensaries in Burma.
PMID- 29810539
TI - Notes and News.
PMID- 29810538
TI - The Treatment of Placenta Praevia.
PMID- 29810540
TI - Open-Air Treatment in London.
PMID- 29810541
TI - Intra-Venous Saline Infusion in Delirium Tremens.
PMID- 29810543
TI - Arsenic in the Hair.
PMID- 29810542
TI - The Nutritive Value of Rectal Feeding.
PMID- 29810544
TI - Palpation of the Uterine Appendages.
PMID- 29810545
TI - Cacodylic Acid.
PMID- 29810546
TI - Bubonic Plague at the Cape.
PMID- 29810547
TI - Progress of Surgery.
PMID- 29810548
TI - "The Hospital" Nursing Mirror.
PMID- 29810549
TI - Progress in Medicine.
PMID- 29810551
TI - Hospital Meetings.
PMID- 29810550
TI - National Hospital for the Paralysed and Epileptic.
PMID- 29810552
TI - Progress in Fevers.
PMID- 29810553
TI - Multiple Fibroids of the Uterus.
PMID- 29810554
TI - Progress in Fevers.
PMID- 29810555
TI - The Civil Hospitals and Dispensaries in the Madras Presidency.
PMID- 29810556
TI - Tuberculosis in the Zoological Gardens.
PMID- 29810557
TI - Progress in Otology.
PMID- 29810558
TI - National Education.
PMID- 29810559
TI - Annotations.
PMID- 29810560
TI - Total Extirpation of the Prostate.
PMID- 29810561
TI - Progress in Gynaecology.
PMID- 29810562
TI - The Heredity Factor in Disease.
PMID- 29810563
TI - Notes and News.
PMID- 29810564
TI - The Treatment of Raynaud's Disease.
PMID- 29810565
TI - Cases from the Royal Hospital for Children and Women, London.
PMID- 29810566
TI - "The Hospital" Nursing Mirror.
PMID- 29810567
TI - Modern Sociology.
PMID- 29810568
TI - Lunacy Work in London and in New York.
PMID- 29810569
TI - On Intussusception.
PMID- 29810570
TI - Glances at the Hospitals.
PMID- 29810571
TI - New Appliances and Things Medical.
PMID- 29810572
TI - The Tobacco Heart.
PMID- 29810573
TI - Notes and News.
PMID- 29810574
TI - Progress in Gynaecology.
PMID- 29810575
TI - "The Hospital" Nursing Mirror.
PMID- 29810576
TI - Some Current in Diet.
PMID- 29810577
TI - The Nature of Mental Derangement.
PMID- 29810578
TI - Danysz's Microbe.
PMID- 29810579
TI - Hospital Meetings.
PMID- 29810580
TI - Disease of the Lungs.
PMID- 29810581
TI - New Appliances and Things Medical.
PMID- 29810582
TI - Progress in Fevers.
PMID- 29810583
TI - Fulminating Appendicitis.
PMID- 29810584
TI - The Beer Bill.
PMID- 29810585
TI - Gas; Ether; Chloroform.
PMID- 29810586
TI - Hospital Meetings, &c.
PMID- 29810587
TI - Progress in Psychiatry.
PMID- 29810588
TI - Annotations.
PMID- 29810589
TI - Progress in Diseases of the Nervous System.
PMID- 29810590
TI - The Tub in Obstetrics.
PMID- 29810591
TI - "The Hospital" Nursing Mirror.
PMID- 29810592
TI - Notes and News.
PMID- 29810593
TI - Operation for Adiposity.
PMID- 29810594
TI - New Appliances and Things Medical.
PMID- 29810595
TI - The Royal Victoria Hospital, Belfast.
PMID- 29810597
TI - Fibroids and Pregnancy.
PMID- 29810596
TI - Medical Education.
PMID- 29810598
TI - The Enemies of the Hospitals.
PMID- 29810599
TI - Bathing in Fevers.
PMID- 29810600
TI - Feeding in Diphtheria.
PMID- 29810601
TI - "Cramming" in Phthisis.
PMID- 29810603
TI - Progress in Disease of Digestive Organs.
PMID- 29810604
TI - The Vulnerability of the Apices of the Lungs.
PMID- 29810605
TI - Rheumatism and Gout.
PMID- 29810602
TI - The Treatment of Ascites.
PMID- 29810607
TI - Prince of Wales's Hospital Fund for London.
PMID- 29810606
TI - The Teeth and Growth of Children.
PMID- 29810609
TI - New Appliances and Things Medical.
PMID- 29810608
TI - The Cure of the "Incurable".
PMID- 29810610
TI - Saline Infusion in Puerperal Eclampsia.
PMID- 29810611
TI - Vaccinia and Smallpox.
PMID- 29810612
TI - Progress of Surgery.
PMID- 29810613
TI - Local Anaesthesia.
PMID- 29810614
TI - The University of London and Medical Education.
PMID- 29810615
TI - Progress in Skin Diseases.
PMID- 29810616
TI - "The Hospital" Nursing Mirror.
PMID- 29810617
TI - Annotations.
PMID- 29810618
TI - Pancreatitis.
PMID- 29810619
TI - Notes and News.
PMID- 29810620
TI - Hospital Meetings.
PMID- 29810621
TI - Population and Public Health.
PMID- 29810622
TI - University College Hospital.
PMID- 29810623
TI - Practical Departments.
PMID- 29810624
TI - The Treatment of Rupture of the Kidney.
PMID- 29810625
TI - The X-Rays.
PMID- 29810626
TI - The Hospital Sunday.
PMID- 29810627
TI - Retention of Urine in the Child.
PMID- 29810628
TI - The Medical, Surgical, and Hygienic Exhibition, 1901.
PMID- 29810629
TI - Progress in Skin Diseases.
PMID- 29810630
TI - Sleeping on Duty.
PMID- 29810631
TI - The "Infamy" of Advertising.
PMID- 29810633
TI - Annotations.
PMID- 29810632
TI - Special Hospital Sunday Supplement.
PMID- 29810634
TI - Notes and News.
PMID- 29810635
TI - Progress in General Surgery.
PMID- 29810636
TI - Progress in Disease of Digestive Organs.
PMID- 29810637
TI - The Northampton General Infirmary.
PMID- 29810638
TI - "The Hospital" Nursing Mirror.
PMID- 29810639
TI - Mr. Carnegie and the Scottish Universities.
PMID- 29810640
TI - Hospital Meetings.
PMID- 29810641
TI - The Bolingbroke Hospital, Wandsworth Common.
PMID- 29810642
TI - The Treatment of Post-Partum Haemorrhage.
PMID- 29810643
TI - Progress of Surgery.
PMID- 29810644
TI - Annotations.
PMID- 29810645
TI - A Contribution to the AEtiology of Diphtheria.
PMID- 29810646
TI - The Story of the Insane from Year to Year.
PMID- 29810647
TI - The Treatment of Leprosy.
PMID- 29810648
TI - Progress in State Medicine.
PMID- 29810650
TI - Glances at the Hospitals.
PMID- 29810649
TI - Erratum: Persulphate of Soda.
AB - [This corrects the article on p. 67 in vol. 30.].
PMID- 29810651
TI - St. Catherine's Home for Cancer and Incurable Diseases, Bradford, Yorkshire.
PMID- 29810652
TI - Arsenic in Beer.
PMID- 29810654
TI - Notes and News.
PMID- 29810653
TI - The Story of the Insane from Year to Year.
PMID- 29810655
TI - New Appliances and Things Medical.
PMID- 29810656
TI - Progress in Psychiatry.
PMID- 29810657
TI - The Health of Croydon.
PMID- 29810658
TI - Hospital Meetings, &c.
PMID- 29810659
TI - Glances at the Hospitals.
PMID- 29810660
TI - Progress in Pediatrics.
PMID- 29810661
TI - The Army Medical Service.
PMID- 29810662
TI - Ichthyol in Eczema.
PMID- 29810663
TI - Notes and News.
PMID- 29810664
TI - Sir T. Lauder Brunton on Arsenic and Alcohol.
PMID- 29810666
TI - The Poor Lady.
PMID- 29810665
TI - "The Hospital" Nursing Mirror.
PMID- 29810667
TI - The Ruchill Hospital, Glasgow.
PMID- 29810668
TI - The Physiology of the Ductless Glands.
PMID- 29810669
TI - Progress in Psychiatry.
PMID- 29810670
TI - "The Hospital" Nursing Mirror.
PMID- 29810671
TI - Progress in Pediatrics.
PMID- 29810672
TI - Hospital Meetings, &c.
PMID- 29810674
TI - A Coroner and His Jury.
PMID- 29810673
TI - Modern Sociology.
PMID- 29810676
TI - Asylum Dysentery.
PMID- 29810675
TI - The Frequency and Fatality of Haemorrhage in Gastric Ulcer.
PMID- 29810677
TI - Modern Sociology.
PMID- 29810678
TI - Practical Departments.
PMID- 29810679
TI - The Diagnosis of Nerve Degeneration.
PMID- 29810680
TI - The Antitoxin Treatment of Diphtheria.
PMID- 29810681
TI - The Pathogenesis and Treatment of Rickets.
PMID- 29810682
TI - Hospital Meetings.
PMID- 29810683
TI - Rheumatism and Gout.
PMID- 29810684
TI - University College Hospital.
PMID- 29810685
TI - Draughts in Hospital Wards.
PMID- 29810686
TI - The State of the Inguinal Glands in Primary Syphilis.
PMID- 29810688
TI - Gonorrhoeal Iritis.
PMID- 29810687
TI - "The Hospital" Nursing Mirror.
PMID- 29810689
TI - Progress in Neurology.
PMID- 29810690
TI - Sanatoria for Consumption.
PMID- 29810691
TI - The International Temperance Congress.
PMID- 29810692
TI - Notes and News.
PMID- 29810694
TI - Progress in Fevers.
PMID- 29810693
TI - The Institutional Treatment of Disease.
PMID- 29810696
TI - Hydropathic and Other Establishments.
PMID- 29810695
TI - Public Baths with Special Appliances.
PMID- 29810697
TI - Progress in Cancer.
PMID- 29810698
TI - New Appliances and Things Medical.
PMID- 29810699
TI - Bacteriology and Water Examination.
PMID- 29810700
TI - Chlorosis.
PMID- 29810701
TI - "The Hospital" Nursing Mirror.
PMID- 29810702
TI - Varicella in Adults.
PMID- 29810704
TI - Notes and News.
PMID- 29810703
TI - Annotations.
PMID- 29810705
TI - The Story of the Insane from Year to Year.
PMID- 29810706
TI - Pseudo-Tuberculosis.
PMID- 29810707
TI - Progress in Bacteriology.
PMID- 29810708
TI - The Pulse in Peritonitis.
PMID- 29810709
TI - Progress in Skin Diseases.
PMID- 29810710
TI - Stockport Infirmary Additions.
PMID- 29810711
TI - Hospital Meetings.
PMID- 29810712
TI - The Habitual Drunkards Bill.
PMID- 29810713
TI - A Pharyngeal Pouch.
PMID- 29810714
TI - Animals on the Stage-And off.
PMID- 29810715
TI - A Hat-Pin Swallowed by an Infant.
PMID- 29810716
TI - Nordrach-On-Dee Sanatorium, Banchory, near Aberdeen.
PMID- 29810717
TI - Anastomotic Openings between Hollow Viscera.
PMID- 29810718
TI - The Diagnosis of an Ovarian Cyst.
PMID- 29810719
TI - The Story of the Insane from Year to Year.
PMID- 29810720
TI - Hospital Meetings.
PMID- 29810722
TI - Abscess of the Brain.
PMID- 29810721
TI - "The Hospital" Nursing Mirror.
PMID- 29810723
TI - Mr. Balfour on Medical Science.
PMID- 29810724
TI - Dying Depositions.
PMID- 29810725
TI - Progress in Skin Diseases.
PMID- 29810727
TI - Progress in Cancer.
PMID- 29810726
TI - Ante-Mortem Invasion by NonPathogenic Microbes.
PMID- 29810728
TI - New Appliances and Things Medical.
PMID- 29810729
TI - The Privy Council and Dr. Irvine.
PMID- 29810730
TI - Practical Departments.
PMID- 29810731
TI - Progress in Fevers.
PMID- 29810733
TI - Stone in the Bladder.
PMID- 29810732
TI - Annotations.
PMID- 29810735
TI - "The Hospital" Nursing Mirror.
PMID- 29810734
TI - Notes and News.
PMID- 29810736
TI - Surface Anatomy of the Thorax.
PMID- 29810737
TI - The Air-Track through the Nose.
PMID- 29810738
TI - The Story of the Insane from Year to Year.
PMID- 29810739
TI - Annotations.
PMID- 29810740
TI - The Doyen of Hospital Secretaries.
PMID- 29810741
TI - Medical Missionaries.
PMID- 29810742
TI - Progress in General Surgery.
PMID- 29810743
TI - Notes and News.
PMID- 29810744
TI - Alcohol and the Hospitals.
PMID- 29810745
TI - New Appliances and Things Medical.
PMID- 29810746
TI - Hospital Meetings, &c.
PMID- 29810748
TI - Rupture of the Uterus.
PMID- 29810747
TI - The New Pathological Institute at the London Hospital.
PMID- 29810749
TI - Summer Heat.
PMID- 29810750
TI - Progress in Neurology.
PMID- 29810751
TI - Practical Departments.
PMID- 29810752
TI - The Early Diagnosis of Pulmonary Tuberculosis.
PMID- 29810753
TI - Leucoma of the Vulva.
PMID- 29810754
TI - The Hospital of St. John and St. Elizabeth.
PMID- 29810755
TI - Progress in Disease of Digestive Organs.
PMID- 29810756
TI - Subdural Haemorrhage with Convulsions.
PMID- 29810757
TI - The Medical Council and Dr. Irvine.
PMID- 29810758
TI - The Story of the Insane from Year to Year.
PMID- 29810759
TI - "The Hospital" Nursing Mirror.
PMID- 29810760
TI - Sporadic Cerebro-Spinal Meningitis.
PMID- 29810761
TI - Annotations.
PMID- 29810762
TI - The Art of Seeing.
PMID- 29810763
TI - Progress in State Medicine.
PMID- 29810764
TI - The Operative Treatment of Corneal Astigmatism.
PMID- 29810766
TI - Dilatation of the Left Auricle.
PMID- 29810765
TI - Progress in Fevers.
PMID- 29810767
TI - Notes and News.
PMID- 29810768
TI - The Bacteriological Diagnosis of Plague.
PMID- 29810769
TI - Brominol.
PMID- 29810770
TI - Strangulated Hernia in Early Infancy.
PMID- 29810771
TI - The Medical, Surgical, and Hygienic Exhibition, 1901.
PMID- 29810772
TI - Hospital Meetings.
PMID- 29810774
TI - Paris and Its Drains.
PMID- 29810773
TI - Progress in Gynaecology.
PMID- 29810775
TI - Intestinal Tuberculosis.
PMID- 29810776
TI - Salt-Starvation in Epilepsy.
PMID- 29810777
TI - The National Association for Supplying Female Medical Aid to the Women of India.
PMID- 29810778
TI - Progress in Fevers.
PMID- 29810779
TI - Progress of Surgery.
PMID- 29810780
TI - Obstipation.
PMID- 29810781
TI - Cottage Hospital, St. Andrews.
PMID- 29810782
TI - Enucleation of the Prostate.
PMID- 29810783
TI - Cancer.
PMID- 29810784
TI - "The Hospital" Nursing Mirror.
PMID- 29810785
TI - Notes and News.
PMID- 29810786
TI - The Notification of Tuberculosis.
PMID- 29810788
TI - Extrauterine Foetation.
PMID- 29810787
TI - Enteric Fever in Campaigning.
PMID- 29810789
TI - Annotations.
PMID- 29810790
TI - The Causes of Extra Uterine Pregnancy.
PMID- 29810791
TI - Progress in Surgery of the Stomach.
PMID- 29810792
TI - Public-House Trusts.
PMID- 29810794
TI - "The Hospital" Nursing Mirror.
PMID- 29810793
TI - Alcohol and the Hospitals.
PMID- 29810796
TI - Provident Dispensaries.
PMID- 29810795
TI - Progress of Surgery.
PMID- 29810797
TI - Hospital Meetings.
PMID- 29810798
TI - The Plague.
PMID- 29810799
TI - Annotations.
PMID- 29810800
TI - Progress in Neurology.
PMID- 29810801
TI - Heart Failure from Over-Strain.
PMID- 29810802
TI - Pure Milk.
PMID- 29810803
TI - Is Raw Milk Injurious?
PMID- 29810804
TI - Erratum: Sugar-Free Milk.
AB - [This corrects the article on p. 211b in vol. 30.].
PMID- 29810805
TI - Notes and News.
PMID- 29810806
TI - New Appliances and Things Medical.
PMID- 29810808
TI - Stocks and Another v. Watson.
PMID- 29810807
TI - The Toxic Origin of Brain Disease.
PMID- 29810809
TI - The Royal Victoria Hospital, Belfast.
PMID- 29810810
TI - Attempted Suicide by Drinking Jeyes' Fluid.
PMID- 29810811
TI - Progress in Anaesthetics.
PMID- 29810812
TI - The Tuberculosis Congress.
PMID- 29810813
TI - "The Hospital" Nursing Mirror.
PMID- 29810814
TI - Surface Anatomy of the Thorax.
PMID- 29810815
TI - Distribution of Prizes and Opening of the New Pathological Institute, London
Hospital.
PMID- 29810816
TI - Sea-Sickness.
PMID- 29810817
TI - Progress of Surgery.
PMID- 29810818
TI - Annotations.
PMID- 29810819
TI - Progress in Disease of Digestive Organs.
PMID- 29810820
TI - The National Hospital.
PMID- 29810821
TI - The Treatment at Nordrach.
PMID- 29810822
TI - Hospital Meetings.
PMID- 29810824
TI - Progress in Neurology.
PMID- 29810823
TI - Notes and News.
PMID- 29810825
TI - The "Cure" of Consumption.
PMID- 29810826
TI - The British Medical Association.
PMID- 29810827
TI - A Pap-Fed People.
PMID- 29810828
TI - Progress in Cancer.
PMID- 29810829
TI - Typhoid Fever.
PMID- 29810830
TI - Our First Line of Defence.
PMID- 29810831
TI - Progress in Obstetrics.
PMID- 29810832
TI - Woburn Cottage Hospital.
PMID- 29810833
TI - The Ethics of Using Urotropine.
PMID- 29810834
TI - The Surface Anatomy of the Abdominal Viscera.
PMID- 29810835
TI - Workhouse Infirmary Management.
PMID- 29810836
TI - Water Typhoid.
PMID- 29810837
TI - Annotations.
PMID- 29810838
TI - Notes and News.
PMID- 29810839
TI - Street Sweeping by Skirts.
PMID- 29810840
TI - Progress in Surgery of the Liver and Pancreas.
PMID- 29810841
TI - "The Hospital" Nursing Mirror.
PMID- 29810842
TI - Glances at the Hospitals.
PMID- 29810844
TI - The President's Address.
PMID- 29810843
TI - Metropolitan Hospital Sunday Fund.
PMID- 29810845
TI - The Address in Surgery.
PMID- 29810846
TI - British Medical Association.
PMID- 29810847
TI - Professor Koch on Milk Tuberculosis.
PMID- 29810848
TI - Notes and News.
PMID- 29810849
TI - Progress in Surgery.
PMID- 29810850
TI - New Appliances and Things Medical.
PMID- 29810852
TI - Progress in Surgery of the Stomach.
PMID- 29810851
TI - Hospital Meetings.
PMID- 29810853
TI - "The Hospital" Nursing Mirror.
PMID- 29810854
TI - The Address in Medicine.
PMID- 29810855
TI - Progress in Diabetes.
PMID- 29810856
TI - Annotations.
PMID- 29810857
TI - Progress of Surgery.
PMID- 29810858
TI - The Epileptic Colony at Chalcote.
PMID- 29810859
TI - Voluntary Notification.
PMID- 29810860
TI - A New Jam Factory.
PMID- 29810861
TI - The Congress Museum.
PMID- 29810862
TI - The Story of the Insane from Year to Year.
PMID- 29810864
TI - Mortality from Tuberculous Phthisis.
PMID- 29810863
TI - Progress in Abdominal Surgery.
PMID- 29810865
TI - The Presence of Infective Material in Dwellings Occupied by Consumptive Persons.
PMID- 29810866
TI - Enteric Fever in Campaigning.
PMID- 29810867
TI - Annotations.
PMID- 29810868
TI - British Congress on Tuberculosis.
PMID- 29810869
TI - The League of Mercy.
PMID- 29810870
TI - The Treatment of Consumption by Climate.
PMID- 29810871
TI - The British Medical Association.
PMID- 29810872
TI - The Use of Tuberculin.
PMID- 29810873
TI - "The Hospital" Nursing Mirror.
PMID- 29810874
TI - Progress in Diabetes.
PMID- 29810875
TI - Notes and News.
PMID- 29810876
TI - Opening of St. John's Hospital, Lewisham.
PMID- 29810877
TI - Civilian Surgeons in Time of War.
PMID- 29810878
TI - The Fallacy of Small Numbers.
PMID- 29810879
TI - The National Hospital.
PMID- 29810880
TI - The Medical, Surgical, and Hygienic Exhibition, 1901.
PMID- 29810881
TI - St. Thomas's Hospital.
PMID- 29810882
TI - Progress in Psychiatry.
PMID- 29810884
TI - Annotations.
PMID- 29810883
TI - Progress in Diseases of the Nervous System.
PMID- 29810886
TI - Luxury in Surgery.
PMID- 29810885
TI - The Blood-Count.
PMID- 29810887
TI - Sugar-Free Milk.
PMID- 29810888
TI - Hospital Meetings.
PMID- 29810890
TI - Opening of the New Wing at Poplar Hospital.
PMID- 29810889
TI - "The Hospital" Nursing Mirror.
PMID- 29810891
TI - The Treatment of Turbinal Hypertrophy.
PMID- 29810892
TI - Fourth-Of-July Tetanus.
PMID- 29810893
TI - Notes and News.
PMID- 29810894
TI - The Amendment of the Medical Acts.
PMID- 29810896
TI - Progress in Bacteriology.
PMID- 29810895
TI - The Warming of Hospitals.
PMID- 29810897
TI - Arterial Degeneration.
PMID- 29810898
TI - Medical Education.
PMID- 29810899
TI - Medical Qualifications.
PMID- 29810900
TI - New Appliances and Things Medical.
PMID- 29810901
TI - Animal and Vegetable Pathology.
PMID- 29810902
TI - How to Give Mercury.
PMID- 29810903
TI - Medical Legislation.
PMID- 29810904
TI - "The Hospital" Nursing Mirror.
PMID- 29810905
TI - The Treatment of Intussusception.
PMID- 29810906
TI - Annotations.
PMID- 29810907
TI - Results of a New Method of Treating Whooping-Cough.
PMID- 29810908
TI - Progress in Surgery.
PMID- 29810910
TI - The Treatment of Melancholia.
PMID- 29810909
TI - The Medical Profession.
PMID- 29810911
TI - The Course of Study for the "Conjoint".
PMID- 29810912
TI - The Medical Schools.
PMID- 29810913
TI - Modern Sociology.
PMID- 29810914
TI - Progress in Medicine.
PMID- 29810915
TI - Notes and News.
PMID- 29810916
TI - A Convalescent Home on New Lines.
PMID- 29810917
TI - The Surface Anatomy of the Abdominal Viscera.
PMID- 29810918
TI - Annotations.
PMID- 29810919
TI - Sanatoria for Consumption.
PMID- 29810920
TI - Notes and News.
PMID- 29810921
TI - Progress in Ophthalmology.
PMID- 29810922
TI - Tincture of Iodine in Infected Wounds.
PMID- 29810923
TI - The Treatment of Morphinomania.
PMID- 29810924
TI - Progress of the Surgery of the Vermiform Appendix.
PMID- 29810926
TI - Stammering.
PMID- 29810925
TI - Syringing the Ear.
PMID- 29810927
TI - New Appliances and Things Medical.
PMID- 29810928
TI - Glances at the Hospitals.
PMID- 29810929
TI - The Architects and the Medical Officers of Health.
PMID- 29810930
TI - Measures against Plague.
PMID- 29810931
TI - Northampton General Infirmary.
PMID- 29810932
TI - "The Hospital" Nursing Mirror.
PMID- 29810933
TI - Progress in Bacteriology.
PMID- 29810934
TI - Hospital Meetings.
PMID- 29810935
TI - Glances at the Hospitals.
PMID- 29810936
TI - Progress in Gynaecology.
PMID- 29810937
TI - The Lunacy Laws.
PMID- 29810938
TI - Separation of Epiphyses.
PMID- 29810939
TI - Health in West Africa.
PMID- 29810940
TI - Progress in Otology.
PMID- 29810941
TI - Annotations.
PMID- 29810942
TI - Progress in Fevers.
PMID- 29810944
TI - Street Pavements.
PMID- 29810943
TI - Notes and News.
PMID- 29810945
TI - A Central Board of Health for London.
PMID- 29810946
TI - Suppurative Pericarditis.
PMID- 29810947
TI - The Attack on President McKinley.
PMID- 29810948
TI - The Over-Feeding of Infants.
PMID- 29810950
TI - The New Isolation Hospital for Leicester.
PMID- 29810949
TI - Modern Sociology.
PMID- 29810951
TI - The British Association.
PMID- 29810952
TI - "The Hospital" Nursing Mirror.
PMID- 29810953
TI - Raynaud's Phenomena.
PMID- 29810954
TI - Our Lady Competitors.
PMID- 29810955
TI - "The Hospital" Nursing Mirror.
PMID- 29810956
TI - The Registrar-General's Return.
PMID- 29810957
TI - Within the Hospitals.
PMID- 29810958
TI - Richmond Union Infirmary.
PMID- 29810959
TI - The Use of Massage in the Treatment of Fractures.
PMID- 29810960
TI - Perspiration during Exertion.
PMID- 29810961
TI - Sanitary Affairs in South Africa.
PMID- 29810963
TI - New Appliances and Things Medical.
PMID- 29810962
TI - The British Medical Benevolent Fund.
PMID- 29810965
TI - Notes and News.
PMID- 29810964
TI - Progress in Surgery of the Intestines.
PMID- 29810966
TI - The Chemists' Exhibition, 1901.
PMID- 29810967
TI - Annotations.
PMID- 29810969
TI - Roof Conservatories.
PMID- 29810968
TI - Muscle Albumin as a Food.
PMID- 29810970
TI - Progress in Surgery.
PMID- 29810971
TI - Progress in Medicine.
PMID- 29810972
TI - The Gelatine Treatment of Aneurism.
PMID- 29810973
TI - Modern Sociology.
PMID- 29810974
TI - Notes and News.
PMID- 29810975
TI - Hospital Progress in Paris.-II.
PMID- 29810976
TI - Annotations.
PMID- 29810977
TI - Some Recent Advances in Physiology and Pathology.
PMID- 29810979
TI - Citrate of Soda and the Feeding of Infants.
PMID- 29810978
TI - The Sight-Testing Question: A Suggested Compromise.
PMID- 29810980
TI - Sanitation at Sea.
PMID- 29810981
TI - Diseases of the Nervous System.
PMID- 29810982
TI - Angina Pectoris.
PMID- 29810983
TI - The Hospital. Nursing Section.
PMID- 29810985
TI - Mr. Chamberlain on the London School of Tropical Medicine.
PMID- 29810984
TI - The Medical Visit to Paris.
PMID- 29810987
TI - Queen's Jubilee Hospital.
PMID- 29810986
TI - Radio-Therapy.
PMID- 29810988
TI - Hospital Meetings.
PMID- 29810989
TI - Medical Schools and Medical Education.
PMID- 29810990
TI - Psychiatry.
PMID- 29810991
TI - The Royal Sanitary Institute.
PMID- 29810992
TI - New Appliances and Things Medical.
PMID- 29810993
TI - Hospital Meetings.
PMID- 29810994
TI - Mundesley Sanatorium for Consumption.
PMID- 29810995
TI - Diseases of the Respiratory Organs.
PMID- 29810996
TI - The City Hospital for Infectious Fevers, Edinburgh.
PMID- 29810997
TI - Annotations.
PMID- 29810998
TI - The Hospital Library and Charities Bureau.
PMID- 29810999
TI - The Hospital. Nursing Section.
PMID- 29811000
TI - Practical Departments and New Appliances.
PMID- 29811001
TI - The Relation of Pain and Fear in Cardiac Disturbance.
PMID- 29811002
TI - The Hon. Sydney Holland's Latest Circular: "The Facts Speak for the Themselves".
PMID- 29811003
TI - Obstetrics.
PMID- 29811004
TI - Cirrhosis of the Liver.
PMID- 29811005
TI - Physiological Economy in Nutrition.
PMID- 29811006
TI - Notes and News.
PMID- 29811007
TI - Abdominal Tuberculosis in Childhood.
PMID- 29811008
TI - Iron Acetate in the Treatment of Pneumonia.
PMID- 29811009
TI - Mount Vernon Hospital for Consumption.
PMID- 29811010
TI - A London Congress of Public Health.
PMID- 29811011
TI - King's College Hospital.
PMID- 29811013
TI - Diseases of the Kidneys.
PMID- 29811012
TI - New Appliances and Things Medical.
PMID- 29811015
TI - Angina Pectoris and Pseudoangina.
PMID- 29811014
TI - Seborrhoeic Dermatitis.
PMID- 29811017
TI - The Modern Movement in the Matter of the Standardisation of Disinfectants.
PMID- 29811016
TI - British Health Stations.-V: St. Ives, Cornwall.
PMID- 29811018
TI - Dermatology.
PMID- 29811019
TI - Breathlessness.
PMID- 29811021
TI - Annotations.
PMID- 29811020
TI - Medical Certificates and School Attendance.
PMID- 29811023
TI - The Engineering Side of Hospital Work: XIV. Electrical Apparatus.
PMID- 29811022
TI - The Kelling Sanatorium, Norfolk.
PMID- 29811024
TI - The Etiology of Syphilis.
PMID- 29811025
TI - The Hospital. Nursing Section.
PMID- 29811027
TI - Infection and Isolation.
PMID- 29811026
TI - Notes and News.
PMID- 29811029
TI - Glances at the Hospitals.
PMID- 29811028
TI - Hospital Meetings.
PMID- 29811030
TI - Diabetes.
PMID- 29811031
TI - Practical Departments.
PMID- 29811032
TI - How to Eat.
PMID- 29811033
TI - Diseases of the Kidneys.
PMID- 29811034
TI - New Appliances and Things Medical.
PMID- 29811035
TI - New Appliances and Things Medical.
PMID- 29811036
TI - Chemical Examination of the Gastric Contents.
PMID- 29811037
TI - The Training of Pauper Girls.
PMID- 29811038
TI - Cancerous Disease of the Stomach with Secondary Infection through the Thoracic
Duct.
PMID- 29811039
TI - Bacteriology.
PMID- 29811041
TI - Queen Alexandra's Court.
PMID- 29811040
TI - An Australian View of Pensions.
PMID- 29811042
TI - The Seacroft Hospital, Leeds.
PMID- 29811043
TI - Notes and News.
PMID- 29811044
TI - Heating and Ventilation: Annual Meeting of the Institute of Heating and
Ventilating Engineers at Bristol.
PMID- 29811045
TI - Hysteria.
PMID- 29811046
TI - The Hospital. Nursing Section.
PMID- 29811047
TI - Annotations.
PMID- 29811048
TI - Health and Dietary.
PMID- 29811049
TI - Obstetrics.
PMID- 29811050
TI - Practical Departments.
PMID- 29811051
TI - The Mechanism of Pain in Migraine.
PMID- 29811052
TI - Psychiatry.
PMID- 29811053
TI - Practitioners and the Lay Press.
PMID- 29811054
TI - Royal United Hospital, Bath.
PMID- 29811055
TI - Medical Qualifications.
PMID- 29811056
TI - Metropolitan and Provincial Schools of Medicine.
PMID- 29811057
TI - The Study of Medicine.
PMID- 29811058
TI - Instruction in Tropical Medicine.
PMID- 29811059
TI - Annotations.
PMID- 29811060
TI - The Hospital. Nursing Section.
PMID- 29811061
TI - Suprarenal Extract in Addison's Disease.
PMID- 29811062
TI - Surgery.
PMID- 29811063
TI - The Medical Schools.
PMID- 29811064
TI - The Early Recognition of Mental Diseases in Private Practice.
PMID- 29811065
TI - The Work of the Imperial Cancer Research Fund.-Part I.
PMID- 29811066
TI - Notes and News.
PMID- 29811067
TI - Cerebro-Spinal Fever.
PMID- 29811068
TI - Post-Graduation Study.
PMID- 29811069
TI - Results of Gastro-Jejunostomy.
PMID- 29811070
TI - Fevers.
PMID- 29811071
TI - Nerve Diseases.
PMID- 29811072
TI - The Hospital Library and Charities Bureau.
PMID- 29811073
TI - Ophthalmology.
PMID- 29811075
TI - Some Recent Advances in Physiology and Pathology.
PMID- 29811074
TI - Psychiatry.
PMID- 29811076
TI - Notes and News.
PMID- 29811077
TI - Annotations.
PMID- 29811078
TI - Hereford Isolation Hospital.
PMID- 29811079
TI - Streptococci and Scarlet Fever.
PMID- 29811081
TI - The Symptomatology of Cerebellar Tumours.
PMID- 29811080
TI - Hospital Meetings, &c.
PMID- 29811082
TI - The North-Eastern Hospital for Children.
PMID- 29811083
TI - Fevers.
PMID- 29811084
TI - The Hospital. Nursing Section.
PMID- 29811085
TI - Epilepsy and Peripheral Irritation.
PMID- 29811086
TI - The Engineering Side of Hospital Work: XII. Electrical Apparatus.
PMID- 29811087
TI - The Diffusion of Small-Pox.
PMID- 29811088
TI - Westminster Hospital Bazaar (1905).
PMID- 29811089
TI - The Effects of Alcohol.
PMID- 29811090
TI - Tropical Medicine.
PMID- 29811091
TI - Bi-Manual Examination in Children.
PMID- 29811092
TI - Record Hospital Services: Dr. O'Reilley and the Toronto General Hospital.
PMID- 29811093
TI - Hereditary Syphilis in the Second Generation.
PMID- 29811094
TI - Metropolitan Hospital Sunday Fund.
PMID- 29811095
TI - New Appliances and Things Medical.
PMID- 29811096
TI - The Hospital Library and Charities Bureau.
PMID- 29811097
TI - The Hospital. Nursing Section.
PMID- 29811099
TI - Hospital Meetings.
PMID- 29811098
TI - Medical Practice and the Companies Acts.
PMID- 29811100
TI - Metropolitan Convalescent Institution.
PMID- 29811101
TI - Drunkenness and Its Treatment in the Sixteenth Century.
PMID- 29811102
TI - Practical Departments.
PMID- 29811103
TI - A New General Hospital for Putney.
PMID- 29811104
TI - Examinations by Teachers.
PMID- 29811105
TI - Movable Kidney and Neurasthenia.
PMID- 29811106
TI - Annotations.
PMID- 29811107
TI - Hospital Progress in Paris.-III.
PMID- 29811109
TI - Diabetes.
PMID- 29811108
TI - Tuberculin as an Aid to Diagnosis and Treatment.
PMID- 29811110
TI - National Association for Promoting the Welfare of the Feeble-Minded.
PMID- 29811111
TI - Tuberculosis of the Appendix.
PMID- 29811112
TI - Genito-Urinary Surgery.
PMID- 29811113
TI - Notes and News.
PMID- 29811114
TI - Radiant Heat and Warmed Air.
PMID- 29811115
TI - Diseases of the Nervous System.
PMID- 29811116
TI - Prophylaxis of Lobar Pneumonia.
PMID- 29811117
TI - Dietetics.
PMID- 29811118
TI - Professor Barrett's Paper before the Institute of Heating and Ventilating
Engineers.
PMID- 29811119
TI - New Appliances and Things Medical.
PMID- 29811120
TI - Tabes Dorsalis and Syphilis.
PMID- 29811121
TI - Hysteria in Children.
PMID- 29811122
TI - Guy's Hospital.
PMID- 29811123
TI - Diseases of the Blood.
PMID- 29811124
TI - Small-Pox.
PMID- 29811125
TI - Annotations.
PMID- 29811126
TI - Notes and News.
PMID- 29811127
TI - The New "British Red Cross Society".
PMID- 29811128
TI - The Hospital. Nursing Section.
PMID- 29811129
TI - Insomnia.
PMID- 29811130
TI - The Causes and Treatment of OEdema.
PMID- 29811131
TI - Physical Education and Improvement.
PMID- 29811133
TI - Anaesthetics.
PMID- 29811132
TI - Northampton General Hospital.
PMID- 29811134
TI - The Law Dealing with the Erection of Small-Pox Hospitals.
PMID- 29811135
TI - The Engineering Side of Hospital Work: XIII. Electrical Apparatus.
PMID- 29811136
TI - The Struggle against Cancer.
PMID- 29811137
TI - Practical Departments.
PMID- 29811138
TI - City of London Lying-In Hospital.
PMID- 29811139
TI - King's College Hospital.
PMID- 29811140
TI - Radio-Therapy.
PMID- 29811141
TI - Genito-Urinary Surgery.
PMID- 29811143
TI - Acute Pneumococcal Pleurisy.
PMID- 29811142
TI - The Experiences of a Patient during Lithotomy before Anaesthesia.
PMID- 29811144
TI - East London Hospital for Children.
PMID- 29811145
TI - Notes and News.
PMID- 29811146
TI - Plague and Sanitation.
PMID- 29811147
TI - Parasyphilis.
PMID- 29811148
TI - The Centenary of the Royal Medical and Chirurgical Society.
PMID- 29811149
TI - The Hospital. Nursing Section.
PMID- 29811151
TI - New Appliances and Things Medical.
PMID- 29811150
TI - Meeting of the National Association for the Prevention of Tuberculosis.
PMID- 29811153
TI - The Invalid Children's Aid Association.
PMID- 29811152
TI - Diseases of the Nervous System.
PMID- 29811154
TI - Hospital Meetings.
PMID- 29811155
TI - Eucaine Lactate.
PMID- 29811156
TI - Annotations.
PMID- 29811157
TI - Renal Organotherapy.
PMID- 29811159
TI - The Metropolitan Asylums Board.
PMID- 29811158
TI - Genito-Urinary Surgery.
PMID- 29811161
TI - Annotations.
PMID- 29811160
TI - Menstruation and Anaemia.
PMID- 29811162
TI - The Hospital Library and Charities Bureau.
PMID- 29811163
TI - The Early Symptoms of Insular Sclerosis.
PMID- 29811164
TI - Crossley Sanatorium, Delamere Forest, near Frodsham, Cheshire.
PMID- 29811165
TI - Settlement Laws and Public Institutions.
PMID- 29811166
TI - The Hospital. Nursing Section.
PMID- 29811167
TI - The "Unemployed" Bill.
PMID- 29811168
TI - Dermatology.
PMID- 29811169
TI - Skin Grafting.
PMID- 29811170
TI - The Health of the British Army.
PMID- 29811171
TI - Notes and News.
PMID- 29811172
TI - Psychiatry.
PMID- 29811173
TI - New Appliances and Things Medical.
PMID- 29811174
TI - The Matron's Department.
PMID- 29811175
TI - Practical Departments.
PMID- 29811177
TI - Pernicious Anaemia.
PMID- 29811176
TI - Civil Hospitals and Dispensaries of Bombay.
PMID- 29811179
TI - Genito-Urinary Surgery.
PMID- 29811178
TI - Mission and Hospital Ship in Canadian Waters.
PMID- 29811180
TI - Myelitis and Pulmonary Tuberculosis.
PMID- 29811181
TI - Northampton General Hospital: Quarterly Meeting of Governors.
PMID- 29811182
TI - Some Undescribed Symptoms of Angina Pectoris.
PMID- 29811183
TI - Diseases of the Circulation: Apoplexy.
PMID- 29811184
TI - Notes and News.
PMID- 29811185
TI - Nightingale Nursing Home, Derby.
PMID- 29811187
TI - The Therapeutics of Creosote.
PMID- 29811186
TI - Metropolitan Hospital Sunday Fund.
PMID- 29811189
TI - The Treatment of Acute Summer Diarrhoea in Infants.
PMID- 29811188
TI - The Hospital. Nursing Section.
PMID- 29811190
TI - Psychiatry.
PMID- 29811191
TI - Annotations.
PMID- 29811192
TI - The Sunday Fund Grants and Hospital Expenditure.
PMID- 29811193
TI - Authority and Responsibility.
PMID- 29811194
TI - Some Relationships of Secondary Parotitis.
PMID- 29811195
TI - Around the Hospitals.
PMID- 29811197
TI - The Hospital. Nursing Section.
PMID- 29811196
TI - National Sanitation.
PMID- 29811198
TI - A Chapter of Heredity.
PMID- 29811199
TI - The New Public Dispensary at Leeds.
PMID- 29811200
TI - Fevers.
PMID- 29811201
TI - Notes and News.
PMID- 29811202
TI - The Intra-Muscular Method in the Treatment of Syphilis.
PMID- 29811203
TI - Hospital Mortuaries and Dead-Houses: The Scandals of Oblivion.
PMID- 29811205
TI - The Matron's Department.
PMID- 29811204
TI - Annotations.
PMID- 29811206
TI - Poor-Law Reform.
PMID- 29811207
TI - Surgery of the Peritoneum.
PMID- 29811208
TI - Practical Departments.
PMID- 29811209
TI - Construction Notes.
PMID- 29811210
TI - Surgery of the Intestines.
PMID- 29811211
TI - The British Association.
PMID- 29811212
TI - Laudator Temporis Acti.
PMID- 29811213
TI - The Uses and Limitations of Surgery.
PMID- 29811214
TI - The Hospital. Nursing Section.
PMID- 29811215
TI - The Treatment of Typhoid Fever.
PMID- 29811216
TI - Early Diagnosis of Consumption.
PMID- 29811217
TI - Guy's Hospital Appeal.
PMID- 29811218
TI - Tobacco Amblyopia and Tachycardia.
PMID- 29811219
TI - Fevers.
PMID- 29811220
TI - East London Hospital for Children.
PMID- 29811221
TI - Meeting of the Royal Sanitary Institute in Bristol.
PMID- 29811222
TI - New Appliances and Things Medical.
PMID- 29811223
TI - Epidemic Cerebro-Spinal Meningitis.
PMID- 29811225
TI - Hospital Meetings.
PMID- 29811224
TI - The Uses and Limitations of Surgery.
PMID- 29811226
TI - Notes and News.
PMID- 29811227
TI - Hospital Expenditure and Efficiency.
PMID- 29811228
TI - Practical Departments.
PMID- 29811229
TI - Annotations.
PMID- 29811230
TI - Diseases of the Digestive Organs.
PMID- 29811231
TI - The Engineering Side of Hospital Work: IX. Laundries. Drying the Clothes.
PMID- 29811233
TI - Typhoidal Insanity in Childhood.
PMID- 29811232
TI - The Limitations of Abdominal Surgery.
PMID- 29811235
TI - Acne Vulgaris.
PMID- 29811234
TI - Neurology.
PMID- 29811236
TI - Underfed Children.
PMID- 29811237
TI - St. Mary's Hospital.
PMID- 29811239
TI - Stokes-Adams Disease.
PMID- 29811238
TI - The Treatment of Advanced Cardiac Dilatation.
PMID- 29811240
TI - The Care of the Insane.
PMID- 29811241
TI - The Hospital. Nursing Section.
PMID- 29811242
TI - Annotations.
PMID- 29811243
TI - Diseases of the Nervous System.
PMID- 29811245
TI - Orthopaedic Progress.
PMID- 29811244
TI - The Engineering Side of Hospital Work: XI. Laundries.
PMID- 29811246
TI - Notes and News.
PMID- 29811247
TI - Metropolitan Hospital Saturday Fund.
PMID- 29811248
TI - Water-Drinking and Its Effects.
PMID- 29811249
TI - Otology.
PMID- 29811250
TI - The London School of Tropical Medicine.
PMID- 29811251
TI - Bacteriology.
PMID- 29811252
TI - Studies in Symptoms and Their Treatment.-I. Haematemesis.
PMID- 29811253
TI - Hospital Progress in Paris.-I.
PMID- 29811254
TI - Diseases of the Intestines.
PMID- 29811255
TI - Charitable Institutions of Victoria.
PMID- 29811257
TI - New Appliances and Things Medical.
PMID- 29811256
TI - Construction Notes.
PMID- 29811258
TI - On Ascending Currents in Mucous Canals, and Gland Ducts, and Their Influence on
Infection.
PMID- 29811261
TI - Skin-Grafting.
PMID- 29811259
TI - Medicine, Present and Prospective.
PMID- 29811260
TI - Genito-Urinary Surgery.
PMID- 29811262
TI - The British Medical Association.
PMID- 29811263
TI - Annotations.
PMID- 29811265
TI - Tea and Tea-Drinking.
PMID- 29811264
TI - Dermatology.
PMID- 29811266
TI - The Hospital. Nursing Section.
PMID- 29811267
TI - The Growth of Reform: The Royal South Hants and Southampton Hospital.
PMID- 29811268
TI - Nurses' Home at the Southampton Hospital.
PMID- 29811269
TI - Practical Departments.
PMID- 29811271
TI - The Boarding-Out of Pauper Children.
PMID- 29811270
TI - The Duration of Life after the Appearance of Albuminuric Retinitis.
PMID- 29811272
TI - Notes and News.
PMID- 29811273
TI - Heating and Ventilation.
PMID- 29811274
TI - Practical Departments.
PMID- 29811275
TI - The Convalescent Homes Association.
PMID- 29811276
TI - New Appliances and Things Medical.
PMID- 29811278
TI - Metropolitan Hospital.
PMID- 29811277
TI - High Arterial Blood-Pressure.
PMID- 29811279
TI - Personal Hygiene and Hot Weather.
PMID- 29811280
TI - Diseases of the Blood.
PMID- 29811281
TI - Abdominal Tuberculosis and Milk.
PMID- 29811282
TI - Annotations.
PMID- 29811283
TI - Mixed Education.
PMID- 29811284
TI - The Backward Child of the Well-To-Do.
PMID- 29811285
TI - Alcohol in Pneumonia.
PMID- 29811286
TI - Lumbar Puncture.
PMID- 29811288
TI - Notes and News.
PMID- 29811287
TI - Dietetics.
PMID- 29811289
TI - Progress in Cancer.
PMID- 29811290
TI - Queen's Jubilee Hospital.
PMID- 29811291
TI - Adaptation and Pathogenic Bacilli.
PMID- 29811292
TI - St. John's Hospital, Oxford.
PMID- 29811293
TI - Optic Neuritis in Chlorosis.
PMID- 29811294
TI - The Hospital. Nursing Section.
PMID- 29811296
TI - Phthisis and the Metropolitan Asylums Board.
PMID- 29811295
TI - Construction Notes.
PMID- 29811297
TI - Notes and News.
PMID- 29811298
TI - The Cure of Leprosy.
PMID- 29811300
TI - The Engineering Side of Hospital Work: X. Laundries. Finishing.
PMID- 29811299
TI - Hospital Meetings.
PMID- 29811302
TI - Fevers.
PMID- 29811301
TI - Ambulances in the City.
PMID- 29811303
TI - New Out-Patients' Department at the East Suffolk Hospital, Ipswich.
PMID- 29811304
TI - Enuresis.
PMID- 29811305
TI - The Undesirable Aliens Bill.
PMID- 29811306
TI - A Hospital for Europeans at Hongkong.
PMID- 29811307
TI - Diseases of Children.
PMID- 29811308
TI - Otology.
PMID- 29811309
TI - The Hospital. Nursing Section.
PMID- 29811310
TI - Asthma and Its Relation to Nasal Diseases.
PMID- 29811311
TI - Melancholia as a Form of Insanity.
PMID- 29811312
TI - Annotations.
PMID- 29811313
TI - The Story of the Insane from Year to Year.
PMID- 29811314
TI - The Heart and Circulation in Pregnancy.
PMID- 29811316
TI - Hospital Saturday Fund.
PMID- 29811315
TI - La Belle Alliance.
PMID- 29811318
TI - Selection for the Public Service.
PMID- 29811317
TI - The Workhouse Prisoner.
PMID- 29811319
TI - Heart-Strain and School Athletics.
PMID- 29811320
TI - Vulvo-Vaginitis in Children.
PMID- 29811321
TI - The Engineering Side of Hospital Work: VIII. Laundries.
PMID- 29811322
TI - Notes and News.
PMID- 29811323
TI - Fevers.
PMID- 29811324
TI - Psychiatry.
PMID- 29811325
TI - Diseases of the Digestive Organs.
PMID- 29811326
TI - The Hospital. Nursing Section.
PMID- 29811327
TI - Migraine and Meat.
PMID- 29811328
TI - Hospital Meetings.
PMID- 29811329
TI - Annotations.
PMID- 29811330
TI - New Operation Theatre at the National Hospital for the Paralysed and Epileptic.
PMID- 29811331
TI - The Hospital Library and Charities Bureau.
PMID- 29811332
TI - Premature Baldness.
PMID- 29811334
TI - Annotations.
PMID- 29811333
TI - Enlargement of the Liver in Diphtheria.
PMID- 29811335
TI - Surgery of the Vermiform Appendix.
PMID- 29811336
TI - Surgery of the Stomach.
PMID- 29811337
TI - Sanitation and the Panama Canal.
PMID- 29811338
TI - The Matron's Department.
PMID- 29811339
TI - The Work of the Imperial Cancer Research Fund.
PMID- 29811340
TI - The Mesenteric Glands in Relation to Tuberculosis.
PMID- 29811341
TI - Fevers.
PMID- 29811342
TI - The Hospital. Nursing Section.
PMID- 29811343
TI - Notes and News.
PMID- 29811344
TI - Turpentine in Renal Hydatids.
PMID- 29811345
TI - Industrial Clothing.
PMID- 29811346
TI - An Example of Family Tabes Dorsalis.
PMID- 29811347
TI - The Engineering Side of Hospital Work.
PMID- 29811348
TI - Mr. Bawden's Munificence.
PMID- 29811349
TI - Bellefield Sanatorium for Consumptives, Lanark.
PMID- 29811350
TI - Pneumothorax in Tuberculous Subjects.
PMID- 29811351
TI - The International Society of Surgery.
PMID- 29811352
TI - The Hospital. Nursing Section.
PMID- 29811353
TI - The Sight-Testing Opticians Bill.
PMID- 29811354
TI - Housing and Sanitation in Dundee.
PMID- 29811356
TI - The Story of the Insane from Year to Year.
PMID- 29811355
TI - Erratum: Underfeeding of Children at Blackburn.
AB - [This corrects the article on p. 441 in vol. 38.].
PMID- 29811357
TI - Surgery: Fractures.
PMID- 29811358
TI - The "Emile Roux" Dispensary at Lille.
PMID- 29811360
TI - The Matron's Department.
PMID- 29811359
TI - The Cost of Drugs.
PMID- 29811362
TI - The Pathology and Treatment of Vertigo.
PMID- 29811361
TI - Some Nervous Complications Occurring in Pulmonary Tuberculosis.
PMID- 29811363
TI - Annotations.
PMID- 29811364
TI - Notes and News.
PMID- 29811365
TI - Dermatology.
PMID- 29811366
TI - Psychiatry.
PMID- 29811367
TI - Birmingham and Midland Hospital for Women.
PMID- 29811368
TI - Annotations.
PMID- 29811369
TI - Hospitals and Medical Schools.
PMID- 29811370
TI - Construction Notes.
PMID- 29811371
TI - Treatment for Haemoptysis.
PMID- 29811372
TI - Diseases of the Circulatory System.
PMID- 29811374
TI - Diseases of Children.
PMID- 29811373
TI - The Queen's Jubilee Hospital.
PMID- 29811376
TI - Seborrhoea and Baldness.
PMID- 29811375
TI - Notes and News.
PMID- 29811378
TI - Late Rickets.
PMID- 29811377
TI - Epileptics and Responsibility.
PMID- 29811379
TI - The Hospital. Nursing Section.
PMID- 29811380
TI - Neurology.
PMID- 29811381
TI - The Engineering Side of Hospital Work: IX. Laundries. Drying the Clothes.
PMID- 29811382
TI - The Hospital Library and Charities Bureau.
PMID- 29811383
TI - In and out Patients' Payments.
PMID- 29811384
TI - Work, Sleep, and Play.
PMID- 29811385
TI - Hospital Meetings.
PMID- 29811386
TI - Acute Melancholia.
PMID- 29811387
TI - The Institute of Medical Sciences.
PMID- 29811388
TI - Hospital Expenditure and Efficiency.
PMID- 29811390
TI - Psychiatry.
PMID- 29811389
TI - Hospital Meetings.
PMID- 29811392
TI - The Hospital. Nursing Section.
PMID- 29811391
TI - The Treatment of Latent Tuberculosis.
PMID- 29811393
TI - Guy's Hospital.
PMID- 29811394
TI - Surgical Operations in Private Practice: VI. Deformities.
PMID- 29811395
TI - Expensive Laboratories at Hospitals.
PMID- 29811396
TI - The Engineering Side of Hospital Work: VIII. Laundries.
PMID- 29811397
TI - Erythromelalgia and Its Allies.
PMID- 29811398
TI - King Edward's Hospital Fund for London.
PMID- 29811399
TI - Annotations.
PMID- 29811401
TI - Ophthalmology.
PMID- 29811400
TI - The Medical Superintendent.
PMID- 29811403
TI - Bacteriology.
PMID- 29811402
TI - Notes and News.
PMID- 29811404
TI - Guy's Hospital.
PMID- 29811405
TI - The Economical Management of an Efficient Voluntary Hospital.
PMID- 29811407
TI - Mucin.
PMID- 29811406
TI - Multiple Neuritis in a Child Due to Lead Poisoning.
PMID- 29811408
TI - New Appliances and Things Medical.
PMID- 29811409
TI - Haemophilia.
PMID- 29811411
TI - The Legal Position of a Suspected Milk Supply.
PMID- 29811410
TI - Cancer Research.
PMID- 29811413
TI - Ascites in Cirrhosis of the Liver.
PMID- 29811412
TI - Psychiatry.
PMID- 29811415
TI - The League of Mercy Garden Party at Marlborough House.
PMID- 29811414
TI - Diseases of the Blood.
PMID- 29811416
TI - Practical Departments.
PMID- 29811417
TI - Annotations.
PMID- 29811418
TI - Notes and News.
PMID- 29811419
TI - Architects and Sanatoria.
PMID- 29811420
TI - The Hospital. Nursing Section.
PMID- 29811421
TI - Middlesex Hospital.
PMID- 29811423
TI - The Physical Improvement League.
PMID- 29811422
TI - Mount Vernon Hospital.
PMID- 29811425
TI - Unilateral Convulsions and Hemiplegia with Exudative Erythema.
PMID- 29811424
TI - Cold Affusion in Delirium Tremens.
PMID- 29811426
TI - Diseases of Respiratory Organs.
PMID- 29811427
TI - Diseases of the Pancreas.
PMID- 29811428
TI - Special Hospital Sunday Supplement.
PMID- 29811429
TI - Sanatoria for Consumption.
PMID- 29811430
TI - Physiological Albuminuria.
PMID- 29811431
TI - Physical Education.
PMID- 29811432
TI - Sir John Furley.
PMID- 29811433
TI - The Hospital. Nursing Section.
PMID- 29811435
TI - Diseases of the Respiratory Organs.
PMID- 29811434
TI - Nitroglycerine in Chronic Nephritis.
PMID- 29811437
TI - The Diagnostic Value of Laryngeal Paralyses.
PMID- 29811436
TI - Notes and News.
PMID- 29811438
TI - Cerebral Surgery.
PMID- 29811439
TI - New Appliances and Things Medical.
PMID- 29811440
TI - Tottenham Hospital.
PMID- 29811441
TI - National Hospital for the Paralysed.
PMID- 29811442
TI - Samaritan Free Hospital New Building.
PMID- 29811443
TI - Annotations.
PMID- 29811445
TI - Guy's Hospital.
PMID- 29811444
TI - Architects and Sanatoria.
PMID- 29811446
TI - Underfeeding of Children at Blackburn.
PMID- 29811447
TI - Practical Departments.
PMID- 29811449
TI - Pulmonary Tuberculosis.
PMID- 29811448
TI - Annotations.
PMID- 29811451
TI - Diseases of Nervous System.
PMID- 29811450
TI - Hypertrophic Stenosis of Pylorus Successfully Treated by Gastric Lavage.
PMID- 29811453
TI - The New Hospital at Tunbridge Wells.
PMID- 29811452
TI - Matron's Department.
PMID- 29811454
TI - Glances at the Hospitals.
PMID- 29811455
TI - Marriage and Scientific Selection.
PMID- 29811456
TI - Notes and News.
PMID- 29811457
TI - New Appliances and Things Medical.
PMID- 29811458
TI - The Hospital. Nursing Section.
PMID- 29811459
TI - Diseases of the Circulatory System.
PMID- 29811460
TI - Surgery.
PMID- 29811461
TI - The Matron's Department.
PMID- 29811462
TI - Fifty Years at the Brompton Hospital for Consumption.
PMID- 29811463
TI - The Work of the Imperial Cancer Research Fund.
PMID- 29811465
TI - The Earthquakes in Calabria.
PMID- 29811464
TI - The Hospital Library and Charities Bureau.
PMID- 29811466
TI - Insects and Disease.
PMID- 29811467
TI - Rural Water Supply.
PMID- 29811469
TI - The Hospital. Nursing Section.
PMID- 29811468
TI - Surgery.
PMID- 29811470
TI - Diphtheria.
PMID- 29811471
TI - Ankylostomiasis.
PMID- 29811472
TI - Poor-Law Expenditure.
PMID- 29811473
TI - English Hospitals through French Glasses.
PMID- 29811474
TI - Construction Notes.
PMID- 29811475
TI - Physical Degeneration.
PMID- 29811477
TI - Annotations.
PMID- 29811478
TI - Notes and News.
PMID- 29811476
TI - Practical Departments.
PMID- 29811479
TI - New Appliances and Things Medical.
PMID- 29811480
TI - The Temperature in Ruptured Ectopic Gestation Sac.
PMID- 29811481
TI - The Matron's Department.
PMID- 29811482
TI - Surgery of the Liver.
PMID- 29811483
TI - The Work of the Imperial Cancer Research Fund.
PMID- 29811484
TI - Acid Dyspepsia.
PMID- 29811485
TI - Eye Symptoms in Disseminated Sclerosis.
PMID- 29811486
TI - New Hospital for Women and Children, Leeds.
PMID- 29811488
TI - New Appliances and Things Medical.
PMID- 29811487
TI - Construction Notes.
PMID- 29811489
TI - Centenarianism.
PMID- 29811490
TI - Dietetics.
PMID- 29811492
TI - Annotations.
PMID- 29811491
TI - Fevers.
PMID- 29811493
TI - Professor Barrett's Paper before the Institute of Heating and Ventilating
Engineers.
PMID- 29811494
TI - The Reformatory Treatment of Inebriates.
PMID- 29811495
TI - Hospital Meetings.
PMID- 29811496
TI - Acute Polio-Encephalitis and Polio-Myelitis.
PMID- 29811497
TI - Sudden Death in Childhood.
PMID- 29811498
TI - The Hospital. Nursing Section.
PMID- 29811499
TI - Practical Departments.
PMID- 29811500
TI - British Home and Hospital for Incurables, Streatham.
PMID- 29811501
TI - Tracheal Injection: Its Simplification and Its Use in Pulmonary Tuberculosis.
PMID- 29811502
TI - Oral Sepsis as a Cause of Iritis.
PMID- 29811503
TI - Anaesthetics.
PMID- 29811504
TI - The Government and Physical Deterioration.
PMID- 29811505
TI - The Growth of Reform.
PMID- 29811507
TI - Notes and News.
PMID- 29811506
TI - Diseases of the Intestines.
PMID- 29811509
TI - The New Red Cross Society.
PMID- 29811508
TI - The Matron's Department.
PMID- 29811511
TI - A Plea for Accuracy of Thought in Medicine.
PMID- 29811510
TI - Old China.
PMID- 29811512
TI - Annotations.
PMID- 29811513
TI - Clinical Cases.
PMID- 29811514
TI - Medical Opinion and Movement.
PMID- 29811515
TI - Cancer and Its Treatment: The Perils of Research.
PMID- 29811516
TI - News and Coming Events.
PMID- 29811517
TI - The Treatment of Acute Summer Diarrhoea and Vomiting of Infants.
PMID- 29811519
TI - King Edward's Hospital Fund for London Act, 1907.
PMID- 29811518
TI - New Appliances and Things Medical.
PMID- 29811520
TI - The Royal Victoria Hospital, Belfast.
PMID- 29811521
TI - The Probationer's Course.
PMID- 29811522
TI - The Contagion of Cancer.
PMID- 29811523
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29811524
TI - The British Medical Association at Exeter.
PMID- 29811526
TI - A Stolen Day in the Country.
PMID- 29811525
TI - The Physiology of Sleep.
PMID- 29811527
TI - Ancient Eastern Methods: II. The Ministrations of a Holy Man.
PMID- 29811528
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29811530
TI - The Provision of Public Abattoirs.
PMID- 29811529
TI - Gonorrhoeal Arthritis: Its Diagnosis and Treatment.
PMID- 29811532
TI - Remedies and Their Uses.
PMID- 29811531
TI - Cocci: Their Demonstration and Significance.
PMID- 29811533
TI - Medical Titles.
PMID- 29811534
TI - The Pink Colour of Adrenalin Solutions.
PMID- 29811535
TI - Experiences of a "Back Block" Practice.
PMID- 29811536
TI - News and Coming Events.
PMID- 29811537
TI - A Hungarian Health Resort.
PMID- 29811539
TI - The Units of General Hospital Construction.
PMID- 29811538
TI - On the Cause and Better Treatment of Rickets.
PMID- 29811540
TI - Salivary Calculus.
PMID- 29811541
TI - The Control of Super-Normal Arterial Pressure.
PMID- 29811542
TI - The Legal Status of Hospital-Trained Nurses.
PMID- 29811543
TI - The Abuse of Hospitals by Industrial Firms.
PMID- 29811544
TI - King Edward's Hospital Fund.
PMID- 29811545
TI - Medical Opinion and Movement.
PMID- 29811546
TI - Progress in District Nursing.
PMID- 29811548
TI - Annotations.
PMID- 29811547
TI - Unsoundness of Mind Not Always Insanity.
PMID- 29811549
TI - The Relations of Residents to Students.
PMID- 29811550
TI - The Common Task.
PMID- 29811551
TI - Points in Three London General Hospitals.
PMID- 29811552
TI - The Widal Test in General Practice.
PMID- 29811554
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29811553
TI - Fatal Anaesthetics: A Case for Inquiry.
PMID- 29811555
TI - The Treatment of Iritis.
PMID- 29811556
TI - Annotations.
PMID- 29811557
TI - The Common Task.
PMID- 29811558
TI - News and Coming Events.
PMID- 29811559
TI - King Edward's Hospital Fund Bill.
PMID- 29811560
TI - The Chemical Composition and Dietetic Value of Wines.
PMID- 29811561
TI - The Poor-Law Infirmary.
PMID- 29811562
TI - Sequelae of Operations on the Bile Passages.
PMID- 29811563
TI - Hints on the Treatment of Errors of Refraction.
PMID- 29811565
TI - Traumatic Synovitis of the Knee: II. Treatment.
PMID- 29811564
TI - The Diagnosis and Treatment of Gonorrhoeal Arthritis.
PMID- 29811566
TI - Medical Opinion and Movement.
PMID- 29811567
TI - The Units of General Hospital Construction.
PMID- 29811568
TI - Points in Two English and One Scotch Hospital Reports.
PMID- 29811569
TI - "The Hospital's" Commission on Light Wines: A Special Report on Their Chemical
Composition and Dietetic Value.
PMID- 29811570
TI - Residents and Anaesthetics.
PMID- 29811571
TI - A Note upon Tracheotomy.
PMID- 29811572
TI - Asthma Powders.
PMID- 29811573
TI - Special Hospitals and Their Visiting Staffs.
PMID- 29811574
TI - Cerebos Salt.
PMID- 29811575
TI - Nasal Sinus Suppuration.
PMID- 29811577
TI - Women and the Conjoint Diploma.
PMID- 29811576
TI - News and Coming Events.
PMID- 29811578
TI - The Latest Manifesto on Alcohol.
PMID- 29811579
TI - Medical Opinion and Movement.
PMID- 29811580
TI - Some Canadian Methods and Canadian Hospitals.
PMID- 29811581
TI - The Care of Poisons.
PMID- 29811583
TI - Urinary Disinfectants.
PMID- 29811582
TI - News from the Resident Officers' Quarters.
PMID- 29811585
TI - New Hygienic Hospital Fittings.
PMID- 29811584
TI - Annotations.
PMID- 29811586
TI - Practical Points.
PMID- 29811587
TI - The Common Task.
PMID- 29811588
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29811589
TI - The Call for Nursing Reform.
PMID- 29811590
TI - Hospital Meetings.
PMID- 29811591
TI - Construction Notes.
PMID- 29811592
TI - Social and Poor Law Problems.
PMID- 29811593
TI - The Treatment of Acute Pneumonia.
PMID- 29811594
TI - Eosinophilia: Blood Films and the Differential Leucocyte Count.
PMID- 29811595
TI - New Appliances and Things Medical.
PMID- 29811596
TI - Medical Titles.
PMID- 29811597
TI - New Appliances and Things Medical.
PMID- 29811598
TI - The Tendency to Haemorrhage in Jaundice and Allied Conditions: Prophylactic
Administration of Calcium Chloride.
PMID- 29811599
TI - Metabolism in Health and in Disease.
PMID- 29811600
TI - Gastric Ulcer.
PMID- 29811601
TI - The Method of Dispensing Calcium Chloride.
PMID- 29811602
TI - The Financial Aspect of the Probationer.
PMID- 29811603
TI - Some Common Skin Affections of the Face.
PMID- 29811604
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29811605
TI - Delay in Attention to Casualties.
PMID- 29811606
TI - The Mansion House and the Hospitals.
PMID- 29811607
TI - The Treatment of Insanity: Existing Defects and their Remedy: VII. How to Try to
Find a Cure.
PMID- 29811609
TI - News and Coming Events.
PMID- 29811608
TI - Points in Prescribing.
PMID- 29811611
TI - Travel and Big Game Shooting.
PMID- 29811610
TI - The Ventilation of the South-Eastern Hospital.
PMID- 29811613
TI - Anthropology.
PMID- 29811612
TI - Annotations.
PMID- 29811614
TI - The Common Task.
PMID- 29811615
TI - Medical Opinion and Movement.
PMID- 29811616
TI - The Prevention of Milk Adulteration.
PMID- 29811617
TI - The Units of General Hospital Construction.
PMID- 29811618
TI - Traumatic Synovitis of the Knee.
PMID- 29811619
TI - Light Wines and Temperance Drinks: Their Chemical Composition and Physiological
Action.
PMID- 29811621
TI - Hospital Residents and Economy.
PMID- 29811620
TI - Concerning Stiffness of the Spine.
PMID- 29811622
TI - The General Practitioner and the Consultant.
PMID- 29811623
TI - The Significance of the Presence of Lactic Acid, and of Deficiency or Absence of
Free Hydrochloric Acid, in the Gastric Contents as a Means of Diagnosis.
PMID- 29811624
TI - Current Hospital Topics.
PMID- 29811625
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29811626
TI - The Admission of Cases of Whooping-Cough.
PMID- 29811627
TI - The Polyclinic: What It Offers to the General Practitioner.
PMID- 29811628
TI - Difficulties in the Way of Nursing Reform.
PMID- 29811629
TI - The Treatment of Adhesions: By Thiosinamine and Fibrolysin.
PMID- 29811630
TI - News and Coming Events.
PMID- 29811631
TI - The County Council as a Health Authority.
PMID- 29811632
TI - The Thaw Trial.
PMID- 29811633
TI - Admission Department of a General Hospital.
PMID- 29811634
TI - Remedies and Their Uses.
PMID- 29811636
TI - Annotations.
PMID- 29811635
TI - The Cost of Nursing.
PMID- 29811637
TI - The Ophthalmoscope in Private Practice: Its Importance as an Aid to Diagnosis.
PMID- 29811638
TI - Economy in the Poor-Law Infirmary.
PMID- 29811639
TI - The Treatment of Insanity: Existing Defects and their Remedy: II. The Public
Asylum, the Registered Hospital, and the Licensed House.
PMID- 29811640
TI - Medical Opinion and Movement.
PMID- 29811641
TI - The Detection of Blood in Excreta.
PMID- 29811642
TI - Rectal Discomfort.
PMID- 29811643
TI - Medical Opinion and Movement.
PMID- 29811644
TI - Ozaena.
PMID- 29811645
TI - Haemorrhage in the Newly-Born.
PMID- 29811646
TI - Intubation of the Larynx in Diphtheria.
PMID- 29811647
TI - The Matron's Books.
PMID- 29811648
TI - The Physiological Effects of Prolonged Strain.
PMID- 29811649
TI - The Hospital Kitchen.
PMID- 29811650
TI - Hospital Meetings.
PMID- 29811651
TI - The Prevention of Abuse at the Hospitals.
PMID- 29811652
TI - The Administration of Anaesthetics.
PMID- 29811653
TI - The Common Forms of Dyspepsia in Women.
PMID- 29811654
TI - The Poor-Law Infirmary.
PMID- 29811655
TI - Annotations.
PMID- 29811656
TI - News and Coming Events.
PMID- 29811657
TI - The Common Task.
PMID- 29811659
TI - London Hospital Problems.
PMID- 29811658
TI - A Scientific Poultice.
PMID- 29811660
TI - The American Medical Association.
PMID- 29811662
TI - Diphtheria.
PMID- 29811663
TI - Frequency of Micturition in Women.
PMID- 29811664
TI - The Treatment of Acne Vulgaris.
PMID- 29811666
TI - The Metropolitan Hospital Sunday Fund.
PMID- 29811665
TI - The Common Task.
PMID- 29811667
TI - Loyalty to the past.
PMID- 29811668
TI - Some of the Papers.
PMID- 29811670
TI - Baraneck's Tuberculin.
PMID- 29811669
TI - Examination of Urine, Faeces, Etc.-I.
PMID- 29811671
TI - The Responsibility for Diseased Meat and Milk.
PMID- 29811673
TI - Distribution Committee's Report.
PMID- 29811672
TI - Suggestion without Sleep.
PMID- 29811674
TI - Medical Opinion and Movement.
PMID- 29811676
TI - Annotations.
PMID- 29811675
TI - The Council Meeting.
PMID- 29811677
TI - The Treatment of Backward Displacements of the Uterus.
PMID- 29811678
TI - News and Coming Events.
PMID- 29811680
TI - Three Cases of Abdominal Aneurysm.
PMID- 29811679
TI - The Homeless Class in London.
PMID- 29811682
TI - The Diseases of Children in Classical Times.
PMID- 29811683
TI - The Importance of General Therapeutics in the Treatment of Ocular Diseases.
PMID- 29811681
TI - Particulars of Awards.
PMID- 29811684
TI - A Sanatorium for Consumptive Children.
PMID- 29811686
TI - Scalp Eczema in Children.
PMID- 29811685
TI - The Diet during School Life.
PMID- 29811687
TI - The Milk Supply of Hospitals.
PMID- 29811688
TI - The Care of the Hands.
PMID- 29811689
TI - The Units of General Hospital Construction.
PMID- 29811690
TI - Current Hospital Topics.
PMID- 29811691
TI - News and Coming Events.
PMID- 29811692
TI - The Treatment of Insanity: Existing Defects and their Remedy: VI. Scientific
Methods Impossible Under Asylum System.
PMID- 29811693
TI - Epithelioma of the Tongue.
PMID- 29811694
TI - Nasal Discharge in Children.
PMID- 29811695
TI - Dermatological Brevities.
PMID- 29811696
TI - New Appliances and Things Medical.
PMID- 29811698
TI - Lunacy Certification in Scotland.
PMID- 29811697
TI - The Common Task.
PMID- 29811699
TI - Vocation or Living.
PMID- 29811700
TI - Malta Fever.
PMID- 29811701
TI - Medical Opinion and Movement.
PMID- 29811702
TI - Burns: Their Immediate Local Treatment.
PMID- 29811703
TI - Social and Poor Law Problems.
PMID- 29811704
TI - Annotations.
PMID- 29811706
TI - Some Affections of the Heart Concerned in Sudden Death: IV. The Cardiac Muscle.
PMID- 29811705
TI - Diaphragmatic Pleurisy.
PMID- 29811707
TI - The Serum Treatment of Cerebro-Spinal Fever.
PMID- 29811708
TI - Points in Regard to Phthisis Pulmonalis.
PMID- 29811709
TI - The Units of General Hospital Construction.
PMID- 29811710
TI - Annotations.
PMID- 29811711
TI - The Hospital's Commission on Light Wines.
PMID- 29811713
TI - The Poor-Law Infirmary.
PMID- 29811712
TI - The Vagaries of Blood-Pressure.
PMID- 29811714
TI - Reorganisation and the Volunteer Medical Officers.
PMID- 29811715
TI - Clinical Cases.
PMID- 29811716
TI - The Importance of Estimates.
PMID- 29811717
TI - Humanity on the Battlefield.
PMID- 29811718
TI - New Appliances and Things Medical.
PMID- 29811719
TI - Pilocarpine Injection.
PMID- 29811720
TI - Medical Advice on the Grand Scale.
PMID- 29811721
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29811722
TI - The Metropolitan Water Board and the London Hospitals.
PMID- 29811723
TI - Intussusception.
PMID- 29811724
TI - Dermatological Brevities.
PMID- 29811726
TI - The Surreptitious Abolition of Compulsory Vaccination.
PMID- 29811725
TI - The Treatment of Glycosuria by Trypsin and Secretin.
PMID- 29811727
TI - The Treatment of Patients Found Unconscious.
PMID- 29811728
TI - Medical Opinion and Movement.
PMID- 29811729
TI - Strophanthus and Strophanthin.
PMID- 29811730
TI - The Requirements of Partial Anaesthesia: II. In Relief of Pain.
PMID- 29811732
TI - News and Coming Events.
PMID- 29811731
TI - Special Hospitals and Their Visiting Staffs-A Disclaimer.
PMID- 29811733
TI - The Common Task.
PMID- 29811734
TI - Chronic or Subacute Arthritis: The Hot Sand Bath Treatment.
PMID- 29811736
TI - A Modern Medical Journal.
PMID- 29811735
TI - Introductory.
PMID- 29811737
TI - The Reform and Control of the Casualty Department.
PMID- 29811738
TI - Medical Practice and the Companies Act.
PMID- 29811739
TI - Current Hospital Topics.
PMID- 29811740
TI - Mental Disease and Mental Death: Is the Present Asylum System a Failure? I. The
Existing Position.
PMID- 29811741
TI - Nephritis Following the Use of Certain of the Balsams.
PMID- 29811743
TI - Pathology in General Practice: The Demonstration of the Different Cocci and their
Significance.
PMID- 29811742
TI - Medico-Legal Cases.
PMID- 29811744
TI - News and Coming Events.
PMID- 29811745
TI - Medical Opinion and Movement.
PMID- 29811747
TI - The Proposed Legislation in Favour of Nursing Reform.
PMID- 29811746
TI - Remedies and Their Uses.
PMID- 29811748
TI - The Entrance to a General Hospital.
PMID- 29811749
TI - Concerning Stiffness of the Spine.
PMID- 29811750
TI - A Useful New Appliance.
PMID- 29811751
TI - Hospital Residents and Hospital Abuse.
PMID- 29811752
TI - Annotations.
PMID- 29811753
TI - The Early Detection of Tuberculosis of the Bladder.
PMID- 29811755
TI - Otology: Acute Otitis Media.
PMID- 29811754
TI - The Diagnosis and Treatment of Fractures.
PMID- 29811756
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29811757
TI - Modern Alterations in the Matron's Position.
PMID- 29811759
TI - The General Practitioner and Original Research.
PMID- 29811758
TI - Poor-Law Infirmaries: Their Possibilities in the Furtherance of Medical
Education.
PMID- 29811761
TI - Life Insurance and Diseases of the Ear.
PMID- 29811760
TI - News and Coming Events.
PMID- 29811763
TI - Bromide Rashes.
PMID- 29811762
TI - Treatment of Conjunctivitis.
PMID- 29811765
TI - Infection of the Nose in Diphtheria.
PMID- 29811764
TI - The Administration of Anaesthetics.
PMID- 29811766
TI - Construction Notes.
PMID- 29811767
TI - Differential Leucocyte Counts Which May Assist Diagnosis.
PMID- 29811768
TI - New Appliances and Things Medical.
PMID- 29811769
TI - Medical Opinion and Movement.
PMID- 29811770
TI - The Matron's Books.
PMID- 29811772
TI - Xerodermia and Allied Affections.
PMID- 29811771
TI - The Clinical Diagnosis of Fevers in the Tropics.
PMID- 29811774
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29811773
TI - Annotations.
PMID- 29811775
TI - The Reconstruction of St. Bartholomew's Hospital.
PMID- 29811776
TI - House Physician or House Surgeon?
PMID- 29811777
TI - The Fitting and Furnishing of Wards and Operating Theatres.
PMID- 29811778
TI - Public Health Legislation.
PMID- 29811779
TI - Social and Poor-Law Problems.
PMID- 29811780
TI - Acetyl-Salicylic Acid in the Treatment of Chorea.
PMID- 29811782
TI - The Treatment of Fractures from a Common-Sense Point of View: General
Consideration.
PMID- 29811781
TI - Treatment by Suggestion without Sleep.
PMID- 29811783
TI - Abstracts from the Reports of Medical Officers of Health.
PMID- 29811784
TI - On Interesting Cases.
PMID- 29811785
TI - Calcium Salts in Ulcers of the Legs and in Chilblains.
PMID- 29811786
TI - Structural and Practical Departments.
PMID- 29811787
TI - Eczema: Its Local and General Treatment.
PMID- 29811788
TI - The Essence of Mental Training.
PMID- 29811789
TI - The Diagnosis of the Site of the Lesion in Intestinal Obstruction.
PMID- 29811790
TI - New Appliances and Things Medical.
PMID- 29811791
TI - Treatment of Conjunctivitis: II. Purulent and Gonorrhoeal Conjunctivitis.
PMID- 29811792
TI - Laryngeal Spasm in Children.
PMID- 29811793
TI - Annotations.
PMID- 29811795
TI - The Examination of Urine.
PMID- 29811794
TI - Nucleoproteid in Urine.
PMID- 29811796
TI - Clinical Instruction and Examination in Edinburgh.
PMID- 29811797
TI - The Spending of Half a Million of Money.
PMID- 29811799
TI - Poulticing.
PMID- 29811798
TI - Suggestion without Sleep.
PMID- 29811800
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29811801
TI - Medical Opinion and Movement.
PMID- 29811803
TI - News and Coming Events.
PMID- 29811805
TI - The Congress on School Hygiene.
PMID- 29811804
TI - The Detection of Stone within the Urinary Organs.
PMID- 29811807
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29811806
TI - Plummer's Pill: Its Insolubility.
PMID- 29811808
TI - The Extraction of Cataract.
PMID- 29811809
TI - Annotations.
PMID- 29811810
TI - Pyrexia in Uncomplicated Cirrhosis of the Liver.
PMID- 29811811
TI - Haemorrhage from the Uterus after the Menopause.
PMID- 29811812
TI - Brain Abscess.
PMID- 29811814
TI - Hypodermic Medication.
PMID- 29811813
TI - Periodic Bronchitis in Children.
PMID- 29811816
TI - French Law and Custom for English Visitors.
PMID- 29811815
TI - St. Bartholomew's Hospital.
PMID- 29811817
TI - Powers of Entry under the Public Health (London) Act.
PMID- 29811818
TI - The Units of General Hospital Construction.
PMID- 29811819
TI - Cancer among the Incas.
PMID- 29811820
TI - Cottage Hospital Practice.
PMID- 29811821
TI - News and Coming Events.
PMID- 29811822
TI - Superficial Mastoid Abscess: Its Significance and Treatment.
PMID- 29811823
TI - The Central Organisation of the Public Medical Services: Should There be a
Minister of Health?
PMID- 29811824
TI - The Common Task.
PMID- 29811825
TI - The Ethical Aspects of Medical Consultation.
PMID- 29811826
TI - Preliminary Training for Probationers.
PMID- 29811827
TI - The Treatment of Scalp Wounds.
PMID- 29811828
TI - Infantile Scurvy.
PMID- 29811829
TI - Medical Opinion and Movement.
PMID- 29811830
TI - Glandular Fever.
PMID- 29811831
TI - National Sanatorium for Workers Suffering from Tuberculosis, Benenden, Kent.
PMID- 29811832
TI - Hospital Residents and Economy.
PMID- 29811833
TI - Current Hospital Topics.
PMID- 29811834
TI - The Supply of Probationers.
PMID- 29811836
TI - The Treatment of Insanity: Existing Defects and their Remedy: IV. Where Alienism
is Lamentably Wanting.
PMID- 29811835
TI - General Practitioners and Hospital Residents.
PMID- 29811837
TI - Annotations.
PMID- 29811838
TI - The Status Lymphaticus.
PMID- 29811840
TI - Birds and Their Nests.
PMID- 29811839
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29811841
TI - Death Certification and Registration.
PMID- 29811842
TI - The Murmurs of Aortic Incompetence.
PMID- 29811843
TI - The Units of General Hospital Construction.
PMID- 29811844
TI - Prognosis in Hepatic Cirrhosis.
PMID- 29811845
TI - An Almoner's Work in a General Hospital.
PMID- 29811846
TI - Remedies and Their Uses.
PMID- 29811847
TI - The Common Task.
PMID- 29811849
TI - Poor-Law Infirmaries: Their Possibilities in the Furtherance of Medical
Education: II. How Best to Utilise the Material.
PMID- 29811848
TI - The Treatment of Joints in Rheumatoid Arthritis.
PMID- 29811850
TI - News and Coming Events.
PMID- 29811851
TI - A Study of Alcohol.
PMID- 29811852
TI - Mr. H. Howgrave Graham.
PMID- 29811853
TI - Medical Opinion and Movement.
PMID- 29811854
TI - A Great Saving in Drugs.
PMID- 29811855
TI - The Units of General Hospital Construction.
PMID- 29811856
TI - New Appliances for Hospital Use.
PMID- 29811857
TI - Hock and Moselle.
PMID- 29811858
TI - Laryngeal Paralyses and Their Diagnostic Value.
PMID- 29811859
TI - Annotations.
PMID- 29811860
TI - News and Coming Events.
PMID- 29811861
TI - Current Hospital Topics.
PMID- 29811862
TI - Epithelioma of the Larynx.
PMID- 29811863
TI - Remedies and Their Uses.
PMID- 29811864
TI - A Few Features of the Drug Market.
PMID- 29811865
TI - Medico-Legal Questions.
PMID- 29811867
TI - The Mouthwash: Some Points in the Hygiene of the Teeth.
PMID- 29811866
TI - Another Medical Reformer.
PMID- 29811869
TI - Antidiphtheritic Serum.
PMID- 29811868
TI - Italian Wines.
PMID- 29811870
TI - Hospital Furniture and Furnishing.
PMID- 29811871
TI - Foreign Bodies in the External Auditory Meatus.
PMID- 29811872
TI - Medical Qualification and Hospital Appointments.
PMID- 29811873
TI - Locum Tenencies: From the "Locum's" Point of View.
PMID- 29811874
TI - Congenital Retroversion of the Uterus and Pregnancy: The Relations Existing
between Them.
PMID- 29811875
TI - Medical Opinion and Movement.
PMID- 29811877
TI - How to Arrive at Estimates.
PMID- 29811876
TI - The Inter-Alveolar Pores of the Lung: Their Role in Emphysema and in the Spread
of Pneumonia.
PMID- 29811878
TI - Andover Cottage Hospital.
PMID- 29811879
TI - Lord Cromer and Improved Hygiene in Egypt.
PMID- 29811880
TI - Champagne.
PMID- 29811882
TI - Sleeping Sickness.
PMID- 29811881
TI - The Present Day Treatment of Syphilis in England.
PMID- 29811883
TI - Social Problems of the Day.
PMID- 29811884
TI - Diagram of the Weekly Death Rate in 1907.
PMID- 29811885
TI - The Surgical Treatment of Duodenal Ulcer.
PMID- 29811886
TI - Recent Researches on Kala Azar.
PMID- 29811887
TI - The Proper Housing of Incurables.
PMID- 29811889
TI - Annotations.
PMID- 29811888
TI - The New Advance at the International Congress of School Hygiene.
PMID- 29811890
TI - Social and Poor Law Problems.
PMID- 29811891
TI - The Treatment of Fractures from a Common-Sense Point of View: II. Splints and
Retentive Apparatus.
PMID- 29811893
TI - Temperance and Light Wines.
PMID- 29811892
TI - Migraine.
PMID- 29811894
TI - Medical Opinion and Movement.
PMID- 29811895
TI - Liverpool School of Tropical Medicine.
PMID- 29811896
TI - Limited Term Appointments of Medical Officers of Health.
PMID- 29811897
TI - Congenital Talipes Equino-Varus: I. General Considerations.
PMID- 29811898
TI - Rose-Bradford Kidneys.
PMID- 29811899
TI - School Attendance and Public Health.
PMID- 29811900
TI - Observations on Intra-Cranial Tuberculosis in Childhood.
PMID- 29811901
TI - Diseases of the Nails.
PMID- 29811902
TI - The Common Task.
PMID- 29811903
TI - Training in Poor-Law Infirmaries.
PMID- 29811904
TI - News and Coming Events.
PMID- 29811905
TI - Congress on School Hygiene.
PMID- 29811906
TI - Prognosis in Burns and Scalds.
PMID- 29811907
TI - The Units of General Hospital Construction.
PMID- 29811909
TI - Annotations.
PMID- 29811908
TI - On Treatment by Suggestion without Sleep.
PMID- 29811910
TI - Lord Lister in the City.
PMID- 29811911
TI - Iodipin.
PMID- 29811912
TI - Construction Notes.
PMID- 29811913
TI - The Common Task.
PMID- 29811915
TI - The Treatment of Furunculosis and Suppurative Acne: With Special Reference to
Bier's Method.
PMID- 29811914
TI - New Appliances and Things Medical.
PMID- 29811916
TI - Voice Hygiene.
PMID- 29811917
TI - Disorders of Sleep.
PMID- 29811918
TI - The Fever Nurse.
PMID- 29811919
TI - Golf and Binocular Vision.
PMID- 29811920
TI - Medical Opinion and Movement.
PMID- 29811921
TI - Asthma Powders.
PMID- 29811922
TI - Cocci: Their Demonstration and Significance.
PMID- 29811923
TI - Two Important Metropolitan Hospitals.
PMID- 29811924
TI - Hock and Moselle.
PMID- 29811925
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29811926
TI - News and Coming Events.
PMID- 29811927
TI - The Notification of Births Bill.
PMID- 29811928
TI - Cerebral Haemorrhage Due to Prolapsus Uteri.
PMID- 29811929
TI - Hints on the Treatment of Errors of Refraction.
PMID- 29811930
TI - The Treatment of Influenza.
PMID- 29811931
TI - Two More Anaesthetic Fatalities.
PMID- 29811932
TI - Common Affections of the Skin of the Perinaeum and Adjacent Parts.
PMID- 29811933
TI - Mushroom Poisoning.
PMID- 29811934
TI - The Toxic Effects of Veronal.
PMID- 29811935
TI - Social and Poor-Law Problems.
PMID- 29811936
TI - Another Medical Reformer.
PMID- 29811937
TI - Athletics and Albuminuria.
PMID- 29811939
TI - Diagram of the Weekly Death Rate in 1907.
PMID- 29811938
TI - The Imperial Cancer Research Fund.
PMID- 29811940
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29811941
TI - The Treatment of Facial Lupus Vulgaris.
PMID- 29811942
TI - Employer and Servant.
PMID- 29811943
TI - Medical Opinion and Movement.
PMID- 29811945
TI - The Role of Sanatoria as a Factor in Checking Tuberculosis.
PMID- 29811944
TI - Annotations.
PMID- 29811947
TI - The Matron's Books.
PMID- 29811946
TI - Cerebro-Spinal Fever.
PMID- 29811948
TI - Hospitals for the Mentally Deficient.
PMID- 29811949
TI - The University of London and the South Kensington Institute.
PMID- 29811950
TI - Notes on Nine Cases of Fracture at the Upper End of the Humerus.
PMID- 29811951
TI - The Imperial Cancer Research Fund.
PMID- 29811952
TI - Practical Points.
PMID- 29811953
TI - The Units of General Hospital Construction.
PMID- 29811954
TI - Furunculosis of the External Auditory Meatus.
PMID- 29811955
TI - Sanitary Administration in Ireland.
PMID- 29811956
TI - Voyage D'Etudes Medicales.
PMID- 29811957
TI - News and Coming Events.
PMID- 29811958
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29811960
TI - Medical Opinion and Movement.
PMID- 29811959
TI - Diaphragmatic Pleurisy.
PMID- 29811961
TI - The Treatment of Insanity: Existing Defects and their Remedy: V. Hospital and
Asylum Methods Contrasted.
PMID- 29811963
TI - Medical Attendance on the Poor and the Public Health.
PMID- 29811962
TI - The Diagnosis of Early Consumption by the X-Rays.
PMID- 29811964
TI - Conjunctivitis, Iritis, or Glaucoma?
PMID- 29811965
TI - The Profession and the Voluntary Hospitals.
PMID- 29811967
TI - St. George's Hospital.
PMID- 29811966
TI - Essentials of Histology.
PMID- 29811968
TI - Economy in the Poor-Law Infirmary.
PMID- 29811969
TI - Some Affections of the Heart Concerned in Sudden Death: III. The Cardiac Muscle.
PMID- 29811970
TI - Poikilocytosis and Allied Conditions.
PMID- 29811971
TI - The Selection of Resident Medical Officers.
PMID- 29811973
TI - The Aim and Scope of Women's Work in Relation to Public Health.
PMID- 29811972
TI - Myringotomy: Incision of the Tympanic Membrane.
PMID- 29811974
TI - New Appliances and Things Medical.
PMID- 29811975
TI - Lectures to Nurses.
PMID- 29811976
TI - The Use of Jaborandi.
PMID- 29811977
TI - The Prince of Wales's General Hospital, Tottenham.
PMID- 29811978
TI - Annotations.
PMID- 29811979
TI - The So-Called Crisis in the University of London.
PMID- 29811980
TI - The Units of General Hospital Construction.
PMID- 29811982
TI - News and Coming Events.
PMID- 29811983
TI - Mixed Training.
PMID- 29811981
TI - Sprains: Their Examination and Treatment.
PMID- 29811984
TI - The Common Task.
PMID- 29811985
TI - The Skin in Spring-Time.
PMID- 29811986
TI - Health of Residents.
PMID- 29811987
TI - News and Coming Events.
PMID- 29811988
TI - Current Hospital Topics.
PMID- 29811989
TI - The Treatment of High Blood-Pressure.
PMID- 29811990
TI - The Requirements of Local Anaesthesia.
PMID- 29811991
TI - Annotations.
PMID- 29811992
TI - The Modern Treatment of Syphilis.
PMID- 29811994
TI - The Blood in Hodgkin's Disease.
PMID- 29811993
TI - Bristol General Hospital Isolation Wards.
PMID- 29811996
TI - Medical Opinion and Movement.
PMID- 29811995
TI - Lateral Curvature of the Spine in Children.
PMID- 29811998
TI - Some Paralytic Deformities in Children.
PMID- 29811997
TI - Ancient Eastern Methods: I. The Barber-Surgeon of the East.
PMID- 29811999
TI - Nursing Reform.
PMID- 29812000
TI - The General Practitioner and the Consultant.
PMID- 29812001
TI - Medical Defence or Protection Societies.
PMID- 29812003
TI - The Admission Department of a General Hospital.
PMID- 29812002
TI - The Treatment of Uterine Fibroids.
PMID- 29812005
TI - The Treatment of Insanity: Existing Defects and their Remedy: III. The Life in
Asylums, Hospitals, and Licensed Houses.
PMID- 29812004
TI - Pathology in General Practice: The Demonstration of Tubercle Bacilli and other
Acid-fast Bacilli (continued).
PMID- 29812007
TI - Congenital Hypertrophic Stenosis of the Pylorus.
PMID- 29812006
TI - The Resignations at the Sheffield Union Hospital.
PMID- 29812009
TI - The Duties of Royalty.
PMID- 29812008
TI - The Full Active Principle in Drugs: The Difficulty of being Certain that Drugs
Prescribed or Used in Dispensing Contain the Full Amount of Active Principle.
PMID- 29812010
TI - Convalescents.
PMID- 29812011
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812013
TI - Empyema of the Maxillary Antrum.
PMID- 29812014
TI - Visual Acuity and Retinal Disease.
PMID- 29812015
TI - The Treatment of Fractures from a Common-Sense Point of View: V. Compound
Fractures.
PMID- 29812016
TI - News and Coming Events.
PMID- 29812017
TI - Concerning Operations for Otorrhoea.
PMID- 29812018
TI - The New Field Ambulance of the British Army.
PMID- 29812019
TI - The Diagnosis of Pancreatic Disease.
PMID- 29812020
TI - Saturnine Encephalopathy.
PMID- 29812022
TI - Pyloric Stenosis in Infants.
PMID- 29812021
TI - A Contribution to the Study of Malignant Diseases.
PMID- 29812024
TI - Construction Notes.
PMID- 29812023
TI - The Nursing Institute.
PMID- 29812025
TI - Observations on Pulmonary Tuberculosis in Infancy and Early Childhood.
PMID- 29812026
TI - The Diagnosis of Typhoid Fever.
PMID- 29812027
TI - Free Food and Laziness.
PMID- 29812028
TI - Requests for Information.
PMID- 29812029
TI - Tuberculosis and Syphilis.
PMID- 29812031
TI - Atoxyl: Suggested Use in Leuchaemia.
PMID- 29812030
TI - Laceration of the Perineum.
PMID- 29812033
TI - Annotations.
PMID- 29812032
TI - Social Problems of the Day.
PMID- 29812034
TI - Medical Opinion and Movement.
PMID- 29812035
TI - Story of the Insane from Year to Year.
PMID- 29812036
TI - The Treatment of Fractures from a Common-Sense Point of View: VI. Fracture of the
Clavicle.
PMID- 29812037
TI - Current Hospital Topics.
PMID- 29812038
TI - Another Case of Saturnine Encephalopathy.
PMID- 29812039
TI - The Common Task.
PMID- 29812041
TI - The Diagnosis and Treatment of Barbers' Rashes.
PMID- 29812040
TI - Anthrax and Its Treatment with Sclavo's Serum.
PMID- 29812042
TI - Should Spendthrifts Be Placed under Restraint.
PMID- 29812043
TI - Differential Leucocyte Counts Which May Assist Diagnosis.
PMID- 29812044
TI - News and Coming Events.
PMID- 29812045
TI - Medical Opinion and Movement.
PMID- 29812046
TI - Social Problems of the Day.
PMID- 29812047
TI - The Treatment for Tape-Worm.
PMID- 29812049
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812048
TI - Annotations.
PMID- 29812050
TI - The Examination of Faeces.
PMID- 29812051
TI - The Medical Inspection of School Children and the Appointment of Dr. Newman.
PMID- 29812052
TI - Sir James Crichton-Browne on Adulteration.
PMID- 29812053
TI - The Treatment of Rheumatic Fever.
PMID- 29812054
TI - Notes on Current Neurology.
PMID- 29812056
TI - The Royal Devon and Exeter Hospital.
PMID- 29812055
TI - A Case of Haematuria.
PMID- 29812057
TI - The Diagnosis of Tuberculous Laryngitis.
PMID- 29812058
TI - Annotations.
PMID- 29812060
TI - Additions to the North Devon Infirmary at Barnstaple.
PMID- 29812059
TI - The Crisis in the British Medical Association.
PMID- 29812061
TI - Observations upon Intra-Cranial Tuberculosis in Childhood.
PMID- 29812063
TI - Epidemic Cerebro-Spinal Meningitis: Vaccine Treatment.
PMID- 29812062
TI - New Appliances and Things Medical.
PMID- 29812064
TI - Medical Opinion and Movement.
PMID- 29812065
TI - Abdominal Operations.
PMID- 29812066
TI - Hospital Methods at Melbourne.
PMID- 29812067
TI - Training in Poor-Law Infirmaries.
PMID- 29812068
TI - Social and Poor-Law Problems.
PMID- 29812069
TI - The Treatment of Fractures from a Common-Sense Point of View: III. Operative
Treatment.
PMID- 29812070
TI - Hysteria.
PMID- 29812071
TI - News and Coming Events.
PMID- 29812072
TI - Myocarditis.
PMID- 29812073
TI - After Pains.
PMID- 29812074
TI - Ambulatory Typhoid.
PMID- 29812075
TI - Notes on Current Neurology.
PMID- 29812076
TI - The Diagnosis of Trachoma.
PMID- 29812077
TI - Congenital Talipes Equino-Varus: II. Treatment.
PMID- 29812079
TI - Calomel and Ulcerative Colitis in Septic Conditions.
PMID- 29812078
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812080
TI - A Curious Bit of Folklore.
PMID- 29812081
TI - Congenital Talipes Equino-Varus: III. Treatment.
PMID- 29812082
TI - Annotations.
PMID- 29812083
TI - News and Coming Events.
PMID- 29812084
TI - The Matron Abroad.
PMID- 29812085
TI - Typhoid Fever at Peterhead.
PMID- 29812087
TI - Acute General Peritonitis from Perforation of Carcinoma Coli.
PMID- 29812086
TI - The More Hopeful Aspect of Intra-Cranial Tumours.
PMID- 29812088
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812089
TI - Marginal Blepharitis.
PMID- 29812090
TI - The Northampton Hospital.
PMID- 29812091
TI - Southend and Its Medical Officer.
PMID- 29812092
TI - New Appliances and Things Medical.
PMID- 29812094
TI - Diagram of the Weekly Death Rate in 1907.
PMID- 29812093
TI - Hereditary Predisposition in Phthisis.
PMID- 29812096
TI - Medical Opinion and Movement.
PMID- 29812095
TI - Angio-Neurotic OEdema.
PMID- 29812097
TI - Remedies and Their Uses.
PMID- 29812098
TI - The Treatment of Fractures from a Common-Sense Point of View: IV. The Value of
Massage and Passive Movement.
PMID- 29812100
TI - The Common Task.
PMID- 29812099
TI - The Reduction of Infant Mortality.
PMID- 29812102
TI - Literary Work.
PMID- 29812101
TI - Schools for Recent Graduates.
PMID- 29812103
TI - London.
PMID- 29812104
TI - Microscopes.
PMID- 29812106
TI - Ireland.
PMID- 29812105
TI - Looking Back.
PMID- 29812107
TI - Cambridge University.
PMID- 29812108
TI - The Fellowship.
PMID- 29812110
TI - The Army, the Navy, and Indian Medical Service.
PMID- 29812109
TI - Public Health Work.
PMID- 29812111
TI - West London Post-Graduate College.
PMID- 29812112
TI - The London School of Clinical Medicine at the Seamen's Hospital ("Dreadnought"),
Greenwich.
PMID- 29812114
TI - Provincial Schools.
PMID- 29812113
TI - London as an Educational Centre.
PMID- 29812116
TI - Medicine.
PMID- 29812115
TI - The Medical Department of Johns Hopkins University.
PMID- 29812117
TI - Tropical Medicine.
PMID- 29812118
TI - The Conjoint Course.
PMID- 29812119
TI - Higher Qualifications for Practitioners.
PMID- 29812120
TI - Surgery.
PMID- 29812121
TI - Opportunities for the Qualified Practitioner.
PMID- 29812122
TI - The Scottish and Irish Medical Schools.
PMID- 29812123
TI - The London.
PMID- 29812124
TI - What Study Abroad Holds out for the Graduate.
PMID- 29812125
TI - Scotland.
PMID- 29812126
TI - The London Polyclinic.
PMID- 29812127
TI - Other Universities.
PMID- 29812128
TI - Qualification and Registration.
PMID- 29812129
TI - Remedies and Their Uses.
PMID- 29812131
TI - An Imperial Pharmacopoeia.
PMID- 29812132
TI - Our City Noises.
PMID- 29812130
TI - School Diseases.
PMID- 29812133
TI - New Appliances and Things Medical.
PMID- 29812134
TI - The Hospital. Nursing Section.
PMID- 29812136
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812135
TI - Resumes of the Various Addresses.
PMID- 29812137
TI - Irrigants and Syringes: Their Defects and Dangers.
PMID- 29812138
TI - The Huxley Lecture: Professor Pawlow's Address at Charing Cross Hospital.
PMID- 29812139
TI - The Fitting and Furnishing of Wards and Operating Theatres.
PMID- 29812141
TI - Current Hospital Topics.
PMID- 29812140
TI - German Science and English Newspapers.
PMID- 29812142
TI - St. Luke's Hospital, New York.
PMID- 29812143
TI - The Depreciation of Medicine: Its Causes and Remedies.
PMID- 29812145
TI - Annotations.
PMID- 29812144
TI - Medical Opinion and Movement.
PMID- 29812146
TI - Annotations.
PMID- 29812148
TI - Medical Opinion and Movement.
PMID- 29812147
TI - Treatment of Operating Theatre Walls.
PMID- 29812149
TI - Some Notes on the Brewers' Exhibition.
PMID- 29812150
TI - Lord Rosebery on the Increase of Insanity.
PMID- 29812152
TI - Nurses' Home at the Weston-Super-Mare Hospital.
PMID- 29812151
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812153
TI - The Hospital. Nursing Section.
PMID- 29812154
TI - Remedies and Their Uses.
PMID- 29812156
TI - Current Hospital Topics.
PMID- 29812155
TI - Ethyl Chloride Anaesthesia: Practical Points in Its Administration.
PMID- 29812157
TI - The Treatment of Some Common Affections of the Feet.
PMID- 29812158
TI - The Present Discontent.-II.
PMID- 29812160
TI - Trips for Health and Pleasure.
PMID- 29812159
TI - Annotations.
PMID- 29812161
TI - Epilepsy and Its Treatment: Being an Abstract of a Lecture.
PMID- 29812162
TI - The League of Mercy.
PMID- 29812163
TI - Cancer and Its Cure.
PMID- 29812164
TI - King Edward's Hospital Fund for London.
PMID- 29812165
TI - King Edward's Hospital Fund for London.
PMID- 29812166
TI - Medical Opinion and Movement.
PMID- 29812167
TI - Ceylon's Hospitals.
PMID- 29812169
TI - The Hospital. Nursing Section.
PMID- 29812168
TI - Influenza and Local Immunity.
PMID- 29812170
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812171
TI - Remedies and Their Uses.
PMID- 29812172
TI - H.R.H. The Prince of Wales's Speech.
PMID- 29812173
TI - Ethyl Chloride-Its Advantages and Disadvantages.
PMID- 29812174
TI - The Present Discontent.-I.
PMID- 29812175
TI - The Hospital. Nursing Section.
PMID- 29812176
TI - Rodent Ulcer and Its Treatment by Zinc Ions.
PMID- 29812177
TI - The Fitting and Furnishing of Wards and Operating Theatres.
PMID- 29812178
TI - Ethyl Chloride Anaesthesia: Practical Points in Its Administration.
PMID- 29812180
TI - Safety for Miners after Explosions.
PMID- 29812179
TI - Annotations.
PMID- 29812181
TI - Medical Opinion and Movement.
PMID- 29812183
TI - On the Wrong Tack-The General Practitioners and Hospital Abuse.
PMID- 29812182
TI - On the Indications for Instrumental Interference in Labour.
PMID- 29812184
TI - New Appliances and Things Medical.
PMID- 29812185
TI - The Use of Cyllin in the Treatment of Mediterranean Fever.
PMID- 29812186
TI - The Hospital. Nursing Section.
PMID- 29812187
TI - Dentistry Qualifications.
PMID- 29812188
TI - New Appliances and Things Medical.
PMID- 29812189
TI - On Meniere's Disease and Its Allied Conditions.
PMID- 29812191
TI - Medical and Social Problems in the Scottish Highlands.
PMID- 29812190
TI - Social and Poor Law Problems.
PMID- 29812192
TI - The Fitting and Furnishing of Wards and Operating Theatres.
PMID- 29812193
TI - Metropolitan Street Ambulance Association.
PMID- 29812194
TI - Dispensary Teaching in Edinburgh.
PMID- 29812195
TI - The Harveian Oration.
PMID- 29812196
TI - The Diagnosis of Pregnancy.
PMID- 29812198
TI - Remedies and Their Uses.
PMID- 29812197
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812199
TI - Annotations.
PMID- 29812200
TI - Current Hospital Topics.
PMID- 29812201
TI - Medical Opinion and Movement.
PMID- 29812202
TI - The Chichester Infirmary.
PMID- 29812203
TI - The General Medical Council Election.
PMID- 29812204
TI - North-Eastern Hospital for Children.
PMID- 29812206
TI - Rickets.
PMID- 29812205
TI - The Hospital. Nursing Section.
PMID- 29812207
TI - A Lecture on the Treatment of Gout.
PMID- 29812209
TI - The Medical Conscience.
PMID- 29812208
TI - Hospital Abuse.
PMID- 29812210
TI - The Misuse of Medical Charities.
PMID- 29812211
TI - Annotations.
PMID- 29812212
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812213
TI - Medical Opinion and Movement.
PMID- 29812214
TI - United Hospitals Conference of Great Britain and Ireland.
PMID- 29812215
TI - Royal Free Hospital Festival Dinner.
PMID- 29812217
TI - The Story of the Insane from Year to Year.
PMID- 29812216
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812218
TI - Remedies and Their Uses.
PMID- 29812219
TI - The Hospital. Nursing Section.
PMID- 29812220
TI - The Fitting and Furnishing of Wards and Operating Theatres.
PMID- 29812221
TI - Annotations.
PMID- 29812222
TI - Some Experiences in the Spread of Scarlet Fever.
PMID- 29812223
TI - The City Hospital for Infectious Diseases, Liverpool.
PMID- 29812224
TI - A Clinical Lecture on the Causes of Paralysis of the Third Nerve.
PMID- 29812225
TI - Union of the Medical Societies of London.
PMID- 29812226
TI - Current Hospital Topics.
PMID- 29812228
TI - The Food Value of Milk.
PMID- 29812227
TI - Medical Opinion and Movement.
PMID- 29812230
TI - Opening of the Extension of the Central Throat and Ear Hospital.
PMID- 29812229
TI - The Hospital. Nursing Section.
PMID- 29812231
TI - Improper Foods as a Factor in Infantile Mortality.
PMID- 29812232
TI - The History of the Study of Clinical Medicine in Great Britain.
PMID- 29812233
TI - District Councils and Sanatoria.
PMID- 29812234
TI - National Association for the Feeble-Minded.
PMID- 29812235
TI - Current Hospital Topics.
PMID- 29812236
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812237
TI - The Spread of Disease by Civilisation.
PMID- 29812238
TI - On the Relative Value of Certain Physical Signs.
PMID- 29812239
TI - Annotations.
PMID- 29812240
TI - The Story of the Insane from Year to Year.
PMID- 29812241
TI - Hospital Abuse and Medical Discontent.
PMID- 29812242
TI - New Trade Products.
PMID- 29812243
TI - Medical Opinion and Movement.
PMID- 29812244
TI - Remedies and Their Uses.
PMID- 29812245
TI - The Hospital. Nursing Section.
PMID- 29812246
TI - Preservatives in Food.
PMID- 29812247
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812248
TI - Remedies and Their Uses.
PMID- 29812249
TI - Drug Fallacies.
PMID- 29812251
TI - Hospital Sunday Fund.
PMID- 29812250
TI - Hospital Out-Patient Treatment of Infants.
PMID- 29812253
TI - Chronic Arterial Degeneration.
PMID- 29812252
TI - Medical Opinion and Movement.
PMID- 29812254
TI - The Hospital Officers' Association.
PMID- 29812255
TI - The Hospital Library and Charities Bureau.
PMID- 29812256
TI - The Modern Treatment of Cancer.
PMID- 29812257
TI - Annotations.
PMID- 29812258
TI - Chylous and Chyliform Effusions.
PMID- 29812259
TI - Current Hospital Topics.
PMID- 29812261
TI - Hospital Needs and Invested Funds.
PMID- 29812260
TI - Ancient Methods of Treatment: Cutting for Stone.
PMID- 29812263
TI - Life in a Scotch Fever Hospital: A Patient's Experience.
PMID- 29812262
TI - On Some Forms of Baldness.
PMID- 29812264
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812265
TI - Worthington's Ales.
PMID- 29812266
TI - The Hospital. Nursing Section.
PMID- 29812267
TI - Modern Highland Problems.
PMID- 29812268
TI - Nurse's Butter.
PMID- 29812269
TI - A Thursday Afternoon Clinique at the London Polyclinic.
PMID- 29812270
TI - University College Hospital.
PMID- 29812271
TI - The Hospital Library and Charities Bureau.
PMID- 29812272
TI - Medical Opinion and Movement.
PMID- 29812273
TI - Structural and Practical Departments.
PMID- 29812274
TI - Hospital Abuse and Medical Discontent.
PMID- 29812275
TI - Annotations.
PMID- 29812276
TI - The Food of the People.
PMID- 29812277
TI - Acute Rheumatism in the Young.
PMID- 29812278
TI - Metropolitan Hospital Sunday Fund.
PMID- 29812279
TI - The Hospital Secretariat.
PMID- 29812280
TI - Recent Misadventures under Anaesthetics.
PMID- 29812281
TI - Southern v. Thomas and Skyrme.
PMID- 29812282
TI - Public Aspects of the Prevention of Consumption.
PMID- 29812283
TI - The Teaching of Materia Medica and Therapeutics.
PMID- 29812284
TI - Consultants and General Practitioners Debate.
PMID- 29812285
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812286
TI - Remedies and Their Uses.
PMID- 29812287
TI - Current Hospital Topics.
PMID- 29812288
TI - Medical Opinion and Movement.
PMID- 29812289
TI - Annotations.
PMID- 29812290
TI - The Hospital. Nursing Section.
PMID- 29812291
TI - The Chichester Infirmary.
PMID- 29812292
TI - Recent Advances in the Surgery of the Blood-Vessels.
PMID- 29812293
TI - Remedies and Their Uses.
PMID- 29812295
TI - Annotations.
PMID- 29812294
TI - Current Hospital Topics.
PMID- 29812296
TI - New Appliances and Things Medical.
PMID- 29812297
TI - The Latest South American Liner.
PMID- 29812298
TI - St. Andrew's Hospital, Northampton.
PMID- 29812299
TI - Trips for Health and Pleasure.
PMID- 29812300
TI - The Inspection of Meat.
PMID- 29812301
TI - Ancient Methods of Treatment: Of the Cure of the Scurvy.
PMID- 29812302
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812303
TI - New Zealand and the Supervision of Its Meat Supplies.
PMID- 29812304
TI - The Economics of Tuberculosis.
PMID- 29812305
TI - The Fitting and Furnishing of Wards and Operating Theatres.
PMID- 29812306
TI - The Grimsby District Hospital.
PMID- 29812307
TI - Clinical Lecture on Diseases of the Pharynx.
PMID- 29812308
TI - The Hospital. Nursing Section.
PMID- 29812309
TI - The Story of the Insane from Year to Year.
PMID- 29812310
TI - The Hospital Library and Charities Bureau.
PMID- 29812311
TI - Notes on the Open-Air Treatment of Consumption.
PMID- 29812312
TI - Social and Poor Law Problems.
PMID- 29812314
TI - The Hospital. Nursing Section.
PMID- 29812313
TI - A Clinique on Some Conditions Affecting Infants and Young Children.
PMID- 29812316
TI - The United Kingdom Hospital Conference.
PMID- 29812315
TI - Poculum Caritatis-The Cup of Kindness.
PMID- 29812317
TI - Medical Opinion and Movement.
PMID- 29812318
TI - Brompton Hospital for Consumption.
PMID- 29812319
TI - Medical Confidences and the Courts of Law.
PMID- 29812320
TI - Middlesex District Councils' Association.
PMID- 29812321
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812322
TI - New Block at the Milton Hospital for Infectious Diseases, Portsmouth.
PMID- 29812323
TI - An American View of English Ways.
PMID- 29812324
TI - Current Hospital Topics.
PMID- 29812326
TI - Golden Wedding Munificence.
PMID- 29812325
TI - A Demonstration on Disseminated Sclerosis.
PMID- 29812327
TI - A Clinical Lecture on Flat-Foot.
PMID- 29812328
TI - Annotations.
PMID- 29812329
TI - Action of Salicylates in Acute Rheumatism.
PMID- 29812330
TI - Remedies and Their Uses.
PMID- 29812331
TI - A Lecture on Syphilis of the Nervous System.
PMID- 29812332
TI - Remedies and Their Uses.
PMID- 29812333
TI - Research versus Teaching.
PMID- 29812334
TI - Rural Water Supplies.
PMID- 29812335
TI - Metropolitan Hospital Sunday Fund.
PMID- 29812338
TI - The Diet of To-Day.
PMID- 29812337
TI - The Epileptic Colony at Chalfont.
PMID- 29812336
TI - The Limitations of Surgery.
PMID- 29812339
TI - The Hospital. Nursing Section.
PMID- 29812340
TI - Isolation Hospitals in Guernsey.
PMID- 29812341
TI - Social and Poor Law Problems.
PMID- 29812342
TI - Medical Opinion and Movement.
PMID- 29812343
TI - Influenza and Local Immunity.
PMID- 29812344
TI - The Use of Cocaine by Dentists.
PMID- 29812345
TI - Annotations.
PMID- 29812346
TI - Current Hospital Topics.
PMID- 29812347
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812348
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812349
TI - The Hospital. Nursing Section.
PMID- 29812350
TI - Medical Opinion and Movement.
PMID- 29812352
TI - Health-Training in Elementary Schools.
PMID- 29812351
TI - Annotations.
PMID- 29812353
TI - Opium in Cerebro-Spinal Meningitis.
PMID- 29812354
TI - Remedies and Their Uses.
PMID- 29812355
TI - Two Cases of Hydatid of the Liver.
PMID- 29812356
TI - York City Asylum.
PMID- 29812357
TI - The Hospital Library and Charities Bureau.
PMID- 29812358
TI - The Treatment of General Peritonitis.
PMID- 29812359
TI - The Diagnosis of Abdominal Diseases: Being Notes of a Demonstration Showing Its
Difficulty.
PMID- 29812361
TI - A Vaccination Difficulty.
PMID- 29812360
TI - A Hint to County Hospitals.
PMID- 29812362
TI - Current Hospital Topics.
PMID- 29812363
TI - Medical Opinion and Movement.
PMID- 29812364
TI - Ptomaines and Other Food Dangers.
PMID- 29812365
TI - The Story of the Insane from Year to Year.
PMID- 29812366
TI - The Hospital. Nursing Section.
PMID- 29812367
TI - Royal Albert Asylum at Lancaster.
PMID- 29812368
TI - Annotations.
PMID- 29812369
TI - The Administration of Hypnotics.
PMID- 29812370
TI - Current Hospital Topics.
PMID- 29812371
TI - The Treatment by Massage of Sprains, Bruises, and Dislocations.
PMID- 29812372
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812373
TI - British Hospitals and Paying Patients.
PMID- 29812374
TI - Social and Poor Law Problems.
PMID- 29812376
TI - Cancer and Its Modern Treatment.
PMID- 29812375
TI - Visitors to Hospital Patients.
PMID- 29812378
TI - St. Asaph Union Workhouse Infirmary.
PMID- 29812377
TI - The Diet of To-Day.
PMID- 29812379
TI - Doctors and Death as a Natural Instinct.
PMID- 29812380
TI - The Corporation of London.
PMID- 29812381
TI - Current Hospital Topics.
PMID- 29812382
TI - Remedies and Their Uses.
PMID- 29812383
TI - Laboratory Diagnoses.
PMID- 29812384
TI - Some New Local Anaesthetics.
PMID- 29812385
TI - The Metropolitan Hospital Sunday Fund and Letters of Recommendation.
PMID- 29812386
TI - The Activity of the Renal Function: A Demonstration of the Methods Employed in
Its Estimation.
PMID- 29812387
TI - Annotations.
PMID- 29812388
TI - The Hospital. Nursing Section.
PMID- 29812389
TI - The Story of the Insane from Year to Year.
PMID- 29812390
TI - Excessive Free Medical Relief.
PMID- 29812391
TI - Social and Poor Law Problems.
PMID- 29812392
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812393
TI - The Rational Use of Disinfectants.
PMID- 29812394
TI - Medical Opinion and Movement.
PMID- 29812396
TI - Hospital Abuse and the General Practitioner.
PMID- 29812395
TI - Oesophagoscopy: Demonstration to Practitioners at the Central London Throat,
Nose, and Ear Hospital.
PMID- 29812397
TI - The Park Hospital for Infectious Diseases, Reading.
PMID- 29812398
TI - The Treatment of Fractures.
PMID- 29812400
TI - The Hospital. Nursing Section.
PMID- 29812399
TI - A Sad Case.
PMID- 29812401
TI - The Dull or Backward Child.
PMID- 29812402
TI - The Rational Use of Disinfectants.
PMID- 29812404
TI - Medical Opinion and Movement.
PMID- 29812403
TI - The Electrical Conductivity of the Blood and Urine.
PMID- 29812406
TI - Human and Animal Tuberculosis.
PMID- 29812405
TI - The Varying Price of Drugs.
PMID- 29812407
TI - Annotations.
PMID- 29812409
TI - Hospital and Poor Law Problems.
PMID- 29812408
TI - The Uniform System of Hospital Accounts.
PMID- 29812410
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812411
TI - News and Coming Events.
PMID- 29812412
TI - Pathology in General Practice.
PMID- 29812413
TI - Headaches and Headache Cures.
PMID- 29812414
TI - Current Hospital Topics.
PMID- 29812415
TI - Edinburgh Hospital for Incurables at Liberton.
PMID- 29812416
TI - Medical Opinion and Movement.
PMID- 29812417
TI - The Rational Use of Disinfectants.
PMID- 29812418
TI - The Hospital. Nursing Section.
PMID- 29812419
TI - Annotations.
PMID- 29812420
TI - Antistreptococcic Serum in Gonorrhoeal and Other Infections.
PMID- 29812421
TI - The Plague of 1348-50.
PMID- 29812422
TI - Pleural Effusions and Exploration of the Chest in Children.
PMID- 29812423
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812424
TI - The Workmen's Compensation Act, 1906.
PMID- 29812425
TI - Of Chorea with Aceto-Salicylic Acid.
PMID- 29812427
TI - Dietetics.
PMID- 29812426
TI - Current Hospital Topics.
PMID- 29812429
TI - Pernicious Anaemia and Its Diagnosis, Especially in Obscure Nervous Conditions.
PMID- 29812428
TI - The Modern Playwright and the Medical Practitioner.
PMID- 29812430
TI - Medical Opinion and Movement.
PMID- 29812431
TI - Nutrient Enemata.
PMID- 29812433
TI - Cerebro-Spinal Meningitis.
PMID- 29812432
TI - Some Affections of the Heart Connected with Sudden Death.
PMID- 29812434
TI - News and Coming Events.
PMID- 29812435
TI - Annotations.
PMID- 29812436
TI - The Hospital. Nursing Section.
PMID- 29812437
TI - Construction Notes.
PMID- 29812439
TI - Current Hospital Topics.
PMID- 29812438
TI - Insanity as a Defence in Criminal Cases.
PMID- 29812441
TI - Street Ambulances and Accidents in the Metropolis.
PMID- 29812440
TI - New Appliances and Things Medical.
PMID- 29812442
TI - Anaemic Vomiting.
PMID- 29812443
TI - The Use and Abuse of Exercise.
PMID- 29812444
TI - The Hospital. Nursing Section.
PMID- 29812446
TI - The Perfect Surgeon.
PMID- 29812445
TI - Clinical Lecture on Heart Disease in Children.
PMID- 29812447
TI - Twenty-Five Years as a Hospital Secretary: Presentation to Mr. P. J. Michelli,
C.M.G.
PMID- 29812448
TI - Remedies and Their Uses.
PMID- 29812449
TI - Medical Opinion and Movement.
PMID- 29812450
TI - News and Coming Events.
PMID- 29812451
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812452
TI - On the Use of Clay in Surgery.
PMID- 29812454
TI - Current Hospital Topics.
PMID- 29812453
TI - Annotations.
PMID- 29812455
TI - Functional Nervous Disease and the Courts of Law.
PMID- 29812456
TI - Fungating Endocarditis and the Possibility of Its Presence without Pyrexia.
PMID- 29812457
TI - Hospital Meetings.
PMID- 29812458
TI - News and Coming Events.
PMID- 29812459
TI - Pathology in General Practice: The Demonstration of Tubercle Bacilli.
PMID- 29812460
TI - The Genesis of Epidemic Diseases.
PMID- 29812461
TI - Construction Notes.
PMID- 29812462
TI - Two Provincial Hospitals.
PMID- 29812464
TI - Hospital Meetings.
PMID- 29812463
TI - The Hospital. Nursing Section.
PMID- 29812466
TI - The Vaccine Treatment of Acne Vulgaris.
PMID- 29812465
TI - Some Affections of the Heart Connected with Sudden Death.
PMID- 29812467
TI - New Appliances and Things Medical.
PMID- 29812468
TI - Hyperpyrexia: Its Causes, Prognosis, and Treatment.
PMID- 29812469
TI - Medical Opinion and Movement.
PMID- 29812470
TI - Annotations.
PMID- 29812471
TI - The Clothing of Children.
PMID- 29812472
TI - Legal Cases.
PMID- 29812473
TI - The Organisation of the Medical Profession.
PMID- 29812474
TI - Radium and Its Medical Uses.
PMID- 29812475
TI - Association of Scottish Medical Diplomates.
PMID- 29812476
TI - Annotations.
PMID- 29812477
TI - Balsam of Peru in the Treatment of Scabies.
PMID- 29812478
TI - Contre-Coup.
PMID- 29812479
TI - The Examination Fetish.
PMID- 29812481
TI - The Hospital. Nursing Section.
PMID- 29812480
TI - The Liability of Hospital Committees under the Workmen's Compensation Act, 1906.
PMID- 29812483
TI - The North Staffordshire Joint Hospital for Small-Pox, at Bagnall.
PMID- 29812482
TI - National Hospital for the Paralysed and Epileptic.
PMID- 29812485
TI - Practical Points.
PMID- 29812484
TI - News and Coming Events.
PMID- 29812486
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812487
TI - Hospital Meetings.
PMID- 29812489
TI - Remedies and Their Uses.
PMID- 29812488
TI - Trachoma or Granular Conjunctivitis.
PMID- 29812490
TI - New Appliances and Things Medical.
PMID- 29812491
TI - Medical Opinion and Movement.
PMID- 29812493
TI - Annotations.
PMID- 29812492
TI - The Hospital. Nursing Section.
PMID- 29812494
TI - The Late Dr. Schorstein and Medical Education.
PMID- 29812495
TI - Paralysis and Syphilis.
PMID- 29812496
TI - Medical Opinion and Movement.
PMID- 29812497
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29812498
TI - The North-Eastern Children's Hospital, Hackney Road, E.
PMID- 29812499
TI - Current Hospital Topics.
PMID- 29812500
TI - The Uniform System of Accounts.
PMID- 29812501
TI - News and Coming Events.
PMID- 29812502
TI - Construction Notes.
PMID- 29812503
TI - Appendicitis: Its Special Features in Children.
PMID- 29812504
TI - Social and Poor Law Problems.
PMID- 29812505
TI - The International Society of Surgery.
PMID- 29812506
TI - Hospital Meetings.
PMID- 29812507
TI - The Standardisation of Digitalis Preparations.
PMID- 29812508
TI - The Liability of Hospital Committees under the Workmen's Compensation Act, 1906.
PMID- 29812509
TI - New Appliances and Things Medical.
PMID- 29812510
TI - Convalescent Homes Association.
PMID- 29812511
TI - Medical Practitioners and the Midwives Act.
PMID- 29812512
TI - Hospital Meetings.
PMID- 29812514
TI - After the Fair.
PMID- 29812513
TI - The County Council as a Health Authority.
PMID- 29812515
TI - Annotations.
PMID- 29812516
TI - Construction Notes.
PMID- 29812518
TI - News and Coming Events.
PMID- 29812517
TI - Hyperpyrexia: Its Causes, Prognosis, and Treatment.
PMID- 29812520
TI - The Hospital. Nursing Section.
PMID- 29812519
TI - The University of London.
PMID- 29812522
TI - Prognosis in Lobar Pneumonia.
PMID- 29812521
TI - Aphasia.
PMID- 29812523
TI - King Edward's Hospital Fund for London.
PMID- 29812524
TI - The Metric System.
PMID- 29812526
TI - The Use of the Opsonic Index in the Diagnosis of Tuberculosis.
PMID- 29812525
TI - The Estimation of Pulse Tension: A Clinical Lecture.
PMID- 29812527
TI - New Appliances and Things Medical.
PMID- 29812528
TI - Current Hospital Topics.
PMID- 29812530
TI - The Relation of Exophthalmic Goitre to Pregnancy and Obstetrics.
PMID- 29812529
TI - Medical Opinion and Movement.
PMID- 29812532
TI - Construction Notes.
PMID- 29812531
TI - The County Council and Public Health.
PMID- 29812533
TI - Burdett's Hospitals and Charities, 1907.
PMID- 29812534
TI - The Hospital. Nursing Section.
PMID- 29812535
TI - Civilisation and the Deterioration in Physique.
PMID- 29812536
TI - Calcium as a Cardiac Stimulant in Pneumonia.
PMID- 29812537
TI - New Appliances and Things Medical.
PMID- 29812538
TI - The Hospital Library and Charities Bureau.
PMID- 29812539
TI - Remedies and Their Uses.
PMID- 29812540
TI - Medical Opinion and Movement.
PMID- 29812541
TI - Current Hospital Topics.
PMID- 29812542
TI - Annotations.
PMID- 29812543
TI - Hospital Meetings.
PMID- 29812544
TI - News and Coming Events.
PMID- 29812545
TI - King Edward VII.'s Hospital Fund for London.
PMID- 29812546
TI - Suppurative Pylephlebitis.
PMID- 29812547
TI - Medical Inspection of Schools.
PMID- 29812548
TI - The Treatment of the Insane.
PMID- 29812549
TI - The Diagnosis and Treatment of Tuberculous Pleurisy.
PMID- 29812550
TI - Metropolitan Hospitals and Vivisection.
PMID- 29812551
TI - Poor Law Topics.
PMID- 29812553
TI - Notes and News.
PMID- 29812552
TI - The Treatment of Chronic Renal Disease.
PMID- 29812554
TI - Annotations.
PMID- 29812555
TI - Paroxysmal Neuroses.
PMID- 29812556
TI - The Milk-Supply of Schools.
PMID- 29812557
TI - Hospital Meetings and Developments.
PMID- 29812558
TI - Diseases of the Nervous System.
PMID- 29812559
TI - Diseases of the Intestines.
PMID- 29812560
TI - Surgery.
PMID- 29812561
TI - Practical Departments.
PMID- 29812562
TI - The Hospital. Nursing Section.
PMID- 29812563
TI - Fees and Secret Commissions in Medical Practice.
PMID- 29812564
TI - Free Acids in the Stomach.
PMID- 29812565
TI - Metropolitan Hospital Sunday Fund.
PMID- 29812566
TI - Pernicious Anaemia.
PMID- 29812567
TI - The Bolingbroke Hospital.
PMID- 29812568
TI - Crier versus Hope and Currie.
PMID- 29812569
TI - The Fifteenth International Congress of Medicine, Lisbon, 1906.
PMID- 29812570
TI - Diseases of the Nervous System.
PMID- 29812571
TI - Annotations.
PMID- 29812572
TI - Surgery.
PMID- 29812573
TI - Hospital Meetings.
PMID- 29812574
TI - Sea-Voyages for Invalids.
PMID- 29812575
TI - Hospitals and Paying Patients.
PMID- 29812576
TI - The Story of the Insane from Year to Year.
PMID- 29812577
TI - The Hospital. Nursing Section.
PMID- 29812579
TI - Notes and News.
PMID- 29812578
TI - On Inequality of the Pupils and Iridoplegia.
PMID- 29812580
TI - Diseases of the Circulation.
PMID- 29812581
TI - Surgery.
PMID- 29812582
TI - The Degenerate Ear.
PMID- 29812583
TI - The Electrical Exhibition.
PMID- 29812584
TI - New Appliances and Things Medical.
PMID- 29812585
TI - Acute Arthritis Treated with Antistreptococcic Serum.
PMID- 29812586
TI - The Matron's Department.
PMID- 29812587
TI - The Cost of Drugs to Hospitals.
PMID- 29812588
TI - Notes and News.
PMID- 29812589
TI - Constitutional Treatment in Diseases of the Eye.
PMID- 29812590
TI - The Matron's Department.
PMID- 29812591
TI - The Cost of Drugs: A Contribution to Hospital Economics.
PMID- 29812592
TI - Hysterical Earache.
PMID- 29812593
TI - The Hospital. Nursing Section.
PMID- 29812594
TI - Infantile Mortality.
PMID- 29812595
TI - Nephrolithiasis.
PMID- 29812596
TI - Annotations.
PMID- 29812597
TI - Diseases of Respiratory Organs.
PMID- 29812598
TI - Psychiatry.
PMID- 29812599
TI - Intracapsular Fractures at the Hip.
PMID- 29812600
TI - Apocynum Cannabinum in Mitral Disease.
PMID- 29812601
TI - Pauper Lunatics and Imbeciles.
PMID- 29812603
TI - Royal Commission on the Poor Laws.
PMID- 29812602
TI - The Hospital Library and Charities Bureau.
PMID- 29812605
TI - Sanatoria for Consumptives.
PMID- 29812604
TI - Metropolitan Hospital Sunday Fund.
PMID- 29812606
TI - The Matron's Department.
PMID- 29812607
TI - Radiation and Glands.
PMID- 29812608
TI - The Hospital City.
PMID- 29812609
TI - Rheumatisms.
PMID- 29812610
TI - Art in Medicine.
PMID- 29812611
TI - The Hospital. Nursing Section.
PMID- 29812612
TI - The Queen's Jubilee Hospital.
PMID- 29812613
TI - Hospital Meetings.
PMID- 29812614
TI - Fevers.
PMID- 29812615
TI - Cures for Pneumonia.
PMID- 29812616
TI - Notes and News.
PMID- 29812617
TI - Psychiatry.
PMID- 29812618
TI - Annotations.
PMID- 29812619
TI - Insanity and Race Decay.
PMID- 29812621
TI - The Hospital. Nursing Section.
PMID- 29812620
TI - Education and Public Health.
PMID- 29812622
TI - Genito-Urinary Surgery.
PMID- 29812623
TI - Fresh-Air Cures in Towns.
PMID- 29812624
TI - Diabetes.
PMID- 29812625
TI - The Treatment of Warts.
PMID- 29812626
TI - The Science and Art of Medicine.
PMID- 29812627
TI - Aneurysm of the Abdominal Aorta.
PMID- 29812628
TI - Seventh Conference of the Association of Hospital Superintendents.
PMID- 29812629
TI - Experimental Syphilis.
PMID- 29812630
TI - Nerve Surgery.
PMID- 29812631
TI - Notes and News.
PMID- 29812632
TI - The Matron's Department.
PMID- 29812633
TI - The Rational Treatment of Running Ears.
PMID- 29812634
TI - Annotations.
PMID- 29812635
TI - The Hospital Library and Charities Bureau.
PMID- 29812636
TI - The Prevention of Consumption.
PMID- 29812637
TI - Diseases of the Nervous System.
PMID- 29812638
TI - St. Bartholomew's Hospital.
PMID- 29812640
TI - The Hospital. Nursing Section.
PMID- 29812641
TI - Notes and News.
PMID- 29812639
TI - Annotations.
PMID- 29812642
TI - The Treatment of Internal Haemorrhage by Drugs.
PMID- 29812643
TI - Paying Patients.
PMID- 29812644
TI - The Pathology of Gout.
PMID- 29812645
TI - Mercury and Other Remedies in the Treatment of Tuberculous Meningitis.
PMID- 29812646
TI - The Sanitation of Armies on Active Service.
PMID- 29812647
TI - Hospital Meetings.
PMID- 29812648
TI - Diseases of the Circulation.
PMID- 29812649
TI - The Hospital Library and Charities Bureau.
PMID- 29812650
TI - The Edgar Speyer Prize Competition.
PMID- 29812652
TI - School Anthropometry.
PMID- 29812651
TI - The Story of the Insane from Year to Year.
PMID- 29812653
TI - The Drug Treatment of Inebriety.
PMID- 29812654
TI - Maladies Caused by the Air We Breathe.
PMID- 29812655
TI - The Hospital Library and Charities Bureau.
PMID- 29812656
TI - Hospital Meetings.
PMID- 29812657
TI - Paying Wards at Zurich.
PMID- 29812658
TI - Diseases of the Circulation.
PMID- 29812659
TI - Some Cases at the Society for the Study of Disease in Children.
PMID- 29812660
TI - Periodic Vomiting with Acetonaemia in Children.
PMID- 29812661
TI - The Origination of Life.
PMID- 29812662
TI - Diphtheria.
PMID- 29812663
TI - Hospital Management.
PMID- 29812664
TI - Annotations.
PMID- 29812665
TI - Diseases of the Nervous System.
PMID- 29812666
TI - The Hospital. Nursing Section.
PMID- 29812667
TI - Notes and News.
PMID- 29812668
TI - The Story of the Insane from Year to Year.
PMID- 29812669
TI - Genito-Urinary Surgery.
PMID- 29812670
TI - Metropolitan Hospital Sunday Fund.
PMID- 29812671
TI - The Hampstead General Hospital.
PMID- 29812672
TI - Annotations.
PMID- 29812673
TI - Notes and News.
PMID- 29812674
TI - Diseases of the Kidney.
PMID- 29812675
TI - Tropical Medicine.
PMID- 29812676
TI - The Modern Treatment of Congenital Dislocation of the Hip-Joint.
PMID- 29812677
TI - Further Experience with Doyen's Cancer Serum.
PMID- 29812678
TI - Gynaecology and Obstetrics.
PMID- 29812679
TI - A Case of Acute Haemorrhagic Pancreatitis.
PMID- 29812680
TI - The Hospital. Nursing Section.
PMID- 29812681
TI - Surgery of the Vermiform Appendix.
PMID- 29812682
TI - The British Medical Association and the Elections.
PMID- 29812683
TI - The Hospital Library and Charities Bureau.
PMID- 29812684
TI - Public Health in the United States.-II.
PMID- 29812685
TI - Drugs.
PMID- 29812686
TI - John Howard's Observations on Hospitals (1773-1790).
PMID- 29812688
TI - Nervous Diseases.
PMID- 29812687
TI - New Appliances and Things Medical.
PMID- 29812689
TI - A Victory over Yellow Fever.
PMID- 29812690
TI - Annotations.
PMID- 29812691
TI - Chorea and Its Treatment.
PMID- 29812692
TI - Measles.
PMID- 29812693
TI - General Paresis of the Insane.
PMID- 29812694
TI - Urban Milk Supply.
PMID- 29812696
TI - Psychiatry.
PMID- 29812695
TI - The Story of the Insane from Year to Year.
PMID- 29812697
TI - An Undergraduates' Hospital.
PMID- 29812698
TI - The Hospital. Nursing Section.
PMID- 29812699
TI - Radiation and Glands.
PMID- 29812700
TI - Northern Infirmary, Inverness.
PMID- 29812701
TI - The Treatment of Myocardial Degeneration.
PMID- 29812702
TI - Notes and News.
PMID- 29812704
TI - Seventh Conference of the Association of Hospital Superintendents.
PMID- 29812703
TI - The Cost of Drugs.
PMID- 29812705
TI - Typhoid Fever at Basingstoke.
PMID- 29812706
TI - Annotations.
PMID- 29812708
TI - Cerebral Surgery.
PMID- 29812707
TI - The Use of Drugs in Insomnia.
PMID- 29812710
TI - Practical Departments.
PMID- 29812709
TI - Hospitals in the United States.
PMID- 29812711
TI - Nervous Diseases.
PMID- 29812712
TI - A Problem in Eugenics.
PMID- 29812713
TI - The Hospital. Nursing Section.
PMID- 29812714
TI - The Story of the Insane from Year to Year.
PMID- 29812715
TI - Psychiatry.
PMID- 29812716
TI - Nocturnal Enuresis.
PMID- 29812718
TI - Diseases of Respiratory Organs.
PMID- 29812717
TI - Notes and News.
PMID- 29812720
TI - The Prevention of Consumption.
PMID- 29812719
TI - Methods of Stock-Keeping.
PMID- 29812721
TI - The Matron's Department.
PMID- 29812722
TI - New Appliances and Things Medical.
PMID- 29812723
TI - The Dangers of Exploratory Puncture of the Chest.
PMID- 29812724
TI - Nervous Diseases.
PMID- 29812725
TI - The Hospital. Nursing Section.
PMID- 29812726
TI - The Influence of High Air-Temperatures.
PMID- 29812727
TI - The Treatment of Cardiac Failure in Chronic Lung Affections.
PMID- 29812728
TI - Enteric Fever in the Army.
PMID- 29812729
TI - Annotations.
PMID- 29812730
TI - Thoracic Surgery.
PMID- 29812731
TI - The Engineering Side of Hospital Work.
PMID- 29812732
TI - Bargaining for the Supply of Medicines.
PMID- 29812733
TI - John Howard's Observations on Hospitals (1773-1790).
PMID- 29812734
TI - The Matron's Department.
PMID- 29812735
TI - The Treatment of Lobar Pneumonia in Children.
PMID- 29812736
TI - Glances at the Hospitals.
PMID- 29812737
TI - The Retinal Symptoms of Vascular Degeneration.
PMID- 29812738
TI - The Hospital Library and Charities Bureau.
PMID- 29812739
TI - Practical Departments.
PMID- 29812740
TI - Notes and News.
PMID- 29812741
TI - Stomach and Intestines.
PMID- 29812742
TI - The Hospital. Nursing Section.
PMID- 29812743
TI - The Story of the Insane from Year to Year.
PMID- 29812745
TI - Diseases of the Kidney.
PMID- 29812744
TI - 1905.
PMID- 29812746
TI - Practical Departments.
PMID- 29812747
TI - Rheumatism and Gout.
PMID- 29812748
TI - The Engineering Side of Hospital Work.
PMID- 29812749
TI - Annotations.
PMID- 29812750
TI - Crossley Sanatorium, Delamere Forest, near Frodsham and Mouldsworth Stations.
PMID- 29812751
TI - Annus Medicus.
PMID- 29812752
TI - The Alien in America.
PMID- 29812753
TI - The Sleep of Schoolboys.
PMID- 29812755
TI - The King and the Salvation Army.
PMID- 29812754
TI - Glances at the Hospitals.
PMID- 29812757
TI - Notes and News.
PMID- 29812756
TI - Surgery of Lymphatic Glands.
PMID- 29812758
TI - Annotations.
PMID- 29812759
TI - Appendicitis in Children.
PMID- 29812761
TI - Notes and News.
PMID- 29812760
TI - The Cause of Beri-Beri.
PMID- 29812763
TI - The Army Medical Service.
PMID- 29812762
TI - The Hospital. Nursing Section.
PMID- 29812765
TI - Surgery.
PMID- 29812764
TI - The Engineering Side of Hospital Work.
PMID- 29812767
TI - The Matron's Department.
PMID- 29812766
TI - Hospitals in the United States.
PMID- 29812768
TI - Practical Departments.
PMID- 29812769
TI - The Hospital Library and Charities Bureau.
PMID- 29812770
TI - Nervous Diseases.
PMID- 29812771
TI - Diseases of Respiratory Organs.
PMID- 29812772
TI - The Approaching General Election.
PMID- 29812773
TI - King Edward's Hospital Fund for London.
PMID- 29812774
TI - The Hospital Movement in the United States.
PMID- 29812775
TI - The Residence of the Infective Agent of Measles.
PMID- 29812777
TI - The Hospital. Nursing Section.
PMID- 29812776
TI - Diseases of the Liver.
PMID- 29812778
TI - Construction Notes.
PMID- 29812779
TI - Tests for Sugar in the Urine.
PMID- 29812780
TI - Genito-Urinary Surgery.
PMID- 29812781
TI - The Treatment of Fractures.
PMID- 29812782
TI - Fevers.
PMID- 29812783
TI - The Treatment of Fracture of the Patella.
PMID- 29812785
TI - Notes and News.
PMID- 29812784
TI - Practical Departments.
PMID- 29812786
TI - Practical Points.
PMID- 29812787
TI - Annotations.
PMID- 29812788
TI - New Appliances and Things Medical.
PMID- 29812789
TI - Only an Infirmary.
PMID- 29812791
TI - The Fifteenth International Congress of Medicine, Lisbon, 1906.
PMID- 29812790
TI - Venereal Disease in the Army.
PMID- 29812792
TI - Combined Aortic and Mitral Disease in Rheumatic Children.
PMID- 29812793
TI - Annotations.
PMID- 29812794
TI - Practical Departments.
PMID- 29812795
TI - Thyroid Gland.
PMID- 29812796
TI - Tuberculosis Treated by Marmorek's Serum.
PMID- 29812797
TI - Stomach and Intestines.
PMID- 29812798
TI - Public Health Questions of To-Day.
PMID- 29812799
TI - The Hospital. Nursing Section.
PMID- 29812800
TI - The Hospitals of New South Wales.
PMID- 29812801
TI - Appendicitis in Children.
PMID- 29812802
TI - The Opsonic Index of the Blood and the Treatment of Phthisis.
PMID- 29812803
TI - Nervous Diseases.
PMID- 29812805
TI - Notes and News.
PMID- 29812804
TI - New Hospital for Women, Euston Road.
PMID- 29812806
TI - American Home Hospitals.
PMID- 29812807
TI - Instinct, Evolution, and Dreams.
PMID- 29812808
TI - Poor Law Topics.
PMID- 29812809
TI - Hospital Meetings.
PMID- 29812810
TI - Hospitals and Their Work in Mauritius.
PMID- 29812811
TI - Infant Feeding.
PMID- 29812812
TI - Hampstead General Hospital.
PMID- 29812813
TI - The Need for Endowed Research.
PMID- 29812814
TI - The Hospital Library and Charities Bureau.
PMID- 29812815
TI - The Hospital. Nursing Section.
PMID- 29812816
TI - Fevers.
PMID- 29812817
TI - Annotations.
PMID- 29812818
TI - Genito-Urinary Surgery.
PMID- 29812819
TI - The Metropolitan Hospital Sunday Fund.
PMID- 29812820
TI - Are Medical Missions a Nuisance?
PMID- 29812821
TI - New Light on the Afferent Nervous System.
PMID- 29812822
TI - Notes and News.
PMID- 29812823
TI - Glances at the Hospitals.
PMID- 29812824
TI - Bacteriology.
PMID- 29812825
TI - Christmas Festivities.
PMID- 29812826
TI - League of Mercy.
PMID- 29812827
TI - Surgery of the Stomach.
PMID- 29812828
TI - Some of the Clinical Aspects of Pneumonia.
PMID- 29812829
TI - Mr. Edgar Speyer's Prize.
PMID- 29812830
TI - Public Health in the United States.-I.
PMID- 29812831
TI - Surgery of the Liver and Gall Bladder.
PMID- 29812832
TI - Poor Law Topics.
PMID- 29812833
TI - The Surgery of the Intestines.
PMID- 29812834
TI - Peritonitis.
PMID- 29812835
TI - Annotations.
PMID- 29812836
TI - The Matron's Department.
PMID- 29812837
TI - Ideals of Education.
PMID- 29812838
TI - The Hospital. Nursing Section.
PMID- 29812840
TI - The Physiology of Opinion.
PMID- 29812839
TI - The Treatment of Otorrhoea.
PMID- 29812841
TI - Recent Experiences with Tuberculin.
PMID- 29812842
TI - Notes and News.
PMID- 29812843
TI - Acute Infective Diarrhoea of Infants.
PMID- 29812844
TI - Cost of Provisions in Hospitals.
PMID- 29812845
TI - Diphtheritic Hemiplegia.
PMID- 29812846
TI - The Liverpool Hospital for Consumptives.
PMID- 29812848
TI - Annotations.
PMID- 29812847
TI - The Cost of Provisions.
PMID- 29812850
TI - Some Early Signs in Tabes Dorsalis.
PMID- 29812849
TI - Diabetes.
PMID- 29812851
TI - Construction Notes.
PMID- 29812852
TI - The Cause of Angina Pectoris.
PMID- 29812853
TI - Hospital for Infectious Disease, Newburn, Northumberland.
PMID- 29812854
TI - The Relationship of the Pathologist to the Surgeon.
PMID- 29812855
TI - The Story of the Insane from Year to Year.
PMID- 29812856
TI - Notes and News.
PMID- 29812858
TI - Practical Departments.
PMID- 29812857
TI - The Urinary Separator as an Aid to the Diagnosis of Kidney Affections.
PMID- 29812859
TI - The Matron's Department.
PMID- 29812860
TI - Diseases of Respiratory Organs.
PMID- 29812861
TI - The Use and Abuse of Condensed Milk and Patent Foods in Infant Feeding.
PMID- 29812862
TI - The Hospital. Nursing Section.
PMID- 29812863
TI - Surgery.
PMID- 29812864
TI - Medicine and the Public.
PMID- 29812865
TI - The Hospital Movement in the United States.
PMID- 29812866
TI - The Importance of Blood Pressure in Clinical Medicine.
PMID- 29812867
TI - The Therapeutic Outlook in Pediatric Medicine.
PMID- 29812868
TI - Gynaecology and Obstetrics.
PMID- 29812869
TI - The Medical Aspects of Carcinoma of the Breast.
PMID- 29812870
TI - Hospital Meetings.
PMID- 29812871
TI - The Relation of Spirochaeta Pallida to Syphilis.
PMID- 29812872
TI - Bacteriology and Disease.
PMID- 29812873
TI - The Hospital. Nursing Section.
PMID- 29812874
TI - The Royal Waterloo Hospital for Women and Children, Waterloo Road, S.E.
PMID- 29812875
TI - Annotations.
PMID- 29812876
TI - New Appliances and Things Medical.
PMID- 29812877
TI - Public Health in the United States-IV.
PMID- 29812878
TI - A Rural "Sanitary" District.
PMID- 29812880
TI - The Significance of Small Quantities of Albumen and of Sugar in the Urine.
PMID- 29812879
TI - Notes and News.
PMID- 29812881
TI - Evening Attendance at Dispensaries.
PMID- 29812882
TI - Cancer.
PMID- 29812883
TI - Anaemia.
PMID- 29812884
TI - The Hospital Library and Charities Bureau.
PMID- 29812885
TI - Cancer.
PMID- 29812886
TI - Annotations.
PMID- 29812887
TI - Medical Libraries in Hospitals.
PMID- 29812888
TI - A Convalescent Home for Addenbrooke's Hospital.
PMID- 29812889
TI - Rectal Feeding.
PMID- 29812890
TI - Cellulose Nanofiber-Based Polyaniline Flexible Papers as Sustainable Microwave
Absorbers in the X-Band.
AB - A series of flexible, lightweight, and highly conductive cellulose nanopapers
were fabricated through in situ polymerization of aniline monomer on to cellulose
nanofibers with a rationale for attenuating electromagnetic radiations within 8.2
12.4 GHz (X band). The demonstrated paper exhibits good conductivity due to the
formation of a continuous coating of polyaniline (PANI) over the cellulose
nanofibers (CNF) during in situ polymerization, which is evident from scanning
electron microscopy, Fourier transform infrared spectroscopy, and X-ray
diffraction analysis. The free hydroxyl groups on the surface of nanocellulose
fibers promptly form intermolecular hydrogen bonding with PANI, which plays a
vital role in shielding electromagnetic radiations and makes the cellulose
nanopapers even more robust. These composite nanopapers exhibited an average
shielding effectiveness of ca. -23 dB (>99% attenuation) at 8.2 GHz with 1 mm
paper thickness. The fabricated papers exhibited an effective attenuation of
electromagnetic waves by a predominant absorption mechanism (ca. 87%) rather than
reflection (ca. 13%), which is highly desirable for the present-day
telecommunication sector. Unlike metal-based shields, these demonstrated PANI/CNF
papers have given a new platform for designing green microwave attenuators via an
absorption mechanism. The prime novelty of the present study is that these robust
PANI/CNF nanopapers have the ability to attenuate incoming microwave radiations
to an extent that is 360% higher than the shielding effectiveness value reported
in the previous literature. This makes them suitable for use in commercial
electronic gadgets. This demonstrated work also opens up new avenues for using
cellulose nanofibers as an effective substrate for fabricating conductive
flexible papers using polyaniline. The direct current conductivity value of
PANI/CNF nanopaper was 0.314 S/cm, which is one of the key requisites for the
fabrication of efficient electromagnetic shields. Nevertheless, such nanopapers
also open up an arena of applications such as electrodes for supercapacitors,
separators for Li-S, Li-polymer batteries, and other freestanding flexible paper
based devices.
PMID- 29812891
TI - pH-Sensitive Polymer Conjugates for Anticorrosion and Corrosion Sensing.
AB - In 2015, the global cost of corrosion in the world was estimated to be around 2.5
trillion dollars and has been continuously increasing. The active protection by
corrosion inhibitors is a well-known technique for protecting metals against
corrosion. However, one major disadvantage is that corrosion inhibitors can be
leached in the environment, even when corrosion does not occur. We design and
synthesize smart polymer/corrosion inhibitor conjugates as a new generation of
materials for corrosion protection. These materials release inhibitors upon
acidification, which may occur either by acidic rain or as a consequence of the
metal corrosion process itself. A polymerizable derivative of 8-hydroxyquinoline
(8HQ), an effective corrosion inhibitor, is prepared so that it contains acid
labile beta-thiopropionate linkages. The monomer is copolymerized with ethyl
acrylate, and the obtained functional polymer is processed to form nanoparticles.
Under acidic conditions, >95% 8HQ is released from the nanoparticles of the
polymer conjugates after 14 days. However, the release was significantly slower
under neutral conditions, reaching only 15% during the same period. Additionally,
nonconjugated 8HQ can be physically entrapped in the nanoparticles of the polymer
conjugates by encapsulation. The nonconjugated 8HQ is then released in less than
30 min so that the coexistence of both conjugated and nonconjugated 8HQ in the
nanoparticles allows a release profile, which is a hybrid of sustained and burst
releases. Furthermore, the nanoparticles are advantageously used as nanosensors.
The 8HQ released from the nanoparticles displays enhanced fluorescence upon
chelation with aluminum ions. Therefore, the nanoparticles can be used
simultaneously for corrosion sensing and protection.
PMID- 29812893
TI - High-Pressure Synthesis: A New Frontier in the Search for Next-Generation
Intermetallic Compounds.
AB - The application of high pressure adds an additional dimension to chemical phase
space, opening up an unexplored expanse bearing tremendous potential for
discovery. Our continuing mission is to explore this new frontier, to seek out
new intermetallic compounds and new solid-state bonding. Simple binary elemental
systems, in particular those composed of pairs of elements that do not form
compounds under ambient pressures, can yield novel crystalline phases under
compression. Thus, high-pressure synthesis can provide access to solid-state
compounds that cannot be formed with traditional thermodynamic methods. An
emerging approach for the rapid exploration of composition-pressure-temperature
phase space is the use of hand-held high-pressure devices known as diamond anvil
cells (DACs). These devices were originally developed by geologists as a way to
study minerals under conditions relevant to the earth's interior, but they
possess a host of capabilities that make them ideal for high-pressure solid-state
synthesis. Of particular importance, they offer the capability for in situ
spectroscopic and diffraction measurements, thereby enabling continuous reaction
monitoring-a powerful capability for solid-state synthesis. In this Account, we
provide an overview of this approach in the context of research we have performed
in the pursuit of new intermetallic compounds. We start with a discussion of
pressure as a fundamental experimental variable that enables the formation of
intermetallic compounds that cannot be isolated under ambient conditions. We then
introduce the DAC apparatus and explain how it can be repurposed for use as a
synthetic vessel with which to explore this phase space, going to extremes of
pressure where no chemist has gone before. The remainder of the Account is
devoted to discussions of recent experiments we have performed with this approach
that have led to the discovery of novel intermetallic compounds in the Fe-Bi, Cu
Bi, and Ni-Bi systems, with a focus on the cutting-edge methods that made these
experiments possible. We review the use of in situ laser heating at high
pressure, which led to the discovery of FeBi2, the first binary intermetallic
compound in the Fe-Bi system. Our work in the Cu-Bi system is described in the
context of in situ experiments carried out in the DAC to map its high-pressure
phase space, which revealed two intermetallic phases (Cu11Bi7 and CuBi). Finally,
we review the discovery of beta-NiBi, a novel high-pressure phase in the Ni-Bi
system. We hope that this Account will inspire the next generation of solid-state
chemists to boldly explore high-pressure phase space.
PMID- 29812892
TI - Effect of Noncanonical Amino Acids on Protein-Carbohydrate Interactions:
Structure, Dynamics, and Carbohydrate Affinity of a Lectin Engineered with
Fluorinated Tryptophan Analogs.
AB - Protein-carbohydrate interactions play crucial roles in biology. Understanding
and modifying these interactions is of major interest for fighting many diseases.
We took a synthetic biology approach and incorporated noncanonical amino acids
into a bacterial lectin to modulate its interactions with carbohydrates. We
focused on tryptophan, which is prevalent in carbohydrate binding sites. The
exchange of the tryptophan residues with analogs fluorinated at different
positions resulted in three distinctly fluorinated variants of the lectin from
Ralstonia solanacearum. We observed differences in stability and affinity toward
fucosylated glycans and rationalized them by X-ray and modeling studies. While
fluorination decreased the aromaticity of the indole ring and, therefore, the
strength of carbohydrate-aromatic interactions, additional weak hydrogen bonds
were formed between fluorine and the ligand hydroxyl groups. Our approach opens
new possibilities to engineer carbohydrate receptors.
PMID- 29812894
TI - HaloTag Assay Suggests Common Mechanism of E. coli Membrane Permeabilization
Induced by Cationic Peptides.
AB - Permeabilization of the Gram-negative bacterial outer membrane (OM) by
antimicrobial peptides (AMPs) is the initial step enabling access of the AMP to
the cytoplasmic membrane. We present a new single-cell, time-resolved
fluorescence microscopy assay that reports on the permeabilization of the E. coli
OM to small molecules with a time resolution of 3 s or better. When
profluorophore JF646 (702 Da) crosses the outer membrane (OM) and gains access to
the periplasm, it binds to the localized HaloTag protein (34 kDa) and fluoresces
in a characteristic hollow spatial pattern. Previous work used the much larger
periplasmic GFP (27 kDa) probe, which reports on OM permeabilization to globular
proteins. We test the assay on three cationic agents: Gellman random beta-peptide
copolymer MM63:CHx37, human AMP LL-37, and synthetic hybrid AMP CM15. These
results combined with the previous work suggest a unifying sequence of OM and
cytoplasmic membrane (CM) events that may prove commonplace in the attack of
cationic peptides on Gram-negative bacteria. The peptide initially induces
gradual OM permeabilization to small molecules, likely including the peptide
itself. After a lag time, abrupt permeabilization of the OM, abrupt resealing of
the OM, and abrupt permeabilization of the CM (all to globular proteins) occur in
rapid sequence. We propose a mechanism based on membrane curvature stress induced
by the time-dependent differential binding of peptide to the outer leaflet of the
OM and CM. The results provide fresh insight into the critical OM
permeabilization step leading to a variety of damaging downstream events.
PMID- 29812895
TI - Rigorous and Accurate Contrast Spectroscopy for Ultimate Thickness Determination
of Micrometer-Sized Graphene on Gold and Molecular Sensing.
AB - The thickness of graphene films can be accurately determined by optical contrast
spectroscopy. However, this becomes challenging and complicated when the flake
size reduces to the micrometer scale, where the contrast spectrum is sensitively
dependent on the polarization and incident angle of light. Here, we report
accurate measurement of the optical contrast spectra of micrometer-sized few
layer graphene flakes on Au substrate. Using a high-resolution optical microscopy
with a 100* magnification objective, we accurately determined the layer numbers
of flakes as small as one micrometer in lateral size. We developed a theoretical
model to accurately take into account the appropriate contribution of light
incident at various angles and polarizations, which matched the experimental
results extremely well. Furthermore, we demonstrate that the optical contrast
spectroscopy is highly sensitive to detect the adsorption of submonolayer
airborne hydrocarbon molecules, which can reveal whether graphene is
contaminated. Though the technique was demonstrated on graphene, it can be
readily generalized to many other two-dimensional materials, which opens new
avenues for developing miniaturized and ultrasensitive label-free molecular
sensors.
PMID- 29812896
TI - Superlight Adsorbent Sponges Based on Graphene Oxide Cross-Linked with Poly(vinyl
alcohol) for Continuous Flow Adsorption.
AB - In this study, superlight adsorbent sponges (bulk density 0.016-0.049 g.cm-3)
were developed based on graphene oxide (GO) cross-linked with poly(vinyl alcohol)
(PVA). The interlayer spacing of the GO nanosheets was increased by the insertion
of PVA, and good mechanical integrity was attained by the cross-linked structure.
They showed excellent continuous flow adsorption capacity (CFAC) when methylene
blue (MB) was used as a model contaminant; a water flux of 396 L.m-2.h-1 through
a 2 cm thick adsorbent sponge was achieved at a hydraulic head of only 10 cm
water, with an almost complete retention of MB. They corresponded to a water
permeability of 4.0 * 105 L.m-2.h-1.MPa-1, which was several orders of magnitudes
higher than GO-based membranes for similar applications reported in the
literature. The GO nanosheets were completely immobilized in the sponge by cross
linking with PVA, and thus, there was no GO nanoparticle leaching or flushing out
into the treated permeate water, which was another advantage over direct use of
GO powders in water treatment. Because of the high water permeability and CFAC,
the cross-linked GO/PVA sponges have a great potential for wastewater treatment.
PMID- 29812897
TI - CiPerGenesis, A Mutagenesis Approach that Produces Small Libraries of Circularly
Permuted Proteins Randomly Opened at a Focused Region: Testing on the Green
Fluorescent Protein.
AB - Circularly permuted proteins (cpPs) represent a novel type of mutant proteins
with original termini that are covalently linked through a peptide connector and
opened at any other place of the polypeptide backbone to create new ends. cpPs
are finding wide applications in biotechnology because their properties may be
quite different from those of the parental protein. However, the actual challenge
for the creation of successful cpPs is to identify those peptide bonds that can
be broken to create new termini and ensure functional and well-folded cpPs.
Herein, we describe CiPerGenesis, a combinatorial mutagenesis approach that uses
two oligonucleotide libraries to amplify a circularized gene by PCR, starting and
ending from a focused target region. This approach creates small libraries of
circularly permuted genes that are easily cloned in the correct direction and
frame using two different restriction sites encoded in the oligonucleotides. Once
expressed, the protein libraries exhibit a unique sequence diversity, comprising
cpPs that exhibit ordinary breakpoints between adjacent amino acids localized at
the target region as well as cpPs with new termini containing user-defined
truncations and repeats of some amino acids. CiPerGenesis was tested at the lid
region G134-H148 of green fluorescent protein (GFP), revealing that the most
fluorescent variants were those starting at Leu141 and ending at amino acids
Tyr145, Tyr143, Glu142, Leu141, Lys140, and H139. Purification and biochemical
characterization of some variants suggested a differential expression, solubility
and maturation extent of the mutant proteins as the likely cause for the
variability in fluorescence intensity observed in colonies.
PMID- 29812898
TI - Molecular Docking Analysis and Biochemical Evaluation of Levansucrase from
Sphingobium chungbukense DJ77.
AB - Bacterial exopolymer Levan (beta-(2,6) polyfructan) synthesized by levansucrase
has attracted interest for various applications due to its low intrinsic
viscosity compared with other polysaccharides. We report a novel levansucrase
(Lsc) isolated from Sphingobium chunbukense DJ77 and verify its biochemical
characteristics by comparative analysis of molecular docking analysis (MOE) and
catalytic residue analysis. The complete sequence of the Lsc encoding gene ( lsc)
was cloned under the direction of the T7 promoter and purified in an Escherichia
coli BL21 (DE3) protein expression system. The enzyme activity analysis and
ligand docking MOE study of S. chungbukense DJ77 Lsc revealed that Arg 77,
Ser112, Arg 195, Asp196, Glu257, and Gln275 were involved in the sucrose binding
and splitting as well as transfructosylation activity. A catalytic comparison of
Lsc of S. chungbukense DJ77 with the results of site-directed mutational analysis
indicated that Gln275 may coordinate a favorable substrate binding environment,
offering broad pH resistance in the range of 5-10. The results suggest that the
recombinant E. coli carrying S. chungbukense DJ77 Lsc might produce levan under
the regular growth conditions with less need for pH manipulation.
PMID- 29812899
TI - Molecular Surface Modification of NCM622 Cathode Material Using Organophosphates
for Improved Li-Ion Battery Full-Cells.
AB - Surface coating is a viable strategy for improving the cyclability of Li1+ x(Ni1-
y- zCo yMn z)1- xO2 (NCM) cathode active materials for lithium-ion battery cells.
However, both gaining synthetic control over thickness and accurate
characterization of the surface shell, which is typically only a few nm thick,
are considerably challenging. Here, we report on a new molecular surface
modification route for NCM622 (60% Ni) using organophosphates, specifically
tris(4-nitrophenyl) phosphate (TNPP) and tris(trimethylsilyl) phosphate. The
functionalized NCM622 was thoroughly characterized by state-of-the-art surface
and bulk techniques, such as attenuated total reflection infrared spectroscopy, X
ray photoelectron spectroscopy, and time-of-flight secondary ion mass
spectrometry (ToF-SIMS), to name a few. The comprehensive ToF-SIMS-based study
comprised surface imaging, depth profiling, and three-dimensional visualization.
In particular, tomography is a powerful tool to analyze the nature and morphology
of thin coatings and is applied, to our knowledge, for the first time, to a
practical cathode active material. It provides valuable information about
relatively large areas (over several secondary particles) at high lateral and
mass resolution. The electrochemical performance of the different NCM622
materials was evaluated in long-term cycling experiments of full-cells with a
graphite anode. The effect of surface modification on the transition-metal
leaching was studied ex situ via inductively coupled plasma optical emission
spectroscopy. TNPP@NCM622 showed reduced transition-metal dissolution and much
improved cycling performance. Taken together, with this study, we contribute to
optimization of an industrially relevant cathode active material for application
in high-energy-density lithium-ion batteries.
PMID- 29812900
TI - Highly Reversible Li Plating Confined in Three-Dimensional Interconnected
Microchannels toward High-Rate and Stable Metallic Lithium Anodes.
AB - Practical application of metallic Li anode in Li-ion batteries has been
restricted because of dendrite growth of Li which induces poor stability and
safety issues. Despite various hosts for Li having been developed to address
these issues, it is still a challenge to achieve highly reversible and stable
stripping/plating behavior of Li, especially at high-rate conditions. Herein, we
propose a simple method of incorporating Li in commercial carbon fiber cloth
(CFC) to realize high-rate and stable metallic Li anodes by confining
stripping/plating of Li in microchannels of ZnO-decorated CFC (CFC/ZnO) and
dissipating high current densities through conductive carbon fiber networks. The
symmetrical cell using this novel anode can run stably for over 1800 h (900
cycles) under 1 mA cm-2 and even 320 h (800 cycles) at 5 mA cm-2, which has
rarely been achieved previously through structural evolution of Li-metal anode.
When it is paired with commercial activated carbon, the as-made Li-ion capacitor
coin cell can deliver high-rate capability (up to 30 A g-1) and long-term cycling
stability for over 5000 cycles at 10 A g-1, and a large pouch cell can operate as
ultrafast charge (~1 min) battery with high-energy density of ~50 W h kg-1.
PMID- 29812901
TI - NCI Program for Natural Product Discovery: A Publicly-Accessible Library of
Natural Product Fractions for High-Throughput Screening.
AB - The US National Cancer Institute's (NCI) Natural Product Repository is one of the
world's largest, most diverse collections of natural products containing over
230,000 unique extracts derived from plant, marine, and microbial organisms that
have been collected from biodiverse regions throughout the world. Importantly,
this national resource is available to the research community for the screening
of extracts and the isolation of bioactive natural products. However, despite the
success of natural products in drug discovery, compatibility issues that make
extracts challenging for liquid handling systems, extended timelines that
complicate natural product-based drug discovery efforts and the presence of pan
assay interfering compounds have reduced enthusiasm for the high-throughput
screening (HTS) of crude natural product extract libraries in targeted assay
systems. To address these limitations, the NCI Program for Natural Product
Discovery (NPNPD), a newly launched, national program to advance natural product
discovery technologies and facilitate the discovery of structurally defined,
validated lead molecules ready for translation will create a prefractionated
library from over 125,000 natural product extracts with the aim of producing a
publicly-accessible, HTS-amenable library of >1,000,000 fractions. This library,
representing perhaps the largest accumulation of natural-product based fractions
in the world, will be made available free of charge in 384-well plates for
screening against all disease states in an effort to reinvigorate natural product
based drug discovery.
PMID- 29812902
TI - MapZ Forms a Stable Ring Structure That Acts As a Nanotrack for FtsZ Treadmilling
in Streptococcus mutans.
AB - Bacterial binary cell division requires accurate placement of division machinery.
FtsZ, a vital component of the division machinery, can assemble into filaments
and self-organize into a ring structure (Z ring) at the appropriate site for cell
division. MapZ, a recently identified FtsZ regulator in Streptococcaceae, has
been found to localize at the midcell where it helps to properly position the
FtsZ ring. However, its mechanism is still unclear. Here, by using total internal
reflection fluorescence microscopy, super-resolution imaging, and single molecule
tracking, we investigated the mechanism by which MapZ controls the position of
the FtsZ ring. Our results show that FtsZ exhibits a dynamic treadmilling motion
in S. mutans. Importantly, depletion of MapZ leads to the unconstrained movement
of treadmilling FtsZ filaments and a shorter lifetime of the constricting FtsZ
ring, which is frequently misplaced. Furthermore, by revealing that MapZ forms an
immobile ring-like nanostructure at the division site, our study suggests that
MapZ forms a stable ring that acts as a nanotrack to guide and restrict
treadmilling FtsZ filaments in S. mutans.
PMID- 29812903
TI - Magnetization Ratchet in Cylindrical Nanowires.
AB - The unidirectional motion of information carriers such as domain walls in
magnetic nanostrips is a key feature for many future spintronic applications
based on shift registers. This magnetic ratchet effect has so far been achieved
in a limited number of complex nanomagnetic structures, for example, by
lithographically engineered pinning sites. Here we report on a simple
remagnetization ratchet originated in the asymmetric potential from the designed
increasing lengths of magnetostatically coupled ferromagnetic segments in FeCo/Cu
cylindrical nanowires. The magnetization reversal in neighboring segments
propagates sequentially in steps starting from the shorter segments, irrespective
of the applied field direction. This natural and efficient ratchet offers
alternatives for the design of three-dimensional advanced storage and logic
devices.
PMID- 29812904
TI - Crystallography Coupled with Kinetic Analysis Provides Mechanistic Underpinnings
of a Nicotine-Degrading Enzyme.
AB - Nicotine oxidoreductase (NicA2) is a bacterial flavoenzyme, which catalyzes the
first step of nicotine catabolism by oxidizing S-nicotine into N-methyl-myosmine.
It has been proposed as a biotherapeutic for nicotine addiction because of its
nanomolar substrate binding affinity. The first crystal structure of NicA2 has
been reported, establishing NicA2 as a member of the monoamine oxidase (MAO)
family. However, substrate specificity and structural determinants of substrate
binding and/or catalysis have not been explored. Herein, analysis of the pH-rate
profile, single-turnover kinetics, and binding data establish that pH does not
significantly affect the catalytic rate and product release is not rate-limiting.
The X-ray crystal structure of NicA2 with S-nicotine refined to 2.65 A resolution
reveals a hydrophobic binding site with a solvent exclusive cavity. Hydrophobic
interactions predominantly orient the substrate, promoting the binding of a
deprotonated species and supporting a hydride-transfer mechanism. Notably, NicA2
showed no activity against neurotransmitters oxidized by the two isoforms of
human MAO. To further probe the substrate range of NicA2, enzyme activity was
evaluated using a series of substrate analogues, indicating that S-nicotine is
the optimal substrate and substitutions within the pyridyl ring abolish NicA2
activity. Moreover, mutagenesis and kinetic analysis of active-site residues
reveal that removal of a hydrogen bond between the pyridyl ring of S-nicotine and
the hydroxyl group of T381 has a 10-fold effect on KM, supporting the role of
this bond in positioning the catalytically competent form of the substrate.
Together, crystallography combined with kinetic analysis provides a deeper
understanding of this enzyme's remarkable specificity.
PMID- 29812905
TI - Identifying Few-Molecule Water Clusters with High Precision on Au(111) Surface.
AB - Revealing the nature of a hydrogen-bond network in water structures is one of the
imperative objectives of science. With the use of a low-temperature scanning
tunneling microscope, water clusters on a Au(111) surface were directly imaged
with molecular resolution by a functionalized tip. The internal structures of the
water clusters as well as the geometry variations with the increase of size were
identified. In contrast to a buckled water hexamer predicted by previous
theoretical calculations, our results present deterministic evidence for a flat
configuration of water hexamers on Au(111), corroborated by density functional
theory calculations with properly implemented van der Waals corrections. The
consistency between the experimental observations and improved theoretical
calculations not only renders the internal structures of absorbed water clusters
unambiguously, but also directly manifests the crucial role of van der Waals
interactions in constructing water-solid interfaces.
PMID- 29812906
TI - Mechanism of Ligand-Controlled Emission in Silicon Nanoparticles.
AB - Although bulk silicon (Si) is known to be a poor emitter, Si nanoparticles (NPs)
exhibit size-dependent photoluminescence in the red or near-infrared due to
quantum confinement. Recently, it has been shown that surface modification of Si
NPs with nitrogen-capped ligands results in bluer emission wavelengths and
quantum yields of up to 90%. However, the emission mechanism operating in these
surface-modified Si NPs and the factors that determine their emission maxima are
still unclear. Here, the emission in these species is shown to arise from a
charge-transfer state between the Si surface and the ligand. The energy of this
state is linearly correlated to the calculated ground-state dipole moment of the
free ligand. This trend can be used in a predictive fashion for the design and
synthesis of Si NPs with a broader range of emission wavelengths.
PMID- 29812907
TI - Modified Magnesium Hydroxide Nanoparticles Inhibit the Inflammatory Response to
Biodegradable Poly(lactide- co-glycolide) Implants.
AB - Biodegradable polymers have been extensively used in biomedical applications,
ranging from regenerative medicine to medical devices. However, the acidic
byproducts resulting from degradation can generate vigorous inflammatory
reactions, often leading to clinical failure. We present an approach to prevent
acid-induced inflammatory responses associated with biodegradable polymers, here
poly(lactide- co-glycolide), by using oligo(lactide)-grafted magnesium hydroxide
(Mg(OH)2) nanoparticles, which neutralize the acidic environment. In particular,
we demonstrated that incorporating the modified Mg(OH)2 nanoparticles within
degradable coatings on drug-eluting arterial stents efficiently attenuates the
inflammatory response and in-stent intimal thickening by more than 97 and 60%,
respectively, in the porcine coronary artery, compared with that of drug-eluting
stent control. We also observed that decreased inflammation allows better
reconstruction of mouse renal glomeruli in a kidney tissue regeneration model.
Such modified Mg(OH)2 nanoparticles may be useful to extend the applicability and
improve clinical success of biodegradable devices used in various biomedical
fields.
PMID- 29812908
TI - Minimalist Prion-Inspired Polar Self-Assembling Peptides.
AB - Nature provides copious examples of self-assembling supramolecular nanofibers.
Among them, amyloid structures have found amazing applications as advanced
materials in fields such as biomedicine and nanotechnology. Prions are a singular
subset of proteins able to switch between a soluble conformation and an amyloid
state. The ability to transit between these two conformations is encoded in the
so-called prion domains (PrDs), which are long and disordered regions of low
complexity, enriched in polar and uncharged amino acids such as Gln, Asn, Tyr,
Ser, and Gly. The polar nature of PrDs results in slow amyloid formation, which
allows kinetic control of fiber assembly. This approach has been exploited for
fabrication of multifunctional materials because in contrast to most amyloids,
PrDs lack hydrophobic stretches that can nucleate their aggregation, their
assembly depends on the establishment of a large number of weak interactions
along the complete domain. The length and low complexity of PrDs make their
chemical synthesis for applied purposed hardly affordable. Here, we designed four
minimalist polar binary patterned peptides inspired in PrDs, which include the
[Q/N/G/S]-Y-[Q/N/G/S] motif frequently observed in these domains: NYNYNYN,
QYQYQYQ, SYSYSYS, and GYGYGYG. Despite their small size, they all recapitulate
the properties of full-length PrDs, self-assembling into nontoxic amyloids under
physiological conditions. Thus, they constitute small building blocks for the
construction of tailored prion-inspired nanostructures. We exploited Tyr residues
in these peptides to generate highly stable dityrosine cross-linked assemblies
for the immobilization of metal nanoparticles in the fibrils surface and to
develop an electrocatalytic amyloid scaffold. Moreover, we show that the shorter
and more polar NYNNYN, QYQQYQ, and SYSSYS hexapeptides also self-assemble into
amyloid-like structures, consistent with the presence of these tandem motifs in
human prion-like proteins.
PMID- 29812910
TI - Dual-Gated Transistor Platform for On-Site Detection of Lead Ions at Trace
Levels.
AB - On-site monitoring of heavy metals in drinking water has become crucial because
of several high profile instances of contamination. Presently, reliable
techniques for trace level heavy metal detection are mostly laboratory based,
while the detection limits of contemporary field-based methods are barely meeting
the exposure limits set by regulatory bodies such as the World Health
Organization (WHO). Here, we show an on-site deployable, Pb2+ sensor on a dual
gated transistor platform whose lower detection limit is 2 orders of magnitude
better than the traditional sensor and 1 order of magnitude lower than the
exposure limit set by WHO. The enhanced sensitivity of our design is verified by
numerically solving PNP (Planck-Nernst-Poisson) model. We demonstrate that the
enhanced sensitivity is due to the suppression of ionic flux. The simplicity and
the robustness of the design make it applicable for on-site screening, thereby
facilitating rapid response to contamination events.
PMID- 29812909
TI - Hierarchical Multiplexing Nanodroplets for Imaging-Guided Cancer Radiotherapy via
DNA Damage Enhancement and Concomitant DNA Repair Prevention.
AB - Clinical success of cancer radiotherapy is usually impeded by a combination of
two factors, i.e., insufficient DNA damage and rapid DNA repair during and after
treatment, respectively. Existing strategies for optimizing the radiotherapeutic
efficacy often focus on only one facet of the issue, which may fail to function
in the long term trials. Herein, we report a DNA-dual-targeting approach for
enhanced cancer radiotherapy using a hierarchical multiplexing nanodroplet, which
can simultaneously promote DNA lesion formation and prevent subsequent DNA damage
repair. Specifically, the ultrasmall gold nanoparticles encapsulated in the
liquid nanodroplets can concentrate the radiation energy and induce dramatic DNA
damage as evidenced by the enhanced formation of gamma-H2AX foci as well as in
vivo tumor growth inhibition. Additionally, the ultrasound-triggered burst
release of oxygen may relieve tumor hypoxia and fix the DNA radical intermediates
produced by ionizing radiation, prevent DNA repair, and eventually result in
cancer death. Finally, the nanodroplet platform is compatible with fluorescence,
ultrasound, and magnetic resonance imaging techniques, allowing for real-time in
vivo imaging-guided precision radiotherapy in an EMT-6 tumor model with
significantly enhanced treatment efficacy. Our DNA-dual-targeting design of
simultaneously enhancing DNA damage and preventing DNA repair presents an
innovative strategy to effective cancer radiotherapy.
PMID- 29812911
TI - Modeling Amorphous Microporous Polymers for CO2 Capture and Separations.
AB - This review concentrates on the advances of atomistic molecular simulations to
design and evaluate amorphous microporous polymeric materials for CO2 capture and
separations. A description of atomistic molecular simulations is provided,
including simulation techniques, structural generation approaches, relaxation and
equilibration methodologies, and considerations needed for validation of
simulated samples. The review provides general guidelines and a comprehensive
update of the recent literature (since 2007) to promote the acceleration of the
discovery and screening of amorphous microporous polymers for CO2 capture and
separation processes.
PMID- 29812912
TI - Proximity Labeling by a Recombinant APEX2-FGF1 Fusion Protein Reveals Interaction
of FGF1 with the Proteoglycans CD44 and CSPG4.
AB - Fibroblast growth factor 1 (FGF1) binds to specific FGF receptors (FGFRs) at the
surface of target cells to initiate intracellular signaling. While heparan
sulfate proteoglycans (HSPGs) are well-described coreceptors, it is uncertain
whether there are additional binding sites for FGF1 at the cell surface. To
address this, we devised and tested a method to identify novel binding sites for
FGF1 at the cell surface, which may also be applicable for other protein ligands.
We constructed an APEX2-FGF1 fusion protein to perform proximal biotin labeling
of proteins following binding of the fusion protein to the cell surface. After
functional validation of the fusion protein by a signaling assay, we used this
method to identify binding sites for FGF1 on cell surfaces of living cells. We
confirmed the feasibility of our approach by detection of FGFR4, a well-known and
specific receptor for FGF1. We subsequently screened for novel interactors using
RPE1 cells and identified the proteoglycans CSPG4 (NG2) and CD44. We found that
FGF1 binds CD44 through its heparin-binding moiety. Moreover, we found that FGF1
was colocalized with both CSPG4 and CD44 at the cell surface, suggesting that
these receptors act as storage molecules that create a reservoir of FGF1.
Importantly, our data demonstrate that recombinant ligand-APEX2 fusion proteins
can be used to identify novel receptor interactions on the cell surface.
PMID- 29812913
TI - Escherichia coli DnaK Allosterically Modulates ClpB between High- and Low-Peptide
Affinity States.
AB - ClpB and DnaKJE provide protection to Escherichia coli cells during extreme
environmental stress. Together, this co-chaperone system can resolve protein
aggregates, restoring misfolded proteins to their native form and function in
solubilizing damaged proteins for removal by the cell's proteolytic systems. DnaK
is the component of the KJE system that directly interacts with ClpB. There are
many hypotheses for how DnaK affects ClpB-catalyzed disaggregation, each with
some experimental support. Here, we build on our recent work characterizing the
molecular mechanism of ClpB-catalyzed polypeptide translocation by developing a
stopped-flow FRET assay that allows us to detect ClpB's movement on model
polypeptide substrates in the absence or presence of DnaK. We find that DnaK
induces ClpB to dissociate from the polypeptide substrate. We propose that DnaK
acts as a peptide release factor, binding ClpB and causing the ClpB conformation
to change to a low-peptide affinity state. Such a role for DnaK would allow ClpB
to rebind to another portion of an aggregate and continue nonprocessive
translocation to disrupt the aggregate.
PMID- 29812914
TI - Insights into the Substrate Specificity of Archaeal Entner-Doudoroff Aldolases:
The Structures of Picrophilus torridus 2-Keto-3-deoxygluconate Aldolase and
Sulfolobus solfataricus 2-Keto-3-deoxy-6-phosphogluconate Aldolase in Complex
with 2-Keto-3-deoxy-6-phosphogluconate.
AB - The thermoacidophilic archaea Picrophilus torridus and Sulfolobus solfataricus
catabolize glucose via a nonphosphorylative Entner-Doudoroff pathway and a
branched Entner-Doudoroff pathway, respectively. Key enzymes for these Entner
Doudoroff pathways are the aldolases, 2-keto-3-deoxygluconate aldolase (KDG
aldolase) and 2-keto-3-deoxy-6-phosphogluconate aldolase [KD(P)G-aldolase]. KDG
aldolase from P. torridus (Pt-KDG-aldolase) is highly specific for the
nonphosphorylated substrate, 2-keto-3-deoxygluconate (KDG), whereas KD(P)G
aldolase from S. solfataricus [Ss-KD(P)G-aldolase] is an enzyme that catalyzes
the cleavage of both KDG and 2-keto-3-deoxy-6-phosphogluconate (KDPG), with a
preference for KDPG. The structural basis for the high specificity of Pt-KDG
aldolase for KDG as compared to the more promiscuous Ss-KD(P)G-aldolase has not
been analyzed before. In this work, we report the elucidation of the structure of
Ss-KD(P)G-aldolase in complex with KDPG at 2.35 A and that of KDG-aldolase from
P. torridus at 2.50 A resolution. By superimposition of the active sites of the
two enzymes, and subsequent site-directed mutagenesis studies, a network of four
amino acids, namely, Arg106, Tyr132, Arg237, and Ser241, was identified in Ss
KD(P)G-aldolase that interact with the negatively charged phosphate group of
KDPG, thereby increasing the affinity of the enzyme for KDPG. This KDPG-binding
network is absent in Pt-KDG-aldolase, which explains the low catalytic efficiency
of KDPG cleavage.
PMID- 29812915
TI - Enhanced DNA Binding and Photocleavage Abilities of beta-Cyclodextrin Appended
Ru(II) Complex through Supramolecular Strategy.
AB - Photosensitizers with high photocleavage ability are urgently needed to improve
photodynamic therapy efficacy. Herein, a supramolecular complex was constructed
through host-guest self-assembly using hexa-beta-CD-appended ruthenium
polypyridyl (6CD-Ru) and adamantane-modified anthracene (ADA-AN) in water. The
targeted DNA-intercalation of peripheral anthracenes can remarkably enhance
photocleavage ability and antitumor activity of the complex irradiated with
visible light.
PMID- 29812916
TI - Super Atomic Clusters: Design Rules and Potential for Building Blocks of
Materials.
AB - Atomic clusters, consisting of a few to a few thousand atoms, have emerged over
the past 40 years as the ultimate nanoparticles, whose structure and properties
can be controlled one atom at a time. One of the early motivations in studying
clusters was to understand how the properties of matter evolve as a function of
size, shape, and composition. Over the past few decades, more than 200 000 papers
have been published in this field. These studies have not only led to a
considerable understanding of this evolution from clusters to crystals, but also
have revealed many unusual size-specific properties that make cluster science an
interdisciplinary field on its own, bridging physics, chemistry, materials
science, biology, and medicine. More importantly, the possibility of creating a
new class of materials, composed of clusters instead of atoms as building blocks,
has fueled the hope that one can synthesize materials from the bottom-up with
unique and tailored properties. This Review focuses on the properties that set
clusters apart from their corresponding bulk. Furthermore, this Review describes
how different electron-counting rules can lead to the design of stable clusters,
mimicking the chemistry of atoms. We highlight the potential of these
"superatoms" as building blocks of cluster-assembled materials. Specifically, we
emphasize cluster-inspired materials for energy applications. The concluding
section includes a summary of the salient features of clusters, potential
challenges that remain, and an outlook for the future of cluster science.
PMID- 29812917
TI - Multiscale Simulations of Clavulanate Inhibition Identify the Reactive Complex in
Class A beta-Lactamases and Predict the Efficiency of Inhibition.
AB - Clavulanate is used as an effective drug in combination with beta-lactam
antibiotics to treat infections of some antibiotic resistant bacteria. Here, we
perform combined quantum mechanics/molecular mechanics simulations of several
covalent complexes of clavulanate with class A beta-lactamases KPC-2 and TEM-1.
Simulations of the deacylation reactions identify the decarboxylated trans
enamine complex as being responsible for inhibition. Further, the obtained free
energy barriers discriminate clinically relevant inhibition (TEM-1) from less
effective inhibition (KPC-2).
PMID- 29812919
TI - Correction to Magnetically Guided Viral Transduction of Gene-Based Sensitization
for Localized Photodynamic Therapy To Overcome Multidrug Resistance in Breast
Cancer Cells.
PMID- 29812920
TI - Optically Active Semiconductor Nanosprings for Tunable Chiral Nanophotonics.
AB - The search for the optimal geometry of optically active semiconductor
nanostructures is making steady progress and has far-reaching benefits. Yet the
helical springlike shape, which is very likely to provide a highly dissymmetric
optical response, remains somewhat understudied theoretically. Here we
comprehensively analyze the optical activity of semiconductor nanosprings using a
fully quantum-mechanical model of their electronic subsystem and taking into
account the anisotropy of their interaction with light. We show that the circular
dichroism of semiconductor nanosprings can exceed that of ordinary semiconductor
nanocrystals by a factor of 100 and be comparable to the circular dichroism of
metallic nanosprings. It is also demonstrated that nanosprings can feature a
total dissymmetry of optical response for certain ratios between their length and
coil height. The magnitude and sign of the circular dichroism signal can be
controlled by stretching or compressing the nanosprings, which makes them a
promising material base for optomechanical sensors, polarization controllers, and
other types of optically active nanophotonic devices.
PMID- 29812921
TI - Selective Hydrogenation of Cyclic Imides to Diols and Amines and Its Application
in the Development of a Liquid Organic Hydrogen Carrier.
AB - Direct hydrogenation of a broad variety of cyclic imides to diols and amines
using a ruthenium catalyst is reported here. We have applied this strategy toward
the development of a new liquid organic hydrogen carrier system based on the
hydrogenation of bis-cyclic imide that is formed by the dehydrogenative coupling
of 1,4-butanediol and ethylenediamine using a new ruthenium catalyst. The
rechargeable system has a maximum gravimetric hydrogen storage capacity of 6.66
wt%.
PMID- 29812922
TI - Generalized Markov State Modeling Method for Nonequilibrium Biomolecular
Dynamics: Exemplified on Amyloid beta Conformational Dynamics Driven by an
Oscillating Electric Field.
AB - Markov state models (MSMs) have received an unabated increase in popularity in
recent years, as they are very well suited for the identification and analysis of
metastable states and related kinetics. However, the state-of-the-art Markov
state modeling methods and tools enforce the fulfillment of a detailed balance
condition, restricting their applicability to equilibrium MSMs. To date, they are
unsuitable to deal with general dominant data structures including cyclic
processes, which are essentially associated with nonequilibrium systems. To
overcome this limitation, we developed a generalization of the common robust
Perron Cluster Cluster Analysis (PCCA+) method, termed generalized PCCA (G-PCCA).
This method handles equilibrium and nonequilibrium simulation data, utilizing
Schur vectors instead of eigenvectors. G-PCCA is not limited to the detection of
metastable states but enables the identification of dominant structures in a
general sense, unraveling cyclic processes. This is exemplified by application of
G-PCCA on nonequilibrium molecular dynamics data of the Amyloid beta (1-40)
peptide, periodically driven by an oscillating electric field.
PMID- 29812923
TI - Influence of Metal Contamination and Sediment Deposition on Benthic Invertebrate
Colonization at the North Fork Clear Creek Superfund Site, Colorado, USA.
AB - Assessing benthic invertebrate community responses to multiple stressors is
necessary to improve the success of restoration and biomonitoring projects.
Results of mesocosm and field experiments were integrated to predict how benthic
macroinvertebrate communities would recover following the removal of acid mine
drainage from the North Fork of Clear Creek (NFCC), a U.S. EPA Superfund site in
Colorado, USA. We transferred reference and metal-contaminated sediment to an
upstream reference site where colonization by benthic macroinvertebrates was
measured over 30 days. Additionally, a mesocosm experiment was performed to test
the hypothesis that patches of metal-contaminated substrate impede recolonization
downstream. Abundance in all treatments increased over time during field
experiments; however, colonization was slower in treatments with metal
contaminated fine sediment. Community assemblages in treatments with metal
contaminated fine substrate were significantly different from other treatments.
Patterns in the mesocosm study were consistent with results of the field
experiment and showed greater separation in community structure between streams
with metal-contaminated sediments and reference-coarse habitats; however,
biological traits also helped explain downstream colonization. This study
suggests that after water quality improvements at NFCC, fine-sediment deposition
will likely reduce recovery potential for some taxa; however highly mobile taxa
that avoid patches of contaminated habitats can recover quickly.
PMID- 29812924
TI - How Persistent and Bioavailable Are Oxygenated Deepwater Horizon Oil
Transformation Products?
AB - About half of the surface oil floating on the Gulf of Mexico in the aftermath of
the 2010 Deepwater Horizon spill was transformed into oxygenated hydrocarbons
(OxHC) within days to weeks. These OxHC persist for years in oil/sand aggregates
in nearshore and beach environments, and there is concern that these aggregates
might represent a long-term source of toxic compounds. However, because this OxHC
fraction is a continuum of transformation products that are not well chemically
characterized, it is not included in current oil spill fate and effect models.
This challenges an accurate environmental risk assessment of weathered oil. Here,
we used molecular and bulk analytical techniques to constrain the chemical
composition and environmental fate of weathered oil samples collected on the sea
surface and beaches of the Gulf of Mexico. We found that approximately 50% of the
weathering-related disappearance of saturated and aromatic compounds in these
samples was compensated by an increase in OxHC. Furthermore, we identified and
quantified a suite of oxygenated aliphatic compounds that are more water-soluble
and less hydrophobic than its presumed precursors, but only represent <1% of the
oil residues' mass. Lastly, dissolution experiments showed that compounds in the
OxHC fraction can leach into the water; however, the mass loss of this process is
small. Overall, this study shows that the OxHC fraction is prevalent and
persistent in weathered oil/sand aggregates, which can act as a long-term source
of dissolved oil-derived compounds.
PMID- 29812925
TI - Ba3Fe1.56Ir1.44O9: A Polar Semiconducting Triple Perovskite with Near Room
Temperature Magnetic Ordering.
AB - The crystal chemistry and magnetic properties for two triple perovskites,
Ba3Fe1.56Ir1.44O9 and Ba3NiIr2O9, grown as large, highly faceted single crystals
from a molten strontium carbonate flux, are reported. Unlike the idealized
A3MM2'O9 hexagonal symmetry characteristic of most triple perovskites, including
Ba3NiIr2O9, Ba3Fe1.56Ir1.44O9 possesses significant site-disorder, resulting in a
noncentrosymmetric polar structure with trigonal symmetry. The valence of iron
and iridium in the heavily distorted Fe/Ir sites was determined to be Fe(III) and
Ir(V) by X-ray absorption near edge spectroscopy (XANES). Density functional
theory calculations were conducted to understand the effect of the trigonal
distortion on the local Fe(III)O6 electronic structure, and the spin state of
iron was determined to be S = 5/2 by Mossbauer spectroscopy. Conductivity
measurements indicate thermally activated semiconducting behavior in the trigonal
perovskite. Magnetic properties were measured and near room temperature magnetic
ordering (TN = 270 K) was observed for Ba3Fe1.56Ir1.44O9.
PMID- 29812926
TI - Metalated Ir(III) Complexes Based on the Luminescent Diimine Ligands: Synthesis
and Photophysical Study.
AB - A series of novel diimine (N?N) ligands containing developed aromatic [2,1-
a]pyrrolo[3,2- c]isoquinoline system have been prepared and used in the synthesis
of Ir(III) luminescent complexes. In organic solvents, the ligands display
fluorescence which depends strongly on the nature of solvents to give moderate to
strong orange emission in aprotic solvents and shows a considerable blue shift
and substantial increase in emission intensity in methanol. Insertion of electron
withdrawing and -donating substituents into peripheral phenyl fragment has nearly
no effect onto emission parameters. The ligands were successfully used to prepare
the metalated [Ir(N?C)2(N?N)]+ complexes (where N?C = phenylpyridine (N?C-1), p
tolylpyridine (N?C-2), 2-(benzo[ b]thiophen-2-yl)pyridine (N?C-3), 2-benzo[
b]thiophen-3-yl)pyridine (N?C-4), and methyl 2-phenylquinoline-4-carboxylate (N?C
5)) using standard synthetic procedures. The complexes obtained display moderate
to strong phosphorescence in organic solvents; the emission characteristics is
determined by the nature of emissive triplet state, which varies substantially
with the variations in the structure and donor properties of the C- and N
coordinating functions in metalating ligands. TD-DFT calculations show that for
complexes 1, 2, and 4 the emission originates from the mixed 3MLCT/3LLCT excited
states with the major contribution from the aromatic moiety of the diimine
ligand, whereas in 3 the emissive triplet manifold is mainly located at the N?C
ligand to give structured emission band typical for the ligand centered (LC)
excited state. In the case of 5, the phosphorescence may be also assigned to the
mixed 3MLCT/3LLCT excited state; however, the major contribution is attributed to
the aromatic moiety of the metalating N?C ligand.
PMID- 29812927
TI - Electroremovable Traceless Hydrazides for Cobalt-Catalyzed Electro-Oxidative C
H/N-H Activation with Internal Alkynes.
AB - Electrochemical oxidative C-H/N-H activations have been accomplished with a
versatile cobalt catalyst in terms of [4 + 2] annulations of internal alkynes.
The electro-oxidative C-H activation manifold proved viable with an undivided
cell setup under exceedingly mild reaction conditions at room temperature using
earth-abundant cobalt catalysts. The electrochemical cobalt catalysis prevents
the use of transition metal oxidants in C-H activation catalysis, generating H2
as the sole byproduct. Detailed mechanistic studies provided strong support for a
facile C-H cobaltation by an initially formed cobalt(III) catalyst. The
subsequent alkyne migratory insertion was interrogated by mass spectrometry and
DFT calculations, providing strong support for a facile C-H activation and the
formation of a key seven-membered cobalta(III) cycle in a regioselective fashion.
Key to success for the unprecedented use of internal alkynes in electrochemical C
H/N-H activations was represented by the use of N-2-pyridylhydrazides, for which
we developed a traceless electrocleavage strategy by electroreductive samarium
catalysis at room temperature.
PMID- 29812929
TI - Advances and Insights for Small Molecule Inhibition of Macrophage Migration
Inhibitory Factor.
AB - Macrophage migration inhibitory factor (MIF) is an upstream regulator of the
immune response whose dysregulation is tied to a broad spectrum of inflammatory
and proliferative disorders. As its complex signaling pathways and pleiotropic
nature have been elucidated, it has become an attractive target for drug
discovery. Remarkably, MIF is both a cytokine and an enzyme that functions as a
keto-enol tautomerase. Strategies including in silico modeling, virtual
screening, high-throughput screening, and screening of anti-inflammatory natural
products have led to a large and diverse catalogue of MIF inhibitors as well as
some understanding of the structure-activity relationships for compounds binding
MIF's tautomerase active site. With possible clinical trials of some MIF
inhibitors on the horizon, it is an opportune time to review the literature to
seek trends, address inconsistencies, and identify promising new avenues of
research.
PMID- 29812928
TI - Dendrimer Interactions with Lipid Bilayer: Comparison of Force Field and Effect
of Implicit vs Explicit Solvation.
AB - The understanding of dendrimer interactions with cell membranes has great
importance in drug/gene delivery based therapeutics. Although molecular
simulations have been used to understand the nature of dendrimer interactions
with lipid membranes, its dependency on available force field parameters is
poorly understood. In this study, we have carried out fully atomistic molecular
dynamics (MD) simulations of a protonated G3 poly(amido amine) (PAMAM) dendrimer
dimyristoylphosphatidylcholine (DMPC) lipid bilayer complex using three different
force fields (FFs) namely, CHARMM, GAFF, and GROMOS in the presence of explicit
water to understand the structure of the lipid-dendrimer complex and nature of
their interaction. CHARMM and GAFF dendrimers initially in contact with the lipid
head groups were found to move away from the lipid bilayer during the course of
simulation; however, the dendrimer remained strongly bound to the lipid head
groups with the GROMOS FF. Potential of the mean force (PMF) computations of the
dendrimer along the bilayer normal showed a repulsive barrier (~20 kcal/mol)
between dendrimer and lipid bilayer in the case of CHARMM and GAFF force fields.
In contrast, an attractive interaction (~40 kcal/mol) is obtained with the GROMOS
force field, consistent with experimental observations of membrane binding
observed with lower generation G3 PAMAM dendrimers. This difference with the
GROMOS dendrimer is attributed to the strong dendrimer-lipid interaction and
lowered surface hydration of the dendrimer. Assessing the role of solvent, we
find that the CHARMM and GAFF dendrimers strongly bind to the lipid bilayer with
an implicit solvent (Generalized Born) model, whereas binding is not observed
with explicit water (TIP3P). The opposing nature of dendrimer-membrane
interactions in the presence of explicit and implicit solvents demonstrates that
hydration effects play an important role in modulating the dendrimer-lipid
interaction warranting a case for refinement of the existing dendrimer/lipid
force fields.
PMID- 29812930
TI - Atomic Energies from a Convolutional Neural Network.
AB - Understanding interactions and structural properties at the atomic level is often
a prerequisite to the design of novel materials. Theoretical studies based on
quantum-mechanical first-principles calculations can provide this knowledge but
at an immense computational cost. In recent years, machine learning has been
successful in predicting structural properties at a much lower cost. Here we
propose a simplified structure descriptor with no empirical parameters, "k-Bags",
together with a scalable and comprehensive machine learning framework that can
deepen our understanding of atomic properties of structures. This model can
readily predict structure-energy relations that can provide results close to the
accuracy of ab initio methods. The model provides chemically meaningful atomic
energies enabling theoretical analysis of organic and inorganic molecular
structures. Utilization of the local information provided by the atomic energies
significantly improves upon the stochastic steps in our evolutionary global
structure optimization, resulting in a much faster global minimum search of
molecules, clusters, and surfaced supported species.
PMID- 29812931
TI - Iodoacetic Acid Disrupting the Thyroid Endocrine System in Vitro and in Vivo.
AB - Exposure to drinking water disinfection byproducts (DBPs) is potentially
associated with adverse developmental effects. Iodoacetic acid (IAA), an
unregulated DBP, has been shown to be cytotoxic, mutagenic, genotoxic, and
tumorigenic. However, its endocrine-disrupting effects remain unknown. This study
evaluated the IAA-induced disruption of the thyroid endocrine system using in
vitro and in vivo assays. Rat pituitary tumor GH3 cells were treated with IAA in
the presence and absence of triiodothyronine (T3). IAA exposure significantly
reduced T3-activated GH3 cell proliferation, indicating the antagonistic activity
of IAA in vitro. Sprague-Dawley rats were also subjected to IAA treatment through
oral gavage for 28 consecutive days. IAA exposure significantly down-regulated
the mRNA expression levels of the thyrotropin receptor (TSHR), the sodium/iodide
symporter (NIS), and type I deiodinase and simultaneously reduced the protein
expression levels of TSHR and NIS. IAA exposure decreased T3 levels but increased
the weights of hypothalamus and the levels of thyrotropin releasing hormone and
thyrotropin. In addition, IAA induced the formation of smaller and more depleted
follicles or even vacuolization in the thyroid. These results suggested that IAA
potentially disrupts the thyroid endocrine system both in vitro and in vivo.
PMID- 29812932
TI - Chemical Bond Energies of 3d Transition Metals Studied by Density Functional
Theory.
AB - Despite their vast importance to inorganic chemistry, materials science, and
catalysis, the accuracy of modeling the formation or cleavage of metal-ligand (M
L) bonds depends greatly on the chosen functional and the type of bond in a way
that is not systematically understood. In order to approach a state of high
accuracy DFT for rational prediction of chemistry and catalysis, such system
dependencies need to be resolved. We studied 30 different density functionals
applied to a "balanced data set" of 60 experimental diatomic M-L bond energies;
this data set has no bias toward any dq configuration, metal, bond type, or
ligand as all of these occur to the same extent, and we can therefore identify
accuracy bottlenecks. We show that the performance of a functional is very
dependent on data set choice, and we dissect these effects into system type. In
addition to the use of balanced data sets, we also argue that the precision
(rather than just accuracy) of a functional is of interest, measured by standard
deviations of the errors. There are distinct system dependencies both in the
ligand and metal series: Hydrides are best described by a very large HF exchange
percentage, possibly due to self-interaction error, whereas halides are best
described by very small (0-10%) HF exchange fractions, and double-bond enforcing
oxides and sulfides favor 10-25% HF exchange, as is also average for the full
data set. Thus, average HF requirements hide major system-dependent requirements.
For late transition metals Co-Zn, HF percentage of 0-10% is favored, whereas for
the early transition metals Sc-Fe hybrid functionals with 20% HF exchange or
higher are commonly favored. Accordingly, B3LYP is an excellent choice for early
d-block but a poor choice for late transition metals. We conclude that DFT
intrinsically underestimates the bond strengths of late vs early transition
metals, correlating with increased effective nuclear charge. Thus, the revised
RPBE, which reduces the overbinding tendency of PBE, is mainly an advantage for
the early and mid transition metals and not very much for the late transition
metals, i.e. there is a metal-dependent effect of the relative performance of
RPBE vs PBE, which are widely used to study adsorption energetics on metal
surfaces. Overall, the best performing functionals are PW6B95, the MN15 and MN15
L functionals, and the double hybrid B2PLYP.
PMID- 29812933
TI - CO Oxidation by N2O Homogeneously Catalyzed by Ruthenium Hydride Pincer Complexes
Indicating a New Mechanism.
AB - Both CO and N2O are important, environmentally harmful industrial gases. The
reaction of CO and N2O to produce CO2 and N2 has stimulated much research
interest aimed at degradation of these two gases in a single step. Herein, we
report an efficient CO oxidation by N2O catalyzed by a (PNN)Ru-H pincer complex
under mild conditions, even with no added base. The reaction is proposed to
proceed through a sequence of O-atom transfer (OAT) from N2O to the Ru-H bond to
form a Ru-OH intermediate, followed by intramolecular OH attack on an adjacent CO
ligand, forming CO2 and N2. Thus, the Ru-H bond of the catalyst plays a central
role in facilitating the OAT from N2O to CO, providing an efficient and novel
protocol for CO oxidation.
PMID- 29812934
TI - Dimensions and Global Twist of Single-Layer DNA Origami Measured by Small-Angle X
ray Scattering.
AB - The rational design of complementary DNA sequences can be used to create
nanostructures that self-assemble with nanometer precision. DNA nanostructures
have been imaged by atomic force microscopy and electron microscopy. Small-angle
X-ray scattering (SAXS) provides complementary structural information on the
ensemble-averaged state of DNA nanostructures in solution. Here we demonstrate
that SAXS can distinguish between different single-layer DNA origami tiles that
look identical when immobilized on a mica surface and imaged with atomic force
microscopy. We use SAXS to quantify the magnitude of global twist of DNA origami
tiles with different crossover periodicities: these measurements highlight the
extreme structural sensitivity of single-layer origami to the location of strand
crossovers. We also use SAXS to quantify the distance between pairs of gold
nanoparticles tethered to specific locations on a DNA origami tile and use this
method to measure the overall dimensions and geometry of the DNA nanostructure in
solution. Finally, we use indirect Fourier methods, which have long been used for
the interpretation of SAXS data from biomolecules, to measure the distance
between DNA helix pairs in a DNA origami nanotube. Together, these results
provide important methodological advances in the use of SAXS to analyze DNA
nanostructures in solution and insights into the structures of single-layer DNA
origami.
PMID- 29812936
TI - Selective Reagent Ions for the Direct Vapor Detection of Organophosphorus
Compounds Below Parts-per-Trillion Levels.
AB - Real-time low to sub parts-per-trillion (pptv) vapor detection of some
organophosphorous compounds (OPCs) is demonstrated with an atmospheric flow tube
mass spectrometer. The chemical species investigated included dimethyl
methylphosphonate, triethyl phosphate, and tributylphosphate. The atmospheric
flow tube provides ambient chemical ionization with up to several seconds of
ionization time. With sensitivities in the parts-per-quadrillion (ppqv) range,
there are many background contaminants competing for charge with the target
analytes. Initially, the OPCs were not observable in direct room air analysis,
presumably due to other trace components possessing higher proton affinities.
However, the addition of a trialkylamine as a dopant chemical served to provide a
single reagent ion that also formed a proton-bound heterodimer with the OPCs.
These asymmetric proton-bound dimers had sufficiently high hydrogen bond energy
to allow the cluster to remain intact during the analysis time of several
seconds. Changes in stability were observed for some of these asymmetric proton
bound dimers with a shorter half-life for adducts with a larger proton affinity
differences between the amine and the OPC. Detection levels approaching low pptv
to high ppqv were correlated by three different methods, including use of a
permeation tube, direct injection of a fixed mass into the sample air flow, and
calculations based upon signal intensity ratios, reaction time, and an estimated
reaction rate constant. A practical demonstration showed real-time monitoring of
a laboratory environment initially with low pptv levels of vapor observed to
decay exponentially over about an hour while returning to baseline levels.
PMID- 29812935
TI - The Fluorite-Like Phase Nd5Mo3O16+/-delta in the MoO3-Nd2O3 System: Synthesis,
Crystal Structure, and Conducting Properties.
AB - This paper describes a study of the system MoO3-Nd2O3 using a combination of X
ray powder diffraction (XRD), neutron powder diffraction (NPD), thermogravimetric
analysis (TGA), and ac impedance spectroscopy (IS). A phase-pure material is
observed at a composition of 45.5 mol % Nd2O3, which corresponds to an ideal
stoichiometry of Nd5Mo3O16.5. XRD and NPD show that the crystal structure is a
superstructure of the fluorite arrangement, with long-range ordering of the two
cation species leading to a doubled unit cell parameter. The sample is found to
be significantly oxygen deficient, i.e. Nd5Mo3O15.63(4), when it is prepared by a
solid-state reaction at 1473 K in air. TGA measurements indicate that the sample
loses only minimal mass on heating to 1273 K in O2. IS studies of the mean
conductivity under different atmospheres show that the sample is a mixed
conductor between ambient temperature and 873 K, with a dominant electronic
component at higher temperatures, as demonstrated by measurements under inert
atmosphere. NPD measurements indicate that the anion vacancies are preferentially
located on the O2 sites, while studies of the temperature dependence performed
under an O2 atmosphere to 1273 K show significantly anisotropic thermal
parameters of the anions. Together with analysis of the total neutron scattering
data, this supports a model of oxygen ions hopping between O2 positions, with a
vacancy, rather than interstitial, mechanism for the anion diffusion.
PMID- 29812938
TI - Structurally Flexible Oxocarbenium/Borohydride Ion Pair: Dynamics of Hydride
Transfer on the Background of Conformational Roaming.
AB - We apply Born-Oppenheimer molecular dynamics to the practically significant
[dioxane-H(+)-acetone][(C6F5)3B-H(-)] and [Et2O-H(+)-OCPr2][(C6F5)3B-H(-)] ion
pair intermediates. Dynamics of hydride transfer in cation/anion ion pair takes
place on the background of large-amplitude configurational changes. Geometry of
oxocarbenium/borohydride ion pairs is flexible, meaning that we uncover
significant actual structural disorder at a finite temperature. Therefore,
although the starting structure can be fairly close to the configurational area
of the hydride transfer transition state (TS) and despite a low potential energy
barrier (ca. 1.5 kcal/mol, according to the literature), already at T ~ 325 K the
system can remain ignorant of the TS region and move round and about ("roam") in
the configurational space for a period of time in the range between 10 and 100
ps. This indicates structural flexibility of oxocarbenium/borohydride ion pair on
apparently a flat potential energy "landscape" of cation/anion interaction, and
this has not been taken into consideration by the free energy estimations in
static considerations made thus far. The difference between the dynamics-based
representation of the system versus the static representation amounts to the
difference between quasi-bimolecular versus unimolecular descriptions of the
hydride transfer step.
PMID- 29812937
TI - Rotational Dynamics of Proteins from Spin Relaxation Times and Molecular Dynamics
Simulations.
AB - Conformational fluctuations and rotational tumbling of proteins can be
experimentally accessed with nuclear spin relaxation experiments. However,
interpretation of molecular dynamics from the experimental data is often
complicated, especially for molecules with anisotropic shape. Here, we apply
classical molecular dynamics simulations to interpret the conformational
fluctuations and rotational tumbling of proteins with arbitrarily anisotropic
shape. The direct calculation of spin relaxation times from simulation data did
not reproduce the experimental data. This was successfully corrected by scaling
the overall rotational diffusion coefficients around the protein inertia axes
with a constant factor. The achieved good agreement with experiments allowed the
interpretation of the internal and overall dynamics of proteins with
significantly anisotropic shape. The overall rotational diffusion was found to be
Brownian, having only a short subdiffusive region below 0.12 ns. The presented
methodology can be applied to interpret rotational dynamics and conformation
fluctuations of proteins with arbitrary anisotropic shape. However, a water model
with more realistic dynamical properties is probably required for intrinsically
disordered proteins.
PMID- 29812939
TI - Alkene Oxyamination Using Malonoyl Peroxides: Preparation of Pyrrolidines and
Isoxazolidines.
AB - Treatment of homoallylic N-tosyl amines or allylic N-tosyl hydroxylamines with
1.5 equiv of a malonoyl peroxide provides a stereoselective method to access
functionalized pyrrolidines and isoxazolidines. This metal free alkene
oxyamination proceeds in 50-85% yield and up to 13:1 trans-selectivity. In
addition, the relative stereochemistry of the oxygen and nitrogen substituents
can be inverted through an oxidation/reduction sequence or inverting the
stereochemistry of the starting alkene. Mechanistic investigations show a higher
reactivity for hydroxyl nucleophiles over sulfonamide nucleophiles revealing a
preference for dioxygenation over oxyamination.
PMID- 29812940
TI - Highly Diastereo- and Enantioselective Synthesis of Spiro-tetrahydrofuran
pyrazolones via Organocatalytic Cascade Reaction between gamma-Hydroxyenones and
Unsaturated Pyrazolones.
AB - The first diastereo- and enantioselective synthesis of spiro-tetrahydrofuran
pyrazolones is reported via organocatalytic asymmetric cascade oxa
Michael/Michael reaction between gamma-hydroxyenones and unsaturated pyrazolones.
Bifunctional squaramide catalyst was found to be effective for this reaction.
With 10 mol % of catalyst, excellent results were attained for a variety of
spiropyrazolones under mild reaction conditions.
PMID- 29812941
TI - Hydrofluoric Acid-Based Derivatization Strategy To Profile PARP-1 ADP
Ribosylation by LC-MS/MS.
AB - Despite significant advances in the development of mass spectrometry-based
methods for the identification of protein ADP-ribosylation, current protocols
suffer from several drawbacks that preclude their widespread applicability. Given
the intrinsic heterogeneous nature of poly(ADP-ribose), a number of strategies
have been developed to generate simple derivatives for effective interrogation of
protein databases and site-specific localization of the modified residues.
Currently, the generation of spectral signatures indicative of ADP-ribosylation
rely on chemical or enzymatic conversion of the modification to a single mass
increment. Still, limitations arise from the lability of the poly(ADP-ribose)
remnant during tandem mass spectrometry, the varying susceptibilities of
different ADP-ribose-protein bonds to chemical hydrolysis, or the context
dependence of enzyme-catalyzed reactions. Here, we present a chemical-based
derivatization method applicable to the confident identification of site-specific
ADP-ribosylation by conventional mass spectrometry on any targeted amino acid
residue. Using PARP-1 as a model protein, we report that treatment of ADP
ribosylated peptides with hydrofluoric acid generates a specific +132 Da mass
signature that corresponds to the decomposition of mono- and poly(ADP
ribosylated) peptides into ribose adducts as a consequence of the cleavage of the
phosphorus-oxygen bonds.
PMID- 29812942
TI - Reduction Rate of 1-Phenyl Phospholane 1-Oxide Enhanced by Silanol Byproducts:
Comprehensive DFT Study and Kinetic Modeling Linked to Reagent Design.
AB - Important stoichiometric transformations like Wittig and Appel reactions have
been implemented in a catalytic fashion in the past decade. The phosphine oxide
generated in situ can be reintroduced as phosphine into the catalytic cycle using
mild and selective silane reagents (redox-driven catalysis). While the field of
experimental investigation has been fully expanding in the past decade,
theoretical studies are still sparse. In this present work, density functional
theory (DFT) has been used to characterize the free energy surfaces of the
reduction of 1-phenyl phospholane 1-oxide with four different silanes. Found
stationary points have been studied in-depth to highlight mechanistic
peculiarities, like the effect of substituents at the silicon center and the
parallel and competitive reactivity between the precursor silanes and their
semioxidized byproducts. Calculated thermodynamic parameters in combination with
"real" values for concentrations have been used in the formulation of rate
equations for simple bimolecular and monomolecular steps of the mechanism. The
deterministic integration concentrations versus time of such rate equations led
to a realistic description of the systems under study and paved the way to
strategic and rational design of new silanes with increased reactivity.
PMID- 29812943
TI - Self-Sorting of Bidispersed Colloidal Particles Near Contact Line of an
Evaporating Sessile Droplet.
AB - Here, we investigate deposit patterns and associated morphology formed after the
evaporation of an aqueous droplet containing mono- and bidispersed colloidal
particles. In particular, the combined effect of substrate heating and particle
diameter is investigated. We employ high-speed visualization, optical microscopy,
and scanning electron microscopy to characterize the evaporating droplets,
particle motion, and deposit morphology, respectively. In the context of
monodispersed colloidal particles, an inner deposit and a typical ring form for
smaller and larger particles, respectively, on a nonheated surface. The formation
of the inner deposit is attributed to early depinning of the contact line,
explained by a mechanistic model based on the balance of several forces acting on
a particle near the contact line. At larger substrate temperature, a thin ring
with inner deposit forms, explained by the self-pinning of the contact line and
advection of the particles from the contact line to the center of the droplet due
to the Marangoni flow. In the context of bidispersed colloidal particles, self
sorting of the colloidal particles within the ring occurs at larger substrate
temperature. The smaller particles deposit at the outermost edge compared to the
larger particles, and this preferential deposition in a stagnation region near
the contact line is due to the spatially varying height of the liquid-gas
interface above the substrate. The sorting occurs at a smaller ratio of the
diameters of the smaller and larger particles. At larger substrate temperature
and larger ratio, the particles do not get sorted and mix into each other. Our
measurements show that there exists a critical substrate temperature as well as a
diameter ratio to achieve the sorting. We propose regime maps on substrate
temperature-particle diameter and substrate temperature-diameter ratio plane for
mono- and bidispersed solutions, respectively.
PMID- 29812944
TI - Quantitative Assessment of Urea In-Solution Lys-C/Trypsin Digestions Reveals
Superior Performance at Room Temperature over Traditional Proteolysis at 37
degrees C.
AB - Urea-containing buffer solutions are generally used in proteomic studies to aid
protein denaturation and solubilization during cell and tissue lysis. It is well
known, however, that urea can lead to carbamylation of peptides and proteins and,
subsequently, incomplete digestion of proteins. By the use of cells and tissues
that had been lysed with urea, different solution digestion strategies were
quantitatively assessed. In comparison with traditional proteolysis at 37
degrees C, urea in-solution digestion performed at room temperature improved
peptide and protein identification and quantitation and had a minimum impact on
miscleavage rates. Furthermore, the signal intensities and the number of
carbamylated and pyroglutamic acid-modified peptides decreased. Overall, this led
to a reduction in the negative effects often observed for such modifications.
Data are available via ProteomeXchange with identifier PXD009426.
PMID- 29812945
TI - The Clathrate-Water Interface Is Oleophilic.
AB - The slow nucleation of clathrate hydrates is a central challenge for their use in
the storage and transportation of natural gas. Molecules that strongly adsorb to
the clathrate-water interface decrease the crystal-water surface tension,
lowering the barrier for clathrate nucleation. Surfactants are widely used to
promote the nucleation and growth of clathrate hydrates. It has been proposed
that these amphiphilic molecules bind to the clathrate surface via hydrogen
bonding. However, recent studies reveal that PVCap, an amphiphilic polymer, binds
to clathrates through hydrophobic moieties. Here we use molecular dynamic
simulations and theory to investigate the mode and strength of binding of
surfactants to the clathrate-water interface and their effect on the nucleation
rate. We find that the surfactants bind to the clathrate-water interface
exclusively through their hydrophobic tails. The binding is strong, driven by the
entropy of dehydration of the alkyl chain, as it penetrates empty cavities at the
hydrate surface. The hydrophobic attraction of alkyl groups to the clathrate
surface also results in strong adsorption of alkanes. We identify two regimes for
the binding of surfactants as a function of their density at the hydrate surface,
which we interpret to correspond to the two steps of the Langmuir adsorption
isotherm observed in experiments. Our results indicate that hydrophobic
attraction to the clathrate-water interface is key for the design of soluble
additives that promote the nucleation of hydrates. We use the calculated
adsorption coefficients to estimate the concentration of sodium dodecyl sulfate
(SDS) required to reach nucleation rates for methane hydrate consistent with
those measured in experiments. To our knowledge, this study is the first to
quantify the effect of surfactant concentration in the nucleation rate of
clathrate hydrates.
PMID- 29812946
TI - Enantioselective Pd(II)-Catalyzed Intramolecular Oxidative 6- endo
Aminoacetoxylation of Unactivated Alkenes.
AB - A novel asymmetric 6-endo aminoacetoxylation of unactivated alkenes by palladium
catalysis, which yields chiral beta-acetoxylated piperidines with excellent chemo
, regio- and enantioselectivities under very mild reaction conditions, has been
established herein by employing a new designed pyridine-oxazoline (Pyox) ligand.
Importantly, introducing a sterically bulky group into the C-6 position of Pyox
is crucial to enhance the reactivity of the aminoacetoxylation of alkenes.
PMID- 29812947
TI - In Situ X-ray Scattering Guides the Synthesis of Uniform PtSn Nanocrystals.
AB - Compared to monometallic nanocrystals (NCs), bimetallic ones often exhibit
superior properties due to their wide tunability in structure and composition. A
detailed understanding of their synthesis at the atomic scale provides crucial
knowledge for their rational design. Here, exploring the Pt-Sn bimetallic system
as an example, we study in detail the synthesis of PtSn NCs using in situ
synchrotron X-ray scattering. We show that when Pt(II) and Sn(IV) precursors are
used, in contrast to a typical simultaneous reduction mechanism, the PtSn NCs are
formed through an initial reduction of Pt(II) to form Pt NCs, followed by the
chemical transformation from Pt to PtSn. The kinetics derived from the in situ
measurements shows fast diffusion of Sn into the Pt lattice accompanied by
reordering of these atoms into intermetallic PtSn structure within 300 s at the
reaction temperature (~280 degrees C). This crucial mechanistic understanding
enables the synthesis of well-defined PtSn NCs with controlled structure and
composition via a seed-mediated approach. This type of in situ characterization
can be extended to other multicomponent nanostructures to advance their rational
synthesis for practical applications.
PMID- 29812948
TI - Copper-Catalyzed Direct Oxidative C-H Functionalization of Unactivated
Cycloalkanes into Cycloalkyl Benzo[ b]phosphole Oxides.
AB - The first simple and efficient Cu-catalyzed radical addition/cyclization of
various unactivated cycloalkanes with diaryl(arylethynyl)-phosphine oxides has
been developed, providing a general, one-step approach to construct a new class
of important benzo[ b]phosphole oxides via sequential C-H functionalization along
with two new C-C bond formations.
PMID- 29812949
TI - Asymmetric Synthesis of alpha-(Diarylmethyl) Alkyl Amines through Regioselective
Lithiation of alpha-Diarylmethanes and the Diastereoselective Addition to
Ellman's Imines.
AB - A highly regio- and diastereoselective lithiation/addition of alpha
diarylmethanes to N-tert-butanesulfinylimines is reported. This methodology also
affords the preparation of enantiomerically pure alpha-(diarylmethyl) alkyl
amines bearing quaternary centers.
PMID- 29812950
TI - Shotgun Proteomics and Quantitative Pathway Analysis of the Mechanisms of Action
of Dehydroeffusol, a Bioactive Phytochemical with Anticancer Activity from Juncus
effusus.
AB - Dehydroeffusol (DHE) is a phenanthrene isolated from the Chinese medicinal plant
Juncus effusus. Biological evaluation of DHE reveals in vitro and in vivo
anticancer effects. We performed a shotgun proteomic analysis using liquid
chromatography-tandem mass spectrometry to investigate the changes in the protein
profiles in cancer cells upon DHE treatment. DHE affected cancer-associated
signaling pathways, including NF-kappaB, beta-catenin, and endoplasmic reticulum
stress. Through quantitative pathway and key node analysis of the proteomics
data, activating transcription factor 2 (ATF-2) and c-Jun kinase (JNK) were found
to be the key components in DHE's modulated biological pathways. Based on the
pathway analysis as well as chemical similarity to estradiol, DHE is proposed to
be a phytoestrogen. The proteomic, bioinformatic, and chemoinformatic analyses
were further verified with individual cell-based experiments. Our study
demonstrates a workflow for identifying the mechanisms of action of DHE through
shotgun proteomic analysis.
PMID- 29812951
TI - Wave-Function Engineering in HgSe/HgTe Colloidal Heterostructures To Enhance Mid
infrared Photoconductive Properties.
AB - The use of intraband transition is an interesting alternative path for the design
of optically active complex colloidal materials in the mid-infrared range.
However, so far, the performance obtained for photodetection based on intraband
transition remains much smaller than the one relying on interband transition in
narrow-band-gap materials operating at the same wavelength. New strategies have
to be developed to make intraband materials more effective. Here, we propose
growing a heterostructure of HgSe/HgTe as a means of achieving enhanced intraband
based photoconduction. We first tackle the synthetic challenge of growing a
heterostructure on soft (Hg-based) material. The electronic spectrum of the grown
heterostructure is then investigated using a combination of numerical simulation,
infrared spectroscopy, transport measurement, and photoemission. We report a type
II band alignment with reduced doping compared with a core-only object and
boosted hole conduction. Finally, we probe the photoconductive properties of the
heterostructure while resonantly exciting the intraband transition by using a
high-power-density quantum cascade laser. Compared to the previous generation of
material based on core-only HgSe, the heterostructures have a lower dark current,
stronger temperature dependence, faster photoresponse (with a time response below
50 MUs), and detectivity increased by a factor of 30.
PMID- 29812952
TI - Synthesis of Bromoundecyl Resorc[4]arenes and Applications of the Cone
Stereoisomer as Selector for Liquid Chromatography.
AB - As an extension of our studies on the multifaceted properties of C-alkylated
resorc[4]arenes, we planned to immobilize on a solid support resorc[4]arenes with
C11-long side chains in the lower rim. To this purpose, we synthesized two
conformationally diverse resorc[4]arenes containing a bromoundecyl moiety in the
four axial pendants. The cone stereoisomer 6a (30% yield) was selected for the
reaction with an aminopropylated silica gel (APSG) obtained from spherical
Kromasil Si 100, 5 MUm particles, to give the corresponding immobilized SP-C11
resorc[4]arene system. The resulting polar-embedded stationary phase was fully
characterized and investigated in the HPLC discrimination of the E/ Z
stereoisomers of naturally occurring and semisynthetic combretastatins, a family
of ( Z)-stilbene anticancer drugs. The chair stereoisomer 6b (20% yield), when
submitted to X-ray diffraction analysis, showed a noteworthy self-assembly in the
crystal lattice, with intercalated hydrophobic and polar layers as a result of
intermolecular Br...O halogen bond interactions, according to a unique stacking
motif. The potential and versatility of the SP-C11-resorc[4]arene stationary
phase were shown as well in the separation of highly polar natural products
(namely, flavonoids), under reversed-phase (RP) conditions, and of fullerenes C60
and C70, by using apolar solvents as mobile phases.
PMID- 29812953
TI - Critical Care Pain Management in Patients Affected by the Opioid Epidemic: A
Review.
AB - The rapid rise in the opioid epidemic has had a deleterious impact across the
United States. This increase has drawn the attention of the critical care
community not only because of the surge in acute opioid overdose-related
admissions, but also due to the increase in the number of opioid-dependent and
opioid-tolerant patients being treated in the intensive care unit (ICU). Opioid
related issues relevant to the critical care physician include direct care of
patients with opioid overdoses, the provision of sufficient analgesia to patients
with opioid dependence and tolerance, and the task of preventing long-term opioid
dependence in patients who survive ICU care. This review identifies the
challenges facing the ICU physician working with patients presenting with opioid
related complications, discusses current solutions, and suggests future areas of
research and heightened ICU clinician attention.
PMID- 29812956
TI - Buspirone Treatment of Anxiety in an Adolescent Female with Avoidant/Restrictive
Food Intake Disorder.
PMID- 29812955
TI - Re: "Oral Administration to Nursing Women of Lactobacillus fermentum CECT5716
Prevents Lactational Mastitis Development: A Randomized Controlled Trial" by
Hurtado et al. (Breastfeed Med 2017;12:202-209).
PMID- 29812957
TI - Effects of L-carnitine on reproductive performance, milk composition, placental
development and IGF concentrations in blood plasma and placental chorions in
sows.
AB - Recent studies have shown that L-carnitine supplementation of sows during
pregnancy and lactation enhances their reproductive performance, but the
underlying mechanisms are still needed to be further confirmed. This study was
conducted to investigate the function of L-carnitine on placental development,
milk nutrient content and release of hormones in sows. In this experiment, 40
multiparous crossbred sows (Yorkshire * Landrace) were allotted to two groups fed
diets with or without a supplemental 50 mg/kg L-carnitine. The experimental diets
were fed from d 1 post-coitus until d 21 post-partum. L-carnitine-treated sow had
fewer weak piglets (p < 0.05) and a greater percentage of oestrus by 5 after 5-d
post-partum (p < 0.05) than control sows. The percentage fat from colostrum was
greater in L-carnitine-treated sow than control sows (p < 0.05). L-carnitine
treated sows had greater plasma concentrations of triglyceride and insulin-like
growth factor (IGF)-1 and lesser plasma concentrations of glucose and IGF-binding
protein (IGFBP-3) on day 60 of pregnancy (p < 0.05). A clearer structure of
chorions, better-developed capillaries and absence of necrosis were observed in L
carnitine-treated sows compared with control sows. The protein abundance of IGF-1
and IGF-2 in placental chorions was greater in L-carnitine-treated sows compared
with control sows (p < 0.05). This study suggests that sows fed an L-carnitine
supplemented diet during pregnancy improved reproductive performance through
enhancement of placental development and by increasing IGF concentrations in
blood plasma and placental chorions.
PMID- 29812958
TI - Knockdown of LncRNA-XIST Suppresses Proliferation and TGF-beta1-Induced EMT in
NSCLC Through the Notch-1 Pathway by Regulation of miR-137.
AB - BACKGROUND: Noncoding RNAs (ncRNAs), primarily microRNAs and long ncRNAs, play
important roles in lung cancer. However, the role of long ncRNA (lncRNA)-X
inactive specific transcript (XIST) in non-small-cell lung cancer (NSCLC) is
unclear. The purpose of this study was to explore the biologic function and
potential mechanism of XIST in NSCLC progression. MATERIALS AND METHODS: XIST,
miR-137, and Notch-1 expression were detected by quantitative real-time PCR (qRT
PCR). Levels of proliferation- and epithelial-mesenchymal transition (EMT)
related proteins were assessed by Western blot. The correlations between XIST and
miR-137, as well as miR-137 and Notch-1, were evaluated by bioinformatic analysis
and luciferase reporter assays. RESULTS: We confirmed that XIST is aberrantly
upregulated in NSCLC tissues and cell lines. XIST depletion inhibited cell
proliferation and TGF-beta1-induced EMT in A549 and H1299 cells. Spearman's
correlation analysis showed an inverse correlation between miR-137 and XIST in
NSCLC tissues, and miR-137 levels were found to be aberrantly reduced in A549 and
H1299 cells. Furthermore, XIST could act as an endogenous sponge by directly
binding to miR-137, negatively regulating its expression. miR-137 overexpression
inhibited proliferation and TGF-beta1-induced EMT in A549 and H1299 cells,
whereas XIST could reverse the inhibitory effect of miR-137 on proliferation and
TGF-beta1-induced EMT. In addition, Notch-1 was identified as a direct target
gene of miR-137, with the XIST-miR-137 axis regulating activation of the Notch-1
pathway. CONCLUSION: We identified a branch of the XIST/miR-137/Notch-1 pathway
that regulates proliferation and TGF-beta1-induced EMT in NSCLC, which could be
involved in NSCLC progression.
PMID- 29812959
TI - Reoperation Rate Differences Between Open Reduction Internal Fixation and Primary
Arthrodesis of Lisfranc Injuries.
AB - BACKGROUND: Controversy persists as to whether Lisfranc injuries are best treated
with open reduction internal fixation (ORIF) versus primary arthrodesis (PA).
Reoperation rates certainly influence this debate, but prior studies are often
confounded by inclusion of hardware removal as a complication rather than as a
planned, staged procedure inherent to ORIF. The primary aim of this study was to
evaluate whether reoperation rates, excluding planned hardware removal, differ
between ORIF and PA. A secondary aim was to evaluate patient risk factors
associated with reoperation after operative treatment of Lisfranc injuries.
METHODS: Between July 1991 and July 2016, adult patients who sustained closed,
isolated Lisfranc injuries with or without fractures and who underwent ORIF or PA
with a minimum follow-up of 12 months were analyzed. Reoperation rates for
reasons other than planned hardware removal were examined, as were patient risk
factors predictive of reoperation. Two hundred seventeen patients met enrollment
criteria (mean follow-up, 62.5 +/- 43.1 months; range, 12-184), of which 163
(75.1%) underwent ORIF and 54 (24.9%) underwent PA. RESULTS: Overall and
including planned procedures, patients treated with ORIF had a significantly
higher rate of return to the operation room (75.5%) as compared to those in the
PA group (31.5%, P < .001). When excluding planned hardware removal, however,
there was no difference in reoperation rates between the 2 groups (29.5% in the
ORIF group and 29.6% in the PA group, P = 1). Risk factors correlating with
unplanned return to the operation room included deep infection ( P = .009-.001),
delayed wound healing ( P = .008), and high-energy trauma ( P = .01). CONCLUSION:
When excluding planned removal of hardware, patients with Lisfranc injuries
treated with ORIF did not demonstrate a higher rate of reoperation compared with
those undergoing PA. LEVEL OF EVIDENCE: Level III, retrospective comparative
study.
PMID- 29812961
TI - Treatment of psoriasis with crisaborole.
AB - Crisaborole, a topical phosphodiesterase-4 (PDE4) inhibitor, is effective in
patients with atopic dermatitis. As systemic PDE4 inhibition has also been used
with success in psoriasis, clinical trials are underway to determine the utility
of topical PDE4 inhibitors in these patients. However, there is no current
literature documenting use of crisaborole for psoriasis. Here, we present two
cases in which patients with psoriasis were treated successfully with
crisaborole.
PMID- 29812954
TI - The Importance of Tyrosine Phosphorylation Control of Cellular Signaling Pathways
in Respiratory Disease: pY and pY Not.
AB - Reversible phosphorylation of proteins on tyrosine residues is an essential
signaling mechanism by which diverse cellular processes are closely regulated.
The tight temporal and spatial control of the tyrosine phosphorylation status of
proteins by protein tyrosine kinases (PTKs) and protein tyrosine phosphatases
(PTPs) is critical to cellular homeostasis as well as to adaptations to the
external environment. Via regulation of cellular signaling cascades involving
other protein kinases and phosphatases, receptors, adaptor proteins, and
transcription factors, PTKs and PTPs closely control diverse cellular processes
such as proliferation, differentiation, migration, inflammation, and maintenance
of cellular barrier function. Given these key regulatory roles, it is not
surprising that dysfunction of PTKs and PTPs is important in the pathogenesis of
human disease, including many pulmonary diseases. The roles of various PTKs and
PTPs in acute lung injury and repair, pulmonary fibrosis, pulmonary vascular
disease, and inflammatory airway disease are discussed in this review. It is
important to note that although there is overlap among many of these proteins in
various disease states, the mechanisms by which they influence the pathogenesis
of these conditions differ, suggesting wide-ranging roles for these enzymes and
their potential as therapeutic targets.
PMID- 29812960
TI - PARP-1 Overexpression as an Independent Prognostic Factor in Adult Non-M3 Acute
Myeloid Leukemia.
AB - AIMS: Poly (ADP-ribose) polymerase-1 (PARP-1) plays an important role in the
repair of damaged DNA and has prognostic significance in a variety of human
malignancies. However, little is known about its expression levels and clinical
implication in patients with acute myeloid leukemia (AML). MATERIALS AND METHODS:
Quantitative reverse transcription-polymerase chain reaction was done to evaluate
PARP-1 expression levels in the bone marrow of 65 patients with non-M3 AML and 54
healthy counterparts. The correlation of PARP-1 expression with
clinicopathological features of non-M3 AML patients was also analyzed. RESULTS:
Non-M3 AML patients have higher PARP-1 expression than the healthy controls (p <
0.01). Patients with adverse cytogenetic risk have higher PARP-1 expression than
other cytogenetic risk groups (p = 0.004). The PARP-1 median expression level
divided AML patients into PARP-1 low-expressed and PARP-1 high-expressed groups.
High expression levels of PARP-1 were associated with worse overall survival (OS)
(p = 0.01) and relapse-free survival (RFS) (p = 0.005). Moreover, multivariate
analysis revealed that high PARP-1 expression was an independent risk factor for
both OS and RFS. CONCLUSIONS: Our results suggest that PARP-1 overexpression may
define an important risk factor in non-M3 AML patients and PARP-1 is a potential
therapeutic target for AML treatment.
PMID- 29812963
TI - Cystic Fibrosis: Translating Molecular Mechanisms into Effective Therapies.
AB - Cystic fibrosis is a genetic disease that affects approximately 75,000
individuals around the world. Long regarded as a lethal and life-limiting
disease, with the most severe manifestations expressed in the progressive decline
of lung function, treatment advances focusing on airway clearance and management
of chronic lung infection have resulted in improved outcomes for individuals with
cystic fibrosis. These advances have been realized in conjunction with an
improved understanding of the genetic basis of this disease, dating back to the
discovery of the cystic fibrosis gene in 1989. The identification of the cystic
fibrosis gene and the advancement of our understanding of the resultant cystic
fibrosis transmembrane conductance regulator protein have led to the development
of a new class of cystic fibrosis therapies designed to directly impact the
function of this protein. These therapeutic developments have progressed,
targeting the various mutations that can cause cystic fibrosis. These new
medications, known as cystic fibrosis transmembrane conductance regulator
modulators, have changed the landscape of cystic fibrosis care and cystic
fibrosis research. Their demonstrated effect in patients with specific cystic
fibrosis mutations has ignited the hope that such therapies will soon be
available to more individuals with this disease, moving the cystic fibrosis
community significantly closer to the ultimate goal of curing this disease.
PMID- 29812962
TI - Genetic Analysis of LRRK1 and LRRK2 Variants in Essential Tremor Patients.
AB - AIMS: Essential tremor (ET) is one of the most common adult-onset movement
disorders. ET and Parkinson's disease (PD) overlap clinically and pathologically,
which prompted this investigation into the association of PD risk variants in ET
patients. This study was designed to explore the role of variants of two PD
related genes LRRK1 and LRRK2 in a Han Chinese ET population. MATERIALS AND
METHODS: Genetic analysis of LRRK1, rs2924835, and LRRK2, rs34594498, rs34410987,
and rs33949390 variants was conducted on 200 Han Chinese patients with ET and 434
ethnically matched normal controls. RESULTS: No statistically significant
differences were identified in either genotypic or allelic frequencies of
variants between the ET patients and the control cohort (all p > 0.05). Haplotype
analysis of three LRRK2 variants (rs34594498, rs34410987, and rs33949390) showed
no haplotypes displayed an association with ET risk (all p > 0.05). CONCLUSIONS:
The data suggest that LRRK1 variant (rs2924835) and LRRK2 variants (rs34594498,
rs34410987, and rs33949390) are not associated with ET in this Han Chinese
population.
PMID- 29812964
TI - Potential Utilization of Green Tea Leaves and Fenugreek Seeds Extracts as Natural
Preservatives for Pacific White Shrimp During Refrigerated Storage.
AB - Ethanolic extracts of green tea leaves (GTE) and fenugreek seeds (FSE) were
screened for their antibacterial activity against four food pathogenic strains
using disc diffusion method. The two extracts revealed antimicrobial activity
against selected bacterial strains. GTE showed the highest antibacterial activity
to Escherichia coli and Staphylococcus aureus at a concentration of 1% with
inhibition zone equal to 29.45 +/- 0.64 mm and 25.68 +/- 1.2 mm, respectively. In
addition, the effect of GTE and FSE combined with chitosan coating on the shelf
life of Pacific white shrimp (PWS) (Litopenaeus vannamei) during refrigerated
storage have been studied. Our results indicated that using GTE or FSE during the
refrigerated storage of PWS led to significantly decreased Total Volatile Bases
Nitrogen, Thiobarbituric acid reacting substances, total bacterial count, and pH.
The sensory properties of PWS have improved considerably in the samples treated
GTE or FSE. These findings suggested that the application of chitosan coating
combined with GTE or FSE to PWS is advisable to achieve better quality during
refrigerated storage.
PMID- 29812966
TI - Videourology Abstracts.
PMID- 29812965
TI - Telemedicine Cost-Effectiveness for Diabetes Management: A Systematic Review.
AB - BACKGROUND: Telemedicine has been utilized increasingly worldwide for diabetes
management, due to its potential to improve healthcare access and clinical
outcomes. Few studies have assessed the economic benefits of telemedicine, which
may contribute to underfunding in potentially important programs. We aim to
systematically review the literature on economic evaluations of telemedicine in
diabetes care, assess the quality, and summarize the evidence on driver of cost
effectiveness. MATERIALS AND METHODS: A literature search was performed in 10
databases from inception until February 2018. All economic evaluations assessing
the economic evaluation of telemedicine in diabetes were eligible for inclusion.
We subsequently evaluated the study quality in terms of effectiveness measures,
cost measure, economic model, as well as time horizon. RESULTS: Of the 1877
studies identified, 14 articles were included in our final review. The healthcare
providers' fees are a major predictor for total cost. In particular, the use of
telemedicine for retinal screening was beneficial and cost-effective for diabetes
management, with an incremental cost-effectiveness ratio between $113.48/quality
adjusted life year (QALY) and $3,328.46/QALY (adjusted to 2017 inflation rate).
Similarly, the use of telemonitoring and telephone reminders was cost-effective
in diabetes management. CONCLUSIONS: Among all telemedicine strategies examined,
teleophthalmology was the most cost-effective intervention. Future research is
needed to provide evidence on the long-term experience of telemedicine and
facilitate resource allocation.
PMID- 29812967
TI - Association between the Availability of Hospital-based Palliative Care and
Treatment Intensity for Critically Ill Patients.
AB - RATIONALE: In the intensive care unit (ICU), studies involving specialized
palliative care services have shown decreases in the use of nonbeneficial life
sustaining therapies and ICU length of stay for patients. However, whether
widespread availability of hospital-based palliative care is associated with less
frequent use of high intensity care is unknown. OBJECTIVES: To determine whether
availability of hospital-based palliative care is associated with decreased
markers of treatment intensity for ICU patients. METHODS: Retrospective cohort
study of adult ICU patients in New York State hospitals, 2008-2014. Multilevel
regression was used to assess the relationship between availability of hospital
based palliative care during the year of admission and hospital length of stay,
use of mechanical ventilation, dialysis and artificial nutrition, placement of a
tracheostomy or gastrostomy tube, days in ICU and discharge to hospice. RESULTS:
Of 1,025,503 ICU patients in 151 hospitals, 814,794 (79.5%) received care in a
hospital with a palliative care program. Hospital length of stay was similar for
patients in hospitals with and without palliative care programs (6 d
[interquartile range, 3-12] vs. 6 d [interquartile range, 3-11]; adjusted rate
ratio, 1.04 [95% confidence interval 1.03-1.05]; P < 0.001), as were other
healthcare use outcomes. However, patients in hospitals with palliative care
programs were 46% more likely to be discharged to hospice than those in hospitals
without palliative care programs (1.7% vs. 1.4%; adjusted odds ratio, 1.46 [95%
confidence interval 1.30-1.64]; P < 0.001). CONCLUSIONS: The availability of
hospital-based palliative care was not associated with differences in in-hospital
treatment intensity, but it was associated with significantly increased hospice
use for ICU patients. Currently, the measurable benefit of palliative care
programs for critically ill patients may be the increased use of hospice
facilities, as opposed to decreased healthcare use during an ICU-associated
hospitalization.
PMID- 29812969
TI - Resistance to gefitinib and cross-resistance to irreversible EGFR-TKIs mediated
by disruption of the Keap1-Nrf2 pathway in human lung cancer cells.
AB - The development of resistance to epidermal growth factor receptor tyrosine kinase
inhibitors (EGFR-TKIs) occurs by various mechanisms and appears to be almost
inevitable, even in patients with lung cancer who initially respond well to EGFR
TKIs. Consequently, considerable efforts have been made to develop more effective
EGFR-TKIs. Therefore, an understanding of the mechanisms behind TKI resistance is
essential for improving EGFR-TKI therapeutic efficacy in non-small cell lung
cancer (NSCLC) patients. In this study, we discovered that overexpression of
antioxidant-responsive element (ARE)-containing Nrf2 target genes by increased
transactivation of Nrf2 occurred because of an acquired Keap1 mutation in the
gefitinib-resistant (GR) NSCLC cell line we established. These GR cells also
acquired cross-resistance to the irreversible EGFR-TKIs, afatinib and
osimertinib, and showed increased viability, invasiveness, proliferation, and
tumorigenicity both in vitro and in vivo. These results were confirmed by the
fact that inhibition of Nrf2 activity, either by treatment with brusatol or by
inducing expression of exogenously introduced wild-type Keap1, suppressed tumor
cell proliferation and tumorigenicity in vitro and in vivo. Our data suggest that
disruption of the Keap1-Nrf2 pathway is one of the mechanisms by which EGFR-TKI
resistance occurs, a fact that must be considered when treating patients with
EGFR-TKI.-Park, S.-H., Kim, J. H., Ko, E., Kim, J.-Y., Park, M.-J., Kim, M. J.,
Seo, H., Li, S., Lee, J.-Y. Resistance to gefitinib and cross-resistance to
irreversible EGFR-TKIs mediated by disruption of the Keap1-Nrf2 pathway in human
lung cancer cells.
PMID- 29812968
TI - Human mesenchymal stromal cell-derived extracellular vesicles attenuate aortic
aneurysm formation and macrophage activation via microRNA-147.
AB - The formation of an abdominal aortic aneurysm (AAA) is characterized by
inflammation, macrophage infiltration, and vascular remodeling. In this study, we
tested the hypothesis that mesenchymal stromal cell (MSC)-derived extracellular
vesicles (EVs) immunomodulate aortic inflammation, to mitigate AAA formation via
modulation of microRNA-147. An elastase-treatment model of AAA was used in male
C57BL/6 wild-type (WT) mice. Administration of EVs in elastase-treated WT mice
caused a significant attenuation of aortic diameter and mitigated proinflammatory
cytokines, inflammatory cell infiltration, an increase in smooth muscle cell
alpha-actin expression, and a decrease in elastic fiber disruption, compared with
untreated mice. A 10-fold up-regulation of microRNA (miR)-147, a key mediator of
macrophage inflammatory responses, was observed in murine aortic tissue in
elastase-treated mice compared with controls on d 14. EVs derived from MSCs
transfected with miR-147 mimic, but not with miR-147 inhibitor, attenuated aortic
diameter, inflammation, and leukocyte infiltration in elastase-treated mice. In
vitro studies of human aortic tissue explants and murine-derived CD11b+
macrophages induced proinflammatory cytokines after elastase treatment, and the
expression was attenuated by cocultures with EVs transfected with miR-147 mimic,
but not with miR-147 inhibitor. Thus, our findings define a critical role of MSC
derived EVs in attenuation of aortic inflammation and macrophage activation via
miR-147 during AAA formation.-Spinosa, M., Lu, G., Su, G., Bontha, S. V., Gehrau,
R., Salmon, M. D., Smith, J. R., Weiss, M. L., Mas, V. R., Upchurch, G. R.,
Sharma, A. K. Human mesenchymal stromal cell-derived extracellular vesicles
attenuate aortic aneurysm formation and macrophage activation via microRNA-147.
PMID- 29812970
TI - Episodic beta-cell death and dedifferentiation during diet-induced obesity and
dysglycemia in male mice.
AB - Loss of functional islet beta-cell mass through cellular death or
dedifferentiation is thought to lead to dysglycemia during the progression from
obesity to type 2 diabetes. To assess these processes in a mouse model of
obesity, we performed measures of circulating cell-free differentially methylated
insulin II ( Ins2) DNA as a biomarker of beta-cell death and aldehyde
dehydrogenase 1 family member A3 (ALDH1A3) and forkhead box 01 (Foxo1)
immunostaining as markers of beta-cell dedifferentiation. Eight-week-old,
C57BL/6J mice were fed a low-fat diet (LFD; 10% kcal from fat) or a high-fat diet
(HFD; 60% kcal from fat) and were followed longitudinally for up to 13 wk to
measure glycemic control and beta-cell mass, death, and dedifferentiation.
Compared with LFD controls, beta-cell mass increased during the feeding period in
HFD animals, and statistically greater beta-cell death (unmethylated Ins2) was
detectable at 2 and 6 wk after diet initiation. Those times correspond to periods
when significant step increases in fasting glucose and glucose intolerance,
respectively, were detected. ALDH1A3 and Foxo1 immunostaining of the pancreas
revealed evidence of beta-cell dedifferentiation by 13 wk when fed an HFD, but
not in LFD controls. In conclusion, early episodic beta-cell death may be a
feature of cellular turnover correlated with changes in glycemia during beta-cell
mass accrual in obesity, whereas beta-cell dedifferentiation may be a feature
seen later in established disease.-Tersey, S. A., Levasseur, E. M., Syed, F.,
Farb, T. B., Orr, K. S., Nelson, J. B., Shaw, J. L., Bokvist, K., Mather, K. J.,
Mirmira, R. G. Episodic beta-cell death and dedifferentiation during diet-induced
obesity and dysglycemia in male mice.
PMID- 29812971
TI - Epigenetic programming at the Mogat1 locus may link neonatal overnutrition with
long-term hepatic steatosis and insulin resistance.
AB - Postnatal overfeeding increases the risk of chronic diseases later in life,
including obesity, insulin resistance, hepatic steatosis, and type 2 diabetes.
Epigenetic mechanisms might underlie the long-lasting effects associated with
early nutrition. Here we aimed to explore the molecular pathways involved in
early development of insulin resistance and hepatic steatosis, and we examined
the potential contribution of DNA methylation and histone modifications to long
term programming of metabolic disease. We used a well-characterized mouse model
of neonatal overfeeding and early adiposity by litter size reduction. Neonatal
overfeeding led to hepatic insulin resistance very early in life that persisted
throughout adulthood despite normalizing food intake. Up-regulation of
monoacylglycerol O-acyltransferase ( Mogat) 1 conceivably mediates hepatic
steatosis and insulin resistance through increasing intracellular diacylglycerol
content. Early and sustained deregulation of Mogat1 was associated with a
combination of histone modifications that might favor Mogat1 expression. In sum,
postnatal overfeeding causes extremely rapid derangements of hepatic insulin
sensitivity that remain relatively stable until adulthood. Epigenetic mechanisms,
particularly histone modifications, could contribute to such long-lasting
effects. Our data suggest that targeting hepatic monoacylglycerol acyltransferase
activity during early life might provide a novel strategy to improve hepatic
insulin sensitivity and prevent late-onset insulin resistance and fatty liver
disease.-Ramon-Krauel, M., Pentinat, T., Bloks, V. W., Cebria, J., Ribo, S.,
Perez-Wienese, R., Vila, M., Palacios-Marin, I., Fernandez-Perez, A., Vallejo,
M., Tellez, N., Rodriguez, M. A., Yanes, O., Lerin, C., Diaz, R., Plosch, T.,
Tietge, U. J. F., Jimenez-Chillaron, J. C. Epigenetic programming at the Mogat1
locus may link neonatal overnutrition with long-term hepatic steatosis and
insulin resistance.
PMID- 29812972
TI - Reduction in replication-independent endogenous DNA double-strand breaks promotes
genomic instability during chronological aging in yeast.
AB - The mechanism that causes genomic instability in nondividing aging cells is
unknown. Our previous study of mutant yeast suggested that 2 types of replication
independent endogenous DNA double-strand breaks (RIND-EDSBs) exist and that they
play opposing roles. The first type, known as physiologic RIND-EDSBs, were
ubiquitous in the G0 phase of both yeast and human cells in certain genomic
locations and may act as epigenetic markers. Low RIND-EDSB levels were found in
mutants that lacked chromatin-condensing proteins, such as the high-mobility
group box (HMGB) proteins and Sir2. The second type is referred to as pathologic
RIND-EDSBs. High pathological RIND-EDSB levels were found in DSB repair mutants.
Under normal physiologic conditions, these excess RIND-EDSBs are repaired in much
the same way as DNA lesions. Here, chronological aging in yeast reduced
physiological RIND-EDSBs and cell viability. A strong correlation was observed
between the reduction in RIND-EDSBs and viability in aging yeast cells ( r =
0.94, P < 0.0001). We used galactose-inducible HO endonuclease (HO) and nhp6a?,
an HMGB protein mutant, to evaluate the consequences of reduced physiological
RIND-EDSB levels. The HO-induced cells exhibited a sustained reduction in RIND
EDSBs at various levels for several days. Interestingly, we found that lower
physiologic RIND-EDSB levels resulted in decreased cell viability ( r = 0.69, P <
0.0001). Treatment with caffeine, a DSB repair inhibitor, increased pathological
RIND-EDSBs, which were distinguished from physiologic RIND-EDSBs by their lack of
sequences prior to DSB in untreated cells [odds ratio (OR) <=1]. Caffeine
treatment in both the HO-induced and nhp6a? cells markedly increased OR <=1
breaks. Therefore, physiological RIND-EDSBs play an epigenetic role in preventing
pathological RIND-EDSBs, a type of DNA damage. In summary, the reduction of
physiological RIND-EDSB level is a genomic instability mechanism in
chronologically aging cells.-Thongsroy, J., Patchsung, M., Pongpanich, M.,
Settayanon, S., Mutirangura, A. Reduction in replication-independent endogenous
DNA double-strand breaks promotes genomic instability during chronological aging
in yeast.
PMID- 29812973
TI - Novel prognostic and predictive microRNA targets for triple-negative breast
cancer.
AB - Triple-negative breast cancers (TNBCs) account for ~25% of all invasive
carcinomas and represent a large subset of aggressive, high-grade tumors. Despite
current research focused on understanding the genetic landscape of TNBCs,
reliable prognostic and predictive biomarkers remain limited. Although
dysregulated microRNAs (miRNAs) have emerged as key players in many cancer types,
the role of miRNAs in TNBC disease progression is unclear. We performed miRNA
profiling of 51 TNBCs by next-generation sequencing to reveal differentially
expressed miRNAs. A total of 228 miRNAs were identified. Three miRNAs (miR-224
5p, miR-375, and miR-205-5p) separated the tumors based on basal status. Six
miRNAs (high let-7d-3p, miR-203b-5p, and miR-324-5p; low miR-30a-3p, miR-30a-5p,
and miR-199a-5p) were significantly associated with decreased overall survival
(OS) and 5 miRNAs (high let-7d-3p; low miR-30a-3p, miR-30a-5p, miR-30c-5p, and
miR-128-3p) with decreased relapse-free survival (RFS). On multivariate analysis,
high expression of let-7d-3p and low expression of miR-30a were independent
predictors of decreased OS and RFS. High expression of miR-95-3p was
significantly associated with decreased OS and RFS in patients treated with
anthracycline-based chemotherapy. Five miRNAs (let-7d-3p, miR-30a-3p, miR-30c-5p,
miR-128-3p, and miR-95-3p) were validated by quantitative RT-PCR. Our findings
unveil novel prognostic and predictive miRNA targets for TNBC, including a miRNA
signature that predicts patient response to anthracycline-based chemotherapy.
This may improve clinical management and/or lead to the development of novel
therapies.-Turashvili, G., Lightbody, E. D., Tyryshkin, K., SenGupta, S. K.,
Elliott, B. E., Madarnas, Y., Ghaffari, A., Day, A., Nicol, C. J. B. Novel
prognostic and predictive microRNA targets for triple-negative breast cancer.
PMID- 29812974
TI - CRISPR/Cas9-based genome engineering of zebrafish using a seamless integration
strategy.
AB - Numerous feasible methods for inserting large fragments of exogenous DNA
sequences into the zebrafish genome have been developed, as has genome editing
technology using programmable nucleases. However, the coding sequences of
targeted endogenous genes are disrupted, and the expression patterns of inserted
exogenous genes cannot completely recapitulate those of endogenous genes. Here we
describe the establishment of a novel strategy for endogenous promoter-driven and
microhomology-mediated end-joining-dependent integration of a donor vector using
clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR
associated (Cas) 9. We successfully integrated mCherry into the final coding
sequence of targeted genes to generate seamless transgenic zebrafish lines with
high efficiency. This novel seamless transgenesis technique not only maintained
the integrity of the endogenous gene but also did not disrupt the function of
targeted gene. Therefore, our microhomology-mediated end-joining-mediated
transgenesis strategy may have broader applications in gene therapy. Moreover,
this novel seamless gene-editing strategy in zebrafish provides a valuable new
transgenesis technique, which was driven by endogenous promoters and in vivo
animal reporter modes for translational medicine. It is expected to be a standard
gene-editing technique in the field of zebrafish, leading to some important
breakthroughs for studies in early embryogenesis.-Luo, J.-J., Bian, W.-P., Liu,
Y., Huang, H.-Y., Yin, Q., Yang, X.-J., Pei, D.-S. CRISPR/Cas9-based genome
engineering of zebrafish using a seamless integration strategy.
PMID- 29812977
TI - Diagnostic Performance of Monoexponential DWI Versus Diffusion Kurtosis Imaging
in Prostate Cancer: A Systematic Review and Meta-Analysis.
AB - OBJECTIVE: We aimed to compare the diagnostic performance of monoexponential DWI
and diffusion kurtosis imaging (DKI) for the detection of prostate cancer (PCa).
MATERIALS AND METHODS: A systematic literature search was conducted for studies
evaluating the diagnostic value of monoexponential DWI and DKI for PCa in the
same patient cohorts with sufficient data to construct 2 * 2 contingency tables.
Qualities of the included studies were assessed by the Quality Assessment of
Diagnostic Accuracy Studies-2 tool. Data were extracted to calculate pooled
sensitivities and specificities. We constructed summary ROC curves and calculated
AUCs to determine the performances of DKI parameters (diffusion coefficient and
kurtosis characterizing the deviation from the monoexponential decay) and
apparent diffusion coefficient (ADC) values in diagnosing PCa. RESULTS: Five
studies (463 patients) were included, with eight, nine, and 10 subsets of data
available for analysis of ADC, diffusion, and kurtosis, respectively. Pooled
sensitivities were 89% (95% CI, 80-94%) for ADC, 91% (95% CI, 84-95%) for
diffusion, and 87% (95% CI, 83-91%) for kurtosis. Pooled specificities were 86%
(95% CI, 80-90%) for ADC, 78% (95% CI, 71-84%) for diffusion, and 85% (95% CI, 81
89%) for kurtosis. According to the summary ROC analyses, the AUC was 0.93 (95%
CI, 0.90-0.95) for ADC, 0.89 (95% CI, 0.86-0.92) for diffusion, and 0.93 (95% CI,
0.90-0.95) for kurtosis. There was no notable publication bias, but significant
heterogeneity was observed. CONCLUSION: Monoexponential DWI and DKI showed
comparable diagnostic accuracies for PCa. DKI is a potentially helpful method for
the diagnosis of PCa. Therefore, on the basis of current evidence, we do not
recommend including DKI in routine clinical assessment of PCa for the moment.
PMID- 29812975
TI - Childhood Self-Control and Adolescent Obesity: Evidence from Longitudinal Data on
a National Cohort.
AB - BACKGROUND: Whether self-control at school entry and changes in self-control in
the early school years are predictive of BMI change and obesity onset over the
next 8 years using longitudinal data on a nationally representative sample of US
children. METHODS: Data from the Early Childhood Longitudinal Study-Kindergarten
Class 1998 were analyzed. The analysis sample included 7060 children with data
from kindergarten (mean age = 6 years) until eighth grade (mean age = 14 years).
Self-control was assessed using teacher-reported scales. Child BMI and obese
status (BMI >=95th percentile for age and gender) were computed from height and
weight measurements. Weighted linear and logistic regression models were
estimated, adjusting for child's cognitive ability and a rich set of child and
family covariates. RESULTS: High self-control in kindergarten was associated with
lesser BMI increase (p < 0.05) and 43% lower odds (adjusted OR [AOR] = 0.57, 95%
confidence interval [CI]: 0.38-0.86) of new onset obesity over the subsequent 8
years. The beneficial effect of high self-control in kindergarten emerged between
fifth and eighth grade. Among children with low self-control in kindergarten,
increase in self-control between kindergarten and fifth grade was associated with
a 1.42 kg/m2 (95% CI: -2.82 to -0.02) lesser increase in BMI and 66% lower odds
of new obesity onset (AOR = 0.34; 95% CI: 0.14-0.83), between kindergarten and
eighth grade. CONCLUSIONS: Low self-control at school entry is an important risk
factor for unhealthy BMI increase during the transition to adolescence. Increase
in self-control in the early school years may prevent unhealthy BMI increase and
obesity in adolescence.
PMID- 29812978
TI - Postoperative Imaging in Anterior Glenohumeral Instability.
AB - OBJECTIVE: Postoperative imaging after surgery for anterior glenohumeral
instability poses a great challenge, which can be compounded by a lack of
familiarity with the many different operative techniques and their expected
normal appearances and complications. In this article, we discuss the
postoperative imaging appearances of anterior glenohumeral instability surgery
with a review of currently recommended treatment guidelines. CONCLUSION: It is
important for radiologists to accurately detect complications of anterior
shoulder instability surgery at postoperative imaging.
PMID- 29812979
TI - Proportion and Clinical Relevance of Intraspinal Air in Patients With
Pneumomediastinum.
AB - OBJECTIVE: The purposes of this study were to determine the incidence of
pneumorrhachis among patients with pneumomediastinum, determine whether its
proportion correlates with the extent of pneumomediastinum, and ascertain its
clinical relevance. MATERIALS AND METHODS: The radiologic database was searched
for CT reports between January 2009 and September 2013 containing the term
"pneumomediastinum" or "mediastinal air." Scans were examined for
pneumomediastinum, pneumorrhachis, pneumothorax, sternotomy, and distribution of
pneumomediastinum. The age and sex of the patient and probable cause of the
abnormality were recorded. Cases that might have had another cause were excluded.
RESULTS: The search yielded 422 CT scans. Among these, 242 instances of
pneumomediastinum in 241 patients were found. Fifteen of these patients had
pneumorrhachis. One was excluded because of recent traumatic spinal penetration.
There was no significant difference in age or sex between patients with and those
without pneumorrhachis. After application of the exclusion criteria, there were
14 cases of pneumorrhachis, yielding a proportion of 5.8%. Pneumorrhachis was
observed more frequently in cases of the most severe grade (grade C) of
pneumomediastinum; however, that relationship was not statistically significant
(11 cases [8.2%]; p = 0.304). Pneumorrhachis was found significantly more
frequently in patients with distribution of air in all three mediastinal
compartments (13 cases, 16.2%, p < 0.001). Pneumorrhachis was overrepresented
among subjects with spontaneous compared with those with secondary
pneumomediastinum, although the trend did not reach statistical significance.
CONCLUSION: Pneumorrhachis was present in 5.8% of patients. It is significantly
more common in patients with the broadest distributions of mediastinal air and
nonsignificantly more common in association with spontaneous as opposed to
secondary pneumomediastinum. Pneumorrhachis in patients with pneumomediastinum is
a generally benign, self-resolving condition.
PMID- 29812980
TI - Subtype Differentiation of Small (<= 4 cm) Solid Renal Mass Using Volumetric
Histogram Analysis of DWI at 3-T MRI.
AB - OBJECTIVE: The purpose of this article is to evaluate the utility of volumetric
histogram analysis of apparent diffusion coefficient (ADC) derived from reduced
FOV DWI for small (<= 4 cm) solid renal mass subtypes at 3-T MRI. MATERIALS AND
METHODS: This retrospective study included 38 clear cell renal cell carcinomas
(RCCs), 16 papillary RCCs, 18 chromophobe RCCs, 13 minimal fat angiomyolipomas
(AMLs), and seven oncocytomas evaluated with preoperative MRI. Volumetric ADC
maps were generated using all slices of the reduced-FOV DW images to obtain
histogram parameters, including mean, median, 10th percentile, 25th percentile,
75th percentile, 90th percentile, and SD ADC values, as well as skewness,
kurtosis, and entropy. Comparisons of these parameters were made by one-way
ANOVA, t test, and ROC curves analysis. RESULTS: ADC histogram parameters
differentiated eight of 10 pairs of renal tumors. Three subtype pairs (clear cell
RCC vs papillary RCC, clear cell RCC vs chromophobe RCC, and clear cell RCC vs
minimal fat AML) were differentiated by mean ADC. However, five other subtype
pairs (clear cell RCC vs oncocytoma, papillary RCC vs minimal fat AML, papillary
RCC vs oncocytoma, chromophobe RCC vs minimal fat AML, and chromophobe RCC vs
oncocytoma) were differentiated by histogram distribution parameters exclusively
(all p < 0.05). Mean ADC, median ADC, 75th and 90th percentile ADC, SD ADC, and
entropy of malignant tumors were significantly higher than those of benign tumors
(all p < 0.05). Combination of mean ADC with histogram parameters yielded the
highest AUC (0.851; sensitivity, 80.0%; specificity, 86.1%). CONCLUSION:
Quantitative volumetric ADC histogram analysis may help differentiate various
subtypes of small solid renal tumors, including benign and malignant lesions.
PMID- 29812981
TI - Nuclear Scintigraphy in Practice: Gastrointestinal Motility.
AB - OBJECTIVE: The purpose of this article is to describe the clinical utility of
state-of-theart gastrointestinal transit scintigraphy, including the standardized
esophageal transit, solid and liquid gastric emptying, small-bowel transit, colon
transit, and whole-gut transit scintigraphy, with an emphasis on procedure
performance. CONCLUSION: Radionuclide gastrointestinal motility studies are
noninvasive, quantitative, and physiologic diagnostic tools for evaluating
patients with gastrointestinal complaints.
PMID- 29812982
TI - CT Fluoroscopy-Guided Interlaminar Epidural Steroid Injections in the Cervical
Spine: Rate of Nontarget Injection Into the Retrodural Space of Okada.
AB - OBJECTIVE: The purpose of this study was to assess the rate of inadvertent
injection into the retrodural space of Okada during CT fluoroscopy-guided
interlaminar epidural steroid injection in the cervical spine. MATERIALS AND
METHODS: Images from cases of cervical interlaminar epidural steroid injection
under CT fluoroscopic guidance performed at a single institution between November
2009 and November 2015 were obtained and reviewed. For all cases, the following
information was recorded: presence or absence of contrast material within the
Okada space, cervical anatomic level at which the procedure was performed,
laterality of approach, trainee presence, and years of proceduralist experience.
Two-tailed chi-square tests were used to assess categoric variables, and t tests
were performed to assess for continuous variables predictive of nontarget
injection. RESULTS: A total of 974 CT fluoroscopy-guided cervical interlaminar
epidural steroid injections were identified in 728 patients. The presence of
contrast material in the retrodural space of Okada was identified in 2.9% of
cases (28/974). All cases of inadvertent injection were identified and corrected
intraprocedurally. The greatest rate of inadvertent injection (4.6% [18/389])
occurred at C5-6. No variables predictive of inadvertent injection into the Okada
space were identified. There was a 0.4% (4/974) complication rate, and all
complications were minor. CONCLUSION: We identified a 2.9% rate of unintended
injection into the retrodural space of Okada during cervical interlaminar
epidural steroid injection. If unrecognized, these nontarget injections can
result in treatment failure in a subset of patients who undergo cervical
interlaminar epidural steroid injection. Future study is warranted to assess the
rate of inadvertent Okada injection under conventional fluoroscopy and to compare
the rates of detection between the two imaging-guided modalities.
PMID- 29812983
TI - A Checklist Manifesto: Effectiveness of Checklist Use in Hands-On Simulation
Examining Competency in Contrast Reaction Management in a Randomized Controlled
Study.
AB - OBJECTIVE: The purpose of this article is to assess the performance of a contrast
reaction management checklist for optimal management of a contrast reaction
scenario created using a high-fidelity hands-on simulation. MATERIALS AND
METHODS: A safety checklist was designed that presented the five adverse events
that most commonly occur after administration of IV contrast medium as well as
their step-by-step management. Forty-three radiology residents were randomized
into two groups, a checklist group (n = 22) and a control group (n = 21), as
stratified by postgraduate year. Participants took written tests involving
multiple-choice questions 2 months before and immediately after participating in
the high-fidelity simulation scenario, which was videotaped and independently
evaluated by three graders. RESULTS: Both groups had similar scores on the
multiple-choice question tests taken before and after participation in the
simulation (p = 0.35 and p = 0.62, respectively). In the simulation, the
checklist group scored significantly higher than the control group with regard to
their overall management of a severe contrast reaction (85.1% vs 64.8%; p =
0.001), including individual scores for first-line treatment of bronchospasm
(97.0% vs 91.3%; p = 0.035) and use of the correct route of administration and
dose of epinephrine (77.3% vs 45.2%; p = 0.021). CONCLUSION: A standardized
contrast reaction management checklist can reduce the number of treatment errors
that occur during a simulated severe contrast reaction, particularly with regard
to proper administration of epinephrine and treatment of bronchospasm. Such a
checklist could be used by radiologists, technologists, and nurses to improve
patient safety as a result of improved contrast reaction management and teamwork
skills.
PMID- 29812984
TI - Melanotan II causes hypothermia in mice by activation of mast cells and
stimulation of histamine 1 receptors.
AB - Intraperitoneal administration of the melanocortin agonist melanotan II (MTII) to
mice causes a profound, transient hypometabolism/hypothermia. It is preserved in
mice lacking any one of melanocortin receptors 1, 3, 4, or 5, suggesting a
mechanism independent of the canonical melanocortin receptors. Here we show that
MTII-induced hypothermia was abolished in KitW-sh/W-sh mice, which lack mast
cells, demonstrating that mast cells are required. MRGPRB2 is a receptor that
detects many cationic molecules and activates mast cells in an antigen
independent manner. In vitro, MTII stimulated mast cells by both MRGPRB2
dependent and -independent mechanisms, and MTII-induced hypothermia was intact in
MRGPRB2-null mice. Confirming that MTII activated mast cells, MTII treatment
increased plasma histamine levels in both wild-type and MRGPRB2-null, but not in
KitW-sh/W-sh, mice. The released histamine produced hypothermia via histamine H1
receptors because either a selective antagonist, pyrilamine, or ablation of H1
receptors greatly diminished the hypothermia. Other drugs, including compound
48/80, a commonly used mast cell activator, also produced hypothermia by both
mast cell-dependent and -independent mechanisms. These results suggest that mast
cell activation should be considered when investigating the mechanism of drug
induced hypothermia in mice.
PMID- 29812986
TI - Bisphenol A and microglia: could microglia be responsive to this environmental
contaminant during neural development?
AB - There is a growing interest in the functional role of microglia in the developing
brain. In our laboratory, we have become particularly intrigued as to whether
fetal microglia in the embryonic brain are susceptible to maternal challenges in
utero (e.g., maternal infection, stress) and, if so, whether their precocious
activation could then adversely influence brain development. One such challenge
that is newly arising in this field is whether microglia might be downstream
targets to endocrine-disrupting chemicals, such as the plasticizer bisphenol A
(BPA), which functions in part by mimicking estrogen structure and function. A
growing body of evidence demonstrates that gestational exposure to BPA has
adverse effects on brain development, although the exact mechanisms are still
emerging. Given that microglia express estrogen receptors and steroid-producing
enzymes, microglia might be an unappreciated target of BPA. Mechanistically, we
propose that BPA binding to estrogen receptors within microglia initiates
transcription of downstream target genes, which then leads to activation of
microglia that can then perhaps adversely influence brain development. Here, we
first briefly outline the current understanding of how microglia may influence
brain development and then describe how this literature overlaps with our
understanding of BPA's effects during similar time points. We also outline the
current literature demonstrating that BPA exposure affects microglia. We conclude
by discussing our thoughts on the mechanisms through which exposure to BPA could
disrupt normal microglia functions, ultimately affecting brain development that
could potentially lead to lasting behavioral effects and perhaps even
neuroendocrine diseases such as obesity.
PMID- 29812988
TI - RNA sequencing identified specific circulating miRNA biomarkers for early
detection of diabetes retinopathy.
AB - Diabetic retinopathy (DR) is the leading cause of blindness in patients with
diabetes. However, biomarkers for early detection of DR are still lacking.
MicroRNAs (miRNAs) regulate multiple biological functions and are often
deregulated in DR. We aimed to investigate whether circulating miRNAs can be used
as biomarkers of early-stage DR. We used RNA-seq and qRT-PCR to identify
differential serum miRNAs in patients with type 2 diabetes mellitus with DR (T2DM
DR), T2DM without DR (T2DM-no-DR), and healthy controls. We validated
differential circulating miRNAs in two phases using qRT-PCR assays. RNA-seq
analysis identified 7 differential circulating miRNAs between T2DM-DR and T2DM-no
DR and 47 differential miRNAs between T2DM-DR and healthy subjects. Two-stage
analysis verified that a profile of five serum miRNAs (hsa-let-7a-5p, hsa-miR
novel-chr5_15976, hsa-miR-28-3p, has-miR-151a-5p, has-miR-148a-3p) was
significantly associated with T2DM-DR. Receiver-operator-characteristic analyses
showed that a panel of three miRNAs (hsa-let-7a-5p, hsa-miR-novel-chr5_15976, and
hsa-miR-28-3p) presented 0.92 sensitivity and 0.94 specificity for distinguishing
T2DM-DR from T2DM-no-DR, and 0.93 sensitivity and 0.86 specificity for
differentiating early-stage T2DM-DR (NPDR) from late-stage DR (PDR). Lentivirus
mediated overexpression of hsa-let-7a-5p in human retinal microvascular
endothelial cells (HRMECs) significantly promoted proliferation rates of HRMECs.
In conclusion, the three-miRNA signature from serum may serve as a noninvasive
diagnostic biomarker for DR. Furthermore, we showed that DR-associated miRNAs may
be involved in the pathogenesis of DR, at least in part, through modifying
proliferation of HRMECs.
PMID- 29812987
TI - Remodeling of skeletal muscle mitochondrial proteome with high-fat diet involves
greater changes to beta-oxidation than electron transfer proteins in mice.
AB - Excess fat intake can increase lipid oxidation and expression of mitochondrial
proteins, indicating remodeling of the mitochondrial proteome. Yet intermediates
of lipid oxidation also accumulate, indicating a relative insufficiency to
completely oxidize lipids. We investigated remodeling of the mitochondrial
proteome to determine mechanisms of changes in lipid oxidation following high-fat
feeding. C57BL/6J mice consumed a high-fat diet (HFD, 60% fat from lard) or a low
fat diet (LFD, 10% fat) for 12 wk. Mice were fasted for 4 h and then anesthetized
by pentobarbital sodium overdose for tissue collection. A mitochondrial-enriched
fraction was prepared from gastrocnemius muscles and underwent proteomic analysis
by high-resolution mass spectrometry. Mitochondrial respiratory efficiency was
measured as the ratio of ATP production to O2 consumption. Intramuscular
acylcarnitines were measured by liquid chromatography-mass spectrometry. A total
of 658 mitochondrial proteins were identified: 40 had higher abundance and 14 had
lower abundance in mice consuming the HFD than in mice consuming the LFD.
Individual proteins that changed with the HFD were primarily related to beta
oxidation; there were fewer changes to the electron transfer system. Gene set
enrichment analysis indicated that the HFD increased pathways of lipid metabolism
and beta-oxidation. Intramuscular concentrations of select acylcarnitines (C18:0)
were greater in the HFD mice and reflected dietary lipid composition.
Mitochondrial respiratory ATP production-to-O2 consumption ratio for lipids was
not different between LFD and HFD mice. After the 60% fat diet, remodeling of the
mitochondrial proteome revealed upregulation of proteins regulating lipid
oxidation that was not evident for all mitochondrial pathways. The accumulation
of lipid metabolites with obesity may occur without intrinsic dysfunction to
mitochondrial lipid oxidation.
PMID- 29812989
TI - Parkin is required for exercise-induced mitophagy in muscle: impact of aging.
AB - The maintenance of muscle health with advancing age is dependent on mitochondrial
homeostasis. While reductions in mitochondrial biogenesis have been observed with
age, less is known regarding organelle degradation. Parkin is an E3 ubiquitin
ligase implicated in mitophagy, but few studies have examined Parkin's
contribution to mitochondrial turnover in muscle. Wild-type (WT) and Parkin
knockout (KO) mice were used to delineate a role for Parkin-mediated
mitochondrial degradation in aged muscle, in concurrence with exercise. Aged
animals exhibited declines in muscle mass and mitochondrial content, paralleled
by a nuclear environment endorsing the transcriptional repression of
mitochondrial biogenesis. Mitophagic signaling was enhanced following acute
endurance exercise in young WT mice but was abolished in the absence of Parkin.
Basal mitophagy flux of the autophagosomal protein lipidated microtubule
associated protein 1A/1B-light chain 3 was augmented in aged animals but did not
increase additionally with exercise when compared with young animals. In the
absence of Parkin, exercise increased the nuclear localization of Parkin
interacting substrate, corresponding to a decrease in nuclear peroxisome
proliferator gamma coactivator-1alpha. Remarkably, exercise enhanced
mitochondrial ubiquitination in both young WT and KO animals. This suggested
compensation of alternative ubiquitin ligases that were, however, unable to
restore the diminished exercise-induced mitophagy in KO mice. Under basal
conditions, we demonstrated that Parkin was required for mitochondrial mitofusin
2 ubiquitination. We also observed an abrogation of exercise-induced mitophagy in
aged muscle. Our results demonstrate that acute exercise-induced mitophagy is
dependent on Parkin and attenuated with age, which likely contributes to changes
in mitochondrial content and quality in aging muscle.
PMID- 29812985
TI - Remote control of glucose-sensing neurons to analyze glucose metabolism.
AB - The central nervous system relies on a continual supply of glucose, and must be
able to detect glucose levels and regulate peripheral organ functions to ensure
that its energy requirements are met. Specialized glucose-sensing neurons, first
described half a century ago, use glucose as a signal and modulate their firing
rates as glucose levels change. Glucose-excited neurons are activated by
increasing glucose concentrations, while glucose-inhibited neurons increase their
firing rate as glucose concentrations fall and decrease their firing rate as
glucose concentrations rise. Glucose-sensing neurons are present in multiple
brain regions and are highly expressed in hypothalamic regions, where they are
involved in functions related to glucose homeostasis. However, the roles of
glucose-sensing neurons in healthy and disease states remain poorly understood.
Technologies that can rapidly and reversibly activate or inhibit defined neural
populations provide invaluable tools to investigate how specific neural
populations regulate metabolism and other physiological roles. Optogenetics has
high temporal and spatial resolutions, requires implants for neural stimulation,
and is suitable for modulating local neural populations. Chemogenetics, which
requires injection of a synthetic ligand, can target both local and widespread
populations. Radio- and magnetogenetics offer rapid neural activation in
localized or widespread neural populations without the need for implants or
injections. These tools will allow us to better understand glucose-sensing
neurons and their metabolism-regulating circuits.
PMID- 29812990
TI - Clinical and Pathologic Factors Predicting Future Asthma in Wheezing Children. A
Longitudinal Study.
AB - Wheeze is a common symptom in infants, but not all wheezers develop asthma.
Indeed, up to 50% of wheezing children outgrow their symptoms by school age. How
to predict if early wheeze will become asthma is still a matter of vivid debate.
In this work, we sought to assess the clinical and pathological factors that
might predict the future development of asthma in children. Eighty children (mean
age 3.8 +/- 1 yr) who underwent a clinically indicated bronchoscopy were followed
prospectively for a median of 5 years. At baseline, clinical characteristics with
a particular focus on wheezing and its presentation (episodic or multitrigger)
were collected, and structural and inflammatory changes were quantified in
bronchial biopsies. Follow-up data were available for 74 of the 80 children.
Children who presented with multitrigger wheeze were more likely to have asthma
at follow-up than those with episodic wheeze (P = 0.04) or without wheeze (P <
0.0001). Children with asthma also had lower birth weights (P = 0.02), a lower
prevalence of breastfeeding (P = 0.02), and a trend for increased IgE (P = 0.07)
at baseline than those with no asthma. Basement membrane thickness and airway
eosinophils at baseline were increased in children who developed asthma at follow
up (P = 0.001 and P = 0.026, respectively). Multivariate analysis showed that
among all clinical and pathological factors, multitrigger wheezing, basement
membrane thickening, and reduced birth weight were predictive of future asthma
development. We conclude that multitrigger wheeze and reduced birth weight are
clinical predictors of asthma development. Basement membrane thickening in early
childhood is closely associated with asthma development, highlighting the
importance of airway remodeling in early life as a risk factor for future asthma.
PMID- 29812991
TI - Should Inhaler Prescriptions Also Recommend Watching Videos Showing How to Use
Inhaling Devices Properly?
PMID- 29812992
TI - Commentary on Cipriani et al.: Effect of Previous Abdominal Surgery on
Laparoscopic Liver Resection: Analysis of Feasibility and Risk Factors for
Conversion (J Laparoendosc Adv Surg Tech A 2018;28:785-791).
PMID- 29812993
TI - Effect of 0.05% Difluprednate Ophthalmic Emulsion on Proinflammatory Cytokine
Levels After Retinal Laser Photocoagulation in Rabbits.
AB - PURPOSE: We aimed to evaluate the effect of the topical application of a strong
corticosteroid, difluprednate, on the levels of inflammatory and angiogenic
cytokine in the vitreous and aqueous humor after laser photocoagulation. METHODS:
Pigmented rabbits were treated with retinal laser photocoagulation and divided
into 4 groups, namely control (no additional treatment), topical application of
difluprednate 0.05%, betamethasone sodium phosphate 0.1%, and sub-Tenon injection
of triamcinolone acetonide (STTA). Samples of vitreous and aqueous humor were
collected on posttreatment days 0, 1, 7, and 14. The levels of intraocular
vascular endothelial growth factor (VEGF), interleukin-6 (IL-6), intercellular
adhesion molecule-1 (ICAM-1), and monocyte chemotactic protein-1 (MCP-1) were
measured using an immunoassay. Intraocular pressure (IOP) was monitored in each
group. RESULTS: VEGF, IL-6, ICAM-1, and MCP-1 were significantly elevated on day
1 and were reduced in both the vitreous and aqueous humor following topical
application of difluprednate and STTA. Topical betamethasone reduced their levels
in the aqueous humor but not in the vitreous. A significant increase of IOP
induced by difluprednate returned to control levels after withdrawal of
administration. CONCLUSION: Although the elevation of IOP was an expected,
manageable side effect, topical application of difluprednate was more effective
than STTA and betamethasone for reducing inflammatory cytokine levels after laser
treatment.
PMID- 29812995
TI - Minding Your Ps, Qs, and Rs: Recommendations for Population Health.
PMID- 29812994
TI - Consequences of Implementing a "Better" Blood Culture System.
AB - BACKGROUND: Blood cultures (BCx) are the gold standard for diagnosing blood
stream infections. However, contamination remains a challenge and can increase
cost, hospital days, and unnecessary antibiotic use. National goals are to keep
overall BCx contamination rates to <=3%. Our healthcare system recently moved to
a BCx system with better organism recovery, especially for gram-negative,
fastidious, and anaerobic bacteria. The study objectives were to determine the
benefits/consequences of implementing a more sensitive blood culture system,
specifically on contamination rates. METHODS: The electronic health record was
queried for all BCx obtained within our tertiary-care health system from April
2015 to October 2016. Cultures were divided into those obtained 12 months before
and six months after the new system was introduced. A positive BCx was defined as
one with any growth. Contaminated BCx were defined as those showing coagulase
negative Staphylococcus, Corynebacterium, Bacillus, Micrococcus, or
Propionibacterium acnes. Cultures with Staphylococcus aureus, Klebsiella
pneumoniae, or Escherichia coli were said to contain a true pathogen. Results
based on hospital location of blood drawing also were determined. RESULTS: A
total of 20,978 blood cultures were included, 13,292 before and 7,686 after the
new system was introduced. With the new system, positive BCx rates increased from
7.5% to 15.7% (p < 0.001). Contaminants increased from 2.3% to 5.4% (p < 0.001),
and pathogens increased from 2.5% to 5.8% (p < 0.001). Contaminated BCx increased
significantly in the surgical/trauma intensive care unit (STICU), emergency
department (ED), and medical ICU (MICU), while pathogen BCx increased on the
surgical floor, ED, and MICU. CONCLUSIONS: A new blood culture system resulted in
significant increases in the rates of positive, contaminated, and pathogen BCx.
After the new system, multiple hospital units had contamination rates >3%. These
data suggest that a "better" BCx system may not be superior regarding overall
infection rates. More research is needed to determine the impact of identifying
more contaminants and pathogens with the new system.
PMID- 29812996
TI - Dasatinib Plus Intensive Chemotherapy in Children, Adolescents, and Young Adults
With Philadelphia Chromosome-Positive Acute Lymphoblastic Leukemia: Results of
Children's Oncology Group Trial AALL0622.
AB - Purpose Addition of imatinib to intensive chemotherapy improved survival for
children and young adults with Philadelphia chromosome-positive acute
lymphoblastic leukemia. Compared with imatinib, dasatinib has increased potency,
CNS penetration, and activity against imatinib-resistant clones. Patients and
Methods Children's Oncology Group (COG) trial AALL0622 (Bristol Myers Squibb
trial CA180-204) tested safety and feasibility of adding dasatinib to intensive
chemotherapy starting at induction day 15 in patients with newly diagnosed
Philadelphia chromosome-positive acute lymphoblastic leukemia age 1 to 30 years.
Allogeneic hematopoietic stem-cell transplantation (HSCT) was recommended for
patients at high risk based on slow response and for those with a matched family
donor regardless of response after at least 11 weeks of therapy. Patients at
standard risk based on rapid response received chemotherapy plus dasatinib for an
additional 120 weeks. Patients with overt CNS leukemia received cranial
irradiation. Results Sixty eligible patients were enrolled. Five-year overall
(OS) and event-free survival rates (+/- standard deviations [SD]) were 86% +/- 5%
and 60% +/- 7% overall, 87% +/- 5% and 61% +/- 7% for standard-risk patients (n =
48; 19% underwent HSCT), and 89% +/- 13% and 67% +/- 19% for high-risk patients
(n = 9; 89% underwent HSCT), respectively. Five-year cumulative incidence (+/-
SD) of CNS relapse was 15% +/- 6%. Outcomes (+/- SDs) were similar to those in
COG AALL0031, which used the same chemotherapy with continuous imatinib: 5-year
OS of 81% +/- 6% versus 86% +/- 5% ( P = .63) and 5-year disease-free survival of
68% +/- 7% versus 60% +/- 7% ( P = 0.31) for AALL0031 versus AALL0622,
respectively. IKZF1 deletions, present in 56% of tested patients, were associated
with significantly inferior OS and event-free survival overall and in standard
risk patients. Conclusion Dasatinib was well tolerated with chemotherapy and
provided outcomes similar to those with imatinib in COG AALL0031, where all
patients received cranial irradiation. Our results support limiting HSCT to slow
responders and suggest a potential role for transplantation in rapid responders
with IKZF1 deletions.
PMID- 29812997
TI - T Cells Genetically Modified to Express an Anti-B-Cell Maturation Antigen
Chimeric Antigen Receptor Cause Remissions of Poor-Prognosis Relapsed Multiple
Myeloma.
AB - Purpose Therapies with novel mechanisms of action are needed for multiple myeloma
(MM). T cells can be genetically modified to express chimeric antigen receptors
(CARs), which are artificial proteins that target T cells to antigens. B-cell
maturation antigen (BCMA) is expressed by normal and malignant plasma cells but
not normal essential cells. We conducted the first-in-humans clinical trial, to
our knowledge, of T cells expressing a CAR targeting BCMA (CAR-BCMA). Patients
and Methods Sixteen patients received 9 * 106 CAR-BCMA T cells/kg at the highest
dose level of the trial; we are reporting results of these 16 patients. The
patients had a median of 9.5 prior lines of MM therapy. Sixty-three percent of
patients had MM refractory to the last treatment regimen before protocol
enrollment. T cells were transduced with a gamma-retroviral vector encoding CAR
BCMA. Patients received CAR-BCMA T cells after a conditioning chemotherapy
regimen of cyclophosphamide and fludarabine. Results The overall response rate
was 81%, with 63% very good partial response or complete response. Median event
free survival was 31 weeks. Responses included eradication of extensive bone
marrow myeloma and resolution of soft-tissue plasmacytomas. All 11 patients who
obtained an anti-MM response of partial response or better and had MM evaluable
for minimal residual disease obtained bone marrow minimal residual disease
negative status. High peak blood CAR+ cell levels were associated with anti-MM
responses. Cytokine-release syndrome toxicities were severe in some cases but
were reversible. Blood CAR-BCMA T cells were predominantly highly differentiated
CD8+ T cells 6 to 9 days after infusion. BCMA antigen loss from MM was observed.
Conclusion CAR-BCMA T cells had substantial activity against heavily treated
relapsed/refractory MM. Our results should encourage additional development of
CAR T-cell therapies for MM.
PMID- 29812998
TI - Peripheral Neuropathy, Sensory Processing, and Balance in Survivors of Acute
Lymphoblastic Leukemia.
AB - Purpose To compare peripheral nervous system function and balance between adult
survivors of childhood acute lymphoblastic leukemia (ALL) and matched controls
and to determine associations between peripheral neuropathy (PN) and limitations
in static balance, mobility, walking endurance, and quality of life (QoL) among
survivors. Patients and Methods Three hundred sixty-five adult survivors of
childhood ALL and 365 controls with no cancer history completed assessments of PN
(modified Total Neuropathy Score [mTNS]), static balance (Sensory Organization
Test [SOT]), mobility (Timed Up and Go), walking endurance (6-minute walk test),
QoL (Medical Outcomes Study 36-Item Short Form Survey), and visual-motor
processing speed (Wechsler Adult Intelligence Scale). Results PN, but not
impairments, in performance on SOT was more common in survivors than controls
(41.4% v 9.5%, respectively; P < .001). In multivariable models, higher mTNS
scores were associated with longer time to complete the Timed Up and Go (beta =
0.15; 95% CI, 0.06 to 0.23; P < .001), shorter distance walked in 6 minutes (beta
= -4.39; 95% CI, -8.63 to -0.14; P = .04), and reduced QoL (beta = -1.33; 95% CI,
-1.79 to -0.87; P < .001 for physical functioning; beta = -1.16; 95% CI, -1.64 to
-0.67; P < .001 for role physical; and beta = -0.88; 95% CI, -1.34 to -0.42; P <
.001 for general health). Processing speed (beta = 1.69; 95% CI, 0.98 to 2.40; P
< .001), but not mTNS score, was associated with anterior-posterior sway on the
SOT. Conclusion PN in long-term ALL survivors is associated with movement,
including mobility and walking endurance, but not with static standing balance.
The association between processing speed and sway suggests that static balance
impairment in ALL survivors may be influenced by problems with CNS function,
including the processing of sensory information.
PMID- 29812999
TI - Generation of Rat Monoclonal Antibodies Specific for DZIP3.
AB - DAZ interacting zinc finger 3 (DZIP3), an RNA-binding RING-type ubiquitin ligase,
has been reported to be involved in multiple physiological functions, including
the regulation of chemokine- or estradiol-induced gene expression, self-renewal,
and maintaining pluripotency in mouse embryonic stem cells. However, the precise
cellular functions of DZIP3 remain elusive. In this study, we report the
establishment of DZIP3-specific monoclonal antibodies (MAbs), using the rat
medial iliac lymph node method. In immunoblotting analyses, our antibodies
detected endogenous human and mouse DZIP3. In addition, immunoprecipitation
analyses revealed the availability of these antibodies for human or mouse DZIP3.
Thus, these MAbs will be available to elucidate cellular functions of DZIP3.
PMID- 29813001
TI - Optical Evaluation of Enamel Microleakage with One-Step Self-Etch Adhesives.
AB - OBJECTIVE: In this in vitro study, cross-polarization optical coherence
tomography (CP-OCT) was used to evaluate microleakage in dental composite
restorations bonded to enamel with two types of one-step self-etching (SE)
adhesives. BACKGROUND DATA: One-step SE adhesives were proposed to simplify
bonding of composite restorations. However, bonding of these simplified adhesive
to dental enamel is still questionable. OCT is a promising diagnostic tool that
allows micron-scale imaging of biological tissues and structures. METHODS: Class
V cavities (4-mm diameter * 1-mm depth) were prepared on the labial surfaces of
extracted human anterior teeth with margins and floor located in enamel. The
cavities were then divided into two groups (n = 5) and restored with either
Tetric N-Bond Universal (Ivoclar Vivadent, Liechtenstein) or Palfique Bond
(Tokuyama Dental Corporation, Japan), followed by Estelite Flow Quick flowable
composite (Tokuyama Dental Corporation). After storage in 100% humidity for 24 h,
specimens were immersed in ammoniacal silver nitrate solution for another 24 h.
Later, they were rinsed and immersed in photo-developing solution under
fluorescent light for 8 h. CP-OCT at 1310 nm center wavelength was used to scan
seven two-dimensional cross-sectional images from each specimen. Each cross
sectional image was analyzed using ImageJ software to quantify interfacial
microleakage at the cavity floor. RESULTS: Student's t-test showed significant
difference between the tested adhesives (p < 0.05). Palfique Bond adhesive
presented inferior interfacial adaptation as it obtained higher values of floor
microleakage compared to Tetric N-Bond Universal adhesive group. CONCLUSIONS: CP
OCT enabled nondestructive quantitative assessment of microleakage in enamel
dental restorations.
PMID- 29813000
TI - Double-Stranded Ribonucleic Acid-Mediated Antiviral Response Against Low
Pathogenic Avian Influenza Virus Infection.
AB - Toll-like receptor (TLR)3 signaling pathway is known to induce type 1 interferons
(IFNs) and proinflammatory mediators leading to antiviral response against many
viral infections. Double-stranded ribonucleic acid (dsRNA) has been shown to act
as a ligand for TLR3 and, as such, has been a focus as a potential antiviral
agent in many host-viral infection models. Yet, its effectiveness and involved
mechanisms as a mediator against low pathogenic avian influenza virus (LPAIV)
have not been investigated adequately. In this study, we used avian fibroblasts
to verify whether dsRNA induces antiviral response against H4N6 LPAIV and clarify
whether type 1 IFNs and proinflammatory mediators such as interleukin (IL)-1beta
are contributing to the dsRNA-mediated antiviral response against H4N6 LPAIV. We
found that dsRNA induces antiviral response in avian fibroblasts against H4N6
LPAIV infection. The treatment of avian fibroblasts with dsRNA increases the
expressions of TLR3, IFN-alpha, IFN-beta, and IL-1beta. We also confirmed that
this antiviral response elicited against H4N6 LPAIV infection correlates, but is
not attributable to type 1 IFNs or IL-1beta. Our findings imply that the TLR3
ligand, dsRNA, can elicit antiviral response in avian fibroblasts against LPAIV
infection, highlighting potential value of dsRNA as an antiviral agent against
LPAIV infections. However, further investigations are required to determine the
potential role of other innate immune mediators or combination of the tested
cytokines in the dsRNA-mediated antiviral response against H4N6 LPAIV infection.
PMID- 29813002
TI - Calcium content mediated hemostasis of calcium-modified oxidized microporous
starch.
AB - Blood coagulates are closely related to calcium ions (coagulation factor IV), and
calcium-doped biomaterials have been reported to be effective in hemostasis.
However, the effects exerted by calcium on hemostatic agents have not been
previously investigated. The aims of this work were to develop calcium-modified
oxidized microporous starch (CaOMS) with controllable calcium contents and to
explore the relationship between calcium content and hemostatic effects. The
results showed that low calcium content promoted coagulation, while high calcium
content inhibited coagulation. CaOMS3 with 2.2 mg/g calcium content was optimal
because of its excellent water absorption performance that enhanced physical
coagulation, the rapid initiation of coagulation cascade reactions, and the
enhanced chemical coagulation by RBC aggregation and platelet activation. The
synergistic effects of chemical activation and physical absorption endowed CaOMS
with the potential to control internal organ bleeding. These results suggested
that CaOMS may be a promising hemostatic agent with wide spread applications.
PMID- 29813004
TI - Performance of a small-scale wastewater treatment plant for removal of pathogenic
protozoa (oo)cysts and indicator microorganisms.
AB - The protozoa Giardia and Cryptosporidium are associated with numerous outbreaks
of waterborne diseases worldwide. This study aimed to evaluate the concentration
of Giardia spp. cysts, Cryptosporidium spp. oocysts, total coliforms, Escherichia
coli and Clostridium perfringens in raw wastewater and their removals at UASB
reactor, activated sludge system (operated conventionally and extended aeration)
and slow sand filtration. Giardia spp. cysts were present in 100% and
Cryptosporidium spp. oocysts in 31.4% of the analysed wastewater samples. The
UASB reactor followed by activated sludge system obtained approximately 2.0 log
of removal for total coliforms and E. coli, whereas for Clostridium perfringens
and Giardia spp. cysts, it obtained 1 log. There was a high percentage of
(oo)cysts still viable after secondary treatment, therefore, the risk of
contamination of water courses and, consequently, for public health is
considerable. However, after terciary filtration, no (oo)cysts were found in any
of the filtered effluent samples, being a good option for future reuse. Seasonal
variations did not affect the concentrations and removals of microorganisms
observed. Lack of correlations of concentrations of indicator microorganisms and
(oo)cysts raise caveats and doubts regarding the true microbiological quality
when using only indicator microorganisms.
PMID- 29813003
TI - Preparation and Application of a Monoclonal Antibody Against Chicken TRIM62.
AB - TRIM62 is a member of the tripartite interaction motif (TRIM) family and exerts
crucial roles in innate immune response and cancer. To investigate the
relationship between its distribution and avian retrovirus replication, in the
present study, a monoclonal antibody (mAb) against chicken TRIM62 was generated.
The open reading frame of chicken TRIM62 was amplified by polymerase chain
reaction (PCR) and inserted into the expression vector pET-28a. The recombinant
expression vectors were transformed into Escherichia coli BL21 (DE3). Then
recombinant protein His-TRIM62 was expressed under isopropyl-beta-D
thiogalactopyranoside (IPTG) induction and purified. BALB/c mice were immunized
with the purified recombinant TRIM62 protein. The hybridomas were obtained by
fusing mouse myeloma cell line SP2/0 with splenocytes of immunized mice. Western
blot showed that the His-TRIM62 and endogenous TRIM62 were recognized by the mAb.
The distribution of TRIM62 in no specific pathogen infection (SPF) chickens was
detected by immunohistochemistry, and the positive signals of TRIM62 were mainly
distributed in vascular endothelial. Our work indicated that the mAb against
chicken TRIM62 would be a valuable tool for further study of the role of TRIM62
in avian retrovirus pathogenesis.
PMID- 29813005
TI - In Vitro Cell-free DNA Quantification: A Novel Method to Accurately Quantify Cell
Survival after Irradiation.
AB - Circulating tumor DNA (ctDNA) analysis has been shown to aid in both the
detection of cancer and evaluation of somatic mutations in tumors. CtDNA
concentration in plasma increases in proportion to tumor volume and/or metabolic
activity and growth; however, this principle has yet to be applied to cell
culture. We hypothesized that cell line-specific cell-free DNA (cfDNA) can be
used to measure cell viability and cell survival in cell culture. Clonogenic
assays on non-small cell lung cancer (NSCLC) cell lines H322, A549 and H322 were
exposed to radiation doses of 0, 4 and 8 Gy. Prior to colony fixation and
counting, cfDNA was extracted and quantified from cell culture media. The
correlation between cell line-specific cfDNA and number of colonies grown on
culture plates was examined. An H1299:A549 coculture model was used to evaluate
the differential release of cell line-specific cfDNA. The results of this work
indicate a strong correlation between CfDNA quantification from cell culture
media and clonogenic survival at all radiation doses and in all cell lines tested
(R2 range = 0.77-0.99). Cell survival curves derived from cfDNA were virtually
indistinguishable from matched traditional clonogenic survival data ( P > 0.05;
no significant difference exists between clonogenic curves). CfDNA quantification
also accurately estimates colony count in a two-cell-line coculture model. In
conclusion, cell-free DNA quantification from cell culture media can be used to
measure cell survival, and appears suitable for development in a high-throughput
clonogenic assay and radiosensitizer screening platform.
PMID- 29813006
TI - Effect of Implementing a Bundled-Payment Program for Heart Failure at a Safety
Net Community Hospital.
AB - Safety net community hospitals face unique challenges when entering risk-based
contracts. The financial viability of such programs in these settings has not
been well studied. This study analyzed a bundled-payment program for congestive
heart failure at one such facility. To assess financial performance, the authors
calculated the net patient payment by quarter after bundle implementation, and
also compared the leading cost drivers before and after bundle implementation,
specifically the next site of care and readmission rate. After 21 months of
participating in the bundle, the program has saved money, been financially
feasible, and generated positive returns for the hospital. Admission to skilled
nursing facilities decreased from 21.3% to 16.0% after bundle implementation. The
readmission rate was not significantly different, but trended downward. This
study shows that safety net community hospitals can successfully participate in a
bundled-payment program. For heart failure patients, decreasing admission to
skilled nursing facilities and lowering the readmission rate are essential for
program success.
PMID- 29813007
TI - Meningoencephalitis caused by Lactobacillus plantarum - case report.
AB - Specific strains of Lactobacillus spp. are widely used as probiotic agents but it
has been repeatedly reported that may have a pathogenic potential. We present the
report on a case of meningoenephalitis caused by Lactobacillus plantarum in 63
year old men with newly diagnosed metastatic planoepitheliale lung cancer. The
patient was hospitalized due to newly diagnosed cancer and during the course of
hospitalization developed symptoms of neuroinfection. Based on the sypmtoms and
results of the conducted tests patient was diagnosed with bacterial
meningoecephalitis. In microbiological tests of the blood and cerebrospinal fluid
Lactobacillus plantarum was cultured. During the course of antibiotic therapy
patient' s condition improved. Lactobacilli are now recognized as a causative
agent of infection, most notably bacteremia. To our knowledge, this is the fourth
documented case of Lactobacillus-associated neuroinfection, and only the second
in an adult. Lactobacilli causes mostly opportunistic infections in
immunocompromised individuals.
PMID- 29813009
TI - Demography of Russia: From the Past to the Present.
PMID- 29813008
TI - Positive Value of a Women's Junior Faculty Mentoring Program: A Mentor-Mentee
Analysis.
AB - BACKGROUND: Recently appointed women faculty in academic medicine face many
challenges during their careers and can become overwhelmed managing their
multiple faculty roles as teacher, scholar, and clinician, in addition to their
roles in personal life. Although a mentor can be invaluable in assisting a woman
junior faculty member to adjust to faculty life and providing critical career
guidance, not all medical institutions have faculty mentoring programs. We
created a mentoring program specifically for our women junior faculty to address
this issue at our own institution. MATERIALS AND METHODS: To assess the value of
this program, we conducted a novel mentor-mentee paired-data analysis of annual
surveys collected from 2010 to 2015. Of the 470 responses received, 83 were from
unique mentees and 61 from unique mentors. RESULTS: Career development, research,
and promotion were the top topics discussed among the mentoring pairs, followed
by discussions of institutional resources and administration/service. There was
high congruency among the mentoring pairs that they thought these discussions, as
well as other conversations about mentee professional development and well-being,
had been helpful. However in some instances, mentors felt they had not been
helpful to their mentee, whereas their mentees felt otherwise; this finding
speaks to the value and importance of mentees providing positive feedback to
their mentors. Overall, both mentees and mentors thought that the mentees had
significantly benefited from the mentorship. Unexpected outcomes of these
relationships included promotion, grant applications/awards, articles,
presentations, and professional memberships. The use of a Mentee Needs Assessment
Form to individualize the mentoring relationship for each mentee may explain the
high overall satisfaction and participant recommendations of the program.
CONCLUSIONS: Our findings demonstrate the value in establishing mentoring
programs specifically for women faculty, especially in environments in which
other mentoring opportunities do not exist.
PMID- 29813010
TI - Internal Migration in the Developed World: Are We Becoming Less Mobile?
PMID- 29813011
TI - Assessment of Provider Adherence to Recommended Monitoring Parameters for Oral
Anticancer Medications.
AB - INTRODUCTION: Oral anticancer medications (OAMs) offer convenient administration
but create new challenges with unique toxicity profiles, specific monitoring
parameters and non-continuous dosing schedules. We evaluated provider compliance
with US Food and Drug Administration (FDA) drug labeling-specified monitoring
parameters for commonly dispensed OAMs at a public academic health system.
METHODS: A retrospective chart review of patients receiving OAMs was conducted at
Grady Health System between July 2015 and June 2016. Patients included in the
evaluation were dispensed one of the ten most common OAMs used in our cancer
center. Laboratory data and provider documentation were collected and compared to
FDA drug labeling-specified monitoring parameters, and the primary outcome was
the percentage of fully-compliant cycles. Secondary outcomes included patient
adherence assessed by provider documentation and fill history. Descriptive
statistics were used to evaluate the data. RESULTS: The initial report comprised
422 patients, of which 77 patients with a total of 349 treatment cycles were
included for final analysis. One hundred twenty-six (36.1%) of the treatment
cycles were fully compliant with the FDA drug labeling-specified monitoring
parameters. Sixty-four of the 199 (32.2%) applicable clinic notes documented
patient adherence, and 15 (39.5%) of 38 patients were adherent based on fill
history. CONCLUSION: This study revealed low compliance with FDA-recommended
monitoring parameters for commonly dispensed OAMs at our institution. In
addition, this study confirmed national concerns about adherence to oral
regimens. It also suggests that provider compliance with monitoring parameters is
an area that needs to be addressed in order to improve the ambulatory OAM
process.
PMID- 29813012
TI - Improving the Safety and Quality of Systemic Treatment Regimens in Computerized
Prescriber Order Entry Systems.
AB - PURPOSE: Systemic treatment (ST) computerized prescriber order entry (CPOE) and
preprinted orders (PPO) are proven to reduce errors. There is no known guidance
in oncology to facilitate high-quality, accurate regimen development and review;
hence, this was identified as a system-wide gap. This provincial initiative aimed
to improve the quality of oncology regimens through a comprehensive review of
systemic treatment (ST) regimens and the development of standards. METHODS: A
system-wide analysis of all active regimens (both CPOE and PPO) to ensure they
were built as intended was conducted in 2015. Thirty-five hospitals (on behalf of
75 treatment facilities) were asked to report any unintentional discrepancies and
details of the maintenance review process. Discrepancies were compiled,
categorized, and analyzed for potential to cause harm. In addition, a
multidisciplinary expert working group was formed to create best practice
recommendations. RESULTS: The review yielded a 94% response rate and took a total
of 18 months to complete (70% completed within 9 months). The average number of
regimens reviewed was 336 (range, 15 to 700; n = 9). Unintentional discrepancies
were reported by nine hospitals (27%). A total of 369 discrepancies were reported
(average, 55 per hospital), and 28 were deemed to have a moderate potential for
harm. Only two hospitals (6%) had an established maintenance process; now, all
have standard processes for review. Consensus-based recommendations for ST-CPOE
and PPO regimen development and maintenance were developed. CONCLUSION: The
review identified unintentional discrepancies and, because of the potential for
patient harm, corrective action has been taken. Identified discrepancies have
been amended, and standard regimen development and maintenance review processes
are now implemented system-wide to improve the quality and safety of systemic
treatment delivery.
PMID- 29813013
TI - Race and Ethnicity in the Evidence for Integrating Palliative Care Into Oncology.
AB - PURPOSE: ASCO recommends early involvement of palliative care for patients with
advanced cancers on the basis of evidence from 18 randomized trials. We examined
racial and ethnic minority representation in these trials and the role of race
and ethnicity in the statistical analyses. The goal was to identify specific gaps
in the palliative care evidence base for these individuals and potential
strategies to address them. METHODS: We reviewed the 18 trials cited in the 2012
and 2017 ASCO clinical statements on integrating palliative care into oncology.
We extracted data on the reporting and categorization of race and ethnicity, on
the enrollment of specific racial and ethnic minority groups, and on how race and
ethnicity were addressed in the analyses. RESULTS: One third of patient trials
reported representation of specific racial and ethnic minority groups, one third
reported rates of "white" versus "other," and one third did not report race or
ethnicity data. Among the patient trials with race and ethnicity data, 9.9% of
participants were Asian, 8.8% Hispanic/Latino, and 5.7% African American.
Analyses that used race and ethnicity were primarily baseline comparisons among
randomized groups. CONCLUSION: Race and ethnicity were inconsistently reported in
the trials. Among those that provided race and ethnicity data, representation of
specific racial and ethnic minority groups was low. In addition to more research
in centers with large minority populations, consistent reporting of race and
ethnicity and supplementary data collection from minority patients who
participate in trials may be strategies for improvement.
PMID- 29813014
TI - The mechanisms that regulate Vibrio parahaemolyticus virulence gene expression
differ between pathotypes.
AB - Most Vibrio parahaemolyticus isolates found in marine environments are non
pathogenic; however, certain lineages have acquired genomic pathogenicity islands
(PAIs) that enable these isolates to cause human illness. The V. parahaemolyticus
PAI contains one or both of two toxins: thermostable direct haemolysin (TDH) or
TDH-related haemolysin (TRH) and type III secretion system 2 (T3SS2). Recently, a
few V. parahaemolyticus isolates that do not have this PAI were obtained from
clinical samples, and there has been interest in determining whether these
isolates possess novel virulence factors. In this investigation, we have selected
four V. parahaemolyticus isolates: a canonical pathogenic strain containing TDH,
TRH and T3SS2; two strains from clinical cases which do not contain a PAI; and an
environmental isolate which also does not contain a PAI. For each isolate, we
analyzed differential gene expression after crude bile exposure. Several enteric
bacterial pathogens are known to use bile as a signal to enhance virulence gene
expression. We have shown that in the tdh-positive trh-positive pathotype gene
virulence gene expression was not up-regulated in response to crude bile,
strongly indicating that the current dogma of virulence gene regulation in V.
parahaemolyticus needs to be revisited and separately investigated for each
pathotype. In addition, we have created a list of genes of interest that were up
regulated in the non-canonical pathotypes which may contribute to virulence in
these isolates.
PMID- 29813015
TI - Deletion of gene encoding the nucleoid-associated protein H-NS unmasks hidden
regulatory connections in El Tor biotype Vibrio cholerae.
AB - Hypervirulent atypical El Tor biotype Vibrio cholerae O1 isolates harbour
mutations in the DNA-binding domain of the nucleoid-associated protein H-NS and
the receiver domain of the response regulator VieA. Here, we provide two examples
in which inactivation of H-NS in El Tor biotype vibrios unmasks hidden regulatory
connections. First, deletion of the helix-turn-helix domain of VieA in an hns
mutant background diminished biofilm formation and exopolysaccharide gene
expression, a function that phenotypically opposes its phosphodiesterase
activity. Second, deletion of vieA in an hns mutant diminished the expression of
sigmaE, a virulence determinant that mediates the envelope stress response. hns
mutants were highly sensitive to envelope stressors compared to wild-type.
However, deletion of vieA in the hns mutant restored or exceeded wild-type
resistance. These findings suggest an evolutionary path for the emergence of
hypervirulent strains starting from nucleotide sequence diversification affecting
the interaction of H-NS with DNA.
PMID- 29813016
TI - SuperDCA for genome-wide epistasis analysis.
AB - The potential for genome-wide modelling of epistasis has recently surfaced given
the possibility of sequencing densely sampled populations and the emerging
families of statistical interaction models. Direct coupling analysis (DCA) has
previously been shown to yield valuable predictions for single protein
structures, and has recently been extended to genome-wide analysis of bacteria,
identifying novel interactions in the co-evolution between resistance, virulence
and core genome elements. However, earlier computational DCA methods have not
been scalable to enable model fitting simultaneously to 104-105 polymorphisms,
representing the amount of core genomic variation observed in analyses of many
bacterial species. Here, we introduce a novel inference method (SuperDCA) that
employs a new scoring principle, efficient parallelization, optimization and
filtering on phylogenetic information to achieve scalability for up to 105
polymorphisms. Using two large population samples of Streptococcus pneumoniae, we
demonstrate the ability of SuperDCA to make additional significant biological
findings about this major human pathogen. We also show that our method can
uncover signals of selection that are not detectable by genome-wide association
analysis, even though our analysis does not require phenotypic measurements.
SuperDCA, thus, holds considerable potential in building understanding about
numerous organisms at a systems biological level.
PMID- 29813017
TI - Corrigendum: Gene drive inhibition by the anti-CRISPR proteins AcrIIA2 and
AcrIIA4 in Saccharomyces cerevisiae.
PMID- 29813019
TI - Handheld Guides in Inspection Tasks: Augmented Reality versus Picture.
AB - Inspection tasks focus on observation of the environment and are required in many
industrial domains. Inspectors usually execute these tasks by using a guide such
as a paper manual, and directly observing the environment. The effort required to
match the information in a guide with the information in an environment and the
constant gaze shifts required between the two can severely lower the work
efficiency of inspector in performing his/her tasks. Augmented reality (AR)
allows the information in a guide to be overlaid directly on an environment. This
can decrease the amount of effort required for information matching, thus
increasing work efficiency. AR guides on head-mounted displays (HMDs) have been
shown to increase efficiency. Handheld AR (HAR) is not as efficient as HMD-AR in
terms of manipulability, but is more practical and features better information
input and sharing capabilities. In this study, we compared two handheld guides:
an AR interface that shows 3D registered annotations, that is, annotations having
a fixed 3D position in the AR environment, and a non-AR picture interface that
displays non-registered annotations on static images. We focused on inspection
tasks that involve high information density and require the user to move, as well
as to perform several viewpoint alignments. The results of our comparative
evaluation showed that use of the AR interface resulted in lower task completion
times, fewer errors, fewer gaze shifts, and a lower subjective workload. We are
the first to present findings of a comparative study of an HAR and a picture
interface when used in tasks that require the user to move and execute viewpoint
alignments, focusing only on direct observation. Our findings can be useful for
AR practitioners and psychology researchers.
PMID- 29813021
TI - Erratum.
PMID- 29813020
TI - Layered Scene Models from Single Hazy Images.
AB - This paper describes the construction of a layered scene model, based on a single
hazy image that has sufficient depth variation. A depth map and radiance image
are estimated by standard dehazing methods. The radiance image is then segmented
into a small number of clusters, and a corresponding scene plane is estimated for
each. This provides the basic structure of a layered scene model, without the
need for multiple views, or image correspondences. We show that problems of gap
filling and depth blending can be addressed systematically, with respect to the
layered depth structure. The final models, which resemble cardboard 'pop-ups',
are visually convincing. An implementation is described, and subjective depth
preferences are tested in a psychophysical experiment.
PMID- 29813018
TI - Cognitive regulation alters social and dietary choice by changing attribute
representations in domain-general and domain-specific brain circuits.
AB - Are some people generally more successful using cognitive regulation or does it
depend on the choice domain? Why? We combined behavioral computational modeling
and multivariate decoding of fMRI responses to identify neural loci of regulation
related shifts in value representations across goals and domains (dietary or
altruistic choice). Surprisingly, regulatory goals did not alter integrative
value representations in the ventromedial prefrontal cortex, which represented
all choice-relevant attributes across goals and domains. Instead, the
dorsolateral prefrontal cortex (DLPFC) flexibly encoded goal-consistent values
and predicted regulatory success for the majority of choice-relevant attributes,
using attribute-specific neural codes. We also identified domain-specific
exceptions: goal-dependent encoding of prosocial attributes localized to
precuneus and temporo-parietal junction (not DLPFC). Our results suggest that
cognitive regulation operated by changing specific attribute representations (not
integrated values). Evidence of domain-general and domain-specific neural loci
reveals important divisions of labor, explaining when and why regulatory success
generalizes (or doesn't) across contexts and domains.
PMID- 29813022
TI - Errata to "Robust Non-Rigid Motion Tracking and Surface Reconstruction Using L0
Regularization".
PMID- 29813023
TI - Wavelet-enhanced convolutional neural network: a new idea in a deep learning
paradigm.
AB - PURPOSE: Manual brain tumor segmentation is a challenging task that requires the
use of machine learning techniques. One of the machine learning techniques that
has been given much attention is the convolutional neural network (CNN). The
performance of the CNN can be enhanced by combining other data analysis tools
such as wavelet transform. MATERIALS AND METHODS: In this study, one of the
famous implementations of CNN, a fully convolutional network (FCN), was used in
brain tumor segmentation and its architecture was enhanced by wavelet transform.
In this combination, a wavelet transform was used as a complementary and
enhancing tool for CNN in brain tumor segmentation. RESULTS: Comparing the
performance of basic FCN architecture against the wavelet-enhanced form revealed
a remarkable superiority of enhanced architecture in brain tumor segmentation
tasks. CONCLUSION: Using mathematical functions and enhancing tools such as
wavelet transform and other mathematical functions can improve the performance of
CNN in any image processing task such as segmentation and classification.
PMID- 29813024
TI - Detection of Plasmodium falciparum using automated digital cell morphology
analyzer Sysmex DI-60.
PMID- 29813025
TI - International consensus on antinuclear antibody patterns: definition of the AC-29
pattern associated with antibodies to DNA topoisomerase I.
PMID- 29813026
TI - Exploring the role of defective fibronectin matrix assembly in the VHL-associated
CNS hemangioblastoma.
PMID- 29813027
TI - Erratum to: Using Bayes' rule in diagnostic testing: a graphical explanation.
PMID- 29813028
TI - Complement systems C4, C3 and CH50 not subject to a circadian rhythm.
AB - BACKGROUND: The circadian fluctuations in the blood levels of selected components
of the complement system are ill-defined. Some authors found nadir serum levels
of C4 and C3 components, together with C3a at nighttime, while others reported
insomnia when pro-inflammatory components exhibited increased serum levels. In
this study, we quantitatively estimate the morning and evening daytime serum
levels of CH50, C4, C3, put into context with C-reactive protein (CRP), cortisol,
parathyroid hormone (PTH) and 25(OH)vitamin D at 07:00 A.M. and at 07:00 P.M.
METHODS: Seven healthy adult women and 11 men who were voluntary participants
agreed to a fasting venipuncture in the morning after having normally eaten
through the day and in the evening. The C4 and C3 serum levels were measured on a
Cobas (Roche Diagnostics, Switzerland) modular analyzer, CH50 was estimated using
the COMPL300 enzyme-linked immunosorbent assay (ELISA) of Wieslab (Malmo,
Sweden). CRP, 25(OH)vitamin D, PTH and cortisol concentrations were assessed with
electro-chemiluminescence immunoassay (ECLIA) on the Roche Cobas 6000 platform;
IgG was measured using nephelometry (Siemens, Germany). RESULTS: With the
exception of higher PTH levels in the evening [3.12-5.46, 95% confidence interval
(CI)] compared to the morning (2.93-4.65, 95% CI), the mean and median values of
C4, C3, CH50 as well as CRP, PTH and 25(OH)vitamin D fell within the established
reference intervals. Cortisol levels were measured as an internal positive
control for diurnal fluctuations (morning: 294-522 nmol/L, 95% CI; evening: 106
136 nmol/L, 95% CI). CONCLUSIONS: The concentrations of the assessed complement
components C4 and C3 as well as CH50 surrogate assay did not yield significantly
different values between early morning and evening. This does not exclude their
participation in the circadian metabolome; this pilot study with healthy
participants suggests that patients with an autoimmune disease in remission can
give their blood samples independently during daytime with or without fasting.
PMID- 29813029
TI - Clinical laboratory: bigger is not always better.
AB - Laboratory services around the world are undergoing substantial consolidation and
changes through mechanisms ranging from mergers, acquisitions and outsourcing,
primarily based on expectations to improve efficiency, increasing volumes and
reducing the cost per test. However, the relationship between volume and costs is
not linear and numerous variables influence the end cost per test. In particular,
the relationship between volumes and costs does not span the entire platter of
clinical laboratories: high costs are associated with low volumes up to a
threshold of 1 million test per year. Over this threshold, there is no linear
association between volumes and costs, as laboratory organization rather than
test volume more significantly affects the final costs. Currently, data on
laboratory errors and associated diagnostic errors and risk for patient harm
emphasize the need for a paradigmatic shift: from a focus on volumes and
efficiency to a patient-centered vision restoring the nature of laboratory
services as an integral part of the diagnostic and therapy process. Process and
outcome quality indicators are effective tools to measure and improve laboratory
services, by stimulating a competition based on intra- and extra-analytical
performance specifications, intermediate outcomes and customer satisfaction.
Rather than competing with economic value, clinical laboratories should adopt a
strategy based on a set of harmonized quality indicators and performance
specifications, active laboratory stewardship, and improved patient safety.
PMID- 29813030
TI - Melatonin and cryptochrome 2 in metabolic syndrome patients with or without
diabetes: a cross-sectional study.
AB - Background Metabolic syndrome (MetS) is a cluster of metabolic risk factors which
increases the chances for future cardiovascular diseases, as well as diabetes.
The underlying causes of MetS include overweight and obesity, physical inactivity
and genetic factors. Our intension here was to focus in this study on the
importance of the chronobiology, represented by melatonin (MT) and cryptochrome 2
(CRY2), in developing MetS and type 2 diabetes mellitus (T2DM). Thus, we aimed to
compare MT and CRY2 plasma levels and correlate both biomarkers with adiposity,
atherogenicity and hematological indices in MetS and T2DM cohorts. Methods In a
cross-sectional study, 28 normoglycemic lean subjects (controls), 29
normoglycemic MetS subjects and 30 MetS (pre-diabetic/diabetic) were recruited.
Results MT (pg/mL) was elevated significantly in MetS arm p-value < 0.05, whereas
CRY2 levels (ng/mL) were markedly higher in both MetS groups (non-diabetic and
pre-diabetic/diabetic) (all with p-value < 0.001). A reciprocal MT-CRY2
relationship was observed in the MetS (non-diabetic) group (p-value = 0.003). Of
note in the total study population, both MT and CRY2 proportionally correlated
with each of the following: atherogenicity index of plasma (AIP), waist
circumference (WC) and systolic blood pressure (SBP) (all with p-value < 0.05)
for MT and CRY2, respectively). Whereas MT correlated inversely with high-density
lipoprotein-cholesterol (HDL-C) (p-value < 0.05). Additionally, CRY2 correlated
directly with each of the following: diastolic blood pressure (DBP), total
cholesterol (TC), low-density lipoprotein (LDL-C), hip circumference (HC), body
adiposity index (BAI), weight-to-height (WHtR) ratio, mean platelet volume (MPV)
and platelet/lymphocyte ratio (PLR) (p-value < 0.05). Conclusion These findings
substantiate that both metabolic risk biomarkers can be prognostic tools and
pharmacotherapeutic targets to slowdown the accelerated nature of T2DM.
PMID- 29813031
TI - Phytochemistry, bioactivity: suggestion of Ceratonia siliqua L. as
neurodegenerative disease therapy.
AB - Carob tree (Ceratonia siliqua L.) is one of the most widespread medicinal plants
in the Mediterranean area. Traditionally, it was cultivated for its
ethnopharmacological benefits and, more especially, for the seeds, which served
as unit of measurement of jewelers "carat." Hence, in the last half-century,
numerous studies reported a wide range of phytoconstituents contained in all
parts of Ceratonia siliqua such as phenolic compounds, flavonoids, tannins,
anthocyanins, alkaloids, glycosides, proteins and minerals. This review article
unveils the phytochemical constituents, bioactivity and pharmacological studies
of Ceratonia siliqua. Recent studies have shown that the extracts of this plant
exhibit an antioxidant, antidiarrheal, antibacterial, antifungal, anti
inflammatory, antidiabetic activities and also hepatoprotective and
antiproliferative effects. In this review, we provide a summary of the most
interesting data related to bioactivity and therapeutic potential of Ceratonia
siliqua in a way to suggest possible future studies that may use Ceratonia
siliqua as an undeniable natural alternative for neurodegenerative diseases
treatment.
PMID- 29813032
TI - Chromosomal microarray findings in pregnancies with an isolated pelvic kidney.
AB - OBJECTIVE: To examine the risk for abnormal chromosomal microarray analysis (CMA)
results among fetuses with an apparently isolated pelvic kidney. METHODS: Data
from all CMA analyses performed due to an isolated pelvic kidney reported to the
Israeli Ministry of Health between January 2013 and September 2016 were
retrospectively obtained. Risk estimation was performed comparing the rate of
abnormal observed CMA findings to the general population risk, based on a
systematic review encompassing 9272 cases and on local data of 5541 cases.
RESULTS: Of 120 pregnancies with an isolated pelvic kidney, two gain-of-copy
number variants suggesting microduplication syndromes were demonstrated (1.67%).
In addition, three variants of unknown significance were detected (2.5%).
CONCLUSIONS: The risk for clinically significant CMA findings among pregnancies
with an isolated single pelvic kidney was not significantly different compared to
both control populations. The results of our study question the practice of
routine CMA analysis in fetuses with an isolated pelvic kidney.
PMID- 29813034
TI - Midwife-assisted planned home birth: an essential component of improving the
safety of childbirth in Sub-Saharan Africa.
AB - Hospital births, when compared to out-of-hospital births, have generally led to
not only a significantly reduced maternal and perinatal mortality and morbidity
but also an increase in certain interventions. A trend seems to be emerging,
especially in the US where some women are requesting home births, which creates
ethical challenges for obstetricians and the health care organizations and policy
makers. In the developing world, a completely different reality exists. Home
births constitute the majority of deliveries in the developing world. There are
severe limitations in terms of facilities, health personnel and deeply entrenched
cultural and socio-economic conditions militating against hospital births. As a
consequence, maternal and perinatal mortality and morbidity remain the highest,
especially in Sub-Saharan Africa (SSA). Midwife-assisted planned home birth
therefore has a major role to play in increasing the safety of childbirth in SSA.
The objective of this paper is to propose a model that can be used to improve the
safety of childbirth in low resource countries and to outline why midwife
assisted planned home birth with coordination of hospitals is the preferred
alternative to unassisted or inadequately assisted planned home birth in SSA.
PMID- 29813035
TI - Antimalarial activity of the isolates from the marine sponge Hyrtios erectus
against the chloroquine-resistant Dd2 strain of Plasmodium falciparum.
PMID- 29813036
TI - Central and peripheral airway nitric oxide in patients with stable and
exacerbated chronic obstructive pulmonary disease.
AB - Nitrative stress pathways are involved in airway inflammation characterizing
chronic obstructive pulmonary disease (COPD). Extended nitric oxide (NO) analysis
allows the partitioned measurement of nitrative stress in the conducting bronchi
and peripheral airways/alveolar spaces. However, pulmonary NO production at these
two sites has not been systemically studied in stable and exacerbated COPD.
Twenty-eight patients with stable COPD, 34 patients during an exacerbation, and
15 smoking controls were recruited. Exhaled NO was measured at constant flow
rates of 50 ml s-1 (for FENO50) and 100-150-200-250 ml s-1 (for the extended NO
analysis). Clinical variables, including lung function, white blood cell count, C
reactive protein concentration, blood gas values and symptom score (COPD
assessment test) were collected. The measurements were repeated in 26 patients
with an exacerbation during convalescence. The exhaled NO parameters were
analysed with non-parametric tests. The alveolar NO (CANO) was higher in stable
COPD (median (interquartile range), 4.24 (2.35-6.09) ppb, p < 0.01) and in
patients with an exacerbation (3.83 (2.31-6.62) ppb, p < 0.05) than in the
controls (2.05 (1.77-2.80) ppb), but no difference was found between the stable
and exacerbated disease (p > 0.05). The CANO correlated with the blood eosinophil
percentage in all COPD patients (r = 0.29, p = 0.02). The total flux of bronchial
NO (JawNO) increased in an exacerbation (exacerbated: 1.01 (0.45-2.44) nl s-1
versus stable: 0.47 (0.16-0.81) nl s-1, p < 0.01; exacerbated versus control:
0.38 (0.27-0.80) nl s-1, p < 0.05), and it was reduced in convalescence after
therapy (0.50 (0.31-0.96) nl s-1, p = 0.01). Neither CANO and JawNO or their
change were related to the clinical variables or the length of hospital stay in
COPD. JawNO correlated with FENO50 during exacerbation (r = 0.80, p < 0.001).
Extended NO analysis is a feasible method to monitor nitrative stress at
different anatomical sites within the airways in stable and exacerbated COPD
patients. Our results suggest that nitrative stress is constantly elevated in the
small airways in COPD and increases in the conducting airways during an
exacerbation.
PMID- 29813033
TI - A soft cervix, categorized by shear-wave elastography, in women with short or
with normal cervical length at 18-24 weeks is associated with a higher prevalence
of spontaneous preterm delivery.
AB - OBJECTIVE: To determine whether a soft cervix identified by shear-wave
elastography between 18 and 24 weeks of gestation is associated with increased
frequency of spontaneous preterm delivery (sPTD). MATERIALS AND METHODS: This
prospective cohort study included 628 consecutive women with a singleton
pregnancy. Cervical length (mm) and softness [shear-wave speed: (SWS) meters per
second (m/s)] of the internal cervical os were measured at 18-24 weeks of
gestation. Frequency of sPTD <37 (sPTD<37) and <34 (sPTD<34) weeks of gestation
was compared among women with and without a short (<=25 mm) and/or a soft cervix
(SWS <25th percentile). RESULTS: There were 31/628 (4.9%) sPTD<37 and 12/628
(1.9%) sPTD<34 deliveries. The combination of a soft and a short cervix increased
the risk of sPTD<37 by 18-fold [relative risk (RR) 18.0 (95% confidence interval
[CI], 7.7-43.9); P<0.0001] and the risk of sPTD<34 by 120-fold [RR 120.0 (95% CI
12.3-1009.9); P<0.0001] compared to women with normal cervical length. A soft
only cervix increased the risk of sPTD<37 by 4.5-fold [RR 4.5 (95% CI 2.1-9.8);
P=0.0002] and of sPTD<34 by 21-fold [RR 21.0 (95% CI 2.6-169.3); P=0.0003]
compared to a non-soft cervix. CONCLUSIONS: A soft cervix at 18-24 weeks of
gestation increases the risk of sPTD <37 and <34 weeks of gestation independently
of cervical length.
PMID- 29813037
TI - [Zaburzenia otepienne i depresyjne u chorych w starszym wieku].
AB - Dementia and depressive disorders in the elderly have a significant influence on
their behavior, including 10% to 65 years of age and as much as 40% after the age
of 90. In turn, depressive disorders are diagnosed in about 2% of patients, with
the frequency of changes increasing with age. In addition, symptoms of depression
are more often observed in women, the disabled and chronic diseases.
Differentiation of dementia and depression in the elderly is very difficult to
perform, especially in open treatment. Deterioration of cognitive functions in
the course of dementia changes promotes the development of depression. Both
disorders make it difficult to communicate with the patient.
PMID- 29813038
TI - [Cardiovascular risk factors in patients after coarctation of aorta repair].
AB - : The coarctation of the aorta (CoA) is a congenital condition of the thoracic
aorta. AIM: The aim of the study was assessment of atherosclerosis risk factors
in adult patients after surgical treatment of aortic coarctation. MATERIALS AND
METHODS: 58 patients (36 male, 22 female) at median age of 27.46 +/-10.57 were
compared with 30 healthy, age and sex matched volunteers. The arterial blood
pressure, lipid profile, fasting glucose, inflammation markers and the lifestyle
factors were analyzed. RESULTS: CoA patients have higher systolic blood pressure
136.55+/-16.27 vs 123.47+/-10.34 mmHg, p<0.001, fasting glucose 4.95+/-0.5 vs
4.65+/-0.46 mmol/l p=0.002, hsCRP 1.03+/-0.12 vs 0.89+/-0.14 mg/l p=0.025 and
fibrinogen 2.55+/-0.34 vs 1.98+/-0.28 g/l p<0.001. Hyperlipidemia is more common
44.8% vs 23.3% p=0.048, treated with statin. When comparing hypertensive patients
(N=28) with normotensive ones (N=30), the patients with arterial hypertension are
older 33.5+/-12.23 vs 25.73+/-7.12 p=0.004, have higher body weight 78.03+/-14.58
vs 68.7+/-14.29 p=0.017, in this group more common are: hypoplastic aortic arch
28.6% vs 6.7% p=0.027, recoarctation 39.3% vs 13.3% p=0.024, cardiovascular
disease 14.3% vs 0% p=0.032 and family history 21.4% vs 3.3% p=0.034.
CONCLUSIONS: The coarctation of aorta is related to higher cardiovascular risk
due to arterial hypertension, hyperlipidemia, higher glucose and inflammation
markers levels when comparing with healthy population.
PMID- 29813039
TI - Comparison of ventilation effectiveness of the bag valve mask and the LMA Air-Q
SP in nurses during simulated CPR.
AB - : In a case of sudden cardiac arrest (SCA) in a health facility there is a
procedure to summon a resuscitation team. Nurses are obliged to begin
cardiopulmonary resuscitation with chest compressions and implement ventilation
using the bag valve mask of 30:2 compressionventilation ratio. Nurses are not
allowed to implement methods of advanced airway management. However, the
laryngeal mask airway (LMA) was designed for people inexperienced in intubation
who would be able to provide advanced airway management quickly and effectively
after a short training. It is advisable to check how nurses, who in case of SCA
are often the first responders, deal with advanced airway management. AIM: The
aim of the study was to evaluate the quality of ventilation using the bag valve
mask and the LMA Air-Q SP by professionally active nurses. MATERIALS AND METHODS:
The study was conducted on a 38-person group of professionally active nurses
working or affiliated with the District Health Care Facility in Piotrkow
Trybunalski. After a short pre-training lecture the nurses were assigned to
ventilate the manikin with the bag valve mask (BVM) using 30:2
compressionventilation ratio and then asynchronously with the use of the LMA Air
Q SP. RESULTS: The average time elapsed from the beginning of CPR to the onset of
ventilation was 18 +/- 5,4 s. as for the BVM and 16,15 +/- 4,4 s regarding the
LMA. Minute ventilation achieved with the BVM was 3,47 +/- 1,43 l / min, and in
case of the LMA it amounted 5,54 +/- 1,73 l / min. There was no case of gastric
insufflation in case of the LMA, while as for the BVM it occurred in five cases.
There are very few studies focused on the LMA Air-Q SP, but some research
(Jagannathan, Alexandera or Gruber) devoted to the use of the LMA in nurses,
demonstrate that ventilation with the use of the LMA is effective and ensure more
appropriate ventilation parameters than with the use of the BVM. CONCLUSIONS: The
nurses achieved better ventilation results when using the LMA. Attempts to insert
the LMA were shorter than in case of the BVM.
PMID- 29813040
TI - [Twenty years long experience in the breast conserving treatment of women with
preinvasive breast cancer. Evaluation of long term results and analysis of
prognostic factors.]
AB - AIM: The aim of the study was to analyze long term results and prognostic factors
in women with preinvasive breast cancer (DCIS - ductal carcinoma in situ) who
underwent breast conserving surgery with subsequent radiotherapy. MATERIALS AND
METHODS: A total number of 106 patients was analyzed aged 29-78 years; mean age
was 54,3 years and median 55 years. In 78 (73,5%) patients the tumor was
diagnosed incidentally on mammography or ultrasound scan, 28 (26,5%) had palpable
lesion. 57 patients had the tumor in the left breast and 49 in the right one.
Most often the tumor was localized in external quadrants, namely in 56 (52,8%)
patients. All patients had breast conserving surgery and then adjuvant
radiotherapy of the breast in typical doses. For evaluation of survival we used
the Kaplan-Meier test and for evaluation of cumulated loco-regional recurrence we
have applied the method of competing risks. RESULTS: At present 101 patients are
still alive, 85 have no relapse. 15 patients had local recurrence and 8 had
another cancer. Five patients died during follow-up period. Overall 15-years
survival in analyzed group was 88% and disease free survival was 74%. Of all
prognostic factors only the value of Van Nuys index was relevant. Patients who
had the index value less than 7 had significantly worse prognosis than patients
with value 7 or more (p=0,043). CONCLUSIONS: At present 101 patients are still
alive, 85 have no relapse. 15 patients had local recurrence and 8 had another
cancer. Five patients died during follow-up period. Overall 15-years survival in
analyzed group was 88% and disease free survival was 74%. Of all prognostic
factors only the value of Van Nuys index was relevant. Patients who had the index
value less than 7 had significantly worse prognosis than patients with value 7 or
more (p=0,043).
PMID- 29813041
TI - [Adrenal gangliuoneuroma - features of 10 cases in own material].
AB - : Adrenal ganglioneuroma is a rare benign neuroblastic tumor. Most of adrenal
ganglioneuromas are asymptomatic and discovered incidentally during imaging
examinations performed for many different indications. Proper preoperative
diagnosis is challenging and most of this masses are described as being poor
lipid adenomas or pheochromocytomas. AIM: The aim of the study was to present
experience of referral center with this rare adrenal pathologies. MATERIALS AND
METHODS: Analysis of our data - 374 adrenalectomies performed over last 13 years
(2004-2016) in patients referred to our department from different
endocrinological centers. Date of all patients were reviewed retrospectively in
order to focus on adrenal ganlioneuroma. RESULTS: Among this patients
histopathological report confirmed 10 adrenal ganglioneuromas (2,67% of cases,
median age 51 years, range 39-74), diagnosed in 4 men and 6 women. Preoperative
CT tumors were described as homogenous masses with mean attenuation on unenhanced
images 28 (19-39 HU). In 5 patients (50%), progressive enhancement on delayed
phase postcontrast imaging was observed. 7 right and 3 left adrenalectomies was
performed (laparoscopic approach in 50% of cases) Mean size of the resected
tumors in histopatogical report was 47mm ( 5-85 ). CONCLUSIONS: Proper
preoperative diagnosis of adrenal ganglioneuroma is challenging. In our series
all diagnosis was made by histopathological examination. Surgery is indicated
because it's difficult to distinguish adrenal gangioneuroma from other adrenal
malignances. Radical excision is a definitive cure and may be done safely by
laparoscopy.
PMID- 29813042
TI - Proteflazid(r): treatment of herpesvirus and mixed infections. Meta-analysis of
clinical trials results.
AB - : There is a meta-analysis of clinical trials results concerning the evaluation
of efficiency of the drug Proteflazid(r) (drops) in the treatment of adult
patients with herpesvirus and mixed infections. AIM: Objective of the study: to
evaluate the clinical efficacy of the drug Proteflazid(r) in a treatment of
herpesvirus (HSV-1, HSV-2) and mixed infections in adults by meta-analysis of
clinical trials. MATERIALS AND METHODS: In meta-analysis, 16 scientific sources
with results of controlled studies with participation of 1336 patients over 18
y.o. during 2003-2015 are included. RESULTS: Obtained data are indicative of
efficiency of the drug Proteflazid(r) in the treatment of patients with
herpesvirus and mixed infections. Proteflazid(r) induces improvement in the main
clinical signs of diseases, promotes elimination of herpesviruses from organism
and prevention of relapses. CONCLUSIONS: Proteflazid(r) in the therapy of various
clinical forms of HHVs infection promotes the improvement in the main clinical
signs of diseases, elimination of HSV-1 and HSV-2; prevention of relapses of
diseases (ophthalmoherpes, genital herpes) after completion of the treatment.
Therapy of mixed infections (HSV, bacteria, protozoa, fungi) using the drug
Proteflazid(r) promotes improvement in the main clinical signs of diseases,
improvement in vaginal mircoflora condition and improvement in local changes in
the cervix in women with inflammatory diseases of genital organs as well as in
prevention of relapses of genital inflammatory diseases and chronic
pyelonephritis.
PMID- 29813043
TI - [Von Hippel-Lindau syndrome - a case report].
AB - Von Hippel-Lindau disease (vHL, familial cerebello-retinal angiomatosis) is a
rare genetic autosomal dominant disorder associated with predisposition to
vascular tumors. Mutations of VHL tumor suppressor gene, located on chromosome
3p25-26, are responsible for clinical manifestation of the disease. The VHL gene
product encodes VHL protein, which is responsible for HIF-1 (hypoxia-inducible
factor-1) dependent cell cycle regulation and cellular pathways mediated by VEGF,
PDGF, TGF-alpha, EPO. The mechanism substantiates the hypoxia dependent vascular
tumor growth caused by loss of wild-type VHL protein. The clinical spectrum of
vHL syndrome includes multiple tumors of various localization and low histologic
grade, often bilateral. The most typical for the syndrome are: hemangioblastoma
of central nervous system (typically posterior fossa or medulla), retinal
hemangioblastoma, renal cell carcinoma and pheochromocytoma. The aim of the case
report is to remind the typical clinical manifestation of von Hippel- Lindau
syndrome, update the diagnostic criteria, recommended diagnostic and follow up
methods.
PMID- 29813044
TI - [Trastuzumab and its biosimilars].
AB - Trastuzumab is a monoclonal antibody used as a standard treatment for breast and
metastatic gastric cancer when the cancer cells overexpress HER2, a membrane
bound receptor activated by EGF family of ligands. Due to the high cost of the
therapy and no refund of the drug in many countries, there is still a large group
of patients who do not have the opportunity to receive trastuzumab. A biosimilar
is a medical product highly similar to another already approved biological
medicine. Biosimilars are approved according to the same standards of
pharmaceutical quality, safety and efficacy that apply to all biological
medicines. Clinically effective biosimilars may expand patient access to
trastuzumab therapy. In the coming months, European Medicines Agency (EMA)
continues to increase the number of biosimilar approvals for trastuzumab, helping
to promote competition that can lower therapy costs.
PMID- 29813045
TI - [Inadequacy of the paradigms of special education to subjects with severe and
profound grade intellectual disability - the need for pragmatic realism in
psychiatry and special pedagogy].
AB - People with severe and profound grade disabilities are the subject of interest in
psychiatry, clinical psychology and special pedagogy. Unfortunately, the
paradigmatic approach to special education based on postmodern philosophy is in
contrast to the biomedical approach that is based on the positivist and Cartesian
models. The paper is an attempt to systematize the similarities and differences
between the humanistic approach and the modern biomedical model, which, despite
the apparent differences, do not differ so much from each other. Work with a
person disabled intellectually in the severe or profound degree is governed by
the principles of methodical realism, taking into account to an equal extent the
principal deficits, the concomitant diseases, limitations and the social context.
The deeper the impairment and disability is, the smaller is the role of the
humanistic paradigm in work with the client in the medical management and
educational process. Changing the paradigms of special pedagogy has drawn
attention to the social context of disability, separating, however, special
pedagogy from modern medicine and psychology, cognitive-oriented and psychometry
based. The postmodern paradigm has become an ideology, which makes it difficult
to work with deeply disabled people. Only the multidirectional approach including
a variety of paradigms makes it possible to provide integrational aid to people
with severe and profound grade intellectual disabilities. Working with such a
disabled person should take into account equally the biomedical and humanistic
aspects.
PMID- 29813046
TI - MicroRNA Microarray-Based Identification of Involvement of miR-155 and miR-19a in
Development of Oral Lichen Planus (OLP) by Modulating Th1/Th2 Balance via
Targeting eNOS and Toll-Like Receptor 2 (TLR2).
AB - BACKGROUND A wide range of microRNAs (miRNAs) have been shown to play a
significant role in disease regulation. The objective of this study was to
explore the role of miR-155 and miR-19a in the regulation of oral lichen planus
(OLP). MATERIAL AND METHODS Microarray assay, real-time PCR, Western blot assay,
computational analysis, luciferase assay, ELISA, and immunohistochemistry
analysis were carried out to investigate the role of miR-155 and miR-19a in OLP.
RESULTS According to microarray assay and real-time PCR results, the expression
of miR-155 was most significantly decreased among the 16 candidate miRNAs in the
OLP group, whereas the expression of miR-19a was most significantly increased.
MiR-155 and miR-19a directly targeted endothelial nitric oxide synthase (eNOS)
and TLR2, respectively, since only the cells co-transfected with miR-155/wild
type eNOS 3'UTR or cells co-transfected with miR-19a/wild-type TLR2 3'UTR
exhibited decreased luciferase activity. In addition, the expression of TLR2 was
highly upregulated in OLP, whereas the expression of eNOS was significantly
downregulated. A negative correlation was found between miR-19a and TLR2 mRNA,
with a coefficient value of -0.40. Similarly, a negative correlation was found
between miR-155 and eNOS mRNA, with a coefficient value of -0.54. A lower level
of NO, IL-4, IL-5, and IL-10 was observed in OLP, which was also accompanied by a
higher level of TNF-alpha and IFN-gamma. Finally, the upregulation in miR-155
directly decreased the expression of eNOS and further inhibited the production of
NO. Downregulation of miR-19a directly increased the expression of TLR2. The
inhibition of NO production and the enhancement in TLR2 expression
synergistically increased the production of TNF-alpha and IFN-gamma, while
decreasing the levels of IL-4, IL-5, and IL-10. CONCLUSIONS In this study, the
peripheral blood mononuclear cells (PBMCs) from subjects with or without OLP were
collected and their gene expression profiles were compared. It was found that OLP
changed the expression profile of miR-155 and miR-19a, which in turn directly
affected the production of eNOS and TLR2, respectively. In addition, by
synergistically inducing an imbalance between Th1 and Th2, the simultaneous
deregulation of miR-155/eNOS and miR-19a/TLR2 was responsible for an elevated
risk of OLP.
PMID- 29813047
TI - Improving cytidine and adenine base editors by expression optimization and
ancestral reconstruction.
AB - Base editors enable targeted single-nucleotide conversions in genomic DNA. Here
we show that expression levels are a bottleneck in base-editing efficiency. We
optimize cytidine (BE4) and adenine (ABE7.10) base editors by modification of
nuclear localization signals (NLS) and codon usage, and ancestral reconstruction
of the deaminase component. The resulting BE4max, AncBE4max, and ABEmax editors
correct pathogenic SNPs with substantially increased efficiency in a variety of
mammalian cell types.
PMID- 29813049
TI - Detecting change in stochastic sound sequences.
AB - Our ability to parse our acoustic environment relies on the brain's capacity to
extract statistical regularities from surrounding sounds. Previous work in
regularity extraction has predominantly focused on the brain's sensitivity to
predictable patterns in sound sequences. However, natural sound environments are
rarely completely predictable, often containing some level of randomness, yet the
brain is able to effectively interpret its surroundings by extracting useful
information from stochastic sounds. It has been previously shown that the brain
is sensitive to the marginal lower-order statistics of sound sequences (i.e.,
mean and variance). In this work, we investigate the brain's sensitivity to
higher-order statistics describing temporal dependencies between sound events
through a series of change detection experiments, where listeners are asked to
detect changes in randomness in the pitch of tone sequences. Behavioral data
indicate listeners collect statistical estimates to process incoming sounds, and
a perceptual model based on Bayesian inference shows a capacity in the brain to
track higher-order statistics. Further analysis of individual subjects' behavior
indicates an important role of perceptual constraints in listeners' ability to
track these sensory statistics with high fidelity. In addition, the inference
model facilitates analysis of neural electroencephalography (EEG) responses,
anchoring the analysis relative to the statistics of each stochastic stimulus.
This reveals both a deviance response and a change-related disruption in phase of
the stimulus-locked response that follow the higher-order statistics. These
results shed light on the brain's ability to process stochastic sound sequences.
PMID- 29813050
TI - A novel unsupervised analysis of electrophysiological signals reveals new sleep
substages in mice.
AB - Sleep science is entering a new era, thanks to new data-driven analysis
approaches that, combined with mouse gene-editing technologies, show a promise in
functional genomics and translational research. However, the investigation of
sleep is time consuming and not suitable for large-scale phenotypic datasets,
mainly due to the need for subjective manual annotations of electrophysiological
states. Moreover, the heterogeneous nature of sleep, with all its physiological
aspects, is not fully accounted for by the current system of sleep stage
classification. In this study, we present a new data-driven analysis approach
offering a plethora of novel features for the characterization of sleep. This
novel approach allowed for identifying several substages of sleep that were
hidden to standard analysis. For each of these substages, we report an
independent set of homeostatic responses following sleep deprivation. By using
our new substages classification, we have identified novel differences among
various genetic backgrounds. Moreover, in a specific experiment with the Zfhx3
mouse line, a recent circadian mutant expressing both shortening of the circadian
period and abnormal sleep architecture, we identified specific sleep states that
account for genotypic differences at specific times of the day. These results add
a further level of interaction between circadian clock and sleep homeostasis and
indicate that dissecting sleep in multiple states is physiologically relevant and
can lead to the discovery of new links between sleep phenotypes and genetic
determinants. Therefore, our approach has the potential to significantly enhance
the understanding of sleep physiology through the study of single mutations.
Moreover, this study paves the way to systematic high-throughput analyses of
sleep.
PMID- 29813048
TI - Coupled feedback loops maintain synaptic long-term potentiation: A computational
model of PKMzeta synthesis and AMPA receptor trafficking.
AB - In long-term potentiation (LTP), one of the most studied types of neural
plasticity, synaptic strength is persistently increased in response to
stimulation. Although a number of different proteins have been implicated in the
sub-cellular molecular processes underlying induction and maintenance of LTP, the
precise mechanisms remain unknown. A particular challenge is to demonstrate that
a proposed molecular mechanism can provide the level of stability needed to
maintain memories for months or longer, in spite of the fact that many of the
participating molecules have much shorter life spans. Here we present a
computational model that combines simulations of several biochemical reactions
that have been suggested in the LTP literature and show that the resulting system
does exhibit the required stability. At the core of the model are two interlinked
feedback loops of molecular reactions, one involving the atypical protein kinase
PKMzeta and its messenger RNA, the other involving PKMzeta and GluA2-containing
AMPA receptors. We demonstrate that robust bistability-stable equilibria both in
the synapse's potentiated and unpotentiated states-can arise from a set of simple
molecular reactions. The model is able to account for a wide range of empirical
results, including induction and maintenance of late-phase LTP, cellular memory
reconsolidation and the effects of different pharmaceutical interventions.
PMID- 29813051
TI - Structural organization and energy storage in crosslinked actin assemblies.
AB - During clathrin-mediated endocytosis in yeast cells, short actin filaments (<
200nm) and crosslinking protein fimbrin assemble to drive the internalization of
the plasma membrane. However, the organization of the actin meshwork during
endocytosis remains largely unknown. In addition, only a small fraction of the
force necessary to elongate and pinch off vesicles can be accounted for by actin
polymerization alone. In this paper, we used mathematical modeling to study the
self-organization of rigid actin filaments in the presence of elastic
crosslinkers in conditions relevant to endocytosis. We found that actin filaments
condense into either a disordered meshwork or an ordered bundle depending on
filament length and the mechanical and kinetic properties of the crosslinkers.
Our simulations also demonstrated that these nanometer-scale actin structures can
store a large amount of elastic energy within the crosslinkers (up to 10kBT per
crosslinker). This conversion of binding energy into elastic energy is the
consequence of geometric constraints created by the helical pitch of the actin
filaments, which results in frustrated configurations of crosslinkers attached to
filaments. We propose that this stored elastic energy can be used at a later time
in the endocytic process. As a proof of principle, we presented a simple
mechanism for sustained torque production by ordered detachment of crosslinkers
from a pair of parallel filaments.
PMID- 29813052
TI - Can a time varying external drive give rise to apparent criticality in neural
systems?
AB - The finding of power law scaling in neural recordings lends support to the
hypothesis of critical brain dynamics. However, power laws are not unique to
critical systems and can arise from alternative mechanisms. Here, we investigate
whether a common time-varying external drive to a set of Poisson units can give
rise to neuronal avalanches and exhibit apparent criticality. To this end, we
analytically derive the avalanche size and duration distributions, as well as
additional measures, first for homogeneous Poisson activity, and then for slowly
varying inhomogeneous Poisson activity. We show that homogeneous Poisson activity
cannot give rise to power law distributions. Inhomogeneous activity can also not
generate perfect power laws, but it can exhibit approximate power laws with
cutoffs that are comparable to those typically observed in experiments. The
mechanism of generating apparent criticality by time-varying external fields,
forces or input may generalize to many other systems like dynamics of swarms,
diseases or extinction cascades. Here, we illustrate the analytically derived
effects for spike recordings in vivo and discuss approaches to distinguish true
from apparent criticality. Ultimately, this requires causal interventions, which
allow separating internal system properties from externally imposed ones.
PMID- 29813053
TI - Specific detection of fission yeast primary septum reveals septum and cleavage
furrow ingression during early anaphase independent of mitosis completion.
AB - It is widely accepted in eukaryotes that the cleavage furrow only initiates after
mitosis completion. In fission yeast, cytokinesis requires the synthesis of a
septum tightly coupled to cleavage furrow ingression. The current cytokinesis
model establishes that simultaneous septation and furrow ingression only initiate
after spindle breakage and mitosis exit. Thus, this model considers that although
Cdk1 is inactivated at early-anaphase, septation onset requires the long elapsed
time until mitosis completion and full activation of the Hippo-like SIN pathway.
Here, we studied the precise timing of septation onset regarding mitosis by
exploiting both the septum-specific detection with the fluorochrome calcofluor
and the high-resolution electron microscopy during anaphase and telophase.
Contrarily to the existing model, we found that both septum and cleavage furrow
start to ingress at early anaphase B, long before spindle breakage, with a slow
ingression rate during anaphase B, and greatly increasing after telophase onset.
This shows that mitosis and cleavage furrow ingression are not concatenated but
simultaneous events in fission yeast. We found that the timing of septation
during early anaphase correlates with the cell size and is regulated by the
corresponding levels of SIN Etd1 and Rho1. Cdk1 inactivation was directly
required for timely septation in early anaphase. Strikingly the reduced SIN
activity present after Cdk1 loss was enough to trigger septation by immediately
inducing the medial recruitment of the SIN kinase complex Sid2-Mob1. On the other
hand, septation onset did not depend on the SIN asymmetry establishment, which is
considered a hallmark for SIN activation. These results recalibrate the timing of
key cytokinetic events in fission yeast; and unveil a size-dependent control
mechanism that synchronizes simultaneous nuclei separation with septum and
cleavage furrow ingression to safeguard the proper chromosome segregation during
cell division.
PMID- 29813055
TI - Parameter uncertainty quantification using surrogate models applied to a spatial
model of yeast mating polarization.
AB - A common challenge in systems biology is quantifying the effects of unknown
parameters and estimating parameter values from data. For many systems, this task
is computationally intractable due to expensive model evaluations and large
numbers of parameters. In this work, we investigate a new method for performing
sensitivity analysis and parameter estimation of complex biological models using
techniques from uncertainty quantification. The primary advance is a significant
improvement in computational efficiency from the replacement of model simulation
by evaluation of a polynomial surrogate model. We demonstrate the method on two
models of mating in budding yeast: a smaller ODE model of the heterotrimeric G
protein cycle, and a larger spatial model of pheromone-induced cell polarization.
A small number of model simulations are used to fit the polynomial surrogates,
which are then used to calculate global parameter sensitivities. The surrogate
models also allow rapid Bayesian inference of the parameters via Markov chain
Monte Carlo (MCMC) by eliminating model simulations at each step. Application to
the ODE model shows results consistent with published single-point estimates for
the model and data, with the added benefit of calculating the correlations
between pairs of parameters. On the larger PDE model, the surrogate models
allowed convergence for the distribution of 15 parameters, which otherwise would
have been computationally prohibitive using simulations at each MCMC step. We
inferred parameter distributions that in certain cases peaked at values different
from published values, and showed that a wide range of parameters would permit
polarization in the model. Strikingly our results suggested different diffusion
constants for active versus inactive Cdc42 to achieve good polarization, which is
consistent with experimental observations in another yeast species S. pombe.
PMID- 29813054
TI - How epigenome drives chromatin folding and dynamics, insights from efficient
coarse-grained models of chromosomes.
AB - The 3D organization of chromosomes is crucial for regulating gene expression and
cell function. Many experimental and polymer modeling efforts are dedicated to
deciphering the mechanistic principles behind chromosome folding. Chromosomes are
long and densely packed-topologically constrained-polymers. The main challenges
are therefore to develop adequate models and simulation methods to investigate
properly the multi spatio-temporal scales of such macromolecules. Here, we
proposed a generic strategy to develop efficient coarse-grained models for self
avoiding polymers on a lattice. Accounting accurately for the polymer
entanglement length and the volumic density, we show that our simulation scheme
not only captures the steady-state structural and dynamical properties of the
system but also tracks the same dynamics at different coarse-graining. This
strategy allows a strong power-law gain in numerical efficiency and offers a
systematic way to define reliable coarse-grained null models for chromosomes and
to go beyond the current limitations by studying long chromosomes during an
extended time period with good statistics. We use our formalism to investigate in
details the time evolution of the 3D organization of chromosome 3R (20 Mbp) in
drosophila during one cell cycle (20 hours). We show that a combination of our
coarse-graining strategy with a one-parameter block copolymer model integrating
epigenomic-driven interactions quantitatively reproduce experimental data at the
chromosome-scale and predict that chromatin motion is very dynamic during the
cell cycle.
PMID- 29813056
TI - Future cost-effectiveness and equity of the NHS Health Check cardiovascular
disease prevention programme: Microsimulation modelling using data from
Liverpool, UK.
AB - BACKGROUND: Aiming to contribute to prevention of cardiovascular disease (CVD),
the National Health Service (NHS) Health Check programme has been implemented
across England since 2009. The programme involves cardiovascular risk
stratification-at 5-year intervals-of all adults between the ages of 40 and 74
years, excluding any with preexisting vascular conditions (including CVD,
diabetes mellitus, and hypertension, among others), and offers treatment to those
at high risk. However, the cost-effectiveness and equity of population CVD
screening is contested. This study aimed to determine whether the NHS Health
Check programme is cost-effective and equitable in a city with high levels of
deprivation and CVD. METHODS AND FINDINGS: IMPACTNCD is a dynamic stochastic
microsimulation policy model, calibrated to Liverpool demographics, risk factor
exposure, and CVD epidemiology. Using local and national data, as well as drawing
on health and social care disease costs and health-state utilities, we modelled 5
scenarios from 2017 to 2040: Scenario (A): continuing current implementation of
NHS Health Check;Scenario (B): implementation 'targeted' toward areas in the most
deprived quintile with increased coverage and uptake;Scenario (C): 'optimal'
implementation assuming optimal coverage, uptake, treatment, and lifestyle
change;Scenario (D): scenario A combined with structural population-wide
interventions targeting unhealthy diet and smoking;Scenario (E): scenario B
combined with the structural interventions as above. We compared all scenarios
with a counterfactual of no-NHS Health Check. Compared with no-NHS Health Check,
the model estimated cumulative incremental cost-effectiveness ratio (ICER)
(discounted L/quality-adjusted life year [QALY]) to be 11,000 (95% uncertainty
interval [UI] -270,000 to 320,000) for scenario A, 1,500 (-91,000 to 100,000) for
scenario B, -2,400 (-6,500 to 5,700) for scenario C, -5,100 (-7,400 to -3,200)
for scenario D, and -5,000 (-7,400 to -3,100) for scenario E. Overall, scenario A
is unlikely to become cost-effective or equitable, and scenario B is likely to
become cost-effective by 2040 and equitable by 2039. Scenario C is likely to
become cost-effective by 2030 and cost-saving by 2040. Scenarios D and E are
likely to be cost-saving by 2021 and 2023, respectively, and equitable by 2025.
The main limitation of the analysis is that we explicitly modelled CVD and
diabetes mellitus only. CONCLUSIONS: According to our analysis of the situation
in Liverpool, current NHS Health Check implementation appears neither equitable
nor cost-effective. Optimal implementation is likely to be cost-saving but not
equitable, while targeted implementation is likely to be both. Adding structural
policies targeting cardiovascular risk factors could substantially improve equity
and generate cost savings.
PMID- 29813057
TI - Role of maternal health and infant inflammation in nutritional and
neurodevelopmental outcomes of two-year-old Bangladeshi children.
AB - BACKGROUND: Previous studies have shown maternal, inflammatory, and socioeconomic
variables to be associated with growth and neurodevelopment in children from low
income countries. However, these outcomes are multifactorial and work describing
which predictors most strongly influence them is lacking. METHODOLOGY/PRINCIPAL
FINDINGS: We conducted a longitudinal study of Bangladeshi children from birth to
two years to assess oral vaccine efficacy. Variables pertaining to maternal and
perinatal health, socioeconomic status, early childhood enteric and systemic
inflammation, and anthropometry were collected. Bayley-III neurodevelopmental
assessment was conducted at two years. As a secondary analysis, we employed
hierarchical cluster and random forests techniques to identify and rank which
variables predicted growth and neurodevelopment. Cluster analysis demonstrated
three distinct groups of predictors. Mother's weight and length-for-age Z score
(LAZ) at enrollment were the strongest predictors of LAZ at two years. Cognitive
score on Bayley-III was strongly predicted by weight-for-age (WAZ) at enrollment,
income, and LAZ at enrollment. Top predictors of language included Rotavirus
vaccination, plasma IL 5, sCD14, TNFalpha, mother's weight, and male gender.
Motor function was best predicted by fecal calprotectin, WAZ at enrollment, fecal
neopterin, and plasma CRP index. The strongest predictors for social-emotional
score included plasma sCD14, income, WAZ at enrollment, and LAZ at enrollment.
Based on the random forests' predictions, the estimated percentage of variation
explained was 35.4% for LAZ at two years, 34.3% for DeltaLAZ, 42.7% for cognitive
score, 28.1% for language, 40.8% for motor, and 37.9% for social-emotional score.
CONCLUSIONS/SIGNIFICANCE: Birth anthropometry and maternal weight were strong
predictors of growth while enteric and systemic inflammation had stronger
associations with neurodevelopment. Birth anthropometry was a powerful predictor
for all outcomes. These data suggest that further study of stunting in low-income
settings should include variables relating to maternal and prenatal health, while
investigations focusing on neurodevelopmental outcomes should additionally target
causes of systemic and enteric inflammation.
PMID- 29813058
TI - Chromosome architecture constrains horizontal gene transfer in bacteria.
AB - Despite significant frequencies of lateral gene transfer between species, higher
taxonomic groups of bacteria show ecological and phenotypic cohesion. This
suggests that barriers prevent panmictic dissemination of genes via lateral gene
transfer. We have proposed that most bacterial genomes have a functional
architecture imposed by Architecture IMparting Sequences (AIMS). AIMS are defined
as 8 base pair sequences preferentially abundant on leading strands, whose
abundance and strand-bias are positively correlated with proximity to the
replication terminus. We determined that inversions whose endpoints lie within a
single chromosome arm, which would reverse the polarity of AIMS in the inverted
region, are both shorter and less frequent near the replication terminus. This
distribution is consistent with the increased selection on AIMS function in this
region, thus constraining DNA rearrangement. To test the hypothesis that AIMS
also constrain DNA transfer between genomes, AIMS were identified in genomes
while ignoring atypical, potentially laterally-transferred genes. The strand-bias
of AIMS within recently acquired genes was negatively correlated with the
distance of those genes from their genome's replication terminus. This suggests
that selection for AIMS function prevents the acquisition of genes whose AIMS are
not found predominantly in the permissive orientation. This constraint has led to
the loss of at least 18% of genes acquired by transfer in the terminus-proximal
region. We used completely sequenced genomes to produce a predictive road map of
paths of expected horizontal gene transfer between species based on AIMS
compatibility between donor and recipient genomes. These results support a model
whereby organisms retain introgressed genes only if the benefits conferred by
their encoded functions outweigh the detriments incurred by the presence of
foreign DNA lacking genome-wide architectural information.
PMID- 29813059
TI - Differential strengths of molecular determinants guide environment specific
mutational fates.
AB - Organisms maintain competitive fitness in the face of environmental challenges
through molecular evolution. However, it remains largely unknown how different
biophysical factors constrain molecular evolution in a given environment. Here,
using deep mutational scanning, we quantified empirical fitness of >2000 single
site mutants of the Gentamicin-resistant gene (GmR) in Escherichia coli, in a
representative set of physical (non-native temperatures) and chemical (small
molecule supplements) environments. From this, we could infer how different
biophysical parameters of the mutations constrain molecular function in different
environments. We find ligand binding, and protein stability to be the best
predictors of mutants' fitness, but their relative predictive power differs
across environments. While protein folding emerges as the strongest predictor at
minimal antibiotic concentration, ligand binding becomes a stronger predictor of
mutant fitness at higher concentration. Remarkably, strengths of environment
specific selection pressures were largely predictable from the degree of
mutational perturbation of protein folding and ligand binding. By identifying
structural constraints that act as determinants of fitness, our study thus
provides coarse mechanistic insights into the environment specific accessibility
of mutational fates.
PMID- 29813060
TI - Quantitative theory of deep brain stimulation of the subthalamic nucleus for the
suppression of pathological rhythms in Parkinson's disease.
AB - Deep brain stimulation (DBS) of the subthalamic nucleus (STN) is modeled to
explore the mechanisms of this effective, but poorly understood, treatment for
motor symptoms of drug-refractory Parkinson's disease and dystonia. First, a
neural field model of the corticothalamic-basal ganglia (CTBG) system is
developed that reproduces key clinical features of Parkinson's disease, including
its characteristic 4-8 Hz and 13-30 Hz electrophysiological signatures. Deep
brain stimulation of the STN is then modeled and shown to suppress the
pathological 13-30 Hz (beta) activity for physiologically realistic and optimized
stimulus parameters. This supports the idea that suppression of abnormally
coherent activity in the CTBG system is a major factor in DBS therapy for
Parkinson's disease, by permitting normal dynamics to resume. At high stimulus
intensities, nonlinear effects in the target population mediate wave-wave
interactions between resonant beta activity and the stimulus pulse train, leading
to complex spectral structure that shows remarkable similarity to that seen in
steady-state evoked potential experiments.
PMID- 29813063
TI - Correction: Experimental evolution of diverse Escherichia coli metabolic mutants
identifies genetic loci for convergent adaptation of growth rate.
AB - [This corrects the article DOI: 10.1371/journal.pgen.1007284.].
PMID- 29813061
TI - Viral immunogenicity determines epidemiological fitness in a cohort of DENV-1
infection in Brazil.
AB - The dynamics of dengue virus (DENV) circulation depends on serotype, genotype and
lineage replacement and turnover. In Sao Jose do Rio Preto, Brazil, we observed
that the L6 lineage of DENV-1 (genotype V) remained the dominant circulating
lineage even after the introduction of the L1 lineage. We investigated viral
fitness and immunogenicity of the L1 and L6 lineages and which factors interfered
with the dynamics of DENV epidemics. The results showed a more efficient
replicative fitness of L1 over L6 in mosquitoes and in human and non-human
primate cell lines. Infections by the L6 lineage were associated with reduced
antigenicity, weak B and T cell stimulation and weak host immune system
interactions, which were associated with higher viremia. Our data, therefore,
demonstrate that reduced viral immunogenicity and consequent greater viremia
determined the increased epidemiological fitness of DENV-1 L6 lineage in Sao Jose
do Rio Preto.
PMID- 29813064
TI - Simulations to benchmark time-varying connectivity methods for fMRI.
AB - There is a current interest in quantifying time-varying connectivity (TVC) based
on neuroimaging data such as fMRI. Many methods have been proposed, and are being
applied, revealing new insight into the brain's dynamics. However, given that the
ground truth for TVC in the brain is unknown, many concerns remain regarding the
accuracy of proposed estimates. Since there exist many TVC methods it is
difficult to assess differences in time-varying connectivity between studies. In
this paper, we present tvc_benchmarker, which is a Python package containing four
simulations to test TVC methods. Here, we evaluate five different methods that
together represent a wide spectrum of current approaches to estimating TVC
(sliding window, tapered sliding window, multiplication of temporal derivatives,
spatial distance and jackknife correlation). These simulations were designed to
test each method's ability to track changes in covariance over time, which is a
key property in TVC analysis. We found that all tested methods correlated
positively with each other, but there were large differences in the strength of
the correlations between methods. To facilitate comparisons with future TVC
methods, we propose that the described simulations can act as benchmark tests for
evaluation of methods. Using tvc_benchmarker researchers can easily add, compare
and submit their own TVC methods to evaluate its performance.
PMID- 29813065
TI - Development of a single-tube one-step RT-LAMP assay to detect the Chikungunya
virus genome.
AB - BACKGROUND: A single-tube one-step real-time reverse transcription loop-mediated
isothermal amplification (RT-LAMP) assay for rapid detection of chikungunya virus
(CHIKV) targeting the conserved 6K-E1 target region was developed. The assay was
validated with sera collected from a CHIKV outbreak in Senegal in 2015.
METHODOLOGY/PRINCIPAL FINDINGS: A novel design approach by combining Principal
Component Analysis and phylogenetic analysis of 110 available CHIKV sequences and
the LAMP oligonucleotide design software LAVA was used. The assay was evaluated
with an External Quality Assessment panel from the European Network for
Diagnostics of "Imported" Viral Diseases and was shown to be sensitive and
specific and did not cross-detect other arboviruses. The limit of detection as
determined by probit analysis, was 163 molecules, and 100% reproducibility in the
assays was obtained for 103 molecules (7/8 repetitions were positive for 102
molecules). The assay was validated using 35 RNA samples extracted from sera, and
results were compared with those obtained by quantitative RT-PCR carried out at
the Institut Pasteur Dakar, demonstrating that the RT-LAMP is 100% sensitive and
80% specific, with a positive predictive value of 97% and negative predictive
value of 100%. CONCLUSIONS/SIGNIFICANCE: The RT-LAMP appeared to show superior
performance with material stored for months compared to qRT-PCR and can be
therefore recommended for use in infrastructures with poor settings.
PMID- 29813062
TI - Development and validation of four one-step real-time RT-LAMP assays for specific
detection of each dengue virus serotype.
AB - BACKGROUND: 4 one-step, real-time, reverse transcription loop-mediated isothermal
amplification (RT-LAMP) assays were developed for the detection of dengue virus
(DENV) serotypes by considering 2,056 full genome DENV sequences. DENV1 and DENV2
RT-LAMP assays were validated with 31 blood and 11 serum samples from Tanzania,
Senegal, Sudan and Mauritania. DENV3 and DENV4 RT-LAMP assays were validated with
25 serum samples from Cambodia. METHODOLOGY/PRINCIPAL FINDINGS: 4 final reaction
primer mixes were obtained by using a combination of Principal Component Analysis
of the full DENV genome sequences, and LAMP primer design based on sequence
alignments using the LAVA software. These mixes contained 14 (DENV1), 12 (DENV2),
8 (DENV3) and 3 (DENV4) LAMP primer sets. The assays were evaluated with an
External Quality Assessment panel from Quality Control for Molecular Diagnostics.
The assays were serotype-specific and did not cross-detect with other
flaviviruses. The limits of detection, with 95% probability, were 22 (DENV1), 542
(DENV2), 197 (DENV3) and 641 (DENV4) RNA molecules, and 100% reproducibility in
the assays was obtained with up to 102 (DENV1) and 103 RNA molecules (DENV2,
DENV3 and DENV4). Validation of the DENV2 assay with blood samples from Tanzania
resulted in 23 samples detected by RT-LAMP, demonstrating that the assay is 100%
specific and 95.8% sensitive (positive predictive value of 100% and a negative
predictive value of 85.7%). All serum samples from Senegal, Sudan and Mauritania
were detected and 3 untyped as DENV1. The sensitivity of RT-LAMP for DENV4
samples from Cambodia did not quite match qRT-PCR. CONCLUSIONS/SIGNIFICANCE: We
have shown a novel approach to design LAMP primers that makes use of fast growing
sequence databases. The DENV1 and DENV2 assays were validated with viral RNA
extracted clinical samples, showing very good performance parameters.
PMID- 29813066
TI - Auxin production in diploid microsporocytes is necessary and sufficient for early
stages of pollen development.
AB - Gametophytic development in Arabidopsis depends on nutrients and cell wall
materials from sporophytic cells. However, it is not clear whether hormones and
signaling molecules from sporophytic tissues are also required for gametophytic
development. Herein, we show that auxin produced by the flavin monooxygenases
YUC2 and YUC6 in the sporophytic microsporocytes is essential for early stages of
pollen development. The first asymmetric mitotic division (PMI) of haploid
microspores is the earliest event in male gametophyte development. Microspore
development in yuc2yuc6 double mutants arrests before PMI and consequently
yuc2yuc6 fail to produce viable pollens. Our genetic analyses reveal that YUC2
and YUC6 act as sporophytic genes for pollen formation. We further show that
ectopic production of auxin in tapetum, which provides nutrients for pollen
development, fails to rescue the sterile phenotypes of yuc2yuc6. In contrast,
production of auxin in either microsporocytes or microspores rescued the defects
of pollen development in yuc2yuc6 double mutants. Our results demonstrate that
local auxin biosynthesis in sporophytic microsporocytic cells and microspore
controls male gametophyte development during the generation transition from
sporophyte to male gametophyte.
PMID- 29813067
TI - Spontaneous gain of susceptibility suggests a novel mechanism of resistance to
hybrid dysgenesis in Drosophila virilis.
AB - Syndromes of hybrid dysgenesis (HD) have been critical for our understanding of
the transgenerational maintenance of genome stability by piRNA. HD in D. virilis
represents a special case of HD since it includes simultaneous mobilization of a
set of TEs that belong to different classes. The standard explanation for HD is
that eggs of the responder strains lack an abundant pool of piRNAs corresponding
to the asymmetric TE families transmitted solely by sperm. However, there are
several strains of D. virilis that lack asymmetric TEs, but exhibit a "neutral"
cytotype that confers resistance to HD. To characterize the mechanism of
resistance to HD, we performed a comparative analysis of the landscape of ovarian
small RNAs in strains that vary in their resistance to HD mediated sterility. We
demonstrate that resistance to HD cannot be solely explained by a maternal piRNA
pool that matches the assemblage of TEs that likely cause HD. In support of this,
we have witnessed a cytotype shift from neutral (N) to susceptible (M) in a
strain devoid of all major TEs implicated in HD. This shift occurred in the
absence of significant change in TE copy number and expression of piRNAs
homologous to asymmetric TEs. Instead, this shift is associated with a change in
the chromatin profile of repeat sequences unlikely to be causative of paternal
induction. Overall, our data suggest that resistance to TE-mediated sterility
during HD may be achieved by mechanisms that are distinct from the canonical
syndromes of HD.
PMID- 29813068
TI - MicroRNA and cellular targets profiling reveal miR-217 and miR-576-3p as proviral
factors during Oropouche infection.
AB - Oropouche Virus is the etiological agent of an arbovirus febrile disease that
affects thousands of people and is widespread throughout Central and South
American countries. Although isolated in 1950's, still there is scarce
information regarding the virus biology and its prevalence is likely
underestimated. In order to identify and elucidate interactions with host cells
factors and increase the understanding about the Oropouche Virus biology, we
performed microRNA (miRNA) and target genes screening in human hepatocarcinoma
cell line HuH-7. Cellular miRNAs are short non-coding RNAs that regulates gene
expression post-transcriptionally and play key roles in several steps of viral
infections. The large scale RT-qPCR based screening found 13 differentially
expressed miRNAs in Oropouche infected cells. Further validation confirmed that
miR-217 and miR-576-3p were 5.5 fold up-regulated at early stages of virus
infection (6 hours post-infection). Using bioinformatics and pathway enrichment
analysis, we predicted the cellular targets genes for miR-217 and miR-576-3p.
Differential expression analysis of RNA from 95 selected targets revealed genes
involved in innate immunity modulation, viral release and neurological disorder
outcomes. Further analysis revealed the gene of decapping protein 2 (DCP2), a
previous known restriction factor for bunyaviruses transcription, as a miR-217
candidate target that is progressively down-regulated during Oropouche infection.
Our analysis also showed that activators genes involved in innate immune response
through IFN-beta pathway, as STING (Stimulator of Interferon Genes) and TRAF3
(TNF-Receptor Associated Factor 3), were down-regulated as the infection
progress. Inhibition of miR-217 or miR-576-3p restricts OROV replication,
decreasing viral RNA (up to 8.3 fold) and virus titer (3 fold). Finally, we
showed that virus escape IFN-beta mediated immune response increasing the levels
of cellular miR-576-3p resulting in a decreasing of its partners STING and TRAF3.
We concluded stating that the present study, the first for a Peribunyaviridae
member, gives insights in its prospective pathways that could help to understand
virus biology, interactions with host cells and pathogenesis, suggesting that the
virus escapes the antiviral cellular pathways increasing the expression of
cognates miRNAs.
PMID- 29813069
TI - Optimizing the learning rate for adaptive estimation of neural encoding models.
AB - Closed-loop neurotechnologies often need to adaptively learn an encoding model
that relates the neural activity to the brain state, and is used for brain state
decoding. The speed and accuracy of adaptive learning algorithms are critically
affected by the learning rate, which dictates how fast model parameters are
updated based on new observations. Despite the importance of the learning rate,
currently an analytical approach for its selection is largely lacking and
existing signal processing methods vastly tune it empirically or heuristically.
Here, we develop a novel analytical calibration algorithm for optimal selection
of the learning rate in adaptive Bayesian filters. We formulate the problem
through a fundamental trade-off that learning rate introduces between the steady
state error and the convergence time of the estimated model parameters. We derive
explicit functions that predict the effect of learning rate on error and
convergence time. Using these functions, our calibration algorithm can keep the
steady-state parameter error covariance smaller than a desired upper-bound while
minimizing the convergence time, or keep the convergence time faster than a
desired value while minimizing the error. We derive the algorithm both for
discrete-valued spikes modeled as point processes nonlinearly dependent on the
brain state, and for continuous-valued neural recordings modeled as Gaussian
processes linearly dependent on the brain state. Using extensive closed-loop
simulations, we show that the analytical solution of the calibration algorithm
accurately predicts the effect of learning rate on parameter error and
convergence time. Moreover, the calibration algorithm allows for fast and
accurate learning of the encoding model and for fast convergence of decoding to
accurate performance. Finally, larger learning rates result in inaccurate
encoding models and decoders, and smaller learning rates delay their convergence.
The calibration algorithm provides a novel analytical approach to predictably
achieve a desired level of error and convergence time in adaptive learning, with
application to closed-loop neurotechnologies and other signal processing domains.
PMID- 29813070
TI - Zbtb7a is a transducer for the control of promoter accessibility by NF-kappa B
and multiple other transcription factors.
AB - Gene expression in eukaryotes is controlled by DNA sequences at promoter and
enhancer regions, whose accessibility for binding by regulatory proteins dictates
their specific patterns of activity. Here, we identify the protein Zbtb7a as a
factor required for inducible changes in accessibility driven by transcription
factors (TFs). We show that Zbtb7a binds to a significant fraction of genomic
promoters and enhancers, encompassing many target genes of nuclear factor kappa B
(NFkappaB) p65 and a variety of other TFs. While Zbtb7a binding is not alone
sufficient to directly activate promoters, it is required to enable TF-dependent
control of accessibility and normal gene expression. Using p65 as a model TF, we
show that Zbtb7a associates with promoters independently of client TF binding.
Moreover, the presence of prebound Zbtb7a can specify promoters that are amenable
to TF-induced changes in accessibility. Therefore, Zbtb7a represents a widely
used promoter factor that transduces signals from other TFs to enable control of
accessibility and regulation of gene expression.
PMID- 29813072
TI - Neuropeptides and lymphocyte populations in the porcine ileum and ileocecal lymph
nodes during postnatal life.
AB - The maturation-related changes in the concentrations of galanin (Gal), vasoactive
intestinal polypeptide (VIP), substance P (SP) and somatostatin (Som), as well as
in subpopulations of lymphocytes expressing antigens CD2 (lymphocytes T), CD4 (T
helper), CD8 (T cytotoxic), CD21 (B lymphocytes), CD5-/CD8+ (NK cells) and
TCRgamma/delta (gut mucosal/intraepitelial cells) were studied in the ileal
Peyer's patches and ileo-cecal lymph nodes in female pigs aged 3 days, 2 weeks, 4
weeks and 4 months. As regards neuropeptide concentrations statistically
significant changes in the ileum and lymph nodes were found only in case of Gal
and VIP. The concentrations of neuropeptides were significantly higher only in
new-born animals. As regards the changes in subpopulations of lymphocytes,
statistically significant changes were noticed only in 4-months old animals and
were dealing only with CD2+ and TCRgamma/delta cells in the ileum as well as
CD4+, CD8+, CD21+ and TCRgamma/delta in lymph nodes. The highest number of CD8+,
CD21+ and TCRgamma/delta lymphocytes occurred in 4-months old animals.
PMID- 29813071
TI - Elevated oxytocin and noradrenaline indicate higher stress levels in allergic
rhinitis patients: Implications for the skin prick diagnosis in a pilot study.
AB - BACKGROUND & AIMS: The effects of acute stress on allergic symptoms are little
understood. The intention of this clinical study was to study the effects of
acute stress and related mediators in allergic rhinitis (AR), taking the wheal
and flare reaction in skin prick testing (SPT) as a readout. METHODS: 19 healthy
and 21 AR patients were first subjected to SPTs with grass pollen-, birch pollen-
and house dust mite allergen extracts, histamine and negative control.
Subsequently, participants were exposed to a standardized Trier Social Stress
Test (TSST), followed by SPT on the contralateral forearm. Stress responders were
identified based on the salivary cortisol levels and State-subscale of State
Trait-Anxiety Inventory (STAI-S). Blood samples were collected before and after
TSST and adrenaline, noradrenaline, serotonin, oxytocin, platelet activating
factor and prostaglandin D2 were analyzed by enzyme immunoassay (EIA). RESULTS:
SPT results of 14/21 allergics and 11/19 healthy who responded with stress after
TSST were evaluated. No significant differences regarding SPT to allergens or
histamine before and after the stress test could be calculated at the group
level. But, the wheal and flare sizes after TSST increased or decreased
substantially in several individuals, and unmasked sensitization in one "healthy"
person, which could not be correlated with any mediator tested. The most
significant finding, however, was that, independent of TSST, the baseline levels
of oxytocin and noradrenaline were significantly higher in allergics. CONCLUSION:
High baseline levels of noradrenaline points toward higher stress levels in
allergic patients, which might be counterregulated by elevated oxytocin.
Moreover, our data indicate that acute stress may have a significant influence on
SPT fidelity in susceptible individuals.
PMID- 29813073
TI - Prediction of the potential global distribution for Biomphalaria straminea, an
intermediate host for Schistosoma mansoni.
AB - BACKGROUND: Schistosomiasis is a snail-borne parasitic disease and is endemic in
many tropical and subtropical countries. Biomphalaria straminea, an intermediate
host for Schistosoma mansoni, is native to the southeastern part of South America
and has established in other regions of South America, Central America and
southern China during the last decades. S. mansoni is endemic in Africa, the
Middle East, South America and the Caribbean. Knowledge of the potential global
distribution of this snail is essential for risk assessment, monitoring, disease
prevention and control. METHODS AND FINDINGS: A comprehensive database of cross
continental occurrence for B. straminea was compiled to construct ecological
models. We used several approaches to investigate the distribution of B.
straminea, including direct comparison of climatic conditions, principal
component analysis and niche overlap analyses to detect niche shifts. We also
investigated the impacts of bioclimatic and human factors, and then used the
bioclimatic and footprint layers to predict the potential distribution of B.
straminea at global scale. We detected niche shifts accompanying the invasions of
B. straminea in the Americas and China. The introduced populations had enlarged
its habitats to subtropical regions where annual mean temperature is relatively
low. Annual mean temperature, isothermality and temperature seasonality were
identified as most important climatic features for the occurrence of B.
straminea. Additionally, human factors improved the model prediction (P<0.001).
Our model showed that under current climate conditions the snail should mostly be
confined to the tropic and subtropic regions, including South America, Central
America, Sub-Saharan Africa and Southeast Asia. CONCLUSIONS: Our results
confirmed that niche shifts took place in the invasions of B. straminea, in which
bioclimatic and human factors played an important role. Our model predicted the
global distribution of B. straminea based on habitat suitability, which would
help for prioritizing monitoring and management efforts for B. straminea control
in the context of ongoing climate change and human disturbances.
PMID- 29813075
TI - Walking the walk? Experiments on the effect of pledging to vote on youth turnout.
AB - Psychological theories of political behavior suggest that commitments to perform
a certain action can significantly increase the likelihood of such action, but
this has rarely been tested in an experimental context. Does pledging to vote
increase turnout? In cooperation with the Environmental Defense Fund during the
2016 election, we conduct the first randomized controlled trials testing whether
young people who pledge to vote are more likely to turn out than those who are
contacted using standard Get-Out-the-Vote materials. Overall, pledging to vote
increased voter turnout by 3.7 points among all subjects and 5.6 points for
people who had never voted before. These findings lend support for theories of
commitment and have practical implications for mobilization efforts aimed at
expanding the electorate.
PMID- 29813074
TI - Safety of a silicone elastomer vaginal ring as potential microbicide delivery
method in African women: A Phase 1 randomized trial.
AB - BACKGROUND: Women in sub-Saharan Africa are in urgent need of female-initiated
human immunodeficiency virus (HIV) preventative methods. Vaginal rings are one
dosage form in development for delivery of HIV microbicides. However, African
women have limited experience with vaginal rings. OBJECTIVES: This Phase I,
randomized, crossover trial assessed and compared the safety, acceptability and
adherence of a silicone elastomer placebo vaginal ring, intended as a microbicide
delivery method, inserted for a 12-week period in healthy, HIV-negative, sexually
active women in South Africa and Tanzania. METHODS: 170 women, aged 18 to 35
years were enrolled with 88 women randomized to Group A, using a placebo vaginal
ring for 12 weeks followed by a 12-week safety observation period. 82 women were
randomized to Group B and observed for safety first, followed by a placebo
vaginal ring for 12 weeks. Safety was assessed by clinical laboratory
assessments, pelvic/colposcopy examinations and adverse events. Possible carry
over effect was addressed by ensuring no signs or symptoms of genital irritation
at crossover. RESULTS: No safety concerns were identified for any safety
variables assessed during the trial. No serious adverse events were reported
considered related to the placebo vaginal ring. Vaginal candidiasis was the most
common adverse event occurring in 11% of participants during each trial period.
Vaginal discharge (2%), vaginal odour (2%), and bacterial vaginitis (2%) were
assessed as possibly or probably related to the vaginal ring. Thirty-four percent
of participants had sexually transmitted infections (STIs) at screening, compared
to 12% of participants who tested positive for STIs at crossover and the final
trial visit. Three participants (2%) tested HIV positive during the trial.
CONCLUSIONS: The silicone elastomer vaginal ring had no safety concerns,
demonstrating a profile favorable for further development for topical release of
antiretroviral-based microbicides.
PMID- 29813076
TI - The psychological health and associated factors of men who have sex with men in
China: A cross-sectional survey.
AB - OBJECTIVES: The psychological health of men who have sex with men (MSM) has
received increased attention in recent years. We thus investigated the
psychological status and associated factors among MSM in China. METHODS: A cross
sectional survey of 248 MSM was conducted from April to September 2015 using
Symptom Checklist 90 (SCL-90) in Huludao and Zhengzhou, China. Statistical
analyses utilized SPSS version 19.0 for Windows. RESULTS: All Cronbach's alpha
coefficients of the SCL-90 subscales exceeded 0.7, suggesting acceptable
reliability. The coefficient range of the collective validity for all the
subscales was >0.4. For the divisional validity, each item correlated better with
the hypothetical subscale than with other subscales. Collective validity and
divisional validity were both acceptable. The four most frequent types of
psychological distress among MSM were depression, obsessive-compulsive behavior,
interpersonal sensitivity, and anxiety. Results of the univariate analysis
revealed that the following groups had significantly higher SCL-90 scores (P <
0.05): peasantry, married MSM, respondents who reported condomless anal
intercourse and a greater number of male partners, and respondents who had not
undergone psychosocial counseling and whose family or friends did not know about
their sexual identity. In a multivariate logistic regression model, the following
parameters were independently associated with higher SCL-90 scores: being married
(AOR [adjusted odds ratio] = 3.19; 95% CI [confidence interval]: 1.96 to 5.93),
condomless anal intercourse (AOR = 1.16; 95% CI: 1.02 to 1.31), number of male
partners (AOR = 1.66 and 1.81; 95% CI: 1.08 to 2.34 and 1.32 to 2.69), family or
friends not knowing about sexual identity (AOR = 2.13; 95% CI: 1.17 to 4.92), and
lack of psychosocial counseling (AOR = 2.09; 95% CI: 1.06 to 4.09). CONCLUSIONS:
Our results indicate that psychological health problems among MSM in China are of
concern. It is thus necessary to strengthen intervention efforts, with more
emphasis on intervention programs to improve psychological health among Chinese
MSM.
PMID- 29813077
TI - Underlying mechanism of subcortical brain protection during hypoxia and
reoxygenation in a sheep model - Influence of alpha1-adrenergic signalling.
AB - While the cerebral autoregulation sufficiently protects subcortical brain regions
during hypoxia or asphyxia, the cerebral cortex is not as adequately protected,
which suggests that regulation of the cerebral blood flow (CBF) is area-specific.
Hypoxia was induced by inhalation of 5% oxygen, for reoxygenation 100% oxygen was
used. Cortical and subcortical CBF (by laser Doppler flowmetry), blood gases,
mean arterial blood pressure (MABP), heart rate and renal blood flow were
constantly monitored. Low dosed urapidil was used for alpha1A-adrenergic receptor
blockade. Western blotting was used to determine adrenergic receptor signalling
mediators in brain arterioles. During hypoxia cortical CBF decreased to 72 +/-
11% (mean reduction 11 +/- 3%, p < 0.001) of baseline, whereas subcortical CBF
increased to 168+/-18% (mean increase 43 +/- 5%, p < 0.001). Reoxygenation led to
peak CBF of 194 +/- 27% in the subcortex, and restored cortical CBF. alpha1A
Adrenergic blockade led to minor changes in cortical CBF, but massively reduced
subcortical CBF during hypoxia and reoxygenation-almost aligning CBF in both
brain regions. Correlation analyses revealed that alpha1A-adrenergic blockade
renders all CBF-responses pressure-passive during hypoxia and reoxygenation, and
confirmed the necessity of alpha1A-adrenergic signalling for coupling of CBF
responses to oxygen saturation. Expression levels and activation state of key
signalling-mediators of alpha1-receptors (NOSs, CREB, ERK1/2) did not differ
between cortex and subcortex. The dichotomy between subcortical and cortical CBF
during hypoxia and reoxygenation critically depends on alpha1A-adrenergic
receptors, but not on differential expression of signalling-mediators: signalling
through the alpha1A-subtype is a prerequisite for cortical/subcortical
redistribution of CBF.
PMID- 29813078
TI - Temporal blood flow changes measured by diffuse correlation tomography predict
murine femoral graft healing.
AB - Blood flow changes during bone graft healing have the potential to provide
important information about graft success, as the nutrients, oxygen, circulating
cells and growth factors essential for integration are delivered by blood.
However, longitudinal monitoring of blood flow changes during graft healing has
been a challenge due to limitations in current techniques. To this end, non
invasive diffuse correlation tomography (DCT) was investigated to enable
longitudinal monitoring of three-dimensional blood flow changes in deep tissue.
Specific to this study, longitudinal blood flow changes were utilized to predict
healing outcomes of common interventions for massive bone defects using a common
mouse femoral defect model. Weekly blood flow changes were non-invasively
measured using a diffuse correlation tomography system for 9 weeks in three types
of grafts: autografts (N = 7), allografts (N = 6) and tissue-engineered
allografts (N = 6). Healing outcomes were quantified using an established torsion
testing method 9 weeks after transplantation. Analysis of the spatial and
temporal blood flow reveals that major differences among the three groups were
captured in weeks 1-5 after graft transplantation. A multivariate model to
predict maximum torque by relative blood flow changes over 5 weeks after graft
transplantation was built using partial least squares regression. The results
reveal lower bone strength correlates with greater cumulative blood flow over an
extended period of time (i.e., 1-5 weeks). The current research demonstrates that
DCT-measured blood flow changes after graft transplantation can be utilized to
predict long-term healing outcomes in a mouse femoral graft model.
PMID- 29813079
TI - Differential incorporation of SUN-domain proteins into LINC complexes is coupled
to gene expression.
AB - LInkers of Nucleoskeleton and Cytoskeleton (LINC) complexes, composed of SUN and
KASH-domain proteins, span the nuclear envelope and physically connect the
nuclear interior to cytoskeletal elements. Most human cells contain two SUN
proteins, Sun1 and Sun2, and several KASH-proteins suggesting that multiple
functionally distinct LINC complexes co-exist in the nuclear envelope. We show
here, however, that while Sun1 and Sun2 in HeLa cells are each able to bind KASH
domains, Sun1 is more efficiently incorporated into LINC complexes under normal
growth conditions. Furthermore, the balance of Sun1 and Sun2 incorporated into
LINC complexes is cell type-specific and is correlated with SRF/Mkl1-dependent
gene expression. In addition, we found that Sun1 has a LINC complex-independent
role in transcriptional control, possibly by regulating the SRF/Mkl1 pathway.
Together, these data reveal novel insights into the mechanisms of LINC complex
regulation and demonstrate that Sun1 modulates gene expression independently of
its incorporation into LINC complexes.
PMID- 29813080
TI - Efficient methods and readily customizable libraries for managing complexity of
large networks.
AB - BACKGROUND: One common problem in visualizing real-life networks, including
biological pathways, is the large size of these networks. Often times, users find
themselves facing slow, non-scaling operations due to network size, if not a
"hairball" network, hindering effective analysis. One extremely useful method for
reducing complexity of large networks is the use of hierarchical clustering and
nesting, and applying expand-collapse operations on demand during analysis.
Another such method is hiding currently unnecessary details, to later gradually
reveal on demand. Major challenges when applying complexity reduction operations
on large networks include efficiency and maintaining the user's mental map of the
drawing. RESULTS: We developed specialized incremental layout methods for
preserving a user's mental map while managing complexity of large networks
through expand-collapse and hide-show operations. We also developed open-source
JavaScript libraries as plug-ins to the web based graph visualization library
named Cytsocape.js to implement these methods as complexity management
operations. Through efficient specialized algorithms provided by these
extensions, one can collapse or hide desired parts of a network, yielding
potentially much smaller networks, making them more suitable for interactive
visual analysis. CONCLUSION: This work fills an important gap by making efficient
implementations of some already known complexity management techniques freely
available to tool developers through a couple of open source, customizable
software libraries, and by introducing some heuristics which can be applied upon
such complexity management techniques to ensure preserving mental map of users.
PMID- 29813082
TI - Social computing for image matching.
AB - One of the main technological trends in the last five years is mass data
analysis. This trend is due in part to the emergence of concepts such as social
networks, which generate a large volume of data that can provide added value
through their analysis. This article is focused on a business and employment
oriented social network. More specifically, it focuses on the analysis of
information provided by different users in image form. The images are analyzed to
detect whether other existing users have posted or talked about the same image,
even if the image has undergone some type of modification such as watermarks or
color filters. This makes it possible to establish new connections among unknown
users by detecting what they are posting or whether they are talking about the
same images. The proposed solution consists of an image matching algorithm, which
is based on the rapid calculation and comparison of hashes. However, there is a
computationally expensive aspect in charge of revoking possible image
transformations. As a result, the image matching process is supported by a
distributed forecasting system that enables or disables nodes to serve all the
possible requests. The proposed system has shown promising results for matching
modified images, especially when compared with other existing systems.
PMID- 29813081
TI - Selective abdominal venous congestion to investigate cardiorenal interactions in
a rat model.
AB - Abdominal congestion may play an important role in the cardiorenal syndrome and
has been demonstrated to drive disease progression. An animal model for abdominal
congestion, without other culprit mechanisms that are often present in patients
such as low cardiac output or chronic kidney disease, might be interesting to
allow a better study of the pathophysiology of the cardiorenal syndrome. The
objective of this study was to develop a clinically relevant and valid rat model
with abdominal venous congestion and without pre-existing heart and/or kidney
dysfunction. To do so, a permanent surgical constriction (20 Gauge) of the
thoracic inferior vena cava (IVC) was applied in male Sprague Dawley rats (IVCc,
n = 7), which were compared to sham-operated rats (SHAM, n = 6). Twelve weeks
after surgery, abdominal venous pressure (mean: 13.8 vs 4.9 mmHg, p < 0.01),
plasma creatinine (p < 0.05), plasma cystatin c (p < 0.01), urinary albumin (p <
0.05), glomerular surface area (p < 0.01) and width of Bowman's space (p < 0.05)
of the IVCc group were significantly increased compared to the SHAM group for a
comparable absolute body weight between groups (559 vs 530g, respectively, p =
0.73). Conventional cardiac echocardiographic and hemodynamic parameters did not
differ significantly between both groups, indicating that cardiac function was
not compromised by the surgery. In conclusion, we demonstrate that constriction
of the thoracic IVC in adult rats is feasible and significantly increases the
abdominal venous pressure to a clinically relevant level, thereby inducing
abdominal venous congestion.
PMID- 29813083
TI - Measurement and simulation of the relatively competitive advantages and
weaknesses between economies based on bipartite graph theory.
AB - The input-output table is very comprehensive and detailed in describing the
national economic systems with abundant economic relationships, which contain
supply and demand information among various industrial sectors. The complex
network, a theory, and method for measuring the structure of a complex system can
depict the structural characteristics of the internal structure of the researched
object by measuring the structural indicators of the social and economic systems,
revealing the complex relationships between the inner hierarchies and the
external economic functions. In this paper, functions of industrial sectors on
the global value chain are to be distinguished with bipartite graph theory, and
inter-sector competitive relationships are to be extracted through resource
allocation process. Furthermore, quantitative analysis indices will be proposed
under the perspective of a complex network, which will be used to bring about
simulations on the variation tendencies of economies' status in different
situations of commercial intercourses. Finally, a new econophysics analytical
framework of international trade is to be established.
PMID- 29813085
TI - Resistance screening and trend analysis of imported falciparum malaria in NSW,
Australia (2010 to 2016).
AB - BACKGROUND: The World Health Organization currently recommends artemisinin (along
with a partner drug) as the global frontline treatment for Plasmodium falciparum
malaria. Artemisinin resistant P. falciparum are now found throughout the greater
Mekong subregion of South East Asia. Several polymorphisms in the parasite's
kelch gene have been demonstrated to confer artemisinin resistance. While
genotypes within the greater Mekong subregion are thoroughly examined in the
literature, P. falciparum populations within several areas that do not (yet) have
endemic resistance are underrepresented. RESULTS: This investigation
characterised the Pfkelch13 propeller domains from 153 blood samples of 140
imported cases of P. falciparum malaria in New South Wales from 2010 to 2016. A
low level of propeller domain diversity was observed, including the C580Y coding
mutation most strongly associated with artemisinin resistance in South East Asia.
The resistance genotype was found in a sample originating in Papua New Guinea,
where this mutation, or artemisinin treatment failure, have not been previously
reported. Sequencing a panel of geographically informative polymorphisms within
the organellar genomes identified the C580Y parasite as having Oceanic origins.
Patient data analysis revealed that New South Wales, Australia, P. falciparum
malaria cases often originated from regions with limited drug resistance
screening. CONCLUSIONS: The C580Y finding from outside of the greater Mekong
subregion supports the consensus to upscale molecular surveillance of artemisinin
resistance outside of South East Asia. The genetic screening results identify a
risk of importing resistant falciparum malaria to Australia, supporting an
ongoing surveillance protocol to pre-empt treatment failure and contribute to
global data gathering.
PMID- 29813084
TI - Global-cognitive health metrics: A novel approach for assessing cognition
impairment in adult population.
AB - Dementia is the supreme worldwide burden for welfare and the health care system
in the 21st century. The early identification and control of the modifiable risk
factors of dementia are important. Global-cognitive health (GCH) metrics,
encompassing controllable cardiovascular health (CVH) and non-CVH risk factors of
dementia, is a newly developed approach to assess the risk of cognitive
impairment. The components of ideal GCH metrics includes better education, non
obesity, normal blood pressure, no smoking, no depression, ideal physical
activity, good social integration, normal glycated hemoglobin (HbA1c), and normal
hearing. This study focuses on the association between ideal GCH metrics and the
cognitive function in young adults by investigating the Third Health and
Nutrition Examination Survey (NHANES III) database, which has not been reported
previously. A total of 1243 participants aged 17 to 39 years were recruited in
this study. Cognitive functioning was evaluated by the simple reaction time test
(SRTT), symbol-digit substitution test (SDST), and serial digit learning test
(SDLT). Participants with significantly higher scores of GCH metrics had better
cognitive performance (p for trend <0.01 in three cognitive tests). Moreover,
better education, ideal physical activity, good social integration and normal
glycated hemoglobin were the optimistic components of ideal GCH metrics
associated with better cognitive performance after adjusting for covariates (p <
0.05 in three cognitive tests). These findings emphasize the importance of a
preventive strategy for modifiable dementia risk factors to enhance cognitive
functioning during adulthood.
PMID- 29813086
TI - Elevated levels of 2-arachidonoylglycerol promote atherogenesis in ApoE-/- mice.
AB - BACKGROUND: The endocannabinoid (eCB) 2-arachidonoylglycerol (2-AG) is a known
modulator of inflammation and ligand to both, pro-inflammatory cannabinoid
receptor 1 (CB1) and anti-inflammatory CB2. While the role of both receptors in
atherogenesis has been studied extensively, the significance of 2-AG for
atherogenesis is less well characterized. METHODS: The impact of 2-AG on
atherogenesis was studied in two treatment groups of ApoE-/- mice. One group
received the monoacylglycerol lipase (MAGL)-inhibitor JZL184 [5 mg/kg i.p.],
which impairs 2-AG degradation and thus causes elevated 2-AG levels, the other
group received vehicle for four weeks. Simultaneously, both groups were fed a
high-cholesterol diet. The atherosclerotic plaque burden was assessed in frozen
sections through the aortic sinus following oil red O staining and infiltrating
macrophages were detected by immunofluorescence targeting CD68. In vitro, the
effect of 2-AG on B6MCL macrophage migration was assessed by Boyden chamber
experiments. Transcription of adhesion molecules and chemokine receptors in
macrophages was assessed by qPCR. RESULTS: As expected, application of the MAGL
inhibitor JZL184 resulted in a significant increase in 2-AG levels in vascular
tissue (98.2 +/- 16.1 nmol/g vs. 27.3 +/- 4.5 nmol/g; n = 14-16; p < 0.001). ApoE
/- mice with elevated 2-AG levels displayed a significantly increased plaque
burden compared to vehicle treated controls (0.44 +/- 0.03 vs. 0.31 +/- 0.04; n =
14; p = 0.0117). This was accompanied by a significant increase in infiltrating
macrophages within the atherosclerotic vessel wall (0.33 +/- 0.02 vs. 0.27 +/-
0.01; n = 13-14; p = 0.0076). While there was no alteration to the white blood
counts of JZL184-treated animals, 2-AG enhanced macrophage migration in vitro by
1.8 +/- 0.2 -fold (n = 4-6; p = 0.0393) compared to vehicle, which was completely
abolished by co-administration of either CB1- or CB2-receptor-antagonists. qPCR
analyses of 2-AG-stimulated macrophages showed an enhanced transcription of the
chemokine CCL5 (1.59 +/- 0.23 -fold; n = 5-6; p = 0.0589) and its corresponding
receptors CCR1 (2.04 +/- 0.46 -fold; n = 10-11; p = 0.0472) and CCR5 (2.45 +/-
0.62 -fold; n = 5-6; p = 0.0554). CONCLUSION: Taken together, elevated 2-AG
levels appear to promote atherogenesis in vivo. Our data suggest that 2-AG
promotes macrophage migration, possibly by the CCL5-CCR5/CCR1 axis, and thereby
contributes to vascular inflammation. Thus, decreasing vascular 2-AG levels might
represent a promising therapeutic strategy in patients suffering from
atherosclerosis and coronary heart disease.
PMID- 29813087
TI - Physical mapping of repetitive DNA suggests 2n reduction in Amazon turtles
Podocnemis (Testudines: Podocnemididae).
AB - Cytogenetic studies show that there is great karyotypic diversity in order
Testudines (2n = 26-68), and that this may be mainly attributed to the
presence/absence of microchromosomes. Members of the Podocnemididae family have
the smallest diploid numbers of this order (2n = 26-28), which may be a derived
condition of the group. Diverse studies suggest that repetitive-DNA-rich sites
generally act as hotspots for double-strand breaks and chromosomal
reorganization. In this context, we used fluorescent in situ hybridization (FISH)
to map telomeric sequences (TTAGGG)n, 45S rDNA, and the genes encoding histones
H1 and H3 in two species of genus Podocnemis. We also observed conservation of
the 45S rDNA and H1 histone sequences (probable case of conserved synteny), but
multiple conserved and non-conserved clusters of H3 genes, which colocalized with
the interstitial telomeric sequences in the Podocnemis genome. Our results
suggest that fusions have occurred between macro and microchromosomes or between
microchromosomes, leading to the observed reduction in diploid number in the
family Podocnemididae.
PMID- 29813088
TI - Comparison of orthodontic tooth movement between adolescents and adults based on
implant superimposition.
AB - OBJECTIVE: We compared tooth movement under maximum anchorage control with mini
screw implants in growing and non-growing patients. METHODS: In total, 15
adolescent (G1) and 19 adult (G2) patients with prognathic profiles were
selected. All patients underwent first premolar extraction treatment with mini
screw implants for maximum anchorage control. Cone-beam computed tomography
(CBCT) data were obtained immediately after implant placement (T1) and at the end
of anterior tooth retraction (T2). Tooth movement and root length changes of the
maxillary first molar, canine, and incisors were evaluated with three-dimensional
models constructed using CBCT data obtained before and after orthodontic
retraction through the superimposition of stable implants. RESULTS: Distal
movement of the molar crown was observed in G2, but mesial movement was observed
in G1. Mesial tipping of the first molar (1.82 +/- 6.76 degrees ) was seen in G1
and distal tipping (4.44 +/- 3.77 degrees ) was observed in G2. For the canines,
mesial crown tipping (0.33 +/- 4.99 degrees ) was noted in G1 and distal crown
tipping (8.00 +/- 5.57 degrees ) was observed in G2. In adults, the lingual
inclinations of the lateral and central incisors were 11.91 +/- 7.01 degrees and
11.47 +/- 6.70 degrees , with 0.99 +/- 1.22 mm and 1.08 +/- 1.20 mm root
retraction, respectively. In adolescents, the torque changes were smaller
(lateral incisors, 8.25 +/- 10.15 degrees ; central incisors, 9.82 +/- 8.97
degrees ) and the root retractions were 0.31 +/- 1.81 mm and 0.77 +/- 1.59 mm,
respectively. Less shortening of the central incisor roots occurred in
adolescents than in adults. CONCLUSIONS: Tooth movements, such as anchor molar
angular change, the canine tipping pattern, and the amount of incisor retraction,
differed between adolescents and adults treated using the same anchorage with
mini-screw implants, bracket prescription, and en masse retraction method.
Anchorage strength of the first molars, canine movement patterns, and incisor
retraction ranges are not determined by the anchorage device alone; growth and
alveolar limitations also play roles.
PMID- 29813089
TI - Prevalence of Helicobacter pylori and its CagA subtypes in gastric cancer and
duodenal ulcer at an Austrian tertiary referral center over 25 years.
AB - BACKGROUND AND AIMS: The prevalence of Helicobacter pylori (H. pylori) tends to
be lower in Western countries such as central Europe compared with Asia. The
virulence of H. pylori is influenced by its subtype composition, most importantly
by the presence or absence of different types of cytotoxin-associated gene
A(CagA). This study aimed to assess the prevalence of H. pylori and its
respective CagA phenotype in a large retrospective cohort of patients with
gastric cancer or duodenal ulcer at a Western tertiary referral institution.
METHODS: H. pylori positive gastric biopsy samples from patients diagnosed with
the afore mentioned diseases within the past 25 years were re-evaluated by
histology for H. pylori and status of gastritis. Confirmed H. pylori positive
cases were processed for immunohistochemistry (IHC) for H. pylori,CagA, and
EastAsiantype CagA. RESULTS: The prevalence of H. pylori positive gastric biopsy
samples decreased from 20.7% to 2.3% within the study period. Among the gastric
cancer patients, the H. pylori positive rate was 16.6%, and didn't show
significant changes over time (p = 0.38). Contrary, the H. pylori positive rate
of duodenal ulcer decreased significantlyfrom 40% to 5% (p = 0.01). Within H.
pylori positive groups ofboth diseases, CagA was highly detected at IHC (86% and
78%, respectively). Except for a few patients originating from East Asian
countries, all CagA detected in this study were of Western type. CONCLUSION: In
this first Western investigation on the chronological prevalence of H. pylori and
its most relevant subtypes, Western type of CagA was highly detected in two
important index diseases of the pathogen. This raises further questions about the
virulence of this subtype.
PMID- 29813090
TI - The poly-gamma-glutamate of Bacillus subtilis interacts specifically with silver
nanoparticles.
AB - For many years, silver nanoparticles, as with other antibacterial nanoparticles,
have been extensively used in manufactured products. However, their fate in the
environment is unclear and raises questions. We studied the fate of silver
nanoparticles in the presence of bacteria under growth conditions that are
similar to those found naturally in the environment (that is, bacteria in a
stationary phase with low nutrient concentrations). We demonstrated that the
viability and the metabolism of a gram-positive bacteria, Bacillus subtilis,
exposed during the stationary phase is unaffected by 1 mg/L of silver
nanoparticles. These results can be partly explained by a physical interaction of
the poly-gamma-glutamate (PGA) secreted by Bacillus subtilis with the silver
nanoparticles. The coating of the silver nanoparticles by the secreted PGA likely
results in a loss of the bioavailability of nanoparticles and, consequently, a
decrease of their biocidal effect.
PMID- 29813092
TI - Will the last be first and the first last? The role of classroom registers in
cognitive skill acquisition.
AB - The paper estimates the effect of students' position in the classroom register on
their academic performance. We use a unique dataset from Poland which contains
information on the academic outcomes of students in the humanities, science and
mathematics lower secondary school exams as well as the position students occupy
in their classroom register. We find that students whose names are recorded near
the end of the class list have lower performance than those students whose names
are recorded near the beginning of the list. The effect appears to be larger for
performance in the humanities exam, and for low-achieving boys who attend large
classes.
PMID- 29813091
TI - Plasma levels of high density lipoprotein cholesterol and outcomes in chronic
thromboembolic pulmonary hypertension.
AB - BACKGROUND: High Density Lipoprotein Cholesterol (HDL-C) has various anti
inflammatory, anti-atherogenic, anti-oxidant and anti-coagulant properties that
improve vascular function. The utility of HDL-C as a biomarker of severity and
predictor of survival was described in patients with pulmonary arterial
hypertension (PAH). No prior study has assessed the utility of HDL-C in patients
with Chronic Thromboembolic Pulmonary Hypertension (CTEPH). OBJECTIVES: We aim to
measure HDL-C levels in CTEPH patients and compare it to those in PAH patients
and controls and determine HDL-C associations with markers of disease severity,
hemodynamics and mortality in CTEPH. METHODS: We retrospectively included
patients with CTEPH, identified from the Cleveland Clinic Pulmonary Hypertension
Registry. All patients had right heart catheterization (RHC) and imaging studies
consistent with CTEPH. We collected demographics, co-morbidities, baseline
laboratory data including plasma HDL-C, six-minute walk test (6MWT),
echocardiography and RHC. HDL-C levels were compared to a cohort of patients with
cardiovascular risk factors and a previously published PAH cohort. RESULTS: HDL-C
levels were available for 90 patients with CTEPH (age: 57.4+/-13.9 years; female
40%), 69 patients with PAH (age: 46.7+/-12.8 years; female 90%) and 254 control
subjects (age: 56.7+/-13 years; female 48%). HDL-C levels in CTEPH patients were
lower compared to controls and higher compared to PAH patients (median, IQR:
CTEPH: 44, 34-57 mg/dl; PAH: 35.3, 29-39 mg/dl; Control: 49, 40-60 mg/dl; p <
0.01 for both pairwise comparisons). In CTEPH, higher HDL-C was associated with
decreased prevalence of right ventricular dilation on echocardiography (p =
0.02). 57 patients with CTEPH underwent pulmonary thromboendarterectomy, higher
HDL-C was associated with a larger decrement in postoperative pulmonary vascular
resistance (PVR) (r = 0.37, p = 0.049). HDL-C was not associated with mortality
or other markers of disease severity. CONCLUSIONS: HDL-C levels in CTEPH patients
were lower compared to control subjects, but higher compared to PAH patients.
Higher HDL-C in CTEPH was associated with less right ventricular dilation and
greater decrement in postoperative PVR. These data suggest that HDL-C may be a
useful marker of small vessel disease in CTEPH.
PMID- 29813094
TI - Estimation of the fraction of absorbed photosynthetically active radiation (fPAR)
in maize canopies using LiDAR data and hyperspectral imagery.
AB - Accurate estimation of the fraction of absorbed photosynthetically active
radiation (fPAR) for maize canopies are important for maize growth monitoring and
yield estimation. The goal of this study is to explore the potential of using
airborne LiDAR and hyperspectral data to better estimate maize fPAR. This study
focuses on estimating maize fPAR from (1) height and coverage metrics derived
from airborne LiDAR point cloud data; (2) vegetation indices derived from
hyperspectral imagery; and (3) a combination of these metrics. Pearson
correlation analyses were conducted to evaluate the relationships among LiDAR
metrics, hyperspectral metrics, and field-measured fPAR values. Then, multiple
linear regression (MLR) models were developed using these metrics. Results showed
that (1) LiDAR height and coverage metrics provided good explanatory power (i.e.,
R2 = 0.81); (2) hyperspectral vegetation indices provided moderate
interpretability (i.e., R2 = 0.50); and (3) the combination of LiDAR metrics and
hyperspectral metrics improved the LiDAR model (i.e., R2 = 0.88). These results
indicate that LiDAR model seems to offer a reliable method for estimating maize
fPAR at a high spatial resolution and it can be used for farmland management.
Combining LiDAR and hyperspectral metrics led to better performance of maize fPAR
estimation than LiDAR or hyperspectral metrics alone, which means that maize fPAR
retrieval can benefit from the complementary nature of LiDAR-detected canopy
structure characteristics and hyperspectral-captured vegetation spectral
information.
PMID- 29813093
TI - Effects of medical ozone upon healthy equine joints: Clinical and laboratorial
aspects.
AB - OBJECTIVE: The aim of this study was to verify whether transient inflammatory
reactions induced by intra-articular medicinal ozone administration affect joint
components, by in vivo evaluation of inflammatory (prostaglandin E2, Substance P,
Interleukin-6, Interleukine-1, Tumor Necrosis Factor), anti-inflammatory
(Interleukin-10) and oxidative (superoxide dismutase activity and oxidative
burst) biomarkers and extracellular matrix degradation products (chondroitin
sulphate and hyaluronic acid) in synovial fluid. METHODS: The effects of
medicinal ozone were analyzed at two ozone concentrations (groups A and B, 20 and
40 MUg/ml, respectively), using oxygen-injected joints as controls (group C);
each group received ten treatments (15 ml gas per treatment). Physical
evaluation, evaluation of lameness, ultrasonography, and synovial fluid analysis
were performed. RESULTS: All joints presented mild and transient effusion
throughout the study. Group B exhibited the highest lameness score on day 14
(P<0.05), detected by the lameness measurement system, probably because of the
higher ozone concentration. All groups exhibited increased ultrasonography scores
on day 14 (P < 0.05). Groups A and B exhibited increased proteins concentrations
on day 21 (P<0.05). There was no change in hyaluronic acid concentration or the
percentage of high-molecular weight hyaluronic acid throughout the experiment.
Chondroitin sulfate concentrations decreased in group B, and did not change in
group A and C, indicating that neither treatment provoked extracellular matrix
catabolism. Cytokine and eicosanoid concentrations were not significantly
changed. CONCLUSIONS: The ozonetherapy did not cause significant inflammation
process or cartilage degradation, therefore, ozonetherapy is safe at both
evaluated doses.
PMID- 29813095
TI - Are men ready to use thermal male contraception? Acceptability in two French
populations: New fathers and new providers.
AB - BACKGROUND: Since the 1970s, international research has actively pursued hormonal
male contraception (HMC) and, to a lesser extent, thermal male contraception
(TMC). Although the efficacy of TMC has been confirmed in limited populations,
its acceptability has not been studied in either potential users or potential
prescribers. METHODS: A cross-sectional descriptive multicentre study of
potential male users of TMC (new fathers) and potential prescribers of TMC (new
providers) was conducted between November 2016 and February 2017.The participants
completed a 3-part survey, and their responses were evaluated to i) determine
their socio-demographic profiles; ii) identify personal experiences with
contraception; and iii) gauge the participants' knowledge, interest and
preference for male contraception, particularly TMC. For new providers only, the
survey included a fourth part to evaluate professional experience with male
contraception. RESULTS: The participation rate was 51% for new fathers (305 NFs)
and 34% for new providers (300 NPs, including 97 men (male new providers, MNPs)
and 203 women (female new providers, FNPs)). Only 3% of NFs and 15% of NPs knew
about TMC (including 26% of the MNPs and 10% of the FNPs, p<0.01). After reading
information on TMC, new fathers were significantly less willing to try TMC (29%)
than were new providers (40%) (p<0.01). The 3 main advantages of TMC for the new
fathers included the following factors: "natural" (52%), "without side effects"
(38%) and "non-hormonal" (36%). The main disadvantages were "lengthy wear time"
(56%), "daily undergarment wear" (43%) and "concern about possible discomfort"
(39%). CONCLUSIONS: Young male and female providers have limited knowledge of
male contraception, are interested in further information and would generally
prescribe TMC to their patients. Successful expansion of the use of male
contraception, including TMC, would require distribution of better information to
potential users and providers.
PMID- 29813096
TI - Stress exposure alters brain mRNA expression of the genes involved in insulin
signalling, an effect modified by a high fat/high fructose diet and cinnamon
supplement.
AB - In occidental societies, high fat and high sugar diets often coincide with
episodes of stress. The association is likely to modify brain energy control.
Brain insulin signalling is rarely studied in stressed individuals consuming high
fat diets. Furthermore the effects of cinnamon supplement are not known in these
conditions. Therefore, we exposed rats, over a 12-week period, to a control (C)
or a high fat/high fructose (HF/HFr) diet that induces peripheral insulin
resistance. A cinnamon supplement (C+CN and HF/HFr +CN) was added or not. After
diet exposure, one group of rats was exposed to a 30-min restraint followed by a
10-min open-field test, their combination featuring a moderate stressor, the
other rats staying unstressed in their home cages. The insulin signalling in
hippocampus and frontal cortex was studied through the mRNA expression of the
following genes: insulin receptor (Ir), insulin receptor substrate (Irs1),
glucose transporters (Glut1 and Glut3), glycogen synthase (Gys1) and their
modulators, Akt1 and Pten. In C rats, stress enhanced the expression of Ir, Irs1,
Glut1, Gys1 and Akt1 mRNA. In C+CN rats, stress induced an increase in Pten but a
decrease in Gys1 mRNA expression. In HF/HFr rats, stress was associated with an
increase in Pten mRNA expression. In HF/HFr+CN rats, stress increased Pten mRNA
expression but also decreased Gys1 mRNA expression. This suggests that a single
moderate stress favours energy refilling mechanisms, an effect blunted by a
previous HF/HFr diet and cinnamon supplement.
PMID- 29813098
TI - Transcriptome analysis of the typical freshwater rhodophytes Sheathia arcuata
grown under different light intensities.
AB - The Rhodophyta Sheathia arcuata is exclusively distributed in freshwater,
constituting an important component in freshwater flora. This study presents the
first transcriptome profiling of freshwater Rhodophyta taxa. A total of 161,483
assembled transcripts were identified, annotated and classified into different
biological categories and pathways based on BLAST against diverse databases.
Different gene expression patterns were caused principally by different
irradiances considering the similar water conditions of the sampling site when
the specimens were collected. Comparison results of gene expression levels under
different irradiances revealed that photosynthesis-related pathways significantly
up-regulated under the weak light. Molecular responses for improved
photosynthetic activity include the transcripts corresponding to antenna proteins
(LHCA1 and LHCA4), photosynthetic apparatus proteins (PSBU, PETB, PETC, PETH and
beta and gamma subunits of ATPase) and metabolic enzymes in the carbon fixation.
Along with photosynthesis, other metabolic activities were also regulated to
optimize the growing and development of S. arcuata under appropriate sunlight.
Protein-protein interactive networks revealed the most responsive up-expressed
transcripts were ribosomal proteins. The de-novo transcriptome assembly of S.
arcuata provides a foundation for further investigation on the molecular
mechanism of photosynthesis and environmental adaption for freshwater Rhodophyta.
PMID- 29813097
TI - MTHFR 677C -> T genotype modulates the effect of a 5-year supplementation with B
vitamins on homocysteine concentration: The SU.FOL.OM3 randomized controlled
trial.
AB - AIMS: To study how MTHFR 677C->T genotype modulates the effect of supplementation
with B-vitamins on total homocysteine (tHcy) and B-vitamin concentrations.
METHODS: 2381 patients with a personal history of cardiovascular disease were
randomly assigned to one of four groups: 1) B-vitamins alone (560 MUg of 5-methyl
THF, 3 mg of vitamin B6 and 20 MUg of vitamin B12), 2) n-3 fatty acids alone (600
mg of EPA and DHA in a 2:1 ratio), 3) B-vitamins and n-3 fatty acids, and 4)
placebo. Participants were followed up for 4.7 years. At baseline and annually
thereafter, biological parameters were assessed. Multivariate and linear mixed
models were fit to study the interaction between B-vitamins and MTHFR genotype.
RESULTS: Among supplemented participants, concentrations of all three B-vitamins
increased during the first year (all p<0.0001) across MTHFR genotype categories.
tHcy decreased by 26.3% during the first year (p<0.0001), then steadily increased
throughout the 5 years (ptrend<0.001). However, at the end of follow-up, that
increase was smaller among TT than among CT or CC subjects (pinteraction<0.02).
At baseline, the difference in tHcy concentrations between TT homozygous and CC
homozygous subjects was 2.33 MUmol/l (p<0.001). After 5 years, that difference
was reduced to 1.06 MUmol/l and remained statistically significant (p<0.001).
CONCLUSION: Participants with the TT genotype exhibited a lower 5-year decrease
in tHcy concentrations following a B-vitamin supplementation than did
participants with the CC or CT genotype. CLINICAL TRIAL REGISTRATION: Current
Controlled Trials # ISRCTN41926726.
PMID- 29813100
TI - Effects of breathing movement on the reduction of postural sway during postural
cognitive dual tasking.
AB - An execution of cognitive processing interferes with postural sway during quiet
standing. It reduces sway variability in young adults, but the mechanism is not
clear. To elucidate the mechanisms, we focused on breathing in the present study.
The purpose of this study was to clarify whether a decrease in postural sway
amplitude during a postural-cognitive task is related to the change in breathing
movement. The center of pressure (COP) was recorded via a force plate and the
motion of leg joints (ankle, knee, and hip), and breathing movements were
measured with a 3D motion capture system in quiet standing and standing with
cognitive (mental arithmetic) task conditions. The change ratios of each variable
from the quiet standing condition to the cognitive task were also calculated. It
was shown that the MASt condition produced a significantly smaller RMS of COP
displacement as compared to the QSt condition (p < 0.01). The results revealed
that the breathing rate was faster and the amplitude of breathing movement
smaller when subjects performed the cognitive task. A significant positive
correlation (r = 0.75, p < 0.01) was found between the change ratio of breathing
amplitude and the COP amplitude. The present results suggest that reduced
standing postural sway during a cognitive task is related, at least in part, to a
decrease in breathing amplitude.
PMID- 29813099
TI - Preventing dispensing errors by alerting for drug confusions in the pharmacy
information system-A survey of users.
AB - INTRODUCTION: Drug confusion is thought to be the most common type of dispensing
error. Several strategies can be implemented to reduce the risk of medication
errors. One of these are alerts in the pharmacy information system. OBJECTIVE: To
evaluate the experiences of pharmacists and pharmacy technicians with alerts for
drug name and strength confusion. METHODS: In May 2017, a cross-sectional survey
of pharmacists and pharmacy technicians was performed in community pharmacies in
the Netherlands using an online questionnaire. RESULTS: Of the 269 respondents,
86% (n = 230) had noticed the alert for drug name confusion, and 26% (n = 67) for
drug strength confusion. Of those 230, 9% (n = 20) had experienced that the alert
had prevented dispensing the wrong drug. For drug strength confusion, this
proportion was 12% (n = 8). Respondents preferred to have an alert for drug name
and strength confusion in the pharmacy information system. 'Alert fatigue' was an
important issue, so alerts should only be introduced for frequent confusions or
confusions with serious consequences. CONCLUSION: Pharmacists and pharmacy
technicians were positive about having alerts for drug confusions in their
pharmacy information system and experienced that alerts contributed to the
prevention of dispensing errors. To prevent alert fatigue, it was considered
important not to include all possible confusions as a new alert: the potential
contribution to the prevention of drug confusion should be weighed against the
risk of alert fatigue.
PMID- 29813101
TI - A calcium-dependent protein kinase, ZmCPK32, specifically expressed in maize
pollen to regulate pollen tube growth.
AB - Calcium-dependent protein kinases (CPKs) play an essential role in the regulation
of pollen tube growth. Although CPK genes have been identified in maize, and some
have been functionally characterized, the molecular function of ZmCPKs associated
with pollen tube development remains less well studied. Here, we report that a
pollen-specific CPK, ZmCPK32, is involved in the regulation of pollen germination
and tube extension. ZmCPK32 exhibited CPK activity and was localized on the
plasma membrane and punctate internal membrane compartments via N-terminal
acylation. In situ hybridization and real-time PCR revealed that ZmCPK32
transcripts accumulated in pollen and expression was dramatically upregulated
during shedding. To elucidate the function of this gene, we transiently expressed
a ZmCPK32-GFP fusion protein in tobacco pollen using microparticle bombardment.
ZmCPK32 accumulation inhibited pollen germination and reduced pollen tube growth,
but this effect was abolished when the kinase-inactive variant was expressed,
indicating that kinase activity is critical for its regulatory function. In
addition, the plasma membrane localization of ZmCPK32 is essential for regulating
polar growth, as pollen expressing the cytosol-localized kinase displayed reduced
tube length but germinated well. Moreover, the constitutively active form of
ZmCPK32 enhanced the reduction in the germination rate, indicating that the
specific activation of ZmCPK32 via calcium ions at the cortical growth point is
essential for regulating appropriate germination. The results suggest that
ZmCPK32 is functionally associated with pollen tube growth, and could represent a
potential target for breeding male-sterile maize.
PMID- 29813103
TI - Open-source micro-tensile testers via additive manufacturing for the mechanical
characterization of thin films and papers.
AB - The cost of specialized scientific equipment can be high and with limited funding
resources, researchers and students are often unable to access or purchase the
ideal equipment for their projects. In the fields of materials science and
mechanical engineering, fundamental equipment such as tensile testing devices can
cost tens to hundreds of thousands of dollars. While a research lab often has
access to a large-scale testing machine suitable for conventional samples,
loading devices for meso- and micro-scale samples for in-situ testing with the
myriad of microscopy tools are often hard to source and cost prohibitive. Open
source software has allowed for great strides in the reduction of costs
associated with software development and open-source hardware and additive
manufacturing have the potential to similarly reduce the costs of scientific
equipment and increase the accessibility of scientific research. To investigate
the feasibility of open-source hardware, a micro-tensile tester was designed with
a freely accessible computer-aided design package and manufactured with a desktop
3D-printer and off-the-shelf components. To our knowledge this is one of the
first demonstrations of a tensile tester with additively manufactured components
for scientific research. The capabilities of the tensile tester were demonstrated
by investigating the mechanical properties of Graphene Oxide (GO) paper and thin
films. A 3D printed tensile tester was successfully used in conjunction with an
atomic force microscope to provide one of the first quantitative measurements of
GO thin film buckling under compression. The tensile tester was also used in
conjunction with an atomic force microscope to observe the change in surface
topology of a GO paper in response to increasing tensile strain. No significant
change in surface topology was observed in contrast to prior hypotheses from the
literature. Based on this result obtained with the new open source tensile stage
we propose an alternative hypothesis we term 'superlamellae consolidation' to
explain the initial deformation of GO paper. The additively manufactured tensile
tester tested represents cost savings of >99% compared to commercial solutions in
its class and offers simple customization. However, continued development is
needed for the tensile tester presented here to approach the technical
specifications achievable with commercial solutions.
PMID- 29813102
TI - Palmitate and insulin counteract glucose-induced thioredoxin interacting protein
(TXNIP) expression in insulin secreting cells via distinct mechanisms.
AB - Glucose and palmitate synergistically stimulate insulin secretion, but
chronically elevated they induce apoptotic beta-cell death. The glucotoxic effect
has been attributed, at least partly, to the upregulation of the oxidative stress
marker thioredoxin interacting protein (TXNIP). Palmitate downregulates TXNIP
expression, the functional significance of which is still under debate. This
study examines the mechanism and consequence of palmitate-mediated TXNIP
regulation in insulin secreting cells. Palmitate (600 MUM) reduced TXNIP mRNA
levels in isolated human and mouse islets independently of FFAR1/GPR40. Similar
effects of palmitate were observed in INS-1E cells and mimicked by other long
chain fatty acids. The lowering of TXNIP mRNA was significant already 1 h after
addition of palmitate, persisted for 24 h and was directly translated to changes
in TXNIP protein. The pharmacological inhibition of palmitate-induced
phosphorylation of AMPK, ERK1/2, JNK and PKCalpha/beta by BML-275, PD98059,
SP600125 and Go6976, respectively, did not abolish palmitate-mediated TXNIP
downregulation. The effect of palmitate was superimposed by a time-dependent (8 h
and 24 h) decline of TXNIP mRNA and protein. This decline correlated with
accumulation of secreted insulin into the medium. Accordingly, exogenously added
insulin reduced TXNIP mRNA and protein levels, an effect counteracted by the
insulin/IGF-1 receptor antagonist linsitinib. The inhibition of PI3K and Akt/PKB
increased TXNIP mRNA levels. The histone deacetylase (HDAC1/2/3) inhibitor MS-275
completely abrogated the time-dependent, insulin-mediated reduction of TXNIP,
leaving the effect of palmitate unaltered. Acute stimulation of insulin secretion
and chronic accentuation of cell death by palmitate occurred independently of
TXNIP regulation. On the contrary, palmitate antagonized glucose-augmented ROS
production. In conclusion, glucose-induced TXNIP expression is efficiently
antagonized by two independent mechanisms, namely via an autocrine activation of
insulin/IGF-1 receptors involving HDAC and by palmitate attenuating oxidative
stress of beta-cells.
PMID- 29813104
TI - Study of new practical ESR dosimeter based on carbonated hydroxyapatite and its
dosimetric properties.
AB - The development of new dosimeters with good dosimetric properties is important
for quality control in radiation applications. A new practical electron spin
resonance (ESR) dosimeter based on carbonated hydroxyapatite that simulated the
composition and structure of tooth enamel was specially synthesized. The
synthesized material was investigated by transmission electron microscope, X-ray
diffraction, fourier transform infrared spectroscopy and X-ray photo electron
spectroscopy to confirm to the main composition of carbonated hydroxyapatite with
CO32- successfully doped into the crystal lattice through optimizing the
synthesis process of C/P molar ratio, pH value dynamical adjustment, annealing
temperature and time. The dosimetric properties were systematically investigated
by ESR spectroscopy. The results indicated that the radiation induced signal had
a good dose response within a relatively wide dose range. The dose response was
linear in the dose range of 0-400 Gy with a correlation coefficient of 0.9999 and
had dose accumulative effect in the experimental dose range of 0-100 Gy. In a
wider dose range up to 30 kGy, the dose response also presented linear feature in
double-logarithmic coordinate system with a correlation coefficient of 0.9970.
The dose detection limit was about 0.34Gy with a given probability of 95%
confidence level depending upon a rigid calculation algorithm. The signal was
extremely stable in the observation time of 360 days with a variation coefficient
of 3.8%. The radiation sensitivity of the material showed no remarkable variation
against photon energy from 662 KeV to 1.25 MeV and dose rate from 0.86 Gy/min to
12.17 Gy/min. The material showed more sensitive in lower photon energy range
below 662 keV, which hint additional calibration may need when using in special
photon energy condition. The preliminary results suggested that this newly
developed dosimeter was potential to become a practical dosimeter that would
expand the application fields of ESR dosimetry.
PMID- 29813105
TI - Vervet monkey (Chlorocebus pygerythrus) behavior in a multi-destination route:
Evidence for planning ahead when heuristics fail.
AB - Animal paths are analogous to intractable mathematical problems like the
Traveling Salesman Problem (TSP) and the shortest path problem (SPP). Both the
TSP and SPP require an individual to find the shortest path through multiple
targets but the TSP demands a return to the start, while the SPP does not. Vervet
monkeys are very efficient in solving TSPs but this species is a multiple central
place forager that does not always return to the same sleeping site and thus
theoretically should be selected to find solutions to SPPs rather than TSPs. We
examined path choice by wild vervets in an SPP experimental array where the
shortest paths usually differed from those consistent with common heuristic
strategies, the nearest-neighbor rule (NNR-go to the closest resource that has
not been visited), and the convex hull (put a mental loop around sites, adding
inner targets in order of distance from the edge)-an efficient strategy for TSPs
but not SPPs. In addition, humans solving SPPs use an initial segment strategy
(ISS-choose the straightest path at the beginning, only turning when necessary)
and we looked at vervet paths consistent with this strategy. In 615 trials by
single foragers, paths usually conformed to the NNR and rarely the slightly more
efficient convex hull, supporting that vervets may be selected to solve SPPs.
Further, like humans solving SPPs, vervets showed a tendency to use the ISS.
Paths consistent with heuristics dropped off sharply, and use of the shortest
path increased, when heuristics led to longer paths showing trade-offs in
efficiency versus cognitive load. Two individuals out of 17, found the shortest
path most often, showing inter-individual variation in path planning. Given
support for the NNR and the ISS, we propose a new rule-of-thumb termed the
"region heuristic" that vervets may apply in multi-destination routes.
PMID- 29813106
TI - Whole blood transcriptional profiles as a prognostic tool in complete and
incomplete Kawasaki Disease.
AB - BACKGROUND: Early identification of children with Kawasaki Disease (KD) is key
for timely initiation of intravenous immunoglobulin (IVIG) therapy. However, the
diagnosis of the disease remains challenging, especially in children with an
incomplete presentation (inKD). Moreover, we currently lack objective tools for
identification of non-response (NR) to IVIG. METHODS: Children with KD were
enrolled and samples obtained before IVIG treatment and sequentially at 24 h and
4-6 weeks post-IVIG in a subset of patients. We also enrolled children with other
febrile illnesses [adenovirus (AdV); group A streptococcus (GAS)] and healthy
controls (HC) for comparative analyses. Blood transcriptional profiles were
analyzed to define: a) the cKD and inKD biosignature, b) compare the KD signature
with other febrile illnesses and, c) identify biomarkers predictive of clinical
outcomes. RESULTS: We identified a cKD biosignature (n = 39; HC, n = 16) that was
validated in two additional cohorts of children with cKD (n = 37; HC, n = 20) and
inKD (n = 13; HC, n = 8) and was characterized by overexpression of inflammation,
platelets, apoptosis and neutrophil genes, and underexpression of T and NK cell
genes. Classifier genes discriminated KD from adenovirus with higher sensitivity
and specificity (92% and 100%, respectively) than for GAS (75% and 87%,
respectively). We identified a genomic score (MDTH) that was higher at baseline
in IVIG-NR [median 12,290 vs. 5,572 in responders, p = 0.009] and independently
predicted IVIG-NR. CONCLUSION: A reproducible biosignature from KD patients was
identified, and was similar in children with cKD and inKD. A genomic score
allowed early identification of children at higher risk for non-response to IVIG.
PMID- 29813108
TI - Cannibalism in temporary waters: Simulations and laboratory experiments revealed
the role of spatial shape in the mosquito Aedes albopictus.
AB - Cannibalism is a commonly observed phenomenon in arthropod species having
relevant consequences for population dynamics and individual fitness. It is a
context-dependent behaviour and an understanding of the factors affecting
cannibalism rate is crucial to highlight its ecological relevance. In mosquitoes,
cannibalism between larval stages has been widely documented, and the role of
density, food availability and length of contact between individuals also
ascertained. However, although mosquitoes can develop in temporary water habitats
with very heterogeneous topologies, the role of the site shape where cannibals
and victims co-occur has been instead overlooked. In this paper, we investigated
this issue by using a simulation approach and laboratory cannibalism experiments
between old (third- and fourth-instars) and young (first-instar) larvae of the
tiger mosquito Aedes albopictus. Three virtual spaces with different shapes were
simulated and the number of larval encounters was estimated in each one to assess
whether the spatial shape affected the number of encounters between cannibal and
victims. Then, experimental trials in containers with similar shapes to those
used in the simulations were performed, and the cannibalism rate was estimated at
24 and 48h. Our results showed that the spatial shape plays a role on cannibalism
interactions, affecting the number of encounters between individuals. Indeed, in
the experimental trials performed, we observed the highest cannibalism rate in
the container with the highest number of encounters predicted by the simulations.
Interestingly, we found also that spatial shape can affect cannibalism not only
by affecting the number of encounters, but also the number of encounters
"favorable" for cannibalistic events. Temporary waters are inhabited by several
species other than mosquitoes. Our results, showing an influence of the spatial
shape on cannibalism in Ae. albopictus larvae, add a new critical factor to those
affecting ecological interactions in these habitats.
PMID- 29813107
TI - Glucagon-like peptide 1 receptor (GLP-1R) expression by nerve fibres in
inflammatory bowel disease and functional effects in cultured neurons.
AB - INTRODUCTION: Glucagon like-peptide 1 receptor (GLP-1R) agonists diminish
appetite and may contribute to the weight loss in inflammatory bowel disease
(IBD). OBJECTIVES: The aim of this study was to determine, for the first time,
the expression of GLP-1R by colon nerve fibres in patients with IBD, and
functional effects of its agonists in cultured rat and human sensory neurons.
METHODS: GLP-1R and other nerve markers were studied by immunohistochemistry in
colon biopsies from patients with IBD (n = 16) and controls (n = 8), human dorsal
root ganglia (DRG) tissue, and in GLP-1R transfected HEK293 cells. The
morphological effects of incretin hormones oxyntomodulin, exendin-4 and glucagon
were studied on neurite extension in cultured DRG neurons, and their functional
effects on capsaicin and ATP signalling, using calcium imaging. RESULTS:
Significantly increased numbers of colonic mucosal nerve fibres were observed in
IBD biopsies expressing GLP-1R (p = 0.0013), the pan-neuronal marker PGP9.5 (p =
0.0008), and sensory neuropeptide CGRP (p = 0.0014). An increase of GLP-1R
positive nerve fibres in IBD colon was confirmed with a different antibody to GLP
1R (p = 0.016). GLP-1R immunostaining was intensely positive in small and medium
sized neurons in human DRG, and in human and rat DRG cultured neurons. Co
localization of GLP-1R expression with neuronal markers in colon and DRG
confirmed the neural expression of GLP-1R, and antibody specificity was confirmed
in HEK293 cells transfected with the GLP-1R. Treatment with oxyntomodulin,
exendin-4 and GLP-1 increased neurite length in cultured neurons compared with
controls, but did not stimulate calcium influx directly, or affect capsaicin
responses. However, exendin-4 significantly enhanced ATP responses in human DRG
neurons. CONCLUSION: Our results show that increased GLP-1R innervation in IBD
bowel could mediate enhanced visceral afferent signalling, and provide a
peripheral target for therapeutic intervention. The differential effect of GLP-1R
agonists on capsaicin and ATP responses in neurons suggest they may not affect
pain mechanisms mediated by the capsaicin receptor TRPV1, but may enhance the
effects of purinergic agonists.
PMID- 29813110
TI - Data-driven analysis of biomedical literature suggests broad-spectrum benefits of
culinary herbs and spices.
AB - Spices and herbs are key dietary ingredients used across cultures worldwide.
Beyond their use as flavoring and coloring agents, the popularity of these
aromatic plant products in culinary preparations has been attributed to their
antimicrobial properties. Last few decades have witnessed an exponential growth
of biomedical literature investigating the impact of spices and herbs on health,
presenting an opportunity to mine for patterns from empirical evidence.
Systematic investigation of empirical evidence to enumerate the health
consequences of culinary herbs and spices can provide valuable insights into
their therapeutic utility. We implemented a text mining protocol to assess the
health impact of spices by assimilating, both, their positive and negative
effects. We conclude that spices show broad-spectrum benevolence across a range
of disease categories in contrast to negative effects that are comparatively
narrow-spectrum. We also implement a strategy for disease-specific culinary
recommendations of spices based on their therapeutic tradeoff against adverse
effects. Further by integrating spice-phytochemical-disease associations, we
identify bioactive spice phytochemicals potentially involved in their therapeutic
effects. Our study provides a systems perspective on health effects of culinary
spices and herbs with applications for dietary recommendations as well as
identification of phytochemicals potentially involved in underlying molecular
mechanisms.
PMID- 29813109
TI - Prefronto-cortical dopamine D1 receptor sensitivity can critically influence
working memory maintenance during delayed response tasks.
AB - The dopamine (DA) hypothesis of cognitive deficits suggests that too low or too
high extracellular DA concentration in the prefrontal cortex (PFC) can severely
impair the working memory (WM) maintenance during delay period. Thus, there
exists only an optimal range of DA where the sustained-firing activity, the
neural correlate of WM maintenance, in the cortex possesses optimal firing
frequency as well as robustness against noisy distractions. Empirical evidences
demonstrate changes even in the D1 receptor (D1R)-sensitivity to extracellular
DA, collectively manifested through D1R density and DA-binding affinity, in the
PFC under neuropsychiatric conditions such as ageing and schizophrenia. However,
the impact of alterations in the cortical D1R-sensitivity on WM maintenance has
yet remained poorly addressed. Using a quantitative neural mass model of the
prefronto-mesoprefrontal system, the present study reveals that higher D1R
sensitivity may not only effectuate shrunk optimal DA range but also shift of the
range to lower concentrations. Moreover, higher sensitivity may significantly
reduce the WM-robustness even within the optimal DA range and exacerbates the
decline at abnormal DA levels. These findings project important clinical
implications, such as dosage precision and variability of DA-correcting drugs
across patients, and failure in acquiring healthy WM maintenance even under drug
controlled normal cortical DA levels.
PMID- 29813111
TI - Intravenous thrombolysis in acute central retinal artery occlusion - A
prospective interventional case series.
AB - BACKGROUND: No evidence-based therapy exists for non-arteritic central retinal
artery occlusion (NA-CRAO). Retinal ischemic tolerance is low; irreversible
damage occurs within four hours of experimental NA-CRAO. In previous randomized
trials evaluating intra-arterial or intravenous thrombolysis (IVT) in NA-CRAO,
only one patient was treated this early. In December 2013, the Departments of
Neurology & Stroke and Ophthalmology at University Hospital Tuebingen, Germany,
decided to treat patients using IVT within 4.5 hours of NA-CRAO, the therapeutic
window established for ischemic stroke. MATERIALS AND METHODS: Consecutive NA
CRAO patients with severe visual loss received IVT after exclusion of
intracranial hemorrhage. Follow-up was conducted at day 5 (d5) and day 30 (d30).
Visual outcomes were compared to the conservative standard treatment (CST) arm of
the EAGLE-trial. RESULTS: Until August 2016, 20 patients received IVT within 4.5
hours after NA-CRAO with a median onset-to-treatment time of 210 minutes (IQR 120
240). Visual acuity improved from baseline mean logarithm of the minimum angle of
resolution 2.46+/-0.33 (SD) (light perception) to 1.52+/-1.09 (Snellen
equivalent: 6/200) at d5 (p = 0.002) and 1.60+/-1.08 (Snellen equivalent: 6/240)
at d30. Compared to the EAGLE CST-arm, functional recovery to reading ability
occurred more frequently after IVT: 6/20 (30%) versus 1/39 (3%) at d5 (p = 0.005)
and at d30 5/20 (25%) versus 2/37 (5%) (p = 0.045). Two patients experienced
serious adverse events (one angioedema and one bleeding from an abdominal aortic
aneurysm) but recovered without sequelae. CONCLUSIONS: IVT within 4.5 hours after
symptom onset may represent an effective treatment of NA-CRAO. Randomized trials
are warranted to evaluate efficacy and safety of early IVT in acute NA-CRAO.
PMID- 29813112
TI - The importance of waist circumference and body mass index in cross-sectional
relationships with risk of cardiovascular disease in Vietnam.
AB - BACKGROUND: Waist circumference (WC) is an indicator of intra-abdominal adipose
tissue, high levels of which confer an increased risk of cardiometabolic disease.
Population data on WC should be more informative than data on body mass index
(BMI), which is a general indicator of body size. This study aimed to evaluate
the importance of WC relative to BMI in cross-sectional relationships with blood
pressure (BP), glucose, and total cholesterol (TC) in the adult population of
Vietnam. METHODS: The data were collected in a population-based survey conducted
during 2009-10 using the "WHO STEPwise approach to surveillance of risk factors
for non-communicable disease" (STEPS) methodology. The survey participants (n =
14 706 aged 25 to 64 years) were selected by multi-stage stratified cluster
sampling from eight provinces representative of the eight geographical regions of
Vietnam. All measurements were performed in accordance with the STEPS protocols.
All analyses were performed using complex survey methods. RESULTS: The
measurements of WC and BMI were highly correlated (men r = 0.80, women r = 0.77).
For men, the strongest and predominant associations with BP, glucose, and TC were
for WC or an index based on WC. For women, this was true for glucose but BMI was
more important for BP and TC. WC or an index based on WC provided better
discrimination than BMI of hypertension and elevated glucose, and of raised TC
for men. Information on four new anthropometric indices did not improve model fit
or subject discrimination. CONCLUSION: For BP/hypertension, glucose/elevated
glucose, and TC/raised TC, WC was more informative than BMI for Vietnamese men,
but both WC and BMI were important for Vietnamese women. Both WC and BMI need to
be assessed for estimation of CVD risk in Vietnam.
PMID- 29813114
TI - Barriers and enablers to a physician-delivered educational initiative to reduce
low-acuity visits to the pediatric emergency department.
AB - BACKGROUND: Use of the pediatric emergency department (PED) for low-acuity health
issues is a growing problem, contributing to overcrowding, longer waits and
higher health system costs. This study examines an educational initiative aimed
at reducing low-acuity PED visits. The initiative, implemented at an academic
pediatric hospital, saw PED physicians share a pamphlet with caregivers to
educate them about appropriate PED use and alternatives. Despite early impacts,
the initiative was not sustained. This study analyzes the barriers and enablers
to physician participation in the initiative, and offers strategies to improve
implementation and sustainability of similar future initiatives. METHODS: Forty
two PED physicians were invited to participate in a semi-structured individual
interview assessing their views about low-acuity visits, their pamphlet use,
barriers and enablers to pamphlet use, and the initiative's potential for
reducing low-acuity visits. Suggestions were solicited for improving the
initiative and reducing low-acuity visits. Constant comparative method was used
during analysis. Codes were developed inductively and iteratively, then grouped
according to the Theoretical Domains Framework (TDF). Efforts to ensure study
credibility included seeking participant feedback on the findings. RESULTS:
Twenty-three PED physicians were interviewed (55%). Barriers and enablers for
pamphlet use were identified and grouped according to five of the 14 TDF domains:
social/professional role and identity; beliefs about consequences; environmental
context and resources; social influences; and emotions. CONCLUSIONS: The TDF
provided an effective approach to identify the key elements influencing physician
participation in the educational initiative. This information will help inform
behavior change interventions to improve the implementation of similar future
initiatives that involve physicians as the primary educators of caregivers.
PMID- 29813113
TI - Spiroplasma infection in Harmonia axyridis - Diversity and multiple infection.
AB - The heritable endosymbiotic bacterium Spiroplasma is found in the harlequin
ladybird Harmonia axyridis. The proportion of beetles infected with Spiroplasma
in different native H. axyridis populations varies from 2% to 49%. We
investigated the polymorphism of Spiroplasma strains in samples from individual
beetles from Kyoto, Vladivostok, Troitsa Bay, Novosibirsk, and Gorno-Altaisk. To
identify Spiroplasma strains, we analyzed nucleotide polymorphisms of the 16S
rRNA gene and the ribosomal internal transcribed spacer (ITS1). The majority of
infected beetles were infected with two or more Spiroplasma strains. We measured
Spiroplasma density in beetles with different infection status using quantitative
PCR. The abundance of Spiroplasma in samples with a single infection is an order
of magnitude lower than in samples with multiple infections. Density dependent
biological effects of Spiroplasma are discussed.
PMID- 29813115
TI - Factors associated with an outbreak of hospital-onset, healthcare facility
associated Clostridium difficile infection (HO-HCFA CDI) in a Mexican tertiary
care hospital: A case-control study.
AB - OBJECTIVE: To identify clinical and environmental factors associated with an
outbreak of hospital-onset, healthcare facility-associated Clostridium difficile
infection (HO-HCFA CDI). DESIGN: Case-control study. SETTING: Public, acute care,
academic tertiary referral center in Mexico. PATIENTS: Adults hospitalized >=48
hours between January 2015 and December 2016 were included. Cases were patients
with a first episode of HO-HCFA CDI. Controls were patients with any other
diagnosis; they were randomly selected from the hospital discharge database and
matched in a 1:2 manner according to the date of diagnosis of case +/- 10 days.
Variables with p<0.1 were considered for multivariable analysis. RESULTS: One
hundred and fifty-five cases and 310 controls were included. Variables
independently associated with HO-HCFA CDI were: exposure to both ciprofloxacin
and proton pump inhibitor (PPI) within the last 3 months (OR = 8.07, 95% CI =
1.70-38.16), febrile neutropenia (OR = 4.61, 95% CI = 1.37-15.46), intraabdominal
infection (OR = 2.06, 95% CI = 0.95-4.46), referral from other hospitals (OR =
1.99, 95% CI = 0.98-4.05) and an increasing number of antibiotics previously used
(OR = 1.28, 95% CI = 1.13-1.46). CONCLUSIONS: Multiple factors were found to be
associated with the first episode of HO-HCFA CDI in the setting of an outbreak;
of the modifiable risk factors, prior exposure to both ciprofloxacin and PPI was
the most important. Referral from other hospitals was an environmental risk
factor that deserves further study.
PMID- 29813116
TI - The tree species pool of Amazonian wetland forests: Which species can assemble in
periodically waterlogged habitats?
AB - We determined the filtered tree species pool of Amazonian wetland forests, based
on confirmed occurrence records, to better understand how tree diversity in
wetland environments compares to tree diversity in the entire Amazon region. The
tree species pool was determined using data from two main sources: 1) a
compilation of published tree species lists plus one unpublished list of our own,
derived from tree plot inventories and floristic surveys; 2) queries on botanical
collections that include Amazonian flora, curated by herbaria and available
through the SpeciesLink digital biodiversity database. We applied taxonomic name
resolution and determined sample-based species accumulation curves for both
datasets, to estimate sampling effort and predict the expected species richness
using Chao's analytical estimators. We report a total of 3 615 valid tree species
occurring in Amazonian wetland forests. After surveying almost 70 years of
research efforts to inventory the diversity of Amazonian wetland trees, we found
that 74% these records were registered in published species lists (2 688 tree
species). Tree species richness estimates predicted from either single dataset
underestimated the total pooled species richness recorded as occurring in
Amazonian wetlands, with only 41% of the species shared by both datasets. The
filtered tree species pool of Amazonian wetland forests comprises 53% of the 6
727 tree species taxonomically confirmed for the Amazonian tree flora to date.
This large proportion is likely to be the result of significant species
interchange among forest habitats within the Amazon region, as well as in situ
speciation processes due to strong ecological filtering. The provided tree
species pool raises the number of tree species previously reported as occurring
in Amazonian wetlands by a factor of 3.2.
PMID- 29813117
TI - Competition and niche construction in a model of cancer metastasis.
AB - Niche construction theory states that not only does the environment act on
populations to generate Darwinian selection, but organisms reciprocally modify
the environment and the sources of natural selection. Cancer cells participate in
niche construction as they alter their microenvironments and create pre
metastatic niches; in fact, metastasis is a product of niche construction. Here,
we present a mathematical model of niche construction and metastasis. Our model
contains producers, which pay a cost to contribute to niche construction that
benefits all tumor cells, and cheaters, which reap the benefits without paying
the cost. We derive expressions for the conditions necessary for metastasis,
showing that the establishment of a mutant lineage that promotes metastasis
depends on niche construction specificity and strength of interclonal
competition. We identify a tension between the arrival and invasion of metastasis
promoting mutants, where tumors composed only of cheaters remain small but are
susceptible to invasion whereas larger tumors containing producers may be unable
to facilitate metastasis depending on the level of niche construction
specificity. Our results indicate that even if metastatic subclones arise through
mutation, metastasis may be hindered by interclonal competition, providing a
potential explanation for recent surprising findings that most metastases are
derived from early mutants in primary tumors.
PMID- 29813118
TI - Maternal height and risk of caesarean section in singleton births in Sweden-A
population-based study using data from the Swedish Pregnancy Register 2011 to
2016.
AB - Caesarean section (CS) has short and long term adverse health consequences, and
should therefore only be undertaken when necessary. Risk factors such as maternal
age, maternal body mass index (BMI) and fetal weight have been extensively
investigated in relation to CS, but the significance of maternal height has been
less explored in Sweden. The aim was to investigate the significance of maternal
height on risk of CS in a representative, population-based sample from Sweden,
also taking into account confounders. Data on singleton births in the Swedish
Pregnancy Register 2011 to 2016 were collected, including women with heights of
140 cm and above, constituting a sample of 581,844 women. Data were analysed with
epidemiological and biostatistical methods. Mean height was 166.1 cm. Women born
outside Sweden were significantly shorter than women born in Sweden (162.8 cm vs.
167.1 cm, p<0.001). There was a decreasing risk of CS with increasing maternal
height. This effect remained after adjustment for other risk factors for CS such
as maternal age, BMI, gestational age, parity, high birth weight and country of
birth. Frequency of CS was higher among women born outside Sweden compared with
Swedish-born women (17.3% vs. 16.0%), however, in a multiple regression model
country of birth outside Sweden diminished as a risk factor for CS. Maternal
height of 178-179 cm was associated with the lowest risk of CS (OR = 0.76, CI95%
0.71-0.81), whereas height below 160 cm explained 7% of CS cases. BMI and
maternal age are established factors involved in clinical assessments related to
birth, and maternal height should increasingly enjoy a similar status in these
considerations. Moreover, when healthcare professionals are counselling pregnant
women, taller stature should be more emphasized as a positive indicator for
successful vaginal birth to increase pregnant women's confidence in giving birth
vaginally, with possible positive impacts for lowering CS rates.
PMID- 29813120
TI - Architecture of marine food webs: To be or not be a 'small-world'.
AB - The search for general properties in network structure has been a central issue
for food web studies in recent years. One such property is the small-world
topology that combines a high clustering and a small distance between nodes of
the network. This property may increase food web resilience but make them more
sensitive to the extinction of connected species. Food web theory has been
developed principally from freshwater and terrestrial ecosystems, largely
omitting marine habitats. If theory needs to be modified to accommodate
observations from marine ecosystems, based on major differences in several
topological characteristics is still on debate. Here we investigated if the small
world topology is a common structural pattern in marine food webs. We developed a
novel, simple and statistically rigorous method to examine the largest set of
complex marine food webs to date. More than half of the analyzed marine networks
exhibited a similar or lower characteristic path length than the random
expectation, whereas 39% of the webs presented a significantly higher clustering
than its random counterpart. Our method proved that 5 out of 28 networks
fulfilled both features of the small-world topology: short path length and high
clustering. This work represents the first rigorous analysis of the small-world
topology and its associated features in high-quality marine networks. We conclude
that such topology is a structural pattern that is not maximized in marine food
webs; thus it is probably not an effective model to study robustness, stability
and feasibility of marine ecosystems.
PMID- 29813119
TI - MIND model for triple-negative breast cancer in syngeneic mice for quick and
sequential progression analysis of lung metastasis.
AB - Mouse models of breast cancer with specific molecular subtypes (e.g., ER or HER2
positive) in an immunocompetent or an immunocompromised environment significantly
contribute to our understanding of cancer biology, despite some limitations, and
they give insight into targeted therapies. However, an ideal triple-negative
breast cancer (TNBC) mouse model is lacking. What has been missing in the TNBC
mouse model is a sequential progression of the disease in an essential native
microenvironment. This notion inspired us to develop a TNBC-model in syngeneic
mice using a mammary intraductal (MIND) method. To achieve this goal, Mvt-1and
4T1 TNBC mouse cell lines were injected into the mammary ducts via nipples of
FVB/N mice and BALB/c wild-type immunocompetent mice, respectively. We
established that the TNBC-MIND model in syngeneic mice could epitomize all breast
cancer progression stages and metastasis into the lungs via lymphatic or
hematogenous dissemination within four weeks. Collectively, the syngeneic mouse
TNBC-MIND model may serve as a unique platform for further investigation of the
underlying mechanisms of TNBC growth and therapies.
PMID- 29813121
TI - Prognostic significance of NF-kappaB expression in non-small cell lung cancer: A
meta-analysis.
AB - Nuclear factor kappa B (NF-kappaB), a key nuclear transcription factor, is
associated with prognosis in a variety of human cancers. However, the clinical
value of NF-kappaB in non-small cell lung cancer (NSCLC) is still controversial.
Therefore, the aim of this meta-analysis was to obtain an accurate evaluation of
the relationship between NF-kappaB expression and survival prognosis of NSCLC
patients based on published articles. PubMed, EMBASE and Web of Science databases
were systematically searched for potential articles. A total of 1159 patients
from 7 eligible studies comparing prognostic significance of NF-kappaB expression
levels in NSCLC were included in our meta-analysis. I2 statistic and P value were
performed to evaluate heterogeneity. The results of analysis were presented as
hazard ratio (HR) or odds ratios (OR) with 95% confidence interval (95% CI).
Subgroup analysis based on ethnicity of NSCLC patients and NF-kB cellular
localization within cancer cells were conducted to illustrate the potential
discrepancy. Significant heterogeneity was considered at I2>50% and P<0.05, and
random-effects model was used. The combined results indicated that higher NF
kappaB expression was associated with shorter overall survival (OS) of NSCLC
patients (HR = 2.78, 95% CI = 1.51-5.12, P = 0.001). Moreover, NF-kappaB
expression was closely associated with tumor stage (HR = 0.32, 95% CI = 0.18
0.57, P<0.0001), lymph node metastasis (HR = 0.56, 95% CI = 0.38-0.83, P = 0.004)
and 5-year OS for NSCLC patients (OR = 1.83, 95% CI = 1.02-3.31, P = 0.04). We
conclude that NF-kappaB expression may be a potential unfavorable prognostic
marker for NSCLC patients.
PMID- 29813123
TI - Correction: Does a narcissism epidemic exist in modern western societies?
Comparing narcissism and self-esteem in East and West Germany.
AB - [This corrects the article DOI: 10.1371/journal.pone.0188287.].
PMID- 29813122
TI - The small RNA complement of adult Schistosoma haematobium.
AB - BACKGROUND: Blood flukes of the genus Schistosoma cause schistosomiasis-a
neglected tropical disease (NTD) that affects more than 200 million people
worldwide. Studies of schistosome genomes have improved our understanding of the
molecular biology of flatworms, but most of them have focused largely on protein
coding genes. Small non-coding RNAs (sncRNAs) have been explored in selected
schistosome species and are suggested to play essential roles in the post
transcriptional regulation of genes, and in modulating flatworm-host
interactions. However, genome-wide small RNA data are currently lacking for key
schistosomes including Schistosoma haematobium-the causative agent of urogenital
schistosomiasis of humans. METHODOLOGY: MicroRNAs (miRNAs) and other sncRNAs of
male and female adults of S. haematobium and small RNA transcription levels were
explored by deep sequencing, genome mapping and detailed bioinformatic analyses.
PRINCIPAL FINDINGS: In total, 89 transcribed miRNAs were identified in S.
haematobium-a similar complement to those reported for the congeners S. mansoni
and S. japonicum. Of these miRNAs, 34 were novel, with no homologs in other
schistosomes. Most miRNAs (n = 64) exhibited sex-biased transcription, suggestive
of roles in sexual differentiation, pairing of adult worms and reproductive
processes. Of the sncRNAs that were not miRNAs, some related to the spliceosome
(n = 21), biogenesis of other RNAs (n = 3) or ribozyme functions (n = 16),
whereas most others (n = 3798) were novel ('orphans') with unknown functions.
CONCLUSIONS: This study provides the first genome-wide sncRNA resource for S.
haematobium, extending earlier studies of schistosomes. The present work should
facilitate the future curation and experimental validation of sncRNA functions in
schistosomes to enhance our understanding of post-transcriptional gene regulation
and of the roles that sncRNAs play in schistosome reproduction, development and
parasite-host cross-talk.
PMID- 29813124
TI - Incorporation of the novel bacterial blight resistance gene Xa38 into the genetic
background of elite rice variety Improved Samba Mahsuri.
AB - Bacterial blight (BB) in rice caused by Xanthomonas oryzae pv. oryzae (Xoo) is a
major global production constraint, particularly in irrigated and rain-fed
lowland areas. Improved Samba Mahsuri (ISM) is an elite, high-yielding, fine
grain type, BB-resistant rice variety possessing three BB-resistant genes (Xa21,
xa13 and xa5) and is highly popular in the southern parts of India. As the BB
pathogen is highly dynamic and the evolution of pathogen virulence against the
deployed resistance genes is common, we added a novel BB-resistant gene, Xa38,
into ISM through marker-assisted backcross breeding (MABB) to increase the
spectrum and durability of BB resistance. The breeding line PR 114 (Xa38) was
used as the donor for Xa38, whereas ISM was used as the recurrent parent.
Foreground selection was conducted using PCR-based gene-specific markers for the
target genes, whereas background selection was conducted using a set of
polymorphic SSR markers between the parents and backcrossing that continued until
the third generation. Eighteen homozygous BC3F2 plants possessing all four BB
resistant genes in the homozygous state and with a recurrent parent genome (RPG)
recovery of more than 92% were identified and advanced to the BC3F6 generation.
These 18 backcross-derived lines (BDLs) exhibited very high level of resistance
against multiple Xoo strains and displayed agro-morphological traits, grain
qualities and yield levels similar to or better than those of the recurrent
parent ISM.
PMID- 29813125
TI - Role of integrin alpha8 in murine model of lung fibrosis.
AB - BACKGROUND: Integrin alpha8 (ITGA8) heterodimerizes with integrin beta1 and is
highly expressed in stromal cells of the lung. Platelet-derived growth factor
receptor beta (PDGFRbeta+) cells constitute a major population of contractile
myofibroblasts in the lung following bleomycin-induced fibrosis. Integrin
alpha8beta1 is upregulated in fibrotic foci in bleomycin-induced lung injury.
However, the functional role of ITGA8 in fibrogenesis has not been characterized.
In this study, we examined whether genetic deletion of ITGA8 from PDGFRbeta+
cells in the lung altered fibrosis. METHODS: Pdgfrb-Cre/+;Itga8flox/- or Pdgfrb
Cre/+;Itga8flox/flox (Cre+) and control mice (Cre-) were used for in vitro and in
vivo studies. Primary cultures of PDGFRbeta+ cells were exposed to TGFbeta,
followed by RNA isolation for qPCR. For in vivo studies, Cre+ and Cre- mice were
characterized at baseline and after bleomycin-induced fibrosis. RESULTS:
PDGFRbeta-selected cells from Cre+ animals showed higher levels of Col1a1
expression after treatment with TGFbeta. However, Cre- and Cre+ animals showed no
significant difference in measures of acute lung injury or fibrosis following
bleomycin challenge. CONCLUSION: While ITGA8 deletion in lung PDGFRbeta+ stromal
cells showed evidence of greater Col1a1 mRNA expression after TGFbeta treatment
in vitro, no functional difference was detected in vivo.
PMID- 29813126
TI - Identification of raw as a regulator of glial development.
AB - Glial cells perform numerous functions to support neuron development and
function, including axon wrapping, formation of the blood brain barrier, and
enhancement of synaptic transmission. We have identified a novel gene, raw, which
functions in glia of the central and peripheral nervous systems in Drosophila.
Reducing Raw levels in glia results in morphological defects in the brain and
ventral nerve cord, as well as defects in neuron function, as revealed by
decreased locomotion in crawling assays. Examination of the number of glia along
peripheral nerves reveals a reduction in glial number upon raw knockdown. The
reduced number of glia along peripheral nerves occurs as a result of decreased
glial proliferation. As Raw has been shown to negatively regulate Jun N-terminal
kinase (JNK) signaling in other developmental contexts, we examined the
expression of a JNK reporter and the downstream JNK target, matrix
metalloproteinase 1 (mmp1), and found that raw knockdown results in increased
reporter activity and Mmp1 levels. These results are consistent with previous
studies showing increased Mmp levels lead to nerve cord defects similar to those
observed upon raw knockdown. In addition, knockdown of puckered, a negative
feedback regulator of JNK signaling, also causes a decrease in glial number.
Thus, our studies have resulted in the identification of a new regulator of
gliogenesis, and demonstrate that increased JNK signaling negatively impacts
glial development.
PMID- 29813127
TI - Micro-computed tomography for non-invasive evaluation of muscle atrophy in mouse
models of disease.
AB - Muscle wasting occurs during various chronic diseases and precedes death in
humans as in mice. The evaluation of the degree of muscle atrophy in diseased
mouse models is often overlooked since it requires the sacrifice of the animals
for muscle examination or expensive instrumentation and highly qualified
personnel, such as Magnetic Resonance Imaging (MRI). Very often behavioral tests
for muscle strength evaluation are used as an outcome measurement in preclinical
therapeutic trials. However, these tests are easy to perform serially, but not
enough sensitive to detect early muscle changes during disease progression.
Monitoring muscle loss in living animals could allow to perform more informative
preclinical trials with a better evaluation of therapeutic benefit with respect
to muscle wasting. We developed a non-invasive procedure based on micro-computed
tomography (micro-CT) without contrast agents to monitor hind limb muscle wasting
in mouse models of amyotrophic lateral sclerosis (ALS) and cancer cachexia: the
transgenic SOD1G93A mouse and the colon adenocarcinoma C26-bearing mouse,
respectively. We established the scanning procedure and the parameters to
consider in the reconstructed images to calculate the Index of Muscle Mass (IMM).
The coefficient of variance for the whole procedure was 2.2%. We performed
longitudinally micro-CT scan of hind limbs in SOD1G93A mice at presymptomatic and
symptomatic stages of the disease and calculated the IMM. We found that IMM in
SOD1G93A mice was lower than age-matched controls even before symptom onset. We
also detected a further decrease in IMM as disease progresses, most markedly just
before disease onset. We performed the same analyses in the C26-based mouse model
losing quickly body and muscle mass because of cancer cachexia. Overall, we found
that the reduced muscle content detected by micro-CT mirrored the reduced muscle
weight in both disease models. We developed a fast, precise and easy-to-conduct
imaging procedure to monitor hind limb muscle mass, useful in therapeutic
preclinical trials but also in proof-of-principle studies to identify the onset
of muscle wasting. This method could be widely applied to other disease models
characterized by muscle wasting, to assist drug development and search for early
biomarkers of muscle atrophy. Moreover, reducing the number of mice needed for
the experiments and being less distressing are in line with the 3R principle
embodied in national and international directives for animal research.
PMID- 29813128
TI - The fission yeast SPB component Dms1 is required to initiate forespore membrane
formation and maintain meiotic SPB components.
AB - The spindle pole body (SPB) plays a central role in spore plasma membrane
formation in addition to its recognized role in microtubule organization. During
meiosis, a biomembrane called the forespore membrane (FSM) is newly formed at the
SPB. Although several SPB proteins essential for the initiation of FSM formation
(meiotic SPB components) have been identified, the molecular mechanism is still
unknown. Here, we report the isolation and functional characterization of Dms1 as
a component of the SPB. We show that FSM formation does not initiate in dms1Delta
cells. Dms1 protein is constitutively expressed throughout the life cycle and
localizes to the SPB and the nuclear envelope. The predicted Dms1 protein has a
transmembrane domain, which is required for correct localization at the SPB. Dms1
is essential for the proper localization of three meiotic SPB components, Spo15,
Spo2, and Spo13, but these components do not affect localization of Dms1.
Collectively, these results suggest that Dms1 anchors these meiotic SPB
components to the SPB, thereby facilitating the initiation of FSM formation.
PMID- 29813129
TI - Leaf traits drive plant diversity effects on litter decomposition and FPOM
production in streams.
AB - Biodiversity loss in riparian forests has the potential to alter rates of leaf
litter decomposition in stream ecosystems. However, studies have reported the
full range of positive, negative and no effects of plant diversity loss on
decomposition, and there is currently no explanation for such inconsistent
results. Furthermore, it is uncertain whether plant diversity loss affects other
ecological processes related to decomposition, such as fine particulate organic
matter production or detritivore growth, which precludes a thorough understanding
of how detrital stream food webs are impacted by plant diversity loss. We used a
microcosm experiment to examine the effects of plant diversity loss on litter
decomposition, fine particulate organic matter production, and growth of a
dominant leaf-shredding detritivore, using litter mixtures varying in species
composition. We hypothesized that plant diversity loss would decrease the rates
of all studied processes, but such effects would depend on the leaf traits
present in litter mixtures (both their average values and their variability). Our
findings partly supported our hypotheses, showing that plant diversity loss had a
consistently negative effect on litter decomposition and fine particulate organic
matter production (but not on detritivore growth) across litter mixtures, which
was mediated by detritivores. Importantly, the magnitude of the diversity effect
and the relative importance of different mechanisms underlying this effect (i.e.,
complementarity vs. selection) varied depending on the species composition of
litter mixtures, mainly because of differences in litter nutritional quality and
trait variability. Complementarity was prevalent but varied in size, with
positive selection effects also occurring in some mixtures. Our results support
the notion that loss of riparian plant species is detrimental to key stream
ecosystem processes that drive detrital food webs, but that the magnitude of such
effects largely depends on the the order of species loss.
PMID- 29813130
TI - Optimal radiotherapy strategy for primary or recurrent fibromatosis and long-term
results.
AB - PURPOSE: Although locally invasive or recurrent fibromatosis is primarily treated
with surgery, radiotherapy (RT) produces local control for recurrent/unresectable
tumors or those with positive surgical margins. Herein, we describe our updated
institutional experience with RT to treat fibromatosis. METHODS: Forty-seven
patients with fibromatosis received RT between 1990 and 2015, and were followed
for >=12 months. Eight patients received RT for gross tumors, and 39 received
postoperative RT after single/multiple prior surgeries. A median dose of 54 Gy
was prescribed for definitive RT; 48.6, 50.4, and 54 Gy were prescribed for R0,
R1, and R2 resected tumors, respectively. Recurrences were classified as in
field, marginal, or out-field. Prognostic factors were also evaluated. RESULTS:
Seven recurrences were noted, including 2 in-field, 4 marginal, and 1 out-field,
after a median follow-up of 60 months. In-field recurrences occurred in 1 patient
who received 40.5 Gy of salvage RT after postoperative recurrence and another who
received 45 Gy for R1 resection after multiple prior operations. All marginal
failures were due to insufficient clinical target volume (CTV) margins regardless
of dose (3 with 45 Gy and 1 with 54 Gy). On multivariate analysis, a CTV margin
>=5 cm and dose >45 Gy were significant predictors of non-recurrence (p = 0.039
and 0.049, respectively). Subgroup analysis showed that patients with both an CTV
margin >=5 cm and a dose >45 Gy showed a favorable outcome. CONCLUSIONS: RT is a
valuable option for treating aggressive fibromatosis; doses >=45 Gy and a large
field produce optimal results. For in-field control, a higher dose is more
necessary for gross residual tumors than for totally excised lesions.
PMID- 29813131
TI - Creatine kinase is associated with reduced inflammation in a general population:
The Tromso study.
AB - BACKGROUND: Creatine kinase (CK) has been associated with reduced inflammation in
obesity while inflammation is associated with obesity-related cardiovascular
diseases. We investigated the relationship between CK and high sensitive C
reactive protein (hs-CRP) in a general population. METHODS: CK and hs-CRP were
measured in the population-based Tromso study that included entire birth cohorts
and random samples of citizens between 30-87 years of age. The analyses were
performed sex-stratified in 5969 men and 6827 women. RESULTS: CK correlated
negatively with hs-CRP in men (r = -0.08, P <0.001) and women (r = -0.06, P
<0.001). In univariable regression analyses, CK associated negatively with hs-CRP
in men (beta = -0.14, 95% CI -0.19 to -0.10, P <0.001) and women (beta = -0.13,
95% CI -0.18 to -0.08, P <0.001). Mean CK declined from the 2. to the 4.
quartiles of hs-CRP in both genders (P <0.001 for trends). There were positive
correlations between CK and body mass index (BMI) in men (r = 0.10, P <0.001) and
women (r = 0.07, P <0.001). Multiple regression analyses showed a 0.13 unit
decrease in hs-CRP (mg/dl) per unit CK increase in men (95% CI -0.35 to -0.20)
and 0.29 mg/dl in women (95% CI -0.36 to -0.21) when adjusted for age, BMI,
lipids, s-glucose, s-creatinine, transaminases and coronary heart disease.
CONCLUSION: CK were inversely and independently associated with hs-CRP in a
general population. These data provide evidence that CK might have anti
inflammatory properties, but the mechanism and clinical implications are
unclarified.
PMID- 29813134
TI - The developmental origins of moral concern: An examination of moral boundary
decision making throughout childhood.
AB - Prominent theorists have made the argument that modern humans express moral
concern for a greater number of entities than at any other time in our past.
Moreover, adults show stable patterns in the degrees of concern they afford
certain entities over others, yet it remains unknown when and how these patterns
of moral decision-making manifest in development. Children aged 4 to 10 years (N
= 151) placed 24 pictures of human, animal, and environmental entities on a
stratified circle representing three levels of moral concern. Although younger
and older children expressed similar overall levels of moral concern, older
children demonstrated a more graded understanding of concern by including more
entities within the outer reaches of their moral circles (i.e., they were less
likely to view moral inclusion as a simple in vs. out binary decision). With age
children extended greater concern to humans than other forms of life, and more
concern to vulnerable groups, such as the sick and disabled. Notably, children's
level of concern for human entities predicted their prosocial behavior. The
current research provides novel insights into the development of our moral
reasoning and its structure within childhood.
PMID- 29813132
TI - Vaccine antigens modulate the innate response of monocytes to Al(OH)3.
AB - Aluminum-based adjuvants have widely been used in human vaccines since 1926. In
the absence of antigens, aluminum-based adjuvants can initiate the inflammatory
preparedness of innate cells, yet the impact of antigens on this response has not
been investigated so far. In this study, we address the modulating effect of
vaccine antigens on the monocyte-derived innate response by comparing processes
initiated by Al(OH)3 and by Infanrix, an Al(OH)3-adjuvanted trivalent combination
vaccine (DTaP), containing diphtheria toxoid (D), tetanus toxoid (T) and
acellular pertussis (aP) vaccine antigens. A systems-wide analysis of stimulated
monocytes was performed in which full proteome analysis was combined with
targeted transcriptome analysis and cytokine analysis. This comprehensive study
revealed four major differences in the monocyte response, between plain Al(OH)3
and DTaP stimulation conditions: (I) DTaP increased the anti-inflammatory
cytokine IL-10, whereas Al(OH)3 did not; (II) Al(OH)3 increased the gene
expression of IFNgamma, IL-2 and IL-17a in contrast to the limited induction or
even downregulation by DTaP; (III) increased expression of type I interferons
induced proteins was not observed upon DTaP stimulation, but was observed upon
Al(OH)3 stimulation; (IV) opposing regulation of protein localization pathways
was observed for Al(OH)3 and DTaP stimulation, related to the induction of
exocytosis by Al(OH)3 alone. This study highlights that vaccine antigens can
antagonize Al(OH)3-induced programming of the innate immune responses at the
monocyte level.
PMID- 29813133
TI - IL-17 can be protective or deleterious in murine pneumococcal pneumonia.
AB - Streptococcus pneumoniae is the major bacterial cause of community-acquired
pneumonia, and the leading agent of childhood pneumonia deaths worldwide. Nasal
colonization is an essential step prior to infection. The cytokine IL-17 protects
against such colonization and vaccines that enhance IL-17 responses to
pneumococcal colonization are being developed. The role of IL-17 in host defence
against pneumonia is not known. To address this issue, we have utilized a murine
model of pneumococcal pneumonia in which the gene for the IL-17 cytokine family
receptor, Il17ra, has been inactivated. Using this model, we show that IL-17
produced predominantly from gammadelta T cells protects mice against death from
the invasive TIGR4 strain (serotype 4) which expresses a relatively thin capsule.
However, in pneumonia produced by two heavily encapsulated strains with low
invasive potential (serotypes 3 and 6B), IL-17 significantly enhanced mortality.
Neutrophil uptake and killing of the serotype 3 strain was significantly impaired
compared to the serotype 4 strain and depletion of neutrophils with antibody
enhanced survival of mice infected with the highly encapsulated SRL1 strain.
These data strongly suggest that IL-17 mediated neutrophil recruitment to the
lungs clears infection from the invasive TIGR4 strain but that lung neutrophils
exacerbate disease caused by the highly encapsulated pneumococcal strains. Thus,
whilst augmenting IL-17 immune responses against pneumococci may decrease nasal
colonization, this may worsen outcome during pneumonia caused by some strains.
PMID- 29813139
TI - Things We Do For No Reason: Neutropenic Diet.
AB - For several decades, providers have routinely restricted the diets of neutropenic
cancer patients by eliminating foods that might harbor pathogenic microbes to
reduce infection rates. These diets, known as neutropenic or low-bacteria diets,
are prescribed across the country with little uniformity in the extent or content
of prescription. These diets are difficult to follow and force patients to omit
fresh fruits and vegetables and limit dairy and meat products from their diet.
These dietary omissions compromise nutritional intake in patients who are already
at high risk of malnutrition. Randomized trials have shown that these restrictive
diets are not superior in preventing infections than more liberalized diets.
Evidence shows that adherence to the Safe Food-Handling guidelines issued by the
Food and Drug Administration, a mandate for all hospital kitchens, provides
adequate protection against food-borne infection, precluding the need for the
neutropenic diet. Thus, routine use of the neutropenic diet should be abandoned.
PMID- 29813140
TI - Use of Short Peripheral Intravenous Catheters: Characteristics, Management, and
Outcomes Worldwide.
AB - BACKGROUND: Peripheral intravenous catheter (PIVC) use in health care is common
worldwide. Failure of PIVCs is also common, resulting in premature removal and
replacement. OBJECTIVE: To investigate the characteristics, management practices,
and outcomes of PIVCs internationally. DESIGN: SETTING/PATIENTS: Cross-sectional
study. Hospitalized patients from rural, regional, and metropolitan areas
internationally. MEASUREMENTS: Hospital, device, and inserter characteristics
were collected along with assessment of the catheter insertion site. PIVC use in
different geographic regions was compared. RESULTS: We reviewed 40,620 PIVCs in
51 countries. PIVCs were used primarily for intravenous medication (n = 28,571,
70%) and predominantly inserted in general wards (n = 22,167, 55%). Two-thirds of
all devices were placed in non-recommended sites such as the hand, wrist, or
antecubital veins. Nurses inserted most PIVCs (n = 28,575, 71%); although there
was wide regional variation (26% to 97%). The prevalence of iIn this study, we
found that many PIVCs were placed in areas of flexion, were symptomatic or idle,
had suboptimal dressings, or lacked adequate documentation. This suggests
inconsistency between recommended management guidelines for PIVCs and current
practice.dle PIVCs was 14% (n = 5,796). Overall, 10% (n = 4,204) of PIVCs were
painful to the patient or otherwise symptomatic of phlebitis; a further 10% (n =
3,879) had signs of PIVC malfunction; and 21% of PIVC dressings were suboptimal
(n = 8,507). Over one-third of PIVCs (n = 14,787, 36%) had no documented daily
site assessment and half (n = 19,768, 49%) had no documented date and time of
insertion. CONCLUSIONS: In this study, we found that many PIVCs were placed in
areas of flexion, were symptomatic or idle, had suboptimal dressings, or lacked
adequate documentation. This suggests inconsistency between recommended
management guidelines for PIVCs and current practice.
PMID- 29813138
TI - Continuous DNA replication is required for late gene transcription and
maintenance of replication compartments in gammaherpesviruses.
AB - Late gene transcription in herpesviruses is dependent on viral DNA replication in
cis but the mechanistic basis for this linkage remains unknown. DNA replication
results in demethylated DNA, topological changes, removal of proteins and
recruitment of proteins to promoters. One or more of these effects of DNA
replication may facilitate late gene transcription. Using 5-azacytidine to
promote demethylation of DNA, we demonstrate that late gene transcription cannot
be rescued by DNA demethylation. Late gene transcription precedes significant
increases in DNA copy number, indicating that increased template numbers also do
not contribute to the linkage between replication and late gene transcription. By
using serial, timed blockade of DNA replication and measurement of late gene mRNA
accumulation, we demonstrate that late gene transcription requires ongoing DNA
replication. Consistent with these findings, blocking DNA replication led to
dissolution of DNA replication complexes which also contain RNA polymerase II and
BGLF4, an EBV protein required for transcription of several late genes. These
data indicate that ongoing DNA replication maintains integrity of a replication
transcription complex which is required for recruitment and retention of factors
necessary for late gene transcription.
PMID- 29813136
TI - An orphan kinesin controls trypanosome morphology transitions by targeting FLAM3
to the flagellum.
AB - Trypanosoma brucei undergoes life cycle form transitions from trypomastigotes to
epimastigotes in the insect vector by re-positioning the mitochondrial genome and
re-locating the flagellum and flagellum-associated cytoskeletal structures. The
mechanism underlying these dramatic morphology transitions remains poorly
understood. Here we report the regulatory role of the orphan kinesin KIN-E in
controlling trypanosome morphology transitions. KIN-E localizes to the flagellum
and is enriched at the flagellar tip, and this localization depends on the C
terminal m-calpain domain III-like domains. Depletion of KIN-E in the
trypomastigote form of T. brucei causes major morphology changes and a gradual
increase in the level of EP procyclin, generating epimastigote-like cells.
Mechanistically, through its C-terminal importin alpha-like domain, KIN-E targets
FLAM3, a flagellar protein involved in morphology transitions, to the flagellum
to promote elongation of the flagellum attachment zone and positioning of the
flagellum and flagellum-associated cytoskeletal structure, thereby maintaining
trypomastigote cell morphology. Our findings suggest that morphology transitions
in trypanosomes require KIN-E-mediated transport of FLAM3 to the flagellum.
PMID- 29813135
TI - De novo biosynthesis of sterols and fatty acids in the Trypanosoma brucei
procyclic form: Carbon source preferences and metabolic flux redistributions.
AB - De novo biosynthesis of lipids is essential for Trypanosoma brucei, a protist
responsible for the sleeping sickness. Here, we demonstrate that the ketogenic
carbon sources, threonine, acetate and glucose, are precursors for both fatty
acid and sterol synthesis, while leucine only contributes to sterol production in
the tsetse fly midgut stage of the parasite. Degradation of these carbon sources
into lipids was investigated using a combination of reverse genetics and analysis
of radio-labelled precursors incorporation into lipids. For instance, (i)
deletion of the gene encoding isovaleryl-CoA dehydrogenase, involved in the
leucine degradation pathway, abolished leucine incorporation into sterols, and
(ii) RNAi-mediated down-regulation of the SCP2-thiolase gene expression abolished
incorporation of the three ketogenic carbon sources into sterols. The SCP2
thiolase is part of a unidirectional two-step bridge between the fatty acid
precursor, acetyl-CoA, and the precursor of the mevalonate pathway leading to
sterol biosynthesis, 3-hydroxy-3-methylglutaryl-CoA. Metabolic flux through this
bridge is increased either in the isovaleryl-CoA dehydrogenase null mutant or
when the degradation of the ketogenic carbon sources is affected. We also
observed a preference for fatty acids synthesis from ketogenic carbon sources,
since blocking acetyl-CoA production from both glucose and threonine abolished
acetate incorporation into sterols, while incorporation of acetate into fatty
acids was increased. Interestingly, the growth of the isovaleryl-CoA
dehydrogenase null mutant, but not that of the parental cells, is interrupted in
the absence of ketogenic carbon sources, including lipids, which demonstrates the
essential role of the mevalonate pathway. We concluded that procyclic
trypanosomes have a strong preference for fatty acid versus sterol biosynthesis
from ketogenic carbon sources, and as a consequence, that leucine is likely to be
the main source, if not the only one, used by trypanosomes in the infected insect
vector digestive tract to feed the mevalonate pathway.
PMID- 29813141
TI - Is Posthospital Syndrome a Result of Hospitalization-Induced Allostatic Overload?
AB - After discharge from the hospital, patients face a transient period of
generalized susceptibility to disease as well as an elevated risk for adverse
events, including hospital readmission and death. The term posthospital syndrome
(PHS) has been used to describe this time of enhanced vulnerability. Based on
data from bench to bedside, this narrative review examines the hypothesis that
hospitalrelated allostatic overload is a plausible etiology of PHS. Resulting
from extended exposure to stress, allostatic overload is a maladaptive state
driven by overuse and dysregulation of the hypothalamic-pituitary-adrenal axis
and the autonomic nervous system that ultimately generates pathophysiologic
consequences to multiple organ systems. Markers of allostatic overload, including
elevated levels of cortisol, catecholamines, and inflammatory markers, have been
associated with adverse outcomes after hospital discharge. Based on the evidence,
we suggest a possible mechanism for postdischarge vulnerability, encourage
critical contemplation of traditional hospital environments, and suggest
interventions that might improve outcomes.
PMID- 29813142
TI - Heat Production and Drill Wear Following Osseous Resective Surgery: A Preliminary
In Vitro SEM Study Comparing Piezosurgery and Conventional Drilling.
AB - The aim of this pilot in vitro study was to evaluate material wear and
temperature variations after using conventional rotating bur and piezosurgical
insert for osseous resective surgery in bovine bone blocks under physiologic
irrigation. Wear evaluation was carried out by measuring cutting time, and wear
mechanisms were analyzed by scanning electron microscopy. Time analysis showed
greater material wear and higher heat production with the piezoelectric insert.
However, temperatures remained below values of clinical concern even after a
cutting time of 20 minutes. Conversely, bone surface appeared irregular in shape
with bone debris and signs of thermal damage on the bur-drilled surface, probably
due to the more traumatic action of the diamond-coated bur.
PMID- 29813143
TI - Cerebral Embolus From Aortic Valve Fragment.
PMID- 29813137
TI - Polymorphic factor H-binding activity of CspA protects Lyme borreliae from the
host complement in feeding ticks to facilitate tick-to-host transmission.
AB - Borrelia burgdorferi sensu lato (Bbsl), the causative agent of Lyme disease,
establishes an initial infection in the host's skin following a tick bite, and
then disseminates to distant organs, leading to multisystem manifestations. Tick
to-vertebrate host transmission requires that Bbsl survives during blood feeding.
Complement is an important innate host defense in blood and interstitial fluid.
Bbsl produces a polymorphic surface protein, CspA, that binds to a complement
regulator, Factor H (FH) to block complement activation in vitro. However, the
role that CspA plays in the Bbsl enzootic cycle remains unclear. In this study,
we demonstrated that different CspA variants promote spirochete binding to FH to
inactivate complement and promote serum resistance in a host-specific manner.
Utilizing a tick-to-mouse transmission model, we observed that a cspA-knockout B.
burgdorferi is eliminated from nymphal ticks in the first 24 hours of feeding and
is unable to be transmitted to naive mice. Conversely, ectopically producing CspA
derived from B. burgdorferi or B. afzelii, but not B. garinii in a cspA-knockout
strain restored spirochete survival in fed nymphs and tick-to-mouse transmission.
Furthermore, a CspA point mutant, CspA-L246D that was defective in FH-binding,
failed to survive in fed nymphs and at the inoculation site or bloodstream in
mice. We also allowed those spirochete-infected nymphs to feed on C3-/- mice that
lacked functional complement. The cspA-knockout B. burgdorferi or this mutant
strain complemented with cspA variants or cspA-L246D was found at similar levels
as wild type B. burgdorferi in the fed nymphs and mouse tissues. These novel
findings suggest that the FH-binding activity of CspA protects spirochetes from
complement-mediated killing in fed nymphal ticks, which ultimately allows Bbsl
transmission to mammalian hosts.
PMID- 29813144
TI - Risks and Benefits of Antenatal Late-Preterm Corticosteroids.
PMID- 29813145
TI - Incidence of 4 Lysosomal Storage Disorders From 4 Years of Newborn Screening.
PMID- 29813146
TI - Effective Alcohol Policies-Saving Lives on US Roadways.
PMID- 29813147
TI - Evaluation of Galcanezumab for the Prevention of Episodic Migraine: The EVOLVE-1
Randomized Clinical Trial.
AB - Importance: Migraine is a disabling neurological disease characterized by severe
headache attacks. Treatment options reduce migraine frequency for many patients,
but adverse effects lead to discontinuation in many patients. Objective: To
demonstrate that galcanezumab is superior to placebo in the prevention of
episodic migraine with or without aura. Design, Setting, and Participants: The
EVOLVE-1 (Evaluation of LY2951742 in the Prevention of Episodic Migraine 1) trial
was a double-blind, randomized, placebo-controlled (January 11, 2016, to March
22, 2017) trial comparing galcanezumab (120 mg and 240 mg) vs placebo. Patients
received treatments once monthly for 6 months (subcutaneous injection via
prefilled syringe) and were followed up for 5 months after their last injection.
It was a multicenter, clinic-based study involving 90 sites in North America.
Participants in the study were adults (aged 18 to 65 years) with at least a 1
year history of migraine, 4 to 14 migraine headache days per month and a mean of
at least 2 migraine attacks per month within the past 3 months, and were
diagnosed prior to age 50 years. During the study, no other preventive
medications were allowed. A total of 1671 patients were assessed; 809 did not
meet study entry or baseline criteria, and 858 were included in the intent-to
treat population. Interventions: Patients were randomized (2:1:1) to monthly
placebo, galcanezumab, 120 mg, and galcanezumab, 240 mg. Main Outcomes and
Measures: The primary outcome was overall mean change from baseline in the number
of monthly migraine headache days during the treatment period. Secondary measures
included at least 50%, at least 75%, and 100% reduction in monthly migraine
headache days, migraine headache days with acute medication use, and scores from
the Migraine-Specific Quality of Life questionnaire, Patient Global Impression of
Severity, and Migraine Disability Assessment. Treatment-emergent adverse events
and serious adverse events were reported. Results: Of the 1671 patients assessed,
858 (mean age, 40.7 years; 718 women [83.7%]) met study entry criteria and
received at least 1 dose of investigational product. The primary objective was
met for both galcanezumab doses; treatment with galcanezumab significantly
reduced monthly migraine headache days (both P < .001) by 4.7 days (120 mg) and
4.6 days (240 mg) compared with placebo (2.8 days). All key secondary objectives
were also significant after multiplicity adjustment. There were no meaningful
differences between 120-mg and 240-mg doses of galcanezumab on measures of
efficacy. Completion rate during treatment was high (81.9%; n = 718), and the
incidence of discontinuation owing to adverse events was less than 5% across all
treatment groups. Conclusions and Relevance: Galcanezumab 120-mg and 240-mg
monthly injections provided clinical benefits and improved functioning. The
incidence rate of adverse events was low, demonstrating the favorable
tolerability profile of galcanezumab. Trial Registration: ClinicalTrials.gov
Identifier: NCT02614183.
PMID- 29813148
TI - Symptomatic Zika Virus Infection in Infants, Children, and Adolescents Living in
Puerto Rico.
AB - Importance: Little information is available regarding Zika virus (ZIKV) infection
in children. Objective: To describe patients younger than 18 years who were
infected with ZIKV and were enrolled in the Sentinel Enhanced Dengue and Acute
Febrile Illness Surveillance System (SEDSS). Design, Setting, and Participants:
Children infected with ZIKV with 7 or fewer days of fever or emancipated minors
aged 14 to 17 years with a generalized maculopapular rash, arthritis or
arthralgia, or nonpurulent conjunctivitis were eligible for enrollment on or
before December 31, 2016, in Puerto Rico. Patients were evaluated using ZIKV
polymerase chain reaction testing at 7 or fewer days after the onset of symptoms.
Available ZIKV polymerase chain reaction-positive specimens were evaluated to
determine viral loads. Exposures: Confirmed polymerase chain reaction-positive
ZIKV infection. Main Outcomes and Measures: Clinical characteristics and viral
loads of symptomatic children with confirmed ZIKV infection. Results: Of 7191
children enrolled in SEDSS on or before December 31, 2016, only those with
confirmed ZIKV infection (351 participants) were included in this study.
Participants who had confirmed ZIKV infection included 25 infants (7.1%), 69
children (19.7%) aged 1 to 4 years, 95 (27.1%) aged 5 to 9 years, and 162 (46.1%)
aged 10 to 17 years. Among these, 260 patients (74.1%) presented for evaluation
of ZIKV infection at fewer than 3 days after the onset of symptoms, 340 (96.9%)
were discharged to home after evaluation, and 349 (99.4%) had fever, 280 (79.8%)
had a rash, 243 (69.2%) had facial or neck erythema, 234 (66.7%) had fatigue, 223
(63.5%) had headache, 212 (60.4%) had chills, 206 (58.7%) had pruritus, and 204
(58.1%) had conjunctival hyperemia. Of 480 specimens collected (317 serum and 163
urine specimens) from 349 children, the median number of days after the onset of
symptoms was lower for children who had serum specimens (1 day [interquartile
range (IQR), 1-2 days]) than for children who had urine specimens (2 [1-3] days)
(P < .001). Of 131 children who had both serum and urine specimens collected on
the same day, the median viral load was higher in serum than in urine (median
[IQR], 23 098 [8784-88 242] copies/mL for serum vs 9966 [2815-52 774] copies/mL
for urine; P = .02). When a single serum sample from each of 317 patients was
analyzed, there were no statistically significant differences in median viral
loads according to age, sex, or disposition. However, the median serum viral load
varied significantly according to the number of days after the onset of symptoms
(0 days, 106 778 [IQR, 9772-1 571 718] copies/mL; 1 day, 46 299 [10 663-255 030]
copies/mL; 2 days, 20 678 [8763-42 458] copies/mL; and >=3 days, 15 901 [5135-49
248] copies/mL; P = .001). Conclusions and Relevance: This study represents the
largest study to date of ZIKV infection in the pediatric population. Most
children infected with ZIKV had fever, rash, and conjunctival hyperemia. The
children usually presented for evaluation at fewer than 3 days after the onset of
symptoms. Viral loads for ZIKV were higher in serum vs urine specimens. Median
viral loads in serum specimens differed significantly according to the number of
days after the onset of symptoms.
PMID- 29813150
TI - Clinicians and Health Care Price Transparency-Buyers vs Sellers?
PMID- 29813151
TI - Vitamin D and Your Child.
PMID- 29813152
TI - Til Neglect Do Us Part.
PMID- 29813149
TI - Effect of Higher vs Standard Dosage of Vitamin D3 Supplementation on Bone
Strength and Infection in Healthy Infants: A Randomized Clinical Trial.
AB - Importance: Although guidelines for vitamin D supplementation in infants have
been widely implemented, they are mostly based on studies focusing on prevention
of rickets. The optimal dose for bone strength and infection prevention in
healthy infants remains unclear. Objective: To determine whether daily
supplementation with 1200 IU of vitamin D3 increases bone strength or decreases
incidence of infections in the first 2 years of life compared with a dosage of
400 IU/d. Design, Setting, and Participants: A randomized clinical trial
involving a random sample of 975 healthy term infants at a maternity hospital in
Helsinki, Finland. Study recruitment occurred between January 14, 2013, and June
9, 2014, and the last follow-up was May 30, 2016. Data analysis was by the
intention-to-treat principle. Interventions: Randomization of 489 infants to
daily oral vitamin D3 supplementation of 400 IU and 486 infants to 1200 IU from
age 2 weeks to 24 months. Main Outcomes and Measures: Primary outcomes were bone
strength and incidence of parent-reported infections at 24 months. Results: Of
the 975 infants who were randomized, 485 (49.7%) were girls and all were of
Northern European ethnicity. Eight hundred twenty-three (84.4%) completed the 24
month follow-up. We found no differences between groups in bone strength
measures, including bone mineral content (mean difference, 0.4 mg/mm; 95% CI,
0.8 to 1.6), mineral density (mean difference, 2.9 mg/cm3; 95% CI, -8.3 to 14.2),
cross-sectional area (mean difference, -0.9 mm2; 95% CI, -5.0 to 3.2), or polar
moment of inertia (mean difference, -66.0 mm4, 95% CI, -274.3 to 142.3).
Incidence rates of parent-reported infections did not differ between groups
(incidence rate ratio, 1.00; 95% CI, 0.93-1.06). At birth, 914 of 955 infants
(95.7%) were vitamin D sufficient (ie, 25-hydroxyvitamin D [25(OH)D]
concentration >=20.03 ng/mL). At 24 months, mean 25(OH)D concentration was higher
in the 1200-IU group than in the 400-IU group (mean difference, 12.50 ng/mL; 95%
CI, 11.22-13.78). Conclusions and Relevance: A vitamin D3 supplemental dose of up
to 1200 IU in infants did not lead to increased bone strength or to decreased
infection incidence. Daily supplementation with 400 IU vitamin D3 seems adequate
in maintaining vitamin D sufficiency in children younger than 2 years. Trial
Registration: ClinicalTrials.gov Identifier: NCT01723852.
PMID- 29813154
TI - Association of Maternal Eligibility for the Deferred Action for Childhood
Arrivals Program With Citizen Children's Participation in the Women, Infants, and
Children Program.
PMID- 29813153
TI - Association Between Vitamin D Supplementation During Pregnancy and Offspring
Growth, Morbidity, and Mortality: A Systematic Review and Meta-analysis.
AB - Importance: Whether vitamin D supplementation during pregnancy is beneficial and
safe for offspring is unclear. Objective: To systematically review studies of the
effects of vitamin D supplementation during pregnancy on offspring growth,
morbidity, and mortality. Data Sources: Searches of Medline, Embase, and the
Cochrane Database of Systematic Reviews were conducted up to October 31, 2017.
Key search terms were vitamin D, pregnancy, randomized controlled trials, and
offspring outcomes. Study Selection: Randomized clinical trials of vitamin D
supplementation during pregnancy and offspring outcomes. Data Extraction and
Synthesis: Two authors independently extracted data, and the quality of the
studies was assessed. Summary risk ratio (RR), risk difference (RD) or mean
difference (MD), and 95% CI were calculated using fixed-effects or random-effects
meta-analysis. Main Outcomes and Measures: Main outcomes were fetal or neonatal
mortality, small for gestational age (SGA), congenital malformation, admission to
a neonatal intensive care unit, birth weight, Apgar scores, neonatal 25
hydroxyvitamin D (25[OH]D) and calcium concentrations, gestational age, preterm
birth, infant anthropometry, and respiratory morbidity during childhood. Results:
Twenty-four clinical trials involving 5405 participants met inclusion criteria.
Vitamin D supplementation during pregnancy was associated with a lower risk of
SGA (RR, 0.72; 95% CI, 0.52 to 0.99; RD, -5.60%; 95% CI, -0.86% to -10.34%)
without risk of fetal or neonatal mortality (RR, 0.72; 95% CI, 0.47 to 1.11) or
congenital abnormality (RR, 0.94; 95% CI, 0.61 to 1.43). Neonates with prenatal
vitamin D supplementation had higher 25(OH)D levels (MD, 13.50 ng/mL; 95% CI,
10.12 to 16.87 ng/mL), calcium levels (MD, 0.19 mg/dL; 95% CI, 0.003 to 0.38
mg/dL), and weight at birth (MD, 75.38 g; 95% CI, 22.88 to 127.88 g), 3 months
(MD, 0.21 kg; 95% CI, 0.13 to 0.28 kg), 6 months (MD, 0.46 kg; 95% CI, 0.33 to
0.58 kg), 9 months (MD, 0.50 kg; 95% CI, 0.01 to 0.99 kg), and 12 months (MD,
0.32 kg; 95% CI, 0.12 to 0.52 kg). Subgroup analysis by doses showed that low
dose vitamin D supplementation (<=2000 IU/d) was associated with a reduced risk
of fetal or neonatal mortality (RR, 0.35; 95% CI, 0.15 to 0.80), but higher doses
(>2000 IU/d) did not reduce this risk (RR, 0.95; 95% CI, 0.59 to 1.54).
Conclusions and Relevance: Vitamin D supplementation during pregnancy is
associated with a reduced risk of SGA and improved infant growth without risk of
fetal or neonatal mortality or congenital abnormality. Vitamin D supplementation
with doses of 2000 IU/d or lower during pregnancy may reduce the risk of fetal or
neonatal mortality.
PMID- 29813155
TI - Changes in Ability of Hospitals to Provide Pricing for Total Hip Arthroplasty
From 2012 to 2016.
PMID- 29813156
TI - Big Data and Predictive Analytics: Recalibrating Expectations.
PMID- 29813157
TI - Association of Hydrochlorothiazide Use and Risk of Malignant Melanoma.
PMID- 29813158
TI - How Better Communication Skills May Lessen the Need for Physician-Assisted Death:
Talking Her Out of It.
PMID- 29813160
TI - Special Supplemental Nutrition Program for Women, Infants, and Children Program,
a Social Experiment on Effective Strategies to Prevent Severe Obesity Among
Preschool Children.
PMID- 29813159
TI - Association of Prognostic Estimates With Burdensome Interventions in Nursing Home
Residents With Advanced Dementia.
AB - Importance: Prognostication in advanced dementia is challenging but may influence
care. Objectives: To determine the accuracy of proxies' prognostic estimates for
nursing home residents with advanced dementia, identify factors associated with
those estimates, and examine the association between their estimates and use of
burdensome interventions. Design, Setting, and Participants: Data were combined
from 2 studies that prospectively followed 764 residents with advanced dementia
and their proxies in Boston-area nursing homes for 12 months: (1) the Study of
Pathogen Resistance and Exposure to Antimicrobials in Dementia, conducted from
September 2009 to November 2012 (362 resident/proxy dyads; 35 facilities); and
(2) the Educational Video to Improve nursing home Care in End-Stage Dementia,
conducted from March 2013 to July 2017 (402 resident/proxy dyads; 62 facilities).
Proxies were the residents' formally or informally designated medical decision
makers. Main Outcomes and Measures: During quarterly telephone interviews,
proxies stated whether they believed the resident would live less than 1 month, 1
to 6 months, 7 to 12 months, or more than 12 months. Prognostic estimates were
compared with resident survival. Resident and proxy characteristics associated
with proxy prognostic estimates were determined. The association between
prognostic estimates and whether residents experienced any of the following was
determined: hospital transfers, parenteral therapy, tube feeding, venipunctures,
and bladder catheterizations. Results: The residents' mean (SD) age was 86.6
(7.3) years; 631 (82.6%) were women and 133 (17.4%) were men. Of the 764
residents, 310 (40.6%) died later than 12 months. Proxies estimated survival with
moderate accuracy (C statistic, 0.67). When proxies perceived the resident would
die within 6 months, they were more likely to report being asked (183 [7.2%] of
2526) vs not being asked (126 [5.0%] of 2526) about goals of care by nursing home
clinicians (adjusted odds ratio [AOR], 1.94; 95% CI, 1.50-2.52). Residents were
less likely to experience burdensome interventions when the proxy prognostic
estimate was less than 6 months (89 [4.4%] of 2031) vs greater than 6 months
(1008 [49.6%] of 2031) (AOR, 0.46; 95% CI, 0.34-0.62). Conclusions and Relevance:
Proxies estimated the prognosis of nursing home residents with advanced dementia
with moderate accuracy. Having been asked about their opinion about the goal of
care was associated with the proxies' perception that the resident had less than
6 months to live and that perception was associated with a lower likelihood the
resident experienced burdensome interventions.
PMID- 29813163
TI - Uncovering the Spectrum of Postnatal Zika Infection in Children.
PMID- 29813161
TI - Association of Tourette Syndrome and Chronic Tic Disorders With Objective
Indicators of Educational Attainment: A Population-Based Sibling Comparison
Study.
AB - Importance: The influence of Tourette syndrome and chronic tic disorders on
academic performance has not been objectively quantified. Objective: To
investigate the association of Tourette syndrome and chronic tic disorders with
objectively measured educational outcomes, adjusting for measured covariates and
unmeasured factors shared between siblings and taking common psychiatric
comorbidities into account. Design, Setting, and Participants: A population-based
birth cohort consisting of all individuals born in Sweden from 1976 to 1998 was
followed up until December 2013. Individuals with organic brain disorders, mental
retardation, and 2 foreign-born parents were excluded. We further identified
families with at least 2 singleton full siblings and families with siblings
discordant for Tourette syndrome or chronic tic disorders. Exposures: Previously
validated International Classification of Diseases diagnoses of Tourette syndrome
or chronic tic disorders in the Swedish National Patient Register. Main Outcomes
and Measures: Eligibility to access upper secondary school after compulsory
education, finishing upper secondary school, starting a university degree, and
finishing a university degree. Results: Of the 2 115 554 individuals in the
cohort, 3590 had registered a diagnosis of Tourette syndrome or a chronic tic
disorder in specialist care (of whom 2822 [78.6%] were male; median
[interquartile] age at first diagnosis, 14.0 [11-18] years). Of 726 198 families
with at least 2 singleton full siblings, 2697 included siblings discordant for
these disorders. Compared with unexposed individuals, people with Tourette
syndrome or chronic tic disorders were significantly less likely to pass all core
and additional courses at the end of compulsory school (odds ratios ranging from
0.23 [95% CI, 0.20-0.26] for the handcraft textile/wood course to 0.36 [95% CI,
0.31-0.41] for the English language course) and to access a vocational program
(adjusted OR [aOR], 0.31; 95% CI, 0.28-0.34) or academic program (aOR, 0.43; 95%
CI, 0.39-0.47) in upper secondary education. Individuals with the disorders were
also less likely to finish upper secondary education (aOR, 0.35; 95% CI, 0.32
0.37), start a university degree (aOR, 0.41; 95% CI, 0.37-0.46), and finish a
university degree (aOR, 0.39; 95% CI, 0.32-0.48). The results were only
marginally attenuated in the fully adjusted sibling comparison models. Exclusion
of patients with neuropsychiatric comorbidities, particularly attention
deficit/hyperactivity disorder and pervasive developmental disorders, resulted in
attenuated estimates, but patients with Tourette syndrome or chronic tic
disorders were still significantly impaired across all outcomes. Conclusions and
Relevance: Help-seeking individuals with Tourette syndrome or chronic tic
disorders seen in specialist settings experience substantial academic
underachievement across all educational levels, spanning from compulsory school
to university, even after accounting for multiple confounding factors and
psychiatric comorbidities.
PMID- 29813162
TI - Association of State Alcohol Policies With Alcohol-Related Motor Vehicle Crash
Fatalities Among US Adults.
AB - Importance: Motor vehicle crashes are a leading cause of mortality. However, the
association between the restrictiveness of the alcohol policy environment (ie,
based on multiple existing policies) and alcohol-related crash fatalities has not
been characterized previously to date. Objective: To examine the association
between the restrictiveness of state alcohol policy environments and the
likelihood of alcohol involvement among those dying in motor vehicle crashes in
the United States. Design, Setting, and Participants: This investigation was a
repeated cross-sectional study in which state alcohol policies (operationalized
by the Alcohol Policy Scale [APS]) from 1999 to 2014 were related to motor
vehicle crash fatalities from 2000 to 2015 using data from the Fatality Analysis
Reporting System (1-year lag). Alternating logistic regression models and
generalized estimating equations were used to account for clustering of multiple
deaths within a crash and of multiple crashes occurring within states. The study
also examined independent associations of mutually exclusive subgroups of
policies, including consumption-oriented policies vs driving-oriented policies.
The study setting was the 50 US states. Participants were 505 614 decedents aged
at least 21 years from motor vehicle crashes from 2000 to 2015. Main Outcomes and
Measures: Odds that a crash fatality was alcohol related (fatality stemmed from a
crash in which >=1 driver had a blood alcohol concentration [BAC] >=0.08%).
Results: From 2000 to 2015, there were 505 614 adult motor vehicle crash
fatalities in the United States, of which 178 795 (35.4%) were alcohol related.
Each 10-percentage point increase in the APS score (corresponding to more
restrictive state policies) was associated with reduced individual-level odds of
alcohol involvement in a crash fatality (adjusted odds ratio [aOR], 0.90; 95% CI,
0.89-0.91); results were consistent among most demographic and crash-type strata.
More restrictive policies also had protective associations with alcohol
involvement among crash fatalities associated with BACs from greater than 0.00%
to less than 0.08%. After accounting for driving-oriented policies, consumption
oriented policies were independently protective for alcohol-related crash
fatalities (aOR, 0.97; 95% CI, 0.96-0.98 based on a 10-percentage point increased
APS score). Conclusions and Relevance: Strengthening alcohol policies, including
those that do not specifically target impaired driving, could reduce alcohol
related crash fatalities. Policies may also protect against crash fatalities
involving BAC levels below the current legal limit for driving in the United
States.
PMID- 29813164
TI - Prenatal Vitamin D Supplementation to Improve Health in Offspring.
PMID- 29813165
TI - Resolving the Productivity Paradox of Health Information Technology: A Time for
Optimism.
PMID- 29813166
TI - Medical Malpractice Following Carpal Tunnel Surgery.
AB - There is a paucity of literature examining the reasons for litigation following
carpal tunnel surgery in the United States. The purpose of this retrospective
study was to examine a nationwide legal database to describe the most frequent
reasons for malpractice litigation following carpal tunnel surgery. All
malpractice claims that occurred following carpal tunnel surgery were included.
In total, 46 cases met inclusion criteria, representing 15 male and 31 female
patients with an average age of 46.4 years (+/-14.7 years). Four cases (8.7%)
resulted in settlement. Of the 42 cases that went to trial, 33.3% ended in a
decision in favor of the plaintiff and 66.7% ended in a decision in favor of the
physician. The average payment for all lawsuits was $637,140.97. There was no
significant difference in the average payment for cases lost in court ($329,690+/
$233,910) vs cases that ended in settlement ($422,286+/-$388,973). The 3 most
frequent reasons for malpractice litigation after carpal tunnel surgery were
nerve injury (n=18, 39.1%), persistent pain and numbness (n=15, 32.6%), and
regional sympathetic dystrophy (n=9, 19.6%). Complications of carpal tunnel
release should be emphasized during the consent process. [Orthopedics. 2018;
41(4):e569-e571.].
PMID- 29813167
TI - A Survey of the Prevalence of and Techniques to Prevent Trunnionosis.
AB - Trunnionosis of total hip arthroplasty (THA) components has been an increasingly
reported complication. Consensus is lacking regarding preventive practices and
the overall incidence of trunnionosis. In this study, fellowship-trained adult
reconstruction orthopedic surgeons were surveyed to identify expert opinions. A
25-question, web-based survey regarding trunnionosis incidence, prevention, and
biomaterials was sent to 345 fellowship-trained adult reconstruction orthopedic
surgeons in North America. The survey yielded 151 (43.8%) responses from surgeons
with a mean of 11.97+/-9.49 years of experience. These surgeons believe that the
material composite of the head-neck junction is the most important contributor to
trunnionosis. They often choose a ceramic head with a metal alloy stem to reduce
trunnionosis. They more commonly impact the femoral head 3 times than once. Fifty
one percent believe that trunnionosis leads to THA failure for between 0% and 2%
of all THA revisions, whereas 48.3% believe that the failure rate is greater than
2%. More than half (53.6%) of these surgeons recommend a revision THA if a
patient's serum cobalt level is greater than 10 ug/L, regardless of symptom
presence. The incidence of trunnionosis appears to be increasing due to changes
in implants and/or an increased awareness of the problem, with 48.3% of these
surgeons believing that trunnionosis is the primary cause of THA failure for more
than 1 in 50 patients. Some suggested preventive measures include cleaning and
drying the trunnion, using ceramic femoral heads, matching THA components, and
adding titanium sleeves on well-fixed stems that are retained during revision
surgery. [Orthopedics. 2018; 41(4):e557-e562.].
PMID- 29813168
TI - A Pulsed Electrical Joint Stimulator for the Treatment of Osteoarthritis of the
Hand and Wrist.
AB - The hand is commonly affected by osteoarthritis (OA). The development and
progression of OA are believed to involve inflammation, even in the early stages
of the disease. Inflammatory and proinflammatory cytokines have also been shown
to be elevated in the flexor tenosynovium of idiopathic carpal tunnel syndrome
(CTS). A large percentage of patients with hand OA also have a concomitant CTS.
This study evaluated the results of a pulsed electrical joint stimulator in
patients who had hand OA with or without CTS. Pain, tenderness, and swelling;
grip strength and pinch force; and Patient and Physician Global Assessment and
Disabilities of the Arm, Shoulder and Hand (DASH) results were evaluated. The
primary efficacy outcome was pain due to OA in the study hand in the past 48
hours. Secondary outcomes consisted of OA pain in the study thumb in the past 48
hours, grip strength, pinch force, and Patient and Physician Global Assessment
and DASH results. All 7 outcome parameters improved in OA patients. On physical
examination, individual finger and wrist joints had also improved regarding pain,
swelling, and tenderness. In the subset of patients with CTS, CTS pain,
paresthesia, weakness, and all CTS symptoms had significantly improved. Patient
and Physician Global Assessment and DASH results and pinch force were also
significantly improved. This pulsed electrical joint stimulator is effective in
providing clinically relevant and statistically significant reductions in the
signs and symptoms of OA of the hand and CTS. It could be a useful modality for
the treatment of patients who have one of these conditions or both. [Orthopedics.
2018; 41(4):e550-e556.].
PMID- 29813169
TI - Outpatient Total Shoulder Arthroplasty Does Not Increase the 90-Day Risk of
Complications Compared With Inpatient Surgery in Prescreened Patients.
AB - Outpatient total joint arthroplasty is becoming a more attractive option for
hospitals, surgeons, and patients. In this study, the authors evaluated the
safety of outpatient shoulder arthroplasty by comparing an outpatient cohort with
an inpatient cohort. Ninety-day outcomes of consecutively performed elective
shoulder arthroplasty cases from 2012 to 2016 were retrospectively reviewed.
Patients were preoperatively assigned to outpatient or inpatient care. Primary
outcomes were emergency department visits, readmissions, mortality, and surgical
morbidity within 90 days of surgery. Two-tailed t tests were used to evaluate
differences. Bivariate and multivariate logistic regressions were used to
determine if the odds of emergency department visit, readmission, or
complications were significantly different between the cohorts. There were 118
outpatient and 64 inpatient shoulder arthroplasty procedures. Mean age and
American Society of Anesthesiologists score were lower in the outpatient group
compared with the inpatient group-68.1 vs 72.4 years (P=.01) and 2.3 vs 2.6
(P<.01), respectively. In the multivariate logistic regression model including
all arthroplasty cases, the odds of outpatient to inpatient readmission was
significantly different (odds ratio, 0.181; P=.027). However, when only total
shoulder arthroplasty cases were included, no difference was detected. No
statistically significant difference was noted for number of emergency department
visits, mortality, or surgical morbidity within 90 days of surgery in any of the
models. There was 1 death in the ambulatory group at 28 days after surgery. On
the basis of these findings, the authors believe that, for carefully selected
patients, an outpatient shoulder arthroplasty protocol is safe when compared with
inpatient protocols. [Orthopedics. 2018; 41(4):e563-e568.].
PMID- 29813170
TI - Return to Play Among Golfers Undergoing Hip Arthroscopy: Short- to Mid-term
Follow-up.
AB - There are approximately 25 million amateur golfers in the United States, making
up almost 10% of the entire US population. The purpose of this study was to
evaluate short-term outcomes and rates of return to sport among recreational
golfers who underwent hip arthroscopy for the treatment of labral tears. Data
were prospectively collected and retrospectively reviewed for patients who
underwent hip arthroscopy by one surgeon between August 2008 and February 2015.
Exclusion criteria were previous ipsilateral hip surgeries or conditions,
preoperative Tonnis osteoarthritis grade greater than 1, or workers' compensation
status. Patients who played golf at a recreational level within 1 year prior to
their surgery, attempted to return to golf postoperatively, and had preoperative
and minimum 2-year postoperative measures for the modified Harris hip score,
Nonarthritic Hip Score, Hip Outcome Score-Sports Specific Subscale, and visual
analog scale for pain were included in the final cohort. Data on return to sport,
surgical complications, and secondary surgeries were recorded. Of the 49 patients
eligible for inclusion, 40 (81.6%) had minimum 2-year follow-up at a mean of 51.0
months. Mean age at surgery was 49.1 years. All patient-reported outcomes and
visual analog scale scores were significantly improved at latest follow-up.
Thirty-six (90%) of the 40 patients returned to golf after surgery. Hip
arthroscopy leads to significant improvement in patient-reported outcomes and a
high rate of return to sport for recreational golfers presenting with labral
tears. Therefore, it is a good treatment option for this patient population.
[Orthopedics. 2018; 41(4):e545-e549.].
PMID- 29813171
TI - In vitro and in silico liver models: Current trends, challenges and
opportunities.
AB - Most common drug development failures originate from either bioavailability
problems, or unexpected toxic effects. The culprit is often the liver, which is
responsible for biotransformation of a majority of xenobiotics. Liver may be
modeled using "liver on a chip" devices, which may include established cell
lines, primary human cells, and stem cell-derived hepatocyte-like cells. The
choice of biological material along with its processing and maintenance greatly
influence both the device performance and the resultant toxicity predictions.
Impediments to the development of "liver on a chip" technology include the
problems with standardization of cells, limitations imposed by culturing and the
necessity to develop more complicated fluidic contours. Fortunately, recent
breakthroughs in the development of cell-based reporters, including ones with
fluorescent label, permits monitoring of the behavior of the cells embed into the
"liver on a chip" devices. Finally, a set of computational approaches has been
developed to model both particular toxic response and the homeostasis of human
liver as a whole; these approaches pave a way to enhance the in silico stage of
assessment for a potential toxicity.
PMID- 29813172
TI - Minimizing opportunity costs to aquatic connectivity restoration while
controlling an invasive species.
AB - Controlling invasive species is critical for conservation but can have unintended
consequences for native species and divert resources away from other efforts.
This dilemma occurs on a grand scale in the North American Great Lakes, where
dams and culverts block tributary access to habitat of desirable fish species and
are a lynchpin of long-standing efforts to limit ecological damage inflicted by
the invasive, parasitic sea lamprey (Petromyzon marinus). Habitat restoration and
sea-lamprey control create conflicting goals for managing aging infrastructure.
We used optimization to minimize opportunity costs of habitat gains for 37
desirable migratory fishes that arose from restricting sea lamprey access (0-25%
increase) when selecting barriers for removal under a limited budget (US$1-105
million). Imposing limits on sea lamprey habitat reduced gains in tributary
access for desirable species by 15-50% relative to an unconstrained scenario.
Additional investment to offset the effect of limiting sea-lamprey access
resulted in high opportunity costs for 30 of 37 species (e.g., an additional
US$20-80 million for lake sturgeon [Acipenser fulvescens]) and often required
>=5% increase in sea-lamprey access to identify barrier-removal solutions
adhering to the budget and limiting access. Narrowly distributed species
exhibited the highest opportunity costs but benefited more at less cost when
small increases in sea-lamprey access were allowed. Our results illustrate the
value of optimization in limiting opportunity costs when balancing invasion
control against restoration benefits for diverse desirable species. Such trade
off analyses are essential to the restoration of connectivity within fragmented
rivers without unleashing invaders.
PMID- 29813173
TI - Whole-transcriptome analysis reveals genetic factors underlying flowering time
regulation in rapeseed (Brassica napus L.).
AB - Rapeseed (Brassica napus L.), one of the most important sources of vegetable oil
and protein-rich meals worldwide, is adapted to different geographical regions by
modification of flowering time. Rapeseed cultivars have different day length and
vernalization requirements, which categorize them into winter, spring, and
semiwinter ecotypes. To gain a deeper insight into genetic factors controlling
floral transition in B. napus, we performed RNA sequencing (RNA-seq) in the
semiwinter doubled haploid line, Ningyou7, at different developmental stages and
temperature regimes. The expression profiles of more than 54,000 gene models were
compared between different treatments and developmental stages, and the
differentially expressed genes were considered as targets for association
analysis and genetic mapping to confirm their role in floral transition.
Consequently, 36 genes with association to flowering time, seed yield, or both
were identified. We found novel indications for neofunctionalization in homologs
of known flowering time regulators like VIN3 and FUL. Our study proved the
potential of RNA-seq along with association analysis and genetic mapping to
identify candidate genes for floral transition in rapeseed. The candidate genes
identified in this study could be subjected to genetic modification or targeted
mutagenesis and genotype building to breed rapeseed adapted to certain
environments.
PMID- 29813174
TI - The Influence of Modularity, Seeding, and Product Inhibition on Peptide
Autocatalytic Network Dynamics.
AB - Chemical networks often exhibit emergent, systems-level properties that cannot be
simply derived from the linear sum of the individual components. The design and
analysis of increasingly complex chemical networks thus constitute a major area
of research in Systems Chemistry. In particular, much research is focused on the
emergence of functional properties in prebiotic chemical networks relevant to the
origin and early evolution of life. Here, we apply a formal framework known as
RAF theory to study the dynamics of a complex network of mutually catalytic
peptides. We investigate in detail the influence of network modularity, initial
template seeding, and product inhibition on the network dynamics. We show that
these results can be useful for designing new experiments, and further argue how
they are relevant to origin of life studies.
PMID- 29813175
TI - Efficient and selective separation of metronidazole from human serum by using
molecularly imprinted magnetic nanoparticles.
AB - Magnetic molecularly imprinted nanoparticles were prepared through surface
initiated reversible addition fragmentation chain transfer polymerization by
using metronidazole as a template. The molecularly imprinted magnetic
nanoparticles were characterized by attenuated total reflection Fourier transform
infrared spectroscopy, X-ray photoelectron spectroscopy, transmission electron
microscopy, X-ray diffraction and vibrating sample magnetometry. The adsorption
characteristics were also investigated and the kinetics of the adsorption of
metronidazole on the imprinted nanoparticles were described by the second-order
kinetic model with the short equilibrium adsorption time (30 min). The adsorption
isotherm was well matched with the Langmuir isotherm in which the maximum
adsorption capacity was calculated to be 40.1 mg/g. Furthermore, the imprinted
magnetic nanoparticles showed good selectivity as well as reusability even after
six adsorption-desorption cycles. The imprinted magnetic nanoparticles were used
as a sorbent for the selective separation of metronidazole from human serum. The
recoveries of metronidazole from human serum changed between 97.5-99.8% and
showed similar sensitivity as compared with an enzyme-linked immunoassay method.
Therefore, the molecularly imprinted magnetic nanoparticles might have potential
application for the selective and reliable separation of metronidazole from
biological fluids in clinical applications. This article is protected by
copyright. All rights reserved.
PMID- 29813176
TI - Should I Text or Call Here? A Situation-Based Analysis of Drivers' Perceived
Likelihood of Engaging in Mobile Phone Multitasking.
AB - This study investigated how situational characteristics typically encountered in
the transport system influence drivers' perceived likelihood of engaging in
mobile phone multitasking. The impacts of mobile phone tasks, perceived
environmental complexity/risk, and drivers' individual differences were evaluated
as relevant individual predictors within the behavioral adaptation framework. An
innovative questionnaire, which includes randomized textual and visual scenarios,
was administered to collect data from a sample of 447 drivers in South East
Queensland-Australia (66% females; n = 296). The likelihood of engaging in a
mobile phone task across various scenarios was modeled by a random parameters
ordered probit model. Results indicated that drivers who are female, are frequent
users of phones for texting/answering calls, have less favorable attitudes
towards safety, and are highly disinhibited were more likely to report stronger
intentions of engaging in mobile phone multitasking. However, more years with a
valid driving license, self-efficacy toward self-regulation in demanding traffic
conditions and police enforcement, texting tasks, and demanding traffic
conditions were negatively related to self-reported likelihood of mobile phone
multitasking. The unobserved heterogeneity warned of riskier groups among female
drivers and participants who need a lot of convincing to believe that
multitasking while driving is dangerous. This research concludes that behavioral
adaptation theory is a robust framework explaining self-regulation of distracted
drivers.
PMID- 29813177
TI - Low-energy collision-induced dissociation (low-energy CID), collision-induced
dissociation (CID), and higher energy collision dissociation (HCD) mass
spectrometry for structural elucidation of saccharides and clarification of their
dissolution mechanism in DMAc/LiCl.
AB - The dissolution mechanism of oligosaccharides in N,N-dimethylacetamide/lithium
chloride (DMAc/LiCl), a solvent used for cellulose dissolution, and the
capabilities of low-energy collision-induced dissociation (low-energy CID),
collision-induced dissociation (CID), and higher energy collision dissociation
(HCD) for structural analysis of carbohydrates were investigated. Comparing the
spectra obtained using 3 techniques shows that, generally, when working with
monolithiated sugars, CID spectra provide more structurally informative
fragments, and glycosidic bond cleavage is the main pathway. However, when
working with dilithiated sugars, HCD spectra can be more informative providing
predominately cross-ring cleavage fragments. This is because HCD is a nonresonant
activation technique, and it allows a higher amount of energy to be deposited in
a short time, giving access to more endothermic decomposition pathways as well as
consecutive fragmentations. The difference in preferred dissociation pathways of
monolithiated and dilithiated sugars indicates that the presence of the second
lithium strongly influences the relative rate constants for cross-ring cleavages
vs glycosidic bond cleavages, and disfavors the latter. Regarding the dissolution
mechanism of sugars in DMAc/LiCl, CID and HCD experiments on dilithiated and
trilithiated sugars reveal that intensities of product ions containing 2 Li+ or 3
Li+ , respectively, are higher than those bearing only 1 Li+ . In addition,
comparing the fragmentation spectra (both HCD and CID) of LiCl-adducted lithiated
sugar and NaCl-adducted sodiated sugar shows that while, in the latter case, loss
of NaCl is dominant, in the former case, loss of HCl occurs preferentially. The
compiled evidence implies that there is a strong and direct interaction between
lithium and the saccharide during the dissolution process in the DMAc/LiCl
solvent system.
PMID- 29813178
TI - Gas-phase intramolecular hydroxyl-amino exchange of protonated arginine and
verified by the synthetic intermediate compound.
AB - A new fragmentation process was proposed to interpret the characteristic product
ion at m/z 130 of protonated arginine. The alpha-amino group was dissociated from
protonated arginine and then combined with the (M + H-NH3 ) fragment to form an
ion-neutral complex which further generated a hydroxyl-amino exchange
intermediate compound through an ion-molecule reaction. This intermediate
compound was synthesized from argininamide through a diazo reaction, and then the
reaction mixture was analyzed using liquid chromatography combined with mass
spectrometry (LC-MS). The collision-induced dissociation experiments under the
same conditions revealed that this intermediate compound produced the
characteristic product ion at m/z 130 as well as protonated arginine, and in
addition, density functional theory calculations were performed to confirm
simultaneous loss of NH3 and CO from this intermediate to give the m/z 130 ion.
PMID- 29813179
TI - Assessment and misassessment of potassium, phosphorus, and protein in the
hemodialysis diet.
AB - Diet is a key determinant of several common and serious disease complications in
hemodialysis (HD) patients. The recommended balance and variety of foods in the
HD diet is designed to limit high potassium and phosphorus foods while
maintaining protein adequacy. In this report, we examine the potassium,
phosphorus, and protein content of foods, and identify critical challenges, and
potential pitfalls when translating nutrient prescriptions into dietary
guidelines. Our findings highlight the importance of individualized counseling
based on a comprehensive dietary assessment by trained diet professionals, namely
renal dietitians, for managing diet-related complications in HD patients.
PMID- 29813180
TI - Influence of photoinduced isomerization on the chiral separation of novel liquid
crystalline materials with a diazene moiety.
AB - The influence of photoinduced isomerization on the enantiomeric separation of two
newly synthesized liquid crystalline materials, liquid crystals 1 and 2, was
studied by high-performance liquid chromatography on a chiral stationary phase
Chiralpack AD-3. Both materials have one chiral center and one diazene moiety.
The compounds were separated into their E and Z isomeric forms. The conditions
and time scale of the ultraviolet-induced E to Z transition were briefly
evaluated. Under the optimized conditions, we were able to baseline separate the
S and R enantiomers of both the studied materials in their E isomeric form. The
chiral separation of liquid crystal 2 after ultraviolet irradiation was
unsuccessful. In contrast, the chiral separation of liquid crystal 1 possessing a
similar structure to liquid crystal 2 provided baseline separation in its Z
isomeric form as well. Previously, we have shown the influence of photoinduced
isomerization and its utilization in the enantioseparation on relatively simple
molecules. Here, we demonstrate that (1) much more complex compounds can also be
successfully separated despite the bulkiness of the achiral part of the structure
and (2) photoinduced isomerization even for such complex molecules still strongly
influences their chromatographic properties.
PMID- 29813181
TI - Hemodiafiltration versus conventional hemodialysis: Should "conventional" be
redefined?
AB - In the 1980s, conventional hemodialysis was considered as dialysis with acetate
dialysate, dialysis machines without volumetric control, low blood flow and low
flux dialyzers; in the 1990s, the concept of conventional hemodialysis changed
due to technological advances in dialysis machines, control of ultrafiltration
and the widespread use of bicarbonate dialysate, which allowed an increase in
blood flow and the use of synthetic high-flux dialyzers. To avoid backfiltration
induced adverse reactions, exogenous replacement fluid was promoted but its
adoption was limited for technical and financial reasons. This scenario changed
in 1995 with the development of on-line hemodiafiltration (HDF) techniques using
the dialysis fluid itself as a replacement fluid. Dialysis machines were modified
to perform on-line HDF, incorporating safety filters to ensure the quality of
this replacement fluid (ultrapure dialysate). After more than two decades of
clinical experience and technological development with high-volume HDF, the
present article discusses whether HDF can currently be considered as the standard
conventional treatment for chronic hemodialysis patients. A review of the
evidence indicates that the time has come to consider HDF as the conventional
hemodialysis treatment for the following reasons: first, technological
development in water treatment and advances in dialysis machines, as well as the
widespread use of synthetic high-flux dialyzers has made it a feasible
proposition. Second, there is an absence of published literature showing any
undesirable effects. Finally, scientific evidence is available showing the
superiority of HDF over hemodialysis in overall and cardiovascular mortality in
both prevalent and incident patients.
PMID- 29813182
TI - Long-Term and Recent Weight Change Are Associated With Reduced Peripheral Bone
Density, Deficits in Bone Microarchitecture, and Decreased Bone Strength: The
Framingham Osteoporosis Study.
AB - Weight loss in older adults is associated with increased bone loss and fracture.
Little is known about the potential impact of weight loss on cortical and
trabecular bone density, microarchitecture, and strength. In this study,
participants were members of the Framingham Offspring Cohort (769 women, 595 men;
mean age 70 +/- 8 years), who underwent high-resolution peripheral quantitative
computed tomography (HR-pQCT) scanning at the tibia and radius in 2012 to 2016.
Weight measurements taken every 4 to 6 years were used to assess recent weight
change over 6 years and long-term change over 40 years. General linear models,
adjusting for age, sex, height, smoking, and diabetes, were used to evaluate the
association between HR-pQCT indices and relative long-term and recent weight
change. We found that long-term and recent weight loss were associated with lower
cortical density and thickness, higher cortical porosity, and lower trabecular
density and number. Associations were stronger for the tibia than radius. Failure
load was lower in those individuals with long-term but not short-term weight
loss. Deterioration in both cortical and trabecular indices, especially at the
weight-bearing skeleton, characterizes bone fragility associated with long-term
and recent weight loss in older adults. (c) 2018 American Society for Bone and
Mineral Research.
PMID- 29813183
TI - Oxygen Evolution Catalysts Based on Ir-Ti Mixed Oxides with Templated Mesopore
Structure: Impact of Ir on Activity and Conductivity.
AB - The efficient generation of hydrogen via water electrolysis requires highly
active oxygen evolution catalysts. Among the active metals, iridium oxide
provides the best compromise in terms of activity and stability. The limited
availability and usage in other applications demands an efficient utilization of
this precious metal. Forming mixed oxides with titania promises improved Ir
utilization, but often at the cost of a low catalyst surface area. Moreover, the
role of Ir in establishing a sufficiently conductive mixed oxide has not been
elucidated so far. We report a new approach for the synthesis of Ir/TiOx mixed
oxide catalysts with defined template-controlled mesoporous structure, low
crystallinity, and superior oxygen evolution reaction (OER) activity. The highly
accessible pore system provides excellent Ir dispersion and avoids transport
limitations. A controlled variation of the oxides Ir content reveals the
importance of the catalysts electrical conductivity: at least 0.1 S m-1 are
required to avoid limitations owing to slow electron transport. For sufficiently
conductive oxides a clear linear correlation between Ir surface sites and OER
currents can be established, where all accessible Ir sites equally contribute to
the reaction. The optimized catalysts outperform Ir/TiOx materials reported in
literature by about a factor of at least four.
PMID- 29813184
TI - Acid-base homeostasis during hemodialysis: New insights into the mystery of
bicarbonate disappearance during treatment.
AB - In patients receiving hemodialysis, it has long been recognized that much more
bicarbonate is delivered during treatment than ultimately appears in the blood.
To gain insight into this mystery, we developed a model that allows a
quantitative analysis of the patient's response to rapid alkalinization during
hemodialysis. Our model is unique in that it is based on the distribution of
bicarbonate in the extracellular fluid and assesses its removal from this
compartment by mobilization of protons (H+ ) from buffers and other sources. The
model was used to analyze the pattern of rise in blood bicarbonate concentration
([HCO3- ]), calculated from measurements of pH and PCO2 , in patients receiving
standard bicarbonate hemodialysis. Model analysis demonstrated two striking
findings: (1) 35% of the bicarbonate added during hemodialysis was due to influx
and metabolism of acetate, despite its low concentration in the bath solution,
because of the rapidly collapsing gradient for bicarbonate influx. (2) Almost 90%
of the bicarbonate delivered to the patients was neutralized by H+ generation.
Virtually all the new H+ came from intracellular sources and included both
buffering and organic acid production. The small amount of added bicarbonate
retained in the extracellular fluid increased blood [HCO3- ], on average, by 6
mEq/L in our patients. Almost all this rise occurred during the first 2 hours.
Thereafter, blood [HCO3- ] changed minimally and always remained less than bath
[HCO3- ]. This lack of equilibrium was due to the continued production of organic
acid. Release of H+ from buffers is a reversible physiological response,
restoring body alkali stores. By contrast, organic acid production is an
irreversible process during hemodialysis and is metabolically inefficient and
potentially catabolic. Our analysis underscores the need to develop new
approaches for alkali repletion during hemodialysis that minimize organic acid
production.
PMID- 29813185
TI - Bad News Has Wings: Dread Risk Mediates Social Amplification in Risk
Communication.
AB - Social diffusion of information amplifies risk through processes of birth, death,
and distortion of message content. Dread risk-involving uncontrollable, fatal,
involuntary, and catastrophic outcomes (e.g., terrorist attacks and nuclear
accidents)-may be particularly susceptible to amplification because of the
psychological biases inherent in dread risk avoidance. To test this, initially
balanced information about high or low dread topics was given to a set of
individuals who then communicated this information through diffusion chains, each
person passing a message to the next. A subset of these chains were also
reexposed to the original information. We measured prior knowledge, perceived
risk before and after transmission, and, at each link, number of positive and
negative statements. Results showed that the more a message was transmitted the
more negative statements it contained. This was highest for the high dread topic.
Increased perceived risk and production of negative messages was closely related
to the amount of negative information that was received, with domain knowledge
mitigating this effect. Reexposure to the initial information was ineffectual in
reducing bias, demonstrating the enhanced danger of socially transmitted
information.
PMID- 29813186
TI - Osteogenic and Chondrogenic Master Genes Expression Is Dependent on the Kir2.1
Potassium Channel Through the Bone Morphogenetic Protein Pathway.
AB - Andersen's syndrome is a rare disorder affecting muscle, heart, and bone that is
associated with mutations leading to a loss of function of the inwardly
rectifying K+ channel Kir2.1. Although the Kir2.1 function can be anticipated in
excitable cells by controlling the electrical activity, its role in non-excitable
cells remains to be investigated. Using Andersen's syndrome-induced pluripotent
stem cells, we investigated the cellular and molecular events during the
osteoblastic and chondrogenic differentiation that are affected by the loss of
the Ik1 current. We show that loss of Kir2.1 channel function impairs both
osteoblastic and chondrogenic processes through the downregulation of master gene
expression. This downregulation is the result of an impairment of the bone
morphogenetic proteins signaling pathway through dephosphorylation of the Smad
proteins. Restoring Kir2.1 channel function in Andersen's syndrome cells rescued
master genes expression and restored normal osteoblast and chondrocyte behavior.
Our results show that Kir2.1-mediated activity controls endochondral and
intramembranous ossification signaling pathways. (c) 2018 American Society for
Bone and Mineral Research.
PMID- 29813187
TI - Skeletal Response to Soluble Activin Receptor Type IIB in Mouse Models of
Osteogenesis Imperfecta.
AB - Osteogenesis imperfecta (OI) is a heritable connective tissue disorder primarily
due to mutations in the type I collagen genes (COL1A1 and COL1A2), leading to
compromised biomechanical integrity in type I collagen-containing tissues such as
bone. Bone is inherently mechanosensitive and thus responds and adapts to
external stimuli, such as muscle mass and contractile strength, to alter its mass
and shape. Myostatin, a member of the TGF-beta superfamily, signals through
activin receptor type IIB to negatively regulate muscle fiber growth. Because of
the positive impact of myostatin deficiency on bone mass, we utilized a soluble
activin receptor type IIB-mFc (sActRIIB-mFc) fusion protein in two molecularly
distinct OI mouse models (G610C and oim) and evaluated their bone properties.
Wild-type (WT), +/G610C, and oim/oim mice were treated from 2 to 4 months of age
with either vehicle (Tris-buffered saline) or sActRIIB-mFc (10 mg/kg). Femurs of
sActRIIB-mFc-treated mice exhibited increased trabecular bone volume regardless
of genotype, whereas the cortical bone microarchitecture and biomechanical
strength were only improved in WT and +/G610C mice. Dynamic histomorphometric
analyses suggest the improved cortical bone geometry and biomechanical integrity
reflect an anabolic effect due to increased mineral apposition and bone formation
rates, whereas static histomorphometric analyses supported sActRIIB-mFc treatment
also having an anti-catabolic impact with decreased osteoclast number per bone
surface on trabecular bone regardless of sex and genotype. Together, our data
suggest that sActRIIB-mFc may provide a new therapeutic direction to improve both
bone and muscle properties in OI. (c) 2018 American Society for Bone and Mineral
Research.
PMID- 29813188
TI - A Forensic Examination of Online Search Facility URL Record Structures.
AB - The use of search engines and associated search functions to locate content
online is now common practice. As a result, a forensic examination of a suspect's
online search activity can be a critical aspect in establishing whether an
offense has been committed in many investigations. This article offers an
analysis of online search URL structures to support law enforcement and
associated digital forensics practitioners interpret acts of online searching
during an investigation. Google, Bing, Yahoo!, and DuckDuckGo searching functions
are examined, and key URL attribute structures and metadata have been documented.
In addition, an overview of social media searching covering Twitter, Facebook,
Instagram, and YouTube is offered. Results show the ability to extract embedded
metadata from search engine URLs which can establish online searching behaviors
and the timing of searches.
PMID- 29813189
TI - Single cell-type analysis of cellular lipid remodelling in response to salinity
in the epidermal bladder cells of the model halophyte Mesembryanthemum
crystallinum.
AB - Salt stress causes dramatic changes in the organization and dynamic properties of
membranes, however, little is known about the underlying mechanisms involved.
Modified trichomes, known as epidermal bladder cells (EBC), on the leaves and
stems of the halophyte Mesembryanthemum crystallinum can be successfully
exploited as a single-cell-type system to investigate salt-induced changes to
cellular lipid composition. In this study, alterations in key molecular species
from different lipid classes highlighted an increase in phospholipid species,
particularly those from phosphatidylcholine and phosphatidic acid (PA), where the
latter is central to the synthesis of membrane lipids. Triacylglycerol (TG)
species decreased during salinity, while there was little change in plastidic
galactolipids. EBC transcriptomic and proteomic data mining revealed changes in
genes and proteins involved in lipid metabolism and the upregulation of
transcripts for PIPKIB, PI5PII, PIPKIII, and phospholipase D delta suggested the
induction of signalling processes mediated by phosphoinositides and PA. TEM and
flow cytometry showed the dynamic nature of lipid droplets in these cells under
salt stress. Altogether, this work indicates that the metabolism of TG might play
an important role in EBC response to salinity as either an energy reserve for
sodium accumulation and/or driving membrane biosynthesis for EBC expansion.
PMID- 29813190
TI - Quality and value.
PMID- 29813191
TI - How will your practice change in the next five years?
PMID- 29813192
TI - Health care reform's silver lining.
PMID- 29813193
TI - Where we practice: Athens.
PMID- 29813194
TI - Adult immunization: A call for action.
PMID- 29813195
TI - A unique case of renal artery stenosis.
PMID- 29813196
TI - Thirty years and thirty seconds: Small actions can have great consequences.
PMID- 29813197
TI - MOC: How we got here and where we are going.
PMID- 29813198
TI - When the patient makes a poor choice, will a signed AMA form protect me?
PMID- 29813199
TI - How to prevent compiiance and regulatory problems that could ruin your bottom
line.
PMID- 29813200
TI - SGR to MACRA - so are we going from the frying pan and into the fire?
PMID- 29813201
TI - We Understand Where You Are.
PMID- 29813202
TI - Documentation-Truly a Method to Reduce Risk.
PMID- 29813203
TI - Oral Human Papilloma Virus Infections and the Role of the Dental Professional.
PMID- 29813204
TI - What Every Dentist Should Know for Patients Taking Suboxone
(Buprenorphine/Naloxone).
PMID- 29813205
TI - Projected Faster Growth for National Health Expenditures-But Not for Dentistry: A
Commentary.
PMID- 29813206
TI - Debridement and Establishing Working Length.
PMID- 29813207
TI - Oral Mucosal Chemical Burn.
PMID- 29813208
TI - A Clinico-Pathologic Correlation.
PMID- 29813209
TI - Pulmonary Embolism: Statement of the Problem and Purpose of the Leeper
Roundtable.
PMID- 29813210
TI - Guideline Recommendations and Risk Stratification.
PMID- 29813212
TI - Pulmonary Embolectomy with Cardiopulmonary Bypass.
PMID- 29813211
TI - Catheter-based PE Intervention.
PMID- 29813213
TI - Chronic Thromboembolic Pulmonary Hypertension: Hope for Prevention.
PMID- 29813214
TI - Vena Cava Filters.
PMID- 29813215
TI - Pulmonary Embolism Response Teams.
PMID- 29813216
TI - The Hospital. Nursing Section.
PMID- 29813217
TI - Glasgow Royal Infirmary and the Age Limit.
PMID- 29813219
TI - London School Children.
PMID- 29813218
TI - Notes and News.
PMID- 29813221
TI - Hospital Meetings.
PMID- 29813220
TI - Practical Departments.
PMID- 29813222
TI - Primary Tuberculous Meningitis.
PMID- 29813223
TI - Digitalis in Cardiac Failure.
PMID- 29813225
TI - Digestive Organs.
PMID- 29813224
TI - The Treatment of Epilepsy.
PMID- 29813226
TI - New Appliances and Things Medical.
PMID- 29813227
TI - Chronic Dyspepsia in Older Children.
PMID- 29813228
TI - Hernia.
PMID- 29813229
TI - Nurses' Home at the City of London Hospital for Chest Diseases, Victoria Park.
PMID- 29813230
TI - Notes and News.
PMID- 29813231
TI - Hospital Meetings.
PMID- 29813233
TI - Cancer.
PMID- 29813232
TI - Out-Patients' Department, Leicester Infirmary.
PMID- 29813234
TI - The Hospital Library and Charities Bureau.
PMID- 29813235
TI - Injuries of the Wrist Joint.
PMID- 29813236
TI - Stovaine as a Spinal and Local Anaesthetic.
PMID- 29813237
TI - Local Treatment of Typhoid Fever.
PMID- 29813238
TI - Public Health in the United States.-III.
PMID- 29813239
TI - Tuberculosis of the Urinary System Treated by Tuberculin.
PMID- 29813240
TI - Hospital Saturday Fund.
PMID- 29813241
TI - Annotations.
PMID- 29813243
TI - The Hospital. Nursing Section.
PMID- 29813242
TI - Metropolitan Sanitation.
PMID- 29813244
TI - Some Physical Aspects of Cardiac Failure.
PMID- 29813245
TI - Gynaecology and Obstetrics.
PMID- 29813246
TI - Sleep and Mental Development.
PMID- 29813247
TI - Recent Work upon Paroxysmal Haemoglobinuria.
PMID- 29813248
TI - Diseases of the Kidney.
PMID- 29813249
TI - Poor Law Topics.
PMID- 29813250
TI - Influenzal Endocarditis.
PMID- 29813251
TI - Milk Depots for Infants.
PMID- 29813252
TI - The Hospital. Nursing Section.
PMID- 29813253
TI - New Appliances and Things Medical.
PMID- 29813254
TI - Prognosis in the Case of Granular Kidney.
PMID- 29813255
TI - Construction Notes.
PMID- 29813256
TI - The Treatment of Graves's Disease.
PMID- 29813257
TI - A Surgical Operation in the Seventeenth Century.
PMID- 29813258
TI - Rheumatism and Gout.
PMID- 29813259
TI - Poor Law Topics.
PMID- 29813260
TI - Public Health in the United States.-I.
PMID- 29813261
TI - Practical Departments.
PMID- 29813262
TI - The Approaching Election.
PMID- 29813263
TI - Notes and News.
PMID- 29813264
TI - Gynaecology and Obstetrics.
PMID- 29813265
TI - The Epileptic Colony at Chalfont St. Peter.
PMID- 29813267
TI - Surgery.
PMID- 29813266
TI - Annotations.
PMID- 29813268
TI - Aneurism.
PMID- 29813269
TI - The Hospital Movement in the United States.
PMID- 29813270
TI - Practical Departments.
PMID- 29813271
TI - The General Medical Council.
PMID- 29813273
TI - Stokes-Adams' Disease.
PMID- 29813272
TI - The Smoke Nuisance.
PMID- 29813274
TI - Our Christmas Supplement.
PMID- 29813275
TI - Annotations.
PMID- 29813276
TI - Cancer of the Stomach.
PMID- 29813277
TI - Glances at the Hospitals.
PMID- 29813278
TI - Diseases of Stomach and Intestines.
PMID- 29813279
TI - The Fifteenth International Congress of Medicine, Lisbon, 1906.
PMID- 29813280
TI - The Effect of the Rontgen Ray upon Leukaemic States.
PMID- 29813281
TI - The Hospital Library and Charities Bureau.
PMID- 29813282
TI - Proposed Open-Air Sanatorium for the County of Middlesex.
PMID- 29813283
TI - The Hospital. Nursing Section.
PMID- 29813284
TI - The Engineering Side of Hospital Work.
PMID- 29813285
TI - The Matron's Department.
PMID- 29813286
TI - Hospital Meetings.
PMID- 29813287
TI - Notes and News.
PMID- 29813288
TI - Fevers.
PMID- 29813289
TI - Hospital Meetings.
PMID- 29813291
TI - Digestive Organs.
PMID- 29813290
TI - Why Not Enliven the Annual Meeting?
PMID- 29813293
TI - Diseases of the Intestines.
PMID- 29813292
TI - Annotations.
PMID- 29813294
TI - Cancer.
PMID- 29813295
TI - Metropolitan Hospitals and Vivisection.
PMID- 29813297
TI - Observations on the Lumbar-Puncture.
PMID- 29813296
TI - Notes on the Treatment of Diphtheria.
PMID- 29813299
TI - Diseases of the Circulation.
PMID- 29813298
TI - Goutiness.
PMID- 29813300
TI - Notes and News.
PMID- 29813301
TI - The Whisky Judgment.
PMID- 29813303
TI - The Hospital. Nursing Section.
PMID- 29813302
TI - New Appliances and Things Medical.
PMID- 29813305
TI - The Treatment of Gastric Vomiting by Oxalate of Cerium.
PMID- 29813304
TI - New Hospitals and the Medical Profession.
PMID- 29813307
TI - Sir John Floyer and the Physician's Pulse-Watch.
PMID- 29813306
TI - Food Fever in Children.
PMID- 29813308
TI - New Appliances and Things Medical.
PMID- 29813309
TI - The Hospital. Nursing Section.
PMID- 29813310
TI - Rheumatoid Arthritis in Childhood.
PMID- 29813311
TI - Euthanasia.
PMID- 29813312
TI - The London School of Clinical Medicine.
PMID- 29813313
TI - The Bristol Royal Infirmary.
PMID- 29813315
TI - Australian Hospitals.
PMID- 29813314
TI - Annotations.
PMID- 29813316
TI - Practical Departments.
PMID- 29813317
TI - Digestive Organs.
PMID- 29813318
TI - Diseases of the Nervous System.
PMID- 29813319
TI - Notes and News.
PMID- 29813320
TI - Underfed Children.
PMID- 29813321
TI - Smoke Abatement.
PMID- 29813322
TI - The Hospital Library and Charities Bureau.
PMID- 29813323
TI - St. Bartholomew's Hospital.
PMID- 29813324
TI - Poor Law Topics.
PMID- 29813325
TI - Porcine Tuberculosis.
PMID- 29813327
TI - The Localisation of Higher Psychical Function.
PMID- 29813326
TI - Hospital Meetings.
PMID- 29813328
TI - The Causation of Epidemic Diarrhoea.
PMID- 29813329
TI - Surgery.
PMID- 29813330
TI - Cottage Hospital for Consumption at Saranac Lake, New York.
PMID- 29813331
TI - Hospital Meetings.
PMID- 29813333
TI - Annotations.
PMID- 29813332
TI - Some Methods of Utilising Hospital Waste.
PMID- 29813334
TI - Poor Law Topics.
PMID- 29813335
TI - Cancer.
PMID- 29813336
TI - The Treatment of Arteriosclerosis.
PMID- 29813337
TI - The Pathology of General Paralysis of the Insane.
PMID- 29813338
TI - New Appliances and Things Medical.
PMID- 29813339
TI - Sir William Broadbent on Consumption.
PMID- 29813341
TI - Surgery.
PMID- 29813340
TI - Acid Extract of the Duodenal Mucous Membrane as a Remedy in Diabetes Mellitus.
PMID- 29813342
TI - Diseases of the Blood.
PMID- 29813343
TI - Arsenic in Beer.
PMID- 29813344
TI - Subphrenic Abscess.
PMID- 29813345
TI - The Rebuilding of the London Hospital.
PMID- 29813346
TI - The Physical Anomalies of Feebleminded Children.
PMID- 29813347
TI - Notes and News.
PMID- 29813348
TI - The Hospital. Nursing Section.
PMID- 29813349
TI - The Hospital Library and Charities Bureau.
PMID- 29813350
TI - The Medical Council and Dr. Irvine.
PMID- 29813351
TI - Progress in Disease of Digestive Organs.
PMID- 29813352
TI - Congenital Stridor.
PMID- 29813353
TI - Annotations.
PMID- 29813355
TI - Hospital Meetings.
PMID- 29813354
TI - Middlesex Hospital.
PMID- 29813356
TI - The Treatment of Thoracic Aneurysm.
PMID- 29813357
TI - Notes and News.
PMID- 29813358
TI - The Humanitarian and the Hooligan.
PMID- 29813359
TI - Treatment of Chronic Cervical Catarrh of the Uterus.
PMID- 29813360
TI - The Colonial Troops Entertainment.
PMID- 29813361
TI - The League of Mercy.
PMID- 29813363
TI - Some Serious Modes of Onset in Pulmonary Tuberculosis.
PMID- 29813362
TI - Progress of Surgery.
PMID- 29813364
TI - Physical Therapeutics.
PMID- 29813365
TI - Iodine in Diphtheria.
PMID- 29813366
TI - Abdominal Cystotomy.
PMID- 29813367
TI - "The Hospital" Nursing Mirror.
PMID- 29813368
TI - Progress in General Surgery.
PMID- 29813369
TI - New Appliances and Things Medical.
PMID- 29813370
TI - Influenza and Enteric Fever.
PMID- 29813371
TI - "The Hospital" Nursing Mirror.
PMID- 29813372
TI - Peritonism.
PMID- 29813373
TI - Notes and News.
PMID- 29813374
TI - Hysterectomy for Cancer of the Uterus.
PMID- 29813375
TI - Street Architecture.
PMID- 29813376
TI - The Prince of Wales's Hospital Fund.
PMID- 29813377
TI - Enteric Fever in Childhood.
PMID- 29813379
TI - Annotations.
PMID- 29813378
TI - Modern Sociology.
PMID- 29813380
TI - Progress in Cancer.
PMID- 29813381
TI - Asylum Matters.
PMID- 29813383
TI - Progress in Medicine.
PMID- 29813382
TI - A Rate-Supported Institution.
PMID- 29813384
TI - Country Phthisis.
PMID- 29813385
TI - New Appliances and Things Medical.
PMID- 29813387
TI - Progress in Pediatrics.
PMID- 29813386
TI - Hospital Meetings.
PMID- 29813388
TI - The Story of the Insane from Year to Year.
PMID- 29813389
TI - The Scandal of "Hospital Scandals".
PMID- 29813390
TI - The Duty of the Practitioner in Acute Intestinal Obstruction.
PMID- 29813391
TI - The Immediate Treatment of Sprains.
PMID- 29813392
TI - Progress in Psychiatry.
PMID- 29813393
TI - The Choice of Operation for the Removal of Large Stones from the Bladder.
PMID- 29813394
TI - The Diagnosis of Plague.
PMID- 29813395
TI - Progress in Anaesthetics.
PMID- 29813397
TI - Glances at the Hospitals.
PMID- 29813396
TI - The Metropolitan Asylums Board of London and Its Work.
PMID- 29813398
TI - New Appliances and Things Medical.
PMID- 29813399
TI - The Hospitals of Athens.
PMID- 29813400
TI - Sub-Diaphragmatic Abscess.
PMID- 29813401
TI - "The Hospital" Nursing Mirror.
PMID- 29813402
TI - The Popularisation of Medical Knowledge.
PMID- 29813403
TI - Notes and News.
PMID- 29813404
TI - Annotations.
PMID- 29813405
TI - Progress in Diseases of the Heart.
PMID- 29813406
TI - The International Congress of Gynaecology and Obstetrics.
PMID- 29813407
TI - Notes and News.
PMID- 29813408
TI - Modern Sociology.
PMID- 29813409
TI - Progress in Glaucoma.
PMID- 29813410
TI - Medical Ethics.
PMID- 29813411
TI - Cocaine Anaesthesia during Labour.
PMID- 29813412
TI - The Treatment of Melancholia.
PMID- 29813413
TI - "The Hospital" Nursing Mirror.
PMID- 29813415
TI - Sir John Williams at Cardiff.
PMID- 29813416
TI - Purpura and Scurvy.
PMID- 29813417
TI - Progress in Psychiatry.
PMID- 29813418
TI - Progress in Diseases of the Heart.
PMID- 29813419
TI - The Charitable Institutions of Victoria.
PMID- 29813420
TI - Annotations.
PMID- 29813421
TI - Progress of Surgery.
PMID- 29813422
TI - Editor's Letter-Box.
PMID- 29813423
TI - The Story of the Insane from Year to Year.
PMID- 29813424
TI - Hospital Meetings, &c.
PMID- 29813425
TI - The Internal Secretion of the Ovary.
PMID- 29813427
TI - Glances at the Hospitals.
PMID- 29813426
TI - The Clinical Characters Associated with Certain Types of Degeneracy, with Remarks
on Treatment.
PMID- 29813428
TI - Gastrotomy for Haematemesis.
PMID- 29813429
TI - Progress in Ophthalmology.
PMID- 29813431
TI - Workhouse Diets.
PMID- 29813430
TI - "The Hospital" Nursing Mirror.
PMID- 29813433
TI - Glances at the Hospitals.
PMID- 29813432
TI - Actinomycosis.
PMID- 29813434
TI - Progress in Diseases of the Heart.
PMID- 29813435
TI - Round Worms.
PMID- 29813436
TI - Oophorectomy for Cancer of the Breast.
PMID- 29813437
TI - Progress of Surgery.
PMID- 29813438
TI - Notes and News.
PMID- 29813439
TI - Chronic Cervical Catarrh of the Uterus.
PMID- 29813440
TI - Annotations.
PMID- 29813441
TI - Wind Exposure and Phthisis.
PMID- 29813442
TI - The London Municipalities.
PMID- 29813443
TI - The Resting Position of Anopheles.
PMID- 29813445
TI - Progress in Bacteriology.
PMID- 29813444
TI - Modern Sociology.
PMID- 29813446
TI - New Appliances and Things Medical.
PMID- 29813447
TI - Prostatectomy in Two Stages.
PMID- 29813448
TI - Promises to Pay.
PMID- 29813449
TI - Women Workers.
PMID- 29813451
TI - Notes and News.
PMID- 29813450
TI - Progress in Fevers.
PMID- 29813452
TI - Annotations.
PMID- 29813453
TI - Progress in Pediatrics.
PMID- 29813455
TI - Antidotes to Plague.
PMID- 29813454
TI - "The Hospital" Nursing Mirror.
PMID- 29813457
TI - Pay Hospitals in England.
PMID- 29813456
TI - Chronic Cervical Catarrh of the Uterus.
PMID- 29813459
TI - Modern Sociology.
PMID- 29813458
TI - King's College.
PMID- 29813460
TI - Hospital Meetings, &c.
PMID- 29813461
TI - Glances at the Hospitals.
PMID- 29813462
TI - Hospital Meetings, &c.
PMID- 29813463
TI - Progress in Diabetic Coma.
PMID- 29813464
TI - Creasote in Whooping Cough.
PMID- 29813465
TI - Chronic Cervical Catarrh of the Uterus.
PMID- 29813466
TI - The New Seamen's Hospital, Cardiff.
PMID- 29813467
TI - The Returning Troops.
PMID- 29813468
TI - The Rontgen Society.
PMID- 29813469
TI - Normal Labour.
PMID- 29813470
TI - Glances at the Hospitals.
PMID- 29813471
TI - Christ's Hospital and St. Bartholomew's.
PMID- 29813473
TI - New Appliances and Things Medical.
PMID- 29813472
TI - Yellow Fever.
PMID- 29813475
TI - Military Dietetics.
PMID- 29813474
TI - The School Board Elections.
PMID- 29813477
TI - "The Hospital" Nursing Mirror.
PMID- 29813476
TI - Progress in Fevers.
PMID- 29813478
TI - Notes and News.
PMID- 29813479
TI - Modern Sociology.
PMID- 29813480
TI - Annotations.
PMID- 29813481
TI - Progress in Pediatrics.
PMID- 29813482
TI - Appendicitis.
PMID- 29813483
TI - The Training of Sight.
PMID- 29813485
TI - The Teaching of Surgery in America.
PMID- 29813484
TI - Scarlet Fever.
PMID- 29813487
TI - Influenza in Children.
PMID- 29813486
TI - Progress in Orthopaedics.
PMID- 29813488
TI - Toxaemia and Insanitv.
PMID- 29813489
TI - Twenty-Five Years of Charity.
PMID- 29813490
TI - "The Hospital" Nursing Mirror.
PMID- 29813491
TI - Notes and News.
PMID- 29813493
TI - Life Capital.
PMID- 29813492
TI - Annotations.
PMID- 29813494
TI - The Year and the Century.
PMID- 29813495
TI - Suppurative Pericarditis.
PMID- 29813496
TI - Progress in Renal Medicine.
PMID- 29813498
TI - New Appliances and Things Medical.
PMID- 29813500
TI - Wounds of the Heart.
PMID- 29813499
TI - Proposed Cottage Hospital for Welwyn District.
PMID- 29813502
TI - Purification by Fire.
PMID- 29813501
TI - Progress in Cancer.
PMID- 29813503
TI - Annotations.
PMID- 29813504
TI - The Immediate Sterilisation of Infected Excreta.
PMID- 29813505
TI - New Appliances and Things Medical.
PMID- 29813506
TI - Medical Grievances and Suggested Remedies.
PMID- 29813507
TI - Modern Sociology.
PMID- 29813508
TI - Notes and News.
PMID- 29813509
TI - Glances at the Hospitals.
PMID- 29813510
TI - Empyema Following Lobar Pneumonia.
PMID- 29813511
TI - The Story of the Insane from Year to Year.
PMID- 29813512
TI - Progress in Fevers.
PMID- 29813513
TI - Enteric Fever in Childhood.
PMID- 29813514
TI - Enteric Fever in South Africa.
PMID- 29813515
TI - The Yellow-Fever Question.
PMID- 29813517
TI - Progress in Pediatrics.
PMID- 29813516
TI - Medical Education.
PMID- 29813518
TI - Physician and Surgeon.
PMID- 29813519
TI - Hospital Abuse and Its Cure.
PMID- 29813520
TI - "The Hospital" Nursing Mirror.
PMID- 29813521
TI - Medical Treatment at Isolation Hospitals.
PMID- 29813523
TI - Notes and News.
PMID- 29813522
TI - Puerperal Eclampsia.
PMID- 29813525
TI - The Dispensaries of the Punjab.
PMID- 29813524
TI - A Motto for the New Year.
PMID- 29813527
TI - Glances at the Hospitals.
PMID- 29813526
TI - The Prince and the Hospitals.
PMID- 29813529
TI - Gout in the Throat and Nose.
PMID- 29813528
TI - Scarlet Fever.
PMID- 29813530
TI - The Story of the Insane from Year to Year.
PMID- 29813531
TI - Annotations.
PMID- 29813532
TI - Polyorromenitis.
PMID- 29813533
TI - "The Hospital" Nursing Mirror.
PMID- 29813534
TI - Institutional Questions.
PMID- 29813535
TI - Progress in Orthopaedics.
PMID- 29813536
TI - Progress in State Medicine.
PMID- 29813537
TI - Inflammation as a Precursor of Tumour Formation.
PMID- 29813538
TI - Progress of Surgery.
PMID- 29813539
TI - Modern Sociology.
PMID- 29813540
TI - Disappointments in Surgery.
PMID- 29813541
TI - A Direct Attack on the Tubercle Bacillus.
PMID- 29813543
TI - Modern Sociology.
PMID- 29813542
TI - Hospital and Asvlum Construction.
PMID- 29813545
TI - Tetanus.
PMID- 29813544
TI - A Sanatorium for Consumption.
PMID- 29813546
TI - Civil Surgeons and the War.
PMID- 29813547
TI - Legal Intelligence.
PMID- 29813548
TI - Progress in Ophthalmology.
PMID- 29813549
TI - Mental Disorder and Toxaemia.
PMID- 29813551
TI - Annotations.
PMID- 29813550
TI - The Cold Bath as a Means of Diagnosis.
PMID- 29813552
TI - The Story of the Insane from Year to Year.
PMID- 29813553
TI - Glances at the Hospitals.
PMID- 29813555
TI - Progress in Obstetrics.
PMID- 29813554
TI - Notes and News.
PMID- 29813556
TI - Chronic Arsenical Poisoning.
PMID- 29813557
TI - Asylum Matters.
PMID- 29813558
TI - "The Hospital" Nursing Mirror.
PMID- 29813559
TI - The Sterilisation of Rubber Gloves.
PMID- 29813560
TI - New Appliances and Things Medical.
PMID- 29813561
TI - Treatment of Chronic Cervical Catarrh of the Uterus.
PMID- 29813563
TI - Operation for Perforated Gastric Ulcer.
PMID- 29813562
TI - Progress in Disease of Digestive Organs.
PMID- 29813564
TI - Progress of Surgery.
PMID- 29813565
TI - Post-Graduate Study in London.
PMID- 29813567
TI - Annotations.
PMID- 29813566
TI - Some Account of the More Important Medical Societies Now Existing in London.
PMID- 29813568
TI - The Medical Session.
PMID- 29813569
TI - The Nervous Complications of Influenza.
PMID- 29813570
TI - Another "Wart" Story.
PMID- 29813571
TI - Permanent Military Hospitals.
PMID- 29813572
TI - Progress in Medicine.
PMID- 29813573
TI - Practical Departments.
PMID- 29813574
TI - Filatows or Koplik's Spots.
PMID- 29813575
TI - The Huxley Lecture.
PMID- 29813576
TI - "The Hospital" Nursing Mirror.
PMID- 29813577
TI - The Importance of Research.
PMID- 29813578
TI - Notes on Cases in the Imperial Yeomanry Hospital at Deelfontein.
PMID- 29813579
TI - Glances at the Hospitals.
PMID- 29813580
TI - New Appliances and Things Medical.
PMID- 29813581
TI - Notes and News.
PMID- 29813582
TI - Hooligans.
PMID- 29813584
TI - Progress in Medicine.
PMID- 29813583
TI - Progress in General Surgery.
PMID- 29813586
TI - Prince of Wales's Hospital Fund for London.
PMID- 29813585
TI - The Medical Council and the Royal Colleges.
PMID- 29813587
TI - "The Hospital" Nursing Mirror.
PMID- 29813588
TI - The Beer Question.
PMID- 29813589
TI - Progress in Neurology.
PMID- 29813590
TI - The Origin of Sex.
PMID- 29813591
TI - Retroflexion of the Gravid Uterus.
PMID- 29813592
TI - Progress in Disease of Digestive Organs.
PMID- 29813593
TI - A Medical Army Reserve.
PMID- 29813595
TI - Annotations.
PMID- 29813594
TI - Scarlet Fever.
PMID- 29813597
TI - Constipation.
PMID- 29813596
TI - Malaria.
PMID- 29813598
TI - The League of Mercy.
PMID- 29813599
TI - Notes and News.
PMID- 29813600
TI - Running Water.
PMID- 29813602
TI - Annotations.
PMID- 29813601
TI - Metropolitan Hospital Sunday Fund.
PMID- 29813603
TI - The Nervous Origin of Diabetes.
PMID- 29813604
TI - "The Hospital" Nursing Mirror.
PMID- 29813605
TI - Notes and News.
PMID- 29813606
TI - The Differential Diagnosis of Praefrontal and Cerebellar Tumours.
PMID- 29813607
TI - Prognosis in Primary Amenorrhoea.
PMID- 29813608
TI - Progress in Genito-Urinary Surgery.
PMID- 29813609
TI - The Radcliffe Infirmary, Oxford.
PMID- 29813610
TI - The Treatment of Uric-Acid Gravel.
PMID- 29813611
TI - Glances at the Hospitals.
PMID- 29813612
TI - Actions at Law.
PMID- 29813613
TI - The Antitoxin Treatment of Diphtheria.
PMID- 29813615
TI - Progress in State Medicine.
PMID- 29813614
TI - New Appliances and Things Medical.
PMID- 29813616
TI - The Story of the Insane from Year to Year.
PMID- 29813617
TI - Progress in Diseases of the Heart.
PMID- 29813618
TI - People's Restaurants.
PMID- 29813619
TI - Homoeopathy and Rational Medicine.
PMID- 29813621
TI - Progress in Bacteriology.
PMID- 29813620
TI - The Clinical Varieties of Bright's Disease.
PMID- 29813622
TI - Water Filtration in America.
PMID- 29813623
TI - Light Baths.
PMID- 29813625
TI - Progress in Genito-Urinary Surgery.
PMID- 29813624
TI - Economy in Coal.
PMID- 29813626
TI - "The Hospital" Nursing Mirror.
PMID- 29813627
TI - Notes and News.
PMID- 29813628
TI - Annotations.
PMID- 29813629
TI - Rheumatic Fever.
PMID- 29813631
TI - Actinomycosis.
PMID- 29813630
TI - Operation for Typhoid Ulcer.
PMID- 29813633
TI - Progress in Neurology.
PMID- 29813632
TI - On Some Aspects of Memory.
PMID- 29813634
TI - A Health Census.
PMID- 29813635
TI - New Appliances and Things Medical.
PMID- 29813636
TI - Recent Observations on Cancer of the Uterus.
PMID- 29813637
TI - Coffee Poisoning.
PMID- 29813638
TI - Annotations.
PMID- 29813639
TI - "The Hospital" Nursing Mirror.
PMID- 29813640
TI - Progress in Diabetes.
PMID- 29813641
TI - Notes and News.
PMID- 29813643
TI - Progress in Surgery of the Peritoneum.
PMID- 29813642
TI - The New Asylum for East Sussex.
PMID- 29813644
TI - Urotropine: A Urinary Antiseptic.
PMID- 29813645
TI - On Some Aspects of Memory: II. Geography and Tidal Influence (continued).
PMID- 29813646
TI - Skiagraphy in the Diagnosis of Urinary Calculi.
PMID- 29813647
TI - Spoon-Shaped Indentations in the Skulls of the New-Born.
PMID- 29813648
TI - Progress in Surgery of the Stomach.
PMID- 29813649
TI - Direct Massage of the Heart in Chloroform Poisoning.
PMID- 29813650
TI - Progress in Diseases of the Blood.
PMID- 29813651
TI - Enteric Fever in South Africa.
PMID- 29813652
TI - The Diagnosis of Gastric Ulcer.
PMID- 29813653
TI - Progress in Genito-Urinary Surgery.
PMID- 29813655
TI - Changes Consequent on the Death of the Queen.
PMID- 29813654
TI - The Treatment of Gastric Ulcer.
PMID- 29813656
TI - Progress in Diseases of the Heart.
PMID- 29813657
TI - The King and the Hospitals.
PMID- 29813659
TI - "The Hospital" Nursing Mirror.
PMID- 29813658
TI - Homoeopathy and Rational Medicine.
PMID- 29813660
TI - Notes and News.
PMID- 29813661
TI - Annotations.
PMID- 29813662
TI - Hospital Meetings, &c.
PMID- 29813663
TI - The Treatment of Typhoid Fever.
PMID- 29813664
TI - Disease of the Lungs.
PMID- 29813665
TI - A Case of Chorea Illustrating Its Neuropathic Heredity.
PMID- 29813667
TI - Progress in Gynaecology.
PMID- 29813666
TI - Malaria.
PMID- 29813668
TI - Milk! Below.
PMID- 29813669
TI - Hospital Meetings, &c.
PMID- 29813670
TI - Death from Haemorrhage in Gastric Ulcer.
PMID- 29813671
TI - Annotations.
PMID- 29813672
TI - The Actual Cautery.
PMID- 29813673
TI - The Causes of Cardiac Disease in Middle and Advanced Life.
PMID- 29813674
TI - "The Hospital" Nursing Mirror.
PMID- 29813675
TI - Notes and News.
PMID- 29813676
TI - Progress in Cerebro-Spinal Surgery.
PMID- 29813677
TI - Some Hospital Appliances and Ward Furniture at Messrs. Bailey's New Premises.
PMID- 29813678
TI - The New Infirmary at Bristol.
PMID- 29813679
TI - Abscess after Appendicitis.
PMID- 29813680
TI - Progress of the Surgery of the Vermiform Appendix.
PMID- 29813681
TI - Tertiary Syphilis in the Nose and Pharynx.
PMID- 29813683
TI - Movable Kidney and Hepatic Colic.
PMID- 29813682
TI - The Story of the Insane from Year to Year.
PMID- 29813684
TI - Skiagraphy in the Diagnosis of Chest Disease.
PMID- 29813685
TI - The Cause of the Pyrexia in Fever.
PMID- 29813686
TI - The Non-Malarial Fevers of the Tropics.
PMID- 29813687
TI - A Legal View of "Pure and Wholesome Water".
PMID- 29813688
TI - The Selective Action of Toxins on Nervous Tissues.
PMID- 29813689
TI - Tetanus in Diphtheria Antitoxin.
PMID- 29813690
TI - Glances at the Hospitals.
PMID- 29813691
TI - Science and Instinct in Diagnosis.
PMID- 29813693
TI - Hospital Meetings.
PMID- 29813692
TI - Progress of Surgery.
PMID- 29813694
TI - Overcrowding and Consumption.
PMID- 29813695
TI - Progress in Psychiatry.
PMID- 29813696
TI - The South African Hospitals Commission.
PMID- 29813697
TI - "The Hospital" Nursing Mirror.
PMID- 29813698
TI - On Some Aspects of Memory: II. Geography and Tidal Influence (continued); III.
Architecture.
PMID- 29813699
TI - Notes and News.
PMID- 29813700
TI - Institutional Questions.
PMID- 29813701
TI - Annotations.
PMID- 29813702
TI - The Sale of Arsenical Beer.
PMID- 29813703
TI - "The Hospital" Nursing Mirror.
PMID- 29813704
TI - Is Wetness of Soil a Cause of Phthisis?
PMID- 29813705
TI - Progress in Diseases of the Blood.
PMID- 29813707
TI - The Adulteration of Food.
PMID- 29813706
TI - The Future of the Army Medical Corps.
PMID- 29813708
TI - Annotations.
PMID- 29813709
TI - Hospital Meetings.
PMID- 29813710
TI - Progress in Obstetrics.
PMID- 29813711
TI - Hospital Isolation in Scarlet Fever.
PMID- 29813712
TI - The Liverpool Central Home for District Nurses.
PMID- 29813713
TI - Dosage in Chloroform Administration.
PMID- 29813715
TI - Progress of Surgery.
PMID- 29813714
TI - Feeding of Infants in Health and Disease.
PMID- 29813716
TI - Notes and News.
PMID- 29813717
TI - On Some Aspects of Memory: III. Architecture (continued).
PMID- 29813718
TI - Progress in Obstetrics.
PMID- 29813719
TI - Annotations.
PMID- 29813720
TI - Progress of Surgery.
PMID- 29813722
TI - Return Cases of Scarlet Fever.
PMID- 29813721
TI - A Case of Cruro-Scrotal Hernia, with the Testis in the Perineum: Radical
Operation upon the Hernia, and Transplantation of the Testis into the, Scrotum.
PMID- 29813723
TI - Notes and News.
PMID- 29813724
TI - The Germ of Small-Pox and Vaccinia.
PMID- 29813725
TI - Syphilitic Joint Disease.
PMID- 29813726
TI - The Story of the Insane from Year to Year.
PMID- 29813727
TI - "The Hospital" Nursing Mirror.
PMID- 29813728
TI - Two Attacks of Scarlet Fever Occurring in the Same Patient within a Few Months.
PMID- 29813729
TI - Purification of Sponges by Boiling.
PMID- 29813730
TI - The Case of Dr. Imlach.
PMID- 29813732
TI - Progress in Bacteriology.
PMID- 29813731
TI - The Use of Calf Lymph in Epidemic Small-Pox.
PMID- 29813734
TI - New Appliances and Things Medical.
PMID- 29813733
TI - Hospital Meetings.
PMID- 29813735
TI - The Causation of Cancerous and Other Tumours.
PMID- 29813736
TI - Health and Revenue.
PMID- 29813737
TI - The Infected Air from Small-Pox Hospitals.
PMID- 29813739
TI - Opium in Cancer of the Uterus.
PMID- 29813738
TI - Clinical Lecture on a Case of Insular Sclerosis in a Child.
PMID- 29813740
TI - Annotations.
PMID- 29813741
TI - Disease of the Lungs.
PMID- 29813742
TI - Progress in Neurology.
PMID- 29813743
TI - Progress of Surgery.
PMID- 29813744
TI - Hospital Meetings.
PMID- 29813745
TI - The Story of the Insane from Year to Year.
PMID- 29813747
TI - Notes and News.
PMID- 29813746
TI - Yellow Fever.
PMID- 29813748
TI - Ovariotomy in the Eightieth Year.
PMID- 29813749
TI - Typhoid Fever in South Africa.
PMID- 29813750
TI - On Some Aspects of Memory: III. Architecture (concluded).
PMID- 29813751
TI - "The Hospital" Nursing Mirror.
PMID- 29813752
TI - An Ill-Fated Hospital.
PMID- 29813753
TI - "The Hospital" Nursing Mirror.
PMID- 29813754
TI - Quinine Pills.
PMID- 29813755
TI - Early Operation in Perforating Gastric Ulcer.
PMID- 29813756
TI - Hospital Meetings.
PMID- 29813757
TI - The London School of Tropical Medicine.
PMID- 29813759
TI - Rheumatism and Gout.
PMID- 29813758
TI - Intussusception.
PMID- 29813760
TI - Notes and News.
PMID- 29813761
TI - Disease of the Lungs.
PMID- 29813762
TI - Jaundice in Children.
PMID- 29813763
TI - The Nationalisation of the Children.
PMID- 29813764
TI - On Some Aspects of Memory: I. Personal and Relative (continued); II. Geography
and Tidal Influence.
PMID- 29813765
TI - New Appliances and Things Medical.
PMID- 29813766
TI - Progress in Neurology.
PMID- 29813767
TI - Resource in Emergency.
PMID- 29813768
TI - Arsenic Plus Alcohol as a Cause of Neuritis.
PMID- 29813769
TI - Primary Ovarian Pregnancy.
PMID- 29813771
TI - The Story of the Insane from Year to Year.
PMID- 29813770
TI - Notes on Health Resorts.
PMID- 29813772
TI - Cunningham and the "Daily Express".
PMID- 29813773
TI - The Medicinal Treatment of Chlorosis.
PMID- 29813774
TI - Heart Reflex.
PMID- 29813775
TI - Arsenic in Food and Drink.
PMID- 29813776
TI - Progress in Renal Medicine.
PMID- 29813777
TI - "The Hospital" Nursing Mirror.
PMID- 29813779
TI - The Trial of New Forms of Treatment.
PMID- 29813778
TI - Progress in Medicine.
PMID- 29813780
TI - Notes and News.
PMID- 29813781
TI - Glances at the Hospitals.
PMID- 29813782
TI - Annotations.
PMID- 29813783
TI - Olive Oil Injection in Typhoid Fever.
PMID- 29813784
TI - The Medical Council and the Curriculum.
PMID- 29813785
TI - Hospital Meetings, &c.
PMID- 29813786
TI - Wound of the Heart.
PMID- 29813787
TI - Hospital Economics.
PMID- 29813789
TI - Modern Sociology.
PMID- 29813788
TI - Annotations.
PMID- 29813791
TI - The Epidemic of Peripheral Neuritis.
PMID- 29813790
TI - New Appliances and Things Medical.
PMID- 29813793
TI - The Hydrostatic Test of Stillbirth.
PMID- 29813792
TI - Economy in Cocaine.
PMID- 29813794
TI - Progress of Surgery.
PMID- 29813795
TI - Notes and News.
PMID- 29813796
TI - Our Christmas Appeal Portfolio.
PMID- 29813797
TI - Sir T. Lauder Brunton on Alcoholic Neuritis.
PMID- 29813799
TI - The Consequences of a Foul Mouth.
PMID- 29813798
TI - Our Christmas Supplement.
PMID- 29813800
TI - Useful Institutional Furniture.
PMID- 29813801
TI - Institutional Questions.
PMID- 29813802
TI - The Hydriatic Treatment of Tuberculosis.
PMID- 29813803
TI - Progress in Disease of Digestive Organs.
PMID- 29813805
TI - Progress in Neurology.
PMID- 29813804
TI - "The Hospital" Nursing Mirror.
PMID- 29813806
TI - The Glasgow Royal Infirmary Competition.
PMID- 29813807
TI - Notes and News.
PMID- 29813808
TI - Annotations.
PMID- 29813809
TI - Progress in Surgery of the Liver, Gall Ducts, Spleen, and Pancreas.
PMID- 29813811
TI - New Appliances and Things Medical.
PMID- 29813810
TI - Homoeopathy and Rational Medicine.
PMID- 29813812
TI - On Some Aspects of Memory: III. Architecture (continued).
PMID- 29813813
TI - "The Hospital" Nursing Mirror.
PMID- 29813814
TI - The Differential Diagnosis of Praefrontal and Cerebellar Tumours.
PMID- 29813815
TI - Arsenic in Beer.
PMID- 29813816
TI - Faecal Impaction.
PMID- 29813817
TI - Mistaken Diagnoses.
PMID- 29813819
TI - Hospital Meetings, &c.
PMID- 29813818
TI - Ringworm Infection from Animals.
PMID- 29813820
TI - A Reformed British Medical Association.
PMID- 29813821
TI - The League of Mercy.
PMID- 29813823
TI - Periscope of Dermatology.
PMID- 29813822
TI - The Cause of Cancer.
PMID- 29813824
TI - Progress in Diseases of the Heart.
PMID- 29813826
TI - Knock-Knees.
PMID- 29813825
TI - Voyages in Vacation, III: Is Sea-Sickness a Myth?
PMID- 29813827
TI - The Shaftesbury Memorial.
PMID- 29813829
TI - Notes and News.
PMID- 29813828
TI - A Long Case.
PMID- 29813830
TI - Round about the Asylums, XIV.
PMID- 29813832
TI - Everybody's Page.
PMID- 29813831
TI - Hospital Finance and Economy.
PMID- 29813833
TI - The National Pension Fund.
PMID- 29813834
TI - The Rating of Charities.
PMID- 29813835
TI - Annotations: A Defender of Women.-Fallen Women.-Malthus and the Vicar.
PMID- 29813836
TI - False Impressions: Chapter II.-In the Waiting Room.
PMID- 29813837
TI - Extra Supplement.-The Nursing Mirror.
PMID- 29813838
TI - The Seven Ages of Man: II. The Schoolboy.
PMID- 29813840
TI - Annotations: Lady Guardians.-Murder and "Penny Dreadfuls."-The Tyrannies of the
Workshop.
PMID- 29813839
TI - The Phonograph in Hospital.
PMID- 29813842
TI - Voyages in Vacation,-V: Companions and Entertainments.
PMID- 29813841
TI - University College, London.
PMID- 29813843
TI - False Impressions: Chapter IV.-Meeting the Third.
PMID- 29813845
TI - The Female Martyr.
PMID- 29813844
TI - Everybody's Page.
PMID- 29813846
TI - The Blood.
PMID- 29813847
TI - Notes and News.
PMID- 29813849
TI - Diphtheria in London.
PMID- 29813848
TI - King's College Hospital Revisited.
PMID- 29813850
TI - The Seven Ages of Man: IV. The Soldier.
PMID- 29813851
TI - The Odd Fellows' Convalescent Scheme.
PMID- 29813852
TI - Town Smoke and Fogs.
PMID- 29813853
TI - Extra Supplement.-The Nursing Mirror.
PMID- 29813854
TI - Australasian Science Association.
PMID- 29813855
TI - Everybody's Page.
PMID- 29813857
TI - New Remedies and Appliances.
PMID- 29813856
TI - Mr. Gladstone as an Object Lesson.
PMID- 29813858
TI - Village Homes.
PMID- 29813859
TI - False Impressions: Chapter VII.-The Flower Show.
PMID- 29813860
TI - The Blood.
PMID- 29813862
TI - Annotations: Processions or Puddings?-What Is a Lunatic?-A Veritable New Cure.
PMID- 29813861
TI - How to Cultivate the Sense of Smell.
PMID- 29813863
TI - In and out among the Hospitals: Royal Infirmary, Dundee, &c.
PMID- 29813865
TI - Extra Supplement.-The Nursing Mirror.
PMID- 29813864
TI - Voyages in Vacation.-VIII: Cronstadt and St. Petersburg.
PMID- 29813867
TI - The Seven Ages of Man: VII. "Sans Everything".
PMID- 29813866
TI - Wanted a Good Hospital Advocate!
PMID- 29813868
TI - Notes and News.
PMID- 29813869
TI - A Case for Help.
PMID- 29813870
TI - Sixteen Years of the Hospital Sunday Fund: Its Influence on the Metropolitan
Medical Charities.
PMID- 29813871
TI - Women and Their Victims.
PMID- 29813872
TI - False Impressions: Chapter IX.-A Step Further.
PMID- 29813873
TI - Present-Day Problems.
PMID- 29813874
TI - Glances at the Insane in Other Lands: I. New South Wales.
PMID- 29813876
TI - Notes and News.
PMID- 29813875
TI - The Case for Special Hospitals.
PMID- 29813877
TI - Are We a Nation of Liars?
PMID- 29813878
TI - Annotations: Hot Bottles in Bed.-The Doctor and His Fee.-Tea.-Wet Feet.
PMID- 29813880
TI - Voyages in Vacation.-X: Impressions of St. Petersburg.
PMID- 29813879
TI - Extra Supplement.-The Nursing Mirror.
PMID- 29813881
TI - Everybody's Page.
PMID- 29813882
TI - Charing Cross and Other Hospitals.
PMID- 29813883
TI - Dundee Royal Infirmary.
PMID- 29813884
TI - The Blood.
PMID- 29813885
TI - Extra Supplement.-The Nursing Mirror.
PMID- 29813887
TI - Annotations: Sir Morell Ma Kenzie's Book.-Other People's Money.-Examiners
Examined at Last.
PMID- 29813886
TI - Voyages in Vacation. II.
PMID- 29813888
TI - Military Graveyards and Epitaphs in India.
PMID- 29813889
TI - A Serious Case against a Hospital.
PMID- 29813890
TI - National Pension Fund for Nurses.
PMID- 29813891
TI - Physiology and Murder.
PMID- 29813892
TI - The Seven Ages of Man: I. The Infant.
PMID- 29813893
TI - Malingering.
PMID- 29813895
TI - In and out among the Hospitals.
PMID- 29813894
TI - Everybody's Page.
PMID- 29813896
TI - False Impressions: Chapter I.-Mrs. Lennox's Garden Party.
PMID- 29813897
TI - Notes and News.
PMID- 29813898
TI - Doctors and Chemists.
PMID- 29813899
TI - Within the Wards.
PMID- 29813900
TI - The Story of the London Fever Hospital.
PMID- 29813901
TI - Brine Baths for Rheumatism.
PMID- 29813902
TI - In and out among the Hospitals.
PMID- 29813903
TI - Annotations: Dangerous Ointments.-Women and Their Victims.-A Lover's Choice.
PMID- 29813904
TI - Scotch Asylums.
PMID- 29813905
TI - Voyages in Vacation.-VI: The Advantages of Sea Travel.
PMID- 29813906
TI - Extra Supplement.-The Nursing Mirror.
PMID- 29813907
TI - The Seven Ages of Man: V. The Justice.
PMID- 29813908
TI - Everybody's Page.
PMID- 29813910
TI - Notes and News.
PMID- 29813909
TI - "Discourses to Women on Medical Subjects".
PMID- 29813911
TI - False Impressions: Chapter V.-With the Children.
PMID- 29813913
TI - "The Empire of Childhood".
PMID- 29813912
TI - Macaulay on Small-Pox.
PMID- 29813914
TI - The National Pension Fund for Nurses.
PMID- 29813915
TI - The British Soldier Turned Teetotaler.
PMID- 29813916
TI - A Matron on "Other People's Money".
PMID- 29813917
TI - Everybody's Page.
PMID- 29813918
TI - The Effects of Competition on the Editorial Temper.
PMID- 29813919
TI - Annotations: Sir Morell Mackenzie's Defence.-Gerhardt and Bergmann's View of the
Case.-Leeds as a Leader.
PMID- 29813921
TI - Extra Supplement.-The Nursing Mirror.
PMID- 29813920
TI - Frederick the Noble.
PMID- 29813923
TI - Is Vaccination a Failure?
PMID- 29813922
TI - Uses of the Pension Fund.
PMID- 29813924
TI - Sectarianism at London Hospitals.
PMID- 29813925
TI - Discharged.
PMID- 29813926
TI - The Seven Ages of Man: III. The Lover.
PMID- 29813927
TI - Notes and News.
PMID- 29813928
TI - Voyages in Vacation.-IV: Where the Pleasure Yachts Are at Present Deficient.
PMID- 29813929
TI - False Impressions: Chapter III.-Coming Home.
PMID- 29813931
TI - The Seven Ages of Man: VI.-"The Lean and Slippered Pantaloon."
PMID- 29813930
TI - Editor's Letter-Box.
PMID- 29813932
TI - A Berlin Physician on the Treatment of Consumption.
PMID- 29813934
TI - Hospital Finance and Economy.-III.
PMID- 29813933
TI - Voyages in Vacation.-VII: Copenhagen and the Baltic.
PMID- 29813935
TI - A Dangerous Lunatic.
PMID- 29813936
TI - City Magnates and Their Work.
PMID- 29813937
TI - Little Men.
PMID- 29813938
TI - Annotations: "False Doctoring."-The Flock or the Fleece?-An Incompatible Mixture.
PMID- 29813940
TI - A Case for Help.
PMID- 29813939
TI - Everybody's Page.
PMID- 29813941
TI - Extra Supplement.-The Nursing Mirror.
PMID- 29813942
TI - Miss M'Kellar and the Hospitals.
PMID- 29813944
TI - Homeless.
PMID- 29813943
TI - Notes and News.
PMID- 29813945
TI - Women and Their Victims.
PMID- 29813947
TI - A German Taptoo.
PMID- 29813946
TI - False Impressions: Chapter VI.-Edith.
PMID- 29813949
TI - Notes and News.
PMID- 29813948
TI - False Impressions: Chapter VIII.-Jack.
PMID- 29813950
TI - Alcoholic Paralysis.
PMID- 29813951
TI - A Case to Help.
PMID- 29813952
TI - A Fright and Its Consequences.
PMID- 29813953
TI - Everybody's Page.
PMID- 29813954
TI - Extra Supplement.-The Nursing Mirror.
PMID- 29813955
TI - Sixteen Years of the Hospital Sunday Fund: Its Influence on the Metropolitan
Medical Charities.
PMID- 29813956
TI - The Great Meeting at Barts.
PMID- 29813958
TI - Socialists and Hospitals.
PMID- 29813957
TI - Annotations: Competitive Examinations: A Medical Protest-Are Women Deteriorating
A "Plaintive Cry".
PMID- 29813959
TI - In and out among the Hospitals.
PMID- 29813960
TI - Medical Monopolies and General Practitioners.
PMID- 29813962
TI - Voyages in Vacation.-IX: Impressions of St. Petersburg.
PMID- 29813961
TI - Present Day Problems: The Question of Population.
PMID- 29813963
TI - Vegetarianism and Cancer.
PMID- 29813964
TI - Annotations: A New Opening for Nurses.-London Boy Ruffianism-Funeral Wreaths.
PMID- 29813965
TI - Voyages in Vacation.-XIII: Royal Carriages-Railways-Moscow.
PMID- 29813966
TI - Hospital Extravagance and Expenditure.
PMID- 29813967
TI - Present-Day Problems: Cures for "Social Parasites".
PMID- 29813968
TI - Notes and News.
PMID- 29813969
TI - Everybody's Page.
PMID- 29813970
TI - Something about "Germs." The Views of Professor Charteris of the Glasgow
University.
PMID- 29813971
TI - First Aid to the Injured.
PMID- 29813972
TI - Extra Supplement.-The Nursing Mirror.
PMID- 29813973
TI - False Impressions: Chapter XII.-A Dog's Death.
PMID- 29813974
TI - Givers and Giving.
PMID- 29813975
TI - Overwork: "A Sad Device of the Devil".
PMID- 29813977
TI - Is Saccharin Injurious to Health?
PMID- 29813976
TI - Present-Day Problems: Social Parasites.
PMID- 29813978
TI - The Blood-Fluid.
PMID- 29813979
TI - Notes and News.
PMID- 29813981
TI - Metropolitan Hospitals, Ancient and Modern: I.-A Retrospect.
PMID- 29813980
TI - Voyages in Vacation.-XII: Russian Palaces.
PMID- 29813982
TI - Everybody's Page.
PMID- 29813984
TI - Annotations: Bread, or a Stone?-Boycotting Sir Morrell Mackenzie at Edinburgh.
PMID- 29813983
TI - Women and Their Victims.
PMID- 29813985
TI - Glances at the Insane in Other Lands: II. New Zealand.
PMID- 29813986
TI - Chemists and Their Work.
PMID- 29813988
TI - Extra Supplement.-The Nursing Mirror.
PMID- 29813987
TI - False Impressions: Chapter XI.-Discontented.
PMID- 29813989
TI - "Ring out the Old".
PMID- 29813990
TI - Notes and News.
PMID- 29813991
TI - A Christmas Carol in a Minor Key: The Gospel of Selfishness-The Thread Is Broken
A Chance Step-Among the Toys-A Plea for the Dolls-Best of All-Sick Children-Fact
versus Fancy-Why One Avoids the Hospitals-At a Children's Hospital-The Crown of
All-In the East-Music and Dancing-Fun for All-A Change of Temper-Here and There
and Everywhere-What Would the Subscribers Say?-A Plea for Amusement-The
Philosophy of Christmas-Where the Money Comes From-Accomplished Nurses-The
Fascination of Hospitals-Are Hospitals Extravagant?-The Result of Rash
Accusations-The Meaning of Pain-Who Are the Fittest?-What Hospitals Teach-The
Practical Lesson-Good-Bye to Selfishness.
PMID- 29813993
TI - Extra Supplement.-The Nursing Mirror.
PMID- 29813992
TI - A Plea for Our Voluntary Hospitals.
PMID- 29813994
TI - Annotations: "Let Him Die."-Hospitals at Home &c.
PMID- 29813995
TI - The Philanthropist's Vade Mecum.
PMID- 29813997
TI - Notes and News.
PMID- 29813996
TI - Everybody's Page.
PMID- 29813999
TI - Curves of the Spine, etc.
PMID- 29813998
TI - Health in Our Homes.
PMID- 29814000
TI - Brighton Charities.
PMID- 29814001
TI - Saccharin.
PMID- 29814003
TI - "All Sorts and Conditions" of Women: Are Old Maids Failures?
PMID- 29814002
TI - Literature in Plague Time.
PMID- 29814004
TI - Extra Supplement-The Nursing Mirror.
PMID- 29814005
TI - The Cult of Cleanliness.
PMID- 29814006
TI - Annotations: "East Wind" Liver-Football Brutalities-Are Abstainers Long-Lived?
PMID- 29814007
TI - Criticism or Cash?
PMID- 29814008
TI - Everybody's Page.
PMID- 29814009
TI - False Impressions: Chapter XIX.-Visiting the Sick.
PMID- 29814011
TI - Take Your Medicine!
PMID- 29814010
TI - Voyages in Vacation.-XIX: More about Norway.
PMID- 29814012
TI - "All Sorts and Conditions" of Women: The Worst Wife.
PMID- 29814013
TI - A Suggestion for Secretaries.
PMID- 29814015
TI - In Spare Moments.
PMID- 29814014
TI - The Highroads to Health.
PMID- 29814016
TI - False Impressions: Chapter XVIII.-The Last Straw.
PMID- 29814018
TI - Voyages in Vacation.-XVIII: Norway and the Land of the Midnight Sun.
PMID- 29814017
TI - Extra Supplement-The Nursing Mirror.
PMID- 29814019
TI - The Holloway Sanitorium for the Insane at Virginia Water, Egham.
PMID- 29814020
TI - Notes and News.
PMID- 29814021
TI - Give It a Fair Trial.
PMID- 29814022
TI - Everybody's Page.
PMID- 29814023
TI - Queer Babies.
PMID- 29814024
TI - Fat.
PMID- 29814025
TI - Short Sight and Its Causes.
PMID- 29814026
TI - Annotations: "Extract of Horse"-"After Dinner Walk a Mile"-"Dried-Up" Pensioners.
PMID- 29814028
TI - Experiments on Animals.
PMID- 29814027
TI - Sir Sydney Waterlow's Paper: An Examination of Some of Its Contents.
PMID- 29814029
TI - Hospitals Amongst the Ancient Greeks.
PMID- 29814030
TI - The Indian National Association for Supplying Female Medical Aid to the Women of
India, and the Countess Dufferin's Fund.
PMID- 29814031
TI - Voyages in Vacation.-XI: Russian Palaces.
PMID- 29814032
TI - The Dundee Infirmary.
PMID- 29814033
TI - Something about Leprosy.
PMID- 29814034
TI - Notes and News.
PMID- 29814035
TI - Extra Supplement.-The Nursing Mirror.
PMID- 29814036
TI - Present-Day Problems.
PMID- 29814037
TI - Hand-Fed Children.
PMID- 29814039
TI - False Impressions: Chapter X.-Disappointment.
PMID- 29814038
TI - Everybody's Page.
PMID- 29814041
TI - Police Matrons.
PMID- 29814040
TI - Annotations: The Slaughter of Shop-Assistants.-The State and the Shop.-Washing
Bills: A Suggestion.
PMID- 29814042
TI - Doctors' Disabilities.
PMID- 29814043
TI - Hints for the Sick Room.
PMID- 29814044
TI - Man and His Enemies: Inherited Taints.
PMID- 29814045
TI - Notes and News.
PMID- 29814046
TI - False Impressions: Chapter XXIII.-Mrs. Ledward Conspires.
PMID- 29814047
TI - Bath and Her Waters.
PMID- 29814048
TI - Glances at the Insane in Other Lands: VII. Alabama.
PMID- 29814049
TI - Extra Supplement-The Nursing Mirror.
PMID- 29814050
TI - Stirpiculture.
PMID- 29814051
TI - Everybody's Page.
PMID- 29814052
TI - Military Graveyards and Epitaphs in India-III.
PMID- 29814053
TI - In a Coal Mine with Miners.-II.
PMID- 29814054
TI - Annotations: From the Court to the Hospital.-The Brain as a Working Tool.-"Common
Humanity" in Lancashire.
PMID- 29814055
TI - The Relation of Hospitals to Medical Education.
PMID- 29814056
TI - Football-Ear.
PMID- 29814058
TI - A Winter in Egypt.
PMID- 29814057
TI - False Impressions: Chapter XVII.-Scheming.
PMID- 29814059
TI - Discussion on Mr. Nelson Hardy's Paper.
PMID- 29814060
TI - Annotations: The Universal Cure at Last-"Physicians' Whims" or Women's Faults?
What Is "Pap"?
PMID- 29814061
TI - "All Sorts and Conditions" of Women: The Best Wife.
PMID- 29814062
TI - Phenomenally Large and Small Babies.
PMID- 29814063
TI - Notes and News.
PMID- 29814064
TI - A Land of Charity.
PMID- 29814065
TI - Wry-Neck.
PMID- 29814066
TI - Extra Supplement-The Nursing Mirror.
PMID- 29814067
TI - Everybody's Page.
PMID- 29814069
TI - Voyages in Vacation.-XVII: Sweden and Stockholm.
PMID- 29814068
TI - "Rod" or "Cane?"
PMID- 29814070
TI - A Human "Brute".
PMID- 29814071
TI - Insurance of Children.
PMID- 29814072
TI - Literature in Plague Time.
PMID- 29814073
TI - "All Sorts and Conditions" of Women: When Should Girls Marry?
PMID- 29814074
TI - Editor's Letter-Box.
PMID- 29814075
TI - Editor's Letter-Box.
PMID- 29814076
TI - The Coral Islands and Fiji.
PMID- 29814077
TI - Everybody's Page.
PMID- 29814078
TI - Annotations: "Gone in for Pickles"-"The Pace That Kills".
PMID- 29814080
TI - Notes and News.
PMID- 29814079
TI - False Impressions: Chapter XX.-Mischief.
PMID- 29814081
TI - New Surgical Appliances.
PMID- 29814082
TI - Premiums on Child-Murder.
PMID- 29814083
TI - A Word for Our Seamen.
PMID- 29814084
TI - Cancer: A Hundred Years Ago and To-Day.
PMID- 29814086
TI - Extra Supplement-The Nursing Mirror.
PMID- 29814085
TI - Hints for the Sick-Room: Temperature Taking.
PMID- 29814087
TI - Measles.
PMID- 29814088
TI - Annotations: The Lancet and the National Pension Fund-Vicarious Digestion
Coughing in Church: A Defence.
PMID- 29814089
TI - Voyages in Vacation.-XIV: The Kremlin, Moscow.
PMID- 29814090
TI - Notes and News.
PMID- 29814091
TI - Eyes and Sight.
PMID- 29814092
TI - Metropolitan Hospitals Ancient and Modern: III.-The French System-Medical
Schools.
PMID- 29814093
TI - Everybody's Page.
PMID- 29814094
TI - "All Sorts and Conditions" of Women: Four "Created" Women.
PMID- 29814095
TI - False Impressions: Chapter XIV.-Telling the Truth.
PMID- 29814096
TI - Glances at the Insane in Other Lands: III. Pennsylvania.
PMID- 29814097
TI - Failed.
PMID- 29814098
TI - More about the World's Babies.
PMID- 29814099
TI - Extra Supplement-The Nursing Mirror.
PMID- 29814100
TI - A New Suggestion about Nerve Centres.
PMID- 29814101
TI - "All Sorts and Conditions" of Women: I.-Preliminary Considerations.
PMID- 29814103
TI - Brain Injury and Bad Temper.
PMID- 29814102
TI - In and out among the Hospitals.
PMID- 29814104
TI - Is a Register for Nurses Desirable?
PMID- 29814105
TI - "Alcoholic" Diseases.
PMID- 29814106
TI - "Tinned" Meats.
PMID- 29814107
TI - Everybody's Page.
PMID- 29814109
TI - Extra Supplement-The Nursing Mirror.
PMID- 29814108
TI - Notes and News.
PMID- 29814111
TI - Diphtheria.
PMID- 29814110
TI - Annotations: The Sweating of Nurses-The Eating-House Trick-Suicide and Insanity.
PMID- 29814112
TI - Jane and Eliza Wigham.
PMID- 29814113
TI - Metropolitan Hospitals Ancient and Modern: II.
PMID- 29814114
TI - Royal Albert Orphan Asylum.
PMID- 29814115
TI - False Impressions: Chapter XIII.-Mrs. Lester Rebels.
PMID- 29814116
TI - The World's Babies.
PMID- 29814117
TI - Mental Excitement.
PMID- 29814118
TI - Everybody's Page.
PMID- 29814119
TI - Military Graveyards and Epitaphs in India-V.
PMID- 29814120
TI - London to Be Ambulanced.
PMID- 29814122
TI - Science and Surgery.
PMID- 29814121
TI - False Impressions: Chapter XXV.-Taking Counsel.
PMID- 29814123
TI - Hints for the Sick Room: The Importance of Posture.
PMID- 29814125
TI - The Hospitals Association.
PMID- 29814124
TI - The Court and the Hospitals.
PMID- 29814126
TI - The Skin as an Indicator.
PMID- 29814127
TI - Notes and News.
PMID- 29814129
TI - London in 1828.
PMID- 29814128
TI - Extra Supplement-The Nursing Mirror.
PMID- 29814131
TI - The Watcher.
PMID- 29814130
TI - The Breakdown of the Hospital System.
PMID- 29814132
TI - Annotations: Common Humanity in Lancashire-Fancied Pains-Mr. Gladstone's
Constituents in Debate.
PMID- 29814134
TI - Man and His Enemies.
PMID- 29814133
TI - False Impressions: Chapter XXII.-Holiday Time.
PMID- 29814136
TI - Extra Supplement-The Nursing Mirror.
PMID- 29814135
TI - Breakdown of the Hospital System.
PMID- 29814137
TI - Glances at the Insane in Other Lands: VI-Victoria.
PMID- 29814138
TI - A Child's Reproof.
PMID- 29814139
TI - Military Graveyards and Expitaphs in India-II.
PMID- 29814140
TI - Notes and News.
PMID- 29814142
TI - A Colonial Congress.
PMID- 29814141
TI - The Student's "Reckoning Day".
PMID- 29814143
TI - Annotations: March Dust-The Horse and His Murderers- "Horseflesh" to the Fore.
PMID- 29814144
TI - In a Coal Mine with Miners.
PMID- 29814145
TI - Everybody's Page.
PMID- 29814146
TI - Recent Advances in Wound Dressing.
PMID- 29814148
TI - Teaching the Deaf and Dumb.
PMID- 29814147
TI - Swedish Mechanical Exercise.
PMID- 29814149
TI - Literature in Plague Time: III.
PMID- 29814150
TI - Annotations: Modern Neros and Their Fiddles.-Child Suicides-Baby-Farming
Superseded.
PMID- 29814151
TI - Nurses as Stewardesses.
PMID- 29814152
TI - Glances at the Insane in Other Lands: V.-The New Brunswick Asylum, St. John.
PMID- 29814153
TI - "All Sorts and Conditions" of Women: The High Priestess of the Home.
PMID- 29814155
TI - Extra Supplement-The Nursing Mirror.
PMID- 29814154
TI - Insomnia.
PMID- 29814156
TI - Woman's Help for Woman.
PMID- 29814157
TI - Pathology of Sea Sickness.
PMID- 29814158
TI - Everybody's Page.
PMID- 29814159
TI - Notes and News.
PMID- 29814160
TI - Defective Articulation.
PMID- 29814161
TI - The Breakdown of the Hospital System.
PMID- 29814162
TI - A Colonial Congress.
PMID- 29814163
TI - An Old Army Surgeon.
PMID- 29814165
TI - Bleeding Revived.
PMID- 29814164
TI - False Impressions: Chapter XXI.-Switzerland.
PMID- 29814166
TI - Notes and News.
PMID- 29814167
TI - Full Quivers.
PMID- 29814168
TI - Voyages in Vacation.-XV: Russian Dinners, Hotels, Restaurants and Amusements.
PMID- 29814169
TI - "All Sorts and Conditions" of Women: The Intellectual Woman.
PMID- 29814171
TI - The Power of the Penny.
PMID- 29814170
TI - A Happy Result.
PMID- 29814172
TI - The Blackheath and Charlton Cottage Hospital.
PMID- 29814173
TI - Extra Supplement-The Nursing Mirror.
PMID- 29814174
TI - Metropolitan Hospitals Ancient and Modern: IV. Out and in Patients and the Pay
System.
PMID- 29814175
TI - Everybody's Page.
PMID- 29814177
TI - In Spare Moments.
PMID- 29814176
TI - Annotations: Desperate Diseases, Desperate Remedies-Impossible!-A Sheffield
"Scare".
PMID- 29814178
TI - False Impressions: Chapter XV.-Laura and Edith.
PMID- 29814179
TI - Treatment of Phthisis at High Altitudes.
PMID- 29814180
TI - False Impressions: Chapter XXIV.-Telling Edith.
PMID- 29814181
TI - Shampooing Versus Massage.
PMID- 29814182
TI - Notes and News.
PMID- 29814183
TI - Annotations: Growing Fat-How to "Cane" Boys- Retribution.
PMID- 29814184
TI - Man and His Enemies: Birth and Babyhood.
PMID- 29814185
TI - The Breakdown of the Hospital System.
PMID- 29814186
TI - Extra Supplement-The Nursing Mirror.
PMID- 29814187
TI - Hints for the Sick Room: Bedside Manipulations.
PMID- 29814189
TI - Everybody's Page.
PMID- 29814188
TI - The Compulsory Elevation of Doctors.
PMID- 29814191
TI - National Thrift.
PMID- 29814190
TI - A Protest against M. Pasteur.
PMID- 29814192
TI - Treatment by Sleep and Suggestion.
PMID- 29814193
TI - Military Graveyards and Epitaphs in India-IV.
PMID- 29814194
TI - Fledgling Gaol Birds.
PMID- 29814195
TI - Extra Supplement-The Nursing Mirror.
PMID- 29814196
TI - Man and Medicine.
PMID- 29814197
TI - Is Consumption Contagious?
PMID- 29814198
TI - Everybody's Page.
PMID- 29814199
TI - Voyages in Vacation.-XVI: From St. Petersburg to Stockholm by Sea.
PMID- 29814200
TI - Sensationalism in Public Performances.
PMID- 29814201
TI - Notes and News.
PMID- 29814202
TI - Glances at the Insane in Other Lands: IV.-Fifty Years at the Vermont Asylum.
PMID- 29814203
TI - False Impressions: Chapter XVI.-Suspicions.
PMID- 29814205
TI - "All Sorts and Conditions" of Women: The Mother of Children.
PMID- 29814204
TI - Victoria Park Consumption Hospital.
PMID- 29814206
TI - Some Proposals for Contributions by Patients to Hospitals.
PMID- 29814207
TI - Annotations: Smoking-Cremation-Coaxing the Appetite.
PMID- 29814208
TI - Australia for the Sons of Medical Men.
PMID- 29814210
TI - Clothing for the Tropics.
PMID- 29814209
TI - Medical Expert Evidence.-II.
PMID- 29814212
TI - The Teaching of Medicine.
PMID- 29814211
TI - Some Unusual Features of Lead Poisoning.
PMID- 29814214
TI - Training Schools and Private Nursing.
PMID- 29814213
TI - The Causation of Sex.
PMID- 29814215
TI - Fire Appliances for Use in Hospitals.
PMID- 29814216
TI - The Differential Diagnosis of Multiple Glandular Swellings-I.
PMID- 29814217
TI - Scotland and the Nurses' Registration Bill.
PMID- 29814218
TI - Sprengel's Shoulder.
PMID- 29814219
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29814220
TI - Advanced Life and Its Diseases.
PMID- 29814222
TI - Lacto-Bacillin in Pernicious Anaemia.
PMID- 29814221
TI - The Autocratic Matron.
PMID- 29814223
TI - Royal Free Hospital.
PMID- 29814224
TI - Annotations.
PMID- 29814225
TI - Medical Benevolent Funds.
PMID- 29814227
TI - Reflex Colic and Reflex Diarrhoea.
PMID- 29814226
TI - Operations on the Nasal Septum.
PMID- 29814229
TI - The Report of the Medical Officer of Health of the County of London.
PMID- 29814228
TI - Examination of the Knee-Jerks.
PMID- 29814230
TI - The After-History of Tracheotomy Cases.
PMID- 29814232
TI - New Appliances & Things Medical.
PMID- 29814231
TI - The Art of Eating.
PMID- 29814233
TI - News and Coming Events.
PMID- 29814234
TI - Menorrhagia at Puberty.
PMID- 29814235
TI - Micro-Organisms without Leucocytes in Cerebro-Spinal Fluid.
PMID- 29814236
TI - Medical Opinion and Movement.
PMID- 29814238
TI - Tuberculous Disease of the Hips.-II.
PMID- 29814237
TI - King Edward's Hospital Fund for London.
PMID- 29814239
TI - Intrameatal Treatment.
PMID- 29814240
TI - The Curse of the Chimney.
PMID- 29814241
TI - Medical Opinion and Movement.
PMID- 29814242
TI - The Territorial Regimental Surgeon.
PMID- 29814244
TI - The Muscular Factor in Cases of Delay in Walking.
PMID- 29814243
TI - Treatment by Tuberculin in General Practice.
PMID- 29814245
TI - The Medical Council and Unqualified Practice.
PMID- 29814246
TI - A Review of Its Medical Aspects.-IV.
PMID- 29814247
TI - News and Coming Events.
PMID- 29814248
TI - Preliminary Schools for Probationers.
PMID- 29814249
TI - Pregnancy from a Legal Standpoint-III.
PMID- 29814250
TI - Plans of Hospitals.
PMID- 29814251
TI - The Management of Chronic Aural Suppuration.
PMID- 29814253
TI - The Certification and Registration of Deaths.
PMID- 29814252
TI - Syringomyelia Resembling Leprosy.
PMID- 29814254
TI - Post-Basic Meningitis.
PMID- 29814256
TI - Idiosyncrasy.
PMID- 29814255
TI - Annotations.
PMID- 29814258
TI - Diabetes Insipidus.
PMID- 29814257
TI - Some Actual Prescriptions with Their Dispensing Difficulties.
PMID- 29814259
TI - Medical Opinion and Movement.
PMID- 29814260
TI - The Hospital for Diseases of the Skin, Blackfriars.
PMID- 29814261
TI - Tinea Cruris.
PMID- 29814263
TI - The Sleeping Sickness Bureau.
PMID- 29814264
TI - A Review of Its Medical Aspects.-III.
PMID- 29814262
TI - Infantile Paralysis in a Young Adult.
PMID- 29814265
TI - The Bacteriology of Acute Poliomyelitis.
PMID- 29814266
TI - Ocular Symptoms as an Aid in General Diagnosis.
PMID- 29814267
TI - Salicylates in Rheumatic Affections.
PMID- 29814269
TI - Annotations.
PMID- 29814268
TI - Personal Experience in the Study of Disease.
PMID- 29814271
TI - Tuberculosis of the Hip-Joint.
PMID- 29814270
TI - The Principle Underlying the Scottish Registration Bill.
PMID- 29814272
TI - Current Topics.
PMID- 29814273
TI - The Territorial R.A.M.C. in London.
PMID- 29814274
TI - Stenosis of the Larynx.
PMID- 29814275
TI - News and Coming Events.
PMID- 29814276
TI - Human Glanders.
PMID- 29814277
TI - The Bombay Medical Congress.
PMID- 29814278
TI - Fibroma and Fibromyoma of the Uterus.
PMID- 29814279
TI - Annotations.
PMID- 29814280
TI - News and Coming Events.
PMID- 29814281
TI - Expenses of Motor Upkeep.
PMID- 29814282
TI - A Year's Work in the Guy's Hospital Dispensary.
PMID- 29814283
TI - Graduate Study on the Continent-Russia.
PMID- 29814284
TI - On the Treatment of Lupus Vulgaris.
PMID- 29814285
TI - Medical Opinion and Movement.
PMID- 29814286
TI - Some Points on Alcoholism.
PMID- 29814288
TI - The Medical Treatment of School Children.
PMID- 29814287
TI - Typhoidal Orchitis.
PMID- 29814289
TI - Some Points on the Modern Treatment of Syphilis.
PMID- 29814290
TI - Epidemic Bright's Disease.
PMID- 29814291
TI - The Maternal Instinct.
PMID- 29814293
TI - Some Prescriptions with Their Dispensing Difficulties.-II.
PMID- 29814292
TI - Stenosis of the Trachea.
PMID- 29814294
TI - Preliminary Schools for Probationers.
PMID- 29814295
TI - New Appliances and Things Medical.
PMID- 29814296
TI - The Heating and Lighting of Hospital Wards.
PMID- 29814298
TI - News and Events of the Week.
PMID- 29814297
TI - Reports on the Hospitals of the United Kingdom: Queen's Hospital, Birmingham;
Wolverhampton Eye Infirmary.
PMID- 29814299
TI - Ventilation in Operating Theatres.
PMID- 29814300
TI - The Week's Work.
PMID- 29814301
TI - Clinical Tests of Hearing-III: Detection of Malingering.
PMID- 29814302
TI - Some Unusual Affections of the Vermiform Appendix.
PMID- 29814303
TI - Hospitals and Hospital Visitors.
PMID- 29814304
TI - Hospital Presidents and Hospital Indebtedness.
PMID- 29814306
TI - Popular Cures.
PMID- 29814305
TI - Some Varieties of Acute Intestinal Obstruction.
PMID- 29814307
TI - Institutional Notes and News.
PMID- 29814309
TI - The Operation Theatre: IV. Fittings.
PMID- 29814308
TI - Anaphylaxis.
PMID- 29814311
TI - Early Rising during the Puerperium.
PMID- 29814310
TI - Idiopathic, Pernicious, or Addison's Anaemia: II. Notes on Treatment.
PMID- 29814312
TI - Medical Fees and the Public.
PMID- 29814314
TI - Racial Proclivities to Disease.
PMID- 29814313
TI - The Last Word on the Enzyme Treatment of Cancer.
PMID- 29814316
TI - Annotations.
PMID- 29814315
TI - The Budget and Private Enclosed Gardens.
PMID- 29814317
TI - Medical Opinion and Movement.
PMID- 29814318
TI - Quack Advertisements.
PMID- 29814319
TI - Speeches at the Festival Dinner, 1909.
PMID- 29814320
TI - Vaccine in Gonococcal Affections.
PMID- 29814321
TI - Annotations.
PMID- 29814322
TI - The Great Northern Central Hospital.
PMID- 29814324
TI - The Acute Abdomen.
PMID- 29814323
TI - Nature Studies.
PMID- 29814325
TI - Jottings.
PMID- 29814326
TI - Reports on the Hospitals of the United Kingdom: Poor Law Infirmaries; Crumpsall
Workhourse Infirmary, Manchester.
PMID- 29814327
TI - A Lecture on Aortic Disease.
PMID- 29814328
TI - Institutional Notes and News.
PMID- 29814329
TI - The Symptoms of Lead Poisoning.
PMID- 29814330
TI - Metropolitan Hospital Sunday Fund.
PMID- 29814331
TI - Off the Line.
PMID- 29814332
TI - Medical Opinion and Movement.
PMID- 29814333
TI - Royal Hants County Hospital, Winchester.
PMID- 29814334
TI - Some Interesting Cases and Notes: Reminiscences of Practice.
PMID- 29814335
TI - Specialisation among Hospitals.
PMID- 29814336
TI - Spread of Scarlet Fever.
PMID- 29814337
TI - The Week's Work.
PMID- 29814338
TI - News and Events of the Week.
PMID- 29814339
TI - Mr. Lane on the Erect Posture.
PMID- 29814340
TI - Jottings.
PMID- 29814342
TI - The Operation Theatre: II. Its Constituent Parts.
PMID- 29814341
TI - Annotations.
PMID- 29814344
TI - Diet in Rheumatoid Arthritis.
PMID- 29814343
TI - Reports on the Hospitals of the United Kingdom: II. The Chicester General
Infirmary.
PMID- 29814345
TI - Scientific Education.
PMID- 29814346
TI - Institutional Notes and News.
PMID- 29814347
TI - How Term Began at the Hospitals.
PMID- 29814348
TI - The Medical Exhibition.
PMID- 29814349
TI - Medical Opinion and Movement.
PMID- 29814350
TI - Misfiring.
PMID- 29814351
TI - Dangerous Popular Cures.
PMID- 29814352
TI - The Diagnosis in a Case of Pernicious Anaemia.
PMID- 29814353
TI - Progress in Institutional Work.
PMID- 29814354
TI - News and Events of the Week.
PMID- 29814356
TI - The Malignancy of Melanotic Sarcoma.
PMID- 29814355
TI - The Week's Work.
PMID- 29814357
TI - Jottings.
PMID- 29814358
TI - News and Events of the Week.
PMID- 29814359
TI - The Treatment of Sepsis.
PMID- 29814361
TI - The Hospital World.
PMID- 29814360
TI - The Body Fluids in Cancer.
PMID- 29814362
TI - Institutional Notes and News.
PMID- 29814363
TI - Reports on the Hospitals of the United Kingdom: Warneford, Leamington, and South
Warwickshire General Hospital; Stratford-on-Avon Hospital.
PMID- 29814364
TI - Annotations.
PMID- 29814365
TI - Fibroid Degeneration of the Vermiform Appendix.
PMID- 29814366
TI - Treatment in Septic Conditions.
PMID- 29814367
TI - The Dangers of Premature Burial.
PMID- 29814368
TI - Venesection in Uraemia.
PMID- 29814369
TI - On Tuberculous Disease of the Lymphatic Glands-I.
PMID- 29814371
TI - The Week's Work.
PMID- 29814372
TI - Medical Opinion and Movement.
PMID- 29814370
TI - On Scars.
PMID- 29814373
TI - The Week's Work.
PMID- 29814374
TI - The Destruction of Bugs and Other Vermin: The Hydrocyanic-Acid-Gas Method.
PMID- 29814375
TI - Acute Laryngitis-I.
PMID- 29814376
TI - The Passing of the Doctor's Horse.
PMID- 29814377
TI - The Finances of a Welsh Hospital.
PMID- 29814379
TI - Some Remarks on Excision of the Knee-Joint.
PMID- 29814378
TI - Jottings.
PMID- 29814380
TI - Institutional Notes and News.
PMID- 29814381
TI - The Future of Spinal Anaesthesia.
PMID- 29814382
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29814383
TI - Chronic Peritonitis Following Carditis.
PMID- 29814384
TI - Annotations.
PMID- 29814385
TI - Medical Opinion and Movement.
PMID- 29814386
TI - Enlargements of the Liver.-V: Cirrhosis (Continued).
PMID- 29814387
TI - The Treatment of Carbuncles.
PMID- 29814388
TI - The Physiology of the Appetite.
PMID- 29814389
TI - Continuous Irrigation with Hydrogen Peroxide as a Treatment for Septic Sinuses,
Etc.
PMID- 29814390
TI - The Sterilisation of Morphine Hydrochloride Solutions.
PMID- 29814391
TI - News and Events of the Week.
PMID- 29814392
TI - Public Health at the Anglo-Japanese Exhibition.
PMID- 29814393
TI - Reports on the Hospitals of the United Kingdom: XXII. General Infirmary, Leeds.
PMID- 29814394
TI - Research Defence Society.
PMID- 29814395
TI - Brownlow Hill Poor Law Infirmary.
PMID- 29814396
TI - Institutional Notes and News.
PMID- 29814398
TI - Medical Opinion and Movement.
PMID- 29814397
TI - Jottings.
PMID- 29814399
TI - Recent Workmen's Compensation Cases.
PMID- 29814401
TI - Reports on the Hospitals of the United Kingdom: XX. Cheltenham General Hospital.
PMID- 29814400
TI - The Treatment of Mental Cases by the General Practitioner.
PMID- 29814402
TI - Yaws.
PMID- 29814403
TI - Enlargements of the Liver.-III.
PMID- 29814404
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29814405
TI - The Week's Work.
PMID- 29814406
TI - Regulations as to the Storage of Poisons.
PMID- 29814407
TI - Literary Notes.
PMID- 29814408
TI - News and Events of the Week.
PMID- 29814409
TI - Annotations.
PMID- 29814410
TI - Australian Notes.
PMID- 29814411
TI - Gonorrhoeal Arthritis.
PMID- 29814413
TI - The Treatment of School Children.
PMID- 29814412
TI - Means of Escape in Case of Fire: An Architect's Protest against the London County
Council Regulations.
PMID- 29814414
TI - Saline Infusion in Cholera Cases.
PMID- 29814415
TI - Delayed Chloroform Poisoning.
PMID- 29814416
TI - The Harmful Effects of Acetanilide, Antipyrin, and Phenacetin.
PMID- 29814417
TI - Benefit of Clergy.
PMID- 29814418
TI - Motoring Notes.
PMID- 29814419
TI - The Jubilee of the Dental Charter.
PMID- 29814421
TI - Reports on the Hospitals of the United Kingdom: XIII. The Leicester Infirmary.
PMID- 29814420
TI - Jottings.
PMID- 29814422
TI - Medical Opinion and Movement.
PMID- 29814423
TI - Institutional Notes and News.
PMID- 29814425
TI - The Week's Work.
PMID- 29814426
TI - The Bacteriology of Common Colds.
PMID- 29814424
TI - Angina Pectoris.
PMID- 29814427
TI - A Note on Sciatica.
PMID- 29814428
TI - News and Events of the Week.
PMID- 29814429
TI - Young's Dietary in Typhoid Fever Cases.
PMID- 29814430
TI - Arsenical Melanodermia: A Case Simulating Addison's Disease.
PMID- 29814432
TI - Annotations.
PMID- 29814431
TI - "Off the Line".
PMID- 29814433
TI - Science and Art.
PMID- 29814435
TI - Institutional Notes and News.
PMID- 29814434
TI - Jottings.
PMID- 29814436
TI - Reports on the Hospitals of the United Kingdom: XXI. Royal South Hants and
Southampton Hospital.
PMID- 29814437
TI - The Right to Pay for Hospital Treatment: I. The Patient's Point of View.
PMID- 29814438
TI - The Early Recognition of Cancer of the Breast.
PMID- 29814439
TI - The Private Nursing Home.
PMID- 29814440
TI - Literary Notes.
PMID- 29814441
TI - Plymouth Workhouse New Infirmary.
PMID- 29814442
TI - The Treatment of Whooping-Cough.
PMID- 29814443
TI - Public Health and School Children.
PMID- 29814444
TI - The Differential Diagnosis of Uterine Haemorrhages.-I.
PMID- 29814445
TI - Annotations.
PMID- 29814447
TI - The Field Ration and Feeding of the Territorial Soldier.
PMID- 29814446
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29814448
TI - Therapeutics and Pharmacy.
PMID- 29814449
TI - Tuberculosis without Tubercle.
PMID- 29814450
TI - Enlargements of the Liver.-IV: Cirrhosis of the Liver.
PMID- 29814452
TI - News and Events of the Week.
PMID- 29814451
TI - A Note on a Case of Functional Hemiplegia.
PMID- 29814453
TI - Medical Opinion and Movement.
PMID- 29814455
TI - The Week's Work.
PMID- 29814454
TI - Home Chalets for Tubercular Patients.
PMID- 29814457
TI - Some Remarks on Peri-Rectal Suppuration.
PMID- 29814456
TI - Tropical Haematology.
PMID- 29814458
TI - Reports on the Hospitals of the United Kingdom: The Salisbury Infirmary; Some
Cottage Hospitals.
PMID- 29814460
TI - Automobile Ambulances.
PMID- 29814459
TI - Institutional Notes and News.
PMID- 29814461
TI - Not God's Fault, but Ours.
PMID- 29814462
TI - Eosinophile Corpuscles in Cerebrospinal Meningitis.
PMID- 29814463
TI - Eye Injuries, with Special Reference to the Workmen's Compensation Act, 1906.
PMID- 29814465
TI - Sphenoidal Sinusitis.
PMID- 29814464
TI - News and Events of the Week.
PMID- 29814466
TI - Coroners' Fees for Hospital Residents.
PMID- 29814467
TI - Canadian Notes.
PMID- 29814468
TI - Medical Fees and the Public.
PMID- 29814469
TI - Early Recollections from 1846 to 1863.
PMID- 29814470
TI - Jottings.
PMID- 29814471
TI - Medical Opinion and Movement.
PMID- 29814473
TI - A Step Forward.
PMID- 29814472
TI - Annotations.
PMID- 29814474
TI - The Week's Work.
PMID- 29814475
TI - The Hospital for Diseases of the Skin, Blackfriars.
PMID- 29814476
TI - Some Remarks on Internal Derangements of the Knee.
PMID- 29814478
TI - Institutional Notes and News.
PMID- 29814477
TI - Pulmonary Atheroma.
PMID- 29814480
TI - News and Events of the Week.
PMID- 29814479
TI - The Week's Work.
PMID- 29814481
TI - Popular Medicine.
PMID- 29814482
TI - Facts and Figures from the Annual Reports.
PMID- 29814483
TI - Annotations.
PMID- 29814484
TI - Medical Opinion and Movement.
PMID- 29814485
TI - Melbourne Notes.
PMID- 29814486
TI - Idiopathic, Pernicious, or Addison's Anaemia: I. A Summary and Review.
PMID- 29814488
TI - Reports on the Hospitals of the United Kingdom: IV. Some Welsh Hospitals.
PMID- 29814487
TI - The Danger to the Community of the "Beauty Specialist".
PMID- 29814489
TI - Medical Fees and the Public.
PMID- 29814491
TI - The Responsibility of Silence.
PMID- 29814490
TI - Should Poor Law Infirmaries Have a Visiting Medical Staff?
PMID- 29814492
TI - Ozaenic Pleurisies, Foetid, Putrid, and Gangrenous.
PMID- 29814493
TI - Medical Opinion and Movement.
PMID- 29814494
TI - Territorial Voluntary Aid Detachments.
PMID- 29814495
TI - Spontaneous Fracture of the Femora.
PMID- 29814497
TI - The Royal Hospital of St. Bartholomew.
PMID- 29814496
TI - Royal Hampshire County Hospital.
PMID- 29814498
TI - The Treatment of Venous Thrombosis.
PMID- 29814499
TI - Medical Men and Midwives.
PMID- 29814500
TI - The Week's Work.
PMID- 29814501
TI - Reductio Ad Absurdum.
PMID- 29814502
TI - Practical Notes.
PMID- 29814504
TI - Advertisements and the Medical Press.
PMID- 29814503
TI - Reports on the Hospitals of the United Kingdom: VII. Royal Devon and Exeter
Hospital, Exeter.
PMID- 29814505
TI - Institutional Notes and News.
PMID- 29814506
TI - Annotations.
PMID- 29814507
TI - News and Events of the Week.
PMID- 29814509
TI - Oatmeal Diet for Diabetics.
PMID- 29814508
TI - Jottings.
PMID- 29814510
TI - News and Events of the Week.
PMID- 29814511
TI - Reports on the Hospitals of the United Kingdom: Kettering General Hospital;
Victoria Hospital, Frome.
PMID- 29814513
TI - Enlargements of the Liver.-X: Abscesses of the Liver (Concluded.).
PMID- 29814512
TI - Institutional Notes and News.
PMID- 29814514
TI - The Week's Work.
PMID- 29814516
TI - Acute Laryngitis-II.
PMID- 29814515
TI - King Edward's Hospital Fund for London.
PMID- 29814517
TI - Metropolitan Hospital Reconstruction.
PMID- 29814519
TI - Ophthalmia Neonatorum.
PMID- 29814518
TI - Nature Studies-V.
PMID- 29814521
TI - Medical Opinion and Movement.
PMID- 29814520
TI - Aftermath of the Tuberculosis Congress.
PMID- 29814522
TI - Percussion Tenderness as a Sign of Phthisis.
PMID- 29814523
TI - Jottings.
PMID- 29814524
TI - King Edward's Hospital Fund.
PMID- 29814525
TI - The Edinburgh Medical School.
PMID- 29814526
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29814528
TI - Annotations.
PMID- 29814527
TI - Rickets.
PMID- 29814529
TI - The General Practitioner as Anaesthetist.
PMID- 29814530
TI - Premature Burial.
PMID- 29814531
TI - Common Errors in Infant Feeding.
PMID- 29814532
TI - Medical Opinion and Movement.
PMID- 29814533
TI - The Clinical Significance of Glycosuria in Pregnant Women.
PMID- 29814534
TI - The Beit Fellowships for Medical Research.
PMID- 29814535
TI - The Week's Work.
PMID- 29814536
TI - Enlargements of the Liver.-IX: Abscesses of the Liver.
PMID- 29814537
TI - The "Meat" Treatment of Sprue.
PMID- 29814538
TI - Reports on the Hospitals of the United Kingdom: XXIX. Blackburn and East
Lancashire Infirmary.
PMID- 29814540
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29814539
TI - Annotations.
PMID- 29814541
TI - The Life-Cycle of the Guinea-Worm.
PMID- 29814543
TI - The Queen Alexandra Sanatorium, Davos Platz, Switzerland.
PMID- 29814542
TI - Asthma and Its Treatment.
PMID- 29814544
TI - Lysol and Kreolin Poisoning.
PMID- 29814545
TI - Institutional Notes and News.
PMID- 29814547
TI - News and Events of the Week.
PMID- 29814546
TI - Jottings.
PMID- 29814548
TI - The Hospital World.
PMID- 29814550
TI - Royal National Orthopaedic Hospital, Great Portland Street.
PMID- 29814549
TI - Hospitals and the Law of Nuisance.
PMID- 29814551
TI - News and Events of the Week.
PMID- 29814553
TI - Medical Opinion and Movement.
PMID- 29814552
TI - Palliative Treatment in Advanced Pulmonary Tuberculosis.
PMID- 29814554
TI - Some Recent Innovations.
PMID- 29814555
TI - Acute Epiphysitis.
PMID- 29814556
TI - Petrol Poisoning by Inhalation.
PMID- 29814557
TI - Reports on the Hospitals of the United Kingdom: XVII. Brownlow Hill Poor Law
Infirmary, Liverpool.
PMID- 29814558
TI - The Punishment of Rape in England and the Colonies.
PMID- 29814559
TI - New Appliances and Things Medical.
PMID- 29814560
TI - Annotations.
PMID- 29814561
TI - The Crimean War: Some Medical and Surgical Reminiscences.
PMID- 29814562
TI - The Aims of Anatomy.
PMID- 29814563
TI - Enlargements of the Liver.-I: The Physical Signs of Hepatic Enlargement.
PMID- 29814564
TI - Jottings.
PMID- 29814565
TI - The Week's Work.
PMID- 29814566
TI - Institutional Notes and News.
PMID- 29814568
TI - The Hospital Outlook for 1910.
PMID- 29814567
TI - Research Defence Society.
PMID- 29814569
TI - The British Medical Benevolent Fund and Guild.
PMID- 29814570
TI - Pediculosis.
PMID- 29814571
TI - The Cost of Car Upkeep.
PMID- 29814572
TI - The Week's Work.
PMID- 29814573
TI - Metropolitan Hospital Sunday Fund.
PMID- 29814574
TI - Editor's Letter-Box.
PMID- 29814575
TI - Institutional Notes and News.
PMID- 29814576
TI - A Year of Progress.
PMID- 29814577
TI - News and Events of the Week.
PMID- 29814579
TI - Some Practical Notes.
PMID- 29814578
TI - The Salford Union Infirmary.
PMID- 29814580
TI - Annotations.
PMID- 29814581
TI - Reports on the Hospitals of the United Kingdom: XVI. Coventry and Warwickshire
Hospital.
PMID- 29814582
TI - Scorpion Hunting on the Karroo.
PMID- 29814583
TI - Medical Opinion and Movement.
PMID- 29814584
TI - Francis Thompson: Poet and Medical Student.
PMID- 29814585
TI - The Medical Profession in Social Estimation.
PMID- 29814586
TI - The League of Mercy.
PMID- 29814587
TI - Seasonable Thoughts.
PMID- 29814588
TI - The Christmas Dinner.
PMID- 29814589
TI - An Epitome of Some Questions of the Day.
PMID- 29814590
TI - Fire in Hospitals.
PMID- 29814592
TI - Conradi's Medium.
PMID- 29814591
TI - Jottings.
PMID- 29814594
TI - Eugenics and Parental Responsibility.
PMID- 29814593
TI - The Bacteria of Sewer Air.
PMID- 29814595
TI - The Operation Theatre: I. Past and Present Requirements.
PMID- 29814597
TI - Medical Opinion and Movement.
PMID- 29814596
TI - Reports on the Hospitals of the United Kingdom: I. North Staffordshire Infirmary,
Hartshill.
PMID- 29814598
TI - Convulsive Tic.
PMID- 29814599
TI - Hypnotism in the Treatment of Dyspareunia.
PMID- 29814600
TI - Institutional Notes and News.
PMID- 29814601
TI - Annotations.
PMID- 29814602
TI - Asepsis and Antiseptics.
PMID- 29814603
TI - Hernia of the Bladder.
PMID- 29814604
TI - Editor's Letter-Box.
PMID- 29814605
TI - The Week's Work.
PMID- 29814606
TI - Suffragettes and the Stomach Tube.
PMID- 29814607
TI - A Plea for Medical Treatment in Certain Surgical Cases.
PMID- 29814608
TI - Demonstration of Cases: Dupuytrens Contraction and Ankylosis of the Hip.
PMID- 29814609
TI - Thyroidectomy in Inoperable Cancer.
PMID- 29814610
TI - News and Events of the Week.
PMID- 29814611
TI - A Standard Milk.
PMID- 29814613
TI - Jottings.
PMID- 29814612
TI - Workmen's Contributions.
PMID- 29814615
TI - News and Events of the Week.
PMID- 29814614
TI - Tropical Diseases Met with in England.
PMID- 29814616
TI - Cocaine Substitutes.
PMID- 29814617
TI - The Week's Work.
PMID- 29814619
TI - Annotations.
PMID- 29814618
TI - Work and Pay.
PMID- 29814620
TI - A Note on Adherent Pericardium.
PMID- 29814621
TI - Medical Opinion and Movement.
PMID- 29814622
TI - The Passing of the General Practitioner.
PMID- 29814623
TI - The Case for the Members.
PMID- 29814624
TI - Optic Neuritis Due to Lead Poisoning.
PMID- 29814625
TI - Puerperal Insanity.
PMID- 29814627
TI - Reports on the Hospitals of the United Kingdom: XI. South Devon and East Cornwall
Hospital, Plymouth.
PMID- 29814628
TI - Institutional Notes and News.
PMID- 29814626
TI - The Lesion Known as "Charcot's Joint".
PMID- 29814630
TI - Prickly Heat.
PMID- 29814629
TI - "Reductio Ad Absurdum".
PMID- 29814632
TI - Clinical Examination of the Chest.
PMID- 29814633
TI - Annotations.
PMID- 29814631
TI - Hospitals and Poor Law Reform.
PMID- 29814635
TI - Renal Casts in Phthisis.
PMID- 29814634
TI - News and Events of the Week.
PMID- 29814637
TI - The Diagnosis and Treatment of Erosion of the Cervix Uteri.
PMID- 29814636
TI - The Report of the City Coroner.
PMID- 29814639
TI - The Week's Work.
PMID- 29814638
TI - Jottings.
PMID- 29814640
TI - The Treatment of Inoperable Cancer of the Cervix.
PMID- 29814642
TI - The Future of the Hospitals: Co-Operation with the State and Local Authorities.
PMID- 29814641
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29814643
TI - Reports on the Hospitals of the United Kingdom: XXXIV. Huddersfield Infirmary.
PMID- 29814644
TI - Institutional Notes and News.
PMID- 29814645
TI - Medical Opinion and Movement.
PMID- 29814646
TI - The Hospital World.
PMID- 29814647
TI - Sir Henry Burdett's Inspection Tour.
PMID- 29814648
TI - Medical Opinion and Movement.
PMID- 29814649
TI - Methods of Attaining Asepsis at Operations.
PMID- 29814650
TI - Reports on the Hospitals of the United Kingdom: III. Royal Hants County Hospital,
Winchester.
PMID- 29814651
TI - The Re-Election of Hospital Staffs.
PMID- 29814652
TI - The Week's Work.
PMID- 29814653
TI - Annotations.
PMID- 29814655
TI - Note on Continuous Proctoclysis.
PMID- 29814654
TI - Practical Notes.
PMID- 29814656
TI - Institutional Notes and News.
PMID- 29814657
TI - News and Events of the Week.
PMID- 29814658
TI - Polyclinic Notes.
PMID- 29814659
TI - The Operation Theatre: III. The Theatre Unit.
PMID- 29814661
TI - New Appliances and Things Medical.
PMID- 29814660
TI - The Responsibility of Silence.
PMID- 29814662
TI - The British Hospitals Association.
PMID- 29814663
TI - Some Reminiscences of St. Bartholomew's.
PMID- 29814664
TI - Points from Sessional Addresses.
PMID- 29814665
TI - Post Operative Jejunal Ulcer.
PMID- 29814666
TI - An Abdominal Case of Interest.
PMID- 29814667
TI - King Edward's Hospital Fund for London.
PMID- 29814668
TI - Fire in Hospitals.
PMID- 29814669
TI - Elephantiasis.
PMID- 29814671
TI - The Salford Union Infirmary.
PMID- 29814670
TI - Annotations.
PMID- 29814672
TI - The Transport of Territorial Field Medical Units.
PMID- 29814673
TI - Alcohol and Insanity.
PMID- 29814674
TI - The Week's Work.
PMID- 29814675
TI - The Queen's Hospital for Children.
PMID- 29814676
TI - Institutional Notes and News.
PMID- 29814677
TI - Jottings.
PMID- 29814678
TI - The Transport of the Territorial Medical Service.
PMID- 29814679
TI - The Early Fifties. Reminiscences of Guy's Hospital: An Interview with Mr. Thomas
Bryant, F.R.C.S.
PMID- 29814681
TI - Medical Opinion and Movement.
PMID- 29814680
TI - A Common Cold.
PMID- 29814682
TI - Reports on the Hospitals of the United Kingdom: Nottingham General Hospital; Some
Cottage Hospitals.
PMID- 29814683
TI - Christmas Appeal Number.
PMID- 29814684
TI - News and Events of the Week.
PMID- 29814685
TI - A Royal Commission on Anaesthetics.
PMID- 29814686
TI - Hints to Locum Tenentes.
PMID- 29814688
TI - Headache.
PMID- 29814687
TI - New Appliances and Things Medical.
PMID- 29814689
TI - Diagram of the Weekly Death Rate in 1909.
PMID- 29814690
TI - Annotations.
PMID- 29814691
TI - The Milton Hospital.
PMID- 29814692
TI - Nature Studies.
PMID- 29814693
TI - The Week's Work.
PMID- 29814694
TI - The Responsibility of Silence.
PMID- 29814695
TI - News and Events of the Week.
PMID- 29814696
TI - Eye Injuries, with Special Reference to the Workmen's Compensation Act, 1906.
PMID- 29814697
TI - Preservatives in Foods.
PMID- 29814698
TI - The Responsibility of Silence.
PMID- 29814699
TI - Reports on the Hospitals of the United Kingdom: X. Sussex County Hospital,
Brighton.
PMID- 29814700
TI - Institutional Notes and News.
PMID- 29814701
TI - Medical Opinion and Movement.
PMID- 29814702
TI - Comparative Mortality.
PMID- 29814704
TI - The Value of Cystoscopy.
PMID- 29814703
TI - Jottings.
PMID- 29814705
TI - Haematology-II.
PMID- 29814706
TI - Enlargements of the Liver.-VI: Cirrhosis (concluded).
PMID- 29814707
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29814708
TI - Reports on the Hospitals of the United Kingdom: More Welsh Hospitals; Some
Cottage Hospitals.
PMID- 29814709
TI - A Commission on Pellagra.
PMID- 29814710
TI - Jottings.
PMID- 29814711
TI - Medical Opinion and Movement.
PMID- 29814713
TI - News and Events of the Week.
PMID- 29814712
TI - New Appliances and Things Medical.
PMID- 29814714
TI - The Week's Work.
PMID- 29814716
TI - Legacies to Medical Men by Their Patients.
PMID- 29814715
TI - Dyspnoea in Heart Disease.
PMID- 29814717
TI - Institutional Notes and News.
PMID- 29814718
TI - The Home Treatment of Consumption.
PMID- 29814720
TI - Leicester Infirmary Nurses' Home.
PMID- 29814719
TI - Annotations.
PMID- 29814721
TI - The Right to Pay for Hospital Treatment: II. How a Voluntary Hospital May
Introduce the Pay System.
PMID- 29814722
TI - The Finances of a Welsh Hospital.
PMID- 29814723
TI - News and Events of the Week.
PMID- 29814724
TI - King Edward's Hospital Fund for London.
PMID- 29814725
TI - The Uses of Mileage Recorders and Speedometers.
PMID- 29814726
TI - Nature Studies.
PMID- 29814728
TI - Reports on the Hospitals of the United Kingdom: The Royal Infirmary, Liverpool;
The Gloucestershire Royal Infirmary and Eye Institution.
PMID- 29814727
TI - Prophylaxis of Ophthalmia Neonatorum: A Request for Statistics.
PMID- 29814729
TI - Notes on Practical Haematology.
PMID- 29814731
TI - Jottings.
PMID- 29814730
TI - Lateral Curvature or Scoliosis in Children.
PMID- 29814733
TI - Institutional Notes and News.
PMID- 29814732
TI - Medical Opinion and Movement.
PMID- 29814734
TI - Are Seals Skinned Alive?
PMID- 29814735
TI - Gallie's Treatment of High Dorsal Potts' Disease.
PMID- 29814736
TI - Cancer of the Lips.
PMID- 29814738
TI - Annotations.
PMID- 29814737
TI - Enlargements of the Liver.-II.
PMID- 29814739
TI - The Anti-Vivisection Hospital: Neglect Twice Found by Jury with the Coroner's
Warning.
PMID- 29814741
TI - The Battersea Anti-Vivisection Hospital.
PMID- 29814740
TI - Scarlatina without Pyrexia.
PMID- 29814742
TI - New Appliances and Things Medical.
PMID- 29814743
TI - Climate V. Care in the Treatment of Phthisis.
PMID- 29814745
TI - Spasm of the Glottis in the New-Born.
PMID- 29814744
TI - The Week's Work.
PMID- 29814746
TI - On Operations for the Results of Cholelithiasis.
PMID- 29814747
TI - Annotations.
PMID- 29814748
TI - The German Hospital, Dalston.
PMID- 29814749
TI - Professional Dignity.
PMID- 29814750
TI - The Patient's Point of View.
PMID- 29814751
TI - Medical Opinion and Movement.
PMID- 29814752
TI - News and Events of the Week.
PMID- 29814753
TI - Institutional Notes and News.
PMID- 29814754
TI - Reports on the Hospitals of the United Kingdom.
PMID- 29814755
TI - The Treatment of Internal Haemorrhoids by Means of Interstitial Injections of
Carbolic Acid.
PMID- 29814756
TI - Enlargements of the Liver.-VII.
PMID- 29814758
TI - The Rockefeller Institute.
PMID- 29814757
TI - A Modification of Neville's Forceps: with Practical Hints as to their Use, and a
Note on the Treatment of Occipito-Posterior Presentations.
PMID- 29814759
TI - Medical Men in the New Parliament.
PMID- 29814760
TI - Eosinophile Cells in the Sputum in Asthma.
PMID- 29814761
TI - Consumption and Dogma.
PMID- 29814762
TI - Payment of Fees for Attendance in Midwives' Cases.
PMID- 29814763
TI - Hospital Architecture.
PMID- 29814764
TI - The Week's Work.
PMID- 29814765
TI - Jottings.
PMID- 29814766
TI - A Case of Periosteal Sarcoma.
PMID- 29814767
TI - The Arrival of a New Car.
PMID- 29814768
TI - Recent Workmen's Compensation Cases.
PMID- 29814769
TI - The Laboratory Diagnosis of Syphilis.
PMID- 29814770
TI - A Year of Low Mortality.
PMID- 29814771
TI - Bequests to Hospitals.
PMID- 29814772
TI - News and Events of the Week.
PMID- 29814773
TI - The Modern Operating Theatre.
PMID- 29814774
TI - Annotations.
PMID- 29814775
TI - Institutional Notes and News.
PMID- 29814776
TI - Literary Effort as a Relaxation for Medical Men.
PMID- 29814778
TI - The Week's Work.
PMID- 29814777
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29814779
TI - Multiple Papillomata of the Rectum.
PMID- 29814780
TI - New Appliances & Things Medical.
PMID- 29814782
TI - Further Uses of a Speedometer.
PMID- 29814781
TI - Medical Opinion and Movement.
PMID- 29814783
TI - The Boy Scout.
PMID- 29814785
TI - Manchester Royal Infirmary.
PMID- 29814784
TI - Medical and Surgical Honours : A Suggestion for Reform.
PMID- 29814787
TI - Enlargements of the Liver.-VIII.
PMID- 29814786
TI - Jottings.
PMID- 29814788
TI - Reports on the Hospitals of the United Kingdom: XXVIII. The Royal Berkshire
Hospital, Reading.
PMID- 29814789
TI - The Week's Work.
PMID- 29814791
TI - The League of Mercy.
PMID- 29814790
TI - The Patient's Point of View.
PMID- 29814793
TI - The Teaching of Anaesthetics in America.
PMID- 29814792
TI - Cost of Horse v. Motor Traction.
PMID- 29814794
TI - Medical Opinion and Movement.
PMID- 29814795
TI - Spontaneous Fractures in Long Bones.
PMID- 29814796
TI - Institutional Notes and News.
PMID- 29814798
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29814797
TI - Reports on the Hospitals of the United Kingdom: The Royal Halifax Infirmary; The
Bolton Infirmary.
PMID- 29814800
TI - Orchitis Due to Mumps without Salivary Gland Swelling.
PMID- 29814799
TI - Nature Studies.-IV.
PMID- 29814801
TI - Cutis Marmorata.
PMID- 29814803
TI - Jottings.
PMID- 29814802
TI - Hysterical Paralysis.
PMID- 29814804
TI - New Appliances and Things Medical.
PMID- 29814806
TI - Self and Charity Advertising.
PMID- 29814805
TI - News and Events of the Week.
PMID- 29814808
TI - Erythema Nodosum and Tuberculosis.
PMID- 29814807
TI - Christian Science and the Recent Inquest.
PMID- 29814809
TI - Annotations.
PMID- 29814810
TI - The Differential Diagnosis of Uterine Haemorrhages.-II.
PMID- 29814812
TI - Progress in Diseases of the Blood.
PMID- 29814811
TI - The Hospital World.
PMID- 29814813
TI - Progress in Disease of Digestive Organs.
PMID- 29814814
TI - The Hospital. Nursing Section.
PMID- 29814815
TI - Europeans and Malaria.
PMID- 29814816
TI - The Insufficiency of Sanatoria for the Consumptive.
PMID- 29814817
TI - Annotations.
PMID- 29814818
TI - The Operative Treatment of Diphtheritic Laryngitis.
PMID- 29814819
TI - The Distribution and Cause of Cancer.
PMID- 29814820
TI - Royal Medical Benevolent College, Epsom.
PMID- 29814822
TI - Notes and News.
PMID- 29814821
TI - Among the "Light" Patients at the London.
PMID- 29814823
TI - Progress in Fevers.
PMID- 29814824
TI - New Appliances and Things Medical.
PMID- 29814825
TI - Acute Rheumatism and Sepsis.
PMID- 29814826
TI - The Clinical Features of Gas Poisoning.
PMID- 29814827
TI - Metropolitan Hospital Sunday Fund.
PMID- 29814828
TI - Where and How to Give.
PMID- 29814829
TI - East London Hospital for Children, Shadwell.
PMID- 29814830
TI - Annotations.
PMID- 29814831
TI - Pott's Fracture and Its Allies.
PMID- 29814833
TI - Progress in Psychiatry.
PMID- 29814832
TI - Wandsworth Infirmary.
PMID- 29814834
TI - Glances at the Hospitals.
PMID- 29814835
TI - Notes and News.
PMID- 29814836
TI - Some Points in Invalid Feeding.
PMID- 29814837
TI - A Much-Needed Home for Open-Air Treatment.
PMID- 29814838
TI - New Appliances and Things Medical.
PMID- 29814839
TI - The Parish Doctor.
PMID- 29814840
TI - The Travellers' Exhibition.
PMID- 29814841
TI - Operative Treatment of Facial Palsy.
PMID- 29814842
TI - Progress in Phototherapy.
PMID- 29814843
TI - Surgery of the Brain and Spinal Cord.
PMID- 29814844
TI - The Hospital. Nursing Section.
PMID- 29814845
TI - Some Poor-Law Accounts.
PMID- 29814846
TI - Penarth Hospital for Infectious Diseases.
PMID- 29814847
TI - Progress in Otology.
PMID- 29814848
TI - The Treatment of Marasmus, or Simple Infantile Atrophy.
PMID- 29814849
TI - The Hospital. Nursing Section.
PMID- 29814850
TI - Progress in Diseases of the Blood.
PMID- 29814852
TI - Notes and News.
PMID- 29814851
TI - Annotations.
PMID- 29814853
TI - The University of London.
PMID- 29814854
TI - Private Lunatic Patients.
PMID- 29814855
TI - Hay Fever.
PMID- 29814856
TI - Climate, Catering, and Health.
PMID- 29814857
TI - Retinal Haemorrhages in Fracture of the Skull.
PMID- 29814858
TI - Glances at the Hospitals.
PMID- 29814859
TI - Hospital Meetings.
PMID- 29814861
TI - Management of a Modern Operating Theatre.
PMID- 29814860
TI - New Appliances and Things Medical.
PMID- 29814862
TI - Open-Air Treatment in Great Britain: A Survey and a Criticism.
PMID- 29814863
TI - Modern Treatment of Cancer.
PMID- 29814864
TI - The Hospital. Nursing Section.
PMID- 29814865
TI - Hospital Meetings.
PMID- 29814866
TI - Progress in Surgery.
PMID- 29814867
TI - Notes and News.
PMID- 29814868
TI - Portland Town Free Dispensary.
PMID- 29814869
TI - The Absorption of Granular Materials from the Peritoneum.
PMID- 29814870
TI - Some Clinical Features of Mediastinal Disease.
PMID- 29814871
TI - Progress in Neurology.
PMID- 29814873
TI - Motor Racing and Health.
PMID- 29814872
TI - Mount Vernon Hospital for Consumption.
PMID- 29814874
TI - Progress in Genito-Urinary Surgery.
PMID- 29814876
TI - Progress in Photo-Therapy.
PMID- 29814875
TI - Modern Sociology.
PMID- 29814877
TI - Annotations.
PMID- 29814879
TI - Hospital Meetings.
PMID- 29814878
TI - On the Premature Dementia of Puberty and Adolescence.
PMID- 29814881
TI - Recurrent Abortion.
PMID- 29814880
TI - The Law Relating to Lunatics and Persons of Unsound Mind.-IV.
PMID- 29814882
TI - Croupous and Catarrhal Pneumonia.
PMID- 29814883
TI - Notes and News.
PMID- 29814884
TI - New Appliances and Things Medical.
PMID- 29814886
TI - Mr. Hutchinson on Leprosy.
PMID- 29814887
TI - Around the Hospitals.
PMID- 29814885
TI - A Clinical Lecture on Abscess of the Brain.
PMID- 29814888
TI - Progress in Cancer.
PMID- 29814889
TI - The Hospital. Nursing Section.
PMID- 29814891
TI - Progress in Gynaecology.
PMID- 29814890
TI - Modern Sociology.
PMID- 29814892
TI - Progress in Otology.
PMID- 29814893
TI - Annotations.
PMID- 29814894
TI - The Interment of Dr. Solomon Smith.
PMID- 29814895
TI - Annotations.
PMID- 29814896
TI - The Law Relating to Medical Officers of Health (Outside Metropolis).-III.
PMID- 29814898
TI - The Light-Treatment of Disease.
PMID- 29814899
TI - London Homoeopathic Hospital.
PMID- 29814897
TI - Antiseptic Hairdressing.
PMID- 29814900
TI - The Limitations of Surgery.
PMID- 29814901
TI - The Hospital. Nursing Section.
PMID- 29814903
TI - North-West London Hospital.
PMID- 29814902
TI - Hospital Meetings.
PMID- 29814904
TI - Progress in State Medicine.
PMID- 29814905
TI - Progress in Diseases of the Blood.
PMID- 29814906
TI - The Incidence and Treatment of Hernia in Young Children.-I.
PMID- 29814907
TI - New Appliances and Things Medical.
PMID- 29814908
TI - Glances at the Hospitals.
PMID- 29814910
TI - Operations in Cottage Hospitals.
PMID- 29814909
TI - Notes and News.
PMID- 29814913
TI - Progress in Otology.
PMID- 29814912
TI - Progress in Fevers.
PMID- 29814911
TI - Practical Departments.
PMID- 29814914
TI - Management of a Modern Operating Theatre.
PMID- 29814915
TI - The Hospital. Nursing Section.
PMID- 29814916
TI - Hospital Meetings.
PMID- 29814917
TI - Progress in Bacteriology.
PMID- 29814918
TI - Cancer of the Stomach.
PMID- 29814919
TI - Progress in Diseases of the Nervous System.
PMID- 29814920
TI - Human and Bovine Tuberculosis.
PMID- 29814921
TI - Some Points of Interest in the Surgical Department of the Municipal Hospital at
Frankfort.
PMID- 29814922
TI - General Practitioners and Their Fees.
PMID- 29814923
TI - New Appliances and Things Medical.
PMID- 29814924
TI - King's College Hospital Festival Dinner.
PMID- 29814925
TI - Annotations.
PMID- 29814927
TI - Hospital Saturday Fund.
PMID- 29814926
TI - Notes and News.
PMID- 29814928
TI - The Employers' Liability Act and the Hospitals.
PMID- 29814929
TI - Colds.
PMID- 29814931
TI - Diseases of the Heart and Circulation.
PMID- 29814930
TI - Psoriasis.
PMID- 29814932
TI - Annotations.
PMID- 29814933
TI - Mucous Colitis.
PMID- 29814934
TI - Hospital Meetings.
PMID- 29814935
TI - Notes and News.
PMID- 29814936
TI - Progress in Cancer.
PMID- 29814937
TI - The Hospital. Nursing Section.
PMID- 29814938
TI - Liverpool and Tropical Diseases.
PMID- 29814939
TI - Progress of Surgery.
PMID- 29814941
TI - Typhoid Fever and Sanitation.
PMID- 29814940
TI - The Report of the Parliamentary Committee on Poisons.
PMID- 29814943
TI - Practical Departments.
PMID- 29814942
TI - Temporary Hospital Wards at the Wandsworth and Clapham Union.
PMID- 29814945
TI - Congenital Goitre.
PMID- 29814944
TI - Notes and News.
PMID- 29814946
TI - Periduodenal Abscess.
PMID- 29814948
TI - The Hospital. Nursing Section.
PMID- 29814947
TI - Progress in Ophthalmology.
PMID- 29814949
TI - The Administration of the Salop Infirmary.
PMID- 29814950
TI - Progress in Skin Diseases.
PMID- 29814952
TI - How to Reform Our Reformatories.
PMID- 29814951
TI - Annotations.
PMID- 29814954
TI - Hospital Meetings.
PMID- 29814953
TI - Diagnosis of Syphilis.
PMID- 29814955
TI - How to Take the Temperature.
PMID- 29814956
TI - Speeches, Wise and Otherwise.
PMID- 29814957
TI - The Symptoms of Locomotor Ataxia.
PMID- 29814958
TI - The Gillingham Case.
PMID- 29814959
TI - Progress of Surgery.
PMID- 29814960
TI - Annotations.
PMID- 29814961
TI - Hospital Meetings.
PMID- 29814962
TI - Ennore, Boscombe.
PMID- 29814963
TI - Progress in Disease of Digestive Organs.
PMID- 29814964
TI - Notes and News.
PMID- 29814965
TI - Progress in Genito-Urinary Surgery.
PMID- 29814966
TI - Practical Departments.
PMID- 29814967
TI - The New Cottage Hospital at Exmouth.
PMID- 29814968
TI - The Cult of the Operating Theatre.
PMID- 29814969
TI - The Hospital. Nursing Section.
PMID- 29814970
TI - Association for the Oral Instruction of the Deaf and Dumb.
PMID- 29814971
TI - Opening of the Hospital for Epilepsy and Paralysis.
PMID- 29814972
TI - Modern Views of Dysentery. The Serum Test.
PMID- 29814973
TI - Progress in Fevers.
PMID- 29814974
TI - Severe Abdominal Pain.
PMID- 29814975
TI - New Appliances and Things Medical.
PMID- 29814977
TI - Progress in State Medicine.
PMID- 29814976
TI - The Royal Visit to the London Hospital.
PMID- 29814978
TI - Medical Education.
PMID- 29814979
TI - The Eastern District Hospital, Glasgow.
PMID- 29814980
TI - The Law Relating to Medical Officers of Health.-II.
PMID- 29814982
TI - National Hospital for the Paralysed and Epileptic.
PMID- 29814981
TI - Progress in Psychiatry.
PMID- 29814983
TI - Sterile Milk for Infant Feeding in France.
PMID- 29814984
TI - Some Points of Interest in the Surgical Department of the Municipal Hospital at
Frankfort.
PMID- 29814986
TI - Stock Exchange Pedestrianism.
PMID- 29814985
TI - Annotations.
PMID- 29814987
TI - Uterine Fibroids.
PMID- 29814988
TI - X-Ray Treatment of Tumours.
PMID- 29814989
TI - Our Voluntary Hospitals.
PMID- 29814990
TI - The Hospital. Nursing Section.
PMID- 29814992
TI - New Appliances and Things Medical.
PMID- 29814991
TI - The Grove Fever Hospital at Tooting Graveney, S.W.
PMID- 29814994
TI - Surgery of the Brain and Spinal Cord.
PMID- 29814993
TI - Visits to Private Asylums.
PMID- 29814996
TI - Progress in Ophthalmology.
PMID- 29814995
TI - Hospital Sites in London.
PMID- 29814997
TI - Hospital Meetings.
PMID- 29814998
TI - Notes and News.
PMID- 29814999
TI - Practical Departments.
PMID- 29815000
TI - Gastric Hyperacidity.
PMID- 29815002
TI - Progress in Photo-Therapy.
PMID- 29815001
TI - New Appliances and Things Medical.
PMID- 29815003
TI - Perforation of the Intestine in Typhoid Fever.
PMID- 29815004
TI - Progress in Ophthalmology.
PMID- 29815005
TI - The League of Mercy.
PMID- 29815006
TI - Mr. Hutchinson and Leprosy.
PMID- 29815007
TI - The Lay Press and "Miracles".
PMID- 29815008
TI - On the Premature Dementia of Puberty and Adolescence.
PMID- 29815010
TI - Progress in State Medicine.
PMID- 29815009
TI - Annotations.
PMID- 29815012
TI - The Middlesex Electrical Installation.
PMID- 29815011
TI - Diagnosis of Gall-Stones.
PMID- 29815013
TI - The Hospital. Nursing Section.
PMID- 29815014
TI - The Man Born Blind.
PMID- 29815015
TI - Members of the League of Mercy at Marlborough House.
PMID- 29815016
TI - Notes and News.
PMID- 29815017
TI - Metropolitan Hospital.
PMID- 29815018
TI - Progress in Neurology.
PMID- 29815019
TI - Forty-Fifth Annual Report of the General Board of Commissioners in Lunacy for
Scotland.
PMID- 29815021
TI - The Diagnosis of the Cause of Ascites.
PMID- 29815020
TI - Annotations.
PMID- 29815022
TI - Hospital Meetings.
PMID- 29815023
TI - Tuberculosis of the Breast.
PMID- 29815024
TI - Glances at the Hospitals.
PMID- 29815025
TI - Progress in Anaesthetics.
PMID- 29815026
TI - Notes and News.
PMID- 29815027
TI - Medical Attendance of Londoners.
PMID- 29815028
TI - Urban Populations.
PMID- 29815029
TI - Summer Diarrhoea in Children.
PMID- 29815030
TI - The Cottage Hospital at Larne, Antrim.
PMID- 29815031
TI - The Hospital. Nursing Section.
PMID- 29815032
TI - Hospitals and the Duty of Business Firms.
PMID- 29815033
TI - The Law Relating to Medical Officers of Health (Outside|Metropolis).-IV.
PMID- 29815034
TI - Progress in Fevers.
PMID- 29815035
TI - Progress in Diseases of the Blood.
PMID- 29815036
TI - Yeast and Diabetes Mellitus.
PMID- 29815037
TI - Notes and News.
PMID- 29815038
TI - Progress of Surgery.
PMID- 29815040
TI - Royal Orthopaedic Hospital.
PMID- 29815039
TI - OEsophageal Pouches.
PMID- 29815041
TI - Practical Departments.
PMID- 29815043
TI - Association of British Postal Medical Officers.
PMID- 29815042
TI - Have the Locality and Surroundings an Influence upon the Recurrence of Malignant
Disease after Operation?
PMID- 29815045
TI - Penny-A-Week Charity.
PMID- 29815044
TI - Annotations.
PMID- 29815046
TI - The Management of Acne.
PMID- 29815047
TI - The Red Light Treatment of Small-Pox.
PMID- 29815048
TI - Hospitals and the Duty of Business Firms.
PMID- 29815049
TI - Progress in Diseases of the Nervous System.
PMID- 29815050
TI - Sir F. Treves and Parliament.
PMID- 29815051
TI - The Hospital. Nursing Section.
PMID- 29815052
TI - New Appliances and Things Medical.
PMID- 29815053
TI - Progress in Psychiatry.
PMID- 29815054
TI - Instruction at the United Borough Hospitals in the Eighteenth Century.
PMID- 29815055
TI - The Medical Witness.
PMID- 29815056
TI - The Belgrave Hospital for Children.
PMID- 29815057
TI - The Study of the History of Medicine.
PMID- 29815058
TI - The Hospital. Nursing Section.
PMID- 29815059
TI - Notes and News.
PMID- 29815060
TI - Annotations.
PMID- 29815061
TI - Progress in Obstetrics.
PMID- 29815062
TI - The Clinical Features of Bilharzia Haematobia.
PMID- 29815063
TI - The Atmosphere of the Central London Railway.
PMID- 29815064
TI - The New Hospital at Monmouth.
PMID- 29815065
TI - Progress in Fevers.
PMID- 29815066
TI - Sea and Country.
PMID- 29815067
TI - The Incidence and Treatment of Hernia in Young Children.-II.
PMID- 29815069
TI - Progress in Bacteriology.
PMID- 29815068
TI - Kissing the Book.
PMID- 29815071
TI - Doctors in Parliament.
PMID- 29815070
TI - The Jenner Institute of Preventive Medicine.
PMID- 29815072
TI - The President of the French Republic at the French Hospital.
PMID- 29815073
TI - Guy's Hospital.
PMID- 29815074
TI - The Cruelties of the Voting System.
PMID- 29815076
TI - Notes and News.
PMID- 29815075
TI - The Hospital. Nursing Section.
PMID- 29815078
TI - Traumatic Insanity.
PMID- 29815077
TI - Alcoholism.
PMID- 29815079
TI - King's College Hospital.
PMID- 29815080
TI - Prognosis in Tubercular Peritonitis.
PMID- 29815081
TI - Rheumatism and Gout.
PMID- 29815083
TI - The Story of the Insane from Year to Year.
PMID- 29815082
TI - Meningitis and Lumbar Puncture.
PMID- 29815084
TI - Rise and Growth of Vaccination Law.-I.
PMID- 29815085
TI - Around the Hospitals.
PMID- 29815086
TI - Annotations.
PMID- 29815088
TI - Progress in Surgery of the Intestines.
PMID- 29815089
TI - Progress in Phototherapy and Electro-Therapeutics.
PMID- 29815087
TI - British Institutions for the Care of the Inebriate.-I.
PMID- 29815091
TI - Mrs. Garrett Anderson on Small-Pox.
PMID- 29815090
TI - Surgical Treatment of Exophthalmic Goitre.
PMID- 29815092
TI - A Royal Hospital Sunday.
PMID- 29815093
TI - Hospital Meetings.
PMID- 29815095
TI - Annotations.
PMID- 29815094
TI - Notes and News.
PMID- 29815096
TI - The Hospital. Nursing Section.
PMID- 29815097
TI - Special Hospital Sunday Supplement.
PMID- 29815098
TI - Progress in Psychiatry.
PMID- 29815100
TI - Perforation of the Intestine in Typhoid Fever.
PMID- 29815099
TI - The Medical, Surgical and Hygienic Exhibition.
PMID- 29815101
TI - The Diet after Operations on the Stomach and Intestines.
PMID- 29815102
TI - Progress in Disease of Digestive Organs.
PMID- 29815103
TI - The New Out-Patient Department at the London Hospital.
PMID- 29815104
TI - The Modern "Hospital".
PMID- 29815105
TI - Hints for Travellers in the near East.
PMID- 29815106
TI - New Appliances and Things Medical.
PMID- 29815107
TI - Severe Abdominal Pain.
PMID- 29815108
TI - Progress in Neurology.
PMID- 29815109
TI - Hospital Sunday Fund.
PMID- 29815110
TI - Fires in Public Institutions.
PMID- 29815111
TI - The Law Relating to Medical Officers of Health.-I.
PMID- 29815112
TI - Quinine and Blackwater Fever.
PMID- 29815113
TI - Nervous Lesions in Amyotrophia of Articular Origin.
PMID- 29815114
TI - Notes and News.
PMID- 29815115
TI - "Opticians" and "Sight-Testing".
PMID- 29815117
TI - Progress in Neurology.
PMID- 29815116
TI - New Appliances and Things Medical.
PMID- 29815118
TI - Modern Sociology.
PMID- 29815119
TI - Where to Live.
PMID- 29815120
TI - Milk Testing by the General Practitioner.
PMID- 29815121
TI - Hospital Meetings.
PMID- 29815122
TI - Progress in Phototherapy.
PMID- 29815123
TI - The Hospital. Nursing Section.
PMID- 29815124
TI - Visits to Private Asylums.
PMID- 29815126
TI - Progress in State Medicine.
PMID- 29815125
TI - Glances at the Hospitals.
PMID- 29815127
TI - Annotations.
PMID- 29815128
TI - Women and University Life.
PMID- 29815129
TI - On the Premature Dementia of Puberty and Adolescence.
PMID- 29815130
TI - Glances at the Hospitals.
PMID- 29815131
TI - The Cult of the "Maisonette".
PMID- 29815132
TI - Notes and News.
PMID- 29815133
TI - The Pathology of Acute Rheumatism.
PMID- 29815134
TI - Tropical Veterinary Medicine.
PMID- 29815135
TI - The Treatment of Gout.
PMID- 29815136
TI - The Training of Teachers.
PMID- 29815137
TI - Leprosy and Religion.
PMID- 29815138
TI - Progress in Bacteriology.
PMID- 29815139
TI - Modern Sociology.
PMID- 29815141
TI - Visits to Private Asylums.
PMID- 29815140
TI - Surgery of the Peritoneum, etc.
PMID- 29815142
TI - Purpura Haemorrhagica Fulminans.
PMID- 29815143
TI - The Guest Hospital, Dudley.
PMID- 29815145
TI - Progress in Dermatology.
PMID- 29815144
TI - The Hospital. Nursing Section.
PMID- 29815146
TI - Annotations.
PMID- 29815147
TI - Ten Years of London Pauperism.
PMID- 29815148
TI - The Workhouse Infirmary, Patrington Union, East Yorkshire.
PMID- 29815149
TI - A Case of Retroflexion of the Gravid Uterus with Over-Distension of the Bladder.
PMID- 29815150
TI - Hospital Meetings.
PMID- 29815151
TI - Progress of the Surgery of the Vermiform Appendix.
PMID- 29815153
TI - Rowdyism versus Education.
PMID- 29815152
TI - Acute Non-Suppurative Encephalitis.
PMID- 29815155
TI - Progress in Dermatology.
PMID- 29815154
TI - Seamen's Hospital, Cardiff.
PMID- 29815156
TI - Syphilitic Affections of the Heart and Aorta.
PMID- 29815157
TI - Notes and News.
PMID- 29815160
TI - Glances at the Hospitals.
PMID- 29815158
TI - Visits to Private Asylums.
PMID- 29815159
TI - Cruelties of the Voting System.
PMID- 29815161
TI - The Hospital. Nursing Section.
PMID- 29815162
TI - Convulsions in Children.
PMID- 29815163
TI - The Story of the Insane from Year to Year.
PMID- 29815165
TI - The Family Physician, the Specialist and the Patient.
PMID- 29815164
TI - The Toxic Actions of Urotropin.
PMID- 29815167
TI - Oberst's Method of Inducing Local Anaesthesia.
PMID- 29815166
TI - Progress in Surgery of the Intestines.
PMID- 29815169
TI - Peritoneal Adhesions Caused by Muscular Trauma.
PMID- 29815168
TI - Higher National Education.
PMID- 29815170
TI - Annotations.
PMID- 29815171
TI - Akatama.
PMID- 29815172
TI - New Appliances and Things Medical.
PMID- 29815174
TI - Enteric Fever and Dysentery in the Army.
PMID- 29815173
TI - The Aura of Epilepsy.
PMID- 29815175
TI - Diseases of the Stomach, Liver, and Intestines.
PMID- 29815176
TI - Ocular Symptoms in General Medicine.
PMID- 29815177
TI - New Appliances and Things Medical.
PMID- 29815178
TI - Sanatorium for Consumptive Patients at the Gartloch Asylum.
PMID- 29815179
TI - Progress in Surgery.
PMID- 29815180
TI - Annotations.
PMID- 29815181
TI - Visits to Private Asylums.
PMID- 29815182
TI - Glances at the Hospitals.
PMID- 29815183
TI - Institutional Treatment of Fevers.
PMID- 29815184
TI - Progress in State Medicine.
PMID- 29815185
TI - Notes and News.
PMID- 29815186
TI - The Hospital. Nursing Section.
PMID- 29815188
TI - Extra-Uterine Pregnancy.
PMID- 29815187
TI - Institutional Furniture.
PMID- 29815190
TI - Metropolitan Hospital Sunday Fund.
PMID- 29815189
TI - Medical Congresses.
PMID- 29815191
TI - The Hospital. Nursing Section.
PMID- 29815192
TI - Notes and News.
PMID- 29815193
TI - Surrey County Hospital.
PMID- 29815194
TI - Annotations.
PMID- 29815195
TI - Progress in Neurology.
PMID- 29815196
TI - New Appliances and Things Medical.
PMID- 29815197
TI - Alcoholic and Arsenical Neuritis.
PMID- 29815198
TI - Diseases of the Stomach, Liver, and Intestines.
PMID- 29815199
TI - Motors and Motoring.
PMID- 29815200
TI - Microscopical Examination of Suspected New Growths.
PMID- 29815201
TI - The Dengue.
PMID- 29815202
TI - Progress of Diseases of the Throat and Nose.
PMID- 29815203
TI - Congenital Laryngeal Stridor.
PMID- 29815205
TI - Shell-Fish and Enteric Fever.
PMID- 29815204
TI - The Education of Mentally and Otherwise Defective Children.
PMID- 29815207
TI - Modern Sociology.
PMID- 29815206
TI - The Scottish Universities.
PMID- 29815209
TI - The Study of Medicine.
PMID- 29815208
TI - The Hospital. Nursing Section.
PMID- 29815210
TI - Ophthalmia.
PMID- 29815212
TI - Progress in Gynaecology.
PMID- 29815211
TI - Persistent Jaundice in Children.
PMID- 29815213
TI - Progress in Orthopaedic Surgery.
PMID- 29815214
TI - The Medical Schools.
PMID- 29815215
TI - London as a Place of Study.
PMID- 29815216
TI - Annotations.
PMID- 29815218
TI - Royal Boscombe and West Hants Hospital.
PMID- 29815217
TI - New Appliances and Things Medical.
PMID- 29815219
TI - Serum Exhibition and Serum Rashes.
PMID- 29815221
TI - Pharmaceutical Notes.
PMID- 29815220
TI - Medical Opinion and Movement.
PMID- 29815222
TI - The Budget and the Doctor's Car.
PMID- 29815224
TI - Treatment of Tuberculosis with Mercury.
PMID- 29815223
TI - Conditions Simulating Enlargement of the Liver.-III.
PMID- 29815225
TI - Enlargement of the Lower End of the Femur.
PMID- 29815226
TI - The Treatment of Prolapse of the Uterus, Cystocele and Elongation of the Cervix.
PMID- 29815227
TI - Unvalued Pearls.
PMID- 29815228
TI - Nasal Discharges-I.
PMID- 29815230
TI - News and Coming Events.
PMID- 29815231
TI - Examination of the Pupils.-II.
PMID- 29815229
TI - Atropine in Acute Asthma.
PMID- 29815233
TI - Ophthalmic Manifestations of Syphilis.
PMID- 29815232
TI - Personal Rights and Preventive Medicine.
PMID- 29815234
TI - Lectures on Medical Etiquette.
PMID- 29815235
TI - Preliminary Schools for Probationers.
PMID- 29815236
TI - A Model Railway System.
PMID- 29815237
TI - The Treatment of Stenosis of the Larynx and Trachea.
PMID- 29815238
TI - Chronic Inflammatory Affections of the Palms and Soles.
PMID- 29815239
TI - Medical Opinion and Movement.
PMID- 29815240
TI - Degrees and Diplomas.
PMID- 29815242
TI - The Value of Abdominal Palpation in Labour.-I.
PMID- 29815241
TI - Cardiff Infirmary Extension.
PMID- 29815243
TI - Carriere's "Hesitating Pulse" in Pneumonia.
PMID- 29815244
TI - Aerophagy and Flatulent Dyspepsia.
PMID- 29815245
TI - Operations upon Arteries.
PMID- 29815246
TI - The Medical Student and Dental Training.
PMID- 29815248
TI - Current Hospital Topics.
PMID- 29815247
TI - News and Coming Events.
PMID- 29815250
TI - Annotations.
PMID- 29815249
TI - Modern Views on Heredity.
PMID- 29815252
TI - Two Thousand Prescriptions Analysed.
PMID- 29815251
TI - New Appliances and Things Medical.
PMID- 29815254
TI - Medical Expert Evidence.-III.
PMID- 29815253
TI - The Death of the Emperor of China.
PMID- 29815256
TI - A Demonstration of Medical Cases.
PMID- 29815258
TI - The District Nurse's Training.
PMID- 29815257
TI - New Appliances & Things Medical.
PMID- 29815259
TI - News and Coming Events.
PMID- 29815260
TI - The Progress of Vaccine Treatment.
PMID- 29815261
TI - Notes on Cars and Garages.
PMID- 29815263
TI - The Principles of Management of Visceroptosis.
PMID- 29815262
TI - Enlargement of the Prostate.-IV.
PMID- 29815264
TI - Literary Notes.
PMID- 29815265
TI - Nasal Discharges.-II.
PMID- 29815266
TI - Wanted-A Hospital Administrator.
PMID- 29815267
TI - Medical Opinion and Movement.
PMID- 29815268
TI - Otosclerosis.
PMID- 29815269
TI - Memorandum on the Training of the Territorial Medical Service.
PMID- 29815270
TI - Ascites.-I.
PMID- 29815272
TI - Annotations.
PMID- 29815271
TI - Ophthalmic Conditions in the Government Schools in Egypt.
PMID- 29815273
TI - The University of Oxford Diploma in Ophthalmology.
PMID- 29815274
TI - Naso-Pharyngeal Growths.
PMID- 29815275
TI - Giemsa's Method of Staining the Treponema Pallidum.
PMID- 29815276
TI - Dentists and Anaesthesia.
PMID- 29815277
TI - News and Coming Events.
PMID- 29815278
TI - The Dietetic Value of Beer and Stout.
PMID- 29815279
TI - Examination of the Pupils.-IV.
PMID- 29815280
TI - A Visit to a Swiss Hospital.
PMID- 29815281
TI - The Treatment of Whooping Cough.-II.
PMID- 29815282
TI - A New Attack on the Cancer Problem.
PMID- 29815284
TI - The Nitrites and Their Action upon Hypertension.
PMID- 29815283
TI - The Value of Abdominal Palpation in Labour.-II.
PMID- 29815285
TI - Annotations.
PMID- 29815286
TI - Economy in Motor Tyres.
PMID- 29815287
TI - Torticollis.
PMID- 29815288
TI - Unbusinesslike Nurses.
PMID- 29815289
TI - Vincent's Angina.
PMID- 29815290
TI - Medical Opinion and Movement.
PMID- 29815292
TI - The New Out-Patient Department of St. Bartholomew's Hospital.
PMID- 29815291
TI - Modern Views on Heredity.
PMID- 29815293
TI - The Percentage Administration of Chloroform.
PMID- 29815294
TI - Three Vegetable Laxatives from Trinidad.
PMID- 29815295
TI - Proteid Dietaries in Nephritis.
PMID- 29815297
TI - Remarks on Cylinders and Insurance.
PMID- 29815296
TI - A Criticism of Homoeopathy.
PMID- 29815298
TI - The Plenum System of Ventilation.
PMID- 29815299
TI - The Uterine Cancer Committee of the British Medical Association.
PMID- 29815300
TI - St. Bartholomew's Hospital.
PMID- 29815301
TI - News and Coming Events.
PMID- 29815302
TI - Dentists and Anaesthesia.
PMID- 29815303
TI - The "Break-Up" of the Poor-Law.
PMID- 29815304
TI - Conditions Simulating Enlargement of the Liver.-I.
PMID- 29815307
TI - Epistaxis.
PMID- 29815305
TI - Annotations.
PMID- 29815306
TI - Recruiting Duties of the Territorial Regimental Surgeon.
PMID- 29815308
TI - Internal Derangements of the Knee-Joint.
PMID- 29815309
TI - The Cortical Lesion for Motor Aphasia.
PMID- 29815310
TI - Medical Opinion and Movement.
PMID- 29815312
TI - Publications of the Research Defence Society.
PMID- 29815311
TI - The Treatment of Cement Dermatitis.
PMID- 29815313
TI - A National Undertaking in Difficulties.
PMID- 29815314
TI - Elongation of the Cervix Uteri.
PMID- 29815315
TI - Diagnosis in Acute Toothache.
PMID- 29815316
TI - Calcium Fluoride.
PMID- 29815317
TI - The Treatment of Constipation.
PMID- 29815318
TI - The Early Diagnosis of Uterine Cancer.
PMID- 29815319
TI - Patients' Payments in District Work.
PMID- 29815320
TI - The Diagnosis of Swellings in the Pelvis.
PMID- 29815322
TI - The After-Effects of Infantile Palsy.
PMID- 29815321
TI - Annotations.
PMID- 29815324
TI - Anti-Typhoid Vaccination.
PMID- 29815323
TI - The Glasgow Cancer Hospital (Free).
PMID- 29815325
TI - Institutional Notes and News.
PMID- 29815326
TI - The Internationalisation of Graduate Study.
PMID- 29815327
TI - Clinical Tests of Hearing-II.
PMID- 29815328
TI - The State Medical Treatment of School Children.
PMID- 29815329
TI - Acute Suppuration in the Knee-Joint.
PMID- 29815331
TI - Pulley Blocks v. Inspection Pits.
PMID- 29815330
TI - Arthritis Treated by Iodine-Lithium Ionisation.
PMID- 29815332
TI - Medical Opinion and Movement.
PMID- 29815333
TI - Some Practical Notes.
PMID- 29815334
TI - News and Coming Events.
PMID- 29815336
TI - The Pathology of Acute Inflammation in Bone.
PMID- 29815335
TI - The Throat and Nose in the AEtiology of Tuberculosis.
PMID- 29815337
TI - The King at the Orthopaedic Hospital.
PMID- 29815338
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29815340
TI - How Poisons Were Tried on Man.
PMID- 29815339
TI - The Varieties of Neurasthenia.
PMID- 29815341
TI - Medical Opinion and Movement.
PMID- 29815343
TI - Motoring Notes.
PMID- 29815342
TI - The Iron Hand of the State.
PMID- 29815345
TI - Notes on Ophthalmia Neonatorum.
PMID- 29815344
TI - Acute Poliomyelitis in New York.
PMID- 29815347
TI - Anti-Vaccinationists and Modified Smallpox.
PMID- 29815346
TI - News and Coming Events.
PMID- 29815348
TI - The Treatment of Enuresis.-II.
PMID- 29815350
TI - Ascites-VIII: The Differential Diagnosis of the Cause of Ascites (Continued).
PMID- 29815349
TI - Exploratory Laparotomy and Abdominal Cancer.
PMID- 29815351
TI - Recent Experiences with the Schafer Method of Artificial Respiration.
PMID- 29815352
TI - The Medico-Legal Aspects of Poisons-I.
PMID- 29815353
TI - Hospital Sunday Fund.
PMID- 29815355
TI - Two Homely Remedies.
PMID- 29815354
TI - Annotations.
PMID- 29815356
TI - Literary Notes.
PMID- 29815357
TI - The Reinforced Inner Tube.
PMID- 29815358
TI - Medical Opinion and Movement.
PMID- 29815360
TI - The Water Treatment of Burns.
PMID- 29815359
TI - The Holt Ockley System of Nursing.
PMID- 29815361
TI - The Need for Appendicectomy after Appendicular Abscess.
PMID- 29815362
TI - Practical Notes on Diagnosis and Treatment.
PMID- 29815363
TI - News and Coming Events.
PMID- 29815365
TI - The Martyrology of Medicine.
PMID- 29815364
TI - Headaches and Their Treatment.
PMID- 29815366
TI - Sanitary Matters in the West African Colonies.
PMID- 29815367
TI - The Ideal Graduate Study Institution.-What Germany Has Done.
PMID- 29815369
TI - Some General Aspects of Poisons-III.
PMID- 29815368
TI - Pellagra.
PMID- 29815370
TI - Annotations.
PMID- 29815371
TI - Some Practical Notes.
PMID- 29815372
TI - Mild Influenza in Children.
PMID- 29815373
TI - Between Sir Henry Burdett, K.C.B., K.C.V.O., and the Secretary of the National
Anti-Vivisection Hospital, Battersea General Hospital (MR. G. W. F. Robbins).
PMID- 29815374
TI - On a Syndrome Characterised by Abnormally Low Blood Pressure, Bradycardia and
Acrocyanosis.
PMID- 29815375
TI - The Anti-Vivisection Hospital.
PMID- 29815376
TI - News and Coming Events.
PMID- 29815377
TI - Ochronosis.
PMID- 29815378
TI - Medical Opinion and Movement.
PMID- 29815379
TI - Grocco's Paravertebral Triangle and Its Value.
PMID- 29815380
TI - Literary Notes.
PMID- 29815381
TI - Liability of Hospitals.
PMID- 29815382
TI - Annotations.
PMID- 29815383
TI - The Treatment of Enuresis.-I.
PMID- 29815384
TI - The "One Portal" Principle in Nursing.
PMID- 29815385
TI - King's College Hospital.
PMID- 29815386
TI - Camping Duties of the Territorial Regimental Surgeon.
PMID- 29815387
TI - The Clinical Aspects of Arterial Disease.
PMID- 29815388
TI - The International Nurses' Council.
PMID- 29815389
TI - Pathological Changes in Uterine Fibroids.
PMID- 29815390
TI - The International Congress on Alcoholism.